diff --git a/.idea/.name b/.idea/.name index ed8791e6..68b28f5c 100644 --- a/.idea/.name +++ b/.idea/.name @@ -1 +1 @@ -chisel-module-template \ No newline at end of file +Quasar \ No newline at end of file diff --git a/.idea/compiler.xml b/.idea/compiler.xml index 2503dfae..56914e5b 100644 --- a/.idea/compiler.xml +++ b/.idea/compiler.xml @@ -3,6 +3,7 @@ + \ No newline at end of file diff --git a/.idea/modules.xml b/.idea/modules.xml index 4723e5e0..4f1654a6 100644 --- a/.idea/modules.xml +++ b/.idea/modules.xml @@ -2,8 +2,8 @@ - - + + \ No newline at end of file diff --git a/.idea/modules/chisel-module-template-build.iml b/.idea/modules/Quasar-build.iml similarity index 92% rename from .idea/modules/chisel-module-template-build.iml rename to .idea/modules/Quasar-build.iml index 0943f635..d6d6c642 100644 --- a/.idea/modules/chisel-module-template-build.iml +++ b/.idea/modules/Quasar-build.iml @@ -1,5 +1,5 @@ - + diff --git a/.idea/modules/chisel-module-template.iml b/.idea/modules/Quasar.iml similarity index 93% rename from .idea/modules/chisel-module-template.iml rename to .idea/modules/Quasar.iml index b3e4e5b2..bc7ea749 100644 --- a/.idea/modules/chisel-module-template.iml +++ b/.idea/modules/Quasar.iml @@ -1,52 +1,52 @@ - + + - - - - - - - - - + - - - - - - - - + + + + + + + + + + - + + + - - - - - - - - - - - + - + + + + + + + + + + + + + + \ No newline at end of file diff --git a/.idea/scala_compiler.xml b/.idea/scala_compiler.xml index d400f5af..e689116a 100644 --- a/.idea/scala_compiler.xml +++ b/.idea/scala_compiler.xml @@ -2,7 +2,7 @@ - + diff --git a/ahb_to_axi4.anno.json b/ahb_to_axi4.anno.json new file mode 100644 index 00000000..e256d28b --- /dev/null +++ b/ahb_to_axi4.anno.json @@ -0,0 +1,34 @@ +[ + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~ahb_to_axi4|ahb_to_axi4>io_ahb_sig_in_hready", + "sources":[ + "~ahb_to_axi4|ahb_to_axi4>io_ahb_sig_in_hresp", + "~ahb_to_axi4|ahb_to_axi4>io_axi_aw_valid", + "~ahb_to_axi4|ahb_to_axi4>io_axi_aw_ready", + "~ahb_to_axi4|ahb_to_axi4>io_axi_ar_valid", + "~ahb_to_axi4|ahb_to_axi4>io_axi_ar_ready" + ] + }, + { + "class":"firrtl.EmitCircuitAnnotation", + "emitter":"firrtl.VerilogEmitter" + }, + { + "class":"firrtl.transforms.BlackBoxResourceAnno", + "target":"ahb_to_axi4.gated_latch", + "resourceId":"/vsrc/gated_latch.v" + }, + { + "class":"firrtl.options.TargetDirAnnotation", + "directory":"." + }, + { + "class":"firrtl.options.OutputAnnotationFileAnnotation", + "file":"ahb_to_axi4" + }, + { + "class":"firrtl.transforms.BlackBoxTargetDirAnno", + "targetDir":"." + } +] \ No newline at end of file diff --git a/ahb_to_axi4.fir b/ahb_to_axi4.fir new file mode 100644 index 00000000..5cacec4f --- /dev/null +++ b/ahb_to_axi4.fir @@ -0,0 +1,617 @@ +;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 +circuit ahb_to_axi4 : + extmodule gated_latch : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_1 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_1 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_1 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_2 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_2 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_2 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_3 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_3 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_3 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_4 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_4 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_4 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_5 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_5 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_5 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module ahb_to_axi4 : + input clock : Clock + input reset : AsyncReset + output io : {flip scan_mode : UInt<1>, flip bus_clk_en : UInt<1>, flip clk_override : UInt<1>, axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, ahb : {flip sig : {in : {flip hrdata : UInt<64>, flip hready : UInt<1>, flip hresp : UInt<1>}, out : {haddr : UInt<32>, hburst : UInt<3>, hmastlock : UInt<1>, hprot : UInt<4>, hsize : UInt<3>, htrans : UInt<2>, hwrite : UInt<1>, hwdata : UInt<64>}}, flip hsel : UInt<1>, flip hreadyin : UInt<1>}} + + wire _T : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}} @[ahb_to_axi4.scala 20:25] + _T.r.bits.last <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] + _T.r.bits.resp <= UInt<2>("h00") @[ahb_to_axi4.scala 20:25] + _T.r.bits.data <= UInt<64>("h00") @[ahb_to_axi4.scala 20:25] + _T.r.bits.id <= UInt<3>("h00") @[ahb_to_axi4.scala 20:25] + _T.r.valid <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] + _T.r.ready <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] + _T.ar.bits.qos <= UInt<4>("h00") @[ahb_to_axi4.scala 20:25] + _T.ar.bits.prot <= UInt<3>("h00") @[ahb_to_axi4.scala 20:25] + _T.ar.bits.cache <= UInt<4>("h00") @[ahb_to_axi4.scala 20:25] + _T.ar.bits.lock <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] + _T.ar.bits.burst <= UInt<2>("h00") @[ahb_to_axi4.scala 20:25] + _T.ar.bits.size <= UInt<3>("h00") @[ahb_to_axi4.scala 20:25] + _T.ar.bits.len <= UInt<8>("h00") @[ahb_to_axi4.scala 20:25] + _T.ar.bits.region <= UInt<4>("h00") @[ahb_to_axi4.scala 20:25] + _T.ar.bits.addr <= UInt<32>("h00") @[ahb_to_axi4.scala 20:25] + _T.ar.bits.id <= UInt<3>("h00") @[ahb_to_axi4.scala 20:25] + _T.ar.valid <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] + _T.ar.ready <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] + _T.b.bits.id <= UInt<3>("h00") @[ahb_to_axi4.scala 20:25] + _T.b.bits.resp <= UInt<2>("h00") @[ahb_to_axi4.scala 20:25] + _T.b.valid <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] + _T.b.ready <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] + _T.w.bits.last <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] + _T.w.bits.strb <= UInt<8>("h00") @[ahb_to_axi4.scala 20:25] + _T.w.bits.data <= UInt<64>("h00") @[ahb_to_axi4.scala 20:25] + _T.w.valid <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] + _T.w.ready <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] + _T.aw.bits.qos <= UInt<4>("h00") @[ahb_to_axi4.scala 20:25] + _T.aw.bits.prot <= UInt<3>("h00") @[ahb_to_axi4.scala 20:25] + _T.aw.bits.cache <= UInt<4>("h00") @[ahb_to_axi4.scala 20:25] + _T.aw.bits.lock <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] + _T.aw.bits.burst <= UInt<2>("h00") @[ahb_to_axi4.scala 20:25] + _T.aw.bits.size <= UInt<3>("h00") @[ahb_to_axi4.scala 20:25] + _T.aw.bits.len <= UInt<8>("h00") @[ahb_to_axi4.scala 20:25] + _T.aw.bits.region <= UInt<4>("h00") @[ahb_to_axi4.scala 20:25] + _T.aw.bits.addr <= UInt<32>("h00") @[ahb_to_axi4.scala 20:25] + _T.aw.bits.id <= UInt<3>("h00") @[ahb_to_axi4.scala 20:25] + _T.aw.valid <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] + _T.aw.ready <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] + _T.r.bits.last <= io.axi.r.bits.last @[ahb_to_axi4.scala 20:10] + _T.r.bits.resp <= io.axi.r.bits.resp @[ahb_to_axi4.scala 20:10] + _T.r.bits.data <= io.axi.r.bits.data @[ahb_to_axi4.scala 20:10] + _T.r.bits.id <= io.axi.r.bits.id @[ahb_to_axi4.scala 20:10] + _T.r.valid <= io.axi.r.valid @[ahb_to_axi4.scala 20:10] + io.axi.r.ready <= _T.r.ready @[ahb_to_axi4.scala 20:10] + io.axi.ar.bits.qos <= _T.ar.bits.qos @[ahb_to_axi4.scala 20:10] + io.axi.ar.bits.prot <= _T.ar.bits.prot @[ahb_to_axi4.scala 20:10] + io.axi.ar.bits.cache <= _T.ar.bits.cache @[ahb_to_axi4.scala 20:10] + io.axi.ar.bits.lock <= _T.ar.bits.lock @[ahb_to_axi4.scala 20:10] + io.axi.ar.bits.burst <= _T.ar.bits.burst @[ahb_to_axi4.scala 20:10] + io.axi.ar.bits.size <= _T.ar.bits.size @[ahb_to_axi4.scala 20:10] + io.axi.ar.bits.len <= _T.ar.bits.len @[ahb_to_axi4.scala 20:10] + io.axi.ar.bits.region <= _T.ar.bits.region @[ahb_to_axi4.scala 20:10] + io.axi.ar.bits.addr <= _T.ar.bits.addr @[ahb_to_axi4.scala 20:10] + io.axi.ar.bits.id <= _T.ar.bits.id @[ahb_to_axi4.scala 20:10] + io.axi.ar.valid <= _T.ar.valid @[ahb_to_axi4.scala 20:10] + _T.ar.ready <= io.axi.ar.ready @[ahb_to_axi4.scala 20:10] + _T.b.bits.id <= io.axi.b.bits.id @[ahb_to_axi4.scala 20:10] + _T.b.bits.resp <= io.axi.b.bits.resp @[ahb_to_axi4.scala 20:10] + _T.b.valid <= io.axi.b.valid @[ahb_to_axi4.scala 20:10] + io.axi.b.ready <= _T.b.ready @[ahb_to_axi4.scala 20:10] + io.axi.w.bits.last <= _T.w.bits.last @[ahb_to_axi4.scala 20:10] + io.axi.w.bits.strb <= _T.w.bits.strb @[ahb_to_axi4.scala 20:10] + io.axi.w.bits.data <= _T.w.bits.data @[ahb_to_axi4.scala 20:10] + io.axi.w.valid <= _T.w.valid @[ahb_to_axi4.scala 20:10] + _T.w.ready <= io.axi.w.ready @[ahb_to_axi4.scala 20:10] + io.axi.aw.bits.qos <= _T.aw.bits.qos @[ahb_to_axi4.scala 20:10] + io.axi.aw.bits.prot <= _T.aw.bits.prot @[ahb_to_axi4.scala 20:10] + io.axi.aw.bits.cache <= _T.aw.bits.cache @[ahb_to_axi4.scala 20:10] + io.axi.aw.bits.lock <= _T.aw.bits.lock @[ahb_to_axi4.scala 20:10] + io.axi.aw.bits.burst <= _T.aw.bits.burst @[ahb_to_axi4.scala 20:10] + io.axi.aw.bits.size <= _T.aw.bits.size @[ahb_to_axi4.scala 20:10] + io.axi.aw.bits.len <= _T.aw.bits.len @[ahb_to_axi4.scala 20:10] + io.axi.aw.bits.region <= _T.aw.bits.region @[ahb_to_axi4.scala 20:10] + io.axi.aw.bits.addr <= _T.aw.bits.addr @[ahb_to_axi4.scala 20:10] + io.axi.aw.bits.id <= _T.aw.bits.id @[ahb_to_axi4.scala 20:10] + io.axi.aw.valid <= _T.aw.valid @[ahb_to_axi4.scala 20:10] + _T.aw.ready <= io.axi.aw.ready @[ahb_to_axi4.scala 20:10] + wire master_wstrb : UInt<8> + master_wstrb <= UInt<8>("h00") + wire buf_state_en : UInt<1> + buf_state_en <= UInt<1>("h00") + wire buf_read_error_in : UInt<1> + buf_read_error_in <= UInt<1>("h00") + wire buf_read_error : UInt<1> + buf_read_error <= UInt<1>("h00") + wire buf_rdata : UInt<64> + buf_rdata <= UInt<64>("h00") + wire ahb_hready : UInt<1> + ahb_hready <= UInt<1>("h00") + wire ahb_hready_q : UInt<1> + ahb_hready_q <= UInt<1>("h00") + wire ahb_htrans_in : UInt<2> + ahb_htrans_in <= UInt<2>("h00") + wire ahb_htrans_q : UInt<2> + ahb_htrans_q <= UInt<2>("h00") + wire ahb_hsize_q : UInt<3> + ahb_hsize_q <= UInt<3>("h00") + wire ahb_hwrite_q : UInt<1> + ahb_hwrite_q <= UInt<1>("h00") + wire ahb_haddr_q : UInt<32> + ahb_haddr_q <= UInt<32>("h00") + wire ahb_hwdata_q : UInt<64> + ahb_hwdata_q <= UInt<64>("h00") + wire ahb_hresp_q : UInt<1> + ahb_hresp_q <= UInt<1>("h00") + wire buf_rdata_en : UInt<1> + buf_rdata_en <= UInt<1>("h00") + wire ahb_bus_addr_clk_en : UInt<1> + ahb_bus_addr_clk_en <= UInt<1>("h00") + wire buf_rdata_clk_en : UInt<1> + buf_rdata_clk_en <= UInt<1>("h00") + wire ahb_clk : Clock @[ahb_to_axi4.scala 43:33] + wire ahb_addr_clk : Clock @[ahb_to_axi4.scala 44:33] + wire buf_rdata_clk : Clock @[ahb_to_axi4.scala 45:33] + wire cmdbuf_wr_en : UInt<1> + cmdbuf_wr_en <= UInt<1>("h00") + wire cmdbuf_rst : UInt<1> + cmdbuf_rst <= UInt<1>("h00") + wire cmdbuf_full : UInt<1> + cmdbuf_full <= UInt<1>("h00") + wire cmdbuf_vld : UInt<1> + cmdbuf_vld <= UInt<1>("h00") + wire cmdbuf_write : UInt<1> + cmdbuf_write <= UInt<1>("h00") + wire cmdbuf_size : UInt<2> + cmdbuf_size <= UInt<2>("h00") + wire cmdbuf_wstrb : UInt<8> + cmdbuf_wstrb <= UInt<8>("h00") + wire cmdbuf_addr : UInt<32> + cmdbuf_addr <= UInt<32>("h00") + wire cmdbuf_wdata : UInt<64> + cmdbuf_wdata <= UInt<64>("h00") + wire bus_clk : Clock @[ahb_to_axi4.scala 57:33] + node _T_1 = bits(ahb_haddr_q, 31, 28) @[lib.scala 84:25] + node ahb_addr_in_dccm_region_nc = eq(_T_1, UInt<4>("h0f")) @[lib.scala 84:47] + node _T_2 = bits(ahb_haddr_q, 31, 16) @[lib.scala 87:14] + node ahb_addr_in_dccm = eq(_T_2, UInt<16>("h0f004")) @[lib.scala 87:29] + node _T_3 = bits(ahb_haddr_q, 31, 28) @[lib.scala 84:25] + node ahb_addr_in_iccm_region_nc = eq(_T_3, UInt<4>("h0e")) @[lib.scala 84:47] + node _T_4 = bits(ahb_haddr_q, 31, 16) @[lib.scala 87:14] + node ahb_addr_in_iccm = eq(_T_4, UInt<16>("h0ee00")) @[lib.scala 87:29] + node _T_5 = bits(ahb_haddr_q, 31, 28) @[lib.scala 84:25] + node ahb_addr_in_pic_region_nc = eq(_T_5, UInt<4>("h0f")) @[lib.scala 84:47] + node _T_6 = bits(ahb_haddr_q, 31, 15) @[lib.scala 87:14] + node ahb_addr_in_pic = eq(_T_6, UInt<17>("h01e018")) @[lib.scala 87:29] + wire buf_state : UInt<2> + buf_state <= UInt<2>("h00") + wire buf_nxtstate : UInt<2> + buf_nxtstate <= UInt<2>("h00") + buf_nxtstate <= UInt<2>("h00") @[ahb_to_axi4.scala 67:31] + buf_state_en <= UInt<1>("h00") @[ahb_to_axi4.scala 68:31] + buf_rdata_en <= UInt<1>("h00") @[ahb_to_axi4.scala 69:31] + buf_read_error_in <= UInt<1>("h00") @[ahb_to_axi4.scala 70:31] + cmdbuf_wr_en <= UInt<1>("h00") @[ahb_to_axi4.scala 71:31] + node _T_7 = eq(UInt<2>("h00"), buf_state) @[Conditional.scala 37:30] + when _T_7 : @[Conditional.scala 40:58] + node _T_8 = mux(io.ahb.sig.out.hwrite, UInt<2>("h01"), UInt<2>("h02")) @[ahb_to_axi4.scala 75:26] + buf_nxtstate <= _T_8 @[ahb_to_axi4.scala 75:20] + node _T_9 = bits(io.ahb.sig.out.htrans, 1, 1) @[ahb_to_axi4.scala 76:57] + node _T_10 = and(ahb_hready, _T_9) @[ahb_to_axi4.scala 76:34] + node _T_11 = and(_T_10, io.ahb.hsel) @[ahb_to_axi4.scala 76:61] + buf_state_en <= _T_11 @[ahb_to_axi4.scala 76:20] + skip @[Conditional.scala 40:58] + else : @[Conditional.scala 39:67] + node _T_12 = eq(UInt<2>("h01"), buf_state) @[Conditional.scala 37:30] + when _T_12 : @[Conditional.scala 39:67] + node _T_13 = bits(io.ahb.sig.out.htrans, 1, 0) @[ahb_to_axi4.scala 79:72] + node _T_14 = eq(_T_13, UInt<1>("h00")) @[ahb_to_axi4.scala 79:79] + node _T_15 = or(io.ahb.sig.in.hresp, _T_14) @[ahb_to_axi4.scala 79:48] + node _T_16 = eq(io.ahb.hsel, UInt<1>("h00")) @[ahb_to_axi4.scala 79:93] + node _T_17 = or(_T_15, _T_16) @[ahb_to_axi4.scala 79:91] + node _T_18 = bits(_T_17, 0, 0) @[ahb_to_axi4.scala 79:107] + node _T_19 = mux(io.ahb.sig.out.hwrite, UInt<2>("h01"), UInt<2>("h02")) @[ahb_to_axi4.scala 79:124] + node _T_20 = mux(_T_18, UInt<2>("h00"), _T_19) @[ahb_to_axi4.scala 79:26] + buf_nxtstate <= _T_20 @[ahb_to_axi4.scala 79:20] + node _T_21 = eq(cmdbuf_full, UInt<1>("h00")) @[ahb_to_axi4.scala 80:24] + node _T_22 = or(_T_21, io.ahb.sig.in.hresp) @[ahb_to_axi4.scala 80:37] + buf_state_en <= _T_22 @[ahb_to_axi4.scala 80:20] + node _T_23 = eq(cmdbuf_full, UInt<1>("h00")) @[ahb_to_axi4.scala 81:23] + node _T_24 = bits(io.ahb.sig.out.htrans, 1, 0) @[ahb_to_axi4.scala 81:85] + node _T_25 = eq(_T_24, UInt<2>("h01")) @[ahb_to_axi4.scala 81:92] + node _T_26 = and(_T_25, io.ahb.hsel) @[ahb_to_axi4.scala 81:110] + node _T_27 = or(io.ahb.sig.in.hresp, _T_26) @[ahb_to_axi4.scala 81:60] + node _T_28 = eq(_T_27, UInt<1>("h00")) @[ahb_to_axi4.scala 81:38] + node _T_29 = and(_T_23, _T_28) @[ahb_to_axi4.scala 81:36] + cmdbuf_wr_en <= _T_29 @[ahb_to_axi4.scala 81:20] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_30 = eq(UInt<2>("h02"), buf_state) @[Conditional.scala 37:30] + when _T_30 : @[Conditional.scala 39:67] + node _T_31 = mux(io.ahb.sig.in.hresp, UInt<2>("h00"), UInt<2>("h03")) @[ahb_to_axi4.scala 84:26] + buf_nxtstate <= _T_31 @[ahb_to_axi4.scala 84:20] + node _T_32 = eq(cmdbuf_full, UInt<1>("h00")) @[ahb_to_axi4.scala 85:24] + node _T_33 = or(_T_32, io.ahb.sig.in.hresp) @[ahb_to_axi4.scala 85:37] + buf_state_en <= _T_33 @[ahb_to_axi4.scala 85:20] + node _T_34 = eq(io.ahb.sig.in.hresp, UInt<1>("h00")) @[ahb_to_axi4.scala 86:23] + node _T_35 = eq(cmdbuf_full, UInt<1>("h00")) @[ahb_to_axi4.scala 86:46] + node _T_36 = and(_T_34, _T_35) @[ahb_to_axi4.scala 86:44] + cmdbuf_wr_en <= _T_36 @[ahb_to_axi4.scala 86:20] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_37 = eq(UInt<2>("h03"), buf_state) @[Conditional.scala 37:30] + when _T_37 : @[Conditional.scala 39:67] + buf_nxtstate <= UInt<2>("h00") @[ahb_to_axi4.scala 89:20] + node _T_38 = eq(cmdbuf_write, UInt<1>("h00")) @[ahb_to_axi4.scala 90:40] + node _T_39 = and(io.axi.r.valid, _T_38) @[ahb_to_axi4.scala 90:38] + buf_state_en <= _T_39 @[ahb_to_axi4.scala 90:20] + buf_rdata_en <= buf_state_en @[ahb_to_axi4.scala 91:20] + node _T_40 = bits(io.axi.r.bits.resp, 1, 0) @[ahb_to_axi4.scala 92:61] + node _T_41 = orr(_T_40) @[ahb_to_axi4.scala 92:68] + node _T_42 = and(buf_state_en, _T_41) @[ahb_to_axi4.scala 92:41] + buf_read_error_in <= _T_42 @[ahb_to_axi4.scala 92:25] + skip @[Conditional.scala 39:67] + node _T_43 = bits(buf_state_en, 0, 0) @[ahb_to_axi4.scala 95:99] + reg _T_44 : UInt, ahb_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_43 : @[Reg.scala 28:19] + _T_44 <= buf_nxtstate @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_state <= _T_44 @[ahb_to_axi4.scala 95:31] + node _T_45 = bits(ahb_hsize_q, 2, 0) @[ahb_to_axi4.scala 97:54] + node _T_46 = eq(_T_45, UInt<1>("h00")) @[ahb_to_axi4.scala 97:60] + node _T_47 = bits(_T_46, 0, 0) @[Bitwise.scala 72:15] + node _T_48 = mux(_T_47, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_49 = bits(ahb_haddr_q, 2, 0) @[ahb_to_axi4.scala 97:92] + node _T_50 = dshl(UInt<1>("h01"), _T_49) @[ahb_to_axi4.scala 97:78] + node _T_51 = and(_T_48, _T_50) @[ahb_to_axi4.scala 97:70] + node _T_52 = bits(ahb_hsize_q, 2, 0) @[ahb_to_axi4.scala 98:24] + node _T_53 = eq(_T_52, UInt<1>("h01")) @[ahb_to_axi4.scala 98:30] + node _T_54 = bits(_T_53, 0, 0) @[Bitwise.scala 72:15] + node _T_55 = mux(_T_54, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_56 = bits(ahb_haddr_q, 2, 0) @[ahb_to_axi4.scala 98:62] + node _T_57 = dshl(UInt<2>("h03"), _T_56) @[ahb_to_axi4.scala 98:48] + node _T_58 = and(_T_55, _T_57) @[ahb_to_axi4.scala 98:40] + node _T_59 = or(_T_51, _T_58) @[ahb_to_axi4.scala 97:109] + node _T_60 = bits(ahb_hsize_q, 2, 0) @[ahb_to_axi4.scala 99:24] + node _T_61 = eq(_T_60, UInt<2>("h02")) @[ahb_to_axi4.scala 99:30] + node _T_62 = bits(_T_61, 0, 0) @[Bitwise.scala 72:15] + node _T_63 = mux(_T_62, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_64 = bits(ahb_haddr_q, 2, 0) @[ahb_to_axi4.scala 99:62] + node _T_65 = dshl(UInt<4>("h0f"), _T_64) @[ahb_to_axi4.scala 99:48] + node _T_66 = and(_T_63, _T_65) @[ahb_to_axi4.scala 99:40] + node _T_67 = or(_T_59, _T_66) @[ahb_to_axi4.scala 98:79] + node _T_68 = bits(ahb_hsize_q, 2, 0) @[ahb_to_axi4.scala 100:24] + node _T_69 = eq(_T_68, UInt<2>("h03")) @[ahb_to_axi4.scala 100:30] + node _T_70 = bits(_T_69, 0, 0) @[Bitwise.scala 72:15] + node _T_71 = mux(_T_70, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_72 = and(_T_71, UInt<8>("h0ff")) @[ahb_to_axi4.scala 100:40] + node _T_73 = or(_T_67, _T_72) @[ahb_to_axi4.scala 99:79] + master_wstrb <= _T_73 @[ahb_to_axi4.scala 97:31] + node _T_74 = eq(ahb_hready_q, UInt<1>("h00")) @[ahb_to_axi4.scala 103:80] + node _T_75 = and(ahb_hresp_q, _T_74) @[ahb_to_axi4.scala 103:78] + node _T_76 = eq(cmdbuf_full, UInt<1>("h00")) @[ahb_to_axi4.scala 103:98] + node _T_77 = eq(buf_state, UInt<2>("h00")) @[ahb_to_axi4.scala 103:124] + node _T_78 = or(_T_76, _T_77) @[ahb_to_axi4.scala 103:111] + node _T_79 = eq(buf_state, UInt<2>("h02")) @[ahb_to_axi4.scala 103:149] + node _T_80 = eq(buf_state, UInt<2>("h03")) @[ahb_to_axi4.scala 103:168] + node _T_81 = or(_T_79, _T_80) @[ahb_to_axi4.scala 103:156] + node _T_82 = eq(_T_81, UInt<1>("h00")) @[ahb_to_axi4.scala 103:137] + node _T_83 = and(_T_78, _T_82) @[ahb_to_axi4.scala 103:135] + node _T_84 = eq(buf_read_error, UInt<1>("h00")) @[ahb_to_axi4.scala 103:181] + node _T_85 = and(_T_83, _T_84) @[ahb_to_axi4.scala 103:179] + node _T_86 = mux(io.ahb.sig.in.hresp, _T_75, _T_85) @[ahb_to_axi4.scala 103:44] + io.ahb.sig.in.hready <= _T_86 @[ahb_to_axi4.scala 103:38] + node _T_87 = and(io.ahb.sig.in.hready, io.ahb.hreadyin) @[ahb_to_axi4.scala 104:55] + ahb_hready <= _T_87 @[ahb_to_axi4.scala 104:31] + node _T_88 = bits(io.ahb.hsel, 0, 0) @[Bitwise.scala 72:15] + node _T_89 = mux(_T_88, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_90 = bits(io.ahb.sig.out.htrans, 1, 0) @[ahb_to_axi4.scala 105:77] + node _T_91 = and(_T_89, _T_90) @[ahb_to_axi4.scala 105:54] + ahb_htrans_in <= _T_91 @[ahb_to_axi4.scala 105:31] + node _T_92 = bits(buf_rdata, 63, 0) @[ahb_to_axi4.scala 106:50] + io.ahb.sig.in.hrdata <= _T_92 @[ahb_to_axi4.scala 106:38] + node _T_93 = bits(ahb_htrans_q, 1, 0) @[ahb_to_axi4.scala 107:55] + node _T_94 = neq(_T_93, UInt<1>("h00")) @[ahb_to_axi4.scala 107:61] + node _T_95 = neq(buf_state, UInt<2>("h00")) @[ahb_to_axi4.scala 107:83] + node _T_96 = and(_T_94, _T_95) @[ahb_to_axi4.scala 107:70] + node _T_97 = or(ahb_addr_in_dccm, ahb_addr_in_iccm) @[ahb_to_axi4.scala 108:26] + node _T_98 = eq(_T_97, UInt<1>("h00")) @[ahb_to_axi4.scala 108:7] + node _T_99 = and(ahb_addr_in_dccm, ahb_hwrite_q) @[ahb_to_axi4.scala 109:46] + node _T_100 = or(ahb_addr_in_iccm, _T_99) @[ahb_to_axi4.scala 109:26] + node _T_101 = bits(ahb_hsize_q, 1, 0) @[ahb_to_axi4.scala 109:80] + node _T_102 = eq(_T_101, UInt<2>("h02")) @[ahb_to_axi4.scala 109:86] + node _T_103 = bits(ahb_hsize_q, 1, 0) @[ahb_to_axi4.scala 109:109] + node _T_104 = eq(_T_103, UInt<2>("h03")) @[ahb_to_axi4.scala 109:115] + node _T_105 = or(_T_102, _T_104) @[ahb_to_axi4.scala 109:95] + node _T_106 = eq(_T_105, UInt<1>("h00")) @[ahb_to_axi4.scala 109:66] + node _T_107 = and(_T_100, _T_106) @[ahb_to_axi4.scala 109:64] + node _T_108 = or(_T_98, _T_107) @[ahb_to_axi4.scala 108:47] + node _T_109 = bits(ahb_hsize_q, 2, 0) @[ahb_to_axi4.scala 110:20] + node _T_110 = eq(_T_109, UInt<1>("h01")) @[ahb_to_axi4.scala 110:26] + node _T_111 = bits(ahb_haddr_q, 0, 0) @[ahb_to_axi4.scala 110:48] + node _T_112 = and(_T_110, _T_111) @[ahb_to_axi4.scala 110:35] + node _T_113 = or(_T_108, _T_112) @[ahb_to_axi4.scala 109:126] + node _T_114 = bits(ahb_hsize_q, 2, 0) @[ahb_to_axi4.scala 111:20] + node _T_115 = eq(_T_114, UInt<2>("h02")) @[ahb_to_axi4.scala 111:26] + node _T_116 = bits(ahb_haddr_q, 1, 0) @[ahb_to_axi4.scala 111:49] + node _T_117 = orr(_T_116) @[ahb_to_axi4.scala 111:56] + node _T_118 = and(_T_115, _T_117) @[ahb_to_axi4.scala 111:35] + node _T_119 = or(_T_113, _T_118) @[ahb_to_axi4.scala 110:55] + node _T_120 = bits(ahb_hsize_q, 2, 0) @[ahb_to_axi4.scala 112:20] + node _T_121 = eq(_T_120, UInt<2>("h03")) @[ahb_to_axi4.scala 112:26] + node _T_122 = bits(ahb_haddr_q, 2, 0) @[ahb_to_axi4.scala 112:49] + node _T_123 = orr(_T_122) @[ahb_to_axi4.scala 112:56] + node _T_124 = and(_T_121, _T_123) @[ahb_to_axi4.scala 112:35] + node _T_125 = or(_T_119, _T_124) @[ahb_to_axi4.scala 111:61] + node _T_126 = and(_T_96, _T_125) @[ahb_to_axi4.scala 107:94] + node _T_127 = or(_T_126, buf_read_error) @[ahb_to_axi4.scala 112:63] + node _T_128 = eq(ahb_hready_q, UInt<1>("h00")) @[ahb_to_axi4.scala 114:20] + node _T_129 = and(ahb_hresp_q, _T_128) @[ahb_to_axi4.scala 114:18] + node _T_130 = or(_T_127, _T_129) @[ahb_to_axi4.scala 113:20] + io.ahb.sig.in.hresp <= _T_130 @[ahb_to_axi4.scala 107:38] + reg _T_131 : UInt, buf_rdata_clk with : (reset => (reset, UInt<1>("h00"))) @[ahb_to_axi4.scala 117:66] + _T_131 <= io.axi.r.bits.data @[ahb_to_axi4.scala 117:66] + buf_rdata <= _T_131 @[ahb_to_axi4.scala 117:31] + reg _T_132 : UInt<1>, ahb_clk with : (reset => (reset, UInt<1>("h00"))) @[ahb_to_axi4.scala 118:60] + _T_132 <= buf_read_error_in @[ahb_to_axi4.scala 118:60] + buf_read_error <= _T_132 @[ahb_to_axi4.scala 118:31] + reg _T_133 : UInt<1>, ahb_clk with : (reset => (reset, UInt<1>("h00"))) @[ahb_to_axi4.scala 121:60] + _T_133 <= io.ahb.sig.in.hresp @[ahb_to_axi4.scala 121:60] + ahb_hresp_q <= _T_133 @[ahb_to_axi4.scala 121:31] + reg _T_134 : UInt<1>, ahb_clk with : (reset => (reset, UInt<1>("h00"))) @[ahb_to_axi4.scala 122:60] + _T_134 <= ahb_hready @[ahb_to_axi4.scala 122:60] + ahb_hready_q <= _T_134 @[ahb_to_axi4.scala 122:31] + reg _T_135 : UInt, ahb_clk with : (reset => (reset, UInt<1>("h00"))) @[ahb_to_axi4.scala 123:60] + _T_135 <= ahb_htrans_in @[ahb_to_axi4.scala 123:60] + ahb_htrans_q <= _T_135 @[ahb_to_axi4.scala 123:31] + reg _T_136 : UInt, ahb_addr_clk with : (reset => (reset, UInt<1>("h00"))) @[ahb_to_axi4.scala 124:65] + _T_136 <= io.ahb.sig.out.hsize @[ahb_to_axi4.scala 124:65] + ahb_hsize_q <= _T_136 @[ahb_to_axi4.scala 124:31] + reg _T_137 : UInt<1>, ahb_addr_clk with : (reset => (reset, UInt<1>("h00"))) @[ahb_to_axi4.scala 125:65] + _T_137 <= io.ahb.sig.out.hwrite @[ahb_to_axi4.scala 125:65] + ahb_hwrite_q <= _T_137 @[ahb_to_axi4.scala 125:31] + reg _T_138 : UInt, ahb_addr_clk with : (reset => (reset, UInt<1>("h00"))) @[ahb_to_axi4.scala 126:65] + _T_138 <= io.ahb.sig.out.haddr @[ahb_to_axi4.scala 126:65] + ahb_haddr_q <= _T_138 @[ahb_to_axi4.scala 126:31] + node _T_139 = bits(io.ahb.sig.out.htrans, 1, 1) @[ahb_to_axi4.scala 129:85] + node _T_140 = and(ahb_hready, _T_139) @[ahb_to_axi4.scala 129:62] + node _T_141 = and(io.bus_clk_en, _T_140) @[ahb_to_axi4.scala 129:48] + ahb_bus_addr_clk_en <= _T_141 @[ahb_to_axi4.scala 129:31] + node _T_142 = and(io.bus_clk_en, buf_rdata_en) @[ahb_to_axi4.scala 130:48] + buf_rdata_clk_en <= _T_142 @[ahb_to_axi4.scala 130:31] + inst rvclkhdr of rvclkhdr @[lib.scala 343:22] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 344:17] + rvclkhdr.io.en <= io.bus_clk_en @[lib.scala 345:16] + rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + ahb_clk <= rvclkhdr.io.l1clk @[ahb_to_axi4.scala 132:31] + inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 343:22] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_1.io.en <= ahb_bus_addr_clk_en @[lib.scala 345:16] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + ahb_addr_clk <= rvclkhdr_1.io.l1clk @[ahb_to_axi4.scala 133:31] + inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 343:22] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_2.io.en <= buf_rdata_clk_en @[lib.scala 345:16] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + buf_rdata_clk <= rvclkhdr_2.io.l1clk @[ahb_to_axi4.scala 134:31] + node _T_143 = and(io.axi.aw.valid, io.axi.aw.ready) @[ahb_to_axi4.scala 136:53] + node _T_144 = and(io.axi.ar.valid, io.axi.ar.ready) @[ahb_to_axi4.scala 136:91] + node _T_145 = or(_T_143, _T_144) @[ahb_to_axi4.scala 136:72] + node _T_146 = eq(cmdbuf_wr_en, UInt<1>("h00")) @[ahb_to_axi4.scala 136:113] + node _T_147 = and(_T_145, _T_146) @[ahb_to_axi4.scala 136:111] + node _T_148 = eq(cmdbuf_write, UInt<1>("h00")) @[ahb_to_axi4.scala 136:153] + node _T_149 = and(io.ahb.sig.in.hresp, _T_148) @[ahb_to_axi4.scala 136:151] + node _T_150 = or(_T_147, _T_149) @[ahb_to_axi4.scala 136:128] + cmdbuf_rst <= _T_150 @[ahb_to_axi4.scala 136:31] + node _T_151 = and(io.axi.aw.valid, io.axi.aw.ready) @[ahb_to_axi4.scala 137:67] + node _T_152 = and(io.axi.ar.valid, io.axi.ar.ready) @[ahb_to_axi4.scala 137:105] + node _T_153 = or(_T_151, _T_152) @[ahb_to_axi4.scala 137:86] + node _T_154 = eq(_T_153, UInt<1>("h00")) @[ahb_to_axi4.scala 137:48] + node _T_155 = and(cmdbuf_vld, _T_154) @[ahb_to_axi4.scala 137:46] + cmdbuf_full <= _T_155 @[ahb_to_axi4.scala 137:31] + node _T_156 = bits(cmdbuf_wr_en, 0, 0) @[ahb_to_axi4.scala 139:86] + node _T_157 = mux(_T_156, UInt<1>("h01"), cmdbuf_vld) @[ahb_to_axi4.scala 139:66] + node _T_158 = eq(cmdbuf_rst, UInt<1>("h00")) @[ahb_to_axi4.scala 139:110] + node _T_159 = and(_T_157, _T_158) @[ahb_to_axi4.scala 139:108] + reg _T_160 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[ahb_to_axi4.scala 139:61] + _T_160 <= _T_159 @[ahb_to_axi4.scala 139:61] + cmdbuf_vld <= _T_160 @[ahb_to_axi4.scala 139:31] + node _T_161 = bits(cmdbuf_wr_en, 0, 0) @[ahb_to_axi4.scala 143:53] + reg _T_162 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_161 : @[Reg.scala 28:19] + _T_162 <= ahb_hwrite_q @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + cmdbuf_write <= _T_162 @[ahb_to_axi4.scala 142:31] + node _T_163 = bits(cmdbuf_wr_en, 0, 0) @[ahb_to_axi4.scala 146:52] + reg _T_164 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_163 : @[Reg.scala 28:19] + _T_164 <= ahb_hsize_q @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + cmdbuf_size <= _T_164 @[ahb_to_axi4.scala 145:31] + node _T_165 = bits(cmdbuf_wr_en, 0, 0) @[ahb_to_axi4.scala 149:53] + reg _T_166 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_165 : @[Reg.scala 28:19] + _T_166 <= master_wstrb @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + cmdbuf_wstrb <= _T_166 @[ahb_to_axi4.scala 148:31] + node _T_167 = bits(cmdbuf_wr_en, 0, 0) @[ahb_to_axi4.scala 152:57] + inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 368:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= bus_clk @[lib.scala 370:18] + rvclkhdr_3.io.en <= _T_167 @[lib.scala 371:17] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_168 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_168 <= ahb_haddr_q @[lib.scala 374:16] + cmdbuf_addr <= _T_168 @[ahb_to_axi4.scala 152:15] + node _T_169 = bits(cmdbuf_wr_en, 0, 0) @[ahb_to_axi4.scala 153:68] + inst rvclkhdr_4 of rvclkhdr_4 @[lib.scala 368:23] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= bus_clk @[lib.scala 370:18] + rvclkhdr_4.io.en <= _T_169 @[lib.scala 371:17] + rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_170 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_170 <= io.ahb.sig.out.hwdata @[lib.scala 374:16] + cmdbuf_wdata <= _T_170 @[ahb_to_axi4.scala 153:16] + node _T_171 = and(cmdbuf_vld, cmdbuf_write) @[ahb_to_axi4.scala 156:42] + io.axi.aw.valid <= _T_171 @[ahb_to_axi4.scala 156:28] + node _T_172 = mux(UInt<1>("h00"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + io.axi.aw.bits.id <= _T_172 @[ahb_to_axi4.scala 157:33] + io.axi.aw.bits.addr <= cmdbuf_addr @[ahb_to_axi4.scala 158:33] + node _T_173 = bits(cmdbuf_size, 1, 0) @[ahb_to_axi4.scala 159:59] + node _T_174 = cat(UInt<1>("h00"), _T_173) @[Cat.scala 29:58] + io.axi.aw.bits.size <= _T_174 @[ahb_to_axi4.scala 159:33] + node _T_175 = mux(UInt<1>("h00"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + io.axi.aw.bits.prot <= _T_175 @[ahb_to_axi4.scala 160:33] + node _T_176 = mux(UInt<1>("h00"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + io.axi.aw.bits.len <= _T_176 @[ahb_to_axi4.scala 161:33] + io.axi.aw.bits.burst <= UInt<1>("h01") @[ahb_to_axi4.scala 162:33] + node _T_177 = and(cmdbuf_vld, cmdbuf_write) @[ahb_to_axi4.scala 164:42] + io.axi.w.valid <= _T_177 @[ahb_to_axi4.scala 164:28] + io.axi.w.bits.data <= cmdbuf_wdata @[ahb_to_axi4.scala 165:33] + io.axi.w.bits.strb <= cmdbuf_wstrb @[ahb_to_axi4.scala 166:33] + io.axi.w.bits.last <= UInt<1>("h01") @[ahb_to_axi4.scala 167:33] + io.axi.b.ready <= UInt<1>("h01") @[ahb_to_axi4.scala 169:28] + node _T_178 = eq(cmdbuf_write, UInt<1>("h00")) @[ahb_to_axi4.scala 171:44] + node _T_179 = and(cmdbuf_vld, _T_178) @[ahb_to_axi4.scala 171:42] + io.axi.ar.valid <= _T_179 @[ahb_to_axi4.scala 171:28] + node _T_180 = mux(UInt<1>("h00"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + io.axi.ar.bits.id <= _T_180 @[ahb_to_axi4.scala 172:33] + io.axi.ar.bits.addr <= cmdbuf_addr @[ahb_to_axi4.scala 173:33] + node _T_181 = bits(cmdbuf_size, 1, 0) @[ahb_to_axi4.scala 174:59] + node _T_182 = cat(UInt<1>("h00"), _T_181) @[Cat.scala 29:58] + io.axi.ar.bits.size <= _T_182 @[ahb_to_axi4.scala 174:33] + node _T_183 = mux(UInt<1>("h00"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + io.axi.ar.bits.prot <= _T_183 @[ahb_to_axi4.scala 175:33] + node _T_184 = mux(UInt<1>("h00"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + io.axi.ar.bits.len <= _T_184 @[ahb_to_axi4.scala 176:33] + io.axi.ar.bits.burst <= UInt<1>("h01") @[ahb_to_axi4.scala 177:33] + io.axi.r.ready <= UInt<1>("h01") @[ahb_to_axi4.scala 179:28] + inst rvclkhdr_5 of rvclkhdr_5 @[lib.scala 343:22] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_5.io.en <= io.bus_clk_en @[lib.scala 345:16] + rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + bus_clk <= rvclkhdr_5.io.l1clk @[ahb_to_axi4.scala 180:27] + diff --git a/ahb_to_axi4.v b/ahb_to_axi4.v new file mode 100644 index 00000000..15171f99 --- /dev/null +++ b/ahb_to_axi4.v @@ -0,0 +1,584 @@ +module rvclkhdr( + output io_l1clk, + input io_clk, + input io_en, + input io_scan_mode +); + wire clkhdr_Q; // @[lib.scala 334:26] + wire clkhdr_CK; // @[lib.scala 334:26] + wire clkhdr_EN; // @[lib.scala 334:26] + wire clkhdr_SE; // @[lib.scala 334:26] + gated_latch clkhdr ( // @[lib.scala 334:26] + .Q(clkhdr_Q), + .CK(clkhdr_CK), + .EN(clkhdr_EN), + .SE(clkhdr_SE) + ); + assign io_l1clk = clkhdr_Q; // @[lib.scala 335:14] + assign clkhdr_CK = io_clk; // @[lib.scala 336:18] + assign clkhdr_EN = io_en; // @[lib.scala 337:18] + assign clkhdr_SE = io_scan_mode; // @[lib.scala 338:18] +endmodule +module ahb_to_axi4( + input clock, + input reset, + input io_scan_mode, + input io_bus_clk_en, + input io_clk_override, + input io_axi_aw_ready, + output io_axi_aw_valid, + output [2:0] io_axi_aw_bits_id, + output [31:0] io_axi_aw_bits_addr, + output [3:0] io_axi_aw_bits_region, + output [7:0] io_axi_aw_bits_len, + output [2:0] io_axi_aw_bits_size, + output [1:0] io_axi_aw_bits_burst, + output io_axi_aw_bits_lock, + output [3:0] io_axi_aw_bits_cache, + output [2:0] io_axi_aw_bits_prot, + output [3:0] io_axi_aw_bits_qos, + input io_axi_w_ready, + output io_axi_w_valid, + output [63:0] io_axi_w_bits_data, + output [7:0] io_axi_w_bits_strb, + output io_axi_w_bits_last, + output io_axi_b_ready, + input io_axi_b_valid, + input [1:0] io_axi_b_bits_resp, + input [2:0] io_axi_b_bits_id, + input io_axi_ar_ready, + output io_axi_ar_valid, + output [2:0] io_axi_ar_bits_id, + output [31:0] io_axi_ar_bits_addr, + output [3:0] io_axi_ar_bits_region, + output [7:0] io_axi_ar_bits_len, + output [2:0] io_axi_ar_bits_size, + output [1:0] io_axi_ar_bits_burst, + output io_axi_ar_bits_lock, + output [3:0] io_axi_ar_bits_cache, + output [2:0] io_axi_ar_bits_prot, + output [3:0] io_axi_ar_bits_qos, + output io_axi_r_ready, + input io_axi_r_valid, + input [2:0] io_axi_r_bits_id, + input [63:0] io_axi_r_bits_data, + input [1:0] io_axi_r_bits_resp, + input io_axi_r_bits_last, + output [63:0] io_ahb_sig_in_hrdata, + output io_ahb_sig_in_hready, + output io_ahb_sig_in_hresp, + input [31:0] io_ahb_sig_out_haddr, + input [2:0] io_ahb_sig_out_hburst, + input io_ahb_sig_out_hmastlock, + input [3:0] io_ahb_sig_out_hprot, + input [2:0] io_ahb_sig_out_hsize, + input [1:0] io_ahb_sig_out_htrans, + input io_ahb_sig_out_hwrite, + input [63:0] io_ahb_sig_out_hwdata, + input io_ahb_hsel, + input io_ahb_hreadyin +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [63:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; + reg [31:0] _RAND_11; + reg [31:0] _RAND_12; + reg [31:0] _RAND_13; + reg [63:0] _RAND_14; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_io_en; // @[lib.scala 343:22] + wire rvclkhdr_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_1_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_1_io_en; // @[lib.scala 343:22] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_2_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_2_io_en; // @[lib.scala 343:22] + wire rvclkhdr_2_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_en; // @[lib.scala 368:23] + wire rvclkhdr_3_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_4_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_en; // @[lib.scala 368:23] + wire rvclkhdr_4_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_5_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_5_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_5_io_en; // @[lib.scala 343:22] + wire rvclkhdr_5_io_scan_mode; // @[lib.scala 343:22] + wire ahb_addr_clk = rvclkhdr_1_io_l1clk; // @[ahb_to_axi4.scala 44:33 ahb_to_axi4.scala 133:31] + reg [31:0] ahb_haddr_q; // @[ahb_to_axi4.scala 126:65] + wire ahb_addr_in_dccm = ahb_haddr_q[31:16] == 16'hf004; // @[lib.scala 87:29] + wire ahb_addr_in_iccm = ahb_haddr_q[31:16] == 16'hee00; // @[lib.scala 87:29] + wire ahb_clk = rvclkhdr_io_l1clk; // @[ahb_to_axi4.scala 43:33 ahb_to_axi4.scala 132:31] + reg [1:0] buf_state; // @[Reg.scala 27:20] + wire _T_7 = 2'h0 == buf_state; // @[Conditional.scala 37:30] + wire ahb_hready = io_ahb_sig_in_hready & io_ahb_hreadyin; // @[ahb_to_axi4.scala 104:55] + wire _T_10 = ahb_hready & io_ahb_sig_out_htrans[1]; // @[ahb_to_axi4.scala 76:34] + wire _T_11 = _T_10 & io_ahb_hsel; // @[ahb_to_axi4.scala 76:61] + wire _T_12 = 2'h1 == buf_state; // @[Conditional.scala 37:30] + wire _T_14 = io_ahb_sig_out_htrans == 2'h0; // @[ahb_to_axi4.scala 79:79] + wire _T_15 = io_ahb_sig_in_hresp | _T_14; // @[ahb_to_axi4.scala 79:48] + wire _T_16 = ~io_ahb_hsel; // @[ahb_to_axi4.scala 79:93] + wire _T_17 = _T_15 | _T_16; // @[ahb_to_axi4.scala 79:91] + wire bus_clk = rvclkhdr_5_io_l1clk; // @[ahb_to_axi4.scala 57:33 ahb_to_axi4.scala 180:27] + reg cmdbuf_vld; // @[ahb_to_axi4.scala 139:61] + wire _T_151 = io_axi_aw_valid & io_axi_aw_ready; // @[ahb_to_axi4.scala 137:67] + wire _T_152 = io_axi_ar_valid & io_axi_ar_ready; // @[ahb_to_axi4.scala 137:105] + wire _T_153 = _T_151 | _T_152; // @[ahb_to_axi4.scala 137:86] + wire _T_154 = ~_T_153; // @[ahb_to_axi4.scala 137:48] + wire cmdbuf_full = cmdbuf_vld & _T_154; // @[ahb_to_axi4.scala 137:46] + wire _T_21 = ~cmdbuf_full; // @[ahb_to_axi4.scala 80:24] + wire _T_22 = _T_21 | io_ahb_sig_in_hresp; // @[ahb_to_axi4.scala 80:37] + wire _T_25 = io_ahb_sig_out_htrans == 2'h1; // @[ahb_to_axi4.scala 81:92] + wire _T_26 = _T_25 & io_ahb_hsel; // @[ahb_to_axi4.scala 81:110] + wire _T_27 = io_ahb_sig_in_hresp | _T_26; // @[ahb_to_axi4.scala 81:60] + wire _T_28 = ~_T_27; // @[ahb_to_axi4.scala 81:38] + wire _T_29 = _T_21 & _T_28; // @[ahb_to_axi4.scala 81:36] + wire _T_30 = 2'h2 == buf_state; // @[Conditional.scala 37:30] + wire _T_34 = ~io_ahb_sig_in_hresp; // @[ahb_to_axi4.scala 86:23] + wire _T_36 = _T_34 & _T_21; // @[ahb_to_axi4.scala 86:44] + wire _T_37 = 2'h3 == buf_state; // @[Conditional.scala 37:30] + reg cmdbuf_write; // @[Reg.scala 27:20] + wire _T_38 = ~cmdbuf_write; // @[ahb_to_axi4.scala 90:40] + wire _T_39 = io_axi_r_valid & _T_38; // @[ahb_to_axi4.scala 90:38] + wire _T_41 = |io_axi_r_bits_resp; // @[ahb_to_axi4.scala 92:68] + wire _GEN_1 = _T_37 & _T_39; // @[Conditional.scala 39:67] + wire _GEN_5 = _T_30 ? _T_22 : _GEN_1; // @[Conditional.scala 39:67] + wire _GEN_10 = _T_12 ? _T_22 : _GEN_5; // @[Conditional.scala 39:67] + wire buf_state_en = _T_7 ? _T_11 : _GEN_10; // @[Conditional.scala 40:58] + wire _T_42 = buf_state_en & _T_41; // @[ahb_to_axi4.scala 92:41] + wire _GEN_2 = _T_37 & buf_state_en; // @[Conditional.scala 39:67] + wire _GEN_3 = _T_37 & _T_42; // @[Conditional.scala 39:67] + wire _GEN_6 = _T_30 & _T_36; // @[Conditional.scala 39:67] + wire _GEN_7 = _T_30 ? 1'h0 : _GEN_2; // @[Conditional.scala 39:67] + wire _GEN_11 = _T_12 ? _T_29 : _GEN_6; // @[Conditional.scala 39:67] + wire _GEN_12 = _T_12 ? 1'h0 : _GEN_7; // @[Conditional.scala 39:67] + wire cmdbuf_wr_en = _T_7 ? 1'h0 : _GEN_11; // @[Conditional.scala 40:58] + wire buf_rdata_en = _T_7 ? 1'h0 : _GEN_12; // @[Conditional.scala 40:58] + reg [2:0] ahb_hsize_q; // @[ahb_to_axi4.scala 124:65] + wire _T_46 = ahb_hsize_q == 3'h0; // @[ahb_to_axi4.scala 97:60] + wire [7:0] _T_48 = _T_46 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_50 = 8'h1 << ahb_haddr_q[2:0]; // @[ahb_to_axi4.scala 97:78] + wire [7:0] _T_51 = _T_48 & _T_50; // @[ahb_to_axi4.scala 97:70] + wire _T_53 = ahb_hsize_q == 3'h1; // @[ahb_to_axi4.scala 98:30] + wire [7:0] _T_55 = _T_53 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [8:0] _T_57 = 9'h3 << ahb_haddr_q[2:0]; // @[ahb_to_axi4.scala 98:48] + wire [8:0] _GEN_23 = {{1'd0}, _T_55}; // @[ahb_to_axi4.scala 98:40] + wire [8:0] _T_58 = _GEN_23 & _T_57; // @[ahb_to_axi4.scala 98:40] + wire [8:0] _GEN_24 = {{1'd0}, _T_51}; // @[ahb_to_axi4.scala 97:109] + wire [8:0] _T_59 = _GEN_24 | _T_58; // @[ahb_to_axi4.scala 97:109] + wire _T_61 = ahb_hsize_q == 3'h2; // @[ahb_to_axi4.scala 99:30] + wire [7:0] _T_63 = _T_61 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [10:0] _T_65 = 11'hf << ahb_haddr_q[2:0]; // @[ahb_to_axi4.scala 99:48] + wire [10:0] _GEN_25 = {{3'd0}, _T_63}; // @[ahb_to_axi4.scala 99:40] + wire [10:0] _T_66 = _GEN_25 & _T_65; // @[ahb_to_axi4.scala 99:40] + wire [10:0] _GEN_26 = {{2'd0}, _T_59}; // @[ahb_to_axi4.scala 98:79] + wire [10:0] _T_67 = _GEN_26 | _T_66; // @[ahb_to_axi4.scala 98:79] + wire _T_69 = ahb_hsize_q == 3'h3; // @[ahb_to_axi4.scala 100:30] + wire [7:0] _T_71 = _T_69 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [10:0] _GEN_27 = {{3'd0}, _T_71}; // @[ahb_to_axi4.scala 99:79] + wire [10:0] _T_73 = _T_67 | _GEN_27; // @[ahb_to_axi4.scala 99:79] + reg ahb_hready_q; // @[ahb_to_axi4.scala 122:60] + wire _T_74 = ~ahb_hready_q; // @[ahb_to_axi4.scala 103:80] + reg ahb_hresp_q; // @[ahb_to_axi4.scala 121:60] + wire _T_75 = ahb_hresp_q & _T_74; // @[ahb_to_axi4.scala 103:78] + wire _T_77 = buf_state == 2'h0; // @[ahb_to_axi4.scala 103:124] + wire _T_78 = _T_21 | _T_77; // @[ahb_to_axi4.scala 103:111] + wire _T_79 = buf_state == 2'h2; // @[ahb_to_axi4.scala 103:149] + wire _T_80 = buf_state == 2'h3; // @[ahb_to_axi4.scala 103:168] + wire _T_81 = _T_79 | _T_80; // @[ahb_to_axi4.scala 103:156] + wire _T_82 = ~_T_81; // @[ahb_to_axi4.scala 103:137] + wire _T_83 = _T_78 & _T_82; // @[ahb_to_axi4.scala 103:135] + reg buf_read_error; // @[ahb_to_axi4.scala 118:60] + wire _T_84 = ~buf_read_error; // @[ahb_to_axi4.scala 103:181] + wire _T_85 = _T_83 & _T_84; // @[ahb_to_axi4.scala 103:179] + wire [1:0] _T_89 = io_ahb_hsel ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire buf_rdata_clk = rvclkhdr_2_io_l1clk; // @[ahb_to_axi4.scala 45:33 ahb_to_axi4.scala 134:31] + reg [63:0] buf_rdata; // @[ahb_to_axi4.scala 117:66] + reg [1:0] ahb_htrans_q; // @[ahb_to_axi4.scala 123:60] + wire _T_94 = ahb_htrans_q != 2'h0; // @[ahb_to_axi4.scala 107:61] + wire _T_95 = buf_state != 2'h0; // @[ahb_to_axi4.scala 107:83] + wire _T_96 = _T_94 & _T_95; // @[ahb_to_axi4.scala 107:70] + wire _T_97 = ahb_addr_in_dccm | ahb_addr_in_iccm; // @[ahb_to_axi4.scala 108:26] + wire _T_98 = ~_T_97; // @[ahb_to_axi4.scala 108:7] + reg ahb_hwrite_q; // @[ahb_to_axi4.scala 125:65] + wire _T_99 = ahb_addr_in_dccm & ahb_hwrite_q; // @[ahb_to_axi4.scala 109:46] + wire _T_100 = ahb_addr_in_iccm | _T_99; // @[ahb_to_axi4.scala 109:26] + wire _T_102 = ahb_hsize_q[1:0] == 2'h2; // @[ahb_to_axi4.scala 109:86] + wire _T_104 = ahb_hsize_q[1:0] == 2'h3; // @[ahb_to_axi4.scala 109:115] + wire _T_105 = _T_102 | _T_104; // @[ahb_to_axi4.scala 109:95] + wire _T_106 = ~_T_105; // @[ahb_to_axi4.scala 109:66] + wire _T_107 = _T_100 & _T_106; // @[ahb_to_axi4.scala 109:64] + wire _T_108 = _T_98 | _T_107; // @[ahb_to_axi4.scala 108:47] + wire _T_112 = _T_53 & ahb_haddr_q[0]; // @[ahb_to_axi4.scala 110:35] + wire _T_113 = _T_108 | _T_112; // @[ahb_to_axi4.scala 109:126] + wire _T_117 = |ahb_haddr_q[1:0]; // @[ahb_to_axi4.scala 111:56] + wire _T_118 = _T_61 & _T_117; // @[ahb_to_axi4.scala 111:35] + wire _T_119 = _T_113 | _T_118; // @[ahb_to_axi4.scala 110:55] + wire _T_123 = |ahb_haddr_q[2:0]; // @[ahb_to_axi4.scala 112:56] + wire _T_124 = _T_69 & _T_123; // @[ahb_to_axi4.scala 112:35] + wire _T_125 = _T_119 | _T_124; // @[ahb_to_axi4.scala 111:61] + wire _T_126 = _T_96 & _T_125; // @[ahb_to_axi4.scala 107:94] + wire _T_127 = _T_126 | buf_read_error; // @[ahb_to_axi4.scala 112:63] + wire _T_146 = ~cmdbuf_wr_en; // @[ahb_to_axi4.scala 136:113] + wire _T_147 = _T_153 & _T_146; // @[ahb_to_axi4.scala 136:111] + wire _T_149 = io_ahb_sig_in_hresp & _T_38; // @[ahb_to_axi4.scala 136:151] + wire cmdbuf_rst = _T_147 | _T_149; // @[ahb_to_axi4.scala 136:128] + wire _T_157 = cmdbuf_wr_en | cmdbuf_vld; // @[ahb_to_axi4.scala 139:66] + wire _T_158 = ~cmdbuf_rst; // @[ahb_to_axi4.scala 139:110] + reg [2:0] _T_164; // @[Reg.scala 27:20] + reg [7:0] cmdbuf_wstrb; // @[Reg.scala 27:20] + wire [7:0] master_wstrb = _T_73[7:0]; // @[ahb_to_axi4.scala 97:31] + reg [31:0] cmdbuf_addr; // @[lib.scala 374:16] + reg [63:0] cmdbuf_wdata; // @[lib.scala 374:16] + wire [1:0] cmdbuf_size = _T_164[1:0]; // @[ahb_to_axi4.scala 145:31] + rvclkhdr rvclkhdr ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + rvclkhdr rvclkhdr_4 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_4_io_l1clk), + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en), + .io_scan_mode(rvclkhdr_4_io_scan_mode) + ); + rvclkhdr rvclkhdr_5 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_5_io_l1clk), + .io_clk(rvclkhdr_5_io_clk), + .io_en(rvclkhdr_5_io_en), + .io_scan_mode(rvclkhdr_5_io_scan_mode) + ); + assign io_axi_aw_valid = cmdbuf_vld & cmdbuf_write; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 156:28] + assign io_axi_aw_bits_id = 3'h0; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 157:33] + assign io_axi_aw_bits_addr = cmdbuf_addr; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 158:33] + assign io_axi_aw_bits_region = 4'h0; // @[ahb_to_axi4.scala 20:10] + assign io_axi_aw_bits_len = 8'h0; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 161:33] + assign io_axi_aw_bits_size = {1'h0,cmdbuf_size}; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 159:33] + assign io_axi_aw_bits_burst = 2'h1; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 162:33] + assign io_axi_aw_bits_lock = 1'h0; // @[ahb_to_axi4.scala 20:10] + assign io_axi_aw_bits_cache = 4'h0; // @[ahb_to_axi4.scala 20:10] + assign io_axi_aw_bits_prot = 3'h0; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 160:33] + assign io_axi_aw_bits_qos = 4'h0; // @[ahb_to_axi4.scala 20:10] + assign io_axi_w_valid = cmdbuf_vld & cmdbuf_write; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 164:28] + assign io_axi_w_bits_data = cmdbuf_wdata; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 165:33] + assign io_axi_w_bits_strb = cmdbuf_wstrb; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 166:33] + assign io_axi_w_bits_last = 1'h1; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 167:33] + assign io_axi_b_ready = 1'h1; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 169:28] + assign io_axi_ar_valid = cmdbuf_vld & _T_38; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 171:28] + assign io_axi_ar_bits_id = 3'h0; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 172:33] + assign io_axi_ar_bits_addr = cmdbuf_addr; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 173:33] + assign io_axi_ar_bits_region = 4'h0; // @[ahb_to_axi4.scala 20:10] + assign io_axi_ar_bits_len = 8'h0; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 176:33] + assign io_axi_ar_bits_size = {1'h0,cmdbuf_size}; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 174:33] + assign io_axi_ar_bits_burst = 2'h1; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 177:33] + assign io_axi_ar_bits_lock = 1'h0; // @[ahb_to_axi4.scala 20:10] + assign io_axi_ar_bits_cache = 4'h0; // @[ahb_to_axi4.scala 20:10] + assign io_axi_ar_bits_prot = 3'h0; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 175:33] + assign io_axi_ar_bits_qos = 4'h0; // @[ahb_to_axi4.scala 20:10] + assign io_axi_r_ready = 1'h1; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 179:28] + assign io_ahb_sig_in_hrdata = buf_rdata; // @[ahb_to_axi4.scala 106:38] + assign io_ahb_sig_in_hready = io_ahb_sig_in_hresp ? _T_75 : _T_85; // @[ahb_to_axi4.scala 103:38] + assign io_ahb_sig_in_hresp = _T_127 | _T_75; // @[ahb_to_axi4.scala 107:38] + assign rvclkhdr_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_io_en = io_bus_clk_en; // @[lib.scala 345:16] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_1_io_en = io_bus_clk_en & _T_10; // @[lib.scala 345:16] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_2_io_en = io_bus_clk_en & buf_rdata_en; // @[lib.scala 345:16] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_3_io_clk = rvclkhdr_5_io_l1clk; // @[lib.scala 370:18] + assign rvclkhdr_3_io_en = _T_7 ? 1'h0 : _GEN_11; // @[lib.scala 371:17] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_4_io_clk = rvclkhdr_5_io_l1clk; // @[lib.scala 370:18] + assign rvclkhdr_4_io_en = _T_7 ? 1'h0 : _GEN_11; // @[lib.scala 371:17] + assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_5_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_5_io_en = io_bus_clk_en; // @[lib.scala 345:16] + assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + ahb_haddr_q = _RAND_0[31:0]; + _RAND_1 = {1{`RANDOM}}; + buf_state = _RAND_1[1:0]; + _RAND_2 = {1{`RANDOM}}; + cmdbuf_vld = _RAND_2[0:0]; + _RAND_3 = {1{`RANDOM}}; + cmdbuf_write = _RAND_3[0:0]; + _RAND_4 = {1{`RANDOM}}; + ahb_hsize_q = _RAND_4[2:0]; + _RAND_5 = {1{`RANDOM}}; + ahb_hready_q = _RAND_5[0:0]; + _RAND_6 = {1{`RANDOM}}; + ahb_hresp_q = _RAND_6[0:0]; + _RAND_7 = {1{`RANDOM}}; + buf_read_error = _RAND_7[0:0]; + _RAND_8 = {2{`RANDOM}}; + buf_rdata = _RAND_8[63:0]; + _RAND_9 = {1{`RANDOM}}; + ahb_htrans_q = _RAND_9[1:0]; + _RAND_10 = {1{`RANDOM}}; + ahb_hwrite_q = _RAND_10[0:0]; + _RAND_11 = {1{`RANDOM}}; + _T_164 = _RAND_11[2:0]; + _RAND_12 = {1{`RANDOM}}; + cmdbuf_wstrb = _RAND_12[7:0]; + _RAND_13 = {1{`RANDOM}}; + cmdbuf_addr = _RAND_13[31:0]; + _RAND_14 = {2{`RANDOM}}; + cmdbuf_wdata = _RAND_14[63:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + ahb_haddr_q = 32'h0; + end + if (reset) begin + buf_state = 2'h0; + end + if (reset) begin + cmdbuf_vld = 1'h0; + end + if (reset) begin + cmdbuf_write = 1'h0; + end + if (reset) begin + ahb_hsize_q = 3'h0; + end + if (reset) begin + ahb_hready_q = 1'h0; + end + if (reset) begin + ahb_hresp_q = 1'h0; + end + if (reset) begin + buf_read_error = 1'h0; + end + if (reset) begin + buf_rdata = 64'h0; + end + if (reset) begin + ahb_htrans_q = 2'h0; + end + if (reset) begin + ahb_hwrite_q = 1'h0; + end + if (reset) begin + _T_164 = 3'h0; + end + if (reset) begin + cmdbuf_wstrb = 8'h0; + end + if (reset) begin + cmdbuf_addr = 32'h0; + end + if (reset) begin + cmdbuf_wdata = 64'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge ahb_addr_clk or posedge reset) begin + if (reset) begin + ahb_haddr_q <= 32'h0; + end else begin + ahb_haddr_q <= io_ahb_sig_out_haddr; + end + end + always @(posedge ahb_clk or posedge reset) begin + if (reset) begin + buf_state <= 2'h0; + end else if (buf_state_en) begin + if (_T_7) begin + if (io_ahb_sig_out_hwrite) begin + buf_state <= 2'h1; + end else begin + buf_state <= 2'h2; + end + end else if (_T_12) begin + if (_T_17) begin + buf_state <= 2'h0; + end else if (io_ahb_sig_out_hwrite) begin + buf_state <= 2'h1; + end else begin + buf_state <= 2'h2; + end + end else if (_T_30) begin + if (io_ahb_sig_in_hresp) begin + buf_state <= 2'h0; + end else begin + buf_state <= 2'h3; + end + end else begin + buf_state <= 2'h0; + end + end + end + always @(posedge bus_clk or posedge reset) begin + if (reset) begin + cmdbuf_vld <= 1'h0; + end else begin + cmdbuf_vld <= _T_157 & _T_158; + end + end + always @(posedge bus_clk or posedge reset) begin + if (reset) begin + cmdbuf_write <= 1'h0; + end else if (cmdbuf_wr_en) begin + cmdbuf_write <= ahb_hwrite_q; + end + end + always @(posedge ahb_addr_clk or posedge reset) begin + if (reset) begin + ahb_hsize_q <= 3'h0; + end else begin + ahb_hsize_q <= io_ahb_sig_out_hsize; + end + end + always @(posedge ahb_clk or posedge reset) begin + if (reset) begin + ahb_hready_q <= 1'h0; + end else begin + ahb_hready_q <= io_ahb_sig_in_hready & io_ahb_hreadyin; + end + end + always @(posedge ahb_clk or posedge reset) begin + if (reset) begin + ahb_hresp_q <= 1'h0; + end else begin + ahb_hresp_q <= io_ahb_sig_in_hresp; + end + end + always @(posedge ahb_clk or posedge reset) begin + if (reset) begin + buf_read_error <= 1'h0; + end else if (_T_7) begin + buf_read_error <= 1'h0; + end else if (_T_12) begin + buf_read_error <= 1'h0; + end else if (_T_30) begin + buf_read_error <= 1'h0; + end else begin + buf_read_error <= _GEN_3; + end + end + always @(posedge buf_rdata_clk or posedge reset) begin + if (reset) begin + buf_rdata <= 64'h0; + end else begin + buf_rdata <= io_axi_r_bits_data; + end + end + always @(posedge ahb_clk or posedge reset) begin + if (reset) begin + ahb_htrans_q <= 2'h0; + end else begin + ahb_htrans_q <= _T_89 & io_ahb_sig_out_htrans; + end + end + always @(posedge ahb_addr_clk or posedge reset) begin + if (reset) begin + ahb_hwrite_q <= 1'h0; + end else begin + ahb_hwrite_q <= io_ahb_sig_out_hwrite; + end + end + always @(posedge bus_clk or posedge reset) begin + if (reset) begin + _T_164 <= 3'h0; + end else if (cmdbuf_wr_en) begin + _T_164 <= ahb_hsize_q; + end + end + always @(posedge bus_clk or posedge reset) begin + if (reset) begin + cmdbuf_wstrb <= 8'h0; + end else if (cmdbuf_wr_en) begin + cmdbuf_wstrb <= master_wstrb; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + cmdbuf_addr <= 32'h0; + end else begin + cmdbuf_addr <= ahb_haddr_q; + end + end + always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + if (reset) begin + cmdbuf_wdata <= 64'h0; + end else begin + cmdbuf_wdata <= io_ahb_sig_out_hwdata; + end + end +endmodule diff --git a/axi4_to_ahb.anno.json b/axi4_to_ahb.anno.json new file mode 100644 index 00000000..d41890d1 --- /dev/null +++ b/axi4_to_ahb.anno.json @@ -0,0 +1,113 @@ +[ + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~axi4_to_ahb|axi4_to_ahb>io_axi_w_ready", + "sources":[ + "~axi4_to_ahb|axi4_to_ahb>io_axi_ar_valid", + "~axi4_to_ahb|axi4_to_ahb>io_axi_b_ready", + "~axi4_to_ahb|axi4_to_ahb>io_axi_r_ready" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~axi4_to_ahb|axi4_to_ahb>io_ahb_out_hprot", + "sources":[ + "~axi4_to_ahb|axi4_to_ahb>io_axi_ar_bits_prot" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~axi4_to_ahb|axi4_to_ahb>io_axi_aw_ready", + "sources":[ + "~axi4_to_ahb|axi4_to_ahb>io_axi_ar_valid", + "~axi4_to_ahb|axi4_to_ahb>io_axi_b_ready", + "~axi4_to_ahb|axi4_to_ahb>io_axi_r_ready" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~axi4_to_ahb|axi4_to_ahb>io_ahb_out_haddr", + "sources":[ + "~axi4_to_ahb|axi4_to_ahb>io_axi_ar_bits_addr", + "~axi4_to_ahb|axi4_to_ahb>io_axi_ar_valid", + "~axi4_to_ahb|axi4_to_ahb>io_axi_b_ready", + "~axi4_to_ahb|axi4_to_ahb>io_axi_r_ready" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~axi4_to_ahb|axi4_to_ahb>io_axi_b_valid", + "sources":[ + "~axi4_to_ahb|axi4_to_ahb>io_axi_b_ready", + "~axi4_to_ahb|axi4_to_ahb>io_axi_r_ready", + "~axi4_to_ahb|axi4_to_ahb>io_axi_ar_valid" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~axi4_to_ahb|axi4_to_ahb>io_ahb_out_hsize", + "sources":[ + "~axi4_to_ahb|axi4_to_ahb>io_axi_ar_valid", + "~axi4_to_ahb|axi4_to_ahb>io_axi_ar_bits_size", + "~axi4_to_ahb|axi4_to_ahb>io_axi_b_ready", + "~axi4_to_ahb|axi4_to_ahb>io_axi_r_ready" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~axi4_to_ahb|axi4_to_ahb>io_ahb_out_hwrite", + "sources":[ + "~axi4_to_ahb|axi4_to_ahb>io_axi_ar_valid", + "~axi4_to_ahb|axi4_to_ahb>io_axi_b_ready", + "~axi4_to_ahb|axi4_to_ahb>io_axi_r_ready" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~axi4_to_ahb|axi4_to_ahb>io_ahb_out_htrans", + "sources":[ + "~axi4_to_ahb|axi4_to_ahb>io_axi_ar_valid", + "~axi4_to_ahb|axi4_to_ahb>io_axi_b_ready", + "~axi4_to_ahb|axi4_to_ahb>io_axi_r_ready" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~axi4_to_ahb|axi4_to_ahb>io_axi_r_valid", + "sources":[ + "~axi4_to_ahb|axi4_to_ahb>io_axi_b_ready", + "~axi4_to_ahb|axi4_to_ahb>io_axi_r_ready", + "~axi4_to_ahb|axi4_to_ahb>io_axi_ar_valid" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~axi4_to_ahb|axi4_to_ahb>io_axi_ar_ready", + "sources":[ + "~axi4_to_ahb|axi4_to_ahb>io_axi_ar_valid", + "~axi4_to_ahb|axi4_to_ahb>io_axi_b_ready", + "~axi4_to_ahb|axi4_to_ahb>io_axi_r_ready" + ] + }, + { + "class":"firrtl.EmitCircuitAnnotation", + "emitter":"firrtl.VerilogEmitter" + }, + { + "class":"firrtl.transforms.BlackBoxResourceAnno", + "target":"axi4_to_ahb.gated_latch", + "resourceId":"/vsrc/gated_latch.v" + }, + { + "class":"firrtl.options.TargetDirAnnotation", + "directory":"." + }, + { + "class":"firrtl.options.OutputAnnotationFileAnnotation", + "file":"axi4_to_ahb" + }, + { + "class":"firrtl.transforms.BlackBoxTargetDirAnno", + "targetDir":"." + } +] \ No newline at end of file diff --git a/axi4_to_ahb.fir b/axi4_to_ahb.fir new file mode 100644 index 00000000..0ecf6351 --- /dev/null +++ b/axi4_to_ahb.fir @@ -0,0 +1,1406 @@ +;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 +circuit axi4_to_ahb : + extmodule gated_latch : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_1 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_1 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_1 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_2 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_2 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_2 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_3 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_3 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_3 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_4 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_4 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_4 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_5 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_5 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_5 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_6 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_6 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_6 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_7 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_7 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_7 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_8 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_8 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_8 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_9 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_9 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_9 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module axi4_to_ahb : + input clock : Clock + input reset : AsyncReset + output io : {flip scan_mode : UInt<1>, flip bus_clk_en : UInt<1>, flip clk_override : UInt<1>, flip axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, ahb : {in : {flip hrdata : UInt<64>, flip hready : UInt<1>, flip hresp : UInt<1>}, out : {haddr : UInt<32>, hburst : UInt<3>, hmastlock : UInt<1>, hprot : UInt<4>, hsize : UInt<3>, htrans : UInt<2>, hwrite : UInt<1>, hwdata : UInt<64>}}} + + wire buf_rst : UInt<1> + buf_rst <= UInt<1>("h00") + buf_rst <= UInt<1>("h00") @[axi4_to_ahb.scala 21:11] + io.ahb.out.htrans <= UInt<1>("h00") @[axi4_to_ahb.scala 22:21] + wire buf_state_en : UInt<1> + buf_state_en <= UInt<1>("h00") + wire ahbm_clk : Clock @[axi4_to_ahb.scala 24:22] + wire ahbm_addr_clk : Clock @[axi4_to_ahb.scala 25:27] + wire ahbm_data_clk : Clock @[axi4_to_ahb.scala 26:27] + wire buf_state : UInt<3> + buf_state <= UInt<3>("h00") + wire buf_nxtstate : UInt<3> + buf_nxtstate <= UInt<3>("h00") + node _T = bits(buf_state_en, 0, 0) @[axi4_to_ahb.scala 30:70] + node _T_1 = mux(_T, buf_nxtstate, buf_state) @[axi4_to_ahb.scala 30:50] + node _T_2 = eq(buf_rst, UInt<1>("h00")) @[axi4_to_ahb.scala 30:108] + node _T_3 = bits(_T_2, 0, 0) @[Bitwise.scala 72:15] + node _T_4 = mux(_T_3, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_5 = and(_T_1, _T_4) @[axi4_to_ahb.scala 30:98] + reg _T_6 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 30:45] + _T_6 <= _T_5 @[axi4_to_ahb.scala 30:45] + buf_state <= _T_6 @[axi4_to_ahb.scala 30:13] + wire slave_valid : UInt<1> + slave_valid <= UInt<1>("h00") + wire slave_ready : UInt<1> + slave_ready <= UInt<1>("h00") + wire slave_tag : UInt<3> + slave_tag <= UInt<3>("h00") + wire slave_rdata : UInt<64> + slave_rdata <= UInt<64>("h00") + wire slave_opc : UInt<4> + slave_opc <= UInt<4>("h00") + wire wrbuf_en : UInt<1> + wrbuf_en <= UInt<1>("h00") + wire wrbuf_data_en : UInt<1> + wrbuf_data_en <= UInt<1>("h00") + wire wrbuf_cmd_sent : UInt<1> + wrbuf_cmd_sent <= UInt<1>("h00") + wire wrbuf_rst : UInt<1> + wrbuf_rst <= UInt<1>("h00") + wire wrbuf_vld : UInt<1> + wrbuf_vld <= UInt<1>("h00") + wire wrbuf_data_vld : UInt<1> + wrbuf_data_vld <= UInt<1>("h00") + wire wrbuf_tag : UInt<3> + wrbuf_tag <= UInt<3>("h00") + wire wrbuf_size : UInt<3> + wrbuf_size <= UInt<3>("h00") + wire wrbuf_addr : UInt<32> + wrbuf_addr <= UInt<32>("h00") + wire wrbuf_data : UInt<64> + wrbuf_data <= UInt<64>("h00") + wire wrbuf_byteen : UInt<8> + wrbuf_byteen <= UInt<8>("h00") + wire bus_write_clk_en : UInt<1> + bus_write_clk_en <= UInt<1>("h00") + wire bus_clk : Clock @[axi4_to_ahb.scala 50:21] + wire bus_write_clk : Clock @[axi4_to_ahb.scala 51:27] + wire master_valid : UInt<1> + master_valid <= UInt<1>("h00") + wire master_ready : UInt<1> + master_ready <= UInt<1>("h00") + wire master_tag : UInt<3> + master_tag <= UInt<3>("h00") + wire master_addr : UInt<32> + master_addr <= UInt<32>("h00") + wire master_wdata : UInt<64> + master_wdata <= UInt<64>("h00") + wire master_size : UInt<3> + master_size <= UInt<3>("h00") + wire master_opc : UInt<3> + master_opc <= UInt<3>("h00") + wire master_byteen : UInt<8> + master_byteen <= UInt<8>("h00") + wire buf_addr : UInt<32> + buf_addr <= UInt<32>("h00") + wire buf_size : UInt<2> + buf_size <= UInt<2>("h00") + wire buf_write : UInt<1> + buf_write <= UInt<1>("h00") + wire buf_byteen : UInt<8> + buf_byteen <= UInt<8>("h00") + wire buf_aligned : UInt<1> + buf_aligned <= UInt<1>("h00") + wire buf_data : UInt<64> + buf_data <= UInt<64>("h00") + wire buf_tag : UInt<3> + buf_tag <= UInt<3>("h00") + wire buf_tag_in : UInt<3> + buf_tag_in <= UInt<3>("h00") + wire buf_addr_in : UInt<32> + buf_addr_in <= UInt<32>("h00") + wire buf_byteen_in : UInt<8> + buf_byteen_in <= UInt<8>("h00") + wire buf_data_in : UInt<64> + buf_data_in <= UInt<64>("h00") + wire buf_write_in : UInt<1> + buf_write_in <= UInt<1>("h00") + wire buf_aligned_in : UInt<1> + buf_aligned_in <= UInt<1>("h00") + wire buf_size_in : UInt<3> + buf_size_in <= UInt<3>("h00") + wire buf_wr_en : UInt<1> + buf_wr_en <= UInt<1>("h00") + wire buf_data_wr_en : UInt<1> + buf_data_wr_en <= UInt<1>("h00") + wire slvbuf_error_en : UInt<1> + slvbuf_error_en <= UInt<1>("h00") + wire wr_cmd_vld : UInt<1> + wr_cmd_vld <= UInt<1>("h00") + wire cmd_done_rst : UInt<1> + cmd_done_rst <= UInt<1>("h00") + wire cmd_done : UInt<1> + cmd_done <= UInt<1>("h00") + wire cmd_doneQ : UInt<1> + cmd_doneQ <= UInt<1>("h00") + wire trxn_done : UInt<1> + trxn_done <= UInt<1>("h00") + wire buf_cmd_byte_ptr : UInt<3> + buf_cmd_byte_ptr <= UInt<3>("h00") + wire buf_cmd_byte_ptrQ : UInt<3> + buf_cmd_byte_ptrQ <= UInt<3>("h00") + wire buf_cmd_nxtbyte_ptr : UInt<3> + buf_cmd_nxtbyte_ptr <= UInt<3>("h00") + wire buf_cmd_byte_ptr_en : UInt<1> + buf_cmd_byte_ptr_en <= UInt<1>("h00") + wire found : UInt<1> + found <= UInt<1>("h00") + wire slave_valid_pre : UInt<1> + slave_valid_pre <= UInt<1>("h00") + wire ahb_hready_q : UInt<1> + ahb_hready_q <= UInt<1>("h00") + wire ahb_hresp_q : UInt<1> + ahb_hresp_q <= UInt<1>("h00") + wire ahb_htrans_q : UInt<2> + ahb_htrans_q <= UInt<2>("h00") + wire ahb_hwrite_q : UInt<1> + ahb_hwrite_q <= UInt<1>("h00") + wire ahb_hrdata_q : UInt<64> + ahb_hrdata_q <= UInt<64>("h00") + wire slvbuf_write : UInt<1> + slvbuf_write <= UInt<1>("h00") + wire slvbuf_error : UInt<1> + slvbuf_error <= UInt<1>("h00") + wire slvbuf_tag : UInt<3> + slvbuf_tag <= UInt<3>("h00") + wire slvbuf_error_in : UInt<1> + slvbuf_error_in <= UInt<1>("h00") + wire slvbuf_wr_en : UInt<1> + slvbuf_wr_en <= UInt<1>("h00") + wire bypass_en : UInt<1> + bypass_en <= UInt<1>("h00") + wire rd_bypass_idle : UInt<1> + rd_bypass_idle <= UInt<1>("h00") + wire last_addr_en : UInt<1> + last_addr_en <= UInt<1>("h00") + wire last_bus_addr : UInt<32> + last_bus_addr <= UInt<32>("h00") + wire buf_clken : UInt<1> + buf_clken <= UInt<1>("h00") + wire slvbuf_clken : UInt<1> + slvbuf_clken <= UInt<1>("h00") + wire ahbm_addr_clken : UInt<1> + ahbm_addr_clken <= UInt<1>("h00") + wire ahbm_data_clken : UInt<1> + ahbm_data_clken <= UInt<1>("h00") + wire buf_clk : Clock @[axi4_to_ahb.scala 118:21] + node _T_7 = and(wrbuf_vld, wrbuf_data_vld) @[axi4_to_ahb.scala 139:27] + wr_cmd_vld <= _T_7 @[axi4_to_ahb.scala 139:14] + node _T_8 = or(wr_cmd_vld, io.axi.ar.valid) @[axi4_to_ahb.scala 140:30] + master_valid <= _T_8 @[axi4_to_ahb.scala 140:16] + node _T_9 = bits(wr_cmd_vld, 0, 0) @[axi4_to_ahb.scala 141:38] + node _T_10 = bits(wrbuf_tag, 2, 0) @[axi4_to_ahb.scala 141:51] + node _T_11 = bits(io.axi.ar.bits.id, 2, 0) @[axi4_to_ahb.scala 141:82] + node _T_12 = mux(_T_9, _T_10, _T_11) @[axi4_to_ahb.scala 141:20] + master_tag <= _T_12 @[axi4_to_ahb.scala 141:14] + node _T_13 = bits(wr_cmd_vld, 0, 0) @[axi4_to_ahb.scala 142:38] + node _T_14 = mux(_T_13, UInt<2>("h03"), UInt<1>("h00")) @[axi4_to_ahb.scala 142:20] + master_opc <= _T_14 @[axi4_to_ahb.scala 142:14] + node _T_15 = bits(wr_cmd_vld, 0, 0) @[axi4_to_ahb.scala 143:39] + node _T_16 = bits(wrbuf_addr, 31, 0) @[axi4_to_ahb.scala 143:53] + node _T_17 = bits(io.axi.ar.bits.addr, 31, 0) @[axi4_to_ahb.scala 143:81] + node _T_18 = mux(_T_15, _T_16, _T_17) @[axi4_to_ahb.scala 143:21] + master_addr <= _T_18 @[axi4_to_ahb.scala 143:15] + node _T_19 = bits(wr_cmd_vld, 0, 0) @[axi4_to_ahb.scala 144:39] + node _T_20 = bits(wrbuf_size, 2, 0) @[axi4_to_ahb.scala 144:53] + node _T_21 = bits(io.axi.ar.bits.size, 2, 0) @[axi4_to_ahb.scala 144:80] + node _T_22 = mux(_T_19, _T_20, _T_21) @[axi4_to_ahb.scala 144:21] + master_size <= _T_22 @[axi4_to_ahb.scala 144:15] + node _T_23 = bits(wrbuf_byteen, 7, 0) @[axi4_to_ahb.scala 145:32] + master_byteen <= _T_23 @[axi4_to_ahb.scala 145:17] + node _T_24 = bits(wrbuf_data, 63, 0) @[axi4_to_ahb.scala 146:29] + master_wdata <= _T_24 @[axi4_to_ahb.scala 146:16] + node _T_25 = and(slave_valid, slave_ready) @[axi4_to_ahb.scala 149:33] + node _T_26 = bits(slave_opc, 3, 3) @[axi4_to_ahb.scala 149:58] + node _T_27 = and(_T_25, _T_26) @[axi4_to_ahb.scala 149:47] + io.axi.b.valid <= _T_27 @[axi4_to_ahb.scala 149:18] + node _T_28 = bits(slave_opc, 0, 0) @[axi4_to_ahb.scala 150:38] + node _T_29 = bits(slave_opc, 1, 1) @[axi4_to_ahb.scala 150:65] + node _T_30 = mux(_T_29, UInt<2>("h03"), UInt<1>("h00")) @[axi4_to_ahb.scala 150:55] + node _T_31 = mux(_T_28, UInt<2>("h02"), _T_30) @[axi4_to_ahb.scala 150:28] + io.axi.b.bits.resp <= _T_31 @[axi4_to_ahb.scala 150:22] + node _T_32 = bits(slave_tag, 2, 0) @[axi4_to_ahb.scala 151:32] + io.axi.b.bits.id <= _T_32 @[axi4_to_ahb.scala 151:20] + node _T_33 = and(slave_valid, slave_ready) @[axi4_to_ahb.scala 153:33] + node _T_34 = bits(slave_opc, 3, 2) @[axi4_to_ahb.scala 153:59] + node _T_35 = eq(_T_34, UInt<1>("h00")) @[axi4_to_ahb.scala 153:66] + node _T_36 = and(_T_33, _T_35) @[axi4_to_ahb.scala 153:47] + io.axi.r.valid <= _T_36 @[axi4_to_ahb.scala 153:18] + node _T_37 = bits(slave_opc, 0, 0) @[axi4_to_ahb.scala 154:38] + node _T_38 = bits(slave_opc, 1, 1) @[axi4_to_ahb.scala 154:65] + node _T_39 = mux(_T_38, UInt<2>("h03"), UInt<1>("h00")) @[axi4_to_ahb.scala 154:55] + node _T_40 = mux(_T_37, UInt<2>("h02"), _T_39) @[axi4_to_ahb.scala 154:28] + io.axi.r.bits.resp <= _T_40 @[axi4_to_ahb.scala 154:22] + node _T_41 = bits(slave_tag, 2, 0) @[axi4_to_ahb.scala 155:32] + io.axi.r.bits.id <= _T_41 @[axi4_to_ahb.scala 155:20] + node _T_42 = bits(slave_rdata, 63, 0) @[axi4_to_ahb.scala 156:36] + io.axi.r.bits.data <= _T_42 @[axi4_to_ahb.scala 156:22] + node _T_43 = and(io.axi.b.ready, io.axi.r.ready) @[axi4_to_ahb.scala 157:33] + slave_ready <= _T_43 @[axi4_to_ahb.scala 157:15] + node _T_44 = and(io.axi.aw.valid, io.axi.aw.ready) @[axi4_to_ahb.scala 160:57] + node _T_45 = and(io.axi.w.valid, io.axi.w.ready) @[axi4_to_ahb.scala 160:94] + node _T_46 = or(_T_44, _T_45) @[axi4_to_ahb.scala 160:76] + node _T_47 = and(io.bus_clk_en, _T_46) @[axi4_to_ahb.scala 160:37] + bus_write_clk_en <= _T_47 @[axi4_to_ahb.scala 160:20] + inst rvclkhdr of rvclkhdr @[lib.scala 343:22] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 344:17] + rvclkhdr.io.en <= io.bus_clk_en @[lib.scala 345:16] + rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + bus_clk <= rvclkhdr.io.l1clk @[axi4_to_ahb.scala 162:11] + node _T_48 = bits(bus_write_clk_en, 0, 0) @[axi4_to_ahb.scala 163:59] + inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 343:22] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_1.io.en <= _T_48 @[lib.scala 345:16] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + bus_write_clk <= rvclkhdr_1.io.l1clk @[axi4_to_ahb.scala 163:17] + node _T_49 = eq(UInt<3>("h00"), buf_state) @[Conditional.scala 37:30] + when _T_49 : @[Conditional.scala 40:58] + master_ready <= UInt<1>("h01") @[axi4_to_ahb.scala 167:20] + node _T_50 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 168:34] + node _T_51 = eq(_T_50, UInt<1>("h01")) @[axi4_to_ahb.scala 168:41] + buf_write_in <= _T_51 @[axi4_to_ahb.scala 168:20] + node _T_52 = bits(buf_write_in, 0, 0) @[axi4_to_ahb.scala 169:46] + node _T_53 = mux(_T_52, UInt<3>("h02"), UInt<3>("h01")) @[axi4_to_ahb.scala 169:26] + buf_nxtstate <= _T_53 @[axi4_to_ahb.scala 169:20] + node _T_54 = and(master_valid, UInt<1>("h01")) @[axi4_to_ahb.scala 170:36] + buf_state_en <= _T_54 @[axi4_to_ahb.scala 170:20] + buf_wr_en <= buf_state_en @[axi4_to_ahb.scala 171:17] + node _T_55 = eq(buf_nxtstate, UInt<3>("h02")) @[axi4_to_ahb.scala 172:54] + node _T_56 = and(buf_state_en, _T_55) @[axi4_to_ahb.scala 172:38] + buf_data_wr_en <= _T_56 @[axi4_to_ahb.scala 172:22] + buf_cmd_byte_ptr_en <= buf_state_en @[axi4_to_ahb.scala 173:27] + node _T_57 = bits(buf_write_in, 0, 0) @[axi4_to_ahb.scala 175:50] + node _T_58 = bits(buf_byteen_in, 7, 0) @[axi4_to_ahb.scala 175:94] + node _T_59 = add(UInt<3>("h00"), UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] + node _T_60 = tail(_T_59, 1) @[axi4_to_ahb.scala 135:52] + node _T_61 = mux(UInt<1>("h00"), _T_60, UInt<3>("h00")) @[axi4_to_ahb.scala 135:24] + node _T_62 = bits(_T_58, 0, 0) @[axi4_to_ahb.scala 136:44] + node _T_63 = geq(UInt<1>("h00"), _T_61) @[axi4_to_ahb.scala 136:62] + node _T_64 = and(_T_62, _T_63) @[axi4_to_ahb.scala 136:48] + node _T_65 = bits(_T_58, 1, 1) @[axi4_to_ahb.scala 136:44] + node _T_66 = geq(UInt<1>("h01"), _T_61) @[axi4_to_ahb.scala 136:62] + node _T_67 = and(_T_65, _T_66) @[axi4_to_ahb.scala 136:48] + node _T_68 = bits(_T_58, 2, 2) @[axi4_to_ahb.scala 136:44] + node _T_69 = geq(UInt<2>("h02"), _T_61) @[axi4_to_ahb.scala 136:62] + node _T_70 = and(_T_68, _T_69) @[axi4_to_ahb.scala 136:48] + node _T_71 = bits(_T_58, 3, 3) @[axi4_to_ahb.scala 136:44] + node _T_72 = geq(UInt<2>("h03"), _T_61) @[axi4_to_ahb.scala 136:62] + node _T_73 = and(_T_71, _T_72) @[axi4_to_ahb.scala 136:48] + node _T_74 = bits(_T_58, 4, 4) @[axi4_to_ahb.scala 136:44] + node _T_75 = geq(UInt<3>("h04"), _T_61) @[axi4_to_ahb.scala 136:62] + node _T_76 = and(_T_74, _T_75) @[axi4_to_ahb.scala 136:48] + node _T_77 = bits(_T_58, 5, 5) @[axi4_to_ahb.scala 136:44] + node _T_78 = geq(UInt<3>("h05"), _T_61) @[axi4_to_ahb.scala 136:62] + node _T_79 = and(_T_77, _T_78) @[axi4_to_ahb.scala 136:48] + node _T_80 = bits(_T_58, 6, 6) @[axi4_to_ahb.scala 136:44] + node _T_81 = geq(UInt<3>("h06"), _T_61) @[axi4_to_ahb.scala 136:62] + node _T_82 = and(_T_80, _T_81) @[axi4_to_ahb.scala 136:48] + node _T_83 = bits(_T_58, 7, 7) @[axi4_to_ahb.scala 136:44] + node _T_84 = geq(UInt<3>("h07"), _T_61) @[axi4_to_ahb.scala 136:62] + node _T_85 = and(_T_83, _T_84) @[axi4_to_ahb.scala 136:48] + node _T_86 = mux(_T_85, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] + node _T_87 = mux(_T_82, UInt<3>("h06"), _T_86) @[Mux.scala 98:16] + node _T_88 = mux(_T_79, UInt<3>("h05"), _T_87) @[Mux.scala 98:16] + node _T_89 = mux(_T_76, UInt<3>("h04"), _T_88) @[Mux.scala 98:16] + node _T_90 = mux(_T_73, UInt<2>("h03"), _T_89) @[Mux.scala 98:16] + node _T_91 = mux(_T_70, UInt<2>("h02"), _T_90) @[Mux.scala 98:16] + node _T_92 = mux(_T_67, UInt<1>("h01"), _T_91) @[Mux.scala 98:16] + node _T_93 = mux(_T_64, UInt<1>("h00"), _T_92) @[Mux.scala 98:16] + node _T_94 = bits(master_addr, 2, 0) @[axi4_to_ahb.scala 175:124] + node _T_95 = mux(_T_57, _T_93, _T_94) @[axi4_to_ahb.scala 175:30] + buf_cmd_byte_ptr <= _T_95 @[axi4_to_ahb.scala 175:24] + bypass_en <= buf_state_en @[axi4_to_ahb.scala 176:17] + node _T_96 = eq(buf_nxtstate, UInt<3>("h01")) @[axi4_to_ahb.scala 177:51] + node _T_97 = and(bypass_en, _T_96) @[axi4_to_ahb.scala 177:35] + rd_bypass_idle <= _T_97 @[axi4_to_ahb.scala 177:22] + node _T_98 = bits(bypass_en, 0, 0) @[Bitwise.scala 72:15] + node _T_99 = mux(_T_98, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_100 = and(_T_99, UInt<2>("h02")) @[axi4_to_ahb.scala 178:49] + io.ahb.out.htrans <= _T_100 @[axi4_to_ahb.scala 178:25] + skip @[Conditional.scala 40:58] + else : @[Conditional.scala 39:67] + node _T_101 = eq(UInt<3>("h01"), buf_state) @[Conditional.scala 37:30] + when _T_101 : @[Conditional.scala 39:67] + node _T_102 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 182:54] + node _T_103 = eq(_T_102, UInt<1>("h00")) @[axi4_to_ahb.scala 182:61] + node _T_104 = and(master_valid, _T_103) @[axi4_to_ahb.scala 182:41] + node _T_105 = bits(_T_104, 0, 0) @[axi4_to_ahb.scala 182:82] + node _T_106 = mux(_T_105, UInt<3>("h06"), UInt<3>("h03")) @[axi4_to_ahb.scala 182:26] + buf_nxtstate <= _T_106 @[axi4_to_ahb.scala 182:20] + node _T_107 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 183:51] + node _T_108 = neq(_T_107, UInt<1>("h00")) @[axi4_to_ahb.scala 183:58] + node _T_109 = and(ahb_hready_q, _T_108) @[axi4_to_ahb.scala 183:36] + node _T_110 = eq(ahb_hwrite_q, UInt<1>("h00")) @[axi4_to_ahb.scala 183:72] + node _T_111 = and(_T_109, _T_110) @[axi4_to_ahb.scala 183:70] + buf_state_en <= _T_111 @[axi4_to_ahb.scala 183:20] + node _T_112 = eq(master_valid, UInt<1>("h00")) @[axi4_to_ahb.scala 184:34] + node _T_113 = and(buf_state_en, _T_112) @[axi4_to_ahb.scala 184:32] + cmd_done <= _T_113 @[axi4_to_ahb.scala 184:16] + slvbuf_wr_en <= buf_state_en @[axi4_to_ahb.scala 185:20] + node _T_114 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 186:52] + node _T_115 = neq(_T_114, UInt<1>("h00")) @[axi4_to_ahb.scala 186:59] + node _T_116 = and(ahb_hready_q, _T_115) @[axi4_to_ahb.scala 186:37] + node _T_117 = eq(ahb_hwrite_q, UInt<1>("h00")) @[axi4_to_ahb.scala 186:73] + node _T_118 = and(_T_116, _T_117) @[axi4_to_ahb.scala 186:71] + node _T_119 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 186:122] + node _T_120 = eq(_T_119, UInt<1>("h00")) @[axi4_to_ahb.scala 186:129] + node _T_121 = and(master_valid, _T_120) @[axi4_to_ahb.scala 186:109] + node _T_122 = bits(_T_121, 0, 0) @[axi4_to_ahb.scala 186:150] + node _T_123 = mux(_T_122, UInt<3>("h06"), UInt<3>("h03")) @[axi4_to_ahb.scala 186:94] + node _T_124 = eq(_T_123, UInt<3>("h06")) @[axi4_to_ahb.scala 186:174] + node _T_125 = and(_T_118, _T_124) @[axi4_to_ahb.scala 186:88] + master_ready <= _T_125 @[axi4_to_ahb.scala 186:20] + buf_wr_en <= master_ready @[axi4_to_ahb.scala 187:17] + node _T_126 = and(master_ready, master_valid) @[axi4_to_ahb.scala 188:33] + bypass_en <= _T_126 @[axi4_to_ahb.scala 188:17] + node _T_127 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 189:47] + node _T_128 = bits(master_addr, 2, 0) @[axi4_to_ahb.scala 189:62] + node _T_129 = bits(buf_addr, 2, 0) @[axi4_to_ahb.scala 189:78] + node _T_130 = mux(_T_127, _T_128, _T_129) @[axi4_to_ahb.scala 189:30] + buf_cmd_byte_ptr <= _T_130 @[axi4_to_ahb.scala 189:24] + node _T_131 = eq(buf_state_en, UInt<1>("h00")) @[axi4_to_ahb.scala 190:48] + node _T_132 = or(_T_131, bypass_en) @[axi4_to_ahb.scala 190:62] + node _T_133 = bits(_T_132, 0, 0) @[Bitwise.scala 72:15] + node _T_134 = mux(_T_133, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_135 = and(UInt<2>("h02"), _T_134) @[axi4_to_ahb.scala 190:36] + io.ahb.out.htrans <= _T_135 @[axi4_to_ahb.scala 190:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_136 = eq(UInt<3>("h06"), buf_state) @[Conditional.scala 37:30] + when _T_136 : @[Conditional.scala 39:67] + node _T_137 = eq(ahb_hresp_q, UInt<1>("h00")) @[axi4_to_ahb.scala 194:39] + node _T_138 = and(ahb_hready_q, _T_137) @[axi4_to_ahb.scala 194:37] + node _T_139 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 194:82] + node _T_140 = eq(_T_139, UInt<1>("h01")) @[axi4_to_ahb.scala 194:89] + node _T_141 = and(master_valid, _T_140) @[axi4_to_ahb.scala 194:70] + node _T_142 = not(_T_141) @[axi4_to_ahb.scala 194:55] + node _T_143 = and(_T_138, _T_142) @[axi4_to_ahb.scala 194:53] + master_ready <= _T_143 @[axi4_to_ahb.scala 194:20] + node _T_144 = and(master_valid, master_ready) @[axi4_to_ahb.scala 195:34] + node _T_145 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 195:62] + node _T_146 = eq(_T_145, UInt<1>("h00")) @[axi4_to_ahb.scala 195:69] + node _T_147 = and(_T_144, _T_146) @[axi4_to_ahb.scala 195:49] + buf_wr_en <= _T_147 @[axi4_to_ahb.scala 195:17] + node _T_148 = bits(ahb_hresp_q, 0, 0) @[axi4_to_ahb.scala 196:45] + node _T_149 = and(master_valid, master_ready) @[axi4_to_ahb.scala 196:82] + node _T_150 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 196:110] + node _T_151 = eq(_T_150, UInt<1>("h00")) @[axi4_to_ahb.scala 196:117] + node _T_152 = and(_T_149, _T_151) @[axi4_to_ahb.scala 196:97] + node _T_153 = bits(_T_152, 0, 0) @[axi4_to_ahb.scala 196:138] + node _T_154 = mux(_T_153, UInt<3>("h06"), UInt<3>("h03")) @[axi4_to_ahb.scala 196:67] + node _T_155 = mux(_T_148, UInt<3>("h07"), _T_154) @[axi4_to_ahb.scala 196:26] + buf_nxtstate <= _T_155 @[axi4_to_ahb.scala 196:20] + node _T_156 = or(ahb_hready_q, ahb_hresp_q) @[axi4_to_ahb.scala 197:37] + buf_state_en <= _T_156 @[axi4_to_ahb.scala 197:20] + buf_data_wr_en <= buf_state_en @[axi4_to_ahb.scala 198:22] + slvbuf_error_in <= ahb_hresp_q @[axi4_to_ahb.scala 199:23] + slvbuf_error_en <= buf_state_en @[axi4_to_ahb.scala 200:23] + node _T_157 = eq(ahb_hresp_q, UInt<1>("h00")) @[axi4_to_ahb.scala 201:41] + node _T_158 = and(buf_state_en, _T_157) @[axi4_to_ahb.scala 201:39] + slave_valid_pre <= _T_158 @[axi4_to_ahb.scala 201:23] + node _T_159 = eq(master_valid, UInt<1>("h00")) @[axi4_to_ahb.scala 202:34] + node _T_160 = and(buf_state_en, _T_159) @[axi4_to_ahb.scala 202:32] + cmd_done <= _T_160 @[axi4_to_ahb.scala 202:16] + node _T_161 = and(master_ready, master_valid) @[axi4_to_ahb.scala 203:33] + node _T_162 = eq(buf_nxtstate, UInt<3>("h06")) @[axi4_to_ahb.scala 203:64] + node _T_163 = and(_T_161, _T_162) @[axi4_to_ahb.scala 203:48] + node _T_164 = and(_T_163, buf_state_en) @[axi4_to_ahb.scala 203:79] + bypass_en <= _T_164 @[axi4_to_ahb.scala 203:17] + node _T_165 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 204:47] + node _T_166 = bits(master_addr, 2, 0) @[axi4_to_ahb.scala 204:62] + node _T_167 = bits(buf_addr, 2, 0) @[axi4_to_ahb.scala 204:78] + node _T_168 = mux(_T_165, _T_166, _T_167) @[axi4_to_ahb.scala 204:30] + buf_cmd_byte_ptr <= _T_168 @[axi4_to_ahb.scala 204:24] + node _T_169 = neq(buf_nxtstate, UInt<3>("h06")) @[axi4_to_ahb.scala 205:63] + node _T_170 = and(_T_169, buf_state_en) @[axi4_to_ahb.scala 205:78] + node _T_171 = eq(_T_170, UInt<1>("h00")) @[axi4_to_ahb.scala 205:47] + node _T_172 = bits(_T_171, 0, 0) @[Bitwise.scala 72:15] + node _T_173 = mux(_T_172, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_174 = and(UInt<2>("h02"), _T_173) @[axi4_to_ahb.scala 205:36] + io.ahb.out.htrans <= _T_174 @[axi4_to_ahb.scala 205:25] + slvbuf_wr_en <= buf_wr_en @[axi4_to_ahb.scala 206:20] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_175 = eq(UInt<3>("h07"), buf_state) @[Conditional.scala 37:30] + when _T_175 : @[Conditional.scala 39:67] + buf_nxtstate <= UInt<3>("h03") @[axi4_to_ahb.scala 210:20] + node _T_176 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 211:51] + node _T_177 = neq(_T_176, UInt<1>("h00")) @[axi4_to_ahb.scala 211:58] + node _T_178 = and(ahb_hready_q, _T_177) @[axi4_to_ahb.scala 211:36] + node _T_179 = eq(ahb_hwrite_q, UInt<1>("h00")) @[axi4_to_ahb.scala 211:72] + node _T_180 = and(_T_178, _T_179) @[axi4_to_ahb.scala 211:70] + buf_state_en <= _T_180 @[axi4_to_ahb.scala 211:20] + slave_valid_pre <= buf_state_en @[axi4_to_ahb.scala 212:23] + slvbuf_wr_en <= buf_state_en @[axi4_to_ahb.scala 213:20] + node _T_181 = bits(buf_addr, 2, 0) @[axi4_to_ahb.scala 214:35] + buf_cmd_byte_ptr <= _T_181 @[axi4_to_ahb.scala 214:24] + node _T_182 = eq(buf_state_en, UInt<1>("h00")) @[axi4_to_ahb.scala 215:51] + node _T_183 = bits(_T_182, 0, 0) @[Bitwise.scala 72:15] + node _T_184 = mux(_T_183, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_185 = and(UInt<2>("h02"), _T_184) @[axi4_to_ahb.scala 215:41] + io.ahb.out.htrans <= _T_185 @[axi4_to_ahb.scala 215:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_186 = eq(UInt<3>("h03"), buf_state) @[Conditional.scala 37:30] + when _T_186 : @[Conditional.scala 39:67] + buf_nxtstate <= UInt<3>("h05") @[axi4_to_ahb.scala 219:20] + node _T_187 = or(ahb_hready_q, ahb_hresp_q) @[axi4_to_ahb.scala 220:37] + buf_state_en <= _T_187 @[axi4_to_ahb.scala 220:20] + buf_data_wr_en <= buf_state_en @[axi4_to_ahb.scala 221:22] + slvbuf_error_in <= ahb_hresp_q @[axi4_to_ahb.scala 222:23] + slvbuf_error_en <= buf_state_en @[axi4_to_ahb.scala 223:23] + slvbuf_wr_en <= buf_state_en @[axi4_to_ahb.scala 224:20] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_188 = eq(UInt<3>("h02"), buf_state) @[Conditional.scala 37:30] + when _T_188 : @[Conditional.scala 39:67] + buf_nxtstate <= UInt<3>("h04") @[axi4_to_ahb.scala 228:20] + node _T_189 = and(ahb_hready_q, ahb_hwrite_q) @[axi4_to_ahb.scala 229:33] + node _T_190 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 229:63] + node _T_191 = neq(_T_190, UInt<1>("h00")) @[axi4_to_ahb.scala 229:70] + node _T_192 = and(_T_189, _T_191) @[axi4_to_ahb.scala 229:48] + trxn_done <= _T_192 @[axi4_to_ahb.scala 229:17] + buf_state_en <= trxn_done @[axi4_to_ahb.scala 230:20] + buf_cmd_byte_ptr_en <= buf_state_en @[axi4_to_ahb.scala 231:27] + slvbuf_wr_en <= buf_state_en @[axi4_to_ahb.scala 232:20] + node _T_193 = bits(trxn_done, 0, 0) @[axi4_to_ahb.scala 233:47] + node _T_194 = bits(buf_cmd_byte_ptrQ, 2, 0) @[axi4_to_ahb.scala 233:85] + node _T_195 = bits(buf_byteen, 7, 0) @[axi4_to_ahb.scala 233:103] + node _T_196 = add(_T_194, UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] + node _T_197 = tail(_T_196, 1) @[axi4_to_ahb.scala 135:52] + node _T_198 = mux(UInt<1>("h01"), _T_197, _T_194) @[axi4_to_ahb.scala 135:24] + node _T_199 = bits(_T_195, 0, 0) @[axi4_to_ahb.scala 136:44] + node _T_200 = geq(UInt<1>("h00"), _T_198) @[axi4_to_ahb.scala 136:62] + node _T_201 = and(_T_199, _T_200) @[axi4_to_ahb.scala 136:48] + node _T_202 = bits(_T_195, 1, 1) @[axi4_to_ahb.scala 136:44] + node _T_203 = geq(UInt<1>("h01"), _T_198) @[axi4_to_ahb.scala 136:62] + node _T_204 = and(_T_202, _T_203) @[axi4_to_ahb.scala 136:48] + node _T_205 = bits(_T_195, 2, 2) @[axi4_to_ahb.scala 136:44] + node _T_206 = geq(UInt<2>("h02"), _T_198) @[axi4_to_ahb.scala 136:62] + node _T_207 = and(_T_205, _T_206) @[axi4_to_ahb.scala 136:48] + node _T_208 = bits(_T_195, 3, 3) @[axi4_to_ahb.scala 136:44] + node _T_209 = geq(UInt<2>("h03"), _T_198) @[axi4_to_ahb.scala 136:62] + node _T_210 = and(_T_208, _T_209) @[axi4_to_ahb.scala 136:48] + node _T_211 = bits(_T_195, 4, 4) @[axi4_to_ahb.scala 136:44] + node _T_212 = geq(UInt<3>("h04"), _T_198) @[axi4_to_ahb.scala 136:62] + node _T_213 = and(_T_211, _T_212) @[axi4_to_ahb.scala 136:48] + node _T_214 = bits(_T_195, 5, 5) @[axi4_to_ahb.scala 136:44] + node _T_215 = geq(UInt<3>("h05"), _T_198) @[axi4_to_ahb.scala 136:62] + node _T_216 = and(_T_214, _T_215) @[axi4_to_ahb.scala 136:48] + node _T_217 = bits(_T_195, 6, 6) @[axi4_to_ahb.scala 136:44] + node _T_218 = geq(UInt<3>("h06"), _T_198) @[axi4_to_ahb.scala 136:62] + node _T_219 = and(_T_217, _T_218) @[axi4_to_ahb.scala 136:48] + node _T_220 = bits(_T_195, 7, 7) @[axi4_to_ahb.scala 136:44] + node _T_221 = geq(UInt<3>("h07"), _T_198) @[axi4_to_ahb.scala 136:62] + node _T_222 = and(_T_220, _T_221) @[axi4_to_ahb.scala 136:48] + node _T_223 = mux(_T_222, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] + node _T_224 = mux(_T_219, UInt<3>("h06"), _T_223) @[Mux.scala 98:16] + node _T_225 = mux(_T_216, UInt<3>("h05"), _T_224) @[Mux.scala 98:16] + node _T_226 = mux(_T_213, UInt<3>("h04"), _T_225) @[Mux.scala 98:16] + node _T_227 = mux(_T_210, UInt<2>("h03"), _T_226) @[Mux.scala 98:16] + node _T_228 = mux(_T_207, UInt<2>("h02"), _T_227) @[Mux.scala 98:16] + node _T_229 = mux(_T_204, UInt<1>("h01"), _T_228) @[Mux.scala 98:16] + node _T_230 = mux(_T_201, UInt<1>("h00"), _T_229) @[Mux.scala 98:16] + node _T_231 = mux(_T_193, _T_230, buf_cmd_byte_ptrQ) @[axi4_to_ahb.scala 233:30] + buf_cmd_byte_ptr <= _T_231 @[axi4_to_ahb.scala 233:24] + node _T_232 = eq(buf_cmd_byte_ptrQ, UInt<3>("h07")) @[axi4_to_ahb.scala 234:65] + node _T_233 = or(buf_aligned, _T_232) @[axi4_to_ahb.scala 234:44] + node _T_234 = bits(buf_cmd_byte_ptrQ, 2, 0) @[axi4_to_ahb.scala 234:127] + node _T_235 = bits(buf_byteen, 7, 0) @[axi4_to_ahb.scala 234:145] + node _T_236 = add(_T_234, UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] + node _T_237 = tail(_T_236, 1) @[axi4_to_ahb.scala 135:52] + node _T_238 = mux(UInt<1>("h01"), _T_237, _T_234) @[axi4_to_ahb.scala 135:24] + node _T_239 = bits(_T_235, 0, 0) @[axi4_to_ahb.scala 136:44] + node _T_240 = geq(UInt<1>("h00"), _T_238) @[axi4_to_ahb.scala 136:62] + node _T_241 = and(_T_239, _T_240) @[axi4_to_ahb.scala 136:48] + node _T_242 = bits(_T_235, 1, 1) @[axi4_to_ahb.scala 136:44] + node _T_243 = geq(UInt<1>("h01"), _T_238) @[axi4_to_ahb.scala 136:62] + node _T_244 = and(_T_242, _T_243) @[axi4_to_ahb.scala 136:48] + node _T_245 = bits(_T_235, 2, 2) @[axi4_to_ahb.scala 136:44] + node _T_246 = geq(UInt<2>("h02"), _T_238) @[axi4_to_ahb.scala 136:62] + node _T_247 = and(_T_245, _T_246) @[axi4_to_ahb.scala 136:48] + node _T_248 = bits(_T_235, 3, 3) @[axi4_to_ahb.scala 136:44] + node _T_249 = geq(UInt<2>("h03"), _T_238) @[axi4_to_ahb.scala 136:62] + node _T_250 = and(_T_248, _T_249) @[axi4_to_ahb.scala 136:48] + node _T_251 = bits(_T_235, 4, 4) @[axi4_to_ahb.scala 136:44] + node _T_252 = geq(UInt<3>("h04"), _T_238) @[axi4_to_ahb.scala 136:62] + node _T_253 = and(_T_251, _T_252) @[axi4_to_ahb.scala 136:48] + node _T_254 = bits(_T_235, 5, 5) @[axi4_to_ahb.scala 136:44] + node _T_255 = geq(UInt<3>("h05"), _T_238) @[axi4_to_ahb.scala 136:62] + node _T_256 = and(_T_254, _T_255) @[axi4_to_ahb.scala 136:48] + node _T_257 = bits(_T_235, 6, 6) @[axi4_to_ahb.scala 136:44] + node _T_258 = geq(UInt<3>("h06"), _T_238) @[axi4_to_ahb.scala 136:62] + node _T_259 = and(_T_257, _T_258) @[axi4_to_ahb.scala 136:48] + node _T_260 = bits(_T_235, 7, 7) @[axi4_to_ahb.scala 136:44] + node _T_261 = geq(UInt<3>("h07"), _T_238) @[axi4_to_ahb.scala 136:62] + node _T_262 = and(_T_260, _T_261) @[axi4_to_ahb.scala 136:48] + node _T_263 = mux(_T_262, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] + node _T_264 = mux(_T_259, UInt<3>("h06"), _T_263) @[Mux.scala 98:16] + node _T_265 = mux(_T_256, UInt<3>("h05"), _T_264) @[Mux.scala 98:16] + node _T_266 = mux(_T_253, UInt<3>("h04"), _T_265) @[Mux.scala 98:16] + node _T_267 = mux(_T_250, UInt<2>("h03"), _T_266) @[Mux.scala 98:16] + node _T_268 = mux(_T_247, UInt<2>("h02"), _T_267) @[Mux.scala 98:16] + node _T_269 = mux(_T_244, UInt<1>("h01"), _T_268) @[Mux.scala 98:16] + node _T_270 = mux(_T_241, UInt<1>("h00"), _T_269) @[Mux.scala 98:16] + node _T_271 = dshr(buf_byteen, _T_270) @[axi4_to_ahb.scala 234:92] + node _T_272 = bits(_T_271, 0, 0) @[axi4_to_ahb.scala 234:92] + node _T_273 = eq(_T_272, UInt<1>("h00")) @[axi4_to_ahb.scala 234:163] + node _T_274 = or(_T_233, _T_273) @[axi4_to_ahb.scala 234:79] + node _T_275 = and(trxn_done, _T_274) @[axi4_to_ahb.scala 234:29] + cmd_done <= _T_275 @[axi4_to_ahb.scala 234:16] + node _T_276 = or(cmd_done, cmd_doneQ) @[axi4_to_ahb.scala 235:47] + node _T_277 = eq(_T_276, UInt<1>("h00")) @[axi4_to_ahb.scala 235:36] + node _T_278 = bits(_T_277, 0, 0) @[Bitwise.scala 72:15] + node _T_279 = mux(_T_278, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_280 = and(_T_279, UInt<2>("h02")) @[axi4_to_ahb.scala 235:61] + io.ahb.out.htrans <= _T_280 @[axi4_to_ahb.scala 235:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_281 = eq(UInt<3>("h04"), buf_state) @[Conditional.scala 37:30] + when _T_281 : @[Conditional.scala 39:67] + node _T_282 = and(cmd_doneQ, ahb_hready_q) @[axi4_to_ahb.scala 239:34] + node _T_283 = or(_T_282, ahb_hresp_q) @[axi4_to_ahb.scala 239:50] + buf_state_en <= _T_283 @[axi4_to_ahb.scala 239:20] + node _T_284 = eq(ahb_hresp_q, UInt<1>("h00")) @[axi4_to_ahb.scala 240:38] + node _T_285 = and(buf_state_en, _T_284) @[axi4_to_ahb.scala 240:36] + node _T_286 = and(_T_285, slave_ready) @[axi4_to_ahb.scala 240:51] + master_ready <= _T_286 @[axi4_to_ahb.scala 240:20] + node _T_287 = eq(slave_ready, UInt<1>("h00")) @[axi4_to_ahb.scala 241:42] + node _T_288 = or(ahb_hresp_q, _T_287) @[axi4_to_ahb.scala 241:40] + node _T_289 = and(master_valid, master_valid) @[axi4_to_ahb.scala 241:80] + node _T_290 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 241:111] + node _T_291 = eq(_T_290, UInt<1>("h01")) @[axi4_to_ahb.scala 241:117] + node _T_292 = bits(_T_291, 0, 0) @[axi4_to_ahb.scala 241:132] + node _T_293 = mux(_T_292, UInt<3>("h02"), UInt<3>("h01")) @[axi4_to_ahb.scala 241:99] + node _T_294 = mux(_T_289, _T_293, UInt<3>("h00")) @[axi4_to_ahb.scala 241:65] + node _T_295 = mux(_T_288, UInt<3>("h05"), _T_294) @[axi4_to_ahb.scala 241:26] + buf_nxtstate <= _T_295 @[axi4_to_ahb.scala 241:20] + slvbuf_error_in <= ahb_hresp_q @[axi4_to_ahb.scala 242:23] + slvbuf_error_en <= buf_state_en @[axi4_to_ahb.scala 243:23] + node _T_296 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 244:33] + node _T_297 = eq(_T_296, UInt<1>("h01")) @[axi4_to_ahb.scala 244:39] + buf_write_in <= _T_297 @[axi4_to_ahb.scala 244:20] + node _T_298 = eq(buf_nxtstate, UInt<3>("h02")) @[axi4_to_ahb.scala 245:50] + node _T_299 = eq(buf_nxtstate, UInt<3>("h01")) @[axi4_to_ahb.scala 245:78] + node _T_300 = or(_T_298, _T_299) @[axi4_to_ahb.scala 245:62] + node _T_301 = and(buf_state_en, _T_300) @[axi4_to_ahb.scala 245:33] + buf_wr_en <= _T_301 @[axi4_to_ahb.scala 245:17] + buf_data_wr_en <= buf_wr_en @[axi4_to_ahb.scala 246:22] + node _T_302 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 247:65] + node _T_303 = neq(_T_302, UInt<1>("h00")) @[axi4_to_ahb.scala 247:71] + node _T_304 = and(ahb_hready_q, _T_303) @[axi4_to_ahb.scala 247:50] + node _T_305 = eq(buf_cmd_byte_ptrQ, UInt<3>("h07")) @[axi4_to_ahb.scala 248:29] + node _T_306 = bits(buf_cmd_byte_ptrQ, 2, 0) @[axi4_to_ahb.scala 248:85] + node _T_307 = bits(buf_byteen, 7, 0) @[axi4_to_ahb.scala 248:101] + node _T_308 = add(_T_306, UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] + node _T_309 = tail(_T_308, 1) @[axi4_to_ahb.scala 135:52] + node _T_310 = mux(UInt<1>("h01"), _T_309, _T_306) @[axi4_to_ahb.scala 135:24] + node _T_311 = bits(_T_307, 0, 0) @[axi4_to_ahb.scala 136:44] + node _T_312 = geq(UInt<1>("h00"), _T_310) @[axi4_to_ahb.scala 136:62] + node _T_313 = and(_T_311, _T_312) @[axi4_to_ahb.scala 136:48] + node _T_314 = bits(_T_307, 1, 1) @[axi4_to_ahb.scala 136:44] + node _T_315 = geq(UInt<1>("h01"), _T_310) @[axi4_to_ahb.scala 136:62] + node _T_316 = and(_T_314, _T_315) @[axi4_to_ahb.scala 136:48] + node _T_317 = bits(_T_307, 2, 2) @[axi4_to_ahb.scala 136:44] + node _T_318 = geq(UInt<2>("h02"), _T_310) @[axi4_to_ahb.scala 136:62] + node _T_319 = and(_T_317, _T_318) @[axi4_to_ahb.scala 136:48] + node _T_320 = bits(_T_307, 3, 3) @[axi4_to_ahb.scala 136:44] + node _T_321 = geq(UInt<2>("h03"), _T_310) @[axi4_to_ahb.scala 136:62] + node _T_322 = and(_T_320, _T_321) @[axi4_to_ahb.scala 136:48] + node _T_323 = bits(_T_307, 4, 4) @[axi4_to_ahb.scala 136:44] + node _T_324 = geq(UInt<3>("h04"), _T_310) @[axi4_to_ahb.scala 136:62] + node _T_325 = and(_T_323, _T_324) @[axi4_to_ahb.scala 136:48] + node _T_326 = bits(_T_307, 5, 5) @[axi4_to_ahb.scala 136:44] + node _T_327 = geq(UInt<3>("h05"), _T_310) @[axi4_to_ahb.scala 136:62] + node _T_328 = and(_T_326, _T_327) @[axi4_to_ahb.scala 136:48] + node _T_329 = bits(_T_307, 6, 6) @[axi4_to_ahb.scala 136:44] + node _T_330 = geq(UInt<3>("h06"), _T_310) @[axi4_to_ahb.scala 136:62] + node _T_331 = and(_T_329, _T_330) @[axi4_to_ahb.scala 136:48] + node _T_332 = bits(_T_307, 7, 7) @[axi4_to_ahb.scala 136:44] + node _T_333 = geq(UInt<3>("h07"), _T_310) @[axi4_to_ahb.scala 136:62] + node _T_334 = and(_T_332, _T_333) @[axi4_to_ahb.scala 136:48] + node _T_335 = mux(_T_334, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] + node _T_336 = mux(_T_331, UInt<3>("h06"), _T_335) @[Mux.scala 98:16] + node _T_337 = mux(_T_328, UInt<3>("h05"), _T_336) @[Mux.scala 98:16] + node _T_338 = mux(_T_325, UInt<3>("h04"), _T_337) @[Mux.scala 98:16] + node _T_339 = mux(_T_322, UInt<2>("h03"), _T_338) @[Mux.scala 98:16] + node _T_340 = mux(_T_319, UInt<2>("h02"), _T_339) @[Mux.scala 98:16] + node _T_341 = mux(_T_316, UInt<1>("h01"), _T_340) @[Mux.scala 98:16] + node _T_342 = mux(_T_313, UInt<1>("h00"), _T_341) @[Mux.scala 98:16] + node _T_343 = dshr(buf_byteen, _T_342) @[axi4_to_ahb.scala 248:51] + node _T_344 = bits(_T_343, 0, 0) @[axi4_to_ahb.scala 248:51] + node _T_345 = eq(_T_344, UInt<1>("h00")) @[axi4_to_ahb.scala 248:116] + node _T_346 = or(_T_305, _T_345) @[axi4_to_ahb.scala 248:38] + node _T_347 = and(_T_304, _T_346) @[axi4_to_ahb.scala 247:80] + node _T_348 = or(ahb_hresp_q, _T_347) @[axi4_to_ahb.scala 247:34] + cmd_done <= _T_348 @[axi4_to_ahb.scala 247:16] + node _T_349 = and(buf_state_en, buf_write_in) @[axi4_to_ahb.scala 249:33] + node _T_350 = eq(buf_nxtstate, UInt<3>("h02")) @[axi4_to_ahb.scala 249:64] + node _T_351 = and(_T_349, _T_350) @[axi4_to_ahb.scala 249:48] + bypass_en <= _T_351 @[axi4_to_ahb.scala 249:17] + node _T_352 = or(cmd_done, cmd_doneQ) @[axi4_to_ahb.scala 250:48] + node _T_353 = eq(_T_352, UInt<1>("h00")) @[axi4_to_ahb.scala 250:37] + node _T_354 = or(_T_353, bypass_en) @[axi4_to_ahb.scala 250:61] + node _T_355 = bits(_T_354, 0, 0) @[Bitwise.scala 72:15] + node _T_356 = mux(_T_355, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_357 = and(_T_356, UInt<2>("h02")) @[axi4_to_ahb.scala 250:75] + io.ahb.out.htrans <= _T_357 @[axi4_to_ahb.scala 250:25] + node _T_358 = neq(buf_nxtstate, UInt<3>("h05")) @[axi4_to_ahb.scala 251:55] + node _T_359 = and(buf_state_en, _T_358) @[axi4_to_ahb.scala 251:39] + slave_valid_pre <= _T_359 @[axi4_to_ahb.scala 251:23] + node _T_360 = and(ahb_hready_q, ahb_hwrite_q) @[axi4_to_ahb.scala 252:33] + node _T_361 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 252:63] + node _T_362 = neq(_T_361, UInt<1>("h00")) @[axi4_to_ahb.scala 252:69] + node _T_363 = and(_T_360, _T_362) @[axi4_to_ahb.scala 252:48] + trxn_done <= _T_363 @[axi4_to_ahb.scala 252:17] + node _T_364 = or(trxn_done, bypass_en) @[axi4_to_ahb.scala 253:40] + buf_cmd_byte_ptr_en <= _T_364 @[axi4_to_ahb.scala 253:27] + node _T_365 = bits(buf_byteen_in, 7, 0) @[axi4_to_ahb.scala 254:79] + node _T_366 = add(UInt<3>("h00"), UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] + node _T_367 = tail(_T_366, 1) @[axi4_to_ahb.scala 135:52] + node _T_368 = mux(UInt<1>("h00"), _T_367, UInt<3>("h00")) @[axi4_to_ahb.scala 135:24] + node _T_369 = bits(_T_365, 0, 0) @[axi4_to_ahb.scala 136:44] + node _T_370 = geq(UInt<1>("h00"), _T_368) @[axi4_to_ahb.scala 136:62] + node _T_371 = and(_T_369, _T_370) @[axi4_to_ahb.scala 136:48] + node _T_372 = bits(_T_365, 1, 1) @[axi4_to_ahb.scala 136:44] + node _T_373 = geq(UInt<1>("h01"), _T_368) @[axi4_to_ahb.scala 136:62] + node _T_374 = and(_T_372, _T_373) @[axi4_to_ahb.scala 136:48] + node _T_375 = bits(_T_365, 2, 2) @[axi4_to_ahb.scala 136:44] + node _T_376 = geq(UInt<2>("h02"), _T_368) @[axi4_to_ahb.scala 136:62] + node _T_377 = and(_T_375, _T_376) @[axi4_to_ahb.scala 136:48] + node _T_378 = bits(_T_365, 3, 3) @[axi4_to_ahb.scala 136:44] + node _T_379 = geq(UInt<2>("h03"), _T_368) @[axi4_to_ahb.scala 136:62] + node _T_380 = and(_T_378, _T_379) @[axi4_to_ahb.scala 136:48] + node _T_381 = bits(_T_365, 4, 4) @[axi4_to_ahb.scala 136:44] + node _T_382 = geq(UInt<3>("h04"), _T_368) @[axi4_to_ahb.scala 136:62] + node _T_383 = and(_T_381, _T_382) @[axi4_to_ahb.scala 136:48] + node _T_384 = bits(_T_365, 5, 5) @[axi4_to_ahb.scala 136:44] + node _T_385 = geq(UInt<3>("h05"), _T_368) @[axi4_to_ahb.scala 136:62] + node _T_386 = and(_T_384, _T_385) @[axi4_to_ahb.scala 136:48] + node _T_387 = bits(_T_365, 6, 6) @[axi4_to_ahb.scala 136:44] + node _T_388 = geq(UInt<3>("h06"), _T_368) @[axi4_to_ahb.scala 136:62] + node _T_389 = and(_T_387, _T_388) @[axi4_to_ahb.scala 136:48] + node _T_390 = bits(_T_365, 7, 7) @[axi4_to_ahb.scala 136:44] + node _T_391 = geq(UInt<3>("h07"), _T_368) @[axi4_to_ahb.scala 136:62] + node _T_392 = and(_T_390, _T_391) @[axi4_to_ahb.scala 136:48] + node _T_393 = mux(_T_392, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] + node _T_394 = mux(_T_389, UInt<3>("h06"), _T_393) @[Mux.scala 98:16] + node _T_395 = mux(_T_386, UInt<3>("h05"), _T_394) @[Mux.scala 98:16] + node _T_396 = mux(_T_383, UInt<3>("h04"), _T_395) @[Mux.scala 98:16] + node _T_397 = mux(_T_380, UInt<2>("h03"), _T_396) @[Mux.scala 98:16] + node _T_398 = mux(_T_377, UInt<2>("h02"), _T_397) @[Mux.scala 98:16] + node _T_399 = mux(_T_374, UInt<1>("h01"), _T_398) @[Mux.scala 98:16] + node _T_400 = mux(_T_371, UInt<1>("h00"), _T_399) @[Mux.scala 98:16] + node _T_401 = bits(buf_cmd_byte_ptrQ, 2, 0) @[axi4_to_ahb.scala 254:141] + node _T_402 = bits(buf_byteen, 7, 0) @[axi4_to_ahb.scala 254:157] + node _T_403 = add(_T_401, UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] + node _T_404 = tail(_T_403, 1) @[axi4_to_ahb.scala 135:52] + node _T_405 = mux(UInt<1>("h01"), _T_404, _T_401) @[axi4_to_ahb.scala 135:24] + node _T_406 = bits(_T_402, 0, 0) @[axi4_to_ahb.scala 136:44] + node _T_407 = geq(UInt<1>("h00"), _T_405) @[axi4_to_ahb.scala 136:62] + node _T_408 = and(_T_406, _T_407) @[axi4_to_ahb.scala 136:48] + node _T_409 = bits(_T_402, 1, 1) @[axi4_to_ahb.scala 136:44] + node _T_410 = geq(UInt<1>("h01"), _T_405) @[axi4_to_ahb.scala 136:62] + node _T_411 = and(_T_409, _T_410) @[axi4_to_ahb.scala 136:48] + node _T_412 = bits(_T_402, 2, 2) @[axi4_to_ahb.scala 136:44] + node _T_413 = geq(UInt<2>("h02"), _T_405) @[axi4_to_ahb.scala 136:62] + node _T_414 = and(_T_412, _T_413) @[axi4_to_ahb.scala 136:48] + node _T_415 = bits(_T_402, 3, 3) @[axi4_to_ahb.scala 136:44] + node _T_416 = geq(UInt<2>("h03"), _T_405) @[axi4_to_ahb.scala 136:62] + node _T_417 = and(_T_415, _T_416) @[axi4_to_ahb.scala 136:48] + node _T_418 = bits(_T_402, 4, 4) @[axi4_to_ahb.scala 136:44] + node _T_419 = geq(UInt<3>("h04"), _T_405) @[axi4_to_ahb.scala 136:62] + node _T_420 = and(_T_418, _T_419) @[axi4_to_ahb.scala 136:48] + node _T_421 = bits(_T_402, 5, 5) @[axi4_to_ahb.scala 136:44] + node _T_422 = geq(UInt<3>("h05"), _T_405) @[axi4_to_ahb.scala 136:62] + node _T_423 = and(_T_421, _T_422) @[axi4_to_ahb.scala 136:48] + node _T_424 = bits(_T_402, 6, 6) @[axi4_to_ahb.scala 136:44] + node _T_425 = geq(UInt<3>("h06"), _T_405) @[axi4_to_ahb.scala 136:62] + node _T_426 = and(_T_424, _T_425) @[axi4_to_ahb.scala 136:48] + node _T_427 = bits(_T_402, 7, 7) @[axi4_to_ahb.scala 136:44] + node _T_428 = geq(UInt<3>("h07"), _T_405) @[axi4_to_ahb.scala 136:62] + node _T_429 = and(_T_427, _T_428) @[axi4_to_ahb.scala 136:48] + node _T_430 = mux(_T_429, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] + node _T_431 = mux(_T_426, UInt<3>("h06"), _T_430) @[Mux.scala 98:16] + node _T_432 = mux(_T_423, UInt<3>("h05"), _T_431) @[Mux.scala 98:16] + node _T_433 = mux(_T_420, UInt<3>("h04"), _T_432) @[Mux.scala 98:16] + node _T_434 = mux(_T_417, UInt<2>("h03"), _T_433) @[Mux.scala 98:16] + node _T_435 = mux(_T_414, UInt<2>("h02"), _T_434) @[Mux.scala 98:16] + node _T_436 = mux(_T_411, UInt<1>("h01"), _T_435) @[Mux.scala 98:16] + node _T_437 = mux(_T_408, UInt<1>("h00"), _T_436) @[Mux.scala 98:16] + node _T_438 = mux(trxn_done, _T_437, buf_cmd_byte_ptrQ) @[axi4_to_ahb.scala 254:97] + node _T_439 = mux(bypass_en, _T_400, _T_438) @[axi4_to_ahb.scala 254:30] + buf_cmd_byte_ptr <= _T_439 @[axi4_to_ahb.scala 254:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_440 = eq(UInt<3>("h05"), buf_state) @[Conditional.scala 37:30] + when _T_440 : @[Conditional.scala 39:67] + buf_nxtstate <= UInt<3>("h00") @[axi4_to_ahb.scala 257:20] + buf_state_en <= slave_ready @[axi4_to_ahb.scala 258:20] + slvbuf_error_en <= UInt<1>("h01") @[axi4_to_ahb.scala 259:23] + slave_valid_pre <= UInt<1>("h01") @[axi4_to_ahb.scala 260:23] + skip @[Conditional.scala 39:67] + cmd_done_rst <= slave_valid_pre @[axi4_to_ahb.scala 264:16] + node _T_441 = bits(master_addr, 31, 3) @[axi4_to_ahb.scala 265:33] + node _T_442 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 265:73] + node _T_443 = eq(_T_442, UInt<1>("h01")) @[axi4_to_ahb.scala 265:80] + node _T_444 = and(buf_aligned_in, _T_443) @[axi4_to_ahb.scala 265:60] + node _T_445 = bits(_T_444, 0, 0) @[axi4_to_ahb.scala 265:100] + node _T_446 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 265:132] + node _T_447 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 127:50] + node _T_448 = eq(_T_447, UInt<8>("h0ff")) @[axi4_to_ahb.scala 127:57] + node _T_449 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 127:81] + node _T_450 = eq(_T_449, UInt<8>("h0f")) @[axi4_to_ahb.scala 127:88] + node _T_451 = or(_T_448, _T_450) @[axi4_to_ahb.scala 127:70] + node _T_452 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 127:117] + node _T_453 = eq(_T_452, UInt<8>("h03")) @[axi4_to_ahb.scala 127:124] + node _T_454 = or(_T_451, _T_453) @[axi4_to_ahb.scala 127:106] + node _T_455 = bits(_T_454, 0, 0) @[Bitwise.scala 72:15] + node _T_456 = mux(_T_455, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_457 = and(UInt<3>("h00"), _T_456) @[axi4_to_ahb.scala 127:29] + node _T_458 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 128:35] + node _T_459 = eq(_T_458, UInt<8>("h0c")) @[axi4_to_ahb.scala 128:42] + node _T_460 = bits(_T_459, 0, 0) @[Bitwise.scala 72:15] + node _T_461 = mux(_T_460, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_462 = and(UInt<2>("h02"), _T_461) @[axi4_to_ahb.scala 128:15] + node _T_463 = or(_T_457, _T_462) @[axi4_to_ahb.scala 127:146] + node _T_464 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 129:36] + node _T_465 = eq(_T_464, UInt<8>("h0f0")) @[axi4_to_ahb.scala 129:43] + node _T_466 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 129:67] + node _T_467 = eq(_T_466, UInt<8>("h03")) @[axi4_to_ahb.scala 129:74] + node _T_468 = or(_T_465, _T_467) @[axi4_to_ahb.scala 129:56] + node _T_469 = bits(_T_468, 0, 0) @[Bitwise.scala 72:15] + node _T_470 = mux(_T_469, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_471 = and(UInt<3>("h04"), _T_470) @[axi4_to_ahb.scala 129:15] + node _T_472 = or(_T_463, _T_471) @[axi4_to_ahb.scala 128:63] + node _T_473 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 130:35] + node _T_474 = eq(_T_473, UInt<8>("h0c0")) @[axi4_to_ahb.scala 130:42] + node _T_475 = bits(_T_474, 0, 0) @[Bitwise.scala 72:15] + node _T_476 = mux(_T_475, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_477 = and(UInt<3>("h06"), _T_476) @[axi4_to_ahb.scala 130:15] + node _T_478 = or(_T_472, _T_477) @[axi4_to_ahb.scala 129:96] + node _T_479 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 131:33] + node _T_480 = eq(_T_479, UInt<8>("h0c0")) @[axi4_to_ahb.scala 131:40] + node _T_481 = bits(_T_480, 0, 0) @[Bitwise.scala 72:15] + node _T_482 = mux(_T_481, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_483 = and(UInt<3>("h06"), _T_482) @[axi4_to_ahb.scala 131:13] + node _T_484 = bits(master_addr, 2, 0) @[axi4_to_ahb.scala 265:152] + node _T_485 = mux(_T_445, _T_478, _T_484) @[axi4_to_ahb.scala 265:43] + node _T_486 = cat(_T_441, _T_485) @[Cat.scala 29:58] + buf_addr_in <= _T_486 @[axi4_to_ahb.scala 265:15] + node _T_487 = bits(master_tag, 2, 0) @[axi4_to_ahb.scala 266:27] + buf_tag_in <= _T_487 @[axi4_to_ahb.scala 266:14] + node _T_488 = bits(wrbuf_byteen, 7, 0) @[axi4_to_ahb.scala 267:32] + buf_byteen_in <= _T_488 @[axi4_to_ahb.scala 267:17] + node _T_489 = eq(buf_state, UInt<3>("h03")) @[axi4_to_ahb.scala 268:33] + node _T_490 = bits(ahb_hrdata_q, 63, 0) @[axi4_to_ahb.scala 268:59] + node _T_491 = bits(master_wdata, 63, 0) @[axi4_to_ahb.scala 268:80] + node _T_492 = mux(_T_489, _T_490, _T_491) @[axi4_to_ahb.scala 268:21] + buf_data_in <= _T_492 @[axi4_to_ahb.scala 268:15] + node _T_493 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 269:52] + node _T_494 = eq(_T_493, UInt<2>("h03")) @[axi4_to_ahb.scala 269:58] + node _T_495 = and(buf_aligned_in, _T_494) @[axi4_to_ahb.scala 269:38] + node _T_496 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 269:84] + node _T_497 = eq(_T_496, UInt<1>("h01")) @[axi4_to_ahb.scala 269:91] + node _T_498 = and(_T_495, _T_497) @[axi4_to_ahb.scala 269:71] + node _T_499 = bits(_T_498, 0, 0) @[axi4_to_ahb.scala 269:111] + node _T_500 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 269:142] + node _T_501 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 121:42] + node _T_502 = eq(_T_501, UInt<8>("h0ff")) @[axi4_to_ahb.scala 121:49] + node _T_503 = bits(_T_502, 0, 0) @[Bitwise.scala 72:15] + node _T_504 = mux(_T_503, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_505 = and(UInt<2>("h03"), _T_504) @[axi4_to_ahb.scala 121:25] + node _T_506 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 122:35] + node _T_507 = eq(_T_506, UInt<8>("h0f0")) @[axi4_to_ahb.scala 122:42] + node _T_508 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 122:64] + node _T_509 = eq(_T_508, UInt<8>("h0f")) @[axi4_to_ahb.scala 122:71] + node _T_510 = or(_T_507, _T_509) @[axi4_to_ahb.scala 122:55] + node _T_511 = bits(_T_510, 0, 0) @[Bitwise.scala 72:15] + node _T_512 = mux(_T_511, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_513 = and(UInt<2>("h02"), _T_512) @[axi4_to_ahb.scala 122:16] + node _T_514 = or(_T_505, _T_513) @[axi4_to_ahb.scala 121:64] + node _T_515 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 123:40] + node _T_516 = eq(_T_515, UInt<8>("h0c0")) @[axi4_to_ahb.scala 123:47] + node _T_517 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 123:69] + node _T_518 = eq(_T_517, UInt<6>("h030")) @[axi4_to_ahb.scala 123:76] + node _T_519 = or(_T_516, _T_518) @[axi4_to_ahb.scala 123:60] + node _T_520 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 123:98] + node _T_521 = eq(_T_520, UInt<8>("h0c")) @[axi4_to_ahb.scala 123:105] + node _T_522 = or(_T_519, _T_521) @[axi4_to_ahb.scala 123:89] + node _T_523 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 123:132] + node _T_524 = eq(_T_523, UInt<8>("h03")) @[axi4_to_ahb.scala 123:139] + node _T_525 = or(_T_522, _T_524) @[axi4_to_ahb.scala 123:123] + node _T_526 = bits(_T_525, 0, 0) @[Bitwise.scala 72:15] + node _T_527 = mux(_T_526, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_528 = and(UInt<2>("h01"), _T_527) @[axi4_to_ahb.scala 123:21] + node _T_529 = or(_T_514, _T_528) @[axi4_to_ahb.scala 122:93] + node _T_530 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 269:161] + node _T_531 = mux(_T_499, _T_529, _T_530) @[axi4_to_ahb.scala 269:21] + buf_size_in <= _T_531 @[axi4_to_ahb.scala 269:15] + node _T_532 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 270:32] + node _T_533 = eq(_T_532, UInt<1>("h00")) @[axi4_to_ahb.scala 270:39] + node _T_534 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 271:17] + node _T_535 = eq(_T_534, UInt<1>("h00")) @[axi4_to_ahb.scala 271:24] + node _T_536 = or(_T_533, _T_535) @[axi4_to_ahb.scala 270:48] + node _T_537 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 271:47] + node _T_538 = eq(_T_537, UInt<2>("h01")) @[axi4_to_ahb.scala 271:54] + node _T_539 = or(_T_536, _T_538) @[axi4_to_ahb.scala 271:33] + node _T_540 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 271:86] + node _T_541 = eq(_T_540, UInt<2>("h02")) @[axi4_to_ahb.scala 271:93] + node _T_542 = or(_T_539, _T_541) @[axi4_to_ahb.scala 271:72] + node _T_543 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 272:18] + node _T_544 = eq(_T_543, UInt<2>("h03")) @[axi4_to_ahb.scala 272:25] + node _T_545 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 272:55] + node _T_546 = eq(_T_545, UInt<2>("h03")) @[axi4_to_ahb.scala 272:62] + node _T_547 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 272:90] + node _T_548 = eq(_T_547, UInt<4>("h0c")) @[axi4_to_ahb.scala 272:97] + node _T_549 = or(_T_546, _T_548) @[axi4_to_ahb.scala 272:74] + node _T_550 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 272:125] + node _T_551 = eq(_T_550, UInt<6>("h030")) @[axi4_to_ahb.scala 272:132] + node _T_552 = or(_T_549, _T_551) @[axi4_to_ahb.scala 272:109] + node _T_553 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 272:161] + node _T_554 = eq(_T_553, UInt<8>("h0c0")) @[axi4_to_ahb.scala 272:168] + node _T_555 = or(_T_552, _T_554) @[axi4_to_ahb.scala 272:145] + node _T_556 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 273:21] + node _T_557 = eq(_T_556, UInt<4>("h0f")) @[axi4_to_ahb.scala 273:28] + node _T_558 = or(_T_555, _T_557) @[axi4_to_ahb.scala 272:181] + node _T_559 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 273:56] + node _T_560 = eq(_T_559, UInt<8>("h0f0")) @[axi4_to_ahb.scala 273:63] + node _T_561 = or(_T_558, _T_560) @[axi4_to_ahb.scala 273:40] + node _T_562 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 273:92] + node _T_563 = eq(_T_562, UInt<8>("h0ff")) @[axi4_to_ahb.scala 273:99] + node _T_564 = or(_T_561, _T_563) @[axi4_to_ahb.scala 273:76] + node _T_565 = and(_T_544, _T_564) @[axi4_to_ahb.scala 272:38] + node _T_566 = or(_T_542, _T_565) @[axi4_to_ahb.scala 271:106] + buf_aligned_in <= _T_566 @[axi4_to_ahb.scala 270:18] + node _T_567 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 275:43] + node _T_568 = bits(master_addr, 31, 3) @[axi4_to_ahb.scala 275:62] + node _T_569 = bits(buf_cmd_byte_ptr, 2, 0) @[axi4_to_ahb.scala 275:87] + node _T_570 = cat(_T_568, _T_569) @[Cat.scala 29:58] + node _T_571 = bits(buf_addr, 31, 3) @[axi4_to_ahb.scala 275:108] + node _T_572 = bits(buf_cmd_byte_ptr, 2, 0) @[axi4_to_ahb.scala 275:133] + node _T_573 = cat(_T_571, _T_572) @[Cat.scala 29:58] + node _T_574 = mux(_T_567, _T_570, _T_573) @[axi4_to_ahb.scala 275:26] + io.ahb.out.haddr <= _T_574 @[axi4_to_ahb.scala 275:20] + node _T_575 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 276:43] + node _T_576 = bits(buf_aligned_in, 0, 0) @[Bitwise.scala 72:15] + node _T_577 = mux(_T_576, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_578 = bits(buf_size_in, 1, 0) @[axi4_to_ahb.scala 276:94] + node _T_579 = and(_T_577, _T_578) @[axi4_to_ahb.scala 276:81] + node _T_580 = cat(UInt<1>("h00"), _T_579) @[Cat.scala 29:58] + node _T_581 = bits(buf_aligned, 0, 0) @[Bitwise.scala 72:15] + node _T_582 = mux(_T_581, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_583 = bits(buf_size, 1, 0) @[axi4_to_ahb.scala 276:148] + node _T_584 = and(_T_582, _T_583) @[axi4_to_ahb.scala 276:138] + node _T_585 = cat(UInt<1>("h00"), _T_584) @[Cat.scala 29:58] + node _T_586 = mux(_T_575, _T_580, _T_585) @[axi4_to_ahb.scala 276:26] + io.ahb.out.hsize <= _T_586 @[axi4_to_ahb.scala 276:20] + io.ahb.out.hburst <= UInt<1>("h00") @[axi4_to_ahb.scala 278:21] + io.ahb.out.hmastlock <= UInt<1>("h00") @[axi4_to_ahb.scala 279:24] + node _T_587 = bits(io.axi.ar.bits.prot, 2, 2) @[axi4_to_ahb.scala 280:57] + node _T_588 = eq(_T_587, UInt<1>("h00")) @[axi4_to_ahb.scala 280:37] + node _T_589 = cat(UInt<1>("h01"), _T_588) @[Cat.scala 29:58] + io.ahb.out.hprot <= _T_589 @[axi4_to_ahb.scala 280:20] + node _T_590 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 281:44] + node _T_591 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 281:59] + node _T_592 = eq(_T_591, UInt<1>("h01")) @[axi4_to_ahb.scala 281:66] + node _T_593 = mux(_T_590, _T_592, buf_write) @[axi4_to_ahb.scala 281:27] + io.ahb.out.hwrite <= _T_593 @[axi4_to_ahb.scala 281:21] + node _T_594 = bits(buf_data, 63, 0) @[axi4_to_ahb.scala 282:32] + io.ahb.out.hwdata <= _T_594 @[axi4_to_ahb.scala 282:21] + slave_valid <= slave_valid_pre @[axi4_to_ahb.scala 284:15] + node _T_595 = bits(slvbuf_write, 0, 0) @[axi4_to_ahb.scala 285:43] + node _T_596 = mux(_T_595, UInt<2>("h03"), UInt<1>("h00")) @[axi4_to_ahb.scala 285:23] + node _T_597 = bits(slvbuf_error, 0, 0) @[Bitwise.scala 72:15] + node _T_598 = mux(_T_597, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_599 = and(_T_598, UInt<2>("h02")) @[axi4_to_ahb.scala 285:88] + node _T_600 = cat(_T_596, _T_599) @[Cat.scala 29:58] + slave_opc <= _T_600 @[axi4_to_ahb.scala 285:13] + node _T_601 = bits(slvbuf_error, 0, 0) @[axi4_to_ahb.scala 286:41] + node _T_602 = bits(last_bus_addr, 31, 0) @[axi4_to_ahb.scala 286:66] + node _T_603 = cat(_T_602, _T_602) @[Cat.scala 29:58] + node _T_604 = eq(buf_state, UInt<3>("h05")) @[axi4_to_ahb.scala 286:91] + node _T_605 = bits(buf_data, 63, 0) @[axi4_to_ahb.scala 286:110] + node _T_606 = bits(ahb_hrdata_q, 63, 0) @[axi4_to_ahb.scala 286:131] + node _T_607 = mux(_T_604, _T_605, _T_606) @[axi4_to_ahb.scala 286:79] + node _T_608 = mux(_T_601, _T_603, _T_607) @[axi4_to_ahb.scala 286:21] + slave_rdata <= _T_608 @[axi4_to_ahb.scala 286:15] + node _T_609 = bits(slvbuf_tag, 2, 0) @[axi4_to_ahb.scala 287:26] + slave_tag <= _T_609 @[axi4_to_ahb.scala 287:13] + node _T_610 = bits(io.ahb.out.htrans, 1, 0) @[axi4_to_ahb.scala 289:37] + node _T_611 = neq(_T_610, UInt<1>("h00")) @[axi4_to_ahb.scala 289:44] + node _T_612 = and(_T_611, io.ahb.in.hready) @[axi4_to_ahb.scala 289:56] + node _T_613 = and(_T_612, io.ahb.out.hwrite) @[axi4_to_ahb.scala 289:75] + last_addr_en <= _T_613 @[axi4_to_ahb.scala 289:16] + node _T_614 = and(io.axi.aw.valid, io.axi.aw.ready) @[axi4_to_ahb.scala 291:31] + node _T_615 = and(_T_614, master_ready) @[axi4_to_ahb.scala 291:49] + wrbuf_en <= _T_615 @[axi4_to_ahb.scala 291:12] + node _T_616 = and(io.axi.w.valid, io.axi.w.ready) @[axi4_to_ahb.scala 292:35] + node _T_617 = and(_T_616, master_ready) @[axi4_to_ahb.scala 292:52] + wrbuf_data_en <= _T_617 @[axi4_to_ahb.scala 292:17] + node _T_618 = and(master_valid, master_ready) @[axi4_to_ahb.scala 293:34] + node _T_619 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 293:62] + node _T_620 = eq(_T_619, UInt<1>("h01")) @[axi4_to_ahb.scala 293:69] + node _T_621 = and(_T_618, _T_620) @[axi4_to_ahb.scala 293:49] + wrbuf_cmd_sent <= _T_621 @[axi4_to_ahb.scala 293:18] + node _T_622 = eq(wrbuf_en, UInt<1>("h00")) @[axi4_to_ahb.scala 294:33] + node _T_623 = and(wrbuf_cmd_sent, _T_622) @[axi4_to_ahb.scala 294:31] + wrbuf_rst <= _T_623 @[axi4_to_ahb.scala 294:13] + node _T_624 = eq(wrbuf_cmd_sent, UInt<1>("h00")) @[axi4_to_ahb.scala 296:36] + node _T_625 = and(wrbuf_vld, _T_624) @[axi4_to_ahb.scala 296:34] + node _T_626 = eq(_T_625, UInt<1>("h00")) @[axi4_to_ahb.scala 296:22] + node _T_627 = and(_T_626, master_ready) @[axi4_to_ahb.scala 296:53] + io.axi.aw.ready <= _T_627 @[axi4_to_ahb.scala 296:19] + node _T_628 = eq(wrbuf_cmd_sent, UInt<1>("h00")) @[axi4_to_ahb.scala 297:40] + node _T_629 = and(wrbuf_data_vld, _T_628) @[axi4_to_ahb.scala 297:38] + node _T_630 = eq(_T_629, UInt<1>("h00")) @[axi4_to_ahb.scala 297:21] + node _T_631 = and(_T_630, master_ready) @[axi4_to_ahb.scala 297:57] + io.axi.w.ready <= _T_631 @[axi4_to_ahb.scala 297:18] + node _T_632 = and(wrbuf_vld, wrbuf_data_vld) @[axi4_to_ahb.scala 298:34] + node _T_633 = eq(_T_632, UInt<1>("h00")) @[axi4_to_ahb.scala 298:22] + node _T_634 = and(_T_633, master_ready) @[axi4_to_ahb.scala 298:52] + io.axi.ar.ready <= _T_634 @[axi4_to_ahb.scala 298:19] + io.axi.r.bits.last <= UInt<1>("h01") @[axi4_to_ahb.scala 299:22] + node _T_635 = bits(wrbuf_en, 0, 0) @[axi4_to_ahb.scala 301:71] + node _T_636 = mux(_T_635, UInt<1>("h01"), wrbuf_vld) @[axi4_to_ahb.scala 301:55] + node _T_637 = eq(wrbuf_rst, UInt<1>("h00")) @[axi4_to_ahb.scala 301:91] + node _T_638 = and(_T_636, _T_637) @[axi4_to_ahb.scala 301:89] + reg _T_639 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 301:51] + _T_639 <= _T_638 @[axi4_to_ahb.scala 301:51] + wrbuf_vld <= _T_639 @[axi4_to_ahb.scala 301:21] + node _T_640 = bits(wrbuf_data_en, 0, 0) @[axi4_to_ahb.scala 302:76] + node _T_641 = mux(_T_640, UInt<1>("h01"), wrbuf_data_vld) @[axi4_to_ahb.scala 302:55] + node _T_642 = eq(wrbuf_rst, UInt<1>("h00")) @[axi4_to_ahb.scala 302:102] + node _T_643 = and(_T_641, _T_642) @[axi4_to_ahb.scala 302:100] + reg _T_644 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 302:51] + _T_644 <= _T_643 @[axi4_to_ahb.scala 302:51] + wrbuf_data_vld <= _T_644 @[axi4_to_ahb.scala 302:21] + node _T_645 = bits(io.axi.aw.bits.id, 2, 0) @[axi4_to_ahb.scala 303:71] + node _T_646 = bits(wrbuf_en, 0, 0) @[axi4_to_ahb.scala 303:105] + reg _T_647 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_646 : @[Reg.scala 28:19] + _T_647 <= _T_645 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + wrbuf_tag <= _T_647 @[axi4_to_ahb.scala 303:21] + node _T_648 = bits(io.axi.aw.bits.size, 2, 0) @[axi4_to_ahb.scala 304:73] + node _T_649 = bits(wrbuf_en, 0, 0) @[axi4_to_ahb.scala 304:101] + reg _T_650 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_649 : @[Reg.scala 28:19] + _T_650 <= _T_648 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + wrbuf_size <= _T_650 @[axi4_to_ahb.scala 304:21] + node _T_651 = bits(wrbuf_en, 0, 0) @[axi4_to_ahb.scala 305:61] + inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 368:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= bus_clk @[lib.scala 370:18] + rvclkhdr_2.io.en <= _T_651 @[lib.scala 371:17] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_652 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_652 <= io.axi.aw.bits.addr @[lib.scala 374:16] + wrbuf_addr <= _T_652 @[axi4_to_ahb.scala 305:21] + node _T_653 = bits(wrbuf_data_en, 0, 0) @[axi4_to_ahb.scala 306:65] + inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 368:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= bus_clk @[lib.scala 370:18] + rvclkhdr_3.io.en <= _T_653 @[lib.scala 371:17] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_654 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_654 <= io.axi.w.bits.data @[lib.scala 374:16] + wrbuf_data <= _T_654 @[axi4_to_ahb.scala 306:21] + node _T_655 = bits(io.axi.w.bits.strb, 7, 0) @[axi4_to_ahb.scala 307:72] + node _T_656 = bits(wrbuf_data_en, 0, 0) @[axi4_to_ahb.scala 307:105] + reg _T_657 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_656 : @[Reg.scala 28:19] + _T_657 <= _T_655 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + wrbuf_byteen <= _T_657 @[axi4_to_ahb.scala 307:21] + node _T_658 = bits(io.ahb.out.haddr, 31, 0) @[axi4_to_ahb.scala 308:71] + node _T_659 = bits(last_addr_en, 0, 0) @[axi4_to_ahb.scala 308:104] + reg _T_660 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_659 : @[Reg.scala 28:19] + _T_660 <= _T_658 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + last_bus_addr <= _T_660 @[axi4_to_ahb.scala 308:21] + node _T_661 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 309:89] + reg _T_662 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_661 : @[Reg.scala 28:19] + _T_662 <= buf_write_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_write <= _T_662 @[axi4_to_ahb.scala 309:21] + node _T_663 = bits(buf_tag_in, 2, 0) @[axi4_to_ahb.scala 310:64] + node _T_664 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 310:99] + reg _T_665 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_664 : @[Reg.scala 28:19] + _T_665 <= _T_663 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_tag <= _T_665 @[axi4_to_ahb.scala 310:21] + node _T_666 = bits(buf_addr_in, 31, 0) @[axi4_to_ahb.scala 311:42] + node _T_667 = and(buf_wr_en, io.bus_clk_en) @[axi4_to_ahb.scala 311:61] + node _T_668 = bits(_T_667, 0, 0) @[axi4_to_ahb.scala 311:78] + inst rvclkhdr_4 of rvclkhdr_4 @[lib.scala 368:23] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_4.io.en <= _T_668 @[lib.scala 371:17] + rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_669 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_669 <= _T_666 @[lib.scala 374:16] + buf_addr <= _T_669 @[axi4_to_ahb.scala 311:21] + node _T_670 = bits(buf_size_in, 1, 0) @[axi4_to_ahb.scala 312:65] + node _T_671 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 312:94] + reg _T_672 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_671 : @[Reg.scala 28:19] + _T_672 <= _T_670 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_size <= _T_672 @[axi4_to_ahb.scala 312:21] + node _T_673 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 313:91] + reg _T_674 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_673 : @[Reg.scala 28:19] + _T_674 <= buf_aligned_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_aligned <= _T_674 @[axi4_to_ahb.scala 313:21] + node _T_675 = bits(buf_byteen_in, 7, 0) @[axi4_to_ahb.scala 314:67] + node _T_676 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 314:96] + reg _T_677 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_676 : @[Reg.scala 28:19] + _T_677 <= _T_675 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_byteen <= _T_677 @[axi4_to_ahb.scala 314:21] + node _T_678 = bits(buf_data_in, 63, 0) @[axi4_to_ahb.scala 315:42] + node _T_679 = and(buf_data_wr_en, io.bus_clk_en) @[axi4_to_ahb.scala 315:66] + node _T_680 = bits(_T_679, 0, 0) @[axi4_to_ahb.scala 315:89] + inst rvclkhdr_5 of rvclkhdr_5 @[lib.scala 368:23] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_5.io.en <= _T_680 @[lib.scala 371:17] + rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_681 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_681 <= _T_678 @[lib.scala 374:16] + buf_data <= _T_681 @[axi4_to_ahb.scala 315:21] + node _T_682 = bits(slvbuf_wr_en, 0, 0) @[axi4_to_ahb.scala 316:89] + reg _T_683 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_682 : @[Reg.scala 28:19] + _T_683 <= buf_write @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + slvbuf_write <= _T_683 @[axi4_to_ahb.scala 316:21] + node _T_684 = bits(buf_tag, 2, 0) @[axi4_to_ahb.scala 317:61] + node _T_685 = bits(slvbuf_wr_en, 0, 0) @[axi4_to_ahb.scala 317:99] + reg _T_686 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_685 : @[Reg.scala 28:19] + _T_686 <= _T_684 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + slvbuf_tag <= _T_686 @[axi4_to_ahb.scala 317:21] + node _T_687 = bits(slvbuf_error_en, 0, 0) @[axi4_to_ahb.scala 318:99] + reg _T_688 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_687 : @[Reg.scala 28:19] + _T_688 <= slvbuf_error_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + slvbuf_error <= _T_688 @[axi4_to_ahb.scala 318:21] + node _T_689 = bits(cmd_done, 0, 0) @[axi4_to_ahb.scala 319:72] + node _T_690 = mux(_T_689, UInt<1>("h01"), cmd_doneQ) @[axi4_to_ahb.scala 319:56] + node _T_691 = eq(cmd_done_rst, UInt<1>("h00")) @[axi4_to_ahb.scala 319:92] + node _T_692 = and(_T_690, _T_691) @[axi4_to_ahb.scala 319:90] + reg _T_693 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 319:52] + _T_693 <= _T_692 @[axi4_to_ahb.scala 319:52] + cmd_doneQ <= _T_693 @[axi4_to_ahb.scala 319:21] + node _T_694 = bits(buf_cmd_byte_ptr, 2, 0) @[axi4_to_ahb.scala 320:71] + node _T_695 = bits(buf_cmd_byte_ptr_en, 0, 0) @[axi4_to_ahb.scala 320:110] + reg _T_696 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_695 : @[Reg.scala 28:19] + _T_696 <= _T_694 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_cmd_byte_ptrQ <= _T_696 @[axi4_to_ahb.scala 320:21] + reg _T_697 : UInt<1>, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 321:52] + _T_697 <= io.ahb.in.hready @[axi4_to_ahb.scala 321:52] + ahb_hready_q <= _T_697 @[axi4_to_ahb.scala 321:21] + node _T_698 = bits(io.ahb.out.htrans, 1, 0) @[axi4_to_ahb.scala 322:70] + reg _T_699 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 322:52] + _T_699 <= _T_698 @[axi4_to_ahb.scala 322:52] + ahb_htrans_q <= _T_699 @[axi4_to_ahb.scala 322:21] + reg _T_700 : UInt<1>, ahbm_addr_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 323:57] + _T_700 <= io.ahb.out.hwrite @[axi4_to_ahb.scala 323:57] + ahb_hwrite_q <= _T_700 @[axi4_to_ahb.scala 323:21] + reg _T_701 : UInt<1>, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 324:52] + _T_701 <= io.ahb.in.hresp @[axi4_to_ahb.scala 324:52] + ahb_hresp_q <= _T_701 @[axi4_to_ahb.scala 324:21] + node _T_702 = bits(io.ahb.in.hrdata, 63, 0) @[axi4_to_ahb.scala 325:74] + reg _T_703 : UInt, ahbm_data_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 325:57] + _T_703 <= _T_702 @[axi4_to_ahb.scala 325:57] + ahb_hrdata_q <= _T_703 @[axi4_to_ahb.scala 325:21] + node _T_704 = or(buf_wr_en, slvbuf_wr_en) @[axi4_to_ahb.scala 327:43] + node _T_705 = or(_T_704, io.clk_override) @[axi4_to_ahb.scala 327:58] + node _T_706 = and(io.bus_clk_en, _T_705) @[axi4_to_ahb.scala 327:30] + buf_clken <= _T_706 @[axi4_to_ahb.scala 327:13] + node _T_707 = bits(io.ahb.out.htrans, 1, 1) @[axi4_to_ahb.scala 328:76] + node _T_708 = and(io.ahb.in.hready, _T_707) @[axi4_to_ahb.scala 328:57] + node _T_709 = or(_T_708, io.clk_override) @[axi4_to_ahb.scala 328:81] + node _T_710 = and(io.bus_clk_en, _T_709) @[axi4_to_ahb.scala 328:36] + ahbm_addr_clken <= _T_710 @[axi4_to_ahb.scala 328:19] + node _T_711 = neq(buf_state, UInt<3>("h00")) @[axi4_to_ahb.scala 329:50] + node _T_712 = or(_T_711, io.clk_override) @[axi4_to_ahb.scala 329:60] + node _T_713 = and(io.bus_clk_en, _T_712) @[axi4_to_ahb.scala 329:36] + ahbm_data_clken <= _T_713 @[axi4_to_ahb.scala 329:19] + inst rvclkhdr_6 of rvclkhdr_6 @[lib.scala 343:22] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= reset + rvclkhdr_6.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_6.io.en <= buf_clken @[lib.scala 345:16] + rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + buf_clk <= rvclkhdr_6.io.l1clk @[axi4_to_ahb.scala 332:12] + inst rvclkhdr_7 of rvclkhdr_7 @[lib.scala 343:22] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= reset + rvclkhdr_7.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_7.io.en <= io.bus_clk_en @[lib.scala 345:16] + rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + ahbm_clk <= rvclkhdr_7.io.l1clk @[axi4_to_ahb.scala 333:12] + inst rvclkhdr_8 of rvclkhdr_8 @[lib.scala 343:22] + rvclkhdr_8.clock <= clock + rvclkhdr_8.reset <= reset + rvclkhdr_8.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_8.io.en <= ahbm_addr_clken @[lib.scala 345:16] + rvclkhdr_8.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + ahbm_addr_clk <= rvclkhdr_8.io.l1clk @[axi4_to_ahb.scala 334:17] + inst rvclkhdr_9 of rvclkhdr_9 @[lib.scala 343:22] + rvclkhdr_9.clock <= clock + rvclkhdr_9.reset <= reset + rvclkhdr_9.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_9.io.en <= ahbm_data_clken @[lib.scala 345:16] + rvclkhdr_9.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + ahbm_data_clk <= rvclkhdr_9.io.l1clk @[axi4_to_ahb.scala 335:17] + diff --git a/axi4_to_ahb.v b/axi4_to_ahb.v new file mode 100644 index 00000000..5659c6fa --- /dev/null +++ b/axi4_to_ahb.v @@ -0,0 +1,1139 @@ +module rvclkhdr( + output io_l1clk, + input io_clk, + input io_en, + input io_scan_mode +); + wire clkhdr_Q; // @[lib.scala 334:26] + wire clkhdr_CK; // @[lib.scala 334:26] + wire clkhdr_EN; // @[lib.scala 334:26] + wire clkhdr_SE; // @[lib.scala 334:26] + gated_latch clkhdr ( // @[lib.scala 334:26] + .Q(clkhdr_Q), + .CK(clkhdr_CK), + .EN(clkhdr_EN), + .SE(clkhdr_SE) + ); + assign io_l1clk = clkhdr_Q; // @[lib.scala 335:14] + assign clkhdr_CK = io_clk; // @[lib.scala 336:18] + assign clkhdr_EN = io_en; // @[lib.scala 337:18] + assign clkhdr_SE = io_scan_mode; // @[lib.scala 338:18] +endmodule +module axi4_to_ahb( + input clock, + input reset, + input io_scan_mode, + input io_bus_clk_en, + input io_clk_override, + output io_axi_aw_ready, + input io_axi_aw_valid, + input [2:0] io_axi_aw_bits_id, + input [31:0] io_axi_aw_bits_addr, + input [3:0] io_axi_aw_bits_region, + input [7:0] io_axi_aw_bits_len, + input [2:0] io_axi_aw_bits_size, + input [1:0] io_axi_aw_bits_burst, + input io_axi_aw_bits_lock, + input [3:0] io_axi_aw_bits_cache, + input [2:0] io_axi_aw_bits_prot, + input [3:0] io_axi_aw_bits_qos, + output io_axi_w_ready, + input io_axi_w_valid, + input [63:0] io_axi_w_bits_data, + input [7:0] io_axi_w_bits_strb, + input io_axi_w_bits_last, + input io_axi_b_ready, + output io_axi_b_valid, + output [1:0] io_axi_b_bits_resp, + output [2:0] io_axi_b_bits_id, + output io_axi_ar_ready, + input io_axi_ar_valid, + input [2:0] io_axi_ar_bits_id, + input [31:0] io_axi_ar_bits_addr, + input [3:0] io_axi_ar_bits_region, + input [7:0] io_axi_ar_bits_len, + input [2:0] io_axi_ar_bits_size, + input [1:0] io_axi_ar_bits_burst, + input io_axi_ar_bits_lock, + input [3:0] io_axi_ar_bits_cache, + input [2:0] io_axi_ar_bits_prot, + input [3:0] io_axi_ar_bits_qos, + input io_axi_r_ready, + output io_axi_r_valid, + output [2:0] io_axi_r_bits_id, + output [63:0] io_axi_r_bits_data, + output [1:0] io_axi_r_bits_resp, + output io_axi_r_bits_last, + input [63:0] io_ahb_in_hrdata, + input io_ahb_in_hready, + input io_ahb_in_hresp, + output [31:0] io_ahb_out_haddr, + output [2:0] io_ahb_out_hburst, + output io_ahb_out_hmastlock, + output [3:0] io_ahb_out_hprot, + output [2:0] io_ahb_out_hsize, + output [1:0] io_ahb_out_htrans, + output io_ahb_out_hwrite, + output [63:0] io_ahb_out_hwdata +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; + reg [31:0] _RAND_11; + reg [63:0] _RAND_12; + reg [31:0] _RAND_13; + reg [31:0] _RAND_14; + reg [31:0] _RAND_15; + reg [31:0] _RAND_16; + reg [63:0] _RAND_17; + reg [63:0] _RAND_18; + reg [31:0] _RAND_19; + reg [31:0] _RAND_20; + reg [31:0] _RAND_21; + reg [31:0] _RAND_22; + reg [31:0] _RAND_23; + reg [31:0] _RAND_24; + reg [31:0] _RAND_25; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_io_en; // @[lib.scala 343:22] + wire rvclkhdr_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_1_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_1_io_en; // @[lib.scala 343:22] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_en; // @[lib.scala 368:23] + wire rvclkhdr_2_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_en; // @[lib.scala 368:23] + wire rvclkhdr_3_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_4_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_en; // @[lib.scala 368:23] + wire rvclkhdr_4_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_5_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_en; // @[lib.scala 368:23] + wire rvclkhdr_5_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_6_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_6_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_6_io_en; // @[lib.scala 343:22] + wire rvclkhdr_6_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_7_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_7_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_7_io_en; // @[lib.scala 343:22] + wire rvclkhdr_7_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_8_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_8_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_8_io_en; // @[lib.scala 343:22] + wire rvclkhdr_8_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_9_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_9_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_9_io_en; // @[lib.scala 343:22] + wire rvclkhdr_9_io_scan_mode; // @[lib.scala 343:22] + wire ahbm_clk = rvclkhdr_7_io_l1clk; // @[axi4_to_ahb.scala 24:22 axi4_to_ahb.scala 333:12] + reg [2:0] buf_state; // @[axi4_to_ahb.scala 30:45] + wire _T_49 = 3'h0 == buf_state; // @[Conditional.scala 37:30] + wire bus_clk = rvclkhdr_io_l1clk; // @[axi4_to_ahb.scala 50:21 axi4_to_ahb.scala 162:11] + reg wrbuf_vld; // @[axi4_to_ahb.scala 301:51] + reg wrbuf_data_vld; // @[axi4_to_ahb.scala 302:51] + wire wr_cmd_vld = wrbuf_vld & wrbuf_data_vld; // @[axi4_to_ahb.scala 139:27] + wire master_valid = wr_cmd_vld | io_axi_ar_valid; // @[axi4_to_ahb.scala 140:30] + wire _T_101 = 3'h1 == buf_state; // @[Conditional.scala 37:30] + reg ahb_hready_q; // @[axi4_to_ahb.scala 321:52] + reg [1:0] ahb_htrans_q; // @[axi4_to_ahb.scala 322:52] + wire _T_108 = ahb_htrans_q != 2'h0; // @[axi4_to_ahb.scala 183:58] + wire _T_109 = ahb_hready_q & _T_108; // @[axi4_to_ahb.scala 183:36] + wire ahbm_addr_clk = rvclkhdr_8_io_l1clk; // @[axi4_to_ahb.scala 25:27 axi4_to_ahb.scala 334:17] + reg ahb_hwrite_q; // @[axi4_to_ahb.scala 323:57] + wire _T_110 = ~ahb_hwrite_q; // @[axi4_to_ahb.scala 183:72] + wire _T_111 = _T_109 & _T_110; // @[axi4_to_ahb.scala 183:70] + wire _T_136 = 3'h6 == buf_state; // @[Conditional.scala 37:30] + reg ahb_hresp_q; // @[axi4_to_ahb.scala 324:52] + wire _T_156 = ahb_hready_q | ahb_hresp_q; // @[axi4_to_ahb.scala 197:37] + wire _T_175 = 3'h7 == buf_state; // @[Conditional.scala 37:30] + wire _T_186 = 3'h3 == buf_state; // @[Conditional.scala 37:30] + wire _T_188 = 3'h2 == buf_state; // @[Conditional.scala 37:30] + wire _T_189 = ahb_hready_q & ahb_hwrite_q; // @[axi4_to_ahb.scala 229:33] + wire _T_192 = _T_189 & _T_108; // @[axi4_to_ahb.scala 229:48] + wire _T_281 = 3'h4 == buf_state; // @[Conditional.scala 37:30] + wire _GEN_15 = _T_281 & _T_192; // @[Conditional.scala 39:67] + wire _GEN_19 = _T_188 ? _T_192 : _GEN_15; // @[Conditional.scala 39:67] + wire _GEN_40 = _T_186 ? 1'h0 : _GEN_19; // @[Conditional.scala 39:67] + wire _GEN_59 = _T_175 ? 1'h0 : _GEN_40; // @[Conditional.scala 39:67] + wire _GEN_79 = _T_136 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] + wire _GEN_95 = _T_101 ? 1'h0 : _GEN_79; // @[Conditional.scala 39:67] + wire trxn_done = _T_49 ? 1'h0 : _GEN_95; // @[Conditional.scala 40:58] + reg cmd_doneQ; // @[axi4_to_ahb.scala 319:52] + wire _T_282 = cmd_doneQ & ahb_hready_q; // @[axi4_to_ahb.scala 239:34] + wire _T_283 = _T_282 | ahb_hresp_q; // @[axi4_to_ahb.scala 239:50] + wire _T_440 = 3'h5 == buf_state; // @[Conditional.scala 37:30] + wire slave_ready = io_axi_b_ready & io_axi_r_ready; // @[axi4_to_ahb.scala 157:33] + wire _GEN_1 = _T_440 & slave_ready; // @[Conditional.scala 39:67] + wire _GEN_3 = _T_281 ? _T_283 : _GEN_1; // @[Conditional.scala 39:67] + wire _GEN_20 = _T_188 ? trxn_done : _GEN_3; // @[Conditional.scala 39:67] + wire _GEN_35 = _T_186 ? _T_156 : _GEN_20; // @[Conditional.scala 39:67] + wire _GEN_51 = _T_175 ? _T_111 : _GEN_35; // @[Conditional.scala 39:67] + wire _GEN_69 = _T_136 ? _T_156 : _GEN_51; // @[Conditional.scala 39:67] + wire _GEN_83 = _T_101 ? _T_111 : _GEN_69; // @[Conditional.scala 39:67] + wire buf_state_en = _T_49 ? master_valid : _GEN_83; // @[Conditional.scala 40:58] + wire [1:0] _T_14 = wr_cmd_vld ? 2'h3 : 2'h0; // @[axi4_to_ahb.scala 142:20] + wire [2:0] master_opc = {{1'd0}, _T_14}; // @[axi4_to_ahb.scala 142:14] + wire _T_51 = master_opc[2:1] == 2'h1; // @[axi4_to_ahb.scala 168:41] + wire _GEN_8 = _T_281 & _T_51; // @[Conditional.scala 39:67] + wire _GEN_29 = _T_188 ? 1'h0 : _GEN_8; // @[Conditional.scala 39:67] + wire _GEN_46 = _T_186 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] + wire _GEN_63 = _T_175 ? 1'h0 : _GEN_46; // @[Conditional.scala 39:67] + wire _GEN_81 = _T_136 ? 1'h0 : _GEN_63; // @[Conditional.scala 39:67] + wire _GEN_97 = _T_101 ? 1'h0 : _GEN_81; // @[Conditional.scala 39:67] + wire buf_write_in = _T_49 ? _T_51 : _GEN_97; // @[Conditional.scala 40:58] + wire [2:0] _T_53 = buf_write_in ? 3'h2 : 3'h1; // @[axi4_to_ahb.scala 169:26] + wire _T_103 = master_opc == 3'h0; // @[axi4_to_ahb.scala 182:61] + wire _T_104 = master_valid & _T_103; // @[axi4_to_ahb.scala 182:41] + wire [2:0] _T_106 = _T_104 ? 3'h6 : 3'h3; // @[axi4_to_ahb.scala 182:26] + wire _T_124 = _T_106 == 3'h6; // @[axi4_to_ahb.scala 186:174] + wire _T_125 = _T_111 & _T_124; // @[axi4_to_ahb.scala 186:88] + wire _T_137 = ~ahb_hresp_q; // @[axi4_to_ahb.scala 194:39] + wire _T_138 = ahb_hready_q & _T_137; // @[axi4_to_ahb.scala 194:37] + wire _T_141 = master_valid & _T_51; // @[axi4_to_ahb.scala 194:70] + wire _T_142 = ~_T_141; // @[axi4_to_ahb.scala 194:55] + wire _T_143 = _T_138 & _T_142; // @[axi4_to_ahb.scala 194:53] + wire _T_285 = buf_state_en & _T_137; // @[axi4_to_ahb.scala 240:36] + wire _T_286 = _T_285 & slave_ready; // @[axi4_to_ahb.scala 240:51] + wire _GEN_4 = _T_281 & _T_286; // @[Conditional.scala 39:67] + wire _GEN_26 = _T_188 ? 1'h0 : _GEN_4; // @[Conditional.scala 39:67] + wire _GEN_45 = _T_186 ? 1'h0 : _GEN_26; // @[Conditional.scala 39:67] + wire _GEN_62 = _T_175 ? 1'h0 : _GEN_45; // @[Conditional.scala 39:67] + wire _GEN_66 = _T_136 ? _T_143 : _GEN_62; // @[Conditional.scala 39:67] + wire _GEN_86 = _T_101 ? _T_125 : _GEN_66; // @[Conditional.scala 39:67] + wire master_ready = _T_49 | _GEN_86; // @[Conditional.scala 40:58] + wire _T_149 = master_valid & master_ready; // @[axi4_to_ahb.scala 196:82] + wire _T_152 = _T_149 & _T_103; // @[axi4_to_ahb.scala 196:97] + wire [2:0] _T_154 = _T_152 ? 3'h6 : 3'h3; // @[axi4_to_ahb.scala 196:67] + wire [2:0] _T_155 = ahb_hresp_q ? 3'h7 : _T_154; // @[axi4_to_ahb.scala 196:26] + wire _T_287 = ~slave_ready; // @[axi4_to_ahb.scala 241:42] + wire _T_288 = ahb_hresp_q | _T_287; // @[axi4_to_ahb.scala 241:40] + wire [2:0] _T_293 = _T_51 ? 3'h2 : 3'h1; // @[axi4_to_ahb.scala 241:99] + wire [2:0] _T_294 = master_valid ? _T_293 : 3'h0; // @[axi4_to_ahb.scala 241:65] + wire [2:0] _T_295 = _T_288 ? 3'h5 : _T_294; // @[axi4_to_ahb.scala 241:26] + wire [2:0] _GEN_5 = _T_281 ? _T_295 : 3'h0; // @[Conditional.scala 39:67] + wire [2:0] _GEN_18 = _T_188 ? 3'h4 : _GEN_5; // @[Conditional.scala 39:67] + wire [2:0] _GEN_34 = _T_186 ? 3'h5 : _GEN_18; // @[Conditional.scala 39:67] + wire [2:0] _GEN_50 = _T_175 ? 3'h3 : _GEN_34; // @[Conditional.scala 39:67] + wire [2:0] _GEN_68 = _T_136 ? _T_155 : _GEN_50; // @[Conditional.scala 39:67] + wire [2:0] _GEN_82 = _T_101 ? _T_106 : _GEN_68; // @[Conditional.scala 39:67] + wire [2:0] buf_nxtstate = _T_49 ? _T_53 : _GEN_82; // @[Conditional.scala 40:58] + reg [2:0] wrbuf_tag; // @[Reg.scala 27:20] + reg [31:0] wrbuf_addr; // @[lib.scala 374:16] + wire [31:0] master_addr = wr_cmd_vld ? wrbuf_addr : io_axi_ar_bits_addr; // @[axi4_to_ahb.scala 143:21] + reg [2:0] wrbuf_size; // @[Reg.scala 27:20] + wire [2:0] master_size = wr_cmd_vld ? wrbuf_size : io_axi_ar_bits_size; // @[axi4_to_ahb.scala 144:21] + reg [7:0] wrbuf_byteen; // @[Reg.scala 27:20] + reg [63:0] wrbuf_data; // @[lib.scala 374:16] + wire _T_358 = buf_nxtstate != 3'h5; // @[axi4_to_ahb.scala 251:55] + wire _T_359 = buf_state_en & _T_358; // @[axi4_to_ahb.scala 251:39] + wire _GEN_14 = _T_281 ? _T_359 : _T_440; // @[Conditional.scala 39:67] + wire _GEN_33 = _T_188 ? 1'h0 : _GEN_14; // @[Conditional.scala 39:67] + wire _GEN_49 = _T_186 ? 1'h0 : _GEN_33; // @[Conditional.scala 39:67] + wire _GEN_52 = _T_175 ? buf_state_en : _GEN_49; // @[Conditional.scala 39:67] + wire _GEN_73 = _T_136 ? _T_285 : _GEN_52; // @[Conditional.scala 39:67] + wire _GEN_94 = _T_101 ? 1'h0 : _GEN_73; // @[Conditional.scala 39:67] + wire slave_valid_pre = _T_49 ? 1'h0 : _GEN_94; // @[Conditional.scala 40:58] + wire _T_25 = slave_valid_pre & slave_ready; // @[axi4_to_ahb.scala 149:33] + wire buf_clk = rvclkhdr_6_io_l1clk; // @[axi4_to_ahb.scala 118:21 axi4_to_ahb.scala 332:12] + reg slvbuf_write; // @[Reg.scala 27:20] + wire [1:0] _T_596 = slvbuf_write ? 2'h3 : 2'h0; // @[axi4_to_ahb.scala 285:23] + reg slvbuf_error; // @[Reg.scala 27:20] + wire [1:0] _T_598 = slvbuf_error ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_599 = _T_598 & 2'h2; // @[axi4_to_ahb.scala 285:88] + wire [3:0] slave_opc = {_T_596,_T_599}; // @[Cat.scala 29:58] + wire [1:0] _T_30 = slave_opc[1] ? 2'h3 : 2'h0; // @[axi4_to_ahb.scala 150:55] + reg [2:0] slvbuf_tag; // @[Reg.scala 27:20] + wire _T_35 = slave_opc[3:2] == 2'h0; // @[axi4_to_ahb.scala 153:66] + reg [31:0] last_bus_addr; // @[Reg.scala 27:20] + wire [63:0] _T_603 = {last_bus_addr,last_bus_addr}; // @[Cat.scala 29:58] + wire _T_604 = buf_state == 3'h5; // @[axi4_to_ahb.scala 286:91] + reg [63:0] buf_data; // @[lib.scala 374:16] + wire ahbm_data_clk = rvclkhdr_9_io_l1clk; // @[axi4_to_ahb.scala 26:27 axi4_to_ahb.scala 335:17] + reg [63:0] ahb_hrdata_q; // @[axi4_to_ahb.scala 325:57] + wire [63:0] _T_607 = _T_604 ? buf_data : ahb_hrdata_q; // @[axi4_to_ahb.scala 286:79] + wire _T_44 = io_axi_aw_valid & io_axi_aw_ready; // @[axi4_to_ahb.scala 160:57] + wire _T_45 = io_axi_w_valid & io_axi_w_ready; // @[axi4_to_ahb.scala 160:94] + wire _T_46 = _T_44 | _T_45; // @[axi4_to_ahb.scala 160:76] + wire _T_55 = buf_nxtstate == 3'h2; // @[axi4_to_ahb.scala 172:54] + wire _T_56 = buf_state_en & _T_55; // @[axi4_to_ahb.scala 172:38] + wire [2:0] _T_87 = wrbuf_byteen[6] ? 3'h6 : 3'h7; // @[Mux.scala 98:16] + wire [2:0] _T_88 = wrbuf_byteen[5] ? 3'h5 : _T_87; // @[Mux.scala 98:16] + wire [2:0] _T_89 = wrbuf_byteen[4] ? 3'h4 : _T_88; // @[Mux.scala 98:16] + wire [2:0] _T_90 = wrbuf_byteen[3] ? 3'h3 : _T_89; // @[Mux.scala 98:16] + wire [2:0] _T_91 = wrbuf_byteen[2] ? 3'h2 : _T_90; // @[Mux.scala 98:16] + wire [2:0] _T_92 = wrbuf_byteen[1] ? 3'h1 : _T_91; // @[Mux.scala 98:16] + wire [2:0] _T_93 = wrbuf_byteen[0] ? 3'h0 : _T_92; // @[Mux.scala 98:16] + wire [2:0] _T_95 = buf_write_in ? _T_93 : master_addr[2:0]; // @[axi4_to_ahb.scala 175:30] + wire _T_96 = buf_nxtstate == 3'h1; // @[axi4_to_ahb.scala 177:51] + wire _T_126 = master_ready & master_valid; // @[axi4_to_ahb.scala 188:33] + wire _T_162 = buf_nxtstate == 3'h6; // @[axi4_to_ahb.scala 203:64] + wire _T_163 = _T_126 & _T_162; // @[axi4_to_ahb.scala 203:48] + wire _T_164 = _T_163 & buf_state_en; // @[axi4_to_ahb.scala 203:79] + wire _T_349 = buf_state_en & buf_write_in; // @[axi4_to_ahb.scala 249:33] + wire _T_351 = _T_349 & _T_55; // @[axi4_to_ahb.scala 249:48] + wire _GEN_12 = _T_281 & _T_351; // @[Conditional.scala 39:67] + wire _GEN_32 = _T_188 ? 1'h0 : _GEN_12; // @[Conditional.scala 39:67] + wire _GEN_48 = _T_186 ? 1'h0 : _GEN_32; // @[Conditional.scala 39:67] + wire _GEN_65 = _T_175 ? 1'h0 : _GEN_48; // @[Conditional.scala 39:67] + wire _GEN_75 = _T_136 ? _T_164 : _GEN_65; // @[Conditional.scala 39:67] + wire _GEN_88 = _T_101 ? _T_126 : _GEN_75; // @[Conditional.scala 39:67] + wire bypass_en = _T_49 ? buf_state_en : _GEN_88; // @[Conditional.scala 40:58] + wire [1:0] _T_99 = bypass_en ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_100 = _T_99 & 2'h2; // @[axi4_to_ahb.scala 178:49] + wire _T_112 = ~master_valid; // @[axi4_to_ahb.scala 184:34] + wire _T_113 = buf_state_en & _T_112; // @[axi4_to_ahb.scala 184:32] + reg [31:0] buf_addr; // @[lib.scala 374:16] + wire [2:0] _T_130 = bypass_en ? master_addr[2:0] : buf_addr[2:0]; // @[axi4_to_ahb.scala 189:30] + wire _T_131 = ~buf_state_en; // @[axi4_to_ahb.scala 190:48] + wire _T_132 = _T_131 | bypass_en; // @[axi4_to_ahb.scala 190:62] + wire [1:0] _T_134 = _T_132 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_135 = 2'h2 & _T_134; // @[axi4_to_ahb.scala 190:36] + wire _T_169 = buf_nxtstate != 3'h6; // @[axi4_to_ahb.scala 205:63] + wire _T_170 = _T_169 & buf_state_en; // @[axi4_to_ahb.scala 205:78] + wire _T_171 = ~_T_170; // @[axi4_to_ahb.scala 205:47] + wire [1:0] _T_173 = _T_171 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_174 = 2'h2 & _T_173; // @[axi4_to_ahb.scala 205:36] + wire [1:0] _T_184 = _T_131 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_185 = 2'h2 & _T_184; // @[axi4_to_ahb.scala 215:41] + reg [2:0] buf_cmd_byte_ptrQ; // @[Reg.scala 27:20] + reg [7:0] buf_byteen; // @[Reg.scala 27:20] + wire [2:0] _T_197 = buf_cmd_byte_ptrQ + 3'h1; // @[axi4_to_ahb.scala 135:52] + wire _T_200 = 3'h0 >= _T_197; // @[axi4_to_ahb.scala 136:62] + wire _T_201 = buf_byteen[0] & _T_200; // @[axi4_to_ahb.scala 136:48] + wire _T_203 = 3'h1 >= _T_197; // @[axi4_to_ahb.scala 136:62] + wire _T_204 = buf_byteen[1] & _T_203; // @[axi4_to_ahb.scala 136:48] + wire _T_206 = 3'h2 >= _T_197; // @[axi4_to_ahb.scala 136:62] + wire _T_207 = buf_byteen[2] & _T_206; // @[axi4_to_ahb.scala 136:48] + wire _T_209 = 3'h3 >= _T_197; // @[axi4_to_ahb.scala 136:62] + wire _T_210 = buf_byteen[3] & _T_209; // @[axi4_to_ahb.scala 136:48] + wire _T_212 = 3'h4 >= _T_197; // @[axi4_to_ahb.scala 136:62] + wire _T_213 = buf_byteen[4] & _T_212; // @[axi4_to_ahb.scala 136:48] + wire _T_215 = 3'h5 >= _T_197; // @[axi4_to_ahb.scala 136:62] + wire _T_216 = buf_byteen[5] & _T_215; // @[axi4_to_ahb.scala 136:48] + wire _T_218 = 3'h6 >= _T_197; // @[axi4_to_ahb.scala 136:62] + wire _T_219 = buf_byteen[6] & _T_218; // @[axi4_to_ahb.scala 136:48] + wire [2:0] _T_224 = _T_219 ? 3'h6 : 3'h7; // @[Mux.scala 98:16] + wire [2:0] _T_225 = _T_216 ? 3'h5 : _T_224; // @[Mux.scala 98:16] + wire [2:0] _T_226 = _T_213 ? 3'h4 : _T_225; // @[Mux.scala 98:16] + wire [2:0] _T_227 = _T_210 ? 3'h3 : _T_226; // @[Mux.scala 98:16] + wire [2:0] _T_228 = _T_207 ? 3'h2 : _T_227; // @[Mux.scala 98:16] + wire [2:0] _T_229 = _T_204 ? 3'h1 : _T_228; // @[Mux.scala 98:16] + wire [2:0] _T_230 = _T_201 ? 3'h0 : _T_229; // @[Mux.scala 98:16] + wire [2:0] _T_231 = trxn_done ? _T_230 : buf_cmd_byte_ptrQ; // @[axi4_to_ahb.scala 233:30] + wire _T_232 = buf_cmd_byte_ptrQ == 3'h7; // @[axi4_to_ahb.scala 234:65] + reg buf_aligned; // @[Reg.scala 27:20] + wire _T_233 = buf_aligned | _T_232; // @[axi4_to_ahb.scala 234:44] + wire [7:0] _T_271 = buf_byteen >> _T_230; // @[axi4_to_ahb.scala 234:92] + wire _T_273 = ~_T_271[0]; // @[axi4_to_ahb.scala 234:163] + wire _T_274 = _T_233 | _T_273; // @[axi4_to_ahb.scala 234:79] + wire _T_275 = trxn_done & _T_274; // @[axi4_to_ahb.scala 234:29] + wire _T_346 = _T_232 | _T_273; // @[axi4_to_ahb.scala 248:38] + wire _T_347 = _T_109 & _T_346; // @[axi4_to_ahb.scala 247:80] + wire _T_348 = ahb_hresp_q | _T_347; // @[axi4_to_ahb.scala 247:34] + wire _GEN_11 = _T_281 & _T_348; // @[Conditional.scala 39:67] + wire _GEN_24 = _T_188 ? _T_275 : _GEN_11; // @[Conditional.scala 39:67] + wire _GEN_43 = _T_186 ? 1'h0 : _GEN_24; // @[Conditional.scala 39:67] + wire _GEN_61 = _T_175 ? 1'h0 : _GEN_43; // @[Conditional.scala 39:67] + wire _GEN_74 = _T_136 ? _T_113 : _GEN_61; // @[Conditional.scala 39:67] + wire _GEN_84 = _T_101 ? _T_113 : _GEN_74; // @[Conditional.scala 39:67] + wire cmd_done = _T_49 ? 1'h0 : _GEN_84; // @[Conditional.scala 40:58] + wire _T_276 = cmd_done | cmd_doneQ; // @[axi4_to_ahb.scala 235:47] + wire _T_277 = ~_T_276; // @[axi4_to_ahb.scala 235:36] + wire [1:0] _T_279 = _T_277 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_280 = _T_279 & 2'h2; // @[axi4_to_ahb.scala 235:61] + wire _T_300 = _T_55 | _T_96; // @[axi4_to_ahb.scala 245:62] + wire _T_301 = buf_state_en & _T_300; // @[axi4_to_ahb.scala 245:33] + wire _T_354 = _T_277 | bypass_en; // @[axi4_to_ahb.scala 250:61] + wire [1:0] _T_356 = _T_354 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_357 = _T_356 & 2'h2; // @[axi4_to_ahb.scala 250:75] + wire _T_364 = trxn_done | bypass_en; // @[axi4_to_ahb.scala 253:40] + wire [2:0] _T_439 = bypass_en ? _T_93 : _T_231; // @[axi4_to_ahb.scala 254:30] + wire _GEN_6 = _T_281 & ahb_hresp_q; // @[Conditional.scala 39:67] + wire _GEN_7 = _T_281 ? buf_state_en : _T_440; // @[Conditional.scala 39:67] + wire _GEN_9 = _T_281 & _T_301; // @[Conditional.scala 39:67] + wire _GEN_30 = _T_188 ? 1'h0 : _GEN_9; // @[Conditional.scala 39:67] + wire _GEN_47 = _T_186 ? 1'h0 : _GEN_30; // @[Conditional.scala 39:67] + wire _GEN_64 = _T_175 ? 1'h0 : _GEN_47; // @[Conditional.scala 39:67] + wire _GEN_67 = _T_136 ? _T_152 : _GEN_64; // @[Conditional.scala 39:67] + wire _GEN_87 = _T_101 ? master_ready : _GEN_67; // @[Conditional.scala 39:67] + wire buf_wr_en = _T_49 ? buf_state_en : _GEN_87; // @[Conditional.scala 40:58] + wire _GEN_10 = _T_281 & buf_wr_en; // @[Conditional.scala 39:67] + wire [1:0] _GEN_13 = _T_281 ? _T_357 : 2'h0; // @[Conditional.scala 39:67] + wire _GEN_16 = _T_281 & _T_364; // @[Conditional.scala 39:67] + wire [2:0] _GEN_17 = _T_281 ? _T_439 : 3'h0; // @[Conditional.scala 39:67] + wire _GEN_21 = _T_188 ? buf_state_en : _GEN_16; // @[Conditional.scala 39:67] + wire _GEN_22 = _T_188 & buf_state_en; // @[Conditional.scala 39:67] + wire [2:0] _GEN_23 = _T_188 ? _T_231 : _GEN_17; // @[Conditional.scala 39:67] + wire [1:0] _GEN_25 = _T_188 ? _T_280 : _GEN_13; // @[Conditional.scala 39:67] + wire _GEN_28 = _T_188 ? 1'h0 : _GEN_7; // @[Conditional.scala 39:67] + wire _GEN_31 = _T_188 ? 1'h0 : _GEN_10; // @[Conditional.scala 39:67] + wire _GEN_36 = _T_186 ? buf_state_en : _GEN_31; // @[Conditional.scala 39:67] + wire _GEN_38 = _T_186 ? buf_state_en : _GEN_28; // @[Conditional.scala 39:67] + wire _GEN_39 = _T_186 ? buf_state_en : _GEN_22; // @[Conditional.scala 39:67] + wire _GEN_41 = _T_186 ? 1'h0 : _GEN_21; // @[Conditional.scala 39:67] + wire [2:0] _GEN_42 = _T_186 ? 3'h0 : _GEN_23; // @[Conditional.scala 39:67] + wire [1:0] _GEN_44 = _T_186 ? 2'h0 : _GEN_25; // @[Conditional.scala 39:67] + wire _GEN_53 = _T_175 ? buf_state_en : _GEN_39; // @[Conditional.scala 39:67] + wire [2:0] _GEN_54 = _T_175 ? buf_addr[2:0] : _GEN_42; // @[Conditional.scala 39:67] + wire [1:0] _GEN_55 = _T_175 ? _T_185 : _GEN_44; // @[Conditional.scala 39:67] + wire _GEN_56 = _T_175 ? 1'h0 : _GEN_36; // @[Conditional.scala 39:67] + wire _GEN_58 = _T_175 ? 1'h0 : _GEN_38; // @[Conditional.scala 39:67] + wire _GEN_60 = _T_175 ? 1'h0 : _GEN_41; // @[Conditional.scala 39:67] + wire _GEN_70 = _T_136 ? buf_state_en : _GEN_56; // @[Conditional.scala 39:67] + wire _GEN_72 = _T_136 ? buf_state_en : _GEN_58; // @[Conditional.scala 39:67] + wire [2:0] _GEN_76 = _T_136 ? _T_130 : _GEN_54; // @[Conditional.scala 39:67] + wire [1:0] _GEN_77 = _T_136 ? _T_174 : _GEN_55; // @[Conditional.scala 39:67] + wire _GEN_78 = _T_136 ? buf_wr_en : _GEN_53; // @[Conditional.scala 39:67] + wire _GEN_80 = _T_136 ? 1'h0 : _GEN_60; // @[Conditional.scala 39:67] + wire _GEN_85 = _T_101 ? buf_state_en : _GEN_78; // @[Conditional.scala 39:67] + wire [2:0] _GEN_89 = _T_101 ? _T_130 : _GEN_76; // @[Conditional.scala 39:67] + wire [1:0] _GEN_90 = _T_101 ? _T_135 : _GEN_77; // @[Conditional.scala 39:67] + wire _GEN_91 = _T_101 ? 1'h0 : _GEN_70; // @[Conditional.scala 39:67] + wire _GEN_93 = _T_101 ? 1'h0 : _GEN_72; // @[Conditional.scala 39:67] + wire _GEN_96 = _T_101 ? 1'h0 : _GEN_80; // @[Conditional.scala 39:67] + wire buf_data_wr_en = _T_49 ? _T_56 : _GEN_91; // @[Conditional.scala 40:58] + wire buf_cmd_byte_ptr_en = _T_49 ? buf_state_en : _GEN_96; // @[Conditional.scala 40:58] + wire [2:0] buf_cmd_byte_ptr = _T_49 ? _T_95 : _GEN_89; // @[Conditional.scala 40:58] + wire slvbuf_wr_en = _T_49 ? 1'h0 : _GEN_85; // @[Conditional.scala 40:58] + wire slvbuf_error_en = _T_49 ? 1'h0 : _GEN_93; // @[Conditional.scala 40:58] + wire _T_535 = master_size[1:0] == 2'h0; // @[axi4_to_ahb.scala 271:24] + wire _T_536 = _T_103 | _T_535; // @[axi4_to_ahb.scala 270:48] + wire _T_538 = master_size[1:0] == 2'h1; // @[axi4_to_ahb.scala 271:54] + wire _T_539 = _T_536 | _T_538; // @[axi4_to_ahb.scala 271:33] + wire _T_541 = master_size[1:0] == 2'h2; // @[axi4_to_ahb.scala 271:93] + wire _T_542 = _T_539 | _T_541; // @[axi4_to_ahb.scala 271:72] + wire _T_544 = master_size[1:0] == 2'h3; // @[axi4_to_ahb.scala 272:25] + wire _T_546 = wrbuf_byteen == 8'h3; // @[axi4_to_ahb.scala 272:62] + wire _T_548 = wrbuf_byteen == 8'hc; // @[axi4_to_ahb.scala 272:97] + wire _T_549 = _T_546 | _T_548; // @[axi4_to_ahb.scala 272:74] + wire _T_551 = wrbuf_byteen == 8'h30; // @[axi4_to_ahb.scala 272:132] + wire _T_552 = _T_549 | _T_551; // @[axi4_to_ahb.scala 272:109] + wire _T_554 = wrbuf_byteen == 8'hc0; // @[axi4_to_ahb.scala 272:168] + wire _T_555 = _T_552 | _T_554; // @[axi4_to_ahb.scala 272:145] + wire _T_557 = wrbuf_byteen == 8'hf; // @[axi4_to_ahb.scala 273:28] + wire _T_558 = _T_555 | _T_557; // @[axi4_to_ahb.scala 272:181] + wire _T_560 = wrbuf_byteen == 8'hf0; // @[axi4_to_ahb.scala 273:63] + wire _T_561 = _T_558 | _T_560; // @[axi4_to_ahb.scala 273:40] + wire _T_563 = wrbuf_byteen == 8'hff; // @[axi4_to_ahb.scala 273:99] + wire _T_564 = _T_561 | _T_563; // @[axi4_to_ahb.scala 273:76] + wire _T_565 = _T_544 & _T_564; // @[axi4_to_ahb.scala 272:38] + wire buf_aligned_in = _T_542 | _T_565; // @[axi4_to_ahb.scala 271:106] + wire _T_444 = buf_aligned_in & _T_51; // @[axi4_to_ahb.scala 265:60] + wire [2:0] _T_461 = _T_548 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_462 = 3'h2 & _T_461; // @[axi4_to_ahb.scala 128:15] + wire _T_468 = _T_560 | _T_546; // @[axi4_to_ahb.scala 129:56] + wire [2:0] _T_470 = _T_468 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_471 = 3'h4 & _T_470; // @[axi4_to_ahb.scala 129:15] + wire [2:0] _T_472 = _T_462 | _T_471; // @[axi4_to_ahb.scala 128:63] + wire [2:0] _T_476 = _T_554 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_477 = 3'h6 & _T_476; // @[axi4_to_ahb.scala 130:15] + wire [2:0] _T_478 = _T_472 | _T_477; // @[axi4_to_ahb.scala 129:96] + wire [2:0] _T_485 = _T_444 ? _T_478 : master_addr[2:0]; // @[axi4_to_ahb.scala 265:43] + wire _T_489 = buf_state == 3'h3; // @[axi4_to_ahb.scala 268:33] + wire _T_495 = buf_aligned_in & _T_544; // @[axi4_to_ahb.scala 269:38] + wire _T_498 = _T_495 & _T_51; // @[axi4_to_ahb.scala 269:71] + wire [1:0] _T_504 = _T_563 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire _T_510 = _T_560 | _T_557; // @[axi4_to_ahb.scala 122:55] + wire [1:0] _T_512 = _T_510 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_513 = 2'h2 & _T_512; // @[axi4_to_ahb.scala 122:16] + wire [1:0] _T_514 = _T_504 | _T_513; // @[axi4_to_ahb.scala 121:64] + wire _T_519 = _T_554 | _T_551; // @[axi4_to_ahb.scala 123:60] + wire _T_522 = _T_519 | _T_548; // @[axi4_to_ahb.scala 123:89] + wire _T_525 = _T_522 | _T_546; // @[axi4_to_ahb.scala 123:123] + wire [1:0] _T_527 = _T_525 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_528 = 2'h1 & _T_527; // @[axi4_to_ahb.scala 123:21] + wire [1:0] _T_529 = _T_514 | _T_528; // @[axi4_to_ahb.scala 122:93] + wire [1:0] _T_531 = _T_498 ? _T_529 : master_size[1:0]; // @[axi4_to_ahb.scala 269:21] + wire [31:0] _T_570 = {master_addr[31:3],buf_cmd_byte_ptr}; // @[Cat.scala 29:58] + wire [31:0] _T_573 = {buf_addr[31:3],buf_cmd_byte_ptr}; // @[Cat.scala 29:58] + wire [1:0] _T_577 = buf_aligned_in ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [2:0] buf_size_in = {{1'd0}, _T_531}; // @[axi4_to_ahb.scala 269:15] + wire [1:0] _T_579 = _T_577 & buf_size_in[1:0]; // @[axi4_to_ahb.scala 276:81] + wire [2:0] _T_580 = {1'h0,_T_579}; // @[Cat.scala 29:58] + wire [1:0] _T_582 = buf_aligned ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + reg [1:0] buf_size; // @[Reg.scala 27:20] + wire [1:0] _T_584 = _T_582 & buf_size; // @[axi4_to_ahb.scala 276:138] + wire [2:0] _T_585 = {1'h0,_T_584}; // @[Cat.scala 29:58] + wire _T_588 = ~io_axi_ar_bits_prot[2]; // @[axi4_to_ahb.scala 280:37] + wire [1:0] _T_589 = {1'h1,_T_588}; // @[Cat.scala 29:58] + reg buf_write; // @[Reg.scala 27:20] + wire _T_611 = io_ahb_out_htrans != 2'h0; // @[axi4_to_ahb.scala 289:44] + wire _T_612 = _T_611 & io_ahb_in_hready; // @[axi4_to_ahb.scala 289:56] + wire last_addr_en = _T_612 & io_ahb_out_hwrite; // @[axi4_to_ahb.scala 289:75] + wire wrbuf_en = _T_44 & master_ready; // @[axi4_to_ahb.scala 291:49] + wire wrbuf_data_en = _T_45 & master_ready; // @[axi4_to_ahb.scala 292:52] + wire wrbuf_cmd_sent = _T_149 & _T_51; // @[axi4_to_ahb.scala 293:49] + wire _T_622 = ~wrbuf_en; // @[axi4_to_ahb.scala 294:33] + wire wrbuf_rst = wrbuf_cmd_sent & _T_622; // @[axi4_to_ahb.scala 294:31] + wire _T_624 = ~wrbuf_cmd_sent; // @[axi4_to_ahb.scala 296:36] + wire _T_625 = wrbuf_vld & _T_624; // @[axi4_to_ahb.scala 296:34] + wire _T_626 = ~_T_625; // @[axi4_to_ahb.scala 296:22] + wire _T_629 = wrbuf_data_vld & _T_624; // @[axi4_to_ahb.scala 297:38] + wire _T_630 = ~_T_629; // @[axi4_to_ahb.scala 297:21] + wire _T_633 = ~wr_cmd_vld; // @[axi4_to_ahb.scala 298:22] + wire _T_636 = wrbuf_en | wrbuf_vld; // @[axi4_to_ahb.scala 301:55] + wire _T_637 = ~wrbuf_rst; // @[axi4_to_ahb.scala 301:91] + wire _T_641 = wrbuf_data_en | wrbuf_data_vld; // @[axi4_to_ahb.scala 302:55] + reg [2:0] buf_tag; // @[Reg.scala 27:20] + wire _T_691 = ~slave_valid_pre; // @[axi4_to_ahb.scala 319:92] + wire _T_704 = buf_wr_en | slvbuf_wr_en; // @[axi4_to_ahb.scala 327:43] + wire _T_705 = _T_704 | io_clk_override; // @[axi4_to_ahb.scala 327:58] + wire _T_708 = io_ahb_in_hready & io_ahb_out_htrans[1]; // @[axi4_to_ahb.scala 328:57] + wire _T_709 = _T_708 | io_clk_override; // @[axi4_to_ahb.scala 328:81] + wire _T_711 = buf_state != 3'h0; // @[axi4_to_ahb.scala 329:50] + wire _T_712 = _T_711 | io_clk_override; // @[axi4_to_ahb.scala 329:60] + rvclkhdr rvclkhdr ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + rvclkhdr rvclkhdr_4 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_4_io_l1clk), + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en), + .io_scan_mode(rvclkhdr_4_io_scan_mode) + ); + rvclkhdr rvclkhdr_5 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_5_io_l1clk), + .io_clk(rvclkhdr_5_io_clk), + .io_en(rvclkhdr_5_io_en), + .io_scan_mode(rvclkhdr_5_io_scan_mode) + ); + rvclkhdr rvclkhdr_6 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_6_io_l1clk), + .io_clk(rvclkhdr_6_io_clk), + .io_en(rvclkhdr_6_io_en), + .io_scan_mode(rvclkhdr_6_io_scan_mode) + ); + rvclkhdr rvclkhdr_7 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_7_io_l1clk), + .io_clk(rvclkhdr_7_io_clk), + .io_en(rvclkhdr_7_io_en), + .io_scan_mode(rvclkhdr_7_io_scan_mode) + ); + rvclkhdr rvclkhdr_8 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_8_io_l1clk), + .io_clk(rvclkhdr_8_io_clk), + .io_en(rvclkhdr_8_io_en), + .io_scan_mode(rvclkhdr_8_io_scan_mode) + ); + rvclkhdr rvclkhdr_9 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_9_io_l1clk), + .io_clk(rvclkhdr_9_io_clk), + .io_en(rvclkhdr_9_io_en), + .io_scan_mode(rvclkhdr_9_io_scan_mode) + ); + assign io_axi_aw_ready = _T_626 & master_ready; // @[axi4_to_ahb.scala 296:19] + assign io_axi_w_ready = _T_630 & master_ready; // @[axi4_to_ahb.scala 297:18] + assign io_axi_b_valid = _T_25 & slave_opc[3]; // @[axi4_to_ahb.scala 149:18] + assign io_axi_b_bits_resp = slave_opc[0] ? 2'h2 : _T_30; // @[axi4_to_ahb.scala 150:22] + assign io_axi_b_bits_id = slvbuf_tag; // @[axi4_to_ahb.scala 151:20] + assign io_axi_ar_ready = _T_633 & master_ready; // @[axi4_to_ahb.scala 298:19] + assign io_axi_r_valid = _T_25 & _T_35; // @[axi4_to_ahb.scala 153:18] + assign io_axi_r_bits_id = slvbuf_tag; // @[axi4_to_ahb.scala 155:20] + assign io_axi_r_bits_data = slvbuf_error ? _T_603 : _T_607; // @[axi4_to_ahb.scala 156:22] + assign io_axi_r_bits_resp = slave_opc[0] ? 2'h2 : _T_30; // @[axi4_to_ahb.scala 154:22] + assign io_axi_r_bits_last = 1'h1; // @[axi4_to_ahb.scala 299:22] + assign io_ahb_out_haddr = bypass_en ? _T_570 : _T_573; // @[axi4_to_ahb.scala 275:20] + assign io_ahb_out_hburst = 3'h0; // @[axi4_to_ahb.scala 278:21] + assign io_ahb_out_hmastlock = 1'h0; // @[axi4_to_ahb.scala 279:24] + assign io_ahb_out_hprot = {{2'd0}, _T_589}; // @[axi4_to_ahb.scala 280:20] + assign io_ahb_out_hsize = bypass_en ? _T_580 : _T_585; // @[axi4_to_ahb.scala 276:20] + assign io_ahb_out_htrans = _T_49 ? _T_100 : _GEN_90; // @[axi4_to_ahb.scala 22:21 axi4_to_ahb.scala 178:25 axi4_to_ahb.scala 190:25 axi4_to_ahb.scala 205:25 axi4_to_ahb.scala 215:25 axi4_to_ahb.scala 235:25 axi4_to_ahb.scala 250:25] + assign io_ahb_out_hwrite = bypass_en ? _T_51 : buf_write; // @[axi4_to_ahb.scala 281:21] + assign io_ahb_out_hwdata = buf_data; // @[axi4_to_ahb.scala 282:21] + assign rvclkhdr_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_io_en = io_bus_clk_en; // @[lib.scala 345:16] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_1_io_en = io_bus_clk_en & _T_46; // @[lib.scala 345:16] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_2_io_clk = rvclkhdr_io_l1clk; // @[lib.scala 370:18] + assign rvclkhdr_2_io_en = _T_44 & master_ready; // @[lib.scala 371:17] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_3_io_clk = rvclkhdr_io_l1clk; // @[lib.scala 370:18] + assign rvclkhdr_3_io_en = _T_45 & master_ready; // @[lib.scala 371:17] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_4_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_4_io_en = buf_wr_en & io_bus_clk_en; // @[lib.scala 371:17] + assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_5_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_5_io_en = buf_data_wr_en & io_bus_clk_en; // @[lib.scala 371:17] + assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_6_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_6_io_en = io_bus_clk_en & _T_705; // @[lib.scala 345:16] + assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_7_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_7_io_en = io_bus_clk_en; // @[lib.scala 345:16] + assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_8_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_8_io_en = io_bus_clk_en & _T_709; // @[lib.scala 345:16] + assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_9_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_9_io_en = io_bus_clk_en & _T_712; // @[lib.scala 345:16] + assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + buf_state = _RAND_0[2:0]; + _RAND_1 = {1{`RANDOM}}; + wrbuf_vld = _RAND_1[0:0]; + _RAND_2 = {1{`RANDOM}}; + wrbuf_data_vld = _RAND_2[0:0]; + _RAND_3 = {1{`RANDOM}}; + ahb_hready_q = _RAND_3[0:0]; + _RAND_4 = {1{`RANDOM}}; + ahb_htrans_q = _RAND_4[1:0]; + _RAND_5 = {1{`RANDOM}}; + ahb_hwrite_q = _RAND_5[0:0]; + _RAND_6 = {1{`RANDOM}}; + ahb_hresp_q = _RAND_6[0:0]; + _RAND_7 = {1{`RANDOM}}; + cmd_doneQ = _RAND_7[0:0]; + _RAND_8 = {1{`RANDOM}}; + wrbuf_tag = _RAND_8[2:0]; + _RAND_9 = {1{`RANDOM}}; + wrbuf_addr = _RAND_9[31:0]; + _RAND_10 = {1{`RANDOM}}; + wrbuf_size = _RAND_10[2:0]; + _RAND_11 = {1{`RANDOM}}; + wrbuf_byteen = _RAND_11[7:0]; + _RAND_12 = {2{`RANDOM}}; + wrbuf_data = _RAND_12[63:0]; + _RAND_13 = {1{`RANDOM}}; + slvbuf_write = _RAND_13[0:0]; + _RAND_14 = {1{`RANDOM}}; + slvbuf_error = _RAND_14[0:0]; + _RAND_15 = {1{`RANDOM}}; + slvbuf_tag = _RAND_15[2:0]; + _RAND_16 = {1{`RANDOM}}; + last_bus_addr = _RAND_16[31:0]; + _RAND_17 = {2{`RANDOM}}; + buf_data = _RAND_17[63:0]; + _RAND_18 = {2{`RANDOM}}; + ahb_hrdata_q = _RAND_18[63:0]; + _RAND_19 = {1{`RANDOM}}; + buf_addr = _RAND_19[31:0]; + _RAND_20 = {1{`RANDOM}}; + buf_cmd_byte_ptrQ = _RAND_20[2:0]; + _RAND_21 = {1{`RANDOM}}; + buf_byteen = _RAND_21[7:0]; + _RAND_22 = {1{`RANDOM}}; + buf_aligned = _RAND_22[0:0]; + _RAND_23 = {1{`RANDOM}}; + buf_size = _RAND_23[1:0]; + _RAND_24 = {1{`RANDOM}}; + buf_write = _RAND_24[0:0]; + _RAND_25 = {1{`RANDOM}}; + buf_tag = _RAND_25[2:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + buf_state = 3'h0; + end + if (reset) begin + wrbuf_vld = 1'h0; + end + if (reset) begin + wrbuf_data_vld = 1'h0; + end + if (reset) begin + ahb_hready_q = 1'h0; + end + if (reset) begin + ahb_htrans_q = 2'h0; + end + if (reset) begin + ahb_hwrite_q = 1'h0; + end + if (reset) begin + ahb_hresp_q = 1'h0; + end + if (reset) begin + cmd_doneQ = 1'h0; + end + if (reset) begin + wrbuf_tag = 3'h0; + end + if (reset) begin + wrbuf_addr = 32'h0; + end + if (reset) begin + wrbuf_size = 3'h0; + end + if (reset) begin + wrbuf_byteen = 8'h0; + end + if (reset) begin + wrbuf_data = 64'h0; + end + if (reset) begin + slvbuf_write = 1'h0; + end + if (reset) begin + slvbuf_error = 1'h0; + end + if (reset) begin + slvbuf_tag = 3'h0; + end + if (reset) begin + last_bus_addr = 32'h0; + end + if (reset) begin + buf_data = 64'h0; + end + if (reset) begin + ahb_hrdata_q = 64'h0; + end + if (reset) begin + buf_addr = 32'h0; + end + if (reset) begin + buf_cmd_byte_ptrQ = 3'h0; + end + if (reset) begin + buf_byteen = 8'h0; + end + if (reset) begin + buf_aligned = 1'h0; + end + if (reset) begin + buf_size = 2'h0; + end + if (reset) begin + buf_write = 1'h0; + end + if (reset) begin + buf_tag = 3'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge ahbm_clk or posedge reset) begin + if (reset) begin + buf_state <= 3'h0; + end else if (buf_state_en) begin + if (_T_49) begin + if (buf_write_in) begin + buf_state <= 3'h2; + end else begin + buf_state <= 3'h1; + end + end else if (_T_101) begin + if (_T_104) begin + buf_state <= 3'h6; + end else begin + buf_state <= 3'h3; + end + end else if (_T_136) begin + if (ahb_hresp_q) begin + buf_state <= 3'h7; + end else if (_T_152) begin + buf_state <= 3'h6; + end else begin + buf_state <= 3'h3; + end + end else if (_T_175) begin + buf_state <= 3'h3; + end else if (_T_186) begin + buf_state <= 3'h5; + end else if (_T_188) begin + buf_state <= 3'h4; + end else if (_T_281) begin + if (_T_288) begin + buf_state <= 3'h5; + end else if (master_valid) begin + if (_T_51) begin + buf_state <= 3'h2; + end else begin + buf_state <= 3'h1; + end + end else begin + buf_state <= 3'h0; + end + end else begin + buf_state <= 3'h0; + end + end + end + always @(posedge bus_clk or posedge reset) begin + if (reset) begin + wrbuf_vld <= 1'h0; + end else begin + wrbuf_vld <= _T_636 & _T_637; + end + end + always @(posedge bus_clk or posedge reset) begin + if (reset) begin + wrbuf_data_vld <= 1'h0; + end else begin + wrbuf_data_vld <= _T_641 & _T_637; + end + end + always @(posedge ahbm_clk or posedge reset) begin + if (reset) begin + ahb_hready_q <= 1'h0; + end else begin + ahb_hready_q <= io_ahb_in_hready; + end + end + always @(posedge ahbm_clk or posedge reset) begin + if (reset) begin + ahb_htrans_q <= 2'h0; + end else begin + ahb_htrans_q <= io_ahb_out_htrans; + end + end + always @(posedge ahbm_addr_clk or posedge reset) begin + if (reset) begin + ahb_hwrite_q <= 1'h0; + end else begin + ahb_hwrite_q <= io_ahb_out_hwrite; + end + end + always @(posedge ahbm_clk or posedge reset) begin + if (reset) begin + ahb_hresp_q <= 1'h0; + end else begin + ahb_hresp_q <= io_ahb_in_hresp; + end + end + always @(posedge ahbm_clk or posedge reset) begin + if (reset) begin + cmd_doneQ <= 1'h0; + end else begin + cmd_doneQ <= _T_276 & _T_691; + end + end + always @(posedge bus_clk or posedge reset) begin + if (reset) begin + wrbuf_tag <= 3'h0; + end else if (wrbuf_en) begin + wrbuf_tag <= io_axi_aw_bits_id; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + wrbuf_addr <= 32'h0; + end else begin + wrbuf_addr <= io_axi_aw_bits_addr; + end + end + always @(posedge bus_clk or posedge reset) begin + if (reset) begin + wrbuf_size <= 3'h0; + end else if (wrbuf_en) begin + wrbuf_size <= io_axi_aw_bits_size; + end + end + always @(posedge bus_clk or posedge reset) begin + if (reset) begin + wrbuf_byteen <= 8'h0; + end else if (wrbuf_data_en) begin + wrbuf_byteen <= io_axi_w_bits_strb; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + wrbuf_data <= 64'h0; + end else begin + wrbuf_data <= io_axi_w_bits_data; + end + end + always @(posedge buf_clk or posedge reset) begin + if (reset) begin + slvbuf_write <= 1'h0; + end else if (slvbuf_wr_en) begin + slvbuf_write <= buf_write; + end + end + always @(posedge ahbm_clk or posedge reset) begin + if (reset) begin + slvbuf_error <= 1'h0; + end else if (slvbuf_error_en) begin + if (_T_49) begin + slvbuf_error <= 1'h0; + end else if (_T_101) begin + slvbuf_error <= 1'h0; + end else if (_T_136) begin + slvbuf_error <= ahb_hresp_q; + end else if (_T_175) begin + slvbuf_error <= 1'h0; + end else if (_T_186) begin + slvbuf_error <= ahb_hresp_q; + end else if (_T_188) begin + slvbuf_error <= 1'h0; + end else begin + slvbuf_error <= _GEN_6; + end + end + end + always @(posedge buf_clk or posedge reset) begin + if (reset) begin + slvbuf_tag <= 3'h0; + end else if (slvbuf_wr_en) begin + slvbuf_tag <= buf_tag; + end + end + always @(posedge ahbm_clk or posedge reset) begin + if (reset) begin + last_bus_addr <= 32'h0; + end else if (last_addr_en) begin + last_bus_addr <= io_ahb_out_haddr; + end + end + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + if (reset) begin + buf_data <= 64'h0; + end else if (_T_489) begin + buf_data <= ahb_hrdata_q; + end else begin + buf_data <= wrbuf_data; + end + end + always @(posedge ahbm_data_clk or posedge reset) begin + if (reset) begin + ahb_hrdata_q <= 64'h0; + end else begin + ahb_hrdata_q <= io_ahb_in_hrdata; + end + end + always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + if (reset) begin + buf_addr <= 32'h0; + end else begin + buf_addr <= {master_addr[31:3],_T_485}; + end + end + always @(posedge ahbm_clk or posedge reset) begin + if (reset) begin + buf_cmd_byte_ptrQ <= 3'h0; + end else if (buf_cmd_byte_ptr_en) begin + if (_T_49) begin + if (buf_write_in) begin + if (wrbuf_byteen[0]) begin + buf_cmd_byte_ptrQ <= 3'h0; + end else if (wrbuf_byteen[1]) begin + buf_cmd_byte_ptrQ <= 3'h1; + end else if (wrbuf_byteen[2]) begin + buf_cmd_byte_ptrQ <= 3'h2; + end else if (wrbuf_byteen[3]) begin + buf_cmd_byte_ptrQ <= 3'h3; + end else if (wrbuf_byteen[4]) begin + buf_cmd_byte_ptrQ <= 3'h4; + end else if (wrbuf_byteen[5]) begin + buf_cmd_byte_ptrQ <= 3'h5; + end else if (wrbuf_byteen[6]) begin + buf_cmd_byte_ptrQ <= 3'h6; + end else begin + buf_cmd_byte_ptrQ <= 3'h7; + end + end else begin + buf_cmd_byte_ptrQ <= master_addr[2:0]; + end + end else if (_T_101) begin + if (bypass_en) begin + buf_cmd_byte_ptrQ <= master_addr[2:0]; + end else begin + buf_cmd_byte_ptrQ <= buf_addr[2:0]; + end + end else if (_T_136) begin + if (bypass_en) begin + buf_cmd_byte_ptrQ <= master_addr[2:0]; + end else begin + buf_cmd_byte_ptrQ <= buf_addr[2:0]; + end + end else if (_T_175) begin + buf_cmd_byte_ptrQ <= buf_addr[2:0]; + end else if (_T_186) begin + buf_cmd_byte_ptrQ <= 3'h0; + end else if (_T_188) begin + if (trxn_done) begin + if (_T_201) begin + buf_cmd_byte_ptrQ <= 3'h0; + end else if (_T_204) begin + buf_cmd_byte_ptrQ <= 3'h1; + end else if (_T_207) begin + buf_cmd_byte_ptrQ <= 3'h2; + end else if (_T_210) begin + buf_cmd_byte_ptrQ <= 3'h3; + end else if (_T_213) begin + buf_cmd_byte_ptrQ <= 3'h4; + end else if (_T_216) begin + buf_cmd_byte_ptrQ <= 3'h5; + end else if (_T_219) begin + buf_cmd_byte_ptrQ <= 3'h6; + end else begin + buf_cmd_byte_ptrQ <= 3'h7; + end + end + end else if (_T_281) begin + if (bypass_en) begin + if (wrbuf_byteen[0]) begin + buf_cmd_byte_ptrQ <= 3'h0; + end else if (wrbuf_byteen[1]) begin + buf_cmd_byte_ptrQ <= 3'h1; + end else if (wrbuf_byteen[2]) begin + buf_cmd_byte_ptrQ <= 3'h2; + end else if (wrbuf_byteen[3]) begin + buf_cmd_byte_ptrQ <= 3'h3; + end else if (wrbuf_byteen[4]) begin + buf_cmd_byte_ptrQ <= 3'h4; + end else if (wrbuf_byteen[5]) begin + buf_cmd_byte_ptrQ <= 3'h5; + end else if (wrbuf_byteen[6]) begin + buf_cmd_byte_ptrQ <= 3'h6; + end else begin + buf_cmd_byte_ptrQ <= 3'h7; + end + end else if (trxn_done) begin + if (_T_201) begin + buf_cmd_byte_ptrQ <= 3'h0; + end else if (_T_204) begin + buf_cmd_byte_ptrQ <= 3'h1; + end else if (_T_207) begin + buf_cmd_byte_ptrQ <= 3'h2; + end else if (_T_210) begin + buf_cmd_byte_ptrQ <= 3'h3; + end else if (_T_213) begin + buf_cmd_byte_ptrQ <= 3'h4; + end else if (_T_216) begin + buf_cmd_byte_ptrQ <= 3'h5; + end else if (_T_219) begin + buf_cmd_byte_ptrQ <= 3'h6; + end else begin + buf_cmd_byte_ptrQ <= 3'h7; + end + end + end else begin + buf_cmd_byte_ptrQ <= 3'h0; + end + end + end + always @(posedge buf_clk or posedge reset) begin + if (reset) begin + buf_byteen <= 8'h0; + end else if (buf_wr_en) begin + buf_byteen <= wrbuf_byteen; + end + end + always @(posedge buf_clk or posedge reset) begin + if (reset) begin + buf_aligned <= 1'h0; + end else if (buf_wr_en) begin + buf_aligned <= buf_aligned_in; + end + end + always @(posedge buf_clk or posedge reset) begin + if (reset) begin + buf_size <= 2'h0; + end else if (buf_wr_en) begin + buf_size <= buf_size_in[1:0]; + end + end + always @(posedge buf_clk or posedge reset) begin + if (reset) begin + buf_write <= 1'h0; + end else if (buf_wr_en) begin + if (_T_49) begin + buf_write <= _T_51; + end else if (_T_101) begin + buf_write <= 1'h0; + end else if (_T_136) begin + buf_write <= 1'h0; + end else if (_T_175) begin + buf_write <= 1'h0; + end else if (_T_186) begin + buf_write <= 1'h0; + end else if (_T_188) begin + buf_write <= 1'h0; + end else begin + buf_write <= _GEN_8; + end + end + end + always @(posedge buf_clk or posedge reset) begin + if (reset) begin + buf_tag <= 3'h0; + end else if (buf_wr_en) begin + if (wr_cmd_vld) begin + buf_tag <= wrbuf_tag; + end else begin + buf_tag <= io_axi_ar_bits_id; + end + end + end +endmodule diff --git a/build.sbt b/build.sbt index dfa04954..a4c95998 100644 --- a/build.sbt +++ b/build.sbt @@ -26,7 +26,9 @@ def javacOptionsVersion(scalaVersion: String): Seq[String] = { } } -name := "chisel-module-template" +name := "Quasar" + +organization := "https://www.lampromellon.com/" version := "3.3.0" diff --git a/dbg.anno.json b/dbg.anno.json new file mode 100644 index 00000000..25f4fa23 --- /dev/null +++ b/dbg.anno.json @@ -0,0 +1,89 @@ +[ + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dbg|dbg>io_dbg_dma_dbg_ib_dbg_cmd_valid", + "sources":[ + "~dbg|dbg>io_dbg_dec_dbg_ib_dbg_cmd_valid", + "~dbg|dbg>io_dbg_dma_io_dma_dbg_ready" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dbg|dbg>io_dbg_dec_dbg_ib_dbg_cmd_valid", + "sources":[ + "~dbg|dbg>io_dbg_dma_io_dma_dbg_ready" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dbg|dbg>io_dbg_dma_dbg_ib_dbg_cmd_addr", + "sources":[ + "~dbg|dbg>io_dbg_dec_dbg_ib_dbg_cmd_addr" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dbg|dbg>io_dbg_dma_dbg_dctl_dbg_cmd_wrdata", + "sources":[ + "~dbg|dbg>io_dbg_dec_dbg_dctl_dbg_cmd_wrdata" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dbg|dbg>io_dbg_dma_dbg_ib_dbg_cmd_type", + "sources":[ + "~dbg|dbg>io_dbg_dec_dbg_ib_dbg_cmd_type" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dbg|dbg>io_dbg_dma_dbg_ib_dbg_cmd_write", + "sources":[ + "~dbg|dbg>io_dbg_dec_dbg_ib_dbg_cmd_write" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dbg|dbg>io_dbg_resume_req", + "sources":[ + "~dbg|dbg>io_dec_tlu_mpc_halted_only", + "~dbg|dbg>io_dec_tlu_debug_mode", + "~dbg|dbg>io_dbg_dec_dbg_ib_dbg_cmd_valid", + "~dbg|dbg>io_core_dbg_cmd_done", + "~dbg|dbg>io_dmi_reg_wr_en", + "~dbg|dbg>io_dmi_reg_en", + "~dbg|dbg>io_dbg_dma_io_dma_dbg_ready", + "~dbg|dbg>io_dmi_reg_addr", + "~dbg|dbg>reset" + ] + }, + { + "class":"firrtl.EmitCircuitAnnotation", + "emitter":"firrtl.VerilogEmitter" + }, + { + "class":"firrtl.transforms.BlackBoxResourceAnno", + "target":"dbg.gated_latch", + "resourceId":"/vsrc/gated_latch.v" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~dbg|dbg>rst_temp" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~dbg|dbg>dbg_dm_rst_l" + }, + { + "class":"firrtl.options.TargetDirAnnotation", + "directory":"." + }, + { + "class":"firrtl.options.OutputAnnotationFileAnnotation", + "file":"dbg" + }, + { + "class":"firrtl.transforms.BlackBoxTargetDirAnno", + "targetDir":"." + } +] \ No newline at end of file diff --git a/dbg.fir b/dbg.fir new file mode 100644 index 00000000..12311978 --- /dev/null +++ b/dbg.fir @@ -0,0 +1,1280 @@ +;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 +circuit dbg : + extmodule gated_latch : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_1 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_1 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_1 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_2 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_2 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_2 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_3 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_3 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_3 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_4 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_4 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_4 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_5 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_5 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_5 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_6 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_6 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_6 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_7 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_7 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_7 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module dbg : + input clock : Clock + input reset : AsyncReset + output io : {dbg_cmd_size : UInt<2>, dbg_core_rst_l : UInt<1>, flip core_dbg_rddata : UInt<32>, flip core_dbg_cmd_done : UInt<1>, flip core_dbg_cmd_fail : UInt<1>, dbg_halt_req : UInt<1>, dbg_resume_req : UInt<1>, flip dec_tlu_debug_mode : UInt<1>, flip dec_tlu_dbg_halted : UInt<1>, flip dec_tlu_mpc_halted_only : UInt<1>, flip dec_tlu_resume_ack : UInt<1>, flip dmi_reg_en : UInt<1>, flip dmi_reg_addr : UInt<7>, flip dmi_reg_wr_en : UInt<1>, flip dmi_reg_wdata : UInt<32>, dmi_reg_rdata : UInt<32>, sb_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<1>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip dbg_dec : {dbg_ib : {flip dbg_cmd_valid : UInt<1>, flip dbg_cmd_write : UInt<1>, flip dbg_cmd_type : UInt<2>, flip dbg_cmd_addr : UInt<32>}, dbg_dctl : {flip dbg_cmd_wrdata : UInt<32>}}, flip dbg_dma : {dbg_ib : {flip dbg_cmd_valid : UInt<1>, flip dbg_cmd_write : UInt<1>, flip dbg_cmd_type : UInt<2>, flip dbg_cmd_addr : UInt<32>}, dbg_dctl : {flip dbg_cmd_wrdata : UInt<32>}}, flip dbg_dma_io : {flip dbg_dma_bubble : UInt<1>, dma_dbg_ready : UInt<1>}, flip dbg_bus_clk_en : UInt<1>, flip dbg_rst_l : UInt<1>, flip clk_override : UInt<1>, flip scan_mode : UInt<1>} + + wire dbg_state : UInt<3> + dbg_state <= UInt<3>("h00") + wire dbg_state_en : UInt<1> + dbg_state_en <= UInt<1>("h00") + wire sb_state : UInt<4> + sb_state <= UInt<4>("h00") + wire sb_state_en : UInt<1> + sb_state_en <= UInt<1>("h00") + wire dmcontrol_reg : UInt<32> + dmcontrol_reg <= UInt<32>("h00") + wire sbaddress0_reg : UInt<32> + sbaddress0_reg <= UInt<32>("h00") + wire sbcs_sbbusy_wren : UInt<1> + sbcs_sbbusy_wren <= UInt<1>("h00") + wire sbcs_sberror_wren : UInt<1> + sbcs_sberror_wren <= UInt<1>("h00") + wire sb_bus_rdata : UInt<64> + sb_bus_rdata <= UInt<64>("h00") + wire sbaddress0_reg_wren1 : UInt<1> + sbaddress0_reg_wren1 <= UInt<1>("h00") + wire dmstatus_reg : UInt<32> + dmstatus_reg <= UInt<32>("h00") + wire dmstatus_havereset : UInt<1> + dmstatus_havereset <= UInt<1>("h00") + wire dmstatus_resumeack : UInt<1> + dmstatus_resumeack <= UInt<1>("h00") + wire dmstatus_unavail : UInt<1> + dmstatus_unavail <= UInt<1>("h00") + wire dmstatus_running : UInt<1> + dmstatus_running <= UInt<1>("h00") + wire dmstatus_halted : UInt<1> + dmstatus_halted <= UInt<1>("h00") + wire abstractcs_busy_wren : UInt<1> + abstractcs_busy_wren <= UInt<1>("h00") + wire abstractcs_busy_din : UInt<1> + abstractcs_busy_din <= UInt<1>("h00") + wire sb_bus_cmd_read : UInt<1> + sb_bus_cmd_read <= UInt<1>("h00") + wire sb_bus_cmd_write_addr : UInt<1> + sb_bus_cmd_write_addr <= UInt<1>("h00") + wire sb_bus_cmd_write_data : UInt<1> + sb_bus_cmd_write_data <= UInt<1>("h00") + wire sb_bus_rsp_read : UInt<1> + sb_bus_rsp_read <= UInt<1>("h00") + wire sb_bus_rsp_error : UInt<1> + sb_bus_rsp_error <= UInt<1>("h00") + wire sb_bus_rsp_write : UInt<1> + sb_bus_rsp_write <= UInt<1>("h00") + wire sbcs_sbbusy_din : UInt<1> + sbcs_sbbusy_din <= UInt<1>("h00") + wire sbcs_sberror_din : UInt<3> + sbcs_sberror_din <= UInt<3>("h00") + wire data1_reg : UInt<32> + data1_reg <= UInt<32>("h00") + wire sbcs_reg : UInt<32> + sbcs_reg <= UInt<32>("h00") + node _T = neq(dbg_state, UInt<3>("h00")) @[dbg.scala 95:51] + node _T_1 = or(io.dmi_reg_en, _T) @[dbg.scala 95:38] + node _T_2 = or(_T_1, dbg_state_en) @[dbg.scala 95:69] + node _T_3 = or(_T_2, io.dec_tlu_dbg_halted) @[dbg.scala 95:84] + node dbg_free_clken = or(_T_3, io.clk_override) @[dbg.scala 95:108] + node _T_4 = or(io.dmi_reg_en, sb_state_en) @[dbg.scala 96:37] + node _T_5 = neq(sb_state, UInt<4>("h00")) @[dbg.scala 96:63] + node _T_6 = or(_T_4, _T_5) @[dbg.scala 96:51] + node sb_free_clken = or(_T_6, io.clk_override) @[dbg.scala 96:86] + inst rvclkhdr of rvclkhdr @[lib.scala 343:22] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 344:17] + rvclkhdr.io.en <= dbg_free_clken @[lib.scala 345:16] + rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 343:22] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_1.io.en <= sb_free_clken @[lib.scala 345:16] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + node _T_7 = bits(io.dbg_rst_l, 0, 0) @[dbg.scala 100:42] + node _T_8 = bits(dmcontrol_reg, 0, 0) @[dbg.scala 100:61] + node _T_9 = or(_T_8, io.scan_mode) @[dbg.scala 100:65] + node _T_10 = and(_T_7, _T_9) @[dbg.scala 100:45] + node dbg_dm_rst_l = asAsyncReset(_T_10) @[dbg.scala 100:94] + node _T_11 = asUInt(dbg_dm_rst_l) @[dbg.scala 102:38] + node _T_12 = asUInt(reset) @[dbg.scala 102:55] + node _T_13 = and(_T_11, _T_12) @[dbg.scala 102:41] + node rst_temp = asAsyncReset(_T_13) @[dbg.scala 102:71] + node _T_14 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 105:39] + node _T_15 = eq(_T_14, UInt<1>("h00")) @[dbg.scala 105:25] + node _T_16 = bits(_T_15, 0, 0) @[dbg.scala 105:50] + io.dbg_core_rst_l <= _T_16 @[dbg.scala 105:21] + node _T_17 = eq(io.dmi_reg_addr, UInt<6>("h038")) @[dbg.scala 106:36] + node _T_18 = and(_T_17, io.dmi_reg_en) @[dbg.scala 106:49] + node _T_19 = and(_T_18, io.dmi_reg_wr_en) @[dbg.scala 106:65] + node _T_20 = eq(sb_state, UInt<4>("h00")) @[dbg.scala 106:96] + node sbcs_wren = and(_T_19, _T_20) @[dbg.scala 106:84] + node _T_21 = bits(io.dmi_reg_wdata, 22, 22) @[dbg.scala 107:60] + node _T_22 = and(sbcs_wren, _T_21) @[dbg.scala 107:42] + node _T_23 = neq(sb_state, UInt<4>("h00")) @[dbg.scala 107:79] + node _T_24 = and(_T_23, io.dmi_reg_en) @[dbg.scala 107:102] + node _T_25 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 108:23] + node _T_26 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 108:55] + node _T_27 = or(_T_25, _T_26) @[dbg.scala 108:36] + node _T_28 = eq(io.dmi_reg_addr, UInt<6>("h03d")) @[dbg.scala 108:87] + node _T_29 = or(_T_27, _T_28) @[dbg.scala 108:68] + node _T_30 = and(_T_24, _T_29) @[dbg.scala 107:118] + node sbcs_sbbusyerror_wren = or(_T_22, _T_30) @[dbg.scala 107:66] + node _T_31 = bits(io.dmi_reg_wdata, 22, 22) @[dbg.scala 110:61] + node _T_32 = and(sbcs_wren, _T_31) @[dbg.scala 110:43] + node sbcs_sbbusyerror_din = not(_T_32) @[dbg.scala 110:31] + reg temp_sbcs_22 : UInt, rvclkhdr_1.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] + when sbcs_sbbusyerror_wren : @[Reg.scala 28:19] + temp_sbcs_22 <= sbcs_sbbusyerror_din @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg temp_sbcs_21 : UInt, rvclkhdr_1.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] + when sbcs_sbbusy_wren : @[Reg.scala 28:19] + temp_sbcs_21 <= sbcs_sbbusy_din @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_33 = bits(io.dmi_reg_wdata, 20, 20) @[dbg.scala 120:31] + reg temp_sbcs_20 : UInt, rvclkhdr_1.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] + when sbcs_wren : @[Reg.scala 28:19] + temp_sbcs_20 <= _T_33 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_34 = bits(io.dmi_reg_wdata, 19, 15) @[dbg.scala 124:31] + reg temp_sbcs_19_15 : UInt, rvclkhdr_1.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] + when sbcs_wren : @[Reg.scala 28:19] + temp_sbcs_19_15 <= _T_34 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_35 = bits(sbcs_sberror_din, 2, 0) @[dbg.scala 128:31] + reg temp_sbcs_14_12 : UInt, rvclkhdr_1.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] + when sbcs_sberror_wren : @[Reg.scala 28:19] + temp_sbcs_14_12 <= _T_35 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_36 = cat(UInt<7>("h020"), UInt<5>("h0f")) @[Cat.scala 29:58] + node _T_37 = cat(temp_sbcs_19_15, temp_sbcs_14_12) @[Cat.scala 29:58] + node _T_38 = cat(_T_37, _T_36) @[Cat.scala 29:58] + node _T_39 = cat(temp_sbcs_21, temp_sbcs_20) @[Cat.scala 29:58] + node _T_40 = cat(UInt<3>("h01"), UInt<6>("h00")) @[Cat.scala 29:58] + node _T_41 = cat(_T_40, temp_sbcs_22) @[Cat.scala 29:58] + node _T_42 = cat(_T_41, _T_39) @[Cat.scala 29:58] + node _T_43 = cat(_T_42, _T_38) @[Cat.scala 29:58] + sbcs_reg <= _T_43 @[dbg.scala 130:12] + node _T_44 = bits(sbcs_reg, 19, 17) @[dbg.scala 132:33] + node _T_45 = eq(_T_44, UInt<3>("h01")) @[dbg.scala 132:42] + node _T_46 = bits(sbaddress0_reg, 0, 0) @[dbg.scala 132:77] + node _T_47 = and(_T_45, _T_46) @[dbg.scala 132:61] + node _T_48 = bits(sbcs_reg, 19, 17) @[dbg.scala 133:14] + node _T_49 = eq(_T_48, UInt<3>("h02")) @[dbg.scala 133:23] + node _T_50 = bits(sbaddress0_reg, 1, 0) @[dbg.scala 133:58] + node _T_51 = orr(_T_50) @[dbg.scala 133:65] + node _T_52 = and(_T_49, _T_51) @[dbg.scala 133:42] + node _T_53 = or(_T_47, _T_52) @[dbg.scala 132:81] + node _T_54 = bits(sbcs_reg, 19, 17) @[dbg.scala 134:14] + node _T_55 = eq(_T_54, UInt<3>("h03")) @[dbg.scala 134:23] + node _T_56 = bits(sbaddress0_reg, 2, 0) @[dbg.scala 134:58] + node _T_57 = orr(_T_56) @[dbg.scala 134:65] + node _T_58 = and(_T_55, _T_57) @[dbg.scala 134:42] + node sbcs_unaligned = or(_T_53, _T_58) @[dbg.scala 133:69] + node sbcs_illegal_size = bits(sbcs_reg, 19, 19) @[dbg.scala 136:35] + node _T_59 = bits(sbcs_reg, 19, 17) @[dbg.scala 137:42] + node _T_60 = eq(_T_59, UInt<1>("h00")) @[dbg.scala 137:51] + node _T_61 = bits(_T_60, 0, 0) @[Bitwise.scala 72:15] + node _T_62 = mux(_T_61, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_63 = and(_T_62, UInt<4>("h01")) @[dbg.scala 137:64] + node _T_64 = bits(sbcs_reg, 19, 17) @[dbg.scala 137:100] + node _T_65 = eq(_T_64, UInt<1>("h01")) @[dbg.scala 137:109] + node _T_66 = bits(_T_65, 0, 0) @[Bitwise.scala 72:15] + node _T_67 = mux(_T_66, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_68 = and(_T_67, UInt<4>("h02")) @[dbg.scala 137:122] + node _T_69 = or(_T_63, _T_68) @[dbg.scala 137:81] + node _T_70 = bits(sbcs_reg, 19, 17) @[dbg.scala 138:22] + node _T_71 = eq(_T_70, UInt<2>("h02")) @[dbg.scala 138:31] + node _T_72 = bits(_T_71, 0, 0) @[Bitwise.scala 72:15] + node _T_73 = mux(_T_72, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_74 = and(_T_73, UInt<4>("h04")) @[dbg.scala 138:44] + node _T_75 = or(_T_69, _T_74) @[dbg.scala 137:139] + node _T_76 = bits(sbcs_reg, 19, 17) @[dbg.scala 138:80] + node _T_77 = eq(_T_76, UInt<2>("h03")) @[dbg.scala 138:89] + node _T_78 = bits(_T_77, 0, 0) @[Bitwise.scala 72:15] + node _T_79 = mux(_T_78, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_80 = and(_T_79, UInt<4>("h08")) @[dbg.scala 138:102] + node sbaddress0_incr = or(_T_75, _T_80) @[dbg.scala 138:61] + node _T_81 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 140:41] + node _T_82 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 140:79] + node sbdata0_reg_wren0 = and(_T_81, _T_82) @[dbg.scala 140:60] + node _T_83 = eq(sb_state, UInt<4>("h07")) @[dbg.scala 141:37] + node _T_84 = and(_T_83, sb_state_en) @[dbg.scala 141:60] + node _T_85 = eq(sbcs_sberror_wren, UInt<1>("h00")) @[dbg.scala 141:76] + node sbdata0_reg_wren1 = and(_T_84, _T_85) @[dbg.scala 141:74] + node sbdata0_reg_wren = or(sbdata0_reg_wren0, sbdata0_reg_wren1) @[dbg.scala 142:44] + node _T_86 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 143:41] + node _T_87 = eq(io.dmi_reg_addr, UInt<6>("h03d")) @[dbg.scala 143:79] + node sbdata1_reg_wren0 = and(_T_86, _T_87) @[dbg.scala 143:60] + node _T_88 = eq(sb_state, UInt<4>("h07")) @[dbg.scala 144:37] + node _T_89 = and(_T_88, sb_state_en) @[dbg.scala 144:60] + node _T_90 = eq(sbcs_sberror_wren, UInt<1>("h00")) @[dbg.scala 144:76] + node sbdata1_reg_wren1 = and(_T_89, _T_90) @[dbg.scala 144:74] + node sbdata1_reg_wren = or(sbdata1_reg_wren0, sbdata1_reg_wren1) @[dbg.scala 145:44] + node _T_91 = bits(sbdata0_reg_wren0, 0, 0) @[Bitwise.scala 72:15] + node _T_92 = mux(_T_91, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_93 = and(_T_92, io.dmi_reg_wdata) @[dbg.scala 146:49] + node _T_94 = bits(sbdata0_reg_wren1, 0, 0) @[Bitwise.scala 72:15] + node _T_95 = mux(_T_94, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_96 = bits(sb_bus_rdata, 31, 0) @[dbg.scala 147:47] + node _T_97 = and(_T_95, _T_96) @[dbg.scala 147:33] + node sbdata0_din = or(_T_93, _T_97) @[dbg.scala 146:68] + node _T_98 = bits(sbdata1_reg_wren0, 0, 0) @[Bitwise.scala 72:15] + node _T_99 = mux(_T_98, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_100 = and(_T_99, io.dmi_reg_wdata) @[dbg.scala 149:49] + node _T_101 = bits(sbdata1_reg_wren1, 0, 0) @[Bitwise.scala 72:15] + node _T_102 = mux(_T_101, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_103 = bits(sb_bus_rdata, 63, 32) @[dbg.scala 150:47] + node _T_104 = and(_T_102, _T_103) @[dbg.scala 150:33] + node sbdata1_din = or(_T_100, _T_104) @[dbg.scala 149:68] + inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 368:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= dbg_dm_rst_l + rvclkhdr_2.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_2.io.en <= sbdata0_reg_wren @[lib.scala 371:17] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg sbdata0_reg : UInt, rvclkhdr_2.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[lib.scala 374:16] + sbdata0_reg <= sbdata0_din @[lib.scala 374:16] + inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 368:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= dbg_dm_rst_l + rvclkhdr_3.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_3.io.en <= sbdata1_reg_wren @[lib.scala 371:17] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg sbdata1_reg : UInt, rvclkhdr_3.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[lib.scala 374:16] + sbdata1_reg <= sbdata1_din @[lib.scala 374:16] + node _T_105 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 160:44] + node _T_106 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 160:82] + node sbaddress0_reg_wren0 = and(_T_105, _T_106) @[dbg.scala 160:63] + node sbaddress0_reg_wren = or(sbaddress0_reg_wren0, sbaddress0_reg_wren1) @[dbg.scala 161:50] + node _T_107 = bits(sbaddress0_reg_wren0, 0, 0) @[Bitwise.scala 72:15] + node _T_108 = mux(_T_107, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_109 = and(_T_108, io.dmi_reg_wdata) @[dbg.scala 162:59] + node _T_110 = bits(sbaddress0_reg_wren1, 0, 0) @[Bitwise.scala 72:15] + node _T_111 = mux(_T_110, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_112 = cat(UInt<28>("h00"), sbaddress0_incr) @[Cat.scala 29:58] + node _T_113 = add(sbaddress0_reg, _T_112) @[dbg.scala 163:54] + node _T_114 = tail(_T_113, 1) @[dbg.scala 163:54] + node _T_115 = and(_T_111, _T_114) @[dbg.scala 163:36] + node sbaddress0_reg_din = or(_T_109, _T_115) @[dbg.scala 162:78] + inst rvclkhdr_4 of rvclkhdr_4 @[lib.scala 368:23] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= dbg_dm_rst_l + rvclkhdr_4.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_4.io.en <= sbaddress0_reg_wren @[lib.scala 371:17] + rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_116 : UInt, rvclkhdr_4.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[lib.scala 374:16] + _T_116 <= sbaddress0_reg_din @[lib.scala 374:16] + sbaddress0_reg <= _T_116 @[dbg.scala 164:18] + node _T_117 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 168:43] + node _T_118 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 168:81] + node _T_119 = and(_T_117, _T_118) @[dbg.scala 168:62] + node _T_120 = bits(sbcs_reg, 20, 20) @[dbg.scala 168:104] + node sbreadonaddr_access = and(_T_119, _T_120) @[dbg.scala 168:94] + node _T_121 = eq(io.dmi_reg_wr_en, UInt<1>("h00")) @[dbg.scala 169:45] + node _T_122 = and(io.dmi_reg_en, _T_121) @[dbg.scala 169:43] + node _T_123 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 169:82] + node _T_124 = and(_T_122, _T_123) @[dbg.scala 169:63] + node _T_125 = bits(sbcs_reg, 15, 15) @[dbg.scala 169:105] + node sbreadondata_access = and(_T_124, _T_125) @[dbg.scala 169:95] + node _T_126 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 170:40] + node _T_127 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 170:78] + node sbdata0wr_access = and(_T_126, _T_127) @[dbg.scala 170:59] + node _T_128 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 171:41] + node _T_129 = and(_T_128, io.dmi_reg_en) @[dbg.scala 171:54] + node dmcontrol_wren = and(_T_129, io.dmi_reg_wr_en) @[dbg.scala 171:70] + node _T_130 = bits(io.dmi_reg_wdata, 31, 30) @[dbg.scala 174:27] + node _T_131 = bits(io.dmi_reg_wdata, 28, 28) @[dbg.scala 174:53] + node _T_132 = bits(io.dmi_reg_wdata, 1, 1) @[dbg.scala 174:75] + node _T_133 = cat(_T_130, _T_131) @[Cat.scala 29:58] + node _T_134 = cat(_T_133, _T_132) @[Cat.scala 29:58] + reg dm_temp : UInt, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] + when dmcontrol_wren : @[Reg.scala 28:19] + dm_temp <= _T_134 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_135 = asAsyncReset(io.dbg_rst_l) @[dbg.scala 178:76] + node _T_136 = bits(io.dmi_reg_wdata, 0, 0) @[dbg.scala 179:31] + reg dm_temp_0 : UInt, rvclkhdr.io.l1clk with : (reset => (_T_135, UInt<1>("h00"))) @[Reg.scala 27:20] + when dmcontrol_wren : @[Reg.scala 28:19] + dm_temp_0 <= _T_136 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_137 = bits(dm_temp, 3, 2) @[dbg.scala 182:25] + node _T_138 = bits(dm_temp, 1, 1) @[dbg.scala 182:45] + node _T_139 = bits(dm_temp, 0, 0) @[dbg.scala 182:68] + node _T_140 = cat(UInt<26>("h00"), _T_139) @[Cat.scala 29:58] + node _T_141 = cat(_T_140, dm_temp_0) @[Cat.scala 29:58] + node _T_142 = cat(_T_137, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_143 = cat(_T_142, _T_138) @[Cat.scala 29:58] + node temp = cat(_T_143, _T_141) @[Cat.scala 29:58] + dmcontrol_reg <= temp @[dbg.scala 183:17] + reg dmcontrol_wren_Q : UInt<1>, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[dbg.scala 186:12] + dmcontrol_wren_Q <= dmcontrol_wren @[dbg.scala 186:12] + node _T_144 = bits(dmstatus_havereset, 0, 0) @[Bitwise.scala 72:15] + node _T_145 = mux(_T_144, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_146 = bits(dmstatus_resumeack, 0, 0) @[Bitwise.scala 72:15] + node _T_147 = mux(_T_146, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_148 = bits(dmstatus_unavail, 0, 0) @[Bitwise.scala 72:15] + node _T_149 = mux(_T_148, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_150 = bits(dmstatus_running, 0, 0) @[Bitwise.scala 72:15] + node _T_151 = mux(_T_150, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_152 = bits(dmstatus_halted, 0, 0) @[Bitwise.scala 72:15] + node _T_153 = mux(_T_152, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_154 = cat(UInt<3>("h00"), UInt<4>("h02")) @[Cat.scala 29:58] + node _T_155 = cat(_T_151, _T_153) @[Cat.scala 29:58] + node _T_156 = cat(_T_155, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_157 = cat(_T_156, _T_154) @[Cat.scala 29:58] + node _T_158 = cat(UInt<2>("h00"), _T_149) @[Cat.scala 29:58] + node _T_159 = cat(UInt<12>("h00"), _T_145) @[Cat.scala 29:58] + node _T_160 = cat(_T_159, _T_147) @[Cat.scala 29:58] + node _T_161 = cat(_T_160, _T_158) @[Cat.scala 29:58] + node _T_162 = cat(_T_161, _T_157) @[Cat.scala 29:58] + dmstatus_reg <= _T_162 @[dbg.scala 189:16] + node _T_163 = eq(dbg_state, UInt<3>("h06")) @[dbg.scala 191:44] + node _T_164 = and(_T_163, io.dec_tlu_resume_ack) @[dbg.scala 191:66] + node _T_165 = bits(dmcontrol_reg, 30, 30) @[dbg.scala 191:127] + node _T_166 = eq(_T_165, UInt<1>("h00")) @[dbg.scala 191:113] + node _T_167 = and(dmstatus_resumeack, _T_166) @[dbg.scala 191:111] + node dmstatus_resumeack_wren = or(_T_164, _T_167) @[dbg.scala 191:90] + node _T_168 = eq(dbg_state, UInt<3>("h06")) @[dbg.scala 192:43] + node dmstatus_resumeack_din = and(_T_168, io.dec_tlu_resume_ack) @[dbg.scala 192:65] + node _T_169 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 193:50] + node _T_170 = bits(io.dmi_reg_wdata, 1, 1) @[dbg.scala 193:81] + node _T_171 = and(_T_169, _T_170) @[dbg.scala 193:63] + node _T_172 = and(_T_171, io.dmi_reg_en) @[dbg.scala 193:85] + node dmstatus_havereset_wren = and(_T_172, io.dmi_reg_wr_en) @[dbg.scala 193:101] + node _T_173 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 194:49] + node _T_174 = bits(io.dmi_reg_wdata, 28, 28) @[dbg.scala 194:80] + node _T_175 = and(_T_173, _T_174) @[dbg.scala 194:62] + node _T_176 = and(_T_175, io.dmi_reg_en) @[dbg.scala 194:85] + node dmstatus_havereset_rst = and(_T_176, io.dmi_reg_wr_en) @[dbg.scala 194:101] + node temp_rst = asUInt(reset) @[dbg.scala 195:30] + node _T_177 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 196:37] + node _T_178 = eq(temp_rst, UInt<1>("h00")) @[dbg.scala 196:43] + node _T_179 = or(_T_177, _T_178) @[dbg.scala 196:41] + node _T_180 = bits(_T_179, 0, 0) @[dbg.scala 196:62] + dmstatus_unavail <= _T_180 @[dbg.scala 196:20] + node _T_181 = or(dmstatus_unavail, dmstatus_halted) @[dbg.scala 197:42] + node _T_182 = not(_T_181) @[dbg.scala 197:23] + dmstatus_running <= _T_182 @[dbg.scala 197:20] + reg _T_183 : UInt, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] + when dmstatus_resumeack_wren : @[Reg.scala 28:19] + _T_183 <= dmstatus_resumeack_din @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + dmstatus_resumeack <= _T_183 @[dbg.scala 198:22] + node _T_184 = eq(io.dec_tlu_mpc_halted_only, UInt<1>("h00")) @[dbg.scala 203:37] + node _T_185 = and(io.dec_tlu_dbg_halted, _T_184) @[dbg.scala 203:35] + reg _T_186 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[dbg.scala 203:12] + _T_186 <= _T_185 @[dbg.scala 203:12] + dmstatus_halted <= _T_186 @[dbg.scala 202:19] + node _T_187 = mux(dmstatus_havereset_wren, UInt<1>("h01"), dmstatus_havereset) @[dbg.scala 207:16] + node _T_188 = eq(dmstatus_havereset_rst, UInt<1>("h00")) @[dbg.scala 207:72] + node _T_189 = and(_T_187, _T_188) @[dbg.scala 207:70] + reg _T_190 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[dbg.scala 207:12] + _T_190 <= _T_189 @[dbg.scala 207:12] + dmstatus_havereset <= _T_190 @[dbg.scala 206:22] + node haltsum0_reg = cat(UInt<31>("h00"), dmstatus_halted) @[Cat.scala 29:58] + wire abstractcs_reg : UInt<32> + abstractcs_reg <= UInt<32>("h02") + node _T_191 = bits(abstractcs_reg, 12, 12) @[dbg.scala 213:45] + node _T_192 = and(_T_191, io.dmi_reg_en) @[dbg.scala 213:50] + node _T_193 = eq(io.dmi_reg_addr, UInt<5>("h016")) @[dbg.scala 213:106] + node _T_194 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 213:138] + node _T_195 = or(_T_193, _T_194) @[dbg.scala 213:119] + node _T_196 = and(io.dmi_reg_wr_en, _T_195) @[dbg.scala 213:86] + node _T_197 = eq(io.dmi_reg_addr, UInt<3>("h04")) @[dbg.scala 213:171] + node _T_198 = or(_T_196, _T_197) @[dbg.scala 213:152] + node abstractcs_error_sel0 = and(_T_192, _T_198) @[dbg.scala 213:66] + node _T_199 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 214:45] + node _T_200 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 214:83] + node _T_201 = and(_T_199, _T_200) @[dbg.scala 214:64] + node _T_202 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 214:117] + node _T_203 = eq(_T_202, UInt<1>("h00")) @[dbg.scala 214:126] + node _T_204 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 214:154] + node _T_205 = eq(_T_204, UInt<2>("h02")) @[dbg.scala 214:163] + node _T_206 = or(_T_203, _T_205) @[dbg.scala 214:135] + node _T_207 = eq(_T_206, UInt<1>("h00")) @[dbg.scala 214:98] + node abstractcs_error_sel1 = and(_T_201, _T_207) @[dbg.scala 214:96] + node abstractcs_error_sel2 = and(io.core_dbg_cmd_done, io.core_dbg_cmd_fail) @[dbg.scala 215:52] + node _T_208 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 216:45] + node _T_209 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 216:83] + node _T_210 = and(_T_208, _T_209) @[dbg.scala 216:64] + node _T_211 = bits(dmstatus_reg, 9, 9) @[dbg.scala 216:111] + node _T_212 = eq(_T_211, UInt<1>("h00")) @[dbg.scala 216:98] + node abstractcs_error_sel3 = and(_T_210, _T_212) @[dbg.scala 216:96] + node _T_213 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 217:48] + node _T_214 = and(_T_213, io.dmi_reg_en) @[dbg.scala 217:61] + node _T_215 = and(_T_214, io.dmi_reg_wr_en) @[dbg.scala 217:77] + node _T_216 = bits(io.dmi_reg_wdata, 22, 20) @[dbg.scala 218:23] + node _T_217 = neq(_T_216, UInt<3>("h02")) @[dbg.scala 218:32] + node _T_218 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 218:71] + node _T_219 = eq(_T_218, UInt<2>("h02")) @[dbg.scala 218:80] + node _T_220 = bits(data1_reg, 1, 0) @[dbg.scala 218:104] + node _T_221 = orr(_T_220) @[dbg.scala 218:111] + node _T_222 = and(_T_219, _T_221) @[dbg.scala 218:92] + node _T_223 = or(_T_217, _T_222) @[dbg.scala 218:51] + node abstractcs_error_sel4 = and(_T_215, _T_223) @[dbg.scala 217:96] + node _T_224 = eq(io.dmi_reg_addr, UInt<5>("h016")) @[dbg.scala 220:48] + node _T_225 = and(_T_224, io.dmi_reg_en) @[dbg.scala 220:61] + node abstractcs_error_sel5 = and(_T_225, io.dmi_reg_wr_en) @[dbg.scala 220:77] + node _T_226 = or(abstractcs_error_sel0, abstractcs_error_sel1) @[dbg.scala 221:54] + node _T_227 = or(_T_226, abstractcs_error_sel2) @[dbg.scala 221:78] + node _T_228 = or(_T_227, abstractcs_error_sel3) @[dbg.scala 221:102] + node _T_229 = or(_T_228, abstractcs_error_sel4) @[dbg.scala 221:126] + node abstractcs_error_selor = or(_T_229, abstractcs_error_sel5) @[dbg.scala 221:150] + node _T_230 = bits(abstractcs_error_sel0, 0, 0) @[Bitwise.scala 72:15] + node _T_231 = mux(_T_230, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_232 = and(_T_231, UInt<3>("h01")) @[dbg.scala 222:62] + node _T_233 = bits(abstractcs_error_sel1, 0, 0) @[Bitwise.scala 72:15] + node _T_234 = mux(_T_233, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_235 = and(_T_234, UInt<3>("h02")) @[dbg.scala 223:37] + node _T_236 = or(_T_232, _T_235) @[dbg.scala 222:79] + node _T_237 = bits(abstractcs_error_sel2, 0, 0) @[Bitwise.scala 72:15] + node _T_238 = mux(_T_237, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_239 = and(_T_238, UInt<3>("h03")) @[dbg.scala 224:37] + node _T_240 = or(_T_236, _T_239) @[dbg.scala 223:54] + node _T_241 = bits(abstractcs_error_sel3, 0, 0) @[Bitwise.scala 72:15] + node _T_242 = mux(_T_241, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_243 = and(_T_242, UInt<3>("h04")) @[dbg.scala 225:37] + node _T_244 = or(_T_240, _T_243) @[dbg.scala 224:54] + node _T_245 = bits(abstractcs_error_sel4, 0, 0) @[Bitwise.scala 72:15] + node _T_246 = mux(_T_245, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_247 = and(_T_246, UInt<3>("h07")) @[dbg.scala 226:37] + node _T_248 = or(_T_244, _T_247) @[dbg.scala 225:54] + node _T_249 = bits(abstractcs_error_sel5, 0, 0) @[Bitwise.scala 72:15] + node _T_250 = mux(_T_249, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_251 = bits(io.dmi_reg_wdata, 10, 8) @[dbg.scala 227:57] + node _T_252 = not(_T_251) @[dbg.scala 227:40] + node _T_253 = and(_T_250, _T_252) @[dbg.scala 227:37] + node _T_254 = bits(abstractcs_reg, 10, 8) @[dbg.scala 227:91] + node _T_255 = and(_T_253, _T_254) @[dbg.scala 227:75] + node _T_256 = or(_T_248, _T_255) @[dbg.scala 226:54] + node _T_257 = not(abstractcs_error_selor) @[dbg.scala 228:15] + node _T_258 = bits(_T_257, 0, 0) @[Bitwise.scala 72:15] + node _T_259 = mux(_T_258, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_260 = bits(abstractcs_reg, 10, 8) @[dbg.scala 228:66] + node _T_261 = and(_T_259, _T_260) @[dbg.scala 228:50] + node abstractcs_error_din = or(_T_256, _T_261) @[dbg.scala 227:100] + reg abs_temp_12 : UInt, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] + when abstractcs_busy_wren : @[Reg.scala 28:19] + abs_temp_12 <= abstractcs_busy_din @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_262 = bits(abstractcs_error_din, 2, 0) @[dbg.scala 235:33] + reg abs_temp_10_8 : UInt, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[dbg.scala 235:12] + abs_temp_10_8 <= _T_262 @[dbg.scala 235:12] + node _T_263 = cat(abs_temp_10_8, UInt<8>("h02")) @[Cat.scala 29:58] + node _T_264 = cat(UInt<19>("h00"), abs_temp_12) @[Cat.scala 29:58] + node _T_265 = cat(_T_264, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_266 = cat(_T_265, _T_263) @[Cat.scala 29:58] + abstractcs_reg <= _T_266 @[dbg.scala 238:18] + node _T_267 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 240:39] + node _T_268 = and(_T_267, io.dmi_reg_en) @[dbg.scala 240:52] + node _T_269 = and(_T_268, io.dmi_reg_wr_en) @[dbg.scala 240:68] + node _T_270 = eq(dbg_state, UInt<3>("h02")) @[dbg.scala 240:100] + node command_wren = and(_T_269, _T_270) @[dbg.scala 240:87] + node _T_271 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 241:41] + node _T_272 = bits(io.dmi_reg_wdata, 22, 20) @[dbg.scala 241:77] + node _T_273 = bits(io.dmi_reg_wdata, 16, 0) @[dbg.scala 241:113] + node _T_274 = cat(UInt<3>("h00"), _T_273) @[Cat.scala 29:58] + node _T_275 = cat(_T_271, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_276 = cat(_T_275, _T_272) @[Cat.scala 29:58] + node command_din = cat(_T_276, _T_274) @[Cat.scala 29:58] + inst rvclkhdr_5 of rvclkhdr_5 @[lib.scala 368:23] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= dbg_dm_rst_l + rvclkhdr_5.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_5.io.en <= command_wren @[lib.scala 371:17] + rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg command_reg : UInt, rvclkhdr_5.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[lib.scala 374:16] + command_reg <= command_din @[lib.scala 374:16] + node _T_277 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 246:39] + node _T_278 = eq(io.dmi_reg_addr, UInt<3>("h04")) @[dbg.scala 246:77] + node _T_279 = and(_T_277, _T_278) @[dbg.scala 246:58] + node _T_280 = eq(dbg_state, UInt<3>("h02")) @[dbg.scala 246:102] + node data0_reg_wren0 = and(_T_279, _T_280) @[dbg.scala 246:89] + node _T_281 = eq(dbg_state, UInt<3>("h04")) @[dbg.scala 247:59] + node _T_282 = and(io.core_dbg_cmd_done, _T_281) @[dbg.scala 247:46] + node _T_283 = bits(command_reg, 16, 16) @[dbg.scala 247:95] + node _T_284 = eq(_T_283, UInt<1>("h00")) @[dbg.scala 247:83] + node data0_reg_wren1 = and(_T_282, _T_284) @[dbg.scala 247:81] + node data0_reg_wren = or(data0_reg_wren0, data0_reg_wren1) @[dbg.scala 249:40] + node _T_285 = bits(data0_reg_wren0, 0, 0) @[Bitwise.scala 72:15] + node _T_286 = mux(_T_285, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_287 = and(_T_286, io.dmi_reg_wdata) @[dbg.scala 250:45] + node _T_288 = bits(data0_reg_wren1, 0, 0) @[Bitwise.scala 72:15] + node _T_289 = mux(_T_288, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_290 = and(_T_289, io.core_dbg_rddata) @[dbg.scala 250:92] + node data0_din = or(_T_287, _T_290) @[dbg.scala 250:64] + inst rvclkhdr_6 of rvclkhdr_6 @[lib.scala 368:23] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= dbg_dm_rst_l + rvclkhdr_6.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_6.io.en <= data0_reg_wren @[lib.scala 371:17] + rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg data0_reg : UInt, rvclkhdr_6.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[lib.scala 374:16] + data0_reg <= data0_din @[lib.scala 374:16] + node _T_291 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 255:39] + node _T_292 = eq(io.dmi_reg_addr, UInt<3>("h05")) @[dbg.scala 255:77] + node _T_293 = and(_T_291, _T_292) @[dbg.scala 255:58] + node _T_294 = eq(dbg_state, UInt<3>("h02")) @[dbg.scala 255:102] + node data1_reg_wren = and(_T_293, _T_294) @[dbg.scala 255:89] + node _T_295 = bits(data1_reg_wren, 0, 0) @[Bitwise.scala 72:15] + node _T_296 = mux(_T_295, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node data1_din = and(_T_296, io.dmi_reg_wdata) @[dbg.scala 256:44] + inst rvclkhdr_7 of rvclkhdr_7 @[lib.scala 368:23] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= dbg_dm_rst_l + rvclkhdr_7.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_7.io.en <= data1_reg_wren @[lib.scala 371:17] + rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_297 : UInt, rvclkhdr_7.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[lib.scala 374:16] + _T_297 <= data1_din @[lib.scala 374:16] + data1_reg <= _T_297 @[dbg.scala 257:13] + wire dbg_nxtstate : UInt<3> + dbg_nxtstate <= UInt<3>("h00") + dbg_nxtstate <= UInt<3>("h00") @[dbg.scala 262:16] + dbg_state_en <= UInt<1>("h00") @[dbg.scala 263:16] + abstractcs_busy_wren <= UInt<1>("h00") @[dbg.scala 264:24] + abstractcs_busy_din <= UInt<1>("h00") @[dbg.scala 265:23] + io.dbg_halt_req <= UInt<1>("h00") @[dbg.scala 266:19] + io.dbg_resume_req <= UInt<1>("h00") @[dbg.scala 267:21] + node _T_298 = eq(UInt<3>("h00"), dbg_state) @[Conditional.scala 37:30] + when _T_298 : @[Conditional.scala 40:58] + node _T_299 = bits(dmstatus_reg, 9, 9) @[dbg.scala 270:39] + node _T_300 = or(_T_299, io.dec_tlu_mpc_halted_only) @[dbg.scala 270:43] + node _T_301 = mux(_T_300, UInt<3>("h02"), UInt<3>("h01")) @[dbg.scala 270:26] + dbg_nxtstate <= _T_301 @[dbg.scala 270:20] + node _T_302 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 271:38] + node _T_303 = eq(io.dec_tlu_debug_mode, UInt<1>("h00")) @[dbg.scala 271:45] + node _T_304 = and(_T_302, _T_303) @[dbg.scala 271:43] + node _T_305 = bits(dmstatus_reg, 9, 9) @[dbg.scala 271:83] + node _T_306 = or(_T_304, _T_305) @[dbg.scala 271:69] + node _T_307 = or(_T_306, io.dec_tlu_mpc_halted_only) @[dbg.scala 271:87] + node _T_308 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 271:133] + node _T_309 = eq(_T_308, UInt<1>("h00")) @[dbg.scala 271:119] + node _T_310 = and(_T_307, _T_309) @[dbg.scala 271:117] + dbg_state_en <= _T_310 @[dbg.scala 271:20] + node _T_311 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 272:40] + node _T_312 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 272:61] + node _T_313 = eq(_T_312, UInt<1>("h00")) @[dbg.scala 272:47] + node _T_314 = and(_T_311, _T_313) @[dbg.scala 272:45] + node _T_315 = bits(_T_314, 0, 0) @[dbg.scala 272:72] + io.dbg_halt_req <= _T_315 @[dbg.scala 272:23] + skip @[Conditional.scala 40:58] + else : @[Conditional.scala 39:67] + node _T_316 = eq(UInt<3>("h01"), dbg_state) @[Conditional.scala 37:30] + when _T_316 : @[Conditional.scala 39:67] + node _T_317 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 275:40] + node _T_318 = mux(_T_317, UInt<3>("h00"), UInt<3>("h02")) @[dbg.scala 275:26] + dbg_nxtstate <= _T_318 @[dbg.scala 275:20] + node _T_319 = bits(dmstatus_reg, 9, 9) @[dbg.scala 276:35] + node _T_320 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 276:54] + node _T_321 = or(_T_319, _T_320) @[dbg.scala 276:39] + dbg_state_en <= _T_321 @[dbg.scala 276:20] + node _T_322 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 277:59] + node _T_323 = and(dmcontrol_wren_Q, _T_322) @[dbg.scala 277:44] + node _T_324 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 277:81] + node _T_325 = not(_T_324) @[dbg.scala 277:67] + node _T_326 = and(_T_323, _T_325) @[dbg.scala 277:64] + node _T_327 = bits(_T_326, 0, 0) @[dbg.scala 277:102] + io.dbg_halt_req <= _T_327 @[dbg.scala 277:23] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_328 = eq(UInt<3>("h02"), dbg_state) @[Conditional.scala 37:30] + when _T_328 : @[Conditional.scala 39:67] + node _T_329 = bits(dmstatus_reg, 9, 9) @[dbg.scala 280:39] + node _T_330 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 280:59] + node _T_331 = eq(_T_330, UInt<1>("h00")) @[dbg.scala 280:45] + node _T_332 = and(_T_329, _T_331) @[dbg.scala 280:43] + node _T_333 = bits(dmcontrol_reg, 30, 30) @[dbg.scala 281:26] + node _T_334 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 281:47] + node _T_335 = eq(_T_334, UInt<1>("h00")) @[dbg.scala 281:33] + node _T_336 = and(_T_333, _T_335) @[dbg.scala 281:31] + node _T_337 = mux(_T_336, UInt<3>("h06"), UInt<3>("h03")) @[dbg.scala 281:12] + node _T_338 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 282:26] + node _T_339 = mux(_T_338, UInt<3>("h01"), UInt<3>("h00")) @[dbg.scala 282:12] + node _T_340 = mux(_T_332, _T_337, _T_339) @[dbg.scala 280:26] + dbg_nxtstate <= _T_340 @[dbg.scala 280:20] + node _T_341 = bits(dmstatus_reg, 9, 9) @[dbg.scala 283:35] + node _T_342 = bits(dmcontrol_reg, 30, 30) @[dbg.scala 283:54] + node _T_343 = and(_T_341, _T_342) @[dbg.scala 283:39] + node _T_344 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 283:75] + node _T_345 = eq(_T_344, UInt<1>("h00")) @[dbg.scala 283:61] + node _T_346 = and(_T_343, _T_345) @[dbg.scala 283:59] + node _T_347 = and(_T_346, dmcontrol_wren_Q) @[dbg.scala 283:80] + node _T_348 = or(_T_347, command_wren) @[dbg.scala 283:99] + node _T_349 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 284:22] + node _T_350 = or(_T_348, _T_349) @[dbg.scala 283:114] + node _T_351 = bits(dmstatus_reg, 9, 9) @[dbg.scala 284:42] + node _T_352 = or(_T_351, io.dec_tlu_mpc_halted_only) @[dbg.scala 284:46] + node _T_353 = eq(_T_352, UInt<1>("h00")) @[dbg.scala 284:28] + node _T_354 = or(_T_350, _T_353) @[dbg.scala 284:26] + dbg_state_en <= _T_354 @[dbg.scala 283:20] + node _T_355 = eq(dbg_nxtstate, UInt<3>("h03")) @[dbg.scala 285:60] + node _T_356 = and(dbg_state_en, _T_355) @[dbg.scala 285:44] + abstractcs_busy_wren <= _T_356 @[dbg.scala 285:28] + abstractcs_busy_din <= UInt<1>("h01") @[dbg.scala 286:27] + node _T_357 = eq(dbg_nxtstate, UInt<3>("h06")) @[dbg.scala 287:58] + node _T_358 = and(dbg_state_en, _T_357) @[dbg.scala 287:42] + node _T_359 = bits(_T_358, 0, 0) @[dbg.scala 287:87] + io.dbg_resume_req <= _T_359 @[dbg.scala 287:25] + node _T_360 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 288:59] + node _T_361 = and(dmcontrol_wren_Q, _T_360) @[dbg.scala 288:44] + node _T_362 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 288:81] + node _T_363 = not(_T_362) @[dbg.scala 288:67] + node _T_364 = and(_T_361, _T_363) @[dbg.scala 288:64] + node _T_365 = bits(_T_364, 0, 0) @[dbg.scala 288:102] + io.dbg_halt_req <= _T_365 @[dbg.scala 288:23] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_366 = eq(UInt<3>("h03"), dbg_state) @[Conditional.scala 37:30] + when _T_366 : @[Conditional.scala 39:67] + node _T_367 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 291:40] + node _T_368 = bits(abstractcs_reg, 10, 8) @[dbg.scala 291:77] + node _T_369 = orr(_T_368) @[dbg.scala 291:85] + node _T_370 = mux(_T_369, UInt<3>("h05"), UInt<3>("h04")) @[dbg.scala 291:62] + node _T_371 = mux(_T_367, UInt<3>("h00"), _T_370) @[dbg.scala 291:26] + dbg_nxtstate <= _T_371 @[dbg.scala 291:20] + node _T_372 = bits(abstractcs_reg, 10, 8) @[dbg.scala 292:71] + node _T_373 = orr(_T_372) @[dbg.scala 292:79] + node _T_374 = or(io.dbg_dec.dbg_ib.dbg_cmd_valid, _T_373) @[dbg.scala 292:55] + node _T_375 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 292:98] + node _T_376 = or(_T_374, _T_375) @[dbg.scala 292:83] + dbg_state_en <= _T_376 @[dbg.scala 292:20] + node _T_377 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 293:59] + node _T_378 = and(dmcontrol_wren_Q, _T_377) @[dbg.scala 293:44] + node _T_379 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 293:81] + node _T_380 = not(_T_379) @[dbg.scala 293:67] + node _T_381 = and(_T_378, _T_380) @[dbg.scala 293:64] + node _T_382 = bits(_T_381, 0, 0) @[dbg.scala 293:102] + io.dbg_halt_req <= _T_382 @[dbg.scala 293:23] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_383 = eq(UInt<3>("h04"), dbg_state) @[Conditional.scala 37:30] + when _T_383 : @[Conditional.scala 39:67] + node _T_384 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 296:40] + node _T_385 = mux(_T_384, UInt<3>("h00"), UInt<3>("h05")) @[dbg.scala 296:26] + dbg_nxtstate <= _T_385 @[dbg.scala 296:20] + node _T_386 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 297:59] + node _T_387 = or(io.core_dbg_cmd_done, _T_386) @[dbg.scala 297:44] + dbg_state_en <= _T_387 @[dbg.scala 297:20] + node _T_388 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 298:59] + node _T_389 = and(dmcontrol_wren_Q, _T_388) @[dbg.scala 298:44] + node _T_390 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 298:81] + node _T_391 = not(_T_390) @[dbg.scala 298:67] + node _T_392 = and(_T_389, _T_391) @[dbg.scala 298:64] + node _T_393 = bits(_T_392, 0, 0) @[dbg.scala 298:102] + io.dbg_halt_req <= _T_393 @[dbg.scala 298:23] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_394 = eq(UInt<3>("h05"), dbg_state) @[Conditional.scala 37:30] + when _T_394 : @[Conditional.scala 39:67] + node _T_395 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 301:40] + node _T_396 = mux(_T_395, UInt<3>("h00"), UInt<3>("h02")) @[dbg.scala 301:26] + dbg_nxtstate <= _T_396 @[dbg.scala 301:20] + dbg_state_en <= UInt<1>("h01") @[dbg.scala 302:20] + abstractcs_busy_wren <= dbg_state_en @[dbg.scala 303:28] + abstractcs_busy_din <= UInt<1>("h00") @[dbg.scala 304:27] + node _T_397 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 305:59] + node _T_398 = and(dmcontrol_wren_Q, _T_397) @[dbg.scala 305:44] + node _T_399 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 305:81] + node _T_400 = not(_T_399) @[dbg.scala 305:67] + node _T_401 = and(_T_398, _T_400) @[dbg.scala 305:64] + node _T_402 = bits(_T_401, 0, 0) @[dbg.scala 305:102] + io.dbg_halt_req <= _T_402 @[dbg.scala 305:23] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_403 = eq(UInt<3>("h06"), dbg_state) @[Conditional.scala 37:30] + when _T_403 : @[Conditional.scala 39:67] + dbg_nxtstate <= UInt<3>("h00") @[dbg.scala 308:20] + node _T_404 = bits(dmstatus_reg, 17, 17) @[dbg.scala 309:35] + node _T_405 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 309:55] + node _T_406 = or(_T_404, _T_405) @[dbg.scala 309:40] + dbg_state_en <= _T_406 @[dbg.scala 309:20] + node _T_407 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 310:59] + node _T_408 = and(dmcontrol_wren_Q, _T_407) @[dbg.scala 310:44] + node _T_409 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 310:81] + node _T_410 = not(_T_409) @[dbg.scala 310:67] + node _T_411 = and(_T_408, _T_410) @[dbg.scala 310:64] + node _T_412 = bits(_T_411, 0, 0) @[dbg.scala 310:102] + io.dbg_halt_req <= _T_412 @[dbg.scala 310:23] + skip @[Conditional.scala 39:67] + node _T_413 = eq(io.dmi_reg_addr, UInt<3>("h04")) @[dbg.scala 313:52] + node _T_414 = bits(_T_413, 0, 0) @[Bitwise.scala 72:15] + node _T_415 = mux(_T_414, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_416 = and(_T_415, data0_reg) @[dbg.scala 313:71] + node _T_417 = eq(io.dmi_reg_addr, UInt<3>("h05")) @[dbg.scala 313:110] + node _T_418 = bits(_T_417, 0, 0) @[Bitwise.scala 72:15] + node _T_419 = mux(_T_418, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_420 = and(_T_419, data1_reg) @[dbg.scala 313:122] + node _T_421 = or(_T_416, _T_420) @[dbg.scala 313:83] + node _T_422 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 314:30] + node _T_423 = bits(_T_422, 0, 0) @[Bitwise.scala 72:15] + node _T_424 = mux(_T_423, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_425 = and(_T_424, dmcontrol_reg) @[dbg.scala 314:43] + node _T_426 = or(_T_421, _T_425) @[dbg.scala 313:134] + node _T_427 = eq(io.dmi_reg_addr, UInt<5>("h011")) @[dbg.scala 314:86] + node _T_428 = bits(_T_427, 0, 0) @[Bitwise.scala 72:15] + node _T_429 = mux(_T_428, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_430 = and(_T_429, dmstatus_reg) @[dbg.scala 314:99] + node _T_431 = or(_T_426, _T_430) @[dbg.scala 314:59] + node _T_432 = eq(io.dmi_reg_addr, UInt<5>("h016")) @[dbg.scala 315:30] + node _T_433 = bits(_T_432, 0, 0) @[Bitwise.scala 72:15] + node _T_434 = mux(_T_433, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_435 = and(_T_434, abstractcs_reg) @[dbg.scala 315:43] + node _T_436 = or(_T_431, _T_435) @[dbg.scala 314:114] + node _T_437 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 315:87] + node _T_438 = bits(_T_437, 0, 0) @[Bitwise.scala 72:15] + node _T_439 = mux(_T_438, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_440 = and(_T_439, command_reg) @[dbg.scala 315:100] + node _T_441 = or(_T_436, _T_440) @[dbg.scala 315:60] + node _T_442 = eq(io.dmi_reg_addr, UInt<7>("h040")) @[dbg.scala 316:30] + node _T_443 = bits(_T_442, 0, 0) @[Bitwise.scala 72:15] + node _T_444 = mux(_T_443, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_445 = and(_T_444, haltsum0_reg) @[dbg.scala 316:43] + node _T_446 = or(_T_441, _T_445) @[dbg.scala 315:114] + node _T_447 = eq(io.dmi_reg_addr, UInt<6>("h038")) @[dbg.scala 316:85] + node _T_448 = bits(_T_447, 0, 0) @[Bitwise.scala 72:15] + node _T_449 = mux(_T_448, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_450 = and(_T_449, sbcs_reg) @[dbg.scala 316:98] + node _T_451 = or(_T_446, _T_450) @[dbg.scala 316:58] + node _T_452 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 317:30] + node _T_453 = bits(_T_452, 0, 0) @[Bitwise.scala 72:15] + node _T_454 = mux(_T_453, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_455 = and(_T_454, sbaddress0_reg) @[dbg.scala 317:43] + node _T_456 = or(_T_451, _T_455) @[dbg.scala 316:109] + node _T_457 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 317:87] + node _T_458 = bits(_T_457, 0, 0) @[Bitwise.scala 72:15] + node _T_459 = mux(_T_458, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_460 = and(_T_459, sbdata0_reg) @[dbg.scala 317:100] + node _T_461 = or(_T_456, _T_460) @[dbg.scala 317:60] + node _T_462 = eq(io.dmi_reg_addr, UInt<6>("h03d")) @[dbg.scala 318:30] + node _T_463 = bits(_T_462, 0, 0) @[Bitwise.scala 72:15] + node _T_464 = mux(_T_463, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_465 = and(_T_464, sbdata1_reg) @[dbg.scala 318:43] + node dmi_reg_rdata_din = or(_T_461, _T_465) @[dbg.scala 317:114] + reg _T_466 : UInt, rvclkhdr.io.l1clk with : (reset => (rst_temp, UInt<1>("h00"))) @[Reg.scala 27:20] + when dbg_state_en : @[Reg.scala 28:19] + _T_466 <= dbg_nxtstate @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + dbg_state <= _T_466 @[dbg.scala 320:13] + reg _T_467 : UInt, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] + when io.dmi_reg_en : @[Reg.scala 28:19] + _T_467 <= dmi_reg_rdata_din @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + io.dmi_reg_rdata <= _T_467 @[dbg.scala 325:20] + node _T_468 = bits(command_reg, 31, 24) @[dbg.scala 329:53] + node _T_469 = eq(_T_468, UInt<2>("h02")) @[dbg.scala 329:62] + node _T_470 = bits(data1_reg, 31, 2) @[dbg.scala 329:88] + node _T_471 = cat(_T_470, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_472 = bits(command_reg, 11, 0) @[dbg.scala 329:138] + node _T_473 = cat(UInt<20>("h00"), _T_472) @[Cat.scala 29:58] + node _T_474 = mux(_T_469, _T_471, _T_473) @[dbg.scala 329:40] + io.dbg_dec.dbg_ib.dbg_cmd_addr <= _T_474 @[dbg.scala 329:34] + node _T_475 = bits(data0_reg, 31, 0) @[dbg.scala 330:50] + io.dbg_dec.dbg_dctl.dbg_cmd_wrdata <= _T_475 @[dbg.scala 330:38] + node _T_476 = eq(dbg_state, UInt<3>("h03")) @[dbg.scala 331:50] + node _T_477 = bits(abstractcs_reg, 10, 8) @[dbg.scala 331:91] + node _T_478 = orr(_T_477) @[dbg.scala 331:99] + node _T_479 = eq(_T_478, UInt<1>("h00")) @[dbg.scala 331:75] + node _T_480 = and(_T_476, _T_479) @[dbg.scala 331:73] + node _T_481 = and(_T_480, io.dbg_dma_io.dma_dbg_ready) @[dbg.scala 331:104] + node _T_482 = bits(_T_481, 0, 0) @[dbg.scala 331:141] + io.dbg_dec.dbg_ib.dbg_cmd_valid <= _T_482 @[dbg.scala 331:35] + node _T_483 = bits(command_reg, 16, 16) @[dbg.scala 332:49] + node _T_484 = bits(_T_483, 0, 0) @[dbg.scala 332:60] + io.dbg_dec.dbg_ib.dbg_cmd_write <= _T_484 @[dbg.scala 332:35] + node _T_485 = bits(command_reg, 31, 24) @[dbg.scala 333:53] + node _T_486 = eq(_T_485, UInt<2>("h02")) @[dbg.scala 333:62] + node _T_487 = bits(command_reg, 15, 12) @[dbg.scala 333:113] + node _T_488 = eq(_T_487, UInt<1>("h00")) @[dbg.scala 333:122] + node _T_489 = cat(UInt<1>("h00"), _T_488) @[Cat.scala 29:58] + node _T_490 = mux(_T_486, UInt<2>("h02"), _T_489) @[dbg.scala 333:40] + io.dbg_dec.dbg_ib.dbg_cmd_type <= _T_490 @[dbg.scala 333:34] + node _T_491 = bits(command_reg, 21, 20) @[dbg.scala 334:33] + io.dbg_cmd_size <= _T_491 @[dbg.scala 334:19] + node _T_492 = eq(dbg_state, UInt<3>("h03")) @[dbg.scala 335:47] + node _T_493 = bits(abstractcs_reg, 10, 8) @[dbg.scala 335:88] + node _T_494 = orr(_T_493) @[dbg.scala 335:96] + node _T_495 = eq(_T_494, UInt<1>("h00")) @[dbg.scala 335:72] + node _T_496 = and(_T_492, _T_495) @[dbg.scala 335:70] + node _T_497 = eq(dbg_state, UInt<3>("h04")) @[dbg.scala 335:114] + node _T_498 = or(_T_496, _T_497) @[dbg.scala 335:101] + node _T_499 = bits(_T_498, 0, 0) @[dbg.scala 335:143] + io.dbg_dma_io.dbg_dma_bubble <= _T_499 @[dbg.scala 335:32] + wire sb_nxtstate : UInt<4> + sb_nxtstate <= UInt<4>("h00") + sb_nxtstate <= UInt<4>("h00") @[dbg.scala 338:15] + sbcs_sbbusy_wren <= UInt<1>("h00") @[dbg.scala 340:20] + sbcs_sbbusy_din <= UInt<1>("h00") @[dbg.scala 341:19] + sbcs_sberror_wren <= UInt<1>("h00") @[dbg.scala 342:21] + sbcs_sberror_din <= UInt<3>("h00") @[dbg.scala 343:20] + sbaddress0_reg_wren1 <= UInt<1>("h00") @[dbg.scala 344:24] + node _T_500 = eq(UInt<4>("h00"), sb_state) @[Conditional.scala 37:30] + when _T_500 : @[Conditional.scala 40:58] + node _T_501 = mux(sbdata0wr_access, UInt<4>("h02"), UInt<4>("h01")) @[dbg.scala 347:25] + sb_nxtstate <= _T_501 @[dbg.scala 347:19] + node _T_502 = or(sbdata0wr_access, sbreadondata_access) @[dbg.scala 348:39] + node _T_503 = or(_T_502, sbreadonaddr_access) @[dbg.scala 348:61] + sb_state_en <= _T_503 @[dbg.scala 348:19] + sbcs_sbbusy_wren <= sb_state_en @[dbg.scala 349:24] + sbcs_sbbusy_din <= UInt<1>("h01") @[dbg.scala 350:23] + node _T_504 = bits(io.dmi_reg_wdata, 14, 12) @[dbg.scala 351:56] + node _T_505 = orr(_T_504) @[dbg.scala 351:65] + node _T_506 = and(sbcs_wren, _T_505) @[dbg.scala 351:38] + sbcs_sberror_wren <= _T_506 @[dbg.scala 351:25] + node _T_507 = bits(io.dmi_reg_wdata, 14, 12) @[dbg.scala 352:44] + node _T_508 = not(_T_507) @[dbg.scala 352:27] + node _T_509 = bits(sbcs_reg, 14, 12) @[dbg.scala 352:63] + node _T_510 = and(_T_508, _T_509) @[dbg.scala 352:53] + sbcs_sberror_din <= _T_510 @[dbg.scala 352:24] + skip @[Conditional.scala 40:58] + else : @[Conditional.scala 39:67] + node _T_511 = eq(UInt<4>("h01"), sb_state) @[Conditional.scala 37:30] + when _T_511 : @[Conditional.scala 39:67] + node _T_512 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 355:41] + node _T_513 = mux(_T_512, UInt<4>("h09"), UInt<4>("h03")) @[dbg.scala 355:25] + sb_nxtstate <= _T_513 @[dbg.scala 355:19] + node _T_514 = or(io.dbg_bus_clk_en, sbcs_unaligned) @[dbg.scala 356:40] + node _T_515 = or(_T_514, sbcs_illegal_size) @[dbg.scala 356:57] + sb_state_en <= _T_515 @[dbg.scala 356:19] + node _T_516 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 357:43] + sbcs_sberror_wren <= _T_516 @[dbg.scala 357:25] + node _T_517 = mux(sbcs_unaligned, UInt<3>("h03"), UInt<3>("h04")) @[dbg.scala 358:30] + sbcs_sberror_din <= _T_517 @[dbg.scala 358:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_518 = eq(UInt<4>("h02"), sb_state) @[Conditional.scala 37:30] + when _T_518 : @[Conditional.scala 39:67] + node _T_519 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 361:41] + node _T_520 = mux(_T_519, UInt<4>("h09"), UInt<4>("h04")) @[dbg.scala 361:25] + sb_nxtstate <= _T_520 @[dbg.scala 361:19] + node _T_521 = or(io.dbg_bus_clk_en, sbcs_unaligned) @[dbg.scala 362:40] + node _T_522 = or(_T_521, sbcs_illegal_size) @[dbg.scala 362:57] + sb_state_en <= _T_522 @[dbg.scala 362:19] + node _T_523 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 363:43] + sbcs_sberror_wren <= _T_523 @[dbg.scala 363:25] + node _T_524 = mux(sbcs_unaligned, UInt<3>("h03"), UInt<3>("h04")) @[dbg.scala 364:30] + sbcs_sberror_din <= _T_524 @[dbg.scala 364:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_525 = eq(UInt<4>("h03"), sb_state) @[Conditional.scala 37:30] + when _T_525 : @[Conditional.scala 39:67] + sb_nxtstate <= UInt<4>("h07") @[dbg.scala 367:19] + node _T_526 = and(sb_bus_cmd_read, io.dbg_bus_clk_en) @[dbg.scala 368:38] + sb_state_en <= _T_526 @[dbg.scala 368:19] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_527 = eq(UInt<4>("h04"), sb_state) @[Conditional.scala 37:30] + when _T_527 : @[Conditional.scala 39:67] + node _T_528 = and(sb_bus_cmd_write_addr, sb_bus_cmd_write_data) @[dbg.scala 371:48] + node _T_529 = mux(sb_bus_cmd_write_data, UInt<4>("h05"), UInt<4>("h06")) @[dbg.scala 371:95] + node _T_530 = mux(_T_528, UInt<4>("h08"), _T_529) @[dbg.scala 371:25] + sb_nxtstate <= _T_530 @[dbg.scala 371:19] + node _T_531 = or(sb_bus_cmd_write_addr, sb_bus_cmd_write_data) @[dbg.scala 372:45] + node _T_532 = and(_T_531, io.dbg_bus_clk_en) @[dbg.scala 372:70] + sb_state_en <= _T_532 @[dbg.scala 372:19] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_533 = eq(UInt<4>("h05"), sb_state) @[Conditional.scala 37:30] + when _T_533 : @[Conditional.scala 39:67] + sb_nxtstate <= UInt<4>("h08") @[dbg.scala 375:19] + node _T_534 = and(sb_bus_cmd_write_addr, io.dbg_bus_clk_en) @[dbg.scala 376:44] + sb_state_en <= _T_534 @[dbg.scala 376:19] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_535 = eq(UInt<4>("h06"), sb_state) @[Conditional.scala 37:30] + when _T_535 : @[Conditional.scala 39:67] + sb_nxtstate <= UInt<4>("h08") @[dbg.scala 379:19] + node _T_536 = and(sb_bus_cmd_write_data, io.dbg_bus_clk_en) @[dbg.scala 380:44] + sb_state_en <= _T_536 @[dbg.scala 380:19] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_537 = eq(UInt<4>("h07"), sb_state) @[Conditional.scala 37:30] + when _T_537 : @[Conditional.scala 39:67] + sb_nxtstate <= UInt<4>("h09") @[dbg.scala 383:19] + node _T_538 = and(sb_bus_rsp_read, io.dbg_bus_clk_en) @[dbg.scala 384:38] + sb_state_en <= _T_538 @[dbg.scala 384:19] + node _T_539 = and(sb_state_en, sb_bus_rsp_error) @[dbg.scala 385:40] + sbcs_sberror_wren <= _T_539 @[dbg.scala 385:25] + sbcs_sberror_din <= UInt<3>("h02") @[dbg.scala 386:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_540 = eq(UInt<4>("h08"), sb_state) @[Conditional.scala 37:30] + when _T_540 : @[Conditional.scala 39:67] + sb_nxtstate <= UInt<4>("h09") @[dbg.scala 389:19] + node _T_541 = and(sb_bus_rsp_write, io.dbg_bus_clk_en) @[dbg.scala 390:39] + sb_state_en <= _T_541 @[dbg.scala 390:19] + node _T_542 = and(sb_state_en, sb_bus_rsp_error) @[dbg.scala 391:40] + sbcs_sberror_wren <= _T_542 @[dbg.scala 391:25] + sbcs_sberror_din <= UInt<3>("h02") @[dbg.scala 392:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_543 = eq(UInt<4>("h09"), sb_state) @[Conditional.scala 37:30] + when _T_543 : @[Conditional.scala 39:67] + sb_nxtstate <= UInt<4>("h00") @[dbg.scala 395:19] + sb_state_en <= UInt<1>("h01") @[dbg.scala 396:19] + sbcs_sbbusy_wren <= UInt<1>("h01") @[dbg.scala 397:24] + sbcs_sbbusy_din <= UInt<1>("h00") @[dbg.scala 398:23] + node _T_544 = bits(sbcs_reg, 16, 16) @[dbg.scala 399:39] + sbaddress0_reg_wren1 <= _T_544 @[dbg.scala 399:28] + skip @[Conditional.scala 39:67] + reg _T_545 : UInt, rvclkhdr_1.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] + when sb_state_en : @[Reg.scala 28:19] + _T_545 <= sb_nxtstate @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + sb_state <= _T_545 @[dbg.scala 402:12] + node _T_546 = and(io.sb_axi.ar.valid, io.sb_axi.ar.ready) @[dbg.scala 406:41] + sb_bus_cmd_read <= _T_546 @[dbg.scala 406:19] + node _T_547 = and(io.sb_axi.aw.valid, io.sb_axi.aw.ready) @[dbg.scala 407:47] + sb_bus_cmd_write_addr <= _T_547 @[dbg.scala 407:25] + node _T_548 = and(io.sb_axi.w.valid, io.sb_axi.w.ready) @[dbg.scala 408:46] + sb_bus_cmd_write_data <= _T_548 @[dbg.scala 408:25] + node _T_549 = and(io.sb_axi.r.valid, io.sb_axi.r.ready) @[dbg.scala 409:40] + sb_bus_rsp_read <= _T_549 @[dbg.scala 409:19] + node _T_550 = and(io.sb_axi.b.valid, io.sb_axi.b.ready) @[dbg.scala 410:41] + sb_bus_rsp_write <= _T_550 @[dbg.scala 410:20] + node _T_551 = bits(io.sb_axi.r.bits.resp, 1, 0) @[dbg.scala 411:62] + node _T_552 = orr(_T_551) @[dbg.scala 411:69] + node _T_553 = and(sb_bus_rsp_read, _T_552) @[dbg.scala 411:39] + node _T_554 = bits(io.sb_axi.b.bits.resp, 1, 0) @[dbg.scala 411:115] + node _T_555 = orr(_T_554) @[dbg.scala 411:122] + node _T_556 = and(sb_bus_rsp_write, _T_555) @[dbg.scala 411:92] + node _T_557 = or(_T_553, _T_556) @[dbg.scala 411:73] + sb_bus_rsp_error <= _T_557 @[dbg.scala 411:20] + node _T_558 = eq(sb_state, UInt<4>("h04")) @[dbg.scala 412:36] + node _T_559 = eq(sb_state, UInt<4>("h05")) @[dbg.scala 412:71] + node _T_560 = or(_T_558, _T_559) @[dbg.scala 412:59] + node _T_561 = bits(_T_560, 0, 0) @[dbg.scala 412:106] + io.sb_axi.aw.valid <= _T_561 @[dbg.scala 412:22] + io.sb_axi.aw.bits.addr <= sbaddress0_reg @[dbg.scala 413:26] + io.sb_axi.aw.bits.id <= UInt<1>("h00") @[dbg.scala 414:24] + node _T_562 = bits(sbcs_reg, 19, 17) @[dbg.scala 415:37] + io.sb_axi.aw.bits.size <= _T_562 @[dbg.scala 415:26] + io.sb_axi.aw.bits.prot <= UInt<1>("h00") @[dbg.scala 416:26] + io.sb_axi.aw.bits.cache <= UInt<4>("h0f") @[dbg.scala 417:27] + node _T_563 = bits(sbaddress0_reg, 31, 28) @[dbg.scala 418:45] + io.sb_axi.aw.bits.region <= _T_563 @[dbg.scala 418:28] + io.sb_axi.aw.bits.len <= UInt<1>("h00") @[dbg.scala 419:25] + io.sb_axi.aw.bits.burst <= UInt<2>("h01") @[dbg.scala 420:27] + io.sb_axi.aw.bits.qos <= UInt<1>("h00") @[dbg.scala 421:25] + io.sb_axi.aw.bits.lock <= UInt<1>("h00") @[dbg.scala 422:26] + node _T_564 = eq(sb_state, UInt<4>("h04")) @[dbg.scala 423:35] + node _T_565 = eq(sb_state, UInt<4>("h06")) @[dbg.scala 423:70] + node _T_566 = or(_T_564, _T_565) @[dbg.scala 423:58] + node _T_567 = bits(_T_566, 0, 0) @[dbg.scala 423:105] + io.sb_axi.w.valid <= _T_567 @[dbg.scala 423:21] + node _T_568 = bits(sbcs_reg, 19, 17) @[dbg.scala 424:46] + node _T_569 = eq(_T_568, UInt<1>("h00")) @[dbg.scala 424:55] + node _T_570 = bits(_T_569, 0, 0) @[Bitwise.scala 72:15] + node _T_571 = mux(_T_570, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_572 = bits(sbdata0_reg, 7, 0) @[dbg.scala 424:87] + node _T_573 = cat(_T_572, _T_572) @[Cat.scala 29:58] + node _T_574 = cat(_T_573, _T_573) @[Cat.scala 29:58] + node _T_575 = cat(_T_574, _T_574) @[Cat.scala 29:58] + node _T_576 = and(_T_571, _T_575) @[dbg.scala 424:65] + node _T_577 = bits(sbcs_reg, 19, 17) @[dbg.scala 424:116] + node _T_578 = eq(_T_577, UInt<1>("h01")) @[dbg.scala 424:125] + node _T_579 = bits(_T_578, 0, 0) @[Bitwise.scala 72:15] + node _T_580 = mux(_T_579, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_581 = bits(sbdata0_reg, 15, 0) @[dbg.scala 424:159] + node _T_582 = cat(_T_581, _T_581) @[Cat.scala 29:58] + node _T_583 = cat(_T_582, _T_582) @[Cat.scala 29:58] + node _T_584 = and(_T_580, _T_583) @[dbg.scala 424:138] + node _T_585 = or(_T_576, _T_584) @[dbg.scala 424:96] + node _T_586 = bits(sbcs_reg, 19, 17) @[dbg.scala 425:23] + node _T_587 = eq(_T_586, UInt<2>("h02")) @[dbg.scala 425:32] + node _T_588 = bits(_T_587, 0, 0) @[Bitwise.scala 72:15] + node _T_589 = mux(_T_588, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_590 = bits(sbdata0_reg, 31, 0) @[dbg.scala 425:67] + node _T_591 = cat(_T_590, _T_590) @[Cat.scala 29:58] + node _T_592 = and(_T_589, _T_591) @[dbg.scala 425:45] + node _T_593 = or(_T_585, _T_592) @[dbg.scala 424:168] + node _T_594 = bits(sbcs_reg, 19, 17) @[dbg.scala 425:97] + node _T_595 = eq(_T_594, UInt<2>("h03")) @[dbg.scala 425:106] + node _T_596 = bits(_T_595, 0, 0) @[Bitwise.scala 72:15] + node _T_597 = mux(_T_596, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_598 = bits(sbdata1_reg, 31, 0) @[dbg.scala 425:136] + node _T_599 = bits(sbdata0_reg, 31, 0) @[dbg.scala 425:156] + node _T_600 = cat(_T_598, _T_599) @[Cat.scala 29:58] + node _T_601 = and(_T_597, _T_600) @[dbg.scala 425:119] + node _T_602 = or(_T_593, _T_601) @[dbg.scala 425:77] + io.sb_axi.w.bits.data <= _T_602 @[dbg.scala 424:25] + node _T_603 = bits(sbcs_reg, 19, 17) @[dbg.scala 427:45] + node _T_604 = eq(_T_603, UInt<1>("h00")) @[dbg.scala 427:54] + node _T_605 = bits(_T_604, 0, 0) @[Bitwise.scala 72:15] + node _T_606 = mux(_T_605, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_607 = bits(sbaddress0_reg, 2, 0) @[dbg.scala 427:99] + node _T_608 = dshl(UInt<8>("h01"), _T_607) @[dbg.scala 427:82] + node _T_609 = and(_T_606, _T_608) @[dbg.scala 427:67] + node _T_610 = bits(sbcs_reg, 19, 17) @[dbg.scala 428:22] + node _T_611 = eq(_T_610, UInt<1>("h01")) @[dbg.scala 428:31] + node _T_612 = bits(_T_611, 0, 0) @[Bitwise.scala 72:15] + node _T_613 = mux(_T_612, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_614 = bits(sbaddress0_reg, 2, 1) @[dbg.scala 428:80] + node _T_615 = cat(_T_614, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_616 = dshl(UInt<8>("h03"), _T_615) @[dbg.scala 428:59] + node _T_617 = and(_T_613, _T_616) @[dbg.scala 428:44] + node _T_618 = or(_T_609, _T_617) @[dbg.scala 427:107] + node _T_619 = bits(sbcs_reg, 19, 17) @[dbg.scala 429:22] + node _T_620 = eq(_T_619, UInt<2>("h02")) @[dbg.scala 429:31] + node _T_621 = bits(_T_620, 0, 0) @[Bitwise.scala 72:15] + node _T_622 = mux(_T_621, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_623 = bits(sbaddress0_reg, 2, 2) @[dbg.scala 429:80] + node _T_624 = cat(_T_623, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_625 = dshl(UInt<8>("h0f"), _T_624) @[dbg.scala 429:59] + node _T_626 = and(_T_622, _T_625) @[dbg.scala 429:44] + node _T_627 = or(_T_618, _T_626) @[dbg.scala 428:97] + node _T_628 = bits(sbcs_reg, 19, 17) @[dbg.scala 430:22] + node _T_629 = eq(_T_628, UInt<2>("h03")) @[dbg.scala 430:31] + node _T_630 = bits(_T_629, 0, 0) @[Bitwise.scala 72:15] + node _T_631 = mux(_T_630, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_632 = and(_T_631, UInt<8>("h0ff")) @[dbg.scala 430:44] + node _T_633 = or(_T_627, _T_632) @[dbg.scala 429:100] + io.sb_axi.w.bits.strb <= _T_633 @[dbg.scala 427:25] + io.sb_axi.w.bits.last <= UInt<1>("h01") @[dbg.scala 432:25] + node _T_634 = eq(sb_state, UInt<4>("h03")) @[dbg.scala 433:35] + node _T_635 = bits(_T_634, 0, 0) @[dbg.scala 433:64] + io.sb_axi.ar.valid <= _T_635 @[dbg.scala 433:22] + io.sb_axi.ar.bits.addr <= sbaddress0_reg @[dbg.scala 434:26] + io.sb_axi.ar.bits.id <= UInt<1>("h00") @[dbg.scala 435:24] + node _T_636 = bits(sbcs_reg, 19, 17) @[dbg.scala 436:37] + io.sb_axi.ar.bits.size <= _T_636 @[dbg.scala 436:26] + io.sb_axi.ar.bits.prot <= UInt<1>("h00") @[dbg.scala 437:26] + io.sb_axi.ar.bits.cache <= UInt<1>("h00") @[dbg.scala 438:27] + node _T_637 = bits(sbaddress0_reg, 31, 28) @[dbg.scala 439:45] + io.sb_axi.ar.bits.region <= _T_637 @[dbg.scala 439:28] + io.sb_axi.ar.bits.len <= UInt<1>("h00") @[dbg.scala 440:25] + io.sb_axi.ar.bits.burst <= UInt<2>("h01") @[dbg.scala 441:27] + io.sb_axi.ar.bits.qos <= UInt<1>("h00") @[dbg.scala 442:25] + io.sb_axi.ar.bits.lock <= UInt<1>("h00") @[dbg.scala 443:26] + io.sb_axi.b.ready <= UInt<1>("h01") @[dbg.scala 444:21] + io.sb_axi.r.ready <= UInt<1>("h01") @[dbg.scala 445:21] + node _T_638 = bits(sbcs_reg, 19, 17) @[dbg.scala 446:37] + node _T_639 = eq(_T_638, UInt<1>("h00")) @[dbg.scala 446:46] + node _T_640 = bits(_T_639, 0, 0) @[Bitwise.scala 72:15] + node _T_641 = mux(_T_640, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_642 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 446:84] + node _T_643 = bits(sbaddress0_reg, 2, 0) @[dbg.scala 446:115] + node _T_644 = mul(UInt<4>("h08"), _T_643) @[dbg.scala 446:99] + node _T_645 = dshr(_T_642, _T_644) @[dbg.scala 446:92] + node _T_646 = and(_T_645, UInt<64>("h0ff")) @[dbg.scala 446:123] + node _T_647 = and(_T_641, _T_646) @[dbg.scala 446:59] + node _T_648 = bits(sbcs_reg, 19, 17) @[dbg.scala 447:23] + node _T_649 = eq(_T_648, UInt<1>("h01")) @[dbg.scala 447:32] + node _T_650 = bits(_T_649, 0, 0) @[Bitwise.scala 72:15] + node _T_651 = mux(_T_650, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_652 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 447:70] + node _T_653 = bits(sbaddress0_reg, 2, 1) @[dbg.scala 447:102] + node _T_654 = mul(UInt<5>("h010"), _T_653) @[dbg.scala 447:86] + node _T_655 = dshr(_T_652, _T_654) @[dbg.scala 447:78] + node _T_656 = and(_T_655, UInt<64>("h0ffff")) @[dbg.scala 447:110] + node _T_657 = and(_T_651, _T_656) @[dbg.scala 447:45] + node _T_658 = or(_T_647, _T_657) @[dbg.scala 446:140] + node _T_659 = bits(sbcs_reg, 19, 17) @[dbg.scala 448:23] + node _T_660 = eq(_T_659, UInt<2>("h02")) @[dbg.scala 448:32] + node _T_661 = bits(_T_660, 0, 0) @[Bitwise.scala 72:15] + node _T_662 = mux(_T_661, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_663 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 448:70] + node _T_664 = bits(sbaddress0_reg, 2, 2) @[dbg.scala 448:102] + node _T_665 = mul(UInt<6>("h020"), _T_664) @[dbg.scala 448:86] + node _T_666 = dshr(_T_663, _T_665) @[dbg.scala 448:78] + node _T_667 = and(_T_666, UInt<64>("h0ffffffff")) @[dbg.scala 448:107] + node _T_668 = and(_T_662, _T_667) @[dbg.scala 448:45] + node _T_669 = or(_T_658, _T_668) @[dbg.scala 447:129] + node _T_670 = bits(sbcs_reg, 19, 17) @[dbg.scala 449:23] + node _T_671 = eq(_T_670, UInt<2>("h03")) @[dbg.scala 449:32] + node _T_672 = bits(_T_671, 0, 0) @[Bitwise.scala 72:15] + node _T_673 = mux(_T_672, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_674 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 449:68] + node _T_675 = and(_T_673, _T_674) @[dbg.scala 449:45] + node _T_676 = or(_T_669, _T_675) @[dbg.scala 448:131] + sb_bus_rdata <= _T_676 @[dbg.scala 446:16] + io.dbg_dma.dbg_ib.dbg_cmd_addr <= io.dbg_dec.dbg_ib.dbg_cmd_addr @[dbg.scala 452:39] + io.dbg_dma.dbg_dctl.dbg_cmd_wrdata <= io.dbg_dec.dbg_dctl.dbg_cmd_wrdata @[dbg.scala 453:39] + io.dbg_dma.dbg_ib.dbg_cmd_valid <= io.dbg_dec.dbg_ib.dbg_cmd_valid @[dbg.scala 454:39] + io.dbg_dma.dbg_ib.dbg_cmd_write <= io.dbg_dec.dbg_ib.dbg_cmd_write @[dbg.scala 455:39] + io.dbg_dma.dbg_ib.dbg_cmd_type <= io.dbg_dec.dbg_ib.dbg_cmd_type @[dbg.scala 456:39] + diff --git a/dbg.v b/dbg.v new file mode 100644 index 00000000..2afb7fd4 --- /dev/null +++ b/dbg.v @@ -0,0 +1,1180 @@ +module rvclkhdr( + output io_l1clk, + input io_clk, + input io_en, + input io_scan_mode +); + wire clkhdr_Q; // @[lib.scala 334:26] + wire clkhdr_CK; // @[lib.scala 334:26] + wire clkhdr_EN; // @[lib.scala 334:26] + wire clkhdr_SE; // @[lib.scala 334:26] + gated_latch clkhdr ( // @[lib.scala 334:26] + .Q(clkhdr_Q), + .CK(clkhdr_CK), + .EN(clkhdr_EN), + .SE(clkhdr_SE) + ); + assign io_l1clk = clkhdr_Q; // @[lib.scala 335:14] + assign clkhdr_CK = io_clk; // @[lib.scala 336:18] + assign clkhdr_EN = io_en; // @[lib.scala 337:18] + assign clkhdr_SE = io_scan_mode; // @[lib.scala 338:18] +endmodule +module dbg( + input clock, + input reset, + output [1:0] io_dbg_cmd_size, + output io_dbg_core_rst_l, + input [31:0] io_core_dbg_rddata, + input io_core_dbg_cmd_done, + input io_core_dbg_cmd_fail, + output io_dbg_halt_req, + output io_dbg_resume_req, + input io_dec_tlu_debug_mode, + input io_dec_tlu_dbg_halted, + input io_dec_tlu_mpc_halted_only, + input io_dec_tlu_resume_ack, + input io_dmi_reg_en, + input [6:0] io_dmi_reg_addr, + input io_dmi_reg_wr_en, + input [31:0] io_dmi_reg_wdata, + output [31:0] io_dmi_reg_rdata, + input io_sb_axi_aw_ready, + output io_sb_axi_aw_valid, + output io_sb_axi_aw_bits_id, + output [31:0] io_sb_axi_aw_bits_addr, + output [3:0] io_sb_axi_aw_bits_region, + output [7:0] io_sb_axi_aw_bits_len, + output [2:0] io_sb_axi_aw_bits_size, + output [1:0] io_sb_axi_aw_bits_burst, + output io_sb_axi_aw_bits_lock, + output [3:0] io_sb_axi_aw_bits_cache, + output [2:0] io_sb_axi_aw_bits_prot, + output [3:0] io_sb_axi_aw_bits_qos, + input io_sb_axi_w_ready, + output io_sb_axi_w_valid, + output [63:0] io_sb_axi_w_bits_data, + output [7:0] io_sb_axi_w_bits_strb, + output io_sb_axi_w_bits_last, + output io_sb_axi_b_ready, + input io_sb_axi_b_valid, + input [1:0] io_sb_axi_b_bits_resp, + input io_sb_axi_b_bits_id, + input io_sb_axi_ar_ready, + output io_sb_axi_ar_valid, + output io_sb_axi_ar_bits_id, + output [31:0] io_sb_axi_ar_bits_addr, + output [3:0] io_sb_axi_ar_bits_region, + output [7:0] io_sb_axi_ar_bits_len, + output [2:0] io_sb_axi_ar_bits_size, + output [1:0] io_sb_axi_ar_bits_burst, + output io_sb_axi_ar_bits_lock, + output [3:0] io_sb_axi_ar_bits_cache, + output [2:0] io_sb_axi_ar_bits_prot, + output [3:0] io_sb_axi_ar_bits_qos, + output io_sb_axi_r_ready, + input io_sb_axi_r_valid, + input io_sb_axi_r_bits_id, + input [63:0] io_sb_axi_r_bits_data, + input [1:0] io_sb_axi_r_bits_resp, + input io_sb_axi_r_bits_last, + output io_dbg_dec_dbg_ib_dbg_cmd_valid, + output io_dbg_dec_dbg_ib_dbg_cmd_write, + output [1:0] io_dbg_dec_dbg_ib_dbg_cmd_type, + output [31:0] io_dbg_dec_dbg_ib_dbg_cmd_addr, + output [31:0] io_dbg_dec_dbg_dctl_dbg_cmd_wrdata, + output io_dbg_dma_dbg_ib_dbg_cmd_valid, + output io_dbg_dma_dbg_ib_dbg_cmd_write, + output [1:0] io_dbg_dma_dbg_ib_dbg_cmd_type, + output [31:0] io_dbg_dma_dbg_ib_dbg_cmd_addr, + output [31:0] io_dbg_dma_dbg_dctl_dbg_cmd_wrdata, + output io_dbg_dma_io_dbg_dma_bubble, + input io_dbg_dma_io_dma_dbg_ready, + input io_dbg_bus_clk_en, + input io_dbg_rst_l, + input io_clk_override, + input io_scan_mode +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; + reg [31:0] _RAND_11; + reg [31:0] _RAND_12; + reg [31:0] _RAND_13; + reg [31:0] _RAND_14; + reg [31:0] _RAND_15; + reg [31:0] _RAND_16; + reg [31:0] _RAND_17; + reg [31:0] _RAND_18; + reg [31:0] _RAND_19; + reg [31:0] _RAND_20; + reg [31:0] _RAND_21; +`endif // RANDOMIZE_REG_INIT + wire [2:0] dbg_state; + wire dbg_state_en; + wire [3:0] sb_state; + wire sb_state_en; + wire [31:0] dmcontrol_reg; + wire [31:0] sbaddress0_reg; + wire sbcs_sbbusy_wren; + wire sbcs_sberror_wren; + wire [63:0] sb_bus_rdata; + wire sbaddress0_reg_wren1; + wire [31:0] dmstatus_reg; + wire dmstatus_havereset; + wire dmstatus_resumeack; + wire dmstatus_unavail; + wire dmstatus_running; + wire dmstatus_halted; + wire abstractcs_busy_wren; + wire sb_bus_cmd_read; + wire sb_bus_cmd_write_addr; + wire sb_bus_cmd_write_data; + wire sb_bus_rsp_read; + wire sb_bus_rsp_error; + wire sb_bus_rsp_write; + wire sbcs_sbbusy_din; + wire [31:0] data1_reg; + wire [31:0] sbcs_reg; + wire _T = dbg_state != 3'h0; // @[dbg.scala 95:51] + wire _T_1 = io_dmi_reg_en | _T; // @[dbg.scala 95:38] + wire _T_2 = _T_1 | dbg_state_en; // @[dbg.scala 95:69] + wire _T_3 = _T_2 | io_dec_tlu_dbg_halted; // @[dbg.scala 95:84] + wire _T_4 = io_dmi_reg_en | sb_state_en; // @[dbg.scala 96:37] + wire _T_5 = sb_state != 4'h0; // @[dbg.scala 96:63] + wire _T_6 = _T_4 | _T_5; // @[dbg.scala 96:51] + wire rvclkhdr_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_io_en; // @[lib.scala 343:22] + wire rvclkhdr_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_1_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_1_io_en; // @[lib.scala 343:22] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 343:22] + wire _T_9 = dmcontrol_reg[0] | io_scan_mode; // @[dbg.scala 100:65] + wire dbg_dm_rst_l = io_dbg_rst_l & _T_9; // @[dbg.scala 100:94] + wire _T_11 = io_dbg_rst_l & _T_9; // @[dbg.scala 102:38] + wire rst_temp = _T_11 & reset; // @[dbg.scala 102:71] + wire _T_15 = ~dmcontrol_reg[1]; // @[dbg.scala 105:25] + wire _T_17 = io_dmi_reg_addr == 7'h38; // @[dbg.scala 106:36] + wire _T_18 = _T_17 & io_dmi_reg_en; // @[dbg.scala 106:49] + wire _T_19 = _T_18 & io_dmi_reg_wr_en; // @[dbg.scala 106:65] + wire _T_20 = sb_state == 4'h0; // @[dbg.scala 106:96] + wire sbcs_wren = _T_19 & _T_20; // @[dbg.scala 106:84] + wire _T_22 = sbcs_wren & io_dmi_reg_wdata[22]; // @[dbg.scala 107:42] + wire _T_24 = _T_5 & io_dmi_reg_en; // @[dbg.scala 107:102] + wire _T_25 = io_dmi_reg_addr == 7'h39; // @[dbg.scala 108:23] + wire _T_26 = io_dmi_reg_addr == 7'h3c; // @[dbg.scala 108:55] + wire _T_27 = _T_25 | _T_26; // @[dbg.scala 108:36] + wire _T_28 = io_dmi_reg_addr == 7'h3d; // @[dbg.scala 108:87] + wire _T_29 = _T_27 | _T_28; // @[dbg.scala 108:68] + wire _T_30 = _T_24 & _T_29; // @[dbg.scala 107:118] + wire sbcs_sbbusyerror_wren = _T_22 | _T_30; // @[dbg.scala 107:66] + wire sbcs_sbbusyerror_din = ~_T_22; // @[dbg.scala 110:31] + reg temp_sbcs_22; // @[Reg.scala 27:20] + reg temp_sbcs_21; // @[Reg.scala 27:20] + reg temp_sbcs_20; // @[Reg.scala 27:20] + reg [4:0] temp_sbcs_19_15; // @[Reg.scala 27:20] + reg [2:0] temp_sbcs_14_12; // @[Reg.scala 27:20] + wire [19:0] _T_38 = {temp_sbcs_19_15,temp_sbcs_14_12,12'h40f}; // @[Cat.scala 29:58] + wire [11:0] _T_42 = {9'h40,temp_sbcs_22,temp_sbcs_21,temp_sbcs_20}; // @[Cat.scala 29:58] + wire _T_45 = sbcs_reg[19:17] == 3'h1; // @[dbg.scala 132:42] + wire _T_47 = _T_45 & sbaddress0_reg[0]; // @[dbg.scala 132:61] + wire _T_49 = sbcs_reg[19:17] == 3'h2; // @[dbg.scala 133:23] + wire _T_51 = |sbaddress0_reg[1:0]; // @[dbg.scala 133:65] + wire _T_52 = _T_49 & _T_51; // @[dbg.scala 133:42] + wire _T_53 = _T_47 | _T_52; // @[dbg.scala 132:81] + wire _T_55 = sbcs_reg[19:17] == 3'h3; // @[dbg.scala 134:23] + wire _T_57 = |sbaddress0_reg[2:0]; // @[dbg.scala 134:65] + wire _T_58 = _T_55 & _T_57; // @[dbg.scala 134:42] + wire sbcs_unaligned = _T_53 | _T_58; // @[dbg.scala 133:69] + wire sbcs_illegal_size = sbcs_reg[19]; // @[dbg.scala 136:35] + wire _T_60 = sbcs_reg[19:17] == 3'h0; // @[dbg.scala 137:51] + wire [3:0] _T_62 = _T_60 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_63 = _T_62 & 4'h1; // @[dbg.scala 137:64] + wire [3:0] _T_67 = _T_45 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_68 = _T_67 & 4'h2; // @[dbg.scala 137:122] + wire [3:0] _T_69 = _T_63 | _T_68; // @[dbg.scala 137:81] + wire [3:0] _T_73 = _T_49 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_74 = _T_73 & 4'h4; // @[dbg.scala 138:44] + wire [3:0] _T_75 = _T_69 | _T_74; // @[dbg.scala 137:139] + wire [3:0] _T_79 = _T_55 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_80 = _T_79 & 4'h8; // @[dbg.scala 138:102] + wire [3:0] sbaddress0_incr = _T_75 | _T_80; // @[dbg.scala 138:61] + wire _T_81 = io_dmi_reg_en & io_dmi_reg_wr_en; // @[dbg.scala 140:41] + wire sbdata0_reg_wren0 = _T_81 & _T_26; // @[dbg.scala 140:60] + wire _T_83 = sb_state == 4'h7; // @[dbg.scala 141:37] + wire _T_84 = _T_83 & sb_state_en; // @[dbg.scala 141:60] + wire _T_85 = ~sbcs_sberror_wren; // @[dbg.scala 141:76] + wire sbdata0_reg_wren1 = _T_84 & _T_85; // @[dbg.scala 141:74] + wire sbdata1_reg_wren0 = _T_81 & _T_28; // @[dbg.scala 143:60] + wire [31:0] _T_92 = sbdata0_reg_wren0 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_93 = _T_92 & io_dmi_reg_wdata; // @[dbg.scala 146:49] + wire [31:0] _T_95 = sbdata0_reg_wren1 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_97 = _T_95 & sb_bus_rdata[31:0]; // @[dbg.scala 147:33] + wire [31:0] _T_99 = sbdata1_reg_wren0 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_100 = _T_99 & io_dmi_reg_wdata; // @[dbg.scala 149:49] + wire [31:0] _T_104 = _T_95 & sb_bus_rdata[63:32]; // @[dbg.scala 150:33] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_en; // @[lib.scala 368:23] + wire rvclkhdr_2_io_scan_mode; // @[lib.scala 368:23] + reg [31:0] sbdata0_reg; // @[lib.scala 374:16] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_en; // @[lib.scala 368:23] + wire rvclkhdr_3_io_scan_mode; // @[lib.scala 368:23] + reg [31:0] sbdata1_reg; // @[lib.scala 374:16] + wire sbaddress0_reg_wren0 = _T_81 & _T_25; // @[dbg.scala 160:63] + wire [31:0] _T_108 = sbaddress0_reg_wren0 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_109 = _T_108 & io_dmi_reg_wdata; // @[dbg.scala 162:59] + wire [31:0] _T_111 = sbaddress0_reg_wren1 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_112 = {28'h0,sbaddress0_incr}; // @[Cat.scala 29:58] + wire [31:0] _T_114 = sbaddress0_reg + _T_112; // @[dbg.scala 163:54] + wire [31:0] _T_115 = _T_111 & _T_114; // @[dbg.scala 163:36] + wire rvclkhdr_4_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_en; // @[lib.scala 368:23] + wire rvclkhdr_4_io_scan_mode; // @[lib.scala 368:23] + reg [31:0] _T_116; // @[lib.scala 374:16] + wire sbreadonaddr_access = sbaddress0_reg_wren0 & sbcs_reg[20]; // @[dbg.scala 168:94] + wire _T_121 = ~io_dmi_reg_wr_en; // @[dbg.scala 169:45] + wire _T_122 = io_dmi_reg_en & _T_121; // @[dbg.scala 169:43] + wire _T_124 = _T_122 & _T_26; // @[dbg.scala 169:63] + wire sbreadondata_access = _T_124 & sbcs_reg[15]; // @[dbg.scala 169:95] + wire _T_128 = io_dmi_reg_addr == 7'h10; // @[dbg.scala 171:41] + wire _T_129 = _T_128 & io_dmi_reg_en; // @[dbg.scala 171:54] + wire dmcontrol_wren = _T_129 & io_dmi_reg_wr_en; // @[dbg.scala 171:70] + wire [3:0] _T_134 = {io_dmi_reg_wdata[31:30],io_dmi_reg_wdata[28],io_dmi_reg_wdata[1]}; // @[Cat.scala 29:58] + reg [3:0] dm_temp; // @[Reg.scala 27:20] + reg dm_temp_0; // @[Reg.scala 27:20] + wire [27:0] _T_141 = {26'h0,dm_temp[0],dm_temp_0}; // @[Cat.scala 29:58] + wire [3:0] _T_143 = {dm_temp[3:2],1'h0,dm_temp[1]}; // @[Cat.scala 29:58] + reg dmcontrol_wren_Q; // @[dbg.scala 186:12] + wire [1:0] _T_145 = dmstatus_havereset ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_147 = dmstatus_resumeack ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_149 = dmstatus_unavail ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_151 = dmstatus_running ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_153 = dmstatus_halted ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [11:0] _T_157 = {_T_151,_T_153,1'h1,7'h2}; // @[Cat.scala 29:58] + wire [19:0] _T_161 = {12'h0,_T_145,_T_147,2'h0,_T_149}; // @[Cat.scala 29:58] + wire _T_163 = dbg_state == 3'h6; // @[dbg.scala 191:44] + wire _T_164 = _T_163 & io_dec_tlu_resume_ack; // @[dbg.scala 191:66] + wire _T_166 = ~dmcontrol_reg[30]; // @[dbg.scala 191:113] + wire _T_167 = dmstatus_resumeack & _T_166; // @[dbg.scala 191:111] + wire dmstatus_resumeack_wren = _T_164 | _T_167; // @[dbg.scala 191:90] + wire _T_171 = _T_128 & io_dmi_reg_wdata[1]; // @[dbg.scala 193:63] + wire _T_172 = _T_171 & io_dmi_reg_en; // @[dbg.scala 193:85] + wire dmstatus_havereset_wren = _T_172 & io_dmi_reg_wr_en; // @[dbg.scala 193:101] + wire _T_175 = _T_128 & io_dmi_reg_wdata[28]; // @[dbg.scala 194:62] + wire _T_176 = _T_175 & io_dmi_reg_en; // @[dbg.scala 194:85] + wire dmstatus_havereset_rst = _T_176 & io_dmi_reg_wr_en; // @[dbg.scala 194:101] + wire _T_178 = ~reset; // @[dbg.scala 196:43] + wire _T_181 = dmstatus_unavail | dmstatus_halted; // @[dbg.scala 197:42] + reg _T_183; // @[Reg.scala 27:20] + wire _T_184 = ~io_dec_tlu_mpc_halted_only; // @[dbg.scala 203:37] + reg _T_186; // @[dbg.scala 203:12] + wire _T_187 = dmstatus_havereset_wren | dmstatus_havereset; // @[dbg.scala 207:16] + wire _T_188 = ~dmstatus_havereset_rst; // @[dbg.scala 207:72] + reg _T_190; // @[dbg.scala 207:12] + wire [31:0] haltsum0_reg = {31'h0,dmstatus_halted}; // @[Cat.scala 29:58] + wire [31:0] abstractcs_reg; + wire _T_192 = abstractcs_reg[12] & io_dmi_reg_en; // @[dbg.scala 213:50] + wire _T_193 = io_dmi_reg_addr == 7'h16; // @[dbg.scala 213:106] + wire _T_194 = io_dmi_reg_addr == 7'h17; // @[dbg.scala 213:138] + wire _T_195 = _T_193 | _T_194; // @[dbg.scala 213:119] + wire _T_196 = io_dmi_reg_wr_en & _T_195; // @[dbg.scala 213:86] + wire _T_197 = io_dmi_reg_addr == 7'h4; // @[dbg.scala 213:171] + wire _T_198 = _T_196 | _T_197; // @[dbg.scala 213:152] + wire abstractcs_error_sel0 = _T_192 & _T_198; // @[dbg.scala 213:66] + wire _T_201 = _T_81 & _T_194; // @[dbg.scala 214:64] + wire _T_203 = io_dmi_reg_wdata[31:24] == 8'h0; // @[dbg.scala 214:126] + wire _T_205 = io_dmi_reg_wdata[31:24] == 8'h2; // @[dbg.scala 214:163] + wire _T_206 = _T_203 | _T_205; // @[dbg.scala 214:135] + wire _T_207 = ~_T_206; // @[dbg.scala 214:98] + wire abstractcs_error_sel1 = _T_201 & _T_207; // @[dbg.scala 214:96] + wire abstractcs_error_sel2 = io_core_dbg_cmd_done & io_core_dbg_cmd_fail; // @[dbg.scala 215:52] + wire _T_212 = ~dmstatus_reg[9]; // @[dbg.scala 216:98] + wire abstractcs_error_sel3 = _T_201 & _T_212; // @[dbg.scala 216:96] + wire _T_214 = _T_194 & io_dmi_reg_en; // @[dbg.scala 217:61] + wire _T_215 = _T_214 & io_dmi_reg_wr_en; // @[dbg.scala 217:77] + wire _T_217 = io_dmi_reg_wdata[22:20] != 3'h2; // @[dbg.scala 218:32] + wire _T_221 = |data1_reg[1:0]; // @[dbg.scala 218:111] + wire _T_222 = _T_205 & _T_221; // @[dbg.scala 218:92] + wire _T_223 = _T_217 | _T_222; // @[dbg.scala 218:51] + wire abstractcs_error_sel4 = _T_215 & _T_223; // @[dbg.scala 217:96] + wire _T_225 = _T_193 & io_dmi_reg_en; // @[dbg.scala 220:61] + wire abstractcs_error_sel5 = _T_225 & io_dmi_reg_wr_en; // @[dbg.scala 220:77] + wire _T_226 = abstractcs_error_sel0 | abstractcs_error_sel1; // @[dbg.scala 221:54] + wire _T_227 = _T_226 | abstractcs_error_sel2; // @[dbg.scala 221:78] + wire _T_228 = _T_227 | abstractcs_error_sel3; // @[dbg.scala 221:102] + wire _T_229 = _T_228 | abstractcs_error_sel4; // @[dbg.scala 221:126] + wire abstractcs_error_selor = _T_229 | abstractcs_error_sel5; // @[dbg.scala 221:150] + wire [2:0] _T_231 = abstractcs_error_sel0 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_232 = _T_231 & 3'h1; // @[dbg.scala 222:62] + wire [2:0] _T_234 = abstractcs_error_sel1 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_235 = _T_234 & 3'h2; // @[dbg.scala 223:37] + wire [2:0] _T_236 = _T_232 | _T_235; // @[dbg.scala 222:79] + wire [2:0] _T_238 = abstractcs_error_sel2 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_239 = _T_238 & 3'h3; // @[dbg.scala 224:37] + wire [2:0] _T_240 = _T_236 | _T_239; // @[dbg.scala 223:54] + wire [2:0] _T_242 = abstractcs_error_sel3 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_243 = _T_242 & 3'h4; // @[dbg.scala 225:37] + wire [2:0] _T_244 = _T_240 | _T_243; // @[dbg.scala 224:54] + wire [2:0] _T_246 = abstractcs_error_sel4 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_248 = _T_244 | _T_246; // @[dbg.scala 225:54] + wire [2:0] _T_250 = abstractcs_error_sel5 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_252 = ~io_dmi_reg_wdata[10:8]; // @[dbg.scala 227:40] + wire [2:0] _T_253 = _T_250 & _T_252; // @[dbg.scala 227:37] + wire [2:0] _T_255 = _T_253 & abstractcs_reg[10:8]; // @[dbg.scala 227:75] + wire [2:0] _T_256 = _T_248 | _T_255; // @[dbg.scala 226:54] + wire _T_257 = ~abstractcs_error_selor; // @[dbg.scala 228:15] + wire [2:0] _T_259 = _T_257 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_261 = _T_259 & abstractcs_reg[10:8]; // @[dbg.scala 228:50] + reg abs_temp_12; // @[Reg.scala 27:20] + reg [2:0] abs_temp_10_8; // @[dbg.scala 235:12] + wire [10:0] _T_263 = {abs_temp_10_8,8'h2}; // @[Cat.scala 29:58] + wire [20:0] _T_265 = {19'h0,abs_temp_12,1'h0}; // @[Cat.scala 29:58] + wire _T_270 = dbg_state == 3'h2; // @[dbg.scala 240:100] + wire command_wren = _T_215 & _T_270; // @[dbg.scala 240:87] + wire [19:0] _T_274 = {3'h0,io_dmi_reg_wdata[16:0]}; // @[Cat.scala 29:58] + wire [11:0] _T_276 = {io_dmi_reg_wdata[31:24],1'h0,io_dmi_reg_wdata[22:20]}; // @[Cat.scala 29:58] + wire rvclkhdr_5_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_en; // @[lib.scala 368:23] + wire rvclkhdr_5_io_scan_mode; // @[lib.scala 368:23] + reg [31:0] command_reg; // @[lib.scala 374:16] + wire _T_279 = _T_81 & _T_197; // @[dbg.scala 246:58] + wire data0_reg_wren0 = _T_279 & _T_270; // @[dbg.scala 246:89] + wire _T_281 = dbg_state == 3'h4; // @[dbg.scala 247:59] + wire _T_282 = io_core_dbg_cmd_done & _T_281; // @[dbg.scala 247:46] + wire _T_284 = ~command_reg[16]; // @[dbg.scala 247:83] + wire data0_reg_wren1 = _T_282 & _T_284; // @[dbg.scala 247:81] + wire [31:0] _T_286 = data0_reg_wren0 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_287 = _T_286 & io_dmi_reg_wdata; // @[dbg.scala 250:45] + wire [31:0] _T_289 = data0_reg_wren1 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_290 = _T_289 & io_core_dbg_rddata; // @[dbg.scala 250:92] + wire rvclkhdr_6_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_6_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_6_io_en; // @[lib.scala 368:23] + wire rvclkhdr_6_io_scan_mode; // @[lib.scala 368:23] + reg [31:0] data0_reg; // @[lib.scala 374:16] + wire _T_292 = io_dmi_reg_addr == 7'h5; // @[dbg.scala 255:77] + wire _T_293 = _T_81 & _T_292; // @[dbg.scala 255:58] + wire data1_reg_wren = _T_293 & _T_270; // @[dbg.scala 255:89] + wire [31:0] _T_296 = data1_reg_wren ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire rvclkhdr_7_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_7_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_7_io_en; // @[lib.scala 368:23] + wire rvclkhdr_7_io_scan_mode; // @[lib.scala 368:23] + reg [31:0] _T_297; // @[lib.scala 374:16] + wire [2:0] dbg_nxtstate; + wire _T_298 = 3'h0 == dbg_state; // @[Conditional.scala 37:30] + wire _T_300 = dmstatus_reg[9] | io_dec_tlu_mpc_halted_only; // @[dbg.scala 270:43] + wire [2:0] _T_301 = _T_300 ? 3'h2 : 3'h1; // @[dbg.scala 270:26] + wire _T_303 = ~io_dec_tlu_debug_mode; // @[dbg.scala 271:45] + wire _T_304 = dmcontrol_reg[31] & _T_303; // @[dbg.scala 271:43] + wire _T_306 = _T_304 | dmstatus_reg[9]; // @[dbg.scala 271:69] + wire _T_307 = _T_306 | io_dec_tlu_mpc_halted_only; // @[dbg.scala 271:87] + wire _T_310 = _T_307 & _T_15; // @[dbg.scala 271:117] + wire _T_314 = dmcontrol_reg[31] & _T_15; // @[dbg.scala 272:45] + wire _T_316 = 3'h1 == dbg_state; // @[Conditional.scala 37:30] + wire [2:0] _T_318 = dmcontrol_reg[1] ? 3'h0 : 3'h2; // @[dbg.scala 275:26] + wire _T_321 = dmstatus_reg[9] | dmcontrol_reg[1]; // @[dbg.scala 276:39] + wire _T_323 = dmcontrol_wren_Q & dmcontrol_reg[31]; // @[dbg.scala 277:44] + wire _T_326 = _T_323 & _T_15; // @[dbg.scala 277:64] + wire _T_328 = 3'h2 == dbg_state; // @[Conditional.scala 37:30] + wire _T_332 = dmstatus_reg[9] & _T_15; // @[dbg.scala 280:43] + wire _T_335 = ~dmcontrol_reg[31]; // @[dbg.scala 281:33] + wire _T_336 = dmcontrol_reg[30] & _T_335; // @[dbg.scala 281:31] + wire [2:0] _T_337 = _T_336 ? 3'h6 : 3'h3; // @[dbg.scala 281:12] + wire [2:0] _T_339 = dmcontrol_reg[31] ? 3'h1 : 3'h0; // @[dbg.scala 282:12] + wire [2:0] _T_340 = _T_332 ? _T_337 : _T_339; // @[dbg.scala 280:26] + wire _T_343 = dmstatus_reg[9] & dmcontrol_reg[30]; // @[dbg.scala 283:39] + wire _T_346 = _T_343 & _T_335; // @[dbg.scala 283:59] + wire _T_347 = _T_346 & dmcontrol_wren_Q; // @[dbg.scala 283:80] + wire _T_348 = _T_347 | command_wren; // @[dbg.scala 283:99] + wire _T_350 = _T_348 | dmcontrol_reg[1]; // @[dbg.scala 283:114] + wire _T_353 = ~_T_300; // @[dbg.scala 284:28] + wire _T_354 = _T_350 | _T_353; // @[dbg.scala 284:26] + wire _T_355 = dbg_nxtstate == 3'h3; // @[dbg.scala 285:60] + wire _T_356 = dbg_state_en & _T_355; // @[dbg.scala 285:44] + wire _T_357 = dbg_nxtstate == 3'h6; // @[dbg.scala 287:58] + wire _T_358 = dbg_state_en & _T_357; // @[dbg.scala 287:42] + wire _T_366 = 3'h3 == dbg_state; // @[Conditional.scala 37:30] + wire _T_369 = |abstractcs_reg[10:8]; // @[dbg.scala 291:85] + wire [2:0] _T_370 = _T_369 ? 3'h5 : 3'h4; // @[dbg.scala 291:62] + wire [2:0] _T_371 = dmcontrol_reg[1] ? 3'h0 : _T_370; // @[dbg.scala 291:26] + wire _T_374 = io_dbg_dec_dbg_ib_dbg_cmd_valid | _T_369; // @[dbg.scala 292:55] + wire _T_376 = _T_374 | dmcontrol_reg[1]; // @[dbg.scala 292:83] + wire _T_383 = 3'h4 == dbg_state; // @[Conditional.scala 37:30] + wire [2:0] _T_385 = dmcontrol_reg[1] ? 3'h0 : 3'h5; // @[dbg.scala 296:26] + wire _T_387 = io_core_dbg_cmd_done | dmcontrol_reg[1]; // @[dbg.scala 297:44] + wire _T_394 = 3'h5 == dbg_state; // @[Conditional.scala 37:30] + wire _T_403 = 3'h6 == dbg_state; // @[Conditional.scala 37:30] + wire _T_406 = dmstatus_reg[17] | dmcontrol_reg[1]; // @[dbg.scala 309:40] + wire _GEN_10 = _T_403 & _T_406; // @[Conditional.scala 39:67] + wire _GEN_11 = _T_403 & _T_326; // @[Conditional.scala 39:67] + wire [2:0] _GEN_12 = _T_394 ? _T_318 : 3'h0; // @[Conditional.scala 39:67] + wire _GEN_13 = _T_394 | _GEN_10; // @[Conditional.scala 39:67] + wire _GEN_14 = _T_394 & dbg_state_en; // @[Conditional.scala 39:67] + wire _GEN_16 = _T_394 ? _T_326 : _GEN_11; // @[Conditional.scala 39:67] + wire [2:0] _GEN_17 = _T_383 ? _T_385 : _GEN_12; // @[Conditional.scala 39:67] + wire _GEN_18 = _T_383 ? _T_387 : _GEN_13; // @[Conditional.scala 39:67] + wire _GEN_19 = _T_383 ? _T_326 : _GEN_16; // @[Conditional.scala 39:67] + wire _GEN_20 = _T_383 ? 1'h0 : _GEN_14; // @[Conditional.scala 39:67] + wire [2:0] _GEN_22 = _T_366 ? _T_371 : _GEN_17; // @[Conditional.scala 39:67] + wire _GEN_23 = _T_366 ? _T_376 : _GEN_18; // @[Conditional.scala 39:67] + wire _GEN_24 = _T_366 ? _T_326 : _GEN_19; // @[Conditional.scala 39:67] + wire _GEN_25 = _T_366 ? 1'h0 : _GEN_20; // @[Conditional.scala 39:67] + wire [2:0] _GEN_27 = _T_328 ? _T_340 : _GEN_22; // @[Conditional.scala 39:67] + wire _GEN_28 = _T_328 ? _T_354 : _GEN_23; // @[Conditional.scala 39:67] + wire _GEN_29 = _T_328 ? _T_356 : _GEN_25; // @[Conditional.scala 39:67] + wire _GEN_31 = _T_328 & _T_358; // @[Conditional.scala 39:67] + wire _GEN_32 = _T_328 ? _T_326 : _GEN_24; // @[Conditional.scala 39:67] + wire [2:0] _GEN_33 = _T_316 ? _T_318 : _GEN_27; // @[Conditional.scala 39:67] + wire _GEN_34 = _T_316 ? _T_321 : _GEN_28; // @[Conditional.scala 39:67] + wire _GEN_35 = _T_316 ? _T_326 : _GEN_32; // @[Conditional.scala 39:67] + wire _GEN_36 = _T_316 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] + wire _GEN_38 = _T_316 ? 1'h0 : _GEN_31; // @[Conditional.scala 39:67] + wire [31:0] _T_415 = _T_197 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_416 = _T_415 & data0_reg; // @[dbg.scala 313:71] + wire [31:0] _T_419 = _T_292 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_420 = _T_419 & data1_reg; // @[dbg.scala 313:122] + wire [31:0] _T_421 = _T_416 | _T_420; // @[dbg.scala 313:83] + wire [31:0] _T_424 = _T_128 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_425 = _T_424 & dmcontrol_reg; // @[dbg.scala 314:43] + wire [31:0] _T_426 = _T_421 | _T_425; // @[dbg.scala 313:134] + wire _T_427 = io_dmi_reg_addr == 7'h11; // @[dbg.scala 314:86] + wire [31:0] _T_429 = _T_427 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_430 = _T_429 & dmstatus_reg; // @[dbg.scala 314:99] + wire [31:0] _T_431 = _T_426 | _T_430; // @[dbg.scala 314:59] + wire [31:0] _T_434 = _T_193 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_435 = _T_434 & abstractcs_reg; // @[dbg.scala 315:43] + wire [31:0] _T_436 = _T_431 | _T_435; // @[dbg.scala 314:114] + wire [31:0] _T_439 = _T_194 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_440 = _T_439 & command_reg; // @[dbg.scala 315:100] + wire [31:0] _T_441 = _T_436 | _T_440; // @[dbg.scala 315:60] + wire _T_442 = io_dmi_reg_addr == 7'h40; // @[dbg.scala 316:30] + wire [31:0] _T_444 = _T_442 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_445 = _T_444 & haltsum0_reg; // @[dbg.scala 316:43] + wire [31:0] _T_446 = _T_441 | _T_445; // @[dbg.scala 315:114] + wire [31:0] _T_449 = _T_17 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_450 = _T_449 & sbcs_reg; // @[dbg.scala 316:98] + wire [31:0] _T_451 = _T_446 | _T_450; // @[dbg.scala 316:58] + wire [31:0] _T_454 = _T_25 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_455 = _T_454 & sbaddress0_reg; // @[dbg.scala 317:43] + wire [31:0] _T_456 = _T_451 | _T_455; // @[dbg.scala 316:109] + wire [31:0] _T_459 = _T_26 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_460 = _T_459 & sbdata0_reg; // @[dbg.scala 317:100] + wire [31:0] _T_461 = _T_456 | _T_460; // @[dbg.scala 317:60] + wire [31:0] _T_464 = _T_28 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_465 = _T_464 & sbdata1_reg; // @[dbg.scala 318:43] + wire [31:0] dmi_reg_rdata_din = _T_461 | _T_465; // @[dbg.scala 317:114] + reg [2:0] _T_466; // @[Reg.scala 27:20] + reg [31:0] _T_467; // @[Reg.scala 27:20] + wire _T_469 = command_reg[31:24] == 8'h2; // @[dbg.scala 329:62] + wire [31:0] _T_471 = {data1_reg[31:2],2'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_473 = {20'h0,command_reg[11:0]}; // @[Cat.scala 29:58] + wire _T_476 = dbg_state == 3'h3; // @[dbg.scala 331:50] + wire _T_479 = ~_T_369; // @[dbg.scala 331:75] + wire _T_480 = _T_476 & _T_479; // @[dbg.scala 331:73] + wire _T_488 = command_reg[15:12] == 4'h0; // @[dbg.scala 333:122] + wire [1:0] _T_489 = {1'h0,_T_488}; // @[Cat.scala 29:58] + wire _T_500 = 4'h0 == sb_state; // @[Conditional.scala 37:30] + wire _T_502 = sbdata0_reg_wren0 | sbreadondata_access; // @[dbg.scala 348:39] + wire _T_503 = _T_502 | sbreadonaddr_access; // @[dbg.scala 348:61] + wire _T_505 = |io_dmi_reg_wdata[14:12]; // @[dbg.scala 351:65] + wire _T_506 = sbcs_wren & _T_505; // @[dbg.scala 351:38] + wire [2:0] _T_508 = ~io_dmi_reg_wdata[14:12]; // @[dbg.scala 352:27] + wire [2:0] _T_510 = _T_508 & sbcs_reg[14:12]; // @[dbg.scala 352:53] + wire _T_511 = 4'h1 == sb_state; // @[Conditional.scala 37:30] + wire _T_512 = sbcs_unaligned | sbcs_illegal_size; // @[dbg.scala 355:41] + wire _T_514 = io_dbg_bus_clk_en | sbcs_unaligned; // @[dbg.scala 356:40] + wire _T_515 = _T_514 | sbcs_illegal_size; // @[dbg.scala 356:57] + wire _T_518 = 4'h2 == sb_state; // @[Conditional.scala 37:30] + wire _T_525 = 4'h3 == sb_state; // @[Conditional.scala 37:30] + wire _T_526 = sb_bus_cmd_read & io_dbg_bus_clk_en; // @[dbg.scala 368:38] + wire _T_527 = 4'h4 == sb_state; // @[Conditional.scala 37:30] + wire _T_528 = sb_bus_cmd_write_addr & sb_bus_cmd_write_data; // @[dbg.scala 371:48] + wire _T_531 = sb_bus_cmd_write_addr | sb_bus_cmd_write_data; // @[dbg.scala 372:45] + wire _T_532 = _T_531 & io_dbg_bus_clk_en; // @[dbg.scala 372:70] + wire _T_533 = 4'h5 == sb_state; // @[Conditional.scala 37:30] + wire _T_534 = sb_bus_cmd_write_addr & io_dbg_bus_clk_en; // @[dbg.scala 376:44] + wire _T_535 = 4'h6 == sb_state; // @[Conditional.scala 37:30] + wire _T_536 = sb_bus_cmd_write_data & io_dbg_bus_clk_en; // @[dbg.scala 380:44] + wire _T_537 = 4'h7 == sb_state; // @[Conditional.scala 37:30] + wire _T_538 = sb_bus_rsp_read & io_dbg_bus_clk_en; // @[dbg.scala 384:38] + wire _T_539 = sb_state_en & sb_bus_rsp_error; // @[dbg.scala 385:40] + wire _T_540 = 4'h8 == sb_state; // @[Conditional.scala 37:30] + wire _T_541 = sb_bus_rsp_write & io_dbg_bus_clk_en; // @[dbg.scala 390:39] + wire _T_543 = 4'h9 == sb_state; // @[Conditional.scala 37:30] + wire _GEN_50 = _T_543 & sbcs_reg[16]; // @[Conditional.scala 39:67] + wire _GEN_52 = _T_540 ? _T_541 : _T_543; // @[Conditional.scala 39:67] + wire _GEN_53 = _T_540 & _T_539; // @[Conditional.scala 39:67] + wire _GEN_55 = _T_540 ? 1'h0 : _T_543; // @[Conditional.scala 39:67] + wire _GEN_57 = _T_540 ? 1'h0 : _GEN_50; // @[Conditional.scala 39:67] + wire _GEN_59 = _T_537 ? _T_538 : _GEN_52; // @[Conditional.scala 39:67] + wire _GEN_60 = _T_537 ? _T_539 : _GEN_53; // @[Conditional.scala 39:67] + wire _GEN_62 = _T_537 ? 1'h0 : _GEN_55; // @[Conditional.scala 39:67] + wire _GEN_64 = _T_537 ? 1'h0 : _GEN_57; // @[Conditional.scala 39:67] + wire _GEN_66 = _T_535 ? _T_536 : _GEN_59; // @[Conditional.scala 39:67] + wire _GEN_67 = _T_535 ? 1'h0 : _GEN_60; // @[Conditional.scala 39:67] + wire _GEN_69 = _T_535 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] + wire _GEN_71 = _T_535 ? 1'h0 : _GEN_64; // @[Conditional.scala 39:67] + wire _GEN_73 = _T_533 ? _T_534 : _GEN_66; // @[Conditional.scala 39:67] + wire _GEN_74 = _T_533 ? 1'h0 : _GEN_67; // @[Conditional.scala 39:67] + wire _GEN_76 = _T_533 ? 1'h0 : _GEN_69; // @[Conditional.scala 39:67] + wire _GEN_78 = _T_533 ? 1'h0 : _GEN_71; // @[Conditional.scala 39:67] + wire _GEN_80 = _T_527 ? _T_532 : _GEN_73; // @[Conditional.scala 39:67] + wire _GEN_81 = _T_527 ? 1'h0 : _GEN_74; // @[Conditional.scala 39:67] + wire _GEN_83 = _T_527 ? 1'h0 : _GEN_76; // @[Conditional.scala 39:67] + wire _GEN_85 = _T_527 ? 1'h0 : _GEN_78; // @[Conditional.scala 39:67] + wire _GEN_87 = _T_525 ? _T_526 : _GEN_80; // @[Conditional.scala 39:67] + wire _GEN_88 = _T_525 ? 1'h0 : _GEN_81; // @[Conditional.scala 39:67] + wire _GEN_90 = _T_525 ? 1'h0 : _GEN_83; // @[Conditional.scala 39:67] + wire _GEN_92 = _T_525 ? 1'h0 : _GEN_85; // @[Conditional.scala 39:67] + wire _GEN_94 = _T_518 ? _T_515 : _GEN_87; // @[Conditional.scala 39:67] + wire _GEN_95 = _T_518 ? _T_512 : _GEN_88; // @[Conditional.scala 39:67] + wire _GEN_97 = _T_518 ? 1'h0 : _GEN_90; // @[Conditional.scala 39:67] + wire _GEN_99 = _T_518 ? 1'h0 : _GEN_92; // @[Conditional.scala 39:67] + wire _GEN_101 = _T_511 ? _T_515 : _GEN_94; // @[Conditional.scala 39:67] + wire _GEN_102 = _T_511 ? _T_512 : _GEN_95; // @[Conditional.scala 39:67] + wire _GEN_104 = _T_511 ? 1'h0 : _GEN_97; // @[Conditional.scala 39:67] + wire _GEN_106 = _T_511 ? 1'h0 : _GEN_99; // @[Conditional.scala 39:67] + reg [3:0] _T_545; // @[Reg.scala 27:20] + wire _T_552 = |io_sb_axi_r_bits_resp; // @[dbg.scala 411:69] + wire _T_553 = sb_bus_rsp_read & _T_552; // @[dbg.scala 411:39] + wire _T_555 = |io_sb_axi_b_bits_resp; // @[dbg.scala 411:122] + wire _T_556 = sb_bus_rsp_write & _T_555; // @[dbg.scala 411:92] + wire _T_558 = sb_state == 4'h4; // @[dbg.scala 412:36] + wire _T_559 = sb_state == 4'h5; // @[dbg.scala 412:71] + wire _T_565 = sb_state == 4'h6; // @[dbg.scala 423:70] + wire [63:0] _T_571 = _T_60 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] + wire [63:0] _T_575 = {sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0]}; // @[Cat.scala 29:58] + wire [63:0] _T_576 = _T_571 & _T_575; // @[dbg.scala 424:65] + wire [63:0] _T_580 = _T_45 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] + wire [63:0] _T_583 = {sbdata0_reg[15:0],sbdata0_reg[15:0],sbdata0_reg[15:0],sbdata0_reg[15:0]}; // @[Cat.scala 29:58] + wire [63:0] _T_584 = _T_580 & _T_583; // @[dbg.scala 424:138] + wire [63:0] _T_585 = _T_576 | _T_584; // @[dbg.scala 424:96] + wire [63:0] _T_589 = _T_49 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] + wire [63:0] _T_591 = {sbdata0_reg,sbdata0_reg}; // @[Cat.scala 29:58] + wire [63:0] _T_592 = _T_589 & _T_591; // @[dbg.scala 425:45] + wire [63:0] _T_593 = _T_585 | _T_592; // @[dbg.scala 424:168] + wire [63:0] _T_597 = _T_55 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] + wire [63:0] _T_600 = {sbdata1_reg,sbdata0_reg}; // @[Cat.scala 29:58] + wire [63:0] _T_601 = _T_597 & _T_600; // @[dbg.scala 425:119] + wire [7:0] _T_606 = _T_60 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [14:0] _T_608 = 15'h1 << sbaddress0_reg[2:0]; // @[dbg.scala 427:82] + wire [14:0] _GEN_115 = {{7'd0}, _T_606}; // @[dbg.scala 427:67] + wire [14:0] _T_609 = _GEN_115 & _T_608; // @[dbg.scala 427:67] + wire [7:0] _T_613 = _T_45 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_615 = {sbaddress0_reg[2:1],1'h0}; // @[Cat.scala 29:58] + wire [14:0] _T_616 = 15'h3 << _T_615; // @[dbg.scala 428:59] + wire [14:0] _GEN_116 = {{7'd0}, _T_613}; // @[dbg.scala 428:44] + wire [14:0] _T_617 = _GEN_116 & _T_616; // @[dbg.scala 428:44] + wire [14:0] _T_618 = _T_609 | _T_617; // @[dbg.scala 427:107] + wire [7:0] _T_622 = _T_49 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_624 = {sbaddress0_reg[2],2'h0}; // @[Cat.scala 29:58] + wire [14:0] _T_625 = 15'hf << _T_624; // @[dbg.scala 429:59] + wire [14:0] _GEN_117 = {{7'd0}, _T_622}; // @[dbg.scala 429:44] + wire [14:0] _T_626 = _GEN_117 & _T_625; // @[dbg.scala 429:44] + wire [14:0] _T_627 = _T_618 | _T_626; // @[dbg.scala 428:97] + wire [7:0] _T_631 = _T_55 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [14:0] _GEN_118 = {{7'd0}, _T_631}; // @[dbg.scala 429:100] + wire [14:0] _T_633 = _T_627 | _GEN_118; // @[dbg.scala 429:100] + wire [3:0] _GEN_119 = {{1'd0}, sbaddress0_reg[2:0]}; // @[dbg.scala 446:99] + wire [6:0] _T_644 = 4'h8 * _GEN_119; // @[dbg.scala 446:99] + wire [63:0] _T_645 = io_sb_axi_r_bits_data >> _T_644; // @[dbg.scala 446:92] + wire [63:0] _T_646 = _T_645 & 64'hff; // @[dbg.scala 446:123] + wire [63:0] _T_647 = _T_571 & _T_646; // @[dbg.scala 446:59] + wire [4:0] _GEN_120 = {{3'd0}, sbaddress0_reg[2:1]}; // @[dbg.scala 447:86] + wire [6:0] _T_654 = 5'h10 * _GEN_120; // @[dbg.scala 447:86] + wire [63:0] _T_655 = io_sb_axi_r_bits_data >> _T_654; // @[dbg.scala 447:78] + wire [63:0] _T_656 = _T_655 & 64'hffff; // @[dbg.scala 447:110] + wire [63:0] _T_657 = _T_580 & _T_656; // @[dbg.scala 447:45] + wire [63:0] _T_658 = _T_647 | _T_657; // @[dbg.scala 446:140] + wire [5:0] _GEN_121 = {{5'd0}, sbaddress0_reg[2]}; // @[dbg.scala 448:86] + wire [6:0] _T_665 = 6'h20 * _GEN_121; // @[dbg.scala 448:86] + wire [63:0] _T_666 = io_sb_axi_r_bits_data >> _T_665; // @[dbg.scala 448:78] + wire [63:0] _T_667 = _T_666 & 64'hffffffff; // @[dbg.scala 448:107] + wire [63:0] _T_668 = _T_589 & _T_667; // @[dbg.scala 448:45] + wire [63:0] _T_669 = _T_658 | _T_668; // @[dbg.scala 447:129] + wire [63:0] _T_675 = _T_597 & io_sb_axi_r_bits_data; // @[dbg.scala 449:45] + rvclkhdr rvclkhdr ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + rvclkhdr rvclkhdr_4 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_4_io_l1clk), + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en), + .io_scan_mode(rvclkhdr_4_io_scan_mode) + ); + rvclkhdr rvclkhdr_5 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_5_io_l1clk), + .io_clk(rvclkhdr_5_io_clk), + .io_en(rvclkhdr_5_io_en), + .io_scan_mode(rvclkhdr_5_io_scan_mode) + ); + rvclkhdr rvclkhdr_6 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_6_io_l1clk), + .io_clk(rvclkhdr_6_io_clk), + .io_en(rvclkhdr_6_io_en), + .io_scan_mode(rvclkhdr_6_io_scan_mode) + ); + rvclkhdr rvclkhdr_7 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_7_io_l1clk), + .io_clk(rvclkhdr_7_io_clk), + .io_en(rvclkhdr_7_io_en), + .io_scan_mode(rvclkhdr_7_io_scan_mode) + ); + assign io_dbg_cmd_size = command_reg[21:20]; // @[dbg.scala 334:19] + assign io_dbg_core_rst_l = ~dmcontrol_reg[1]; // @[dbg.scala 105:21] + assign io_dbg_halt_req = _T_298 ? _T_314 : _GEN_35; // @[dbg.scala 266:19 dbg.scala 272:23 dbg.scala 277:23 dbg.scala 288:23 dbg.scala 293:23 dbg.scala 298:23 dbg.scala 305:23 dbg.scala 310:23] + assign io_dbg_resume_req = _T_298 ? 1'h0 : _GEN_38; // @[dbg.scala 267:21 dbg.scala 287:25] + assign io_dmi_reg_rdata = _T_467; // @[dbg.scala 325:20] + assign io_sb_axi_aw_valid = _T_558 | _T_559; // @[dbg.scala 412:22] + assign io_sb_axi_aw_bits_id = 1'h0; // @[dbg.scala 414:24] + assign io_sb_axi_aw_bits_addr = sbaddress0_reg; // @[dbg.scala 413:26] + assign io_sb_axi_aw_bits_region = sbaddress0_reg[31:28]; // @[dbg.scala 418:28] + assign io_sb_axi_aw_bits_len = 8'h0; // @[dbg.scala 419:25] + assign io_sb_axi_aw_bits_size = sbcs_reg[19:17]; // @[dbg.scala 415:26] + assign io_sb_axi_aw_bits_burst = 2'h1; // @[dbg.scala 420:27] + assign io_sb_axi_aw_bits_lock = 1'h0; // @[dbg.scala 422:26] + assign io_sb_axi_aw_bits_cache = 4'hf; // @[dbg.scala 417:27] + assign io_sb_axi_aw_bits_prot = 3'h0; // @[dbg.scala 416:26] + assign io_sb_axi_aw_bits_qos = 4'h0; // @[dbg.scala 421:25] + assign io_sb_axi_w_valid = _T_558 | _T_565; // @[dbg.scala 423:21] + assign io_sb_axi_w_bits_data = _T_593 | _T_601; // @[dbg.scala 424:25] + assign io_sb_axi_w_bits_strb = _T_633[7:0]; // @[dbg.scala 427:25] + assign io_sb_axi_w_bits_last = 1'h1; // @[dbg.scala 432:25] + assign io_sb_axi_b_ready = 1'h1; // @[dbg.scala 444:21] + assign io_sb_axi_ar_valid = sb_state == 4'h3; // @[dbg.scala 433:22] + assign io_sb_axi_ar_bits_id = 1'h0; // @[dbg.scala 435:24] + assign io_sb_axi_ar_bits_addr = sbaddress0_reg; // @[dbg.scala 434:26] + assign io_sb_axi_ar_bits_region = sbaddress0_reg[31:28]; // @[dbg.scala 439:28] + assign io_sb_axi_ar_bits_len = 8'h0; // @[dbg.scala 440:25] + assign io_sb_axi_ar_bits_size = sbcs_reg[19:17]; // @[dbg.scala 436:26] + assign io_sb_axi_ar_bits_burst = 2'h1; // @[dbg.scala 441:27] + assign io_sb_axi_ar_bits_lock = 1'h0; // @[dbg.scala 443:26] + assign io_sb_axi_ar_bits_cache = 4'h0; // @[dbg.scala 438:27] + assign io_sb_axi_ar_bits_prot = 3'h0; // @[dbg.scala 437:26] + assign io_sb_axi_ar_bits_qos = 4'h0; // @[dbg.scala 442:25] + assign io_sb_axi_r_ready = 1'h1; // @[dbg.scala 445:21] + assign io_dbg_dec_dbg_ib_dbg_cmd_valid = _T_480 & io_dbg_dma_io_dma_dbg_ready; // @[dbg.scala 331:35] + assign io_dbg_dec_dbg_ib_dbg_cmd_write = command_reg[16]; // @[dbg.scala 332:35] + assign io_dbg_dec_dbg_ib_dbg_cmd_type = _T_469 ? 2'h2 : _T_489; // @[dbg.scala 333:34] + assign io_dbg_dec_dbg_ib_dbg_cmd_addr = _T_469 ? _T_471 : _T_473; // @[dbg.scala 329:34] + assign io_dbg_dec_dbg_dctl_dbg_cmd_wrdata = data0_reg; // @[dbg.scala 330:38] + assign io_dbg_dma_dbg_ib_dbg_cmd_valid = io_dbg_dec_dbg_ib_dbg_cmd_valid; // @[dbg.scala 454:39] + assign io_dbg_dma_dbg_ib_dbg_cmd_write = io_dbg_dec_dbg_ib_dbg_cmd_write; // @[dbg.scala 455:39] + assign io_dbg_dma_dbg_ib_dbg_cmd_type = io_dbg_dec_dbg_ib_dbg_cmd_type; // @[dbg.scala 456:39] + assign io_dbg_dma_dbg_ib_dbg_cmd_addr = io_dbg_dec_dbg_ib_dbg_cmd_addr; // @[dbg.scala 452:39] + assign io_dbg_dma_dbg_dctl_dbg_cmd_wrdata = io_dbg_dec_dbg_dctl_dbg_cmd_wrdata; // @[dbg.scala 453:39] + assign io_dbg_dma_io_dbg_dma_bubble = _T_480 | _T_281; // @[dbg.scala 335:32] + assign dbg_state = _T_466; // @[dbg.scala 320:13] + assign dbg_state_en = _T_298 ? _T_310 : _GEN_34; // @[dbg.scala 263:16 dbg.scala 271:20 dbg.scala 276:20 dbg.scala 283:20 dbg.scala 292:20 dbg.scala 297:20 dbg.scala 302:20 dbg.scala 309:20] + assign sb_state = _T_545; // @[dbg.scala 402:12] + assign sb_state_en = _T_500 ? _T_503 : _GEN_101; // @[dbg.scala 348:19 dbg.scala 356:19 dbg.scala 362:19 dbg.scala 368:19 dbg.scala 372:19 dbg.scala 376:19 dbg.scala 380:19 dbg.scala 384:19 dbg.scala 390:19 dbg.scala 396:19] + assign dmcontrol_reg = {_T_143,_T_141}; // @[dbg.scala 183:17] + assign sbaddress0_reg = _T_116; // @[dbg.scala 164:18] + assign sbcs_sbbusy_wren = _T_500 ? sb_state_en : _GEN_104; // @[dbg.scala 340:20 dbg.scala 349:24 dbg.scala 397:24] + assign sbcs_sberror_wren = _T_500 ? _T_506 : _GEN_102; // @[dbg.scala 342:21 dbg.scala 351:25 dbg.scala 357:25 dbg.scala 363:25 dbg.scala 385:25 dbg.scala 391:25] + assign sb_bus_rdata = _T_669 | _T_675; // @[dbg.scala 446:16] + assign sbaddress0_reg_wren1 = _T_500 ? 1'h0 : _GEN_106; // @[dbg.scala 344:24 dbg.scala 399:28] + assign dmstatus_reg = {_T_161,_T_157}; // @[dbg.scala 189:16] + assign dmstatus_havereset = _T_190; // @[dbg.scala 206:22] + assign dmstatus_resumeack = _T_183; // @[dbg.scala 198:22] + assign dmstatus_unavail = dmcontrol_reg[1] | _T_178; // @[dbg.scala 196:20] + assign dmstatus_running = ~_T_181; // @[dbg.scala 197:20] + assign dmstatus_halted = _T_186; // @[dbg.scala 202:19] + assign abstractcs_busy_wren = _T_298 ? 1'h0 : _GEN_36; // @[dbg.scala 264:24 dbg.scala 285:28 dbg.scala 303:28] + assign sb_bus_cmd_read = io_sb_axi_ar_valid & io_sb_axi_ar_ready; // @[dbg.scala 406:19] + assign sb_bus_cmd_write_addr = io_sb_axi_aw_valid & io_sb_axi_aw_ready; // @[dbg.scala 407:25] + assign sb_bus_cmd_write_data = io_sb_axi_w_valid & io_sb_axi_w_ready; // @[dbg.scala 408:25] + assign sb_bus_rsp_read = io_sb_axi_r_valid & io_sb_axi_r_ready; // @[dbg.scala 409:19] + assign sb_bus_rsp_error = _T_553 | _T_556; // @[dbg.scala 411:20] + assign sb_bus_rsp_write = io_sb_axi_b_valid & io_sb_axi_b_ready; // @[dbg.scala 410:20] + assign sbcs_sbbusy_din = 4'h0 == sb_state; // @[dbg.scala 341:19 dbg.scala 350:23 dbg.scala 398:23] + assign data1_reg = _T_297; // @[dbg.scala 257:13] + assign sbcs_reg = {_T_42,_T_38}; // @[dbg.scala 130:12] + assign rvclkhdr_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_io_en = _T_3 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_1_io_en = _T_6 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_2_io_en = sbdata0_reg_wren0 | sbdata0_reg_wren1; // @[lib.scala 371:17] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_3_io_en = sbdata1_reg_wren0 | sbdata0_reg_wren1; // @[lib.scala 371:17] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_4_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_4_io_en = sbaddress0_reg_wren0 | sbaddress0_reg_wren1; // @[lib.scala 371:17] + assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign abstractcs_reg = {_T_265,_T_263}; // @[dbg.scala 238:18] + assign rvclkhdr_5_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_5_io_en = _T_215 & _T_270; // @[lib.scala 371:17] + assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_6_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_6_io_en = data0_reg_wren0 | data0_reg_wren1; // @[lib.scala 371:17] + assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_7_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_7_io_en = _T_293 & _T_270; // @[lib.scala 371:17] + assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign dbg_nxtstate = _T_298 ? _T_301 : _GEN_33; // @[dbg.scala 262:16 dbg.scala 270:20 dbg.scala 275:20 dbg.scala 280:20 dbg.scala 291:20 dbg.scala 296:20 dbg.scala 301:20 dbg.scala 308:20] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + temp_sbcs_22 = _RAND_0[0:0]; + _RAND_1 = {1{`RANDOM}}; + temp_sbcs_21 = _RAND_1[0:0]; + _RAND_2 = {1{`RANDOM}}; + temp_sbcs_20 = _RAND_2[0:0]; + _RAND_3 = {1{`RANDOM}}; + temp_sbcs_19_15 = _RAND_3[4:0]; + _RAND_4 = {1{`RANDOM}}; + temp_sbcs_14_12 = _RAND_4[2:0]; + _RAND_5 = {1{`RANDOM}}; + sbdata0_reg = _RAND_5[31:0]; + _RAND_6 = {1{`RANDOM}}; + sbdata1_reg = _RAND_6[31:0]; + _RAND_7 = {1{`RANDOM}}; + _T_116 = _RAND_7[31:0]; + _RAND_8 = {1{`RANDOM}}; + dm_temp = _RAND_8[3:0]; + _RAND_9 = {1{`RANDOM}}; + dm_temp_0 = _RAND_9[0:0]; + _RAND_10 = {1{`RANDOM}}; + dmcontrol_wren_Q = _RAND_10[0:0]; + _RAND_11 = {1{`RANDOM}}; + _T_183 = _RAND_11[0:0]; + _RAND_12 = {1{`RANDOM}}; + _T_186 = _RAND_12[0:0]; + _RAND_13 = {1{`RANDOM}}; + _T_190 = _RAND_13[0:0]; + _RAND_14 = {1{`RANDOM}}; + abs_temp_12 = _RAND_14[0:0]; + _RAND_15 = {1{`RANDOM}}; + abs_temp_10_8 = _RAND_15[2:0]; + _RAND_16 = {1{`RANDOM}}; + command_reg = _RAND_16[31:0]; + _RAND_17 = {1{`RANDOM}}; + data0_reg = _RAND_17[31:0]; + _RAND_18 = {1{`RANDOM}}; + _T_297 = _RAND_18[31:0]; + _RAND_19 = {1{`RANDOM}}; + _T_466 = _RAND_19[2:0]; + _RAND_20 = {1{`RANDOM}}; + _T_467 = _RAND_20[31:0]; + _RAND_21 = {1{`RANDOM}}; + _T_545 = _RAND_21[3:0]; +`endif // RANDOMIZE_REG_INIT + if (dbg_dm_rst_l) begin + temp_sbcs_22 = 1'h0; + end + if (dbg_dm_rst_l) begin + temp_sbcs_21 = 1'h0; + end + if (dbg_dm_rst_l) begin + temp_sbcs_20 = 1'h0; + end + if (dbg_dm_rst_l) begin + temp_sbcs_19_15 = 5'h0; + end + if (dbg_dm_rst_l) begin + temp_sbcs_14_12 = 3'h0; + end + if (dbg_dm_rst_l) begin + sbdata0_reg = 32'h0; + end + if (dbg_dm_rst_l) begin + sbdata1_reg = 32'h0; + end + if (dbg_dm_rst_l) begin + _T_116 = 32'h0; + end + if (dbg_dm_rst_l) begin + dm_temp = 4'h0; + end + if (io_dbg_rst_l) begin + dm_temp_0 = 1'h0; + end + if (dbg_dm_rst_l) begin + dmcontrol_wren_Q = 1'h0; + end + if (dbg_dm_rst_l) begin + _T_183 = 1'h0; + end + if (dbg_dm_rst_l) begin + _T_186 = 1'h0; + end + if (dbg_dm_rst_l) begin + _T_190 = 1'h0; + end + if (dbg_dm_rst_l) begin + abs_temp_12 = 1'h0; + end + if (dbg_dm_rst_l) begin + abs_temp_10_8 = 3'h0; + end + if (dbg_dm_rst_l) begin + command_reg = 32'h0; + end + if (dbg_dm_rst_l) begin + data0_reg = 32'h0; + end + if (dbg_dm_rst_l) begin + _T_297 = 32'h0; + end + if (rst_temp) begin + _T_466 = 3'h0; + end + if (dbg_dm_rst_l) begin + _T_467 = 32'h0; + end + if (dbg_dm_rst_l) begin + _T_545 = 4'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge rvclkhdr_1_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + temp_sbcs_22 <= 1'h0; + end else if (sbcs_sbbusyerror_wren) begin + temp_sbcs_22 <= sbcs_sbbusyerror_din; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + temp_sbcs_21 <= 1'h0; + end else if (sbcs_sbbusy_wren) begin + temp_sbcs_21 <= sbcs_sbbusy_din; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + temp_sbcs_20 <= 1'h0; + end else if (sbcs_wren) begin + temp_sbcs_20 <= io_dmi_reg_wdata[20]; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + temp_sbcs_19_15 <= 5'h0; + end else if (sbcs_wren) begin + temp_sbcs_19_15 <= io_dmi_reg_wdata[19:15]; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + temp_sbcs_14_12 <= 3'h0; + end else if (sbcs_sberror_wren) begin + if (_T_500) begin + temp_sbcs_14_12 <= _T_510; + end else if (_T_511) begin + if (sbcs_unaligned) begin + temp_sbcs_14_12 <= 3'h3; + end else begin + temp_sbcs_14_12 <= 3'h4; + end + end else if (_T_518) begin + if (sbcs_unaligned) begin + temp_sbcs_14_12 <= 3'h3; + end else begin + temp_sbcs_14_12 <= 3'h4; + end + end else if (_T_525) begin + temp_sbcs_14_12 <= 3'h0; + end else if (_T_527) begin + temp_sbcs_14_12 <= 3'h0; + end else if (_T_533) begin + temp_sbcs_14_12 <= 3'h0; + end else if (_T_535) begin + temp_sbcs_14_12 <= 3'h0; + end else if (_T_537) begin + temp_sbcs_14_12 <= 3'h2; + end else if (_T_540) begin + temp_sbcs_14_12 <= 3'h2; + end else begin + temp_sbcs_14_12 <= 3'h0; + end + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + sbdata0_reg <= 32'h0; + end else begin + sbdata0_reg <= _T_93 | _T_97; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + sbdata1_reg <= 32'h0; + end else begin + sbdata1_reg <= _T_100 | _T_104; + end + end + always @(posedge rvclkhdr_4_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + _T_116 <= 32'h0; + end else begin + _T_116 <= _T_109 | _T_115; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + dm_temp <= 4'h0; + end else if (dmcontrol_wren) begin + dm_temp <= _T_134; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge io_dbg_rst_l) begin + if (io_dbg_rst_l) begin + dm_temp_0 <= 1'h0; + end else if (dmcontrol_wren) begin + dm_temp_0 <= io_dmi_reg_wdata[0]; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + dmcontrol_wren_Q <= 1'h0; + end else begin + dmcontrol_wren_Q <= _T_129 & io_dmi_reg_wr_en; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + _T_183 <= 1'h0; + end else if (dmstatus_resumeack_wren) begin + _T_183 <= _T_164; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + _T_186 <= 1'h0; + end else begin + _T_186 <= io_dec_tlu_dbg_halted & _T_184; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + _T_190 <= 1'h0; + end else begin + _T_190 <= _T_187 & _T_188; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + abs_temp_12 <= 1'h0; + end else if (abstractcs_busy_wren) begin + if (_T_298) begin + abs_temp_12 <= 1'h0; + end else if (_T_316) begin + abs_temp_12 <= 1'h0; + end else begin + abs_temp_12 <= _T_328; + end + end + end + always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + abs_temp_10_8 <= 3'h0; + end else begin + abs_temp_10_8 <= _T_256 | _T_261; + end + end + always @(posedge rvclkhdr_5_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + command_reg <= 32'h0; + end else begin + command_reg <= {_T_276,_T_274}; + end + end + always @(posedge rvclkhdr_6_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + data0_reg <= 32'h0; + end else begin + data0_reg <= _T_287 | _T_290; + end + end + always @(posedge rvclkhdr_7_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + _T_297 <= 32'h0; + end else begin + _T_297 <= _T_296 & io_dmi_reg_wdata; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge rst_temp) begin + if (rst_temp) begin + _T_466 <= 3'h0; + end else if (dbg_state_en) begin + if (_T_298) begin + if (_T_300) begin + _T_466 <= 3'h2; + end else begin + _T_466 <= 3'h1; + end + end else if (_T_316) begin + if (dmcontrol_reg[1]) begin + _T_466 <= 3'h0; + end else begin + _T_466 <= 3'h2; + end + end else if (_T_328) begin + if (_T_332) begin + if (_T_336) begin + _T_466 <= 3'h6; + end else begin + _T_466 <= 3'h3; + end + end else if (dmcontrol_reg[31]) begin + _T_466 <= 3'h1; + end else begin + _T_466 <= 3'h0; + end + end else if (_T_366) begin + if (dmcontrol_reg[1]) begin + _T_466 <= 3'h0; + end else if (_T_369) begin + _T_466 <= 3'h5; + end else begin + _T_466 <= 3'h4; + end + end else if (_T_383) begin + if (dmcontrol_reg[1]) begin + _T_466 <= 3'h0; + end else begin + _T_466 <= 3'h5; + end + end else if (_T_394) begin + if (dmcontrol_reg[1]) begin + _T_466 <= 3'h0; + end else begin + _T_466 <= 3'h2; + end + end else begin + _T_466 <= 3'h0; + end + end + end + always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + _T_467 <= 32'h0; + end else if (io_dmi_reg_en) begin + _T_467 <= dmi_reg_rdata_din; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + _T_545 <= 4'h0; + end else if (sb_state_en) begin + if (_T_500) begin + if (sbdata0_reg_wren0) begin + _T_545 <= 4'h2; + end else begin + _T_545 <= 4'h1; + end + end else if (_T_511) begin + if (_T_512) begin + _T_545 <= 4'h9; + end else begin + _T_545 <= 4'h3; + end + end else if (_T_518) begin + if (_T_512) begin + _T_545 <= 4'h9; + end else begin + _T_545 <= 4'h4; + end + end else if (_T_525) begin + _T_545 <= 4'h7; + end else if (_T_527) begin + if (_T_528) begin + _T_545 <= 4'h8; + end else if (sb_bus_cmd_write_data) begin + _T_545 <= 4'h5; + end else begin + _T_545 <= 4'h6; + end + end else if (_T_533) begin + _T_545 <= 4'h8; + end else if (_T_535) begin + _T_545 <= 4'h8; + end else if (_T_537) begin + _T_545 <= 4'h9; + end else if (_T_540) begin + _T_545 <= 4'h9; + end else begin + _T_545 <= 4'h0; + end + end + end +endmodule diff --git a/dec.anno.json b/dec.anno.json new file mode 100644 index 00000000..20a4bbdc --- /dev/null +++ b/dec.anno.json @@ -0,0 +1,1713 @@ +[ + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_dec_i0_predict_p_d_valid", + "sources":[ + "~dec|dec>io_lsu_load_stall_any", + "~dec|dec>io_dec_dma_dctl_dma_dma_dccm_stall_any", + "~dec|dec>io_lsu_store_stall_any", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_valid_m", + "~dec|dec>io_dec_dbg_dbg_dctl_dbg_cmd_wrdata", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_valid", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_error", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_mpc_reset_run_req", + "~dec|dec>io_lsu_fastint_stall_any", + "~dec|dec>io_lsu_error_pkt_r_bits_single_ecc_error", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_error_r", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_start_error_r", + "~dec|dec>io_lsu_error_pkt_r_bits_inst_type", + "~dec|dec>io_lsu_fir_error", + "~dec|dec>io_dec_pic_mhwakeup", + "~dec|dec>io_lsu_error_pkt_r_valid", + "~dec|dec>io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any", + "~dec|dec>io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any", + "~dec|dec>io_dbg_halt_req", + "~dec|dec>io_ifu_dec_dec_mem_ctrl_ifu_miss_state_idle", + "~dec|dec>io_lsu_idle_any", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist", + "~dec|dec>io_lsu_result_corr_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_i0_ap_sll", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_i0_ap_beq", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_start_error", + "sources":[ + "~dec|dec>io_lsu_load_stall_any", + "~dec|dec>io_dec_dma_dctl_dma_dma_dccm_stall_any", + "~dec|dec>io_lsu_store_stall_any", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_valid_m", + "~dec|dec>io_dec_dbg_dbg_dctl_dbg_cmd_wrdata", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_valid", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_error", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_mpc_reset_run_req", + "~dec|dec>io_lsu_fastint_stall_any", + "~dec|dec>io_lsu_error_pkt_r_bits_single_ecc_error", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_error_r", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_start_error_r", + "~dec|dec>io_lsu_error_pkt_r_bits_inst_type", + "~dec|dec>io_lsu_fir_error", + "~dec|dec>io_dec_pic_mhwakeup", + "~dec|dec>io_lsu_error_pkt_r_valid", + "~dec|dec>io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any", + "~dec|dec>io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any", + "~dec|dec>io_dbg_halt_req", + "~dec|dec>io_ifu_dec_dec_mem_ctrl_ifu_miss_state_idle", + "~dec|dec>io_lsu_idle_any", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist", + "~dec|dec>io_lsu_result_corr_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_i0_ap_jal", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_i0_ap_land", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_i0_ap_slt", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pcall", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_i0_predict_index_d", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_index" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_way", + "sources":[ + "~dec|dec>io_exu_i0_br_way_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_dec_alu_dec_csr_ren_d", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_tlu_i0_kill_writeb_r", + "sources":[ + "~dec|dec>io_lsu_error_pkt_r_valid", + "~dec|dec>io_lsu_error_pkt_r_bits_single_ecc_error", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_error_r", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_start_error_r", + "~dec|dec>io_lsu_error_pkt_r_bits_inst_type" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_i0_ap_sub", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_pred_correct_npc_x", + "sources":[ + "~dec|dec>io_dec_exu_dec_alu_exu_i0_pc_x" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_dec_i0_immed_d", + "sources":[ + "~dec|dec>io_core_id", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_lsu_p_bits_by", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_i0_ap_predict_nt", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_lsu_p_bits_load", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_lsu_p_bits_half", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_i0_ap_csr_imm", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_ifu_dec_dec_aln_aln_dec_dec_i0_decode_d", + "sources":[ + "~dec|dec>io_lsu_load_stall_any", + "~dec|dec>io_dec_dma_dctl_dma_dma_dccm_stall_any", + "~dec|dec>io_lsu_store_stall_any", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_valid_m", + "~dec|dec>io_dec_dbg_dbg_dctl_dbg_cmd_wrdata", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_valid", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_error", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_mpc_reset_run_req", + "~dec|dec>io_lsu_fastint_stall_any", + "~dec|dec>io_lsu_error_pkt_r_bits_single_ecc_error", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_error_r", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_start_error_r", + "~dec|dec>io_lsu_error_pkt_r_bits_inst_type", + "~dec|dec>io_lsu_fir_error", + "~dec|dec>io_dec_pic_mhwakeup", + "~dec|dec>io_lsu_error_pkt_r_valid", + "~dec|dec>io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any", + "~dec|dec>io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any", + "~dec|dec>io_dbg_halt_req", + "~dec|dec>io_ifu_dec_dec_mem_ctrl_ifu_miss_state_idle", + "~dec|dec>io_lsu_idle_any", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist", + "~dec|dec>io_lsu_result_corr_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_ifu_dec_dec_mem_ctrl_dec_tlu_i0_commit_cmt", + "sources":[ + "~dec|dec>io_lsu_error_pkt_r_valid", + "~dec|dec>io_lsu_error_pkt_r_bits_single_ecc_error", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_error_r", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_start_error_r", + "~dec|dec>io_lsu_error_pkt_r_bits_inst_type" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_dec_i0_select_pc_d", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_i0_ap_predict_t", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_dec_i0_rs2_bypass_data_d", + "sources":[ + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data", + "~dec|dec>io_lsu_result_m", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_valid", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_lsu_error_pkt_r_valid", + "~dec|dec>io_lsu_error_pkt_r_bits_single_ecc_error", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_error_r", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_start_error_r", + "~dec|dec>io_lsu_error_pkt_r_bits_inst_type", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_mul_p_bits_low", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_gpr_exu_gpr_i0_rs2_d", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_dbg_cmd_fail", + "sources":[ + "~dec|dec>io_lsu_error_pkt_r_bits_single_ecc_error", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_error_r", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_start_error_r", + "~dec|dec>io_lsu_error_pkt_r_bits_inst_type", + "~dec|dec>io_lsu_error_pkt_r_valid" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_dbg_rddata", + "sources":[ + "~dec|dec>io_lsu_result_corr_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_i0_ap_bge", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_i0_ap_lor", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_hist", + "sources":[ + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_hist_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_toffset", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_lsu_p_bits_unsign", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pret", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_lsu_p_bits_load_ldst_bypass_d", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_dec_div_div_p_valid", + "sources":[ + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_valid_m", + "~dec|dec>io_dec_dbg_dbg_dctl_dbg_cmd_wrdata", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_valid", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_error", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_mpc_reset_run_req", + "~dec|dec>io_lsu_fastint_stall_any", + "~dec|dec>io_lsu_error_pkt_r_bits_single_ecc_error", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_error_r", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_start_error_r", + "~dec|dec>io_lsu_error_pkt_r_bits_inst_type", + "~dec|dec>io_lsu_fir_error", + "~dec|dec>io_dec_pic_mhwakeup", + "~dec|dec>io_lsu_error_pkt_r_valid", + "~dec|dec>io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any", + "~dec|dec>io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any", + "~dec|dec>io_dbg_halt_req", + "~dec|dec>io_ifu_dec_dec_mem_ctrl_ifu_miss_state_idle", + "~dec|dec>io_lsu_idle_any", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist", + "~dec|dec>io_lsu_result_corr_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_dec_i0_rs2_bypass_en_d", + "sources":[ + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_valid", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_lsu_error_pkt_r_valid", + "~dec|dec>io_lsu_error_pkt_r_bits_single_ecc_error", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_error_r", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_start_error_r", + "~dec|dec>io_lsu_error_pkt_r_bits_inst_type", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_lsu_p_bits_store", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_tlu_exu_dec_tlu_flush_lower_r", + "sources":[ + "~dec|dec>io_mpc_reset_run_req", + "~dec|dec>io_lsu_fastint_stall_any", + "~dec|dec>io_lsu_error_pkt_r_bits_single_ecc_error", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_error_r", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_start_error_r", + "~dec|dec>io_lsu_error_pkt_r_bits_inst_type", + "~dec|dec>io_lsu_fir_error", + "~dec|dec>io_dec_pic_mhwakeup", + "~dec|dec>io_lsu_error_pkt_r_valid", + "~dec|dec>io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any", + "~dec|dec>io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any", + "~dec|dec>io_dbg_halt_req", + "~dec|dec>io_ifu_dec_dec_mem_ctrl_ifu_miss_state_idle", + "~dec|dec>io_lsu_idle_any", + "~dec|dec>io_lsu_result_corr_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_dec_div_div_p_bits_unsign", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_dec_i0_rs1_bypass_en_d", + "sources":[ + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_valid", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_lsu_error_pkt_r_valid", + "~dec|dec>io_lsu_error_pkt_r_bits_single_ecc_error", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_error_r", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_start_error_r", + "~dec|dec>io_lsu_error_pkt_r_bits_inst_type", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_mul_p_valid", + "sources":[ + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_valid_m", + "~dec|dec>io_dec_dbg_dbg_dctl_dbg_cmd_wrdata", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_valid", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_error", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_mpc_reset_run_req", + "~dec|dec>io_lsu_fastint_stall_any", + "~dec|dec>io_lsu_error_pkt_r_bits_single_ecc_error", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_error_r", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_start_error_r", + "~dec|dec>io_lsu_error_pkt_r_bits_inst_type", + "~dec|dec>io_lsu_fir_error", + "~dec|dec>io_dec_pic_mhwakeup", + "~dec|dec>io_lsu_error_pkt_r_valid", + "~dec|dec>io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any", + "~dec|dec>io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any", + "~dec|dec>io_dbg_halt_req", + "~dec|dec>io_ifu_dec_dec_mem_ctrl_ifu_miss_state_idle", + "~dec|dec>io_lsu_idle_any", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist", + "~dec|dec>io_lsu_result_corr_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_dec_i0_rs1_en_d", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_ifu_dec_dec_mem_ctrl_dec_tlu_fence_i_wb", + "sources":[ + "~dec|dec>io_lsu_error_pkt_r_bits_single_ecc_error", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_error_r", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_start_error_r", + "~dec|dec>io_lsu_error_pkt_r_bits_inst_type", + "~dec|dec>io_lsu_error_pkt_r_valid" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_dec_data_en", + "sources":[ + "~dec|dec>io_lsu_load_stall_any", + "~dec|dec>io_dec_dma_dctl_dma_dma_dccm_stall_any", + "~dec|dec>io_lsu_store_stall_any", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_valid_m", + "~dec|dec>io_dec_dbg_dbg_dctl_dbg_cmd_wrdata", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_valid", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_error", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_mpc_reset_run_req", + "~dec|dec>io_lsu_fastint_stall_any", + "~dec|dec>io_lsu_error_pkt_r_bits_single_ecc_error", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_error_r", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_start_error_r", + "~dec|dec>io_lsu_error_pkt_r_bits_inst_type", + "~dec|dec>io_lsu_fir_error", + "~dec|dec>io_dec_pic_mhwakeup", + "~dec|dec>io_lsu_error_pkt_r_valid", + "~dec|dec>io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any", + "~dec|dec>io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any", + "~dec|dec>io_dbg_halt_req", + "~dec|dec>io_ifu_dec_dec_mem_ctrl_ifu_miss_state_idle", + "~dec|dec>io_lsu_idle_any", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist", + "~dec|dec>io_lsu_result_corr_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_dec_div_div_p_bits_rem", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_dec_alu_dec_i0_alu_decode_d", + "sources":[ + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_valid_m", + "~dec|dec>io_dec_dbg_dbg_dctl_dbg_cmd_wrdata", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_valid", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_error", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_mpc_reset_run_req", + "~dec|dec>io_lsu_fastint_stall_any", + "~dec|dec>io_lsu_error_pkt_r_bits_single_ecc_error", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_error_r", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_start_error_r", + "~dec|dec>io_lsu_error_pkt_r_bits_inst_type", + "~dec|dec>io_lsu_fir_error", + "~dec|dec>io_dec_pic_mhwakeup", + "~dec|dec>io_lsu_error_pkt_r_valid", + "~dec|dec>io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any", + "~dec|dec>io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any", + "~dec|dec>io_dbg_halt_req", + "~dec|dec>io_ifu_dec_dec_mem_ctrl_ifu_miss_state_idle", + "~dec|dec>io_lsu_idle_any", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist", + "~dec|dec>io_lsu_result_corr_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_ifu_dec_dec_ifc_dec_tlu_flush_noredir_wb", + "sources":[ + "~dec|dec>io_lsu_fastint_stall_any", + "~dec|dec>io_mpc_reset_run_req", + "~dec|dec>io_lsu_error_pkt_r_bits_single_ecc_error", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_error_r", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_start_error_r", + "~dec|dec>io_lsu_error_pkt_r_bits_inst_type", + "~dec|dec>io_lsu_fir_error", + "~dec|dec>io_lsu_error_pkt_r_valid", + "~dec|dec>io_dbg_halt_req", + "~dec|dec>io_dec_pic_mhwakeup", + "~dec|dec>io_ifu_dec_dec_mem_ctrl_ifu_miss_state_idle", + "~dec|dec>io_lsu_idle_any", + "~dec|dec>io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any", + "~dec|dec>io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any", + "~dec|dec>io_lsu_result_corr_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_i0_ap_sra", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pc4", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc4" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_i0_ap_add", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_valid", + "sources":[ + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_valid_r", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_mp_r", + "~dec|dec>io_dec_exu_tlu_exu_exu_pmu_i0_br_ataken" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_dec_alu_dec_i0_br_immed_d", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc4", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_i0_ap_csr_write", + "sources":[ + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_ifu_dec_dec_bp_dec_tlu_flush_leak_one_wb", + "sources":[ + "~dec|dec>io_mpc_reset_run_req", + "~dec|dec>io_lsu_fastint_stall_any", + "~dec|dec>io_lsu_error_pkt_r_bits_single_ecc_error", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_error_r", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_start_error_r", + "~dec|dec>io_lsu_error_pkt_r_bits_inst_type", + "~dec|dec>io_lsu_fir_error", + "~dec|dec>io_lsu_error_pkt_r_valid", + "~dec|dec>io_dec_pic_mhwakeup", + "~dec|dec>io_dbg_halt_req", + "~dec|dec>io_ifu_dec_dec_mem_ctrl_ifu_miss_state_idle", + "~dec|dec>io_lsu_idle_any", + "~dec|dec>io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any", + "~dec|dec>io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any", + "~dec|dec>io_lsu_result_corr_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_lsu_offset_d", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_error", + "sources":[ + "~dec|dec>io_lsu_load_stall_any", + "~dec|dec>io_dec_dma_dctl_dma_dma_dccm_stall_any", + "~dec|dec>io_lsu_store_stall_any", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_valid_m", + "~dec|dec>io_dec_dbg_dbg_dctl_dbg_cmd_wrdata", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_valid", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_error", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_mpc_reset_run_req", + "~dec|dec>io_lsu_fastint_stall_any", + "~dec|dec>io_lsu_error_pkt_r_bits_single_ecc_error", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_error_r", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_start_error_r", + "~dec|dec>io_lsu_error_pkt_r_bits_inst_type", + "~dec|dec>io_lsu_fir_error", + "~dec|dec>io_dec_pic_mhwakeup", + "~dec|dec>io_lsu_error_pkt_r_valid", + "~dec|dec>io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any", + "~dec|dec>io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any", + "~dec|dec>io_dbg_halt_req", + "~dec|dec>io_ifu_dec_dec_mem_ctrl_ifu_miss_state_idle", + "~dec|dec>io_lsu_idle_any", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_lsu_result_corr_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_i0_predict_btag_d", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_btag" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_lsu_valid_raw_d", + "sources":[ + "~dec|dec>io_dec_dma_dctl_dma_dma_dccm_stall_any", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_valid_m", + "~dec|dec>io_dec_dbg_dbg_dctl_dbg_cmd_wrdata", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_valid", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_error", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_error", + "sources":[ + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_error_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_lsu_p_valid", + "sources":[ + "~dec|dec>io_lsu_load_stall_any", + "~dec|dec>io_dec_dma_dctl_dma_dma_dccm_stall_any", + "~dec|dec>io_lsu_store_stall_any", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_valid_m", + "~dec|dec>io_dec_dbg_dbg_dctl_dbg_cmd_wrdata", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_valid", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_error", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_valid", + "~dec|dec>io_mpc_reset_run_req", + "~dec|dec>io_lsu_fastint_stall_any", + "~dec|dec>io_lsu_error_pkt_r_bits_single_ecc_error", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_error_r", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_start_error_r", + "~dec|dec>io_lsu_error_pkt_r_bits_inst_type", + "~dec|dec>io_lsu_fir_error", + "~dec|dec>io_dec_pic_mhwakeup", + "~dec|dec>io_lsu_error_pkt_r_valid", + "~dec|dec>io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any", + "~dec|dec>io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any", + "~dec|dec>io_dbg_halt_req", + "~dec|dec>io_ifu_dec_dec_mem_ctrl_ifu_miss_state_idle", + "~dec|dec>io_lsu_idle_any", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist", + "~dec|dec>io_lsu_result_corr_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_hist", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_i0_ap_lxor", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_way", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_way" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_i0_ap_bne", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_i0_ap_unsign", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_tlu_exu_dec_tlu_flush_path_r", + "sources":[ + "~dec|dec>io_rst_vec", + "~dec|dec>io_mpc_reset_run_req", + "~dec|dec>io_nmi_vec", + "~dec|dec>io_lsu_fir_addr", + "~dec|dec>io_lsu_fir_error", + "~dec|dec>io_dec_exu_tlu_exu_exu_npc_r", + "~dec|dec>io_dec_pic_mhwakeup", + "~dec|dec>io_lsu_error_pkt_r_valid", + "~dec|dec>io_lsu_error_pkt_r_bits_single_ecc_error", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_error_r", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_start_error_r", + "~dec|dec>io_lsu_error_pkt_r_bits_inst_type", + "~dec|dec>io_lsu_fastint_stall_any", + "~dec|dec>io_lsu_error_pkt_r_bits_exc_type", + "~dec|dec>io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any", + "~dec|dec>io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any", + "~dec|dec>io_dbg_halt_req", + "~dec|dec>io_ifu_dec_dec_mem_ctrl_ifu_miss_state_idle", + "~dec|dec>io_lsu_idle_any", + "~dec|dec>io_lsu_result_corr_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error", + "sources":[ + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_start_error_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_middle", + "sources":[ + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_middle_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_mul_p_bits_rs1_sign", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_dec_div_dec_div_cancel", + "sources":[ + "~dec|dec>io_lsu_error_pkt_r_valid", + "~dec|dec>io_lsu_error_pkt_r_bits_single_ecc_error", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_error_r", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_start_error_r", + "~dec|dec>io_lsu_error_pkt_r_bits_inst_type", + "~dec|dec>io_mpc_reset_run_req", + "~dec|dec>io_lsu_fastint_stall_any", + "~dec|dec>io_lsu_fir_error", + "~dec|dec>io_dec_pic_mhwakeup", + "~dec|dec>io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any", + "~dec|dec>io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any", + "~dec|dec>io_dbg_halt_req", + "~dec|dec>io_ifu_dec_dec_mem_ctrl_ifu_miss_state_idle", + "~dec|dec>io_lsu_idle_any", + "~dec|dec>io_lsu_result_corr_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_ib_exu_dec_i0_pc_d", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_gpr_exu_gpr_i0_rs1_d", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_dec_ctl_en", + "sources":[ + "~dec|dec>io_lsu_load_stall_any", + "~dec|dec>io_dec_dma_dctl_dma_dma_dccm_stall_any", + "~dec|dec>io_lsu_store_stall_any", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_valid_m", + "~dec|dec>io_dec_dbg_dbg_dctl_dbg_cmd_wrdata", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_valid", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_error", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_mpc_reset_run_req", + "~dec|dec>io_lsu_fastint_stall_any", + "~dec|dec>io_lsu_error_pkt_r_bits_single_ecc_error", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_error_r", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_start_error_r", + "~dec|dec>io_lsu_error_pkt_r_bits_inst_type", + "~dec|dec>io_lsu_fir_error", + "~dec|dec>io_dec_pic_mhwakeup", + "~dec|dec>io_lsu_error_pkt_r_valid", + "~dec|dec>io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any", + "~dec|dec>io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any", + "~dec|dec>io_dbg_halt_req", + "~dec|dec>io_ifu_dec_dec_mem_ctrl_ifu_miss_state_idle", + "~dec|dec>io_lsu_idle_any", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist", + "~dec|dec>io_lsu_result_corr_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_lsu_p_bits_store_data_bypass_d", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_i0_ap_blt", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_lsu_p_bits_word", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_prett", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_prett" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_mul_p_bits_rs2_sign", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_ib_exu_dec_debug_wdata_rs1_d", + "sources":[ + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_dec_i0_rs1_bypass_data_d", + "sources":[ + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data", + "~dec|dec>io_lsu_result_m", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_valid", + "~dec|dec>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_lsu_error_pkt_r_valid", + "~dec|dec>io_lsu_error_pkt_r_bits_single_ecc_error", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_error_r", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_start_error_r", + "~dec|dec>io_lsu_error_pkt_r_bits_inst_type", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_dec_i0_rs2_en_d", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_ifu_dec_dec_mem_ctrl_dec_tlu_flush_err_wb", + "sources":[ + "~dec|dec>io_mpc_reset_run_req", + "~dec|dec>io_lsu_fastint_stall_any", + "~dec|dec>io_lsu_error_pkt_r_bits_single_ecc_error", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_error_r", + "~dec|dec>io_dec_exu_tlu_exu_exu_i0_br_start_error_r", + "~dec|dec>io_lsu_error_pkt_r_bits_inst_type", + "~dec|dec>io_lsu_fir_error", + "~dec|dec>io_dec_pic_mhwakeup", + "~dec|dec>io_lsu_error_pkt_r_valid", + "~dec|dec>io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any", + "~dec|dec>io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any", + "~dec|dec>io_dbg_halt_req", + "~dec|dec>io_ifu_dec_dec_mem_ctrl_ifu_miss_state_idle", + "~dec|dec>io_lsu_idle_any", + "~dec|dec>io_lsu_result_corr_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pja", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_i0_predict_fghr_d", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_fghr" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dec|dec>io_dec_exu_decode_exu_i0_ap_srl", + "sources":[ + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_valid", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_type", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_addr", + "~dec|dec>io_dec_dbg_dbg_ib_dbg_cmd_write", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_valid", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset", + "~dec|dec>io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist" + ] + }, + { + "class":"firrtl.EmitCircuitAnnotation", + "emitter":"firrtl.VerilogEmitter" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~dec|dec_trigger>io_dec_i0_trigger_match_d" + }, + { + "class":"firrtl.transforms.BlackBoxResourceAnno", + "target":"dec.gated_latch", + "resourceId":"/vsrc/gated_latch.v" + }, + { + "class":"firrtl.options.TargetDirAnnotation", + "directory":"." + }, + { + "class":"firrtl.options.OutputAnnotationFileAnnotation", + "file":"dec" + }, + { + "class":"firrtl.transforms.BlackBoxTargetDirAnno", + "targetDir":"." + } +] \ No newline at end of file diff --git a/dec.fir b/dec.fir new file mode 100644 index 00000000..a1fe5283 --- /dev/null +++ b/dec.fir @@ -0,0 +1,17411 @@ +;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 +circuit dec : + module dec_ib_ctl : + input clock : Clock + input reset : Reset + output io : {flip ifu_ib : {ifu_i0_icaf : UInt<1>, ifu_i0_icaf_type : UInt<2>, ifu_i0_icaf_f1 : UInt<1>, ifu_i0_dbecc : UInt<1>, ifu_i0_bp_index : UInt<8>, ifu_i0_bp_fghr : UInt<8>, ifu_i0_bp_btag : UInt<5>, ifu_i0_valid : UInt<1>, ifu_i0_instr : UInt<32>, ifu_i0_pc : UInt<31>, ifu_i0_pc4 : UInt<1>, i0_brp : {valid : UInt<1>, bits : {toffset : UInt<12>, hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, bank : UInt<1>, prett : UInt<31>, way : UInt<1>, ret : UInt<1>}}}, flip ib_exu : {flip dec_i0_pc_d : UInt<31>, flip dec_debug_wdata_rs1_d : UInt<1>}, dbg_ib : {flip dbg_cmd_valid : UInt<1>, flip dbg_cmd_write : UInt<1>, flip dbg_cmd_type : UInt<2>, flip dbg_cmd_addr : UInt<32>}, dec_ib0_valid_d : UInt<1>, dec_i0_icaf_type_d : UInt<2>, dec_i0_instr_d : UInt<32>, dec_i0_pc4_d : UInt<1>, dec_i0_brp : {valid : UInt<1>, bits : {toffset : UInt<12>, hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, bank : UInt<1>, prett : UInt<31>, way : UInt<1>, ret : UInt<1>}}, dec_i0_bp_index : UInt<8>, dec_i0_bp_fghr : UInt<8>, dec_i0_bp_btag : UInt<5>, dec_i0_icaf_d : UInt<1>, dec_i0_icaf_f1_d : UInt<1>, dec_i0_dbecc_d : UInt<1>, dec_debug_fence_d : UInt<1>} + + io.dec_i0_icaf_f1_d <= io.ifu_ib.ifu_i0_icaf_f1 @[dec_ib_ctl.scala 29:31] + io.dec_i0_dbecc_d <= io.ifu_ib.ifu_i0_dbecc @[dec_ib_ctl.scala 30:31] + io.dec_i0_icaf_d <= io.ifu_ib.ifu_i0_icaf @[dec_ib_ctl.scala 31:31] + io.ib_exu.dec_i0_pc_d <= io.ifu_ib.ifu_i0_pc @[dec_ib_ctl.scala 32:31] + io.dec_i0_pc4_d <= io.ifu_ib.ifu_i0_pc4 @[dec_ib_ctl.scala 33:31] + io.dec_i0_icaf_type_d <= io.ifu_ib.ifu_i0_icaf_type @[dec_ib_ctl.scala 34:31] + io.dec_i0_brp.bits.ret <= io.ifu_ib.i0_brp.bits.ret @[dec_ib_ctl.scala 35:31] + io.dec_i0_brp.bits.way <= io.ifu_ib.i0_brp.bits.way @[dec_ib_ctl.scala 35:31] + io.dec_i0_brp.bits.prett <= io.ifu_ib.i0_brp.bits.prett @[dec_ib_ctl.scala 35:31] + io.dec_i0_brp.bits.bank <= io.ifu_ib.i0_brp.bits.bank @[dec_ib_ctl.scala 35:31] + io.dec_i0_brp.bits.br_start_error <= io.ifu_ib.i0_brp.bits.br_start_error @[dec_ib_ctl.scala 35:31] + io.dec_i0_brp.bits.br_error <= io.ifu_ib.i0_brp.bits.br_error @[dec_ib_ctl.scala 35:31] + io.dec_i0_brp.bits.hist <= io.ifu_ib.i0_brp.bits.hist @[dec_ib_ctl.scala 35:31] + io.dec_i0_brp.bits.toffset <= io.ifu_ib.i0_brp.bits.toffset @[dec_ib_ctl.scala 35:31] + io.dec_i0_brp.valid <= io.ifu_ib.i0_brp.valid @[dec_ib_ctl.scala 35:31] + io.dec_i0_bp_index <= io.ifu_ib.ifu_i0_bp_index @[dec_ib_ctl.scala 36:31] + io.dec_i0_bp_fghr <= io.ifu_ib.ifu_i0_bp_fghr @[dec_ib_ctl.scala 37:31] + io.dec_i0_bp_btag <= io.ifu_ib.ifu_i0_bp_btag @[dec_ib_ctl.scala 38:31] + node _T = neq(io.dbg_ib.dbg_cmd_type, UInt<2>("h02")) @[dec_ib_ctl.scala 52:74] + node debug_valid = and(io.dbg_ib.dbg_cmd_valid, _T) @[dec_ib_ctl.scala 52:48] + node _T_1 = eq(io.dbg_ib.dbg_cmd_write, UInt<1>("h00")) @[dec_ib_ctl.scala 53:38] + node debug_read = and(debug_valid, _T_1) @[dec_ib_ctl.scala 53:36] + node debug_write = and(debug_valid, io.dbg_ib.dbg_cmd_write) @[dec_ib_ctl.scala 54:36] + node _T_2 = eq(io.dbg_ib.dbg_cmd_type, UInt<1>("h00")) @[dec_ib_ctl.scala 56:62] + node debug_read_gpr = and(debug_read, _T_2) @[dec_ib_ctl.scala 56:37] + node _T_3 = eq(io.dbg_ib.dbg_cmd_type, UInt<1>("h00")) @[dec_ib_ctl.scala 57:62] + node debug_write_gpr = and(debug_write, _T_3) @[dec_ib_ctl.scala 57:37] + node _T_4 = eq(io.dbg_ib.dbg_cmd_type, UInt<1>("h01")) @[dec_ib_ctl.scala 58:62] + node debug_read_csr = and(debug_read, _T_4) @[dec_ib_ctl.scala 58:37] + node _T_5 = eq(io.dbg_ib.dbg_cmd_type, UInt<1>("h01")) @[dec_ib_ctl.scala 59:62] + node debug_write_csr = and(debug_write, _T_5) @[dec_ib_ctl.scala 59:37] + node dreg = bits(io.dbg_ib.dbg_cmd_addr, 4, 0) @[dec_ib_ctl.scala 61:47] + node dcsr = bits(io.dbg_ib.dbg_cmd_addr, 11, 0) @[dec_ib_ctl.scala 62:47] + node _T_6 = bits(debug_read_gpr, 0, 0) @[dec_ib_ctl.scala 65:34] + node _T_7 = mux(UInt<1>("h00"), UInt<12>("h0fff"), UInt<12>("h00")) @[Bitwise.scala 72:12] + node _T_8 = cat(_T_7, dreg) @[Cat.scala 29:58] + node _T_9 = cat(_T_8, UInt<15>("h06033")) @[Cat.scala 29:58] + node _T_10 = bits(debug_write_gpr, 0, 0) @[dec_ib_ctl.scala 66:41] + node _T_11 = cat(UInt<20>("h06"), dreg) @[Cat.scala 29:58] + node _T_12 = cat(_T_11, UInt<7>("h033")) @[Cat.scala 29:58] + node _T_13 = bits(debug_read_csr, 0, 0) @[dec_ib_ctl.scala 67:40] + node _T_14 = cat(dcsr, UInt<20>("h02073")) @[Cat.scala 29:58] + node _T_15 = bits(debug_write_csr, 0, 0) @[dec_ib_ctl.scala 68:41] + node _T_16 = cat(dcsr, UInt<20>("h01073")) @[Cat.scala 29:58] + node _T_17 = mux(_T_6, _T_9, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_18 = mux(_T_10, _T_12, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_19 = mux(_T_13, _T_14, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20 = mux(_T_15, _T_16, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21 = or(_T_17, _T_18) @[Mux.scala 27:72] + node _T_22 = or(_T_21, _T_19) @[Mux.scala 27:72] + node _T_23 = or(_T_22, _T_20) @[Mux.scala 27:72] + wire ib0_debug_in : UInt<32> @[Mux.scala 27:72] + ib0_debug_in <= _T_23 @[Mux.scala 27:72] + node _T_24 = or(debug_write_gpr, debug_write_csr) @[dec_ib_ctl.scala 72:54] + io.ib_exu.dec_debug_wdata_rs1_d <= _T_24 @[dec_ib_ctl.scala 72:35] + node _T_25 = eq(dcsr, UInt<11>("h07c4")) @[dec_ib_ctl.scala 75:51] + node _T_26 = and(debug_write_csr, _T_25) @[dec_ib_ctl.scala 75:43] + io.dec_debug_fence_d <= _T_26 @[dec_ib_ctl.scala 75:24] + node _T_27 = or(io.ifu_ib.ifu_i0_valid, debug_valid) @[dec_ib_ctl.scala 77:48] + io.dec_ib0_valid_d <= _T_27 @[dec_ib_ctl.scala 77:22] + node _T_28 = bits(debug_valid, 0, 0) @[dec_ib_ctl.scala 78:41] + node _T_29 = mux(_T_28, ib0_debug_in, io.ifu_ib.ifu_i0_instr) @[dec_ib_ctl.scala 78:28] + io.dec_i0_instr_d <= _T_29 @[dec_ib_ctl.scala 78:22] + + extmodule gated_latch : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module dec_dec_ctl : + input clock : Clock + input reset : Reset + output io : {flip ins : UInt<32>, out : {alu : UInt<1>, rs1 : UInt<1>, rs2 : UInt<1>, imm12 : UInt<1>, rd : UInt<1>, shimm5 : UInt<1>, imm20 : UInt<1>, pc : UInt<1>, load : UInt<1>, store : UInt<1>, lsu : UInt<1>, add : UInt<1>, sub : UInt<1>, land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, sra : UInt<1>, srl : UInt<1>, slt : UInt<1>, unsign : UInt<1>, condbr : UInt<1>, beq : UInt<1>, bne : UInt<1>, bge : UInt<1>, blt : UInt<1>, jal : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, csr_read : UInt<1>, csr_clr : UInt<1>, csr_set : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>, presync : UInt<1>, postsync : UInt<1>, ebreak : UInt<1>, ecall : UInt<1>, mret : UInt<1>, mul : UInt<1>, rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, div : UInt<1>, rem : UInt<1>, fence : UInt<1>, fence_i : UInt<1>, pm_alu : UInt<1>, legal : UInt<1>}} + + node _T = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 20:23] + node _T_1 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 20:35] + node _T_2 = or(_T, _T_1) @[dec_dec_ctl.scala 20:27] + node _T_3 = bits(io.ins, 25, 25) @[dec_dec_ctl.scala 20:49] + node _T_4 = eq(_T_3, UInt<1>("h00")) @[dec_dec_ctl.scala 20:42] + node _T_5 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 20:60] + node _T_6 = and(_T_4, _T_5) @[dec_dec_ctl.scala 20:53] + node _T_7 = or(_T_2, _T_6) @[dec_dec_ctl.scala 20:39] + node _T_8 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 20:75] + node _T_9 = eq(_T_8, UInt<1>("h00")) @[dec_dec_ctl.scala 20:68] + node _T_10 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 20:85] + node _T_11 = and(_T_9, _T_10) @[dec_dec_ctl.scala 20:78] + node _T_12 = or(_T_7, _T_11) @[dec_dec_ctl.scala 20:65] + io.out.alu <= _T_12 @[dec_dec_ctl.scala 20:14] + node _T_13 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:53] + node _T_14 = eq(_T_13, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_15 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_16 = eq(_T_15, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_17 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_18 = eq(_T_17, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_19 = and(_T_14, _T_16) @[dec_dec_ctl.scala 17:17] + node _T_20 = and(_T_19, _T_18) @[dec_dec_ctl.scala 17:17] + node _T_21 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_22 = eq(_T_21, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_23 = bits(io.ins, 11, 11) @[dec_dec_ctl.scala 15:34] + node _T_24 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_25 = eq(_T_24, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_26 = and(_T_22, _T_23) @[dec_dec_ctl.scala 17:17] + node _T_27 = and(_T_26, _T_25) @[dec_dec_ctl.scala 17:17] + node _T_28 = or(_T_20, _T_27) @[dec_dec_ctl.scala 21:43] + node _T_29 = bits(io.ins, 19, 19) @[dec_dec_ctl.scala 15:34] + node _T_30 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:34] + node _T_31 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_32 = eq(_T_31, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_33 = and(_T_29, _T_30) @[dec_dec_ctl.scala 17:17] + node _T_34 = and(_T_33, _T_32) @[dec_dec_ctl.scala 17:17] + node _T_35 = or(_T_28, _T_34) @[dec_dec_ctl.scala 21:70] + node _T_36 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_37 = eq(_T_36, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_38 = bits(io.ins, 10, 10) @[dec_dec_ctl.scala 15:34] + node _T_39 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_40 = eq(_T_39, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_41 = and(_T_37, _T_38) @[dec_dec_ctl.scala 17:17] + node _T_42 = and(_T_41, _T_40) @[dec_dec_ctl.scala 17:17] + node _T_43 = or(_T_35, _T_42) @[dec_dec_ctl.scala 22:29] + node _T_44 = bits(io.ins, 18, 18) @[dec_dec_ctl.scala 15:34] + node _T_45 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:34] + node _T_46 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_47 = eq(_T_46, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_48 = and(_T_44, _T_45) @[dec_dec_ctl.scala 17:17] + node _T_49 = and(_T_48, _T_47) @[dec_dec_ctl.scala 17:17] + node _T_50 = or(_T_43, _T_49) @[dec_dec_ctl.scala 22:56] + node _T_51 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_52 = eq(_T_51, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_53 = bits(io.ins, 9, 9) @[dec_dec_ctl.scala 15:34] + node _T_54 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_55 = eq(_T_54, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_56 = and(_T_52, _T_53) @[dec_dec_ctl.scala 17:17] + node _T_57 = and(_T_56, _T_55) @[dec_dec_ctl.scala 17:17] + node _T_58 = or(_T_50, _T_57) @[dec_dec_ctl.scala 23:29] + node _T_59 = bits(io.ins, 17, 17) @[dec_dec_ctl.scala 15:34] + node _T_60 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:34] + node _T_61 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_62 = eq(_T_61, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_63 = and(_T_59, _T_60) @[dec_dec_ctl.scala 17:17] + node _T_64 = and(_T_63, _T_62) @[dec_dec_ctl.scala 17:17] + node _T_65 = or(_T_58, _T_64) @[dec_dec_ctl.scala 23:55] + node _T_66 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_67 = eq(_T_66, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_68 = bits(io.ins, 8, 8) @[dec_dec_ctl.scala 15:34] + node _T_69 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_70 = eq(_T_69, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_71 = and(_T_67, _T_68) @[dec_dec_ctl.scala 17:17] + node _T_72 = and(_T_71, _T_70) @[dec_dec_ctl.scala 17:17] + node _T_73 = or(_T_65, _T_72) @[dec_dec_ctl.scala 24:29] + node _T_74 = bits(io.ins, 16, 16) @[dec_dec_ctl.scala 15:34] + node _T_75 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:34] + node _T_76 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_77 = eq(_T_76, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_78 = and(_T_74, _T_75) @[dec_dec_ctl.scala 17:17] + node _T_79 = and(_T_78, _T_77) @[dec_dec_ctl.scala 17:17] + node _T_80 = or(_T_73, _T_79) @[dec_dec_ctl.scala 24:55] + node _T_81 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_82 = eq(_T_81, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_83 = bits(io.ins, 7, 7) @[dec_dec_ctl.scala 15:34] + node _T_84 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_85 = eq(_T_84, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_86 = and(_T_82, _T_83) @[dec_dec_ctl.scala 17:17] + node _T_87 = and(_T_86, _T_85) @[dec_dec_ctl.scala 17:17] + node _T_88 = or(_T_80, _T_87) @[dec_dec_ctl.scala 25:29] + node _T_89 = bits(io.ins, 15, 15) @[dec_dec_ctl.scala 15:34] + node _T_90 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:34] + node _T_91 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_92 = eq(_T_91, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_93 = and(_T_89, _T_90) @[dec_dec_ctl.scala 17:17] + node _T_94 = and(_T_93, _T_92) @[dec_dec_ctl.scala 17:17] + node _T_95 = or(_T_88, _T_94) @[dec_dec_ctl.scala 25:55] + node _T_96 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:53] + node _T_97 = eq(_T_96, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_98 = bits(io.ins, 3, 3) @[dec_dec_ctl.scala 15:53] + node _T_99 = eq(_T_98, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_100 = and(_T_97, _T_99) @[dec_dec_ctl.scala 17:17] + node _T_101 = or(_T_95, _T_100) @[dec_dec_ctl.scala 26:29] + node _T_102 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:53] + node _T_103 = eq(_T_102, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_104 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_105 = eq(_T_104, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_106 = and(_T_103, _T_105) @[dec_dec_ctl.scala 17:17] + node _T_107 = or(_T_101, _T_106) @[dec_dec_ctl.scala 26:51] + io.out.rs1 <= _T_107 @[dec_dec_ctl.scala 21:14] + node _T_108 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:34] + node _T_109 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:53] + node _T_110 = eq(_T_109, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_111 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_112 = eq(_T_111, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_113 = and(_T_108, _T_110) @[dec_dec_ctl.scala 17:17] + node _T_114 = and(_T_113, _T_112) @[dec_dec_ctl.scala 17:17] + node _T_115 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:53] + node _T_116 = eq(_T_115, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_117 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:34] + node _T_118 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_119 = eq(_T_118, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_120 = and(_T_116, _T_117) @[dec_dec_ctl.scala 17:17] + node _T_121 = and(_T_120, _T_119) @[dec_dec_ctl.scala 17:17] + node _T_122 = or(_T_114, _T_121) @[dec_dec_ctl.scala 27:40] + io.out.rs2 <= _T_122 @[dec_dec_ctl.scala 27:14] + node _T_123 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:53] + node _T_124 = eq(_T_123, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_125 = bits(io.ins, 3, 3) @[dec_dec_ctl.scala 15:53] + node _T_126 = eq(_T_125, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_127 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:34] + node _T_128 = and(_T_124, _T_126) @[dec_dec_ctl.scala 17:17] + node _T_129 = and(_T_128, _T_127) @[dec_dec_ctl.scala 17:17] + node _T_130 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:34] + node _T_131 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:53] + node _T_132 = eq(_T_131, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_133 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_134 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_135 = eq(_T_134, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_136 = and(_T_130, _T_132) @[dec_dec_ctl.scala 17:17] + node _T_137 = and(_T_136, _T_133) @[dec_dec_ctl.scala 17:17] + node _T_138 = and(_T_137, _T_135) @[dec_dec_ctl.scala 17:17] + node _T_139 = or(_T_129, _T_138) @[dec_dec_ctl.scala 28:42] + node _T_140 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_141 = eq(_T_140, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_142 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:53] + node _T_143 = eq(_T_142, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_144 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_145 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_146 = and(_T_141, _T_143) @[dec_dec_ctl.scala 17:17] + node _T_147 = and(_T_146, _T_144) @[dec_dec_ctl.scala 17:17] + node _T_148 = and(_T_147, _T_145) @[dec_dec_ctl.scala 17:17] + node _T_149 = or(_T_139, _T_148) @[dec_dec_ctl.scala 28:70] + node _T_150 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:53] + node _T_151 = eq(_T_150, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_152 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:53] + node _T_153 = eq(_T_152, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_154 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_155 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_156 = eq(_T_155, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_157 = and(_T_151, _T_153) @[dec_dec_ctl.scala 17:17] + node _T_158 = and(_T_157, _T_154) @[dec_dec_ctl.scala 17:17] + node _T_159 = and(_T_158, _T_156) @[dec_dec_ctl.scala 17:17] + node _T_160 = or(_T_149, _T_159) @[dec_dec_ctl.scala 29:32] + io.out.imm12 <= _T_160 @[dec_dec_ctl.scala 28:16] + node _T_161 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 30:24] + node _T_162 = eq(_T_161, UInt<1>("h00")) @[dec_dec_ctl.scala 30:17] + node _T_163 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 30:37] + node _T_164 = eq(_T_163, UInt<1>("h00")) @[dec_dec_ctl.scala 30:30] + node _T_165 = and(_T_162, _T_164) @[dec_dec_ctl.scala 30:28] + node _T_166 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 30:51] + node _T_167 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 30:63] + node _T_168 = and(_T_166, _T_167) @[dec_dec_ctl.scala 30:55] + node _T_169 = or(_T_165, _T_168) @[dec_dec_ctl.scala 30:42] + node _T_170 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 30:76] + node _T_171 = or(_T_169, _T_170) @[dec_dec_ctl.scala 30:68] + io.out.rd <= _T_171 @[dec_dec_ctl.scala 30:13] + node _T_172 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_173 = eq(_T_172, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_174 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:34] + node _T_175 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:53] + node _T_176 = eq(_T_175, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_177 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_178 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_179 = eq(_T_178, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_180 = and(_T_173, _T_174) @[dec_dec_ctl.scala 17:17] + node _T_181 = and(_T_180, _T_176) @[dec_dec_ctl.scala 17:17] + node _T_182 = and(_T_181, _T_177) @[dec_dec_ctl.scala 17:17] + node _T_183 = and(_T_182, _T_179) @[dec_dec_ctl.scala 17:17] + io.out.shimm5 <= _T_183 @[dec_dec_ctl.scala 31:17] + node _T_184 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 32:26] + node _T_185 = bits(io.ins, 3, 3) @[dec_dec_ctl.scala 32:36] + node _T_186 = and(_T_184, _T_185) @[dec_dec_ctl.scala 32:29] + node _T_187 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 32:50] + node _T_188 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 32:60] + node _T_189 = and(_T_187, _T_188) @[dec_dec_ctl.scala 32:53] + node _T_190 = or(_T_186, _T_189) @[dec_dec_ctl.scala 32:41] + io.out.imm20 <= _T_190 @[dec_dec_ctl.scala 32:16] + node _T_191 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 33:24] + node _T_192 = eq(_T_191, UInt<1>("h00")) @[dec_dec_ctl.scala 33:17] + node _T_193 = bits(io.ins, 3, 3) @[dec_dec_ctl.scala 33:37] + node _T_194 = eq(_T_193, UInt<1>("h00")) @[dec_dec_ctl.scala 33:30] + node _T_195 = and(_T_192, _T_194) @[dec_dec_ctl.scala 33:28] + node _T_196 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 33:49] + node _T_197 = and(_T_195, _T_196) @[dec_dec_ctl.scala 33:41] + node _T_198 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 33:63] + node _T_199 = bits(io.ins, 3, 3) @[dec_dec_ctl.scala 33:75] + node _T_200 = and(_T_198, _T_199) @[dec_dec_ctl.scala 33:67] + node _T_201 = or(_T_197, _T_200) @[dec_dec_ctl.scala 33:54] + io.out.pc <= _T_201 @[dec_dec_ctl.scala 33:13] + node _T_202 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:53] + node _T_203 = eq(_T_202, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_204 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:53] + node _T_205 = eq(_T_204, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_206 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_207 = eq(_T_206, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_208 = and(_T_203, _T_205) @[dec_dec_ctl.scala 17:17] + node _T_209 = and(_T_208, _T_207) @[dec_dec_ctl.scala 17:17] + io.out.load <= _T_209 @[dec_dec_ctl.scala 34:15] + node _T_210 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:53] + node _T_211 = eq(_T_210, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_212 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:34] + node _T_213 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:53] + node _T_214 = eq(_T_213, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_215 = and(_T_211, _T_212) @[dec_dec_ctl.scala 17:17] + node _T_216 = and(_T_215, _T_214) @[dec_dec_ctl.scala 17:17] + io.out.store <= _T_216 @[dec_dec_ctl.scala 35:16] + node _T_217 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:53] + node _T_218 = eq(_T_217, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_219 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:53] + node _T_220 = eq(_T_219, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_221 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_222 = eq(_T_221, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_223 = and(_T_218, _T_220) @[dec_dec_ctl.scala 17:17] + node _T_224 = and(_T_223, _T_222) @[dec_dec_ctl.scala 17:17] + io.out.lsu <= _T_224 @[dec_dec_ctl.scala 36:14] + node _T_225 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:53] + node _T_226 = eq(_T_225, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_227 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_228 = eq(_T_227, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_229 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:53] + node _T_230 = eq(_T_229, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_231 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:53] + node _T_232 = eq(_T_231, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_233 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_234 = and(_T_226, _T_228) @[dec_dec_ctl.scala 17:17] + node _T_235 = and(_T_234, _T_230) @[dec_dec_ctl.scala 17:17] + node _T_236 = and(_T_235, _T_232) @[dec_dec_ctl.scala 17:17] + node _T_237 = and(_T_236, _T_233) @[dec_dec_ctl.scala 17:17] + node _T_238 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:53] + node _T_239 = eq(_T_238, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_240 = bits(io.ins, 3, 3) @[dec_dec_ctl.scala 15:53] + node _T_241 = eq(_T_240, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_242 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:34] + node _T_243 = and(_T_239, _T_241) @[dec_dec_ctl.scala 17:17] + node _T_244 = and(_T_243, _T_242) @[dec_dec_ctl.scala 17:17] + node _T_245 = or(_T_237, _T_244) @[dec_dec_ctl.scala 37:49] + node _T_246 = bits(io.ins, 30, 30) @[dec_dec_ctl.scala 15:53] + node _T_247 = eq(_T_246, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_248 = bits(io.ins, 25, 25) @[dec_dec_ctl.scala 15:53] + node _T_249 = eq(_T_248, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_250 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:53] + node _T_251 = eq(_T_250, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_252 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_253 = eq(_T_252, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_254 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:53] + node _T_255 = eq(_T_254, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_256 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:53] + node _T_257 = eq(_T_256, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_258 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_259 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_260 = eq(_T_259, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_261 = and(_T_247, _T_249) @[dec_dec_ctl.scala 17:17] + node _T_262 = and(_T_261, _T_251) @[dec_dec_ctl.scala 17:17] + node _T_263 = and(_T_262, _T_253) @[dec_dec_ctl.scala 17:17] + node _T_264 = and(_T_263, _T_255) @[dec_dec_ctl.scala 17:17] + node _T_265 = and(_T_264, _T_257) @[dec_dec_ctl.scala 17:17] + node _T_266 = and(_T_265, _T_258) @[dec_dec_ctl.scala 17:17] + node _T_267 = and(_T_266, _T_260) @[dec_dec_ctl.scala 17:17] + node _T_268 = or(_T_245, _T_267) @[dec_dec_ctl.scala 37:74] + io.out.add <= _T_268 @[dec_dec_ctl.scala 37:14] + node _T_269 = bits(io.ins, 30, 30) @[dec_dec_ctl.scala 15:34] + node _T_270 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:53] + node _T_271 = eq(_T_270, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_272 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:53] + node _T_273 = eq(_T_272, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_274 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:34] + node _T_275 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_276 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_277 = eq(_T_276, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_278 = and(_T_269, _T_271) @[dec_dec_ctl.scala 17:17] + node _T_279 = and(_T_278, _T_273) @[dec_dec_ctl.scala 17:17] + node _T_280 = and(_T_279, _T_274) @[dec_dec_ctl.scala 17:17] + node _T_281 = and(_T_280, _T_275) @[dec_dec_ctl.scala 17:17] + node _T_282 = and(_T_281, _T_277) @[dec_dec_ctl.scala 17:17] + node _T_283 = bits(io.ins, 25, 25) @[dec_dec_ctl.scala 15:53] + node _T_284 = eq(_T_283, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_285 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:53] + node _T_286 = eq(_T_285, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_287 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:34] + node _T_288 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:53] + node _T_289 = eq(_T_288, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_290 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_291 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_292 = eq(_T_291, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_293 = and(_T_284, _T_286) @[dec_dec_ctl.scala 17:17] + node _T_294 = and(_T_293, _T_287) @[dec_dec_ctl.scala 17:17] + node _T_295 = and(_T_294, _T_289) @[dec_dec_ctl.scala 17:17] + node _T_296 = and(_T_295, _T_290) @[dec_dec_ctl.scala 17:17] + node _T_297 = and(_T_296, _T_292) @[dec_dec_ctl.scala 17:17] + node _T_298 = or(_T_282, _T_297) @[dec_dec_ctl.scala 39:49] + node _T_299 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:53] + node _T_300 = eq(_T_299, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_301 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:34] + node _T_302 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:53] + node _T_303 = eq(_T_302, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_304 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_305 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_306 = eq(_T_305, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_307 = and(_T_300, _T_301) @[dec_dec_ctl.scala 17:17] + node _T_308 = and(_T_307, _T_303) @[dec_dec_ctl.scala 17:17] + node _T_309 = and(_T_308, _T_304) @[dec_dec_ctl.scala 17:17] + node _T_310 = and(_T_309, _T_306) @[dec_dec_ctl.scala 17:17] + node _T_311 = or(_T_298, _T_310) @[dec_dec_ctl.scala 39:85] + node _T_312 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_313 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:53] + node _T_314 = eq(_T_313, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_315 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_316 = eq(_T_315, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_317 = and(_T_312, _T_314) @[dec_dec_ctl.scala 17:17] + node _T_318 = and(_T_317, _T_316) @[dec_dec_ctl.scala 17:17] + node _T_319 = or(_T_311, _T_318) @[dec_dec_ctl.scala 40:35] + io.out.sub <= _T_319 @[dec_dec_ctl.scala 39:14] + node _T_320 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:34] + node _T_321 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:34] + node _T_322 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:34] + node _T_323 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:53] + node _T_324 = eq(_T_323, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_325 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_326 = eq(_T_325, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_327 = and(_T_320, _T_321) @[dec_dec_ctl.scala 17:17] + node _T_328 = and(_T_327, _T_322) @[dec_dec_ctl.scala 17:17] + node _T_329 = and(_T_328, _T_324) @[dec_dec_ctl.scala 17:17] + node _T_330 = and(_T_329, _T_326) @[dec_dec_ctl.scala 17:17] + node _T_331 = bits(io.ins, 25, 25) @[dec_dec_ctl.scala 15:53] + node _T_332 = eq(_T_331, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_333 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:34] + node _T_334 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:34] + node _T_335 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:34] + node _T_336 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:53] + node _T_337 = eq(_T_336, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_338 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_339 = eq(_T_338, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_340 = and(_T_332, _T_333) @[dec_dec_ctl.scala 17:17] + node _T_341 = and(_T_340, _T_334) @[dec_dec_ctl.scala 17:17] + node _T_342 = and(_T_341, _T_335) @[dec_dec_ctl.scala 17:17] + node _T_343 = and(_T_342, _T_337) @[dec_dec_ctl.scala 17:17] + node _T_344 = and(_T_343, _T_339) @[dec_dec_ctl.scala 17:17] + node _T_345 = or(_T_330, _T_344) @[dec_dec_ctl.scala 41:48] + io.out.land <= _T_345 @[dec_dec_ctl.scala 41:15] + node _T_346 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:53] + node _T_347 = eq(_T_346, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_348 = bits(io.ins, 3, 3) @[dec_dec_ctl.scala 15:34] + node _T_349 = and(_T_347, _T_348) @[dec_dec_ctl.scala 17:17] + node _T_350 = bits(io.ins, 25, 25) @[dec_dec_ctl.scala 15:53] + node _T_351 = eq(_T_350, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_352 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:34] + node _T_353 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:34] + node _T_354 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:53] + node _T_355 = eq(_T_354, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_356 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:53] + node _T_357 = eq(_T_356, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_358 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_359 = eq(_T_358, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_360 = and(_T_351, _T_352) @[dec_dec_ctl.scala 17:17] + node _T_361 = and(_T_360, _T_353) @[dec_dec_ctl.scala 17:17] + node _T_362 = and(_T_361, _T_355) @[dec_dec_ctl.scala 17:17] + node _T_363 = and(_T_362, _T_357) @[dec_dec_ctl.scala 17:17] + node _T_364 = and(_T_363, _T_359) @[dec_dec_ctl.scala 17:17] + node _T_365 = or(_T_349, _T_364) @[dec_dec_ctl.scala 42:37] + node _T_366 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:34] + node _T_367 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_368 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:34] + node _T_369 = and(_T_366, _T_367) @[dec_dec_ctl.scala 17:17] + node _T_370 = and(_T_369, _T_368) @[dec_dec_ctl.scala 17:17] + node _T_371 = or(_T_365, _T_370) @[dec_dec_ctl.scala 42:74] + node _T_372 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_373 = eq(_T_372, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_374 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:53] + node _T_375 = eq(_T_374, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_376 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_377 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_378 = and(_T_373, _T_375) @[dec_dec_ctl.scala 17:17] + node _T_379 = and(_T_378, _T_376) @[dec_dec_ctl.scala 17:17] + node _T_380 = and(_T_379, _T_377) @[dec_dec_ctl.scala 17:17] + node _T_381 = or(_T_371, _T_380) @[dec_dec_ctl.scala 43:26] + node _T_382 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:34] + node _T_383 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:34] + node _T_384 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:53] + node _T_385 = eq(_T_384, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_386 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:53] + node _T_387 = eq(_T_386, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_388 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_389 = eq(_T_388, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_390 = and(_T_382, _T_383) @[dec_dec_ctl.scala 17:17] + node _T_391 = and(_T_390, _T_385) @[dec_dec_ctl.scala 17:17] + node _T_392 = and(_T_391, _T_387) @[dec_dec_ctl.scala 17:17] + node _T_393 = and(_T_392, _T_389) @[dec_dec_ctl.scala 17:17] + node _T_394 = or(_T_381, _T_393) @[dec_dec_ctl.scala 43:55] + io.out.lor <= _T_394 @[dec_dec_ctl.scala 42:14] + node _T_395 = bits(io.ins, 25, 25) @[dec_dec_ctl.scala 15:53] + node _T_396 = eq(_T_395, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_397 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:34] + node _T_398 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_399 = eq(_T_398, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_400 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:53] + node _T_401 = eq(_T_400, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_402 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_403 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_404 = eq(_T_403, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_405 = and(_T_396, _T_397) @[dec_dec_ctl.scala 17:17] + node _T_406 = and(_T_405, _T_399) @[dec_dec_ctl.scala 17:17] + node _T_407 = and(_T_406, _T_401) @[dec_dec_ctl.scala 17:17] + node _T_408 = and(_T_407, _T_402) @[dec_dec_ctl.scala 17:17] + node _T_409 = and(_T_408, _T_404) @[dec_dec_ctl.scala 17:17] + node _T_410 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:34] + node _T_411 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_412 = eq(_T_411, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_413 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:53] + node _T_414 = eq(_T_413, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_415 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:53] + node _T_416 = eq(_T_415, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_417 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_418 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_419 = eq(_T_418, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_420 = and(_T_410, _T_412) @[dec_dec_ctl.scala 17:17] + node _T_421 = and(_T_420, _T_414) @[dec_dec_ctl.scala 17:17] + node _T_422 = and(_T_421, _T_416) @[dec_dec_ctl.scala 17:17] + node _T_423 = and(_T_422, _T_417) @[dec_dec_ctl.scala 17:17] + node _T_424 = and(_T_423, _T_419) @[dec_dec_ctl.scala 17:17] + node _T_425 = or(_T_409, _T_424) @[dec_dec_ctl.scala 45:53] + io.out.lxor <= _T_425 @[dec_dec_ctl.scala 45:15] + node _T_426 = bits(io.ins, 25, 25) @[dec_dec_ctl.scala 15:53] + node _T_427 = eq(_T_426, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_428 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:53] + node _T_429 = eq(_T_428, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_430 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_431 = eq(_T_430, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_432 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:34] + node _T_433 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:53] + node _T_434 = eq(_T_433, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_435 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_436 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_437 = eq(_T_436, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_438 = and(_T_427, _T_429) @[dec_dec_ctl.scala 17:17] + node _T_439 = and(_T_438, _T_431) @[dec_dec_ctl.scala 17:17] + node _T_440 = and(_T_439, _T_432) @[dec_dec_ctl.scala 17:17] + node _T_441 = and(_T_440, _T_434) @[dec_dec_ctl.scala 17:17] + node _T_442 = and(_T_441, _T_435) @[dec_dec_ctl.scala 17:17] + node _T_443 = and(_T_442, _T_437) @[dec_dec_ctl.scala 17:17] + io.out.sll <= _T_443 @[dec_dec_ctl.scala 46:14] + node _T_444 = bits(io.ins, 30, 30) @[dec_dec_ctl.scala 15:34] + node _T_445 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_446 = eq(_T_445, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_447 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:34] + node _T_448 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:53] + node _T_449 = eq(_T_448, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_450 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_451 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_452 = eq(_T_451, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_453 = and(_T_444, _T_446) @[dec_dec_ctl.scala 17:17] + node _T_454 = and(_T_453, _T_447) @[dec_dec_ctl.scala 17:17] + node _T_455 = and(_T_454, _T_449) @[dec_dec_ctl.scala 17:17] + node _T_456 = and(_T_455, _T_450) @[dec_dec_ctl.scala 17:17] + node _T_457 = and(_T_456, _T_452) @[dec_dec_ctl.scala 17:17] + io.out.sra <= _T_457 @[dec_dec_ctl.scala 47:14] + node _T_458 = bits(io.ins, 30, 30) @[dec_dec_ctl.scala 15:53] + node _T_459 = eq(_T_458, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_460 = bits(io.ins, 25, 25) @[dec_dec_ctl.scala 15:53] + node _T_461 = eq(_T_460, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_462 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:34] + node _T_463 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_464 = eq(_T_463, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_465 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:34] + node _T_466 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:53] + node _T_467 = eq(_T_466, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_468 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_469 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_470 = eq(_T_469, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_471 = and(_T_459, _T_461) @[dec_dec_ctl.scala 17:17] + node _T_472 = and(_T_471, _T_462) @[dec_dec_ctl.scala 17:17] + node _T_473 = and(_T_472, _T_464) @[dec_dec_ctl.scala 17:17] + node _T_474 = and(_T_473, _T_465) @[dec_dec_ctl.scala 17:17] + node _T_475 = and(_T_474, _T_467) @[dec_dec_ctl.scala 17:17] + node _T_476 = and(_T_475, _T_468) @[dec_dec_ctl.scala 17:17] + node _T_477 = and(_T_476, _T_470) @[dec_dec_ctl.scala 17:17] + io.out.srl <= _T_477 @[dec_dec_ctl.scala 48:14] + node _T_478 = bits(io.ins, 25, 25) @[dec_dec_ctl.scala 15:53] + node _T_479 = eq(_T_478, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_480 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:53] + node _T_481 = eq(_T_480, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_482 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:34] + node _T_483 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:53] + node _T_484 = eq(_T_483, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_485 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_486 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_487 = eq(_T_486, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_488 = and(_T_479, _T_481) @[dec_dec_ctl.scala 17:17] + node _T_489 = and(_T_488, _T_482) @[dec_dec_ctl.scala 17:17] + node _T_490 = and(_T_489, _T_484) @[dec_dec_ctl.scala 17:17] + node _T_491 = and(_T_490, _T_485) @[dec_dec_ctl.scala 17:17] + node _T_492 = and(_T_491, _T_487) @[dec_dec_ctl.scala 17:17] + node _T_493 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:53] + node _T_494 = eq(_T_493, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_495 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:34] + node _T_496 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:53] + node _T_497 = eq(_T_496, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_498 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_499 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_500 = eq(_T_499, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_501 = and(_T_494, _T_495) @[dec_dec_ctl.scala 17:17] + node _T_502 = and(_T_501, _T_497) @[dec_dec_ctl.scala 17:17] + node _T_503 = and(_T_502, _T_498) @[dec_dec_ctl.scala 17:17] + node _T_504 = and(_T_503, _T_500) @[dec_dec_ctl.scala 17:17] + node _T_505 = or(_T_492, _T_504) @[dec_dec_ctl.scala 49:51] + io.out.slt <= _T_505 @[dec_dec_ctl.scala 49:14] + node _T_506 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:53] + node _T_507 = eq(_T_506, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_508 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:34] + node _T_509 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:34] + node _T_510 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:53] + node _T_511 = eq(_T_510, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_512 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_513 = eq(_T_512, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_514 = and(_T_507, _T_508) @[dec_dec_ctl.scala 17:17] + node _T_515 = and(_T_514, _T_509) @[dec_dec_ctl.scala 17:17] + node _T_516 = and(_T_515, _T_511) @[dec_dec_ctl.scala 17:17] + node _T_517 = and(_T_516, _T_513) @[dec_dec_ctl.scala 17:17] + node _T_518 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:34] + node _T_519 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_520 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:53] + node _T_521 = eq(_T_520, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_522 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_523 = eq(_T_522, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_524 = and(_T_518, _T_519) @[dec_dec_ctl.scala 17:17] + node _T_525 = and(_T_524, _T_521) @[dec_dec_ctl.scala 17:17] + node _T_526 = and(_T_525, _T_523) @[dec_dec_ctl.scala 17:17] + node _T_527 = or(_T_517, _T_526) @[dec_dec_ctl.scala 50:51] + node _T_528 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:34] + node _T_529 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:53] + node _T_530 = eq(_T_529, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_531 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:53] + node _T_532 = eq(_T_531, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_533 = and(_T_528, _T_530) @[dec_dec_ctl.scala 17:17] + node _T_534 = and(_T_533, _T_532) @[dec_dec_ctl.scala 17:17] + node _T_535 = or(_T_527, _T_534) @[dec_dec_ctl.scala 50:79] + node _T_536 = bits(io.ins, 25, 25) @[dec_dec_ctl.scala 15:53] + node _T_537 = eq(_T_536, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_538 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:53] + node _T_539 = eq(_T_538, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_540 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:34] + node _T_541 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:34] + node _T_542 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:53] + node _T_543 = eq(_T_542, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_544 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_545 = eq(_T_544, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_546 = and(_T_537, _T_539) @[dec_dec_ctl.scala 17:17] + node _T_547 = and(_T_546, _T_540) @[dec_dec_ctl.scala 17:17] + node _T_548 = and(_T_547, _T_541) @[dec_dec_ctl.scala 17:17] + node _T_549 = and(_T_548, _T_543) @[dec_dec_ctl.scala 17:17] + node _T_550 = and(_T_549, _T_545) @[dec_dec_ctl.scala 17:17] + node _T_551 = or(_T_535, _T_550) @[dec_dec_ctl.scala 51:29] + node _T_552 = bits(io.ins, 25, 25) @[dec_dec_ctl.scala 15:34] + node _T_553 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:34] + node _T_554 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:34] + node _T_555 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:53] + node _T_556 = eq(_T_555, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_557 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:34] + node _T_558 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_559 = eq(_T_558, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_560 = and(_T_552, _T_553) @[dec_dec_ctl.scala 17:17] + node _T_561 = and(_T_560, _T_554) @[dec_dec_ctl.scala 17:17] + node _T_562 = and(_T_561, _T_556) @[dec_dec_ctl.scala 17:17] + node _T_563 = and(_T_562, _T_557) @[dec_dec_ctl.scala 17:17] + node _T_564 = and(_T_563, _T_559) @[dec_dec_ctl.scala 17:17] + node _T_565 = or(_T_551, _T_564) @[dec_dec_ctl.scala 51:66] + io.out.unsign <= _T_565 @[dec_dec_ctl.scala 50:17] + node _T_566 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_567 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:53] + node _T_568 = eq(_T_567, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_569 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_570 = eq(_T_569, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_571 = and(_T_566, _T_568) @[dec_dec_ctl.scala 17:17] + node _T_572 = and(_T_571, _T_570) @[dec_dec_ctl.scala 17:17] + io.out.condbr <= _T_572 @[dec_dec_ctl.scala 53:17] + node _T_573 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:53] + node _T_574 = eq(_T_573, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_575 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:53] + node _T_576 = eq(_T_575, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_577 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_578 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:53] + node _T_579 = eq(_T_578, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_580 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_581 = eq(_T_580, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_582 = and(_T_574, _T_576) @[dec_dec_ctl.scala 17:17] + node _T_583 = and(_T_582, _T_577) @[dec_dec_ctl.scala 17:17] + node _T_584 = and(_T_583, _T_579) @[dec_dec_ctl.scala 17:17] + node _T_585 = and(_T_584, _T_581) @[dec_dec_ctl.scala 17:17] + io.out.beq <= _T_585 @[dec_dec_ctl.scala 54:14] + node _T_586 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:53] + node _T_587 = eq(_T_586, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_588 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:34] + node _T_589 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_590 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:53] + node _T_591 = eq(_T_590, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_592 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_593 = eq(_T_592, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_594 = and(_T_587, _T_588) @[dec_dec_ctl.scala 17:17] + node _T_595 = and(_T_594, _T_589) @[dec_dec_ctl.scala 17:17] + node _T_596 = and(_T_595, _T_591) @[dec_dec_ctl.scala 17:17] + node _T_597 = and(_T_596, _T_593) @[dec_dec_ctl.scala 17:17] + io.out.bne <= _T_597 @[dec_dec_ctl.scala 55:14] + node _T_598 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:34] + node _T_599 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:34] + node _T_600 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:34] + node _T_601 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:53] + node _T_602 = eq(_T_601, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_603 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_604 = eq(_T_603, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_605 = and(_T_598, _T_599) @[dec_dec_ctl.scala 17:17] + node _T_606 = and(_T_605, _T_600) @[dec_dec_ctl.scala 17:17] + node _T_607 = and(_T_606, _T_602) @[dec_dec_ctl.scala 17:17] + node _T_608 = and(_T_607, _T_604) @[dec_dec_ctl.scala 17:17] + io.out.bge <= _T_608 @[dec_dec_ctl.scala 56:14] + node _T_609 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:34] + node _T_610 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:53] + node _T_611 = eq(_T_610, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_612 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:34] + node _T_613 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:53] + node _T_614 = eq(_T_613, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_615 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_616 = eq(_T_615, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_617 = and(_T_609, _T_611) @[dec_dec_ctl.scala 17:17] + node _T_618 = and(_T_617, _T_612) @[dec_dec_ctl.scala 17:17] + node _T_619 = and(_T_618, _T_614) @[dec_dec_ctl.scala 17:17] + node _T_620 = and(_T_619, _T_616) @[dec_dec_ctl.scala 17:17] + io.out.blt <= _T_620 @[dec_dec_ctl.scala 57:14] + node _T_621 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_622 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:34] + node _T_623 = and(_T_621, _T_622) @[dec_dec_ctl.scala 17:17] + io.out.jal <= _T_623 @[dec_dec_ctl.scala 58:14] + node _T_624 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_625 = eq(_T_624, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_626 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:53] + node _T_627 = eq(_T_626, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_628 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:53] + node _T_629 = eq(_T_628, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_630 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:53] + node _T_631 = eq(_T_630, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_632 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_633 = eq(_T_632, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_634 = and(_T_625, _T_627) @[dec_dec_ctl.scala 17:17] + node _T_635 = and(_T_634, _T_629) @[dec_dec_ctl.scala 17:17] + node _T_636 = and(_T_635, _T_631) @[dec_dec_ctl.scala 17:17] + node _T_637 = and(_T_636, _T_633) @[dec_dec_ctl.scala 17:17] + io.out.by <= _T_637 @[dec_dec_ctl.scala 59:13] + node _T_638 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:34] + node _T_639 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:53] + node _T_640 = eq(_T_639, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_641 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:53] + node _T_642 = eq(_T_641, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_643 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_644 = eq(_T_643, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_645 = and(_T_638, _T_640) @[dec_dec_ctl.scala 17:17] + node _T_646 = and(_T_645, _T_642) @[dec_dec_ctl.scala 17:17] + node _T_647 = and(_T_646, _T_644) @[dec_dec_ctl.scala 17:17] + io.out.half <= _T_647 @[dec_dec_ctl.scala 60:15] + node _T_648 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:34] + node _T_649 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:53] + node _T_650 = eq(_T_649, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_651 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:53] + node _T_652 = eq(_T_651, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_653 = and(_T_648, _T_650) @[dec_dec_ctl.scala 17:17] + node _T_654 = and(_T_653, _T_652) @[dec_dec_ctl.scala 17:17] + io.out.word <= _T_654 @[dec_dec_ctl.scala 61:15] + node _T_655 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:34] + node _T_656 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_657 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_658 = and(_T_655, _T_656) @[dec_dec_ctl.scala 17:17] + node _T_659 = and(_T_658, _T_657) @[dec_dec_ctl.scala 17:17] + node _T_660 = bits(io.ins, 7, 7) @[dec_dec_ctl.scala 15:34] + node _T_661 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_662 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_663 = and(_T_660, _T_661) @[dec_dec_ctl.scala 17:17] + node _T_664 = and(_T_663, _T_662) @[dec_dec_ctl.scala 17:17] + node _T_665 = or(_T_659, _T_664) @[dec_dec_ctl.scala 62:44] + node _T_666 = bits(io.ins, 8, 8) @[dec_dec_ctl.scala 15:34] + node _T_667 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_668 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_669 = and(_T_666, _T_667) @[dec_dec_ctl.scala 17:17] + node _T_670 = and(_T_669, _T_668) @[dec_dec_ctl.scala 17:17] + node _T_671 = or(_T_665, _T_670) @[dec_dec_ctl.scala 62:67] + node _T_672 = bits(io.ins, 9, 9) @[dec_dec_ctl.scala 15:34] + node _T_673 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_674 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_675 = and(_T_672, _T_673) @[dec_dec_ctl.scala 17:17] + node _T_676 = and(_T_675, _T_674) @[dec_dec_ctl.scala 17:17] + node _T_677 = or(_T_671, _T_676) @[dec_dec_ctl.scala 63:26] + node _T_678 = bits(io.ins, 10, 10) @[dec_dec_ctl.scala 15:34] + node _T_679 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_680 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_681 = and(_T_678, _T_679) @[dec_dec_ctl.scala 17:17] + node _T_682 = and(_T_681, _T_680) @[dec_dec_ctl.scala 17:17] + node _T_683 = or(_T_677, _T_682) @[dec_dec_ctl.scala 63:49] + node _T_684 = bits(io.ins, 11, 11) @[dec_dec_ctl.scala 15:34] + node _T_685 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_686 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_687 = and(_T_684, _T_685) @[dec_dec_ctl.scala 17:17] + node _T_688 = and(_T_687, _T_686) @[dec_dec_ctl.scala 17:17] + node _T_689 = or(_T_683, _T_688) @[dec_dec_ctl.scala 63:73] + io.out.csr_read <= _T_689 @[dec_dec_ctl.scala 62:19] + node _T_690 = bits(io.ins, 15, 15) @[dec_dec_ctl.scala 15:34] + node _T_691 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:34] + node _T_692 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:34] + node _T_693 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_694 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_695 = and(_T_690, _T_691) @[dec_dec_ctl.scala 17:17] + node _T_696 = and(_T_695, _T_692) @[dec_dec_ctl.scala 17:17] + node _T_697 = and(_T_696, _T_693) @[dec_dec_ctl.scala 17:17] + node _T_698 = and(_T_697, _T_694) @[dec_dec_ctl.scala 17:17] + node _T_699 = bits(io.ins, 16, 16) @[dec_dec_ctl.scala 15:34] + node _T_700 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:34] + node _T_701 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:34] + node _T_702 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_703 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_704 = and(_T_699, _T_700) @[dec_dec_ctl.scala 17:17] + node _T_705 = and(_T_704, _T_701) @[dec_dec_ctl.scala 17:17] + node _T_706 = and(_T_705, _T_702) @[dec_dec_ctl.scala 17:17] + node _T_707 = and(_T_706, _T_703) @[dec_dec_ctl.scala 17:17] + node _T_708 = or(_T_698, _T_707) @[dec_dec_ctl.scala 65:49] + node _T_709 = bits(io.ins, 17, 17) @[dec_dec_ctl.scala 15:34] + node _T_710 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:34] + node _T_711 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:34] + node _T_712 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_713 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_714 = and(_T_709, _T_710) @[dec_dec_ctl.scala 17:17] + node _T_715 = and(_T_714, _T_711) @[dec_dec_ctl.scala 17:17] + node _T_716 = and(_T_715, _T_712) @[dec_dec_ctl.scala 17:17] + node _T_717 = and(_T_716, _T_713) @[dec_dec_ctl.scala 17:17] + node _T_718 = or(_T_708, _T_717) @[dec_dec_ctl.scala 65:79] + node _T_719 = bits(io.ins, 18, 18) @[dec_dec_ctl.scala 15:34] + node _T_720 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:34] + node _T_721 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:34] + node _T_722 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_723 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_724 = and(_T_719, _T_720) @[dec_dec_ctl.scala 17:17] + node _T_725 = and(_T_724, _T_721) @[dec_dec_ctl.scala 17:17] + node _T_726 = and(_T_725, _T_722) @[dec_dec_ctl.scala 17:17] + node _T_727 = and(_T_726, _T_723) @[dec_dec_ctl.scala 17:17] + node _T_728 = or(_T_718, _T_727) @[dec_dec_ctl.scala 66:33] + node _T_729 = bits(io.ins, 19, 19) @[dec_dec_ctl.scala 15:34] + node _T_730 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:34] + node _T_731 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:34] + node _T_732 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_733 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_734 = and(_T_729, _T_730) @[dec_dec_ctl.scala 17:17] + node _T_735 = and(_T_734, _T_731) @[dec_dec_ctl.scala 17:17] + node _T_736 = and(_T_735, _T_732) @[dec_dec_ctl.scala 17:17] + node _T_737 = and(_T_736, _T_733) @[dec_dec_ctl.scala 17:17] + node _T_738 = or(_T_728, _T_737) @[dec_dec_ctl.scala 66:63] + io.out.csr_clr <= _T_738 @[dec_dec_ctl.scala 65:18] + node _T_739 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_740 = eq(_T_739, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_741 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:34] + node _T_742 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_743 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_744 = and(_T_740, _T_741) @[dec_dec_ctl.scala 17:17] + node _T_745 = and(_T_744, _T_742) @[dec_dec_ctl.scala 17:17] + node _T_746 = and(_T_745, _T_743) @[dec_dec_ctl.scala 17:17] + io.out.csr_write <= _T_746 @[dec_dec_ctl.scala 68:20] + node _T_747 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:34] + node _T_748 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_749 = eq(_T_748, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_750 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_751 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_752 = and(_T_747, _T_749) @[dec_dec_ctl.scala 17:17] + node _T_753 = and(_T_752, _T_750) @[dec_dec_ctl.scala 17:17] + node _T_754 = and(_T_753, _T_751) @[dec_dec_ctl.scala 17:17] + node _T_755 = bits(io.ins, 15, 15) @[dec_dec_ctl.scala 15:34] + node _T_756 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:34] + node _T_757 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_758 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_759 = and(_T_755, _T_756) @[dec_dec_ctl.scala 17:17] + node _T_760 = and(_T_759, _T_757) @[dec_dec_ctl.scala 17:17] + node _T_761 = and(_T_760, _T_758) @[dec_dec_ctl.scala 17:17] + node _T_762 = or(_T_754, _T_761) @[dec_dec_ctl.scala 69:47] + node _T_763 = bits(io.ins, 16, 16) @[dec_dec_ctl.scala 15:34] + node _T_764 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:34] + node _T_765 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_766 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_767 = and(_T_763, _T_764) @[dec_dec_ctl.scala 17:17] + node _T_768 = and(_T_767, _T_765) @[dec_dec_ctl.scala 17:17] + node _T_769 = and(_T_768, _T_766) @[dec_dec_ctl.scala 17:17] + node _T_770 = or(_T_762, _T_769) @[dec_dec_ctl.scala 69:74] + node _T_771 = bits(io.ins, 17, 17) @[dec_dec_ctl.scala 15:34] + node _T_772 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:34] + node _T_773 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_774 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_775 = and(_T_771, _T_772) @[dec_dec_ctl.scala 17:17] + node _T_776 = and(_T_775, _T_773) @[dec_dec_ctl.scala 17:17] + node _T_777 = and(_T_776, _T_774) @[dec_dec_ctl.scala 17:17] + node _T_778 = or(_T_770, _T_777) @[dec_dec_ctl.scala 70:30] + node _T_779 = bits(io.ins, 18, 18) @[dec_dec_ctl.scala 15:34] + node _T_780 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:34] + node _T_781 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_782 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_783 = and(_T_779, _T_780) @[dec_dec_ctl.scala 17:17] + node _T_784 = and(_T_783, _T_781) @[dec_dec_ctl.scala 17:17] + node _T_785 = and(_T_784, _T_782) @[dec_dec_ctl.scala 17:17] + node _T_786 = or(_T_778, _T_785) @[dec_dec_ctl.scala 70:57] + node _T_787 = bits(io.ins, 19, 19) @[dec_dec_ctl.scala 15:34] + node _T_788 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:34] + node _T_789 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_790 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_791 = and(_T_787, _T_788) @[dec_dec_ctl.scala 17:17] + node _T_792 = and(_T_791, _T_789) @[dec_dec_ctl.scala 17:17] + node _T_793 = and(_T_792, _T_790) @[dec_dec_ctl.scala 17:17] + node _T_794 = or(_T_786, _T_793) @[dec_dec_ctl.scala 71:30] + io.out.csr_imm <= _T_794 @[dec_dec_ctl.scala 69:18] + node _T_795 = bits(io.ins, 15, 15) @[dec_dec_ctl.scala 15:34] + node _T_796 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:53] + node _T_797 = eq(_T_796, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_798 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_799 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_800 = and(_T_795, _T_797) @[dec_dec_ctl.scala 17:17] + node _T_801 = and(_T_800, _T_798) @[dec_dec_ctl.scala 17:17] + node _T_802 = and(_T_801, _T_799) @[dec_dec_ctl.scala 17:17] + node _T_803 = bits(io.ins, 16, 16) @[dec_dec_ctl.scala 15:34] + node _T_804 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:53] + node _T_805 = eq(_T_804, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_806 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_807 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_808 = and(_T_803, _T_805) @[dec_dec_ctl.scala 17:17] + node _T_809 = and(_T_808, _T_806) @[dec_dec_ctl.scala 17:17] + node _T_810 = and(_T_809, _T_807) @[dec_dec_ctl.scala 17:17] + node _T_811 = or(_T_802, _T_810) @[dec_dec_ctl.scala 72:47] + node _T_812 = bits(io.ins, 17, 17) @[dec_dec_ctl.scala 15:34] + node _T_813 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:53] + node _T_814 = eq(_T_813, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_815 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_816 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_817 = and(_T_812, _T_814) @[dec_dec_ctl.scala 17:17] + node _T_818 = and(_T_817, _T_815) @[dec_dec_ctl.scala 17:17] + node _T_819 = and(_T_818, _T_816) @[dec_dec_ctl.scala 17:17] + node _T_820 = or(_T_811, _T_819) @[dec_dec_ctl.scala 72:75] + node _T_821 = bits(io.ins, 18, 18) @[dec_dec_ctl.scala 15:34] + node _T_822 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:53] + node _T_823 = eq(_T_822, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_824 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_825 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_826 = and(_T_821, _T_823) @[dec_dec_ctl.scala 17:17] + node _T_827 = and(_T_826, _T_824) @[dec_dec_ctl.scala 17:17] + node _T_828 = and(_T_827, _T_825) @[dec_dec_ctl.scala 17:17] + node _T_829 = or(_T_820, _T_828) @[dec_dec_ctl.scala 73:31] + node _T_830 = bits(io.ins, 19, 19) @[dec_dec_ctl.scala 15:34] + node _T_831 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:53] + node _T_832 = eq(_T_831, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_833 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_834 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_835 = and(_T_830, _T_832) @[dec_dec_ctl.scala 17:17] + node _T_836 = and(_T_835, _T_833) @[dec_dec_ctl.scala 17:17] + node _T_837 = and(_T_836, _T_834) @[dec_dec_ctl.scala 17:17] + node _T_838 = or(_T_829, _T_837) @[dec_dec_ctl.scala 73:59] + io.out.csr_set <= _T_838 @[dec_dec_ctl.scala 72:18] + node _T_839 = bits(io.ins, 22, 22) @[dec_dec_ctl.scala 15:53] + node _T_840 = eq(_T_839, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_841 = bits(io.ins, 20, 20) @[dec_dec_ctl.scala 15:34] + node _T_842 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_843 = eq(_T_842, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_844 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:53] + node _T_845 = eq(_T_844, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_846 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_847 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_848 = and(_T_840, _T_841) @[dec_dec_ctl.scala 17:17] + node _T_849 = and(_T_848, _T_843) @[dec_dec_ctl.scala 17:17] + node _T_850 = and(_T_849, _T_845) @[dec_dec_ctl.scala 17:17] + node _T_851 = and(_T_850, _T_846) @[dec_dec_ctl.scala 17:17] + node _T_852 = and(_T_851, _T_847) @[dec_dec_ctl.scala 17:17] + io.out.ebreak <= _T_852 @[dec_dec_ctl.scala 75:17] + node _T_853 = bits(io.ins, 21, 21) @[dec_dec_ctl.scala 15:53] + node _T_854 = eq(_T_853, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_855 = bits(io.ins, 20, 20) @[dec_dec_ctl.scala 15:53] + node _T_856 = eq(_T_855, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_857 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_858 = eq(_T_857, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_859 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:53] + node _T_860 = eq(_T_859, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_861 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_862 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_863 = and(_T_854, _T_856) @[dec_dec_ctl.scala 17:17] + node _T_864 = and(_T_863, _T_858) @[dec_dec_ctl.scala 17:17] + node _T_865 = and(_T_864, _T_860) @[dec_dec_ctl.scala 17:17] + node _T_866 = and(_T_865, _T_861) @[dec_dec_ctl.scala 17:17] + node _T_867 = and(_T_866, _T_862) @[dec_dec_ctl.scala 17:17] + io.out.ecall <= _T_867 @[dec_dec_ctl.scala 76:16] + node _T_868 = bits(io.ins, 29, 29) @[dec_dec_ctl.scala 15:34] + node _T_869 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_870 = eq(_T_869, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_871 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:53] + node _T_872 = eq(_T_871, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_873 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_874 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_875 = and(_T_868, _T_870) @[dec_dec_ctl.scala 17:17] + node _T_876 = and(_T_875, _T_872) @[dec_dec_ctl.scala 17:17] + node _T_877 = and(_T_876, _T_873) @[dec_dec_ctl.scala 17:17] + node _T_878 = and(_T_877, _T_874) @[dec_dec_ctl.scala 17:17] + io.out.mret <= _T_878 @[dec_dec_ctl.scala 77:15] + node _T_879 = bits(io.ins, 25, 25) @[dec_dec_ctl.scala 15:34] + node _T_880 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:53] + node _T_881 = eq(_T_880, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_882 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:53] + node _T_883 = eq(_T_882, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_884 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:34] + node _T_885 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_886 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_887 = eq(_T_886, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_888 = and(_T_879, _T_881) @[dec_dec_ctl.scala 17:17] + node _T_889 = and(_T_888, _T_883) @[dec_dec_ctl.scala 17:17] + node _T_890 = and(_T_889, _T_884) @[dec_dec_ctl.scala 17:17] + node _T_891 = and(_T_890, _T_885) @[dec_dec_ctl.scala 17:17] + node _T_892 = and(_T_891, _T_887) @[dec_dec_ctl.scala 17:17] + io.out.mul <= _T_892 @[dec_dec_ctl.scala 78:14] + node _T_893 = bits(io.ins, 25, 25) @[dec_dec_ctl.scala 15:34] + node _T_894 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:53] + node _T_895 = eq(_T_894, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_896 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:34] + node _T_897 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:53] + node _T_898 = eq(_T_897, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_899 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:53] + node _T_900 = eq(_T_899, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_901 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:34] + node _T_902 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_903 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_904 = eq(_T_903, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_905 = and(_T_893, _T_895) @[dec_dec_ctl.scala 17:17] + node _T_906 = and(_T_905, _T_896) @[dec_dec_ctl.scala 17:17] + node _T_907 = and(_T_906, _T_898) @[dec_dec_ctl.scala 17:17] + node _T_908 = and(_T_907, _T_900) @[dec_dec_ctl.scala 17:17] + node _T_909 = and(_T_908, _T_901) @[dec_dec_ctl.scala 17:17] + node _T_910 = and(_T_909, _T_902) @[dec_dec_ctl.scala 17:17] + node _T_911 = and(_T_910, _T_904) @[dec_dec_ctl.scala 17:17] + node _T_912 = bits(io.ins, 25, 25) @[dec_dec_ctl.scala 15:34] + node _T_913 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:53] + node _T_914 = eq(_T_913, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_915 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_916 = eq(_T_915, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_917 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:34] + node _T_918 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:53] + node _T_919 = eq(_T_918, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_920 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_921 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_922 = eq(_T_921, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_923 = and(_T_912, _T_914) @[dec_dec_ctl.scala 17:17] + node _T_924 = and(_T_923, _T_916) @[dec_dec_ctl.scala 17:17] + node _T_925 = and(_T_924, _T_917) @[dec_dec_ctl.scala 17:17] + node _T_926 = and(_T_925, _T_919) @[dec_dec_ctl.scala 17:17] + node _T_927 = and(_T_926, _T_920) @[dec_dec_ctl.scala 17:17] + node _T_928 = and(_T_927, _T_922) @[dec_dec_ctl.scala 17:17] + node _T_929 = or(_T_911, _T_928) @[dec_dec_ctl.scala 79:61] + io.out.rs1_sign <= _T_929 @[dec_dec_ctl.scala 79:19] + node _T_930 = bits(io.ins, 25, 25) @[dec_dec_ctl.scala 15:34] + node _T_931 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:53] + node _T_932 = eq(_T_931, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_933 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_934 = eq(_T_933, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_935 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:34] + node _T_936 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:53] + node _T_937 = eq(_T_936, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_938 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_939 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_940 = eq(_T_939, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_941 = and(_T_930, _T_932) @[dec_dec_ctl.scala 17:17] + node _T_942 = and(_T_941, _T_934) @[dec_dec_ctl.scala 17:17] + node _T_943 = and(_T_942, _T_935) @[dec_dec_ctl.scala 17:17] + node _T_944 = and(_T_943, _T_937) @[dec_dec_ctl.scala 17:17] + node _T_945 = and(_T_944, _T_938) @[dec_dec_ctl.scala 17:17] + node _T_946 = and(_T_945, _T_940) @[dec_dec_ctl.scala 17:17] + io.out.rs2_sign <= _T_946 @[dec_dec_ctl.scala 81:19] + node _T_947 = bits(io.ins, 25, 25) @[dec_dec_ctl.scala 15:34] + node _T_948 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:53] + node _T_949 = eq(_T_948, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_950 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_951 = eq(_T_950, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_952 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:53] + node _T_953 = eq(_T_952, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_954 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:34] + node _T_955 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_956 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_957 = eq(_T_956, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_958 = and(_T_947, _T_949) @[dec_dec_ctl.scala 17:17] + node _T_959 = and(_T_958, _T_951) @[dec_dec_ctl.scala 17:17] + node _T_960 = and(_T_959, _T_953) @[dec_dec_ctl.scala 17:17] + node _T_961 = and(_T_960, _T_954) @[dec_dec_ctl.scala 17:17] + node _T_962 = and(_T_961, _T_955) @[dec_dec_ctl.scala 17:17] + node _T_963 = and(_T_962, _T_957) @[dec_dec_ctl.scala 17:17] + io.out.low <= _T_963 @[dec_dec_ctl.scala 82:14] + node _T_964 = bits(io.ins, 25, 25) @[dec_dec_ctl.scala 15:34] + node _T_965 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:34] + node _T_966 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:53] + node _T_967 = eq(_T_966, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_968 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:34] + node _T_969 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_970 = eq(_T_969, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_971 = and(_T_964, _T_965) @[dec_dec_ctl.scala 17:17] + node _T_972 = and(_T_971, _T_967) @[dec_dec_ctl.scala 17:17] + node _T_973 = and(_T_972, _T_968) @[dec_dec_ctl.scala 17:17] + node _T_974 = and(_T_973, _T_970) @[dec_dec_ctl.scala 17:17] + io.out.div <= _T_974 @[dec_dec_ctl.scala 83:14] + node _T_975 = bits(io.ins, 25, 25) @[dec_dec_ctl.scala 15:34] + node _T_976 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:34] + node _T_977 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:34] + node _T_978 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:53] + node _T_979 = eq(_T_978, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_980 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:34] + node _T_981 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_982 = eq(_T_981, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_983 = and(_T_975, _T_976) @[dec_dec_ctl.scala 17:17] + node _T_984 = and(_T_983, _T_977) @[dec_dec_ctl.scala 17:17] + node _T_985 = and(_T_984, _T_979) @[dec_dec_ctl.scala 17:17] + node _T_986 = and(_T_985, _T_980) @[dec_dec_ctl.scala 17:17] + node _T_987 = and(_T_986, _T_982) @[dec_dec_ctl.scala 17:17] + io.out.rem <= _T_987 @[dec_dec_ctl.scala 84:14] + node _T_988 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:53] + node _T_989 = eq(_T_988, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_990 = bits(io.ins, 3, 3) @[dec_dec_ctl.scala 15:34] + node _T_991 = and(_T_989, _T_990) @[dec_dec_ctl.scala 17:17] + io.out.fence <= _T_991 @[dec_dec_ctl.scala 85:16] + node _T_992 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:34] + node _T_993 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:53] + node _T_994 = eq(_T_993, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_995 = bits(io.ins, 3, 3) @[dec_dec_ctl.scala 15:34] + node _T_996 = and(_T_992, _T_994) @[dec_dec_ctl.scala 17:17] + node _T_997 = and(_T_996, _T_995) @[dec_dec_ctl.scala 17:17] + io.out.fence_i <= _T_997 @[dec_dec_ctl.scala 86:18] + node _T_998 = bits(io.ins, 28, 28) @[dec_dec_ctl.scala 15:34] + node _T_999 = bits(io.ins, 22, 22) @[dec_dec_ctl.scala 15:34] + node _T_1000 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_1001 = eq(_T_1000, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1002 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:53] + node _T_1003 = eq(_T_1002, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1004 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_1005 = and(_T_998, _T_999) @[dec_dec_ctl.scala 17:17] + node _T_1006 = and(_T_1005, _T_1001) @[dec_dec_ctl.scala 17:17] + node _T_1007 = and(_T_1006, _T_1003) @[dec_dec_ctl.scala 17:17] + node _T_1008 = and(_T_1007, _T_1004) @[dec_dec_ctl.scala 17:17] + node _T_1009 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_1010 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:34] + node _T_1011 = and(_T_1009, _T_1010) @[dec_dec_ctl.scala 17:17] + node _T_1012 = or(_T_1008, _T_1011) @[dec_dec_ctl.scala 87:51] + node _T_1013 = bits(io.ins, 25, 25) @[dec_dec_ctl.scala 15:53] + node _T_1014 = eq(_T_1013, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1015 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:53] + node _T_1016 = eq(_T_1015, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1017 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_1018 = and(_T_1014, _T_1016) @[dec_dec_ctl.scala 17:17] + node _T_1019 = and(_T_1018, _T_1017) @[dec_dec_ctl.scala 17:17] + node _T_1020 = or(_T_1012, _T_1019) @[dec_dec_ctl.scala 87:72] + node _T_1021 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:53] + node _T_1022 = eq(_T_1021, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1023 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_1024 = and(_T_1022, _T_1023) @[dec_dec_ctl.scala 17:17] + node _T_1025 = or(_T_1020, _T_1024) @[dec_dec_ctl.scala 88:29] + io.out.pm_alu <= _T_1025 @[dec_dec_ctl.scala 87:17] + node _T_1026 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:53] + node _T_1027 = eq(_T_1026, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1028 = bits(io.ins, 3, 3) @[dec_dec_ctl.scala 15:34] + node _T_1029 = and(_T_1027, _T_1028) @[dec_dec_ctl.scala 17:17] + node _T_1030 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_1031 = eq(_T_1030, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1032 = bits(io.ins, 7, 7) @[dec_dec_ctl.scala 15:34] + node _T_1033 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_1034 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_1035 = and(_T_1031, _T_1032) @[dec_dec_ctl.scala 17:17] + node _T_1036 = and(_T_1035, _T_1033) @[dec_dec_ctl.scala 17:17] + node _T_1037 = and(_T_1036, _T_1034) @[dec_dec_ctl.scala 17:17] + node _T_1038 = or(_T_1029, _T_1037) @[dec_dec_ctl.scala 89:41] + node _T_1039 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_1040 = eq(_T_1039, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1041 = bits(io.ins, 8, 8) @[dec_dec_ctl.scala 15:34] + node _T_1042 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_1043 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_1044 = and(_T_1040, _T_1041) @[dec_dec_ctl.scala 17:17] + node _T_1045 = and(_T_1044, _T_1042) @[dec_dec_ctl.scala 17:17] + node _T_1046 = and(_T_1045, _T_1043) @[dec_dec_ctl.scala 17:17] + node _T_1047 = or(_T_1038, _T_1046) @[dec_dec_ctl.scala 89:68] + node _T_1048 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_1049 = eq(_T_1048, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1050 = bits(io.ins, 9, 9) @[dec_dec_ctl.scala 15:34] + node _T_1051 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_1052 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_1053 = and(_T_1049, _T_1050) @[dec_dec_ctl.scala 17:17] + node _T_1054 = and(_T_1053, _T_1051) @[dec_dec_ctl.scala 17:17] + node _T_1055 = and(_T_1054, _T_1052) @[dec_dec_ctl.scala 17:17] + node _T_1056 = or(_T_1047, _T_1055) @[dec_dec_ctl.scala 90:30] + node _T_1057 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_1058 = eq(_T_1057, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1059 = bits(io.ins, 10, 10) @[dec_dec_ctl.scala 15:34] + node _T_1060 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_1061 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_1062 = and(_T_1058, _T_1059) @[dec_dec_ctl.scala 17:17] + node _T_1063 = and(_T_1062, _T_1060) @[dec_dec_ctl.scala 17:17] + node _T_1064 = and(_T_1063, _T_1061) @[dec_dec_ctl.scala 17:17] + node _T_1065 = or(_T_1056, _T_1064) @[dec_dec_ctl.scala 90:57] + node _T_1066 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_1067 = eq(_T_1066, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1068 = bits(io.ins, 11, 11) @[dec_dec_ctl.scala 15:34] + node _T_1069 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_1070 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_1071 = and(_T_1067, _T_1068) @[dec_dec_ctl.scala 17:17] + node _T_1072 = and(_T_1071, _T_1069) @[dec_dec_ctl.scala 17:17] + node _T_1073 = and(_T_1072, _T_1070) @[dec_dec_ctl.scala 17:17] + node _T_1074 = or(_T_1065, _T_1073) @[dec_dec_ctl.scala 91:31] + node _T_1075 = bits(io.ins, 15, 15) @[dec_dec_ctl.scala 15:34] + node _T_1076 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:34] + node _T_1077 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_1078 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_1079 = and(_T_1075, _T_1076) @[dec_dec_ctl.scala 17:17] + node _T_1080 = and(_T_1079, _T_1077) @[dec_dec_ctl.scala 17:17] + node _T_1081 = and(_T_1080, _T_1078) @[dec_dec_ctl.scala 17:17] + node _T_1082 = or(_T_1074, _T_1081) @[dec_dec_ctl.scala 91:59] + node _T_1083 = bits(io.ins, 16, 16) @[dec_dec_ctl.scala 15:34] + node _T_1084 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:34] + node _T_1085 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_1086 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_1087 = and(_T_1083, _T_1084) @[dec_dec_ctl.scala 17:17] + node _T_1088 = and(_T_1087, _T_1085) @[dec_dec_ctl.scala 17:17] + node _T_1089 = and(_T_1088, _T_1086) @[dec_dec_ctl.scala 17:17] + node _T_1090 = or(_T_1082, _T_1089) @[dec_dec_ctl.scala 92:30] + node _T_1091 = bits(io.ins, 17, 17) @[dec_dec_ctl.scala 15:34] + node _T_1092 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:34] + node _T_1093 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_1094 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_1095 = and(_T_1091, _T_1092) @[dec_dec_ctl.scala 17:17] + node _T_1096 = and(_T_1095, _T_1093) @[dec_dec_ctl.scala 17:17] + node _T_1097 = and(_T_1096, _T_1094) @[dec_dec_ctl.scala 17:17] + node _T_1098 = or(_T_1090, _T_1097) @[dec_dec_ctl.scala 92:57] + node _T_1099 = bits(io.ins, 18, 18) @[dec_dec_ctl.scala 15:34] + node _T_1100 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:34] + node _T_1101 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_1102 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_1103 = and(_T_1099, _T_1100) @[dec_dec_ctl.scala 17:17] + node _T_1104 = and(_T_1103, _T_1101) @[dec_dec_ctl.scala 17:17] + node _T_1105 = and(_T_1104, _T_1102) @[dec_dec_ctl.scala 17:17] + node _T_1106 = or(_T_1098, _T_1105) @[dec_dec_ctl.scala 93:30] + node _T_1107 = bits(io.ins, 19, 19) @[dec_dec_ctl.scala 15:34] + node _T_1108 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:34] + node _T_1109 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_1110 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_1111 = and(_T_1107, _T_1108) @[dec_dec_ctl.scala 17:17] + node _T_1112 = and(_T_1111, _T_1109) @[dec_dec_ctl.scala 17:17] + node _T_1113 = and(_T_1112, _T_1110) @[dec_dec_ctl.scala 17:17] + node _T_1114 = or(_T_1106, _T_1113) @[dec_dec_ctl.scala 93:57] + io.out.presync <= _T_1114 @[dec_dec_ctl.scala 89:18] + node _T_1115 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:34] + node _T_1116 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:53] + node _T_1117 = eq(_T_1116, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1118 = bits(io.ins, 3, 3) @[dec_dec_ctl.scala 15:34] + node _T_1119 = and(_T_1115, _T_1117) @[dec_dec_ctl.scala 17:17] + node _T_1120 = and(_T_1119, _T_1118) @[dec_dec_ctl.scala 17:17] + node _T_1121 = bits(io.ins, 22, 22) @[dec_dec_ctl.scala 15:53] + node _T_1122 = eq(_T_1121, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1123 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_1124 = eq(_T_1123, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1125 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:53] + node _T_1126 = eq(_T_1125, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1127 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_1128 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_1129 = and(_T_1122, _T_1124) @[dec_dec_ctl.scala 17:17] + node _T_1130 = and(_T_1129, _T_1126) @[dec_dec_ctl.scala 17:17] + node _T_1131 = and(_T_1130, _T_1127) @[dec_dec_ctl.scala 17:17] + node _T_1132 = and(_T_1131, _T_1128) @[dec_dec_ctl.scala 17:17] + node _T_1133 = or(_T_1120, _T_1132) @[dec_dec_ctl.scala 95:45] + node _T_1134 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_1135 = eq(_T_1134, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1136 = bits(io.ins, 7, 7) @[dec_dec_ctl.scala 15:34] + node _T_1137 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_1138 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_1139 = and(_T_1135, _T_1136) @[dec_dec_ctl.scala 17:17] + node _T_1140 = and(_T_1139, _T_1137) @[dec_dec_ctl.scala 17:17] + node _T_1141 = and(_T_1140, _T_1138) @[dec_dec_ctl.scala 17:17] + node _T_1142 = or(_T_1133, _T_1141) @[dec_dec_ctl.scala 95:78] + node _T_1143 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_1144 = eq(_T_1143, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1145 = bits(io.ins, 8, 8) @[dec_dec_ctl.scala 15:34] + node _T_1146 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_1147 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_1148 = and(_T_1144, _T_1145) @[dec_dec_ctl.scala 17:17] + node _T_1149 = and(_T_1148, _T_1146) @[dec_dec_ctl.scala 17:17] + node _T_1150 = and(_T_1149, _T_1147) @[dec_dec_ctl.scala 17:17] + node _T_1151 = or(_T_1142, _T_1150) @[dec_dec_ctl.scala 96:30] + node _T_1152 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_1153 = eq(_T_1152, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1154 = bits(io.ins, 9, 9) @[dec_dec_ctl.scala 15:34] + node _T_1155 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_1156 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_1157 = and(_T_1153, _T_1154) @[dec_dec_ctl.scala 17:17] + node _T_1158 = and(_T_1157, _T_1155) @[dec_dec_ctl.scala 17:17] + node _T_1159 = and(_T_1158, _T_1156) @[dec_dec_ctl.scala 17:17] + node _T_1160 = or(_T_1151, _T_1159) @[dec_dec_ctl.scala 96:57] + node _T_1161 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_1162 = eq(_T_1161, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1163 = bits(io.ins, 10, 10) @[dec_dec_ctl.scala 15:34] + node _T_1164 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_1165 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_1166 = and(_T_1162, _T_1163) @[dec_dec_ctl.scala 17:17] + node _T_1167 = and(_T_1166, _T_1164) @[dec_dec_ctl.scala 17:17] + node _T_1168 = and(_T_1167, _T_1165) @[dec_dec_ctl.scala 17:17] + node _T_1169 = or(_T_1160, _T_1168) @[dec_dec_ctl.scala 97:30] + node _T_1170 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_1171 = eq(_T_1170, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1172 = bits(io.ins, 11, 11) @[dec_dec_ctl.scala 15:34] + node _T_1173 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_1174 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_1175 = and(_T_1171, _T_1172) @[dec_dec_ctl.scala 17:17] + node _T_1176 = and(_T_1175, _T_1173) @[dec_dec_ctl.scala 17:17] + node _T_1177 = and(_T_1176, _T_1174) @[dec_dec_ctl.scala 17:17] + node _T_1178 = or(_T_1169, _T_1177) @[dec_dec_ctl.scala 97:58] + node _T_1179 = bits(io.ins, 15, 15) @[dec_dec_ctl.scala 15:34] + node _T_1180 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:34] + node _T_1181 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_1182 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_1183 = and(_T_1179, _T_1180) @[dec_dec_ctl.scala 17:17] + node _T_1184 = and(_T_1183, _T_1181) @[dec_dec_ctl.scala 17:17] + node _T_1185 = and(_T_1184, _T_1182) @[dec_dec_ctl.scala 17:17] + node _T_1186 = or(_T_1178, _T_1185) @[dec_dec_ctl.scala 98:31] + node _T_1187 = bits(io.ins, 16, 16) @[dec_dec_ctl.scala 15:34] + node _T_1188 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:34] + node _T_1189 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_1190 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_1191 = and(_T_1187, _T_1188) @[dec_dec_ctl.scala 17:17] + node _T_1192 = and(_T_1191, _T_1189) @[dec_dec_ctl.scala 17:17] + node _T_1193 = and(_T_1192, _T_1190) @[dec_dec_ctl.scala 17:17] + node _T_1194 = or(_T_1186, _T_1193) @[dec_dec_ctl.scala 98:58] + node _T_1195 = bits(io.ins, 17, 17) @[dec_dec_ctl.scala 15:34] + node _T_1196 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:34] + node _T_1197 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_1198 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_1199 = and(_T_1195, _T_1196) @[dec_dec_ctl.scala 17:17] + node _T_1200 = and(_T_1199, _T_1197) @[dec_dec_ctl.scala 17:17] + node _T_1201 = and(_T_1200, _T_1198) @[dec_dec_ctl.scala 17:17] + node _T_1202 = or(_T_1194, _T_1201) @[dec_dec_ctl.scala 99:30] + node _T_1203 = bits(io.ins, 18, 18) @[dec_dec_ctl.scala 15:34] + node _T_1204 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:34] + node _T_1205 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_1206 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_1207 = and(_T_1203, _T_1204) @[dec_dec_ctl.scala 17:17] + node _T_1208 = and(_T_1207, _T_1205) @[dec_dec_ctl.scala 17:17] + node _T_1209 = and(_T_1208, _T_1206) @[dec_dec_ctl.scala 17:17] + node _T_1210 = or(_T_1202, _T_1209) @[dec_dec_ctl.scala 99:57] + node _T_1211 = bits(io.ins, 19, 19) @[dec_dec_ctl.scala 15:34] + node _T_1212 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:34] + node _T_1213 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_1214 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_1215 = and(_T_1211, _T_1212) @[dec_dec_ctl.scala 17:17] + node _T_1216 = and(_T_1215, _T_1213) @[dec_dec_ctl.scala 17:17] + node _T_1217 = and(_T_1216, _T_1214) @[dec_dec_ctl.scala 17:17] + node _T_1218 = or(_T_1210, _T_1217) @[dec_dec_ctl.scala 100:30] + io.out.postsync <= _T_1218 @[dec_dec_ctl.scala 95:19] + node _T_1219 = bits(io.ins, 31, 31) @[dec_dec_ctl.scala 15:53] + node _T_1220 = eq(_T_1219, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1221 = bits(io.ins, 30, 30) @[dec_dec_ctl.scala 15:53] + node _T_1222 = eq(_T_1221, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1223 = bits(io.ins, 29, 29) @[dec_dec_ctl.scala 15:34] + node _T_1224 = bits(io.ins, 28, 28) @[dec_dec_ctl.scala 15:34] + node _T_1225 = bits(io.ins, 27, 27) @[dec_dec_ctl.scala 15:53] + node _T_1226 = eq(_T_1225, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1227 = bits(io.ins, 26, 26) @[dec_dec_ctl.scala 15:53] + node _T_1228 = eq(_T_1227, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1229 = bits(io.ins, 25, 25) @[dec_dec_ctl.scala 15:53] + node _T_1230 = eq(_T_1229, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1231 = bits(io.ins, 24, 24) @[dec_dec_ctl.scala 15:53] + node _T_1232 = eq(_T_1231, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1233 = bits(io.ins, 23, 23) @[dec_dec_ctl.scala 15:53] + node _T_1234 = eq(_T_1233, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1235 = bits(io.ins, 22, 22) @[dec_dec_ctl.scala 15:53] + node _T_1236 = eq(_T_1235, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1237 = bits(io.ins, 21, 21) @[dec_dec_ctl.scala 15:34] + node _T_1238 = bits(io.ins, 20, 20) @[dec_dec_ctl.scala 15:53] + node _T_1239 = eq(_T_1238, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1240 = bits(io.ins, 19, 19) @[dec_dec_ctl.scala 15:53] + node _T_1241 = eq(_T_1240, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1242 = bits(io.ins, 18, 18) @[dec_dec_ctl.scala 15:53] + node _T_1243 = eq(_T_1242, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1244 = bits(io.ins, 17, 17) @[dec_dec_ctl.scala 15:53] + node _T_1245 = eq(_T_1244, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1246 = bits(io.ins, 16, 16) @[dec_dec_ctl.scala 15:53] + node _T_1247 = eq(_T_1246, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1248 = bits(io.ins, 15, 15) @[dec_dec_ctl.scala 15:53] + node _T_1249 = eq(_T_1248, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1250 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:53] + node _T_1251 = eq(_T_1250, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1252 = bits(io.ins, 11, 11) @[dec_dec_ctl.scala 15:53] + node _T_1253 = eq(_T_1252, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1254 = bits(io.ins, 10, 10) @[dec_dec_ctl.scala 15:53] + node _T_1255 = eq(_T_1254, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1256 = bits(io.ins, 9, 9) @[dec_dec_ctl.scala 15:53] + node _T_1257 = eq(_T_1256, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1258 = bits(io.ins, 8, 8) @[dec_dec_ctl.scala 15:53] + node _T_1259 = eq(_T_1258, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1260 = bits(io.ins, 7, 7) @[dec_dec_ctl.scala 15:53] + node _T_1261 = eq(_T_1260, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1262 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_1263 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:34] + node _T_1264 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_1265 = bits(io.ins, 3, 3) @[dec_dec_ctl.scala 15:53] + node _T_1266 = eq(_T_1265, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1267 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_1268 = eq(_T_1267, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1269 = bits(io.ins, 1, 1) @[dec_dec_ctl.scala 15:34] + node _T_1270 = bits(io.ins, 0, 0) @[dec_dec_ctl.scala 15:34] + node _T_1271 = and(_T_1220, _T_1222) @[dec_dec_ctl.scala 17:17] + node _T_1272 = and(_T_1271, _T_1223) @[dec_dec_ctl.scala 17:17] + node _T_1273 = and(_T_1272, _T_1224) @[dec_dec_ctl.scala 17:17] + node _T_1274 = and(_T_1273, _T_1226) @[dec_dec_ctl.scala 17:17] + node _T_1275 = and(_T_1274, _T_1228) @[dec_dec_ctl.scala 17:17] + node _T_1276 = and(_T_1275, _T_1230) @[dec_dec_ctl.scala 17:17] + node _T_1277 = and(_T_1276, _T_1232) @[dec_dec_ctl.scala 17:17] + node _T_1278 = and(_T_1277, _T_1234) @[dec_dec_ctl.scala 17:17] + node _T_1279 = and(_T_1278, _T_1236) @[dec_dec_ctl.scala 17:17] + node _T_1280 = and(_T_1279, _T_1237) @[dec_dec_ctl.scala 17:17] + node _T_1281 = and(_T_1280, _T_1239) @[dec_dec_ctl.scala 17:17] + node _T_1282 = and(_T_1281, _T_1241) @[dec_dec_ctl.scala 17:17] + node _T_1283 = and(_T_1282, _T_1243) @[dec_dec_ctl.scala 17:17] + node _T_1284 = and(_T_1283, _T_1245) @[dec_dec_ctl.scala 17:17] + node _T_1285 = and(_T_1284, _T_1247) @[dec_dec_ctl.scala 17:17] + node _T_1286 = and(_T_1285, _T_1249) @[dec_dec_ctl.scala 17:17] + node _T_1287 = and(_T_1286, _T_1251) @[dec_dec_ctl.scala 17:17] + node _T_1288 = and(_T_1287, _T_1253) @[dec_dec_ctl.scala 17:17] + node _T_1289 = and(_T_1288, _T_1255) @[dec_dec_ctl.scala 17:17] + node _T_1290 = and(_T_1289, _T_1257) @[dec_dec_ctl.scala 17:17] + node _T_1291 = and(_T_1290, _T_1259) @[dec_dec_ctl.scala 17:17] + node _T_1292 = and(_T_1291, _T_1261) @[dec_dec_ctl.scala 17:17] + node _T_1293 = and(_T_1292, _T_1262) @[dec_dec_ctl.scala 17:17] + node _T_1294 = and(_T_1293, _T_1263) @[dec_dec_ctl.scala 17:17] + node _T_1295 = and(_T_1294, _T_1264) @[dec_dec_ctl.scala 17:17] + node _T_1296 = and(_T_1295, _T_1266) @[dec_dec_ctl.scala 17:17] + node _T_1297 = and(_T_1296, _T_1268) @[dec_dec_ctl.scala 17:17] + node _T_1298 = and(_T_1297, _T_1269) @[dec_dec_ctl.scala 17:17] + node _T_1299 = and(_T_1298, _T_1270) @[dec_dec_ctl.scala 17:17] + node _T_1300 = bits(io.ins, 31, 31) @[dec_dec_ctl.scala 15:53] + node _T_1301 = eq(_T_1300, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1302 = bits(io.ins, 30, 30) @[dec_dec_ctl.scala 15:53] + node _T_1303 = eq(_T_1302, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1304 = bits(io.ins, 29, 29) @[dec_dec_ctl.scala 15:53] + node _T_1305 = eq(_T_1304, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1306 = bits(io.ins, 28, 28) @[dec_dec_ctl.scala 15:34] + node _T_1307 = bits(io.ins, 27, 27) @[dec_dec_ctl.scala 15:53] + node _T_1308 = eq(_T_1307, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1309 = bits(io.ins, 26, 26) @[dec_dec_ctl.scala 15:53] + node _T_1310 = eq(_T_1309, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1311 = bits(io.ins, 25, 25) @[dec_dec_ctl.scala 15:53] + node _T_1312 = eq(_T_1311, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1313 = bits(io.ins, 24, 24) @[dec_dec_ctl.scala 15:53] + node _T_1314 = eq(_T_1313, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1315 = bits(io.ins, 23, 23) @[dec_dec_ctl.scala 15:53] + node _T_1316 = eq(_T_1315, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1317 = bits(io.ins, 22, 22) @[dec_dec_ctl.scala 15:34] + node _T_1318 = bits(io.ins, 21, 21) @[dec_dec_ctl.scala 15:53] + node _T_1319 = eq(_T_1318, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1320 = bits(io.ins, 20, 20) @[dec_dec_ctl.scala 15:34] + node _T_1321 = bits(io.ins, 19, 19) @[dec_dec_ctl.scala 15:53] + node _T_1322 = eq(_T_1321, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1323 = bits(io.ins, 18, 18) @[dec_dec_ctl.scala 15:53] + node _T_1324 = eq(_T_1323, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1325 = bits(io.ins, 17, 17) @[dec_dec_ctl.scala 15:53] + node _T_1326 = eq(_T_1325, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1327 = bits(io.ins, 16, 16) @[dec_dec_ctl.scala 15:53] + node _T_1328 = eq(_T_1327, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1329 = bits(io.ins, 15, 15) @[dec_dec_ctl.scala 15:53] + node _T_1330 = eq(_T_1329, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1331 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:53] + node _T_1332 = eq(_T_1331, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1333 = bits(io.ins, 11, 11) @[dec_dec_ctl.scala 15:53] + node _T_1334 = eq(_T_1333, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1335 = bits(io.ins, 10, 10) @[dec_dec_ctl.scala 15:53] + node _T_1336 = eq(_T_1335, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1337 = bits(io.ins, 9, 9) @[dec_dec_ctl.scala 15:53] + node _T_1338 = eq(_T_1337, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1339 = bits(io.ins, 8, 8) @[dec_dec_ctl.scala 15:53] + node _T_1340 = eq(_T_1339, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1341 = bits(io.ins, 7, 7) @[dec_dec_ctl.scala 15:53] + node _T_1342 = eq(_T_1341, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1343 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_1344 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:34] + node _T_1345 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_1346 = bits(io.ins, 3, 3) @[dec_dec_ctl.scala 15:53] + node _T_1347 = eq(_T_1346, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1348 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_1349 = eq(_T_1348, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1350 = bits(io.ins, 1, 1) @[dec_dec_ctl.scala 15:34] + node _T_1351 = bits(io.ins, 0, 0) @[dec_dec_ctl.scala 15:34] + node _T_1352 = and(_T_1301, _T_1303) @[dec_dec_ctl.scala 17:17] + node _T_1353 = and(_T_1352, _T_1305) @[dec_dec_ctl.scala 17:17] + node _T_1354 = and(_T_1353, _T_1306) @[dec_dec_ctl.scala 17:17] + node _T_1355 = and(_T_1354, _T_1308) @[dec_dec_ctl.scala 17:17] + node _T_1356 = and(_T_1355, _T_1310) @[dec_dec_ctl.scala 17:17] + node _T_1357 = and(_T_1356, _T_1312) @[dec_dec_ctl.scala 17:17] + node _T_1358 = and(_T_1357, _T_1314) @[dec_dec_ctl.scala 17:17] + node _T_1359 = and(_T_1358, _T_1316) @[dec_dec_ctl.scala 17:17] + node _T_1360 = and(_T_1359, _T_1317) @[dec_dec_ctl.scala 17:17] + node _T_1361 = and(_T_1360, _T_1319) @[dec_dec_ctl.scala 17:17] + node _T_1362 = and(_T_1361, _T_1320) @[dec_dec_ctl.scala 17:17] + node _T_1363 = and(_T_1362, _T_1322) @[dec_dec_ctl.scala 17:17] + node _T_1364 = and(_T_1363, _T_1324) @[dec_dec_ctl.scala 17:17] + node _T_1365 = and(_T_1364, _T_1326) @[dec_dec_ctl.scala 17:17] + node _T_1366 = and(_T_1365, _T_1328) @[dec_dec_ctl.scala 17:17] + node _T_1367 = and(_T_1366, _T_1330) @[dec_dec_ctl.scala 17:17] + node _T_1368 = and(_T_1367, _T_1332) @[dec_dec_ctl.scala 17:17] + node _T_1369 = and(_T_1368, _T_1334) @[dec_dec_ctl.scala 17:17] + node _T_1370 = and(_T_1369, _T_1336) @[dec_dec_ctl.scala 17:17] + node _T_1371 = and(_T_1370, _T_1338) @[dec_dec_ctl.scala 17:17] + node _T_1372 = and(_T_1371, _T_1340) @[dec_dec_ctl.scala 17:17] + node _T_1373 = and(_T_1372, _T_1342) @[dec_dec_ctl.scala 17:17] + node _T_1374 = and(_T_1373, _T_1343) @[dec_dec_ctl.scala 17:17] + node _T_1375 = and(_T_1374, _T_1344) @[dec_dec_ctl.scala 17:17] + node _T_1376 = and(_T_1375, _T_1345) @[dec_dec_ctl.scala 17:17] + node _T_1377 = and(_T_1376, _T_1347) @[dec_dec_ctl.scala 17:17] + node _T_1378 = and(_T_1377, _T_1349) @[dec_dec_ctl.scala 17:17] + node _T_1379 = and(_T_1378, _T_1350) @[dec_dec_ctl.scala 17:17] + node _T_1380 = and(_T_1379, _T_1351) @[dec_dec_ctl.scala 17:17] + node _T_1381 = or(_T_1299, _T_1380) @[dec_dec_ctl.scala 101:136] + node _T_1382 = bits(io.ins, 31, 31) @[dec_dec_ctl.scala 15:53] + node _T_1383 = eq(_T_1382, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1384 = bits(io.ins, 30, 30) @[dec_dec_ctl.scala 15:53] + node _T_1385 = eq(_T_1384, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1386 = bits(io.ins, 29, 29) @[dec_dec_ctl.scala 15:53] + node _T_1387 = eq(_T_1386, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1388 = bits(io.ins, 28, 28) @[dec_dec_ctl.scala 15:53] + node _T_1389 = eq(_T_1388, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1390 = bits(io.ins, 27, 27) @[dec_dec_ctl.scala 15:53] + node _T_1391 = eq(_T_1390, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1392 = bits(io.ins, 26, 26) @[dec_dec_ctl.scala 15:53] + node _T_1393 = eq(_T_1392, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1394 = bits(io.ins, 25, 25) @[dec_dec_ctl.scala 15:53] + node _T_1395 = eq(_T_1394, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1396 = bits(io.ins, 24, 24) @[dec_dec_ctl.scala 15:53] + node _T_1397 = eq(_T_1396, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1398 = bits(io.ins, 23, 23) @[dec_dec_ctl.scala 15:53] + node _T_1399 = eq(_T_1398, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1400 = bits(io.ins, 22, 22) @[dec_dec_ctl.scala 15:53] + node _T_1401 = eq(_T_1400, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1402 = bits(io.ins, 21, 21) @[dec_dec_ctl.scala 15:53] + node _T_1403 = eq(_T_1402, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1404 = bits(io.ins, 19, 19) @[dec_dec_ctl.scala 15:53] + node _T_1405 = eq(_T_1404, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1406 = bits(io.ins, 18, 18) @[dec_dec_ctl.scala 15:53] + node _T_1407 = eq(_T_1406, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1408 = bits(io.ins, 17, 17) @[dec_dec_ctl.scala 15:53] + node _T_1409 = eq(_T_1408, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1410 = bits(io.ins, 16, 16) @[dec_dec_ctl.scala 15:53] + node _T_1411 = eq(_T_1410, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1412 = bits(io.ins, 15, 15) @[dec_dec_ctl.scala 15:53] + node _T_1413 = eq(_T_1412, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1414 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:53] + node _T_1415 = eq(_T_1414, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1416 = bits(io.ins, 11, 11) @[dec_dec_ctl.scala 15:53] + node _T_1417 = eq(_T_1416, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1418 = bits(io.ins, 10, 10) @[dec_dec_ctl.scala 15:53] + node _T_1419 = eq(_T_1418, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1420 = bits(io.ins, 9, 9) @[dec_dec_ctl.scala 15:53] + node _T_1421 = eq(_T_1420, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1422 = bits(io.ins, 8, 8) @[dec_dec_ctl.scala 15:53] + node _T_1423 = eq(_T_1422, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1424 = bits(io.ins, 7, 7) @[dec_dec_ctl.scala 15:53] + node _T_1425 = eq(_T_1424, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1426 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:34] + node _T_1427 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_1428 = bits(io.ins, 3, 3) @[dec_dec_ctl.scala 15:53] + node _T_1429 = eq(_T_1428, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1430 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_1431 = eq(_T_1430, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1432 = bits(io.ins, 1, 1) @[dec_dec_ctl.scala 15:34] + node _T_1433 = bits(io.ins, 0, 0) @[dec_dec_ctl.scala 15:34] + node _T_1434 = and(_T_1383, _T_1385) @[dec_dec_ctl.scala 17:17] + node _T_1435 = and(_T_1434, _T_1387) @[dec_dec_ctl.scala 17:17] + node _T_1436 = and(_T_1435, _T_1389) @[dec_dec_ctl.scala 17:17] + node _T_1437 = and(_T_1436, _T_1391) @[dec_dec_ctl.scala 17:17] + node _T_1438 = and(_T_1437, _T_1393) @[dec_dec_ctl.scala 17:17] + node _T_1439 = and(_T_1438, _T_1395) @[dec_dec_ctl.scala 17:17] + node _T_1440 = and(_T_1439, _T_1397) @[dec_dec_ctl.scala 17:17] + node _T_1441 = and(_T_1440, _T_1399) @[dec_dec_ctl.scala 17:17] + node _T_1442 = and(_T_1441, _T_1401) @[dec_dec_ctl.scala 17:17] + node _T_1443 = and(_T_1442, _T_1403) @[dec_dec_ctl.scala 17:17] + node _T_1444 = and(_T_1443, _T_1405) @[dec_dec_ctl.scala 17:17] + node _T_1445 = and(_T_1444, _T_1407) @[dec_dec_ctl.scala 17:17] + node _T_1446 = and(_T_1445, _T_1409) @[dec_dec_ctl.scala 17:17] + node _T_1447 = and(_T_1446, _T_1411) @[dec_dec_ctl.scala 17:17] + node _T_1448 = and(_T_1447, _T_1413) @[dec_dec_ctl.scala 17:17] + node _T_1449 = and(_T_1448, _T_1415) @[dec_dec_ctl.scala 17:17] + node _T_1450 = and(_T_1449, _T_1417) @[dec_dec_ctl.scala 17:17] + node _T_1451 = and(_T_1450, _T_1419) @[dec_dec_ctl.scala 17:17] + node _T_1452 = and(_T_1451, _T_1421) @[dec_dec_ctl.scala 17:17] + node _T_1453 = and(_T_1452, _T_1423) @[dec_dec_ctl.scala 17:17] + node _T_1454 = and(_T_1453, _T_1425) @[dec_dec_ctl.scala 17:17] + node _T_1455 = and(_T_1454, _T_1426) @[dec_dec_ctl.scala 17:17] + node _T_1456 = and(_T_1455, _T_1427) @[dec_dec_ctl.scala 17:17] + node _T_1457 = and(_T_1456, _T_1429) @[dec_dec_ctl.scala 17:17] + node _T_1458 = and(_T_1457, _T_1431) @[dec_dec_ctl.scala 17:17] + node _T_1459 = and(_T_1458, _T_1432) @[dec_dec_ctl.scala 17:17] + node _T_1460 = and(_T_1459, _T_1433) @[dec_dec_ctl.scala 17:17] + node _T_1461 = or(_T_1381, _T_1460) @[dec_dec_ctl.scala 102:122] + node _T_1462 = bits(io.ins, 31, 31) @[dec_dec_ctl.scala 15:53] + node _T_1463 = eq(_T_1462, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1464 = bits(io.ins, 30, 30) @[dec_dec_ctl.scala 15:53] + node _T_1465 = eq(_T_1464, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1466 = bits(io.ins, 29, 29) @[dec_dec_ctl.scala 15:53] + node _T_1467 = eq(_T_1466, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1468 = bits(io.ins, 28, 28) @[dec_dec_ctl.scala 15:53] + node _T_1469 = eq(_T_1468, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1470 = bits(io.ins, 27, 27) @[dec_dec_ctl.scala 15:53] + node _T_1471 = eq(_T_1470, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1472 = bits(io.ins, 26, 26) @[dec_dec_ctl.scala 15:53] + node _T_1473 = eq(_T_1472, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1474 = bits(io.ins, 25, 25) @[dec_dec_ctl.scala 15:53] + node _T_1475 = eq(_T_1474, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1476 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:53] + node _T_1477 = eq(_T_1476, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1478 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_1479 = bits(io.ins, 3, 3) @[dec_dec_ctl.scala 15:53] + node _T_1480 = eq(_T_1479, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1481 = bits(io.ins, 1, 1) @[dec_dec_ctl.scala 15:34] + node _T_1482 = bits(io.ins, 0, 0) @[dec_dec_ctl.scala 15:34] + node _T_1483 = and(_T_1463, _T_1465) @[dec_dec_ctl.scala 17:17] + node _T_1484 = and(_T_1483, _T_1467) @[dec_dec_ctl.scala 17:17] + node _T_1485 = and(_T_1484, _T_1469) @[dec_dec_ctl.scala 17:17] + node _T_1486 = and(_T_1485, _T_1471) @[dec_dec_ctl.scala 17:17] + node _T_1487 = and(_T_1486, _T_1473) @[dec_dec_ctl.scala 17:17] + node _T_1488 = and(_T_1487, _T_1475) @[dec_dec_ctl.scala 17:17] + node _T_1489 = and(_T_1488, _T_1477) @[dec_dec_ctl.scala 17:17] + node _T_1490 = and(_T_1489, _T_1478) @[dec_dec_ctl.scala 17:17] + node _T_1491 = and(_T_1490, _T_1480) @[dec_dec_ctl.scala 17:17] + node _T_1492 = and(_T_1491, _T_1481) @[dec_dec_ctl.scala 17:17] + node _T_1493 = and(_T_1492, _T_1482) @[dec_dec_ctl.scala 17:17] + node _T_1494 = or(_T_1461, _T_1493) @[dec_dec_ctl.scala 103:119] + node _T_1495 = bits(io.ins, 31, 31) @[dec_dec_ctl.scala 15:53] + node _T_1496 = eq(_T_1495, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1497 = bits(io.ins, 29, 29) @[dec_dec_ctl.scala 15:53] + node _T_1498 = eq(_T_1497, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1499 = bits(io.ins, 28, 28) @[dec_dec_ctl.scala 15:53] + node _T_1500 = eq(_T_1499, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1501 = bits(io.ins, 27, 27) @[dec_dec_ctl.scala 15:53] + node _T_1502 = eq(_T_1501, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1503 = bits(io.ins, 26, 26) @[dec_dec_ctl.scala 15:53] + node _T_1504 = eq(_T_1503, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1505 = bits(io.ins, 25, 25) @[dec_dec_ctl.scala 15:53] + node _T_1506 = eq(_T_1505, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1507 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:53] + node _T_1508 = eq(_T_1507, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1509 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_1510 = eq(_T_1509, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1511 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:53] + node _T_1512 = eq(_T_1511, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1513 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:53] + node _T_1514 = eq(_T_1513, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1515 = bits(io.ins, 3, 3) @[dec_dec_ctl.scala 15:53] + node _T_1516 = eq(_T_1515, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1517 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_1518 = eq(_T_1517, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1519 = bits(io.ins, 1, 1) @[dec_dec_ctl.scala 15:34] + node _T_1520 = bits(io.ins, 0, 0) @[dec_dec_ctl.scala 15:34] + node _T_1521 = and(_T_1496, _T_1498) @[dec_dec_ctl.scala 17:17] + node _T_1522 = and(_T_1521, _T_1500) @[dec_dec_ctl.scala 17:17] + node _T_1523 = and(_T_1522, _T_1502) @[dec_dec_ctl.scala 17:17] + node _T_1524 = and(_T_1523, _T_1504) @[dec_dec_ctl.scala 17:17] + node _T_1525 = and(_T_1524, _T_1506) @[dec_dec_ctl.scala 17:17] + node _T_1526 = and(_T_1525, _T_1508) @[dec_dec_ctl.scala 17:17] + node _T_1527 = and(_T_1526, _T_1510) @[dec_dec_ctl.scala 17:17] + node _T_1528 = and(_T_1527, _T_1512) @[dec_dec_ctl.scala 17:17] + node _T_1529 = and(_T_1528, _T_1514) @[dec_dec_ctl.scala 17:17] + node _T_1530 = and(_T_1529, _T_1516) @[dec_dec_ctl.scala 17:17] + node _T_1531 = and(_T_1530, _T_1518) @[dec_dec_ctl.scala 17:17] + node _T_1532 = and(_T_1531, _T_1519) @[dec_dec_ctl.scala 17:17] + node _T_1533 = and(_T_1532, _T_1520) @[dec_dec_ctl.scala 17:17] + node _T_1534 = or(_T_1494, _T_1533) @[dec_dec_ctl.scala 104:60] + node _T_1535 = bits(io.ins, 31, 31) @[dec_dec_ctl.scala 15:53] + node _T_1536 = eq(_T_1535, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1537 = bits(io.ins, 29, 29) @[dec_dec_ctl.scala 15:53] + node _T_1538 = eq(_T_1537, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1539 = bits(io.ins, 28, 28) @[dec_dec_ctl.scala 15:53] + node _T_1540 = eq(_T_1539, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1541 = bits(io.ins, 27, 27) @[dec_dec_ctl.scala 15:53] + node _T_1542 = eq(_T_1541, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1543 = bits(io.ins, 26, 26) @[dec_dec_ctl.scala 15:53] + node _T_1544 = eq(_T_1543, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1545 = bits(io.ins, 25, 25) @[dec_dec_ctl.scala 15:53] + node _T_1546 = eq(_T_1545, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1547 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:34] + node _T_1548 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_1549 = eq(_T_1548, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1550 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:34] + node _T_1551 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:53] + node _T_1552 = eq(_T_1551, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1553 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_1554 = bits(io.ins, 3, 3) @[dec_dec_ctl.scala 15:53] + node _T_1555 = eq(_T_1554, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1556 = bits(io.ins, 1, 1) @[dec_dec_ctl.scala 15:34] + node _T_1557 = bits(io.ins, 0, 0) @[dec_dec_ctl.scala 15:34] + node _T_1558 = and(_T_1536, _T_1538) @[dec_dec_ctl.scala 17:17] + node _T_1559 = and(_T_1558, _T_1540) @[dec_dec_ctl.scala 17:17] + node _T_1560 = and(_T_1559, _T_1542) @[dec_dec_ctl.scala 17:17] + node _T_1561 = and(_T_1560, _T_1544) @[dec_dec_ctl.scala 17:17] + node _T_1562 = and(_T_1561, _T_1546) @[dec_dec_ctl.scala 17:17] + node _T_1563 = and(_T_1562, _T_1547) @[dec_dec_ctl.scala 17:17] + node _T_1564 = and(_T_1563, _T_1549) @[dec_dec_ctl.scala 17:17] + node _T_1565 = and(_T_1564, _T_1550) @[dec_dec_ctl.scala 17:17] + node _T_1566 = and(_T_1565, _T_1552) @[dec_dec_ctl.scala 17:17] + node _T_1567 = and(_T_1566, _T_1553) @[dec_dec_ctl.scala 17:17] + node _T_1568 = and(_T_1567, _T_1555) @[dec_dec_ctl.scala 17:17] + node _T_1569 = and(_T_1568, _T_1556) @[dec_dec_ctl.scala 17:17] + node _T_1570 = and(_T_1569, _T_1557) @[dec_dec_ctl.scala 17:17] + node _T_1571 = or(_T_1534, _T_1570) @[dec_dec_ctl.scala 105:69] + node _T_1572 = bits(io.ins, 31, 31) @[dec_dec_ctl.scala 15:53] + node _T_1573 = eq(_T_1572, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1574 = bits(io.ins, 30, 30) @[dec_dec_ctl.scala 15:53] + node _T_1575 = eq(_T_1574, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1576 = bits(io.ins, 29, 29) @[dec_dec_ctl.scala 15:53] + node _T_1577 = eq(_T_1576, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1578 = bits(io.ins, 28, 28) @[dec_dec_ctl.scala 15:53] + node _T_1579 = eq(_T_1578, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1580 = bits(io.ins, 27, 27) @[dec_dec_ctl.scala 15:53] + node _T_1581 = eq(_T_1580, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1582 = bits(io.ins, 26, 26) @[dec_dec_ctl.scala 15:53] + node _T_1583 = eq(_T_1582, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1584 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:53] + node _T_1585 = eq(_T_1584, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1586 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:34] + node _T_1587 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_1588 = bits(io.ins, 3, 3) @[dec_dec_ctl.scala 15:53] + node _T_1589 = eq(_T_1588, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1590 = bits(io.ins, 1, 1) @[dec_dec_ctl.scala 15:34] + node _T_1591 = bits(io.ins, 0, 0) @[dec_dec_ctl.scala 15:34] + node _T_1592 = and(_T_1573, _T_1575) @[dec_dec_ctl.scala 17:17] + node _T_1593 = and(_T_1592, _T_1577) @[dec_dec_ctl.scala 17:17] + node _T_1594 = and(_T_1593, _T_1579) @[dec_dec_ctl.scala 17:17] + node _T_1595 = and(_T_1594, _T_1581) @[dec_dec_ctl.scala 17:17] + node _T_1596 = and(_T_1595, _T_1583) @[dec_dec_ctl.scala 17:17] + node _T_1597 = and(_T_1596, _T_1585) @[dec_dec_ctl.scala 17:17] + node _T_1598 = and(_T_1597, _T_1586) @[dec_dec_ctl.scala 17:17] + node _T_1599 = and(_T_1598, _T_1587) @[dec_dec_ctl.scala 17:17] + node _T_1600 = and(_T_1599, _T_1589) @[dec_dec_ctl.scala 17:17] + node _T_1601 = and(_T_1600, _T_1590) @[dec_dec_ctl.scala 17:17] + node _T_1602 = and(_T_1601, _T_1591) @[dec_dec_ctl.scala 17:17] + node _T_1603 = or(_T_1571, _T_1602) @[dec_dec_ctl.scala 106:66] + node _T_1604 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:53] + node _T_1605 = eq(_T_1604, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1606 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_1607 = eq(_T_1606, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1608 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:53] + node _T_1609 = eq(_T_1608, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1610 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_1611 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:34] + node _T_1612 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:53] + node _T_1613 = eq(_T_1612, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1614 = bits(io.ins, 3, 3) @[dec_dec_ctl.scala 15:53] + node _T_1615 = eq(_T_1614, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1616 = bits(io.ins, 1, 1) @[dec_dec_ctl.scala 15:34] + node _T_1617 = bits(io.ins, 0, 0) @[dec_dec_ctl.scala 15:34] + node _T_1618 = and(_T_1605, _T_1607) @[dec_dec_ctl.scala 17:17] + node _T_1619 = and(_T_1618, _T_1609) @[dec_dec_ctl.scala 17:17] + node _T_1620 = and(_T_1619, _T_1610) @[dec_dec_ctl.scala 17:17] + node _T_1621 = and(_T_1620, _T_1611) @[dec_dec_ctl.scala 17:17] + node _T_1622 = and(_T_1621, _T_1613) @[dec_dec_ctl.scala 17:17] + node _T_1623 = and(_T_1622, _T_1615) @[dec_dec_ctl.scala 17:17] + node _T_1624 = and(_T_1623, _T_1616) @[dec_dec_ctl.scala 17:17] + node _T_1625 = and(_T_1624, _T_1617) @[dec_dec_ctl.scala 17:17] + node _T_1626 = or(_T_1603, _T_1625) @[dec_dec_ctl.scala 107:58] + node _T_1627 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:34] + node _T_1628 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_1629 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:34] + node _T_1630 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:53] + node _T_1631 = eq(_T_1630, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1632 = bits(io.ins, 3, 3) @[dec_dec_ctl.scala 15:53] + node _T_1633 = eq(_T_1632, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1634 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_1635 = eq(_T_1634, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1636 = bits(io.ins, 1, 1) @[dec_dec_ctl.scala 15:34] + node _T_1637 = bits(io.ins, 0, 0) @[dec_dec_ctl.scala 15:34] + node _T_1638 = and(_T_1627, _T_1628) @[dec_dec_ctl.scala 17:17] + node _T_1639 = and(_T_1638, _T_1629) @[dec_dec_ctl.scala 17:17] + node _T_1640 = and(_T_1639, _T_1631) @[dec_dec_ctl.scala 17:17] + node _T_1641 = and(_T_1640, _T_1633) @[dec_dec_ctl.scala 17:17] + node _T_1642 = and(_T_1641, _T_1635) @[dec_dec_ctl.scala 17:17] + node _T_1643 = and(_T_1642, _T_1636) @[dec_dec_ctl.scala 17:17] + node _T_1644 = and(_T_1643, _T_1637) @[dec_dec_ctl.scala 17:17] + node _T_1645 = or(_T_1626, _T_1644) @[dec_dec_ctl.scala 108:46] + node _T_1646 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:53] + node _T_1647 = eq(_T_1646, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1648 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:53] + node _T_1649 = eq(_T_1648, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1650 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:53] + node _T_1651 = eq(_T_1650, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1652 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_1653 = bits(io.ins, 3, 3) @[dec_dec_ctl.scala 15:53] + node _T_1654 = eq(_T_1653, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1655 = bits(io.ins, 1, 1) @[dec_dec_ctl.scala 15:34] + node _T_1656 = bits(io.ins, 0, 0) @[dec_dec_ctl.scala 15:34] + node _T_1657 = and(_T_1647, _T_1649) @[dec_dec_ctl.scala 17:17] + node _T_1658 = and(_T_1657, _T_1651) @[dec_dec_ctl.scala 17:17] + node _T_1659 = and(_T_1658, _T_1652) @[dec_dec_ctl.scala 17:17] + node _T_1660 = and(_T_1659, _T_1654) @[dec_dec_ctl.scala 17:17] + node _T_1661 = and(_T_1660, _T_1655) @[dec_dec_ctl.scala 17:17] + node _T_1662 = and(_T_1661, _T_1656) @[dec_dec_ctl.scala 17:17] + node _T_1663 = or(_T_1645, _T_1662) @[dec_dec_ctl.scala 109:40] + node _T_1664 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:53] + node _T_1665 = eq(_T_1664, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1666 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_1667 = eq(_T_1666, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1668 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:34] + node _T_1669 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:53] + node _T_1670 = eq(_T_1669, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1671 = bits(io.ins, 3, 3) @[dec_dec_ctl.scala 15:53] + node _T_1672 = eq(_T_1671, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1673 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_1674 = eq(_T_1673, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1675 = bits(io.ins, 1, 1) @[dec_dec_ctl.scala 15:34] + node _T_1676 = bits(io.ins, 0, 0) @[dec_dec_ctl.scala 15:34] + node _T_1677 = and(_T_1665, _T_1667) @[dec_dec_ctl.scala 17:17] + node _T_1678 = and(_T_1677, _T_1668) @[dec_dec_ctl.scala 17:17] + node _T_1679 = and(_T_1678, _T_1670) @[dec_dec_ctl.scala 17:17] + node _T_1680 = and(_T_1679, _T_1672) @[dec_dec_ctl.scala 17:17] + node _T_1681 = and(_T_1680, _T_1674) @[dec_dec_ctl.scala 17:17] + node _T_1682 = and(_T_1681, _T_1675) @[dec_dec_ctl.scala 17:17] + node _T_1683 = and(_T_1682, _T_1676) @[dec_dec_ctl.scala 17:17] + node _T_1684 = or(_T_1663, _T_1683) @[dec_dec_ctl.scala 110:39] + node _T_1685 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:34] + node _T_1686 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_1687 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:34] + node _T_1688 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_1689 = bits(io.ins, 3, 3) @[dec_dec_ctl.scala 15:53] + node _T_1690 = eq(_T_1689, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1691 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_1692 = eq(_T_1691, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1693 = bits(io.ins, 1, 1) @[dec_dec_ctl.scala 15:34] + node _T_1694 = bits(io.ins, 0, 0) @[dec_dec_ctl.scala 15:34] + node _T_1695 = and(_T_1685, _T_1686) @[dec_dec_ctl.scala 17:17] + node _T_1696 = and(_T_1695, _T_1687) @[dec_dec_ctl.scala 17:17] + node _T_1697 = and(_T_1696, _T_1688) @[dec_dec_ctl.scala 17:17] + node _T_1698 = and(_T_1697, _T_1690) @[dec_dec_ctl.scala 17:17] + node _T_1699 = and(_T_1698, _T_1692) @[dec_dec_ctl.scala 17:17] + node _T_1700 = and(_T_1699, _T_1693) @[dec_dec_ctl.scala 17:17] + node _T_1701 = and(_T_1700, _T_1694) @[dec_dec_ctl.scala 17:17] + node _T_1702 = or(_T_1684, _T_1701) @[dec_dec_ctl.scala 111:43] + node _T_1703 = bits(io.ins, 31, 31) @[dec_dec_ctl.scala 15:53] + node _T_1704 = eq(_T_1703, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1705 = bits(io.ins, 30, 30) @[dec_dec_ctl.scala 15:53] + node _T_1706 = eq(_T_1705, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1707 = bits(io.ins, 29, 29) @[dec_dec_ctl.scala 15:53] + node _T_1708 = eq(_T_1707, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1709 = bits(io.ins, 28, 28) @[dec_dec_ctl.scala 15:53] + node _T_1710 = eq(_T_1709, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1711 = bits(io.ins, 27, 27) @[dec_dec_ctl.scala 15:53] + node _T_1712 = eq(_T_1711, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1713 = bits(io.ins, 26, 26) @[dec_dec_ctl.scala 15:53] + node _T_1714 = eq(_T_1713, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1715 = bits(io.ins, 25, 25) @[dec_dec_ctl.scala 15:53] + node _T_1716 = eq(_T_1715, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1717 = bits(io.ins, 24, 24) @[dec_dec_ctl.scala 15:53] + node _T_1718 = eq(_T_1717, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1719 = bits(io.ins, 23, 23) @[dec_dec_ctl.scala 15:53] + node _T_1720 = eq(_T_1719, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1721 = bits(io.ins, 22, 22) @[dec_dec_ctl.scala 15:53] + node _T_1722 = eq(_T_1721, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1723 = bits(io.ins, 21, 21) @[dec_dec_ctl.scala 15:53] + node _T_1724 = eq(_T_1723, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1725 = bits(io.ins, 20, 20) @[dec_dec_ctl.scala 15:53] + node _T_1726 = eq(_T_1725, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1727 = bits(io.ins, 19, 19) @[dec_dec_ctl.scala 15:53] + node _T_1728 = eq(_T_1727, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1729 = bits(io.ins, 18, 18) @[dec_dec_ctl.scala 15:53] + node _T_1730 = eq(_T_1729, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1731 = bits(io.ins, 17, 17) @[dec_dec_ctl.scala 15:53] + node _T_1732 = eq(_T_1731, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1733 = bits(io.ins, 16, 16) @[dec_dec_ctl.scala 15:53] + node _T_1734 = eq(_T_1733, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1735 = bits(io.ins, 15, 15) @[dec_dec_ctl.scala 15:53] + node _T_1736 = eq(_T_1735, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1737 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:53] + node _T_1738 = eq(_T_1737, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1739 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_1740 = eq(_T_1739, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1741 = bits(io.ins, 11, 11) @[dec_dec_ctl.scala 15:53] + node _T_1742 = eq(_T_1741, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1743 = bits(io.ins, 10, 10) @[dec_dec_ctl.scala 15:53] + node _T_1744 = eq(_T_1743, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1745 = bits(io.ins, 9, 9) @[dec_dec_ctl.scala 15:53] + node _T_1746 = eq(_T_1745, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1747 = bits(io.ins, 8, 8) @[dec_dec_ctl.scala 15:53] + node _T_1748 = eq(_T_1747, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1749 = bits(io.ins, 7, 7) @[dec_dec_ctl.scala 15:53] + node _T_1750 = eq(_T_1749, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1751 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:53] + node _T_1752 = eq(_T_1751, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1753 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:53] + node _T_1754 = eq(_T_1753, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1755 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:53] + node _T_1756 = eq(_T_1755, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1757 = bits(io.ins, 3, 3) @[dec_dec_ctl.scala 15:34] + node _T_1758 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:34] + node _T_1759 = bits(io.ins, 1, 1) @[dec_dec_ctl.scala 15:34] + node _T_1760 = bits(io.ins, 0, 0) @[dec_dec_ctl.scala 15:34] + node _T_1761 = and(_T_1704, _T_1706) @[dec_dec_ctl.scala 17:17] + node _T_1762 = and(_T_1761, _T_1708) @[dec_dec_ctl.scala 17:17] + node _T_1763 = and(_T_1762, _T_1710) @[dec_dec_ctl.scala 17:17] + node _T_1764 = and(_T_1763, _T_1712) @[dec_dec_ctl.scala 17:17] + node _T_1765 = and(_T_1764, _T_1714) @[dec_dec_ctl.scala 17:17] + node _T_1766 = and(_T_1765, _T_1716) @[dec_dec_ctl.scala 17:17] + node _T_1767 = and(_T_1766, _T_1718) @[dec_dec_ctl.scala 17:17] + node _T_1768 = and(_T_1767, _T_1720) @[dec_dec_ctl.scala 17:17] + node _T_1769 = and(_T_1768, _T_1722) @[dec_dec_ctl.scala 17:17] + node _T_1770 = and(_T_1769, _T_1724) @[dec_dec_ctl.scala 17:17] + node _T_1771 = and(_T_1770, _T_1726) @[dec_dec_ctl.scala 17:17] + node _T_1772 = and(_T_1771, _T_1728) @[dec_dec_ctl.scala 17:17] + node _T_1773 = and(_T_1772, _T_1730) @[dec_dec_ctl.scala 17:17] + node _T_1774 = and(_T_1773, _T_1732) @[dec_dec_ctl.scala 17:17] + node _T_1775 = and(_T_1774, _T_1734) @[dec_dec_ctl.scala 17:17] + node _T_1776 = and(_T_1775, _T_1736) @[dec_dec_ctl.scala 17:17] + node _T_1777 = and(_T_1776, _T_1738) @[dec_dec_ctl.scala 17:17] + node _T_1778 = and(_T_1777, _T_1740) @[dec_dec_ctl.scala 17:17] + node _T_1779 = and(_T_1778, _T_1742) @[dec_dec_ctl.scala 17:17] + node _T_1780 = and(_T_1779, _T_1744) @[dec_dec_ctl.scala 17:17] + node _T_1781 = and(_T_1780, _T_1746) @[dec_dec_ctl.scala 17:17] + node _T_1782 = and(_T_1781, _T_1748) @[dec_dec_ctl.scala 17:17] + node _T_1783 = and(_T_1782, _T_1750) @[dec_dec_ctl.scala 17:17] + node _T_1784 = and(_T_1783, _T_1752) @[dec_dec_ctl.scala 17:17] + node _T_1785 = and(_T_1784, _T_1754) @[dec_dec_ctl.scala 17:17] + node _T_1786 = and(_T_1785, _T_1756) @[dec_dec_ctl.scala 17:17] + node _T_1787 = and(_T_1786, _T_1757) @[dec_dec_ctl.scala 17:17] + node _T_1788 = and(_T_1787, _T_1758) @[dec_dec_ctl.scala 17:17] + node _T_1789 = and(_T_1788, _T_1759) @[dec_dec_ctl.scala 17:17] + node _T_1790 = and(_T_1789, _T_1760) @[dec_dec_ctl.scala 17:17] + node _T_1791 = or(_T_1702, _T_1790) @[dec_dec_ctl.scala 112:39] + node _T_1792 = bits(io.ins, 31, 31) @[dec_dec_ctl.scala 15:53] + node _T_1793 = eq(_T_1792, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1794 = bits(io.ins, 30, 30) @[dec_dec_ctl.scala 15:53] + node _T_1795 = eq(_T_1794, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1796 = bits(io.ins, 29, 29) @[dec_dec_ctl.scala 15:53] + node _T_1797 = eq(_T_1796, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1798 = bits(io.ins, 28, 28) @[dec_dec_ctl.scala 15:53] + node _T_1799 = eq(_T_1798, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1800 = bits(io.ins, 19, 19) @[dec_dec_ctl.scala 15:53] + node _T_1801 = eq(_T_1800, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1802 = bits(io.ins, 18, 18) @[dec_dec_ctl.scala 15:53] + node _T_1803 = eq(_T_1802, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1804 = bits(io.ins, 17, 17) @[dec_dec_ctl.scala 15:53] + node _T_1805 = eq(_T_1804, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1806 = bits(io.ins, 16, 16) @[dec_dec_ctl.scala 15:53] + node _T_1807 = eq(_T_1806, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1808 = bits(io.ins, 15, 15) @[dec_dec_ctl.scala 15:53] + node _T_1809 = eq(_T_1808, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1810 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:53] + node _T_1811 = eq(_T_1810, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1812 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_1813 = eq(_T_1812, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1814 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:53] + node _T_1815 = eq(_T_1814, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1816 = bits(io.ins, 11, 11) @[dec_dec_ctl.scala 15:53] + node _T_1817 = eq(_T_1816, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1818 = bits(io.ins, 10, 10) @[dec_dec_ctl.scala 15:53] + node _T_1819 = eq(_T_1818, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1820 = bits(io.ins, 9, 9) @[dec_dec_ctl.scala 15:53] + node _T_1821 = eq(_T_1820, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1822 = bits(io.ins, 8, 8) @[dec_dec_ctl.scala 15:53] + node _T_1823 = eq(_T_1822, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1824 = bits(io.ins, 7, 7) @[dec_dec_ctl.scala 15:53] + node _T_1825 = eq(_T_1824, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1826 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:53] + node _T_1827 = eq(_T_1826, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1828 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:53] + node _T_1829 = eq(_T_1828, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1830 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:53] + node _T_1831 = eq(_T_1830, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1832 = bits(io.ins, 3, 3) @[dec_dec_ctl.scala 15:34] + node _T_1833 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:34] + node _T_1834 = bits(io.ins, 1, 1) @[dec_dec_ctl.scala 15:34] + node _T_1835 = bits(io.ins, 0, 0) @[dec_dec_ctl.scala 15:34] + node _T_1836 = and(_T_1793, _T_1795) @[dec_dec_ctl.scala 17:17] + node _T_1837 = and(_T_1836, _T_1797) @[dec_dec_ctl.scala 17:17] + node _T_1838 = and(_T_1837, _T_1799) @[dec_dec_ctl.scala 17:17] + node _T_1839 = and(_T_1838, _T_1801) @[dec_dec_ctl.scala 17:17] + node _T_1840 = and(_T_1839, _T_1803) @[dec_dec_ctl.scala 17:17] + node _T_1841 = and(_T_1840, _T_1805) @[dec_dec_ctl.scala 17:17] + node _T_1842 = and(_T_1841, _T_1807) @[dec_dec_ctl.scala 17:17] + node _T_1843 = and(_T_1842, _T_1809) @[dec_dec_ctl.scala 17:17] + node _T_1844 = and(_T_1843, _T_1811) @[dec_dec_ctl.scala 17:17] + node _T_1845 = and(_T_1844, _T_1813) @[dec_dec_ctl.scala 17:17] + node _T_1846 = and(_T_1845, _T_1815) @[dec_dec_ctl.scala 17:17] + node _T_1847 = and(_T_1846, _T_1817) @[dec_dec_ctl.scala 17:17] + node _T_1848 = and(_T_1847, _T_1819) @[dec_dec_ctl.scala 17:17] + node _T_1849 = and(_T_1848, _T_1821) @[dec_dec_ctl.scala 17:17] + node _T_1850 = and(_T_1849, _T_1823) @[dec_dec_ctl.scala 17:17] + node _T_1851 = and(_T_1850, _T_1825) @[dec_dec_ctl.scala 17:17] + node _T_1852 = and(_T_1851, _T_1827) @[dec_dec_ctl.scala 17:17] + node _T_1853 = and(_T_1852, _T_1829) @[dec_dec_ctl.scala 17:17] + node _T_1854 = and(_T_1853, _T_1831) @[dec_dec_ctl.scala 17:17] + node _T_1855 = and(_T_1854, _T_1832) @[dec_dec_ctl.scala 17:17] + node _T_1856 = and(_T_1855, _T_1833) @[dec_dec_ctl.scala 17:17] + node _T_1857 = and(_T_1856, _T_1834) @[dec_dec_ctl.scala 17:17] + node _T_1858 = and(_T_1857, _T_1835) @[dec_dec_ctl.scala 17:17] + node _T_1859 = or(_T_1791, _T_1858) @[dec_dec_ctl.scala 113:130] + node _T_1860 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:34] + node _T_1861 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_1862 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:34] + node _T_1863 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_1864 = bits(io.ins, 3, 3) @[dec_dec_ctl.scala 15:53] + node _T_1865 = eq(_T_1864, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1866 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_1867 = eq(_T_1866, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1868 = bits(io.ins, 1, 1) @[dec_dec_ctl.scala 15:34] + node _T_1869 = bits(io.ins, 0, 0) @[dec_dec_ctl.scala 15:34] + node _T_1870 = and(_T_1860, _T_1861) @[dec_dec_ctl.scala 17:17] + node _T_1871 = and(_T_1870, _T_1862) @[dec_dec_ctl.scala 17:17] + node _T_1872 = and(_T_1871, _T_1863) @[dec_dec_ctl.scala 17:17] + node _T_1873 = and(_T_1872, _T_1865) @[dec_dec_ctl.scala 17:17] + node _T_1874 = and(_T_1873, _T_1867) @[dec_dec_ctl.scala 17:17] + node _T_1875 = and(_T_1874, _T_1868) @[dec_dec_ctl.scala 17:17] + node _T_1876 = and(_T_1875, _T_1869) @[dec_dec_ctl.scala 17:17] + node _T_1877 = or(_T_1859, _T_1876) @[dec_dec_ctl.scala 114:102] + node _T_1878 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:53] + node _T_1879 = eq(_T_1878, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1880 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:53] + node _T_1881 = eq(_T_1880, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1882 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:53] + node _T_1883 = eq(_T_1882, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1884 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:53] + node _T_1885 = eq(_T_1884, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1886 = bits(io.ins, 3, 3) @[dec_dec_ctl.scala 15:53] + node _T_1887 = eq(_T_1886, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1888 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_1889 = eq(_T_1888, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1890 = bits(io.ins, 1, 1) @[dec_dec_ctl.scala 15:34] + node _T_1891 = bits(io.ins, 0, 0) @[dec_dec_ctl.scala 15:34] + node _T_1892 = and(_T_1879, _T_1881) @[dec_dec_ctl.scala 17:17] + node _T_1893 = and(_T_1892, _T_1883) @[dec_dec_ctl.scala 17:17] + node _T_1894 = and(_T_1893, _T_1885) @[dec_dec_ctl.scala 17:17] + node _T_1895 = and(_T_1894, _T_1887) @[dec_dec_ctl.scala 17:17] + node _T_1896 = and(_T_1895, _T_1889) @[dec_dec_ctl.scala 17:17] + node _T_1897 = and(_T_1896, _T_1890) @[dec_dec_ctl.scala 17:17] + node _T_1898 = and(_T_1897, _T_1891) @[dec_dec_ctl.scala 17:17] + node _T_1899 = or(_T_1877, _T_1898) @[dec_dec_ctl.scala 115:39] + node _T_1900 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:34] + node _T_1901 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:34] + node _T_1902 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:53] + node _T_1903 = eq(_T_1902, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1904 = bits(io.ins, 3, 3) @[dec_dec_ctl.scala 15:34] + node _T_1905 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:34] + node _T_1906 = bits(io.ins, 1, 1) @[dec_dec_ctl.scala 15:34] + node _T_1907 = bits(io.ins, 0, 0) @[dec_dec_ctl.scala 15:34] + node _T_1908 = and(_T_1900, _T_1901) @[dec_dec_ctl.scala 17:17] + node _T_1909 = and(_T_1908, _T_1903) @[dec_dec_ctl.scala 17:17] + node _T_1910 = and(_T_1909, _T_1904) @[dec_dec_ctl.scala 17:17] + node _T_1911 = and(_T_1910, _T_1905) @[dec_dec_ctl.scala 17:17] + node _T_1912 = and(_T_1911, _T_1906) @[dec_dec_ctl.scala 17:17] + node _T_1913 = and(_T_1912, _T_1907) @[dec_dec_ctl.scala 17:17] + node _T_1914 = or(_T_1899, _T_1913) @[dec_dec_ctl.scala 116:43] + node _T_1915 = bits(io.ins, 13, 13) @[dec_dec_ctl.scala 15:34] + node _T_1916 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:53] + node _T_1917 = eq(_T_1916, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1918 = bits(io.ins, 5, 5) @[dec_dec_ctl.scala 15:53] + node _T_1919 = eq(_T_1918, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1920 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_1921 = bits(io.ins, 3, 3) @[dec_dec_ctl.scala 15:53] + node _T_1922 = eq(_T_1921, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1923 = bits(io.ins, 1, 1) @[dec_dec_ctl.scala 15:34] + node _T_1924 = bits(io.ins, 0, 0) @[dec_dec_ctl.scala 15:34] + node _T_1925 = and(_T_1915, _T_1917) @[dec_dec_ctl.scala 17:17] + node _T_1926 = and(_T_1925, _T_1919) @[dec_dec_ctl.scala 17:17] + node _T_1927 = and(_T_1926, _T_1920) @[dec_dec_ctl.scala 17:17] + node _T_1928 = and(_T_1927, _T_1922) @[dec_dec_ctl.scala 17:17] + node _T_1929 = and(_T_1928, _T_1923) @[dec_dec_ctl.scala 17:17] + node _T_1930 = and(_T_1929, _T_1924) @[dec_dec_ctl.scala 17:17] + node _T_1931 = or(_T_1914, _T_1930) @[dec_dec_ctl.scala 117:35] + node _T_1932 = bits(io.ins, 14, 14) @[dec_dec_ctl.scala 15:53] + node _T_1933 = eq(_T_1932, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1934 = bits(io.ins, 12, 12) @[dec_dec_ctl.scala 15:53] + node _T_1935 = eq(_T_1934, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1936 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:53] + node _T_1937 = eq(_T_1936, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1938 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:53] + node _T_1939 = eq(_T_1938, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1940 = bits(io.ins, 3, 3) @[dec_dec_ctl.scala 15:53] + node _T_1941 = eq(_T_1940, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1942 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:53] + node _T_1943 = eq(_T_1942, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1944 = bits(io.ins, 1, 1) @[dec_dec_ctl.scala 15:34] + node _T_1945 = bits(io.ins, 0, 0) @[dec_dec_ctl.scala 15:34] + node _T_1946 = and(_T_1933, _T_1935) @[dec_dec_ctl.scala 17:17] + node _T_1947 = and(_T_1946, _T_1937) @[dec_dec_ctl.scala 17:17] + node _T_1948 = and(_T_1947, _T_1939) @[dec_dec_ctl.scala 17:17] + node _T_1949 = and(_T_1948, _T_1941) @[dec_dec_ctl.scala 17:17] + node _T_1950 = and(_T_1949, _T_1943) @[dec_dec_ctl.scala 17:17] + node _T_1951 = and(_T_1950, _T_1944) @[dec_dec_ctl.scala 17:17] + node _T_1952 = and(_T_1951, _T_1945) @[dec_dec_ctl.scala 17:17] + node _T_1953 = or(_T_1931, _T_1952) @[dec_dec_ctl.scala 118:38] + node _T_1954 = bits(io.ins, 6, 6) @[dec_dec_ctl.scala 15:53] + node _T_1955 = eq(_T_1954, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1956 = bits(io.ins, 4, 4) @[dec_dec_ctl.scala 15:34] + node _T_1957 = bits(io.ins, 3, 3) @[dec_dec_ctl.scala 15:53] + node _T_1958 = eq(_T_1957, UInt<1>("h00")) @[dec_dec_ctl.scala 15:46] + node _T_1959 = bits(io.ins, 2, 2) @[dec_dec_ctl.scala 15:34] + node _T_1960 = bits(io.ins, 1, 1) @[dec_dec_ctl.scala 15:34] + node _T_1961 = bits(io.ins, 0, 0) @[dec_dec_ctl.scala 15:34] + node _T_1962 = and(_T_1955, _T_1956) @[dec_dec_ctl.scala 17:17] + node _T_1963 = and(_T_1962, _T_1958) @[dec_dec_ctl.scala 17:17] + node _T_1964 = and(_T_1963, _T_1959) @[dec_dec_ctl.scala 17:17] + node _T_1965 = and(_T_1964, _T_1960) @[dec_dec_ctl.scala 17:17] + node _T_1966 = and(_T_1965, _T_1961) @[dec_dec_ctl.scala 17:17] + node _T_1967 = or(_T_1953, _T_1966) @[dec_dec_ctl.scala 119:44] + io.out.legal <= _T_1967 @[dec_dec_ctl.scala 101:16] + + extmodule gated_latch_1 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_1 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_1 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_2 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_2 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_2 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_3 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_3 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_3 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_4 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_4 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_4 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_5 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_5 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_5 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_6 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_6 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_6 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_7 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_7 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_7 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_8 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_8 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_8 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_9 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_9 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_9 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_10 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_10 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_10 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_11 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_11 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_11 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_12 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_12 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_12 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_13 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_13 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_13 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_14 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_14 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_14 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_15 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_15 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_15 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_16 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_16 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_16 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_17 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_17 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_17 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_18 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_18 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_18 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_19 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_19 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_19 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module dec_decode_ctl : + input clock : Clock + input reset : AsyncReset + output io : {flip decode_exu : {flip dec_data_en : UInt<2>, flip dec_ctl_en : UInt<2>, flip i0_ap : {land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, srl : UInt<1>, sra : UInt<1>, beq : UInt<1>, bne : UInt<1>, blt : UInt<1>, bge : UInt<1>, add : UInt<1>, sub : UInt<1>, slt : UInt<1>, unsign : UInt<1>, jal : UInt<1>, predict_t : UInt<1>, predict_nt : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>}, flip dec_i0_predict_p_d : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<31>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}}, flip i0_predict_fghr_d : UInt<8>, flip i0_predict_index_d : UInt<8>, flip i0_predict_btag_d : UInt<5>, flip dec_i0_rs1_en_d : UInt<1>, flip dec_i0_rs2_en_d : UInt<1>, flip dec_i0_immed_d : UInt<32>, flip dec_i0_rs1_bypass_data_d : UInt<32>, flip dec_i0_rs2_bypass_data_d : UInt<32>, flip dec_i0_select_pc_d : UInt<1>, flip dec_i0_rs1_bypass_en_d : UInt<2>, flip dec_i0_rs2_bypass_en_d : UInt<2>, flip mul_p : {valid : UInt<1>, bits : {rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, bext : UInt<1>, bdep : UInt<1>, clmul : UInt<1>, clmulh : UInt<1>, clmulr : UInt<1>, grev : UInt<1>, shfl : UInt<1>, unshfl : UInt<1>, crc32_b : UInt<1>, crc32_h : UInt<1>, crc32_w : UInt<1>, crc32c_b : UInt<1>, crc32c_h : UInt<1>, crc32c_w : UInt<1>, bfp : UInt<1>}}, flip pred_correct_npc_x : UInt<31>, flip dec_extint_stall : UInt<1>, exu_i0_result_x : UInt<32>, exu_csr_rs1_x : UInt<32>}, flip dec_alu : {flip dec_i0_alu_decode_d : UInt<1>, flip dec_csr_ren_d : UInt<1>, flip dec_i0_br_immed_d : UInt<12>, exu_i0_pc_x : UInt<31>}, flip dec_div : {flip div_p : {valid : UInt<1>, bits : {unsign : UInt<1>, rem : UInt<1>}}, flip dec_div_cancel : UInt<1>}, flip dctl_busbuff : {lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>}, dctl_dma : {flip dma_dccm_stall_any : UInt<1>}, flip dec_aln : {flip dec_i0_decode_d : UInt<1>, ifu_i0_cinst : UInt<16>}, dbg_dctl : {flip dbg_cmd_wrdata : UInt<32>}, flip dec_tlu_flush_extint : UInt<1>, flip dec_tlu_force_halt : UInt<1>, dec_i0_inst_wb1 : UInt<32>, dec_i0_pc_wb1 : UInt<31>, flip dec_i0_trigger_match_d : UInt<4>, flip dec_tlu_wr_pause_r : UInt<1>, flip dec_tlu_pipelining_disable : UInt<1>, flip lsu_trigger_match_m : UInt<4>, flip lsu_pmu_misaligned_m : UInt<1>, flip dec_tlu_debug_stall : UInt<1>, flip dec_tlu_flush_leak_one_r : UInt<1>, flip dec_debug_fence_d : UInt<1>, flip dec_i0_icaf_d : UInt<1>, flip dec_i0_icaf_f1_d : UInt<1>, flip dec_i0_icaf_type_d : UInt<2>, flip dec_i0_dbecc_d : UInt<1>, flip dec_i0_brp : {valid : UInt<1>, bits : {toffset : UInt<12>, hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, bank : UInt<1>, prett : UInt<31>, way : UInt<1>, ret : UInt<1>}}, flip dec_i0_bp_index : UInt<8>, flip dec_i0_bp_fghr : UInt<8>, flip dec_i0_bp_btag : UInt<5>, flip dec_i0_pc_d : UInt<31>, flip lsu_idle_any : UInt<1>, flip lsu_load_stall_any : UInt<1>, flip lsu_store_stall_any : UInt<1>, flip exu_div_wren : UInt<1>, flip dec_tlu_i0_kill_writeb_wb : UInt<1>, flip dec_tlu_flush_lower_wb : UInt<1>, flip dec_tlu_i0_kill_writeb_r : UInt<1>, flip dec_tlu_flush_lower_r : UInt<1>, flip dec_tlu_flush_pause_r : UInt<1>, flip dec_tlu_presync_d : UInt<1>, flip dec_tlu_postsync_d : UInt<1>, flip dec_i0_pc4_d : UInt<1>, flip dec_csr_rddata_d : UInt<32>, flip dec_csr_legal_d : UInt<1>, flip lsu_result_m : UInt<32>, flip lsu_result_corr_r : UInt<32>, flip exu_flush_final : UInt<1>, flip dec_i0_instr_d : UInt<32>, flip dec_ib0_valid_d : UInt<1>, flip free_clk : Clock, flip active_clk : Clock, flip clk_override : UInt<1>, dec_i0_rs1_d : UInt<5>, dec_i0_rs2_d : UInt<5>, dec_i0_waddr_r : UInt<5>, dec_i0_wen_r : UInt<1>, dec_i0_wdata_r : UInt<32>, lsu_p : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, div_waddr_wb : UInt<5>, dec_lsu_valid_raw_d : UInt<1>, dec_lsu_offset_d : UInt<12>, dec_csr_wen_unq_d : UInt<1>, dec_csr_any_unq_d : UInt<1>, dec_csr_rdaddr_d : UInt<12>, dec_csr_wen_r : UInt<1>, dec_csr_wraddr_r : UInt<12>, dec_csr_wrdata_r : UInt<32>, dec_csr_stall_int_ff : UInt<1>, dec_tlu_i0_valid_r : UInt<1>, dec_tlu_packet_r : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>}, dec_tlu_i0_pc_r : UInt<31>, dec_illegal_inst : UInt<32>, dec_pmu_instr_decoded : UInt<1>, dec_pmu_decode_stall : UInt<1>, dec_pmu_presync_stall : UInt<1>, dec_pmu_postsync_stall : UInt<1>, dec_nonblock_load_wen : UInt<1>, dec_nonblock_load_waddr : UInt<5>, dec_pause_state : UInt<1>, dec_pause_state_cg : UInt<1>, dec_div_active : UInt<1>, flip scan_mode : UInt<1>} + + wire _T : {valid : UInt<1>, bits : {rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, bext : UInt<1>, bdep : UInt<1>, clmul : UInt<1>, clmulh : UInt<1>, clmulr : UInt<1>, grev : UInt<1>, shfl : UInt<1>, unshfl : UInt<1>, crc32_b : UInt<1>, crc32_h : UInt<1>, crc32_w : UInt<1>, crc32c_b : UInt<1>, crc32c_h : UInt<1>, crc32c_w : UInt<1>, bfp : UInt<1>}} @[dec_decode_ctl.scala 95:40] + _T.bits.bfp <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.crc32c_w <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.crc32c_h <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.crc32c_b <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.crc32_w <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.crc32_h <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.crc32_b <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.unshfl <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.shfl <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.grev <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.clmulr <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.clmulh <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.clmul <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.bdep <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.bext <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.low <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.rs2_sign <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.rs1_sign <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + io.decode_exu.mul_p.bits.bfp <= _T.bits.bfp @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.crc32c_w <= _T.bits.crc32c_w @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.crc32c_h <= _T.bits.crc32c_h @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.crc32c_b <= _T.bits.crc32c_b @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.crc32_w <= _T.bits.crc32_w @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.crc32_h <= _T.bits.crc32_h @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.crc32_b <= _T.bits.crc32_b @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.unshfl <= _T.bits.unshfl @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.shfl <= _T.bits.shfl @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.grev <= _T.bits.grev @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.clmulr <= _T.bits.clmulr @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.clmulh <= _T.bits.clmulh @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.clmul <= _T.bits.clmul @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.bdep <= _T.bits.bdep @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.bext <= _T.bits.bext @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.low <= _T.bits.low @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.rs2_sign <= _T.bits.rs2_sign @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.rs1_sign <= _T.bits.rs1_sign @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.valid <= _T.valid @[dec_decode_ctl.scala 95:25] + wire leak1_i1_stall_in : UInt<1> + leak1_i1_stall_in <= UInt<1>("h00") + wire leak1_i0_stall_in : UInt<1> + leak1_i0_stall_in <= UInt<1>("h00") + wire i0r : {rs1 : UInt<5>, rs2 : UInt<5>, rd : UInt<5>} @[dec_decode_ctl.scala 99:37] + wire d_t : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[dec_decode_ctl.scala 100:37] + wire x_t : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[dec_decode_ctl.scala 101:37] + wire x_t_in : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[dec_decode_ctl.scala 102:37] + wire r_t : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[dec_decode_ctl.scala 103:37] + wire r_t_in : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[dec_decode_ctl.scala 104:37] + wire d_d : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[dec_decode_ctl.scala 105:37] + wire x_d : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[dec_decode_ctl.scala 106:37] + wire r_d : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[dec_decode_ctl.scala 107:37] + wire r_d_in : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[dec_decode_ctl.scala 108:37] + wire wbd : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[dec_decode_ctl.scala 109:37] + wire i0_d_c : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[dec_decode_ctl.scala 110:37] + wire i0_rs1_class_d : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[dec_decode_ctl.scala 111:37] + wire i0_rs2_class_d : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[dec_decode_ctl.scala 112:37] + wire i0_rs1_depth_d : UInt<2> + i0_rs1_depth_d <= UInt<1>("h00") + wire i0_rs2_depth_d : UInt<2> + i0_rs2_depth_d <= UInt<1>("h00") + wire cam_wen : UInt<4> + cam_wen <= UInt<1>("h00") + wire cam : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}[4] @[dec_decode_ctl.scala 116:37] + wire cam_write : UInt<1> + cam_write <= UInt<1>("h00") + wire cam_inv_reset_val : UInt<1>[4] @[dec_decode_ctl.scala 118:37] + wire cam_data_reset_val : UInt<1>[4] @[dec_decode_ctl.scala 119:37] + wire nonblock_load_write : UInt<1>[4] @[dec_decode_ctl.scala 120:37] + wire cam_raw : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}[4] @[dec_decode_ctl.scala 121:37] + wire cam_in : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}[4] @[dec_decode_ctl.scala 122:37] + wire i0_dp : {alu : UInt<1>, rs1 : UInt<1>, rs2 : UInt<1>, imm12 : UInt<1>, rd : UInt<1>, shimm5 : UInt<1>, imm20 : UInt<1>, pc : UInt<1>, load : UInt<1>, store : UInt<1>, lsu : UInt<1>, add : UInt<1>, sub : UInt<1>, land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, sra : UInt<1>, srl : UInt<1>, slt : UInt<1>, unsign : UInt<1>, condbr : UInt<1>, beq : UInt<1>, bne : UInt<1>, bge : UInt<1>, blt : UInt<1>, jal : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, csr_read : UInt<1>, csr_clr : UInt<1>, csr_set : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>, presync : UInt<1>, postsync : UInt<1>, ebreak : UInt<1>, ecall : UInt<1>, mret : UInt<1>, mul : UInt<1>, rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, div : UInt<1>, rem : UInt<1>, fence : UInt<1>, fence_i : UInt<1>, pm_alu : UInt<1>, legal : UInt<1>} @[dec_decode_ctl.scala 123:37] + wire i0_dp_raw : {alu : UInt<1>, rs1 : UInt<1>, rs2 : UInt<1>, imm12 : UInt<1>, rd : UInt<1>, shimm5 : UInt<1>, imm20 : UInt<1>, pc : UInt<1>, load : UInt<1>, store : UInt<1>, lsu : UInt<1>, add : UInt<1>, sub : UInt<1>, land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, sra : UInt<1>, srl : UInt<1>, slt : UInt<1>, unsign : UInt<1>, condbr : UInt<1>, beq : UInt<1>, bne : UInt<1>, bge : UInt<1>, blt : UInt<1>, jal : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, csr_read : UInt<1>, csr_clr : UInt<1>, csr_set : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>, presync : UInt<1>, postsync : UInt<1>, ebreak : UInt<1>, ecall : UInt<1>, mret : UInt<1>, mul : UInt<1>, rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, div : UInt<1>, rem : UInt<1>, fence : UInt<1>, fence_i : UInt<1>, pm_alu : UInt<1>, legal : UInt<1>} @[dec_decode_ctl.scala 124:37] + wire i0_rs1bypass : UInt<3> + i0_rs1bypass <= UInt<1>("h00") + wire i0_rs2bypass : UInt<3> + i0_rs2bypass <= UInt<1>("h00") + wire illegal_lockout : UInt<1> + illegal_lockout <= UInt<1>("h00") + wire postsync_stall : UInt<1> + postsync_stall <= UInt<1>("h00") + wire ps_stall_in : UInt<1> + ps_stall_in <= UInt<1>("h00") + wire i0_pipe_en : UInt<4> + i0_pipe_en <= UInt<1>("h00") + wire i0_load_block_d : UInt<1> + i0_load_block_d <= UInt<1>("h00") + wire load_ldst_bypass_d : UInt<1> + load_ldst_bypass_d <= UInt<1>("h00") + wire store_data_bypass_d : UInt<1> + store_data_bypass_d <= UInt<1>("h00") + wire store_data_bypass_m : UInt<1> + store_data_bypass_m <= UInt<1>("h00") + wire tlu_wr_pause_r1 : UInt<1> + tlu_wr_pause_r1 <= UInt<1>("h00") + wire tlu_wr_pause_r2 : UInt<1> + tlu_wr_pause_r2 <= UInt<1>("h00") + wire leak1_i1_stall : UInt<1> + leak1_i1_stall <= UInt<1>("h00") + wire leak1_i0_stall : UInt<1> + leak1_i0_stall <= UInt<1>("h00") + wire pause_stall : UInt<1> + pause_stall <= UInt<1>("h00") + wire flush_final_r : UInt<1> + flush_final_r <= UInt<1>("h00") + wire illegal_lockout_in : UInt<1> + illegal_lockout_in <= UInt<1>("h00") + wire lsu_idle : UInt<1> + lsu_idle <= UInt<1>("h00") + wire pause_state_in : UInt<1> + pause_state_in <= UInt<1>("h00") + wire leak1_mode : UInt<1> + leak1_mode <= UInt<1>("h00") + wire i0_pcall : UInt<1> + i0_pcall <= UInt<1>("h00") + wire i0_pja : UInt<1> + i0_pja <= UInt<1>("h00") + wire i0_pret : UInt<1> + i0_pret <= UInt<1>("h00") + wire i0_legal_decode_d : UInt<1> + i0_legal_decode_d <= UInt<1>("h00") + wire i0_pcall_raw : UInt<1> + i0_pcall_raw <= UInt<1>("h00") + wire i0_pja_raw : UInt<1> + i0_pja_raw <= UInt<1>("h00") + wire i0_pret_raw : UInt<1> + i0_pret_raw <= UInt<1>("h00") + wire i0_br_offset : UInt<12> + i0_br_offset <= UInt<1>("h00") + wire i0_csr_write_only_d : UInt<1> + i0_csr_write_only_d <= UInt<1>("h00") + wire i0_jal : UInt<1> + i0_jal <= UInt<1>("h00") + wire i0_wen_r : UInt<1> + i0_wen_r <= UInt<1>("h00") + wire i0_x_ctl_en : UInt<1> + i0_x_ctl_en <= UInt<1>("h00") + wire i0_r_ctl_en : UInt<1> + i0_r_ctl_en <= UInt<1>("h00") + wire i0_wb_ctl_en : UInt<1> + i0_wb_ctl_en <= UInt<1>("h00") + wire i0_x_data_en : UInt<1> + i0_x_data_en <= UInt<1>("h00") + wire i0_r_data_en : UInt<1> + i0_r_data_en <= UInt<1>("h00") + wire i0_wb_data_en : UInt<1> + i0_wb_data_en <= UInt<1>("h00") + wire i0_wb1_data_en : UInt<1> + i0_wb1_data_en <= UInt<1>("h00") + wire i0_nonblock_load_stall : UInt<1> + i0_nonblock_load_stall <= UInt<1>("h00") + wire csr_read : UInt<1> + csr_read <= UInt<1>("h00") + wire lsu_decode_d : UInt<1> + lsu_decode_d <= UInt<1>("h00") + wire mul_decode_d : UInt<1> + mul_decode_d <= UInt<1>("h00") + wire div_decode_d : UInt<1> + div_decode_d <= UInt<1>("h00") + wire write_csr_data : UInt<32> + write_csr_data <= UInt<1>("h00") + wire i0_result_corr_r : UInt<32> + i0_result_corr_r <= UInt<1>("h00") + wire presync_stall : UInt<1> + presync_stall <= UInt<1>("h00") + wire i0_nonblock_div_stall : UInt<1> + i0_nonblock_div_stall <= UInt<1>("h00") + wire debug_fence : UInt<1> + debug_fence <= UInt<1>("h00") + wire i0_immed_d : UInt<32> + i0_immed_d <= UInt<1>("h00") + wire i0_result_x : UInt<32> + i0_result_x <= UInt<1>("h00") + wire i0_result_r : UInt<32> + i0_result_r <= UInt<1>("h00") + node _T_1 = xor(io.dec_tlu_wr_pause_r, tlu_wr_pause_r1) @[dec_decode_ctl.scala 178:54] + node _T_2 = xor(tlu_wr_pause_r1, tlu_wr_pause_r2) @[dec_decode_ctl.scala 179:54] + node _T_3 = or(_T_1, _T_2) @[dec_decode_ctl.scala 178:89] + node _T_4 = xor(io.dec_tlu_flush_extint, io.decode_exu.dec_extint_stall) @[dec_decode_ctl.scala 180:54] + node _T_5 = or(_T_3, _T_4) @[dec_decode_ctl.scala 179:89] + node _T_6 = xor(leak1_i1_stall_in, leak1_i1_stall) @[dec_decode_ctl.scala 181:54] + node _T_7 = or(_T_5, _T_6) @[dec_decode_ctl.scala 180:89] + node _T_8 = xor(leak1_i0_stall_in, leak1_i0_stall) @[dec_decode_ctl.scala 182:54] + node _T_9 = or(_T_7, _T_8) @[dec_decode_ctl.scala 181:89] + node _T_10 = xor(pause_state_in, pause_stall) @[dec_decode_ctl.scala 183:54] + node _T_11 = or(_T_9, _T_10) @[dec_decode_ctl.scala 182:89] + node _T_12 = xor(ps_stall_in, postsync_stall) @[dec_decode_ctl.scala 184:54] + node _T_13 = or(_T_11, _T_12) @[dec_decode_ctl.scala 183:89] + node _T_14 = xor(io.exu_flush_final, flush_final_r) @[dec_decode_ctl.scala 185:54] + node _T_15 = or(_T_13, _T_14) @[dec_decode_ctl.scala 184:89] + node _T_16 = xor(illegal_lockout_in, illegal_lockout) @[dec_decode_ctl.scala 186:54] + node data_gate_en = or(_T_15, _T_16) @[dec_decode_ctl.scala 185:89] + node _T_17 = bits(data_gate_en, 0, 0) @[dec_decode_ctl.scala 189:57] + inst rvclkhdr of rvclkhdr @[lib.scala 343:22] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 344:17] + rvclkhdr.io.en <= _T_17 @[lib.scala 345:16] + rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + node _T_18 = eq(leak1_mode, UInt<1>("h00")) @[dec_decode_ctl.scala 192:80] + node i0_brp_valid = and(io.dec_i0_brp.valid, _T_18) @[dec_decode_ctl.scala 192:78] + io.decode_exu.dec_i0_predict_p_d.bits.misp <= UInt<1>("h00") @[dec_decode_ctl.scala 193:55] + io.decode_exu.dec_i0_predict_p_d.bits.ataken <= UInt<1>("h00") @[dec_decode_ctl.scala 194:55] + io.decode_exu.dec_i0_predict_p_d.bits.boffset <= UInt<1>("h00") @[dec_decode_ctl.scala 195:55] + io.decode_exu.dec_i0_predict_p_d.bits.pcall <= i0_pcall @[dec_decode_ctl.scala 196:55] + io.decode_exu.dec_i0_predict_p_d.bits.pja <= i0_pja @[dec_decode_ctl.scala 197:55] + io.decode_exu.dec_i0_predict_p_d.bits.pret <= i0_pret @[dec_decode_ctl.scala 198:55] + io.decode_exu.dec_i0_predict_p_d.bits.prett <= io.dec_i0_brp.bits.prett @[dec_decode_ctl.scala 199:55] + io.decode_exu.dec_i0_predict_p_d.bits.pc4 <= io.dec_i0_pc4_d @[dec_decode_ctl.scala 200:55] + io.decode_exu.dec_i0_predict_p_d.bits.hist <= io.dec_i0_brp.bits.hist @[dec_decode_ctl.scala 201:55] + node _T_19 = and(i0_brp_valid, i0_legal_decode_d) @[dec_decode_ctl.scala 202:71] + io.decode_exu.dec_i0_predict_p_d.valid <= _T_19 @[dec_decode_ctl.scala 202:55] + node _T_20 = or(i0_dp_raw.condbr, i0_pcall_raw) @[dec_decode_ctl.scala 203:92] + node _T_21 = or(_T_20, i0_pja_raw) @[dec_decode_ctl.scala 203:107] + node _T_22 = or(_T_21, i0_pret_raw) @[dec_decode_ctl.scala 203:120] + node _T_23 = eq(_T_22, UInt<1>("h00")) @[dec_decode_ctl.scala 203:73] + node i0_notbr_error = and(i0_brp_valid, _T_23) @[dec_decode_ctl.scala 203:71] + node _T_24 = bits(io.dec_i0_brp.bits.hist, 1, 1) @[dec_decode_ctl.scala 206:97] + node _T_25 = and(i0_brp_valid, _T_24) @[dec_decode_ctl.scala 206:72] + node _T_26 = neq(io.dec_i0_brp.bits.toffset, i0_br_offset) @[dec_decode_ctl.scala 206:131] + node _T_27 = and(_T_25, _T_26) @[dec_decode_ctl.scala 206:101] + node _T_28 = eq(i0_pret_raw, UInt<1>("h00")) @[dec_decode_ctl.scala 206:151] + node i0_br_toffset_error = and(_T_27, _T_28) @[dec_decode_ctl.scala 206:149] + node _T_29 = and(i0_brp_valid, io.dec_i0_brp.bits.ret) @[dec_decode_ctl.scala 207:72] + node _T_30 = eq(i0_pret_raw, UInt<1>("h00")) @[dec_decode_ctl.scala 207:99] + node i0_ret_error = and(_T_29, _T_30) @[dec_decode_ctl.scala 207:97] + node _T_31 = or(io.dec_i0_brp.bits.br_error, i0_notbr_error) @[dec_decode_ctl.scala 208:87] + node _T_32 = or(_T_31, i0_br_toffset_error) @[dec_decode_ctl.scala 208:104] + node i0_br_error = or(_T_32, i0_ret_error) @[dec_decode_ctl.scala 208:126] + node _T_33 = and(i0_br_error, i0_legal_decode_d) @[dec_decode_ctl.scala 209:72] + node _T_34 = eq(leak1_mode, UInt<1>("h00")) @[dec_decode_ctl.scala 209:94] + node _T_35 = and(_T_33, _T_34) @[dec_decode_ctl.scala 209:92] + io.decode_exu.dec_i0_predict_p_d.bits.br_error <= _T_35 @[dec_decode_ctl.scala 209:56] + node _T_36 = and(io.dec_i0_brp.bits.br_start_error, i0_legal_decode_d) @[dec_decode_ctl.scala 210:94] + node _T_37 = eq(leak1_mode, UInt<1>("h00")) @[dec_decode_ctl.scala 210:116] + node _T_38 = and(_T_36, _T_37) @[dec_decode_ctl.scala 210:114] + io.decode_exu.dec_i0_predict_p_d.bits.br_start_error <= _T_38 @[dec_decode_ctl.scala 210:56] + io.decode_exu.i0_predict_index_d <= io.dec_i0_bp_index @[dec_decode_ctl.scala 211:56] + io.decode_exu.i0_predict_btag_d <= io.dec_i0_bp_btag @[dec_decode_ctl.scala 212:56] + node _T_39 = or(i0_br_error, io.dec_i0_brp.bits.br_start_error) @[dec_decode_ctl.scala 213:72] + node _T_40 = eq(leak1_mode, UInt<1>("h00")) @[dec_decode_ctl.scala 213:111] + node i0_br_error_all = and(_T_39, _T_40) @[dec_decode_ctl.scala 213:109] + io.decode_exu.dec_i0_predict_p_d.bits.toffset <= i0_br_offset @[dec_decode_ctl.scala 214:56] + io.decode_exu.i0_predict_fghr_d <= io.dec_i0_bp_fghr @[dec_decode_ctl.scala 215:56] + io.decode_exu.dec_i0_predict_p_d.bits.way <= io.dec_i0_brp.bits.way @[dec_decode_ctl.scala 216:56] + node i0_icaf_d = or(io.dec_i0_icaf_d, io.dec_i0_dbecc_d) @[dec_decode_ctl.scala 222:43] + i0_dp.legal <= i0_dp_raw.legal @[dec_decode_ctl.scala 224:23] + i0_dp.pm_alu <= i0_dp_raw.pm_alu @[dec_decode_ctl.scala 224:23] + i0_dp.fence_i <= i0_dp_raw.fence_i @[dec_decode_ctl.scala 224:23] + i0_dp.fence <= i0_dp_raw.fence @[dec_decode_ctl.scala 224:23] + i0_dp.rem <= i0_dp_raw.rem @[dec_decode_ctl.scala 224:23] + i0_dp.div <= i0_dp_raw.div @[dec_decode_ctl.scala 224:23] + i0_dp.low <= i0_dp_raw.low @[dec_decode_ctl.scala 224:23] + i0_dp.rs2_sign <= i0_dp_raw.rs2_sign @[dec_decode_ctl.scala 224:23] + i0_dp.rs1_sign <= i0_dp_raw.rs1_sign @[dec_decode_ctl.scala 224:23] + i0_dp.mul <= i0_dp_raw.mul @[dec_decode_ctl.scala 224:23] + i0_dp.mret <= i0_dp_raw.mret @[dec_decode_ctl.scala 224:23] + i0_dp.ecall <= i0_dp_raw.ecall @[dec_decode_ctl.scala 224:23] + i0_dp.ebreak <= i0_dp_raw.ebreak @[dec_decode_ctl.scala 224:23] + i0_dp.postsync <= i0_dp_raw.postsync @[dec_decode_ctl.scala 224:23] + i0_dp.presync <= i0_dp_raw.presync @[dec_decode_ctl.scala 224:23] + i0_dp.csr_imm <= i0_dp_raw.csr_imm @[dec_decode_ctl.scala 224:23] + i0_dp.csr_write <= i0_dp_raw.csr_write @[dec_decode_ctl.scala 224:23] + i0_dp.csr_set <= i0_dp_raw.csr_set @[dec_decode_ctl.scala 224:23] + i0_dp.csr_clr <= i0_dp_raw.csr_clr @[dec_decode_ctl.scala 224:23] + i0_dp.csr_read <= i0_dp_raw.csr_read @[dec_decode_ctl.scala 224:23] + i0_dp.word <= i0_dp_raw.word @[dec_decode_ctl.scala 224:23] + i0_dp.half <= i0_dp_raw.half @[dec_decode_ctl.scala 224:23] + i0_dp.by <= i0_dp_raw.by @[dec_decode_ctl.scala 224:23] + i0_dp.jal <= i0_dp_raw.jal @[dec_decode_ctl.scala 224:23] + i0_dp.blt <= i0_dp_raw.blt @[dec_decode_ctl.scala 224:23] + i0_dp.bge <= i0_dp_raw.bge @[dec_decode_ctl.scala 224:23] + i0_dp.bne <= i0_dp_raw.bne @[dec_decode_ctl.scala 224:23] + i0_dp.beq <= i0_dp_raw.beq @[dec_decode_ctl.scala 224:23] + i0_dp.condbr <= i0_dp_raw.condbr @[dec_decode_ctl.scala 224:23] + i0_dp.unsign <= i0_dp_raw.unsign @[dec_decode_ctl.scala 224:23] + i0_dp.slt <= i0_dp_raw.slt @[dec_decode_ctl.scala 224:23] + i0_dp.srl <= i0_dp_raw.srl @[dec_decode_ctl.scala 224:23] + i0_dp.sra <= i0_dp_raw.sra @[dec_decode_ctl.scala 224:23] + i0_dp.sll <= i0_dp_raw.sll @[dec_decode_ctl.scala 224:23] + i0_dp.lxor <= i0_dp_raw.lxor @[dec_decode_ctl.scala 224:23] + i0_dp.lor <= i0_dp_raw.lor @[dec_decode_ctl.scala 224:23] + i0_dp.land <= i0_dp_raw.land @[dec_decode_ctl.scala 224:23] + i0_dp.sub <= i0_dp_raw.sub @[dec_decode_ctl.scala 224:23] + i0_dp.add <= i0_dp_raw.add @[dec_decode_ctl.scala 224:23] + i0_dp.lsu <= i0_dp_raw.lsu @[dec_decode_ctl.scala 224:23] + i0_dp.store <= i0_dp_raw.store @[dec_decode_ctl.scala 224:23] + i0_dp.load <= i0_dp_raw.load @[dec_decode_ctl.scala 224:23] + i0_dp.pc <= i0_dp_raw.pc @[dec_decode_ctl.scala 224:23] + i0_dp.imm20 <= i0_dp_raw.imm20 @[dec_decode_ctl.scala 224:23] + i0_dp.shimm5 <= i0_dp_raw.shimm5 @[dec_decode_ctl.scala 224:23] + i0_dp.rd <= i0_dp_raw.rd @[dec_decode_ctl.scala 224:23] + i0_dp.imm12 <= i0_dp_raw.imm12 @[dec_decode_ctl.scala 224:23] + i0_dp.rs2 <= i0_dp_raw.rs2 @[dec_decode_ctl.scala 224:23] + i0_dp.rs1 <= i0_dp_raw.rs1 @[dec_decode_ctl.scala 224:23] + i0_dp.alu <= i0_dp_raw.alu @[dec_decode_ctl.scala 224:23] + node _T_41 = or(i0_br_error_all, i0_icaf_d) @[dec_decode_ctl.scala 225:25] + node _T_42 = bits(_T_41, 0, 0) @[dec_decode_ctl.scala 225:43] + when _T_42 : @[dec_decode_ctl.scala 225:50] + wire _T_43 : {alu : UInt<1>, rs1 : UInt<1>, rs2 : UInt<1>, imm12 : UInt<1>, rd : UInt<1>, shimm5 : UInt<1>, imm20 : UInt<1>, pc : UInt<1>, load : UInt<1>, store : UInt<1>, lsu : UInt<1>, add : UInt<1>, sub : UInt<1>, land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, sra : UInt<1>, srl : UInt<1>, slt : UInt<1>, unsign : UInt<1>, condbr : UInt<1>, beq : UInt<1>, bne : UInt<1>, bge : UInt<1>, blt : UInt<1>, jal : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, csr_read : UInt<1>, csr_clr : UInt<1>, csr_set : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>, presync : UInt<1>, postsync : UInt<1>, ebreak : UInt<1>, ecall : UInt<1>, mret : UInt<1>, mul : UInt<1>, rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, div : UInt<1>, rem : UInt<1>, fence : UInt<1>, fence_i : UInt<1>, pm_alu : UInt<1>, legal : UInt<1>} @[dec_decode_ctl.scala 226:38] + _T_43.legal <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.pm_alu <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.fence_i <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.fence <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.rem <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.div <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.low <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.rs2_sign <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.rs1_sign <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.mul <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.mret <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.ecall <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.ebreak <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.postsync <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.presync <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.csr_imm <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.csr_write <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.csr_set <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.csr_clr <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.csr_read <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.word <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.half <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.by <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.jal <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.blt <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.bge <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.bne <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.beq <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.condbr <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.unsign <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.slt <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.srl <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.sra <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.sll <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.lxor <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.lor <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.land <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.sub <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.add <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.lsu <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.store <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.load <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.pc <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.imm20 <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.shimm5 <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.rd <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.imm12 <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.rs2 <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.rs1 <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.alu <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + i0_dp.legal <= _T_43.legal @[dec_decode_ctl.scala 226:23] + i0_dp.pm_alu <= _T_43.pm_alu @[dec_decode_ctl.scala 226:23] + i0_dp.fence_i <= _T_43.fence_i @[dec_decode_ctl.scala 226:23] + i0_dp.fence <= _T_43.fence @[dec_decode_ctl.scala 226:23] + i0_dp.rem <= _T_43.rem @[dec_decode_ctl.scala 226:23] + i0_dp.div <= _T_43.div @[dec_decode_ctl.scala 226:23] + i0_dp.low <= _T_43.low @[dec_decode_ctl.scala 226:23] + i0_dp.rs2_sign <= _T_43.rs2_sign @[dec_decode_ctl.scala 226:23] + i0_dp.rs1_sign <= _T_43.rs1_sign @[dec_decode_ctl.scala 226:23] + i0_dp.mul <= _T_43.mul @[dec_decode_ctl.scala 226:23] + i0_dp.mret <= _T_43.mret @[dec_decode_ctl.scala 226:23] + i0_dp.ecall <= _T_43.ecall @[dec_decode_ctl.scala 226:23] + i0_dp.ebreak <= _T_43.ebreak @[dec_decode_ctl.scala 226:23] + i0_dp.postsync <= _T_43.postsync @[dec_decode_ctl.scala 226:23] + i0_dp.presync <= _T_43.presync @[dec_decode_ctl.scala 226:23] + i0_dp.csr_imm <= _T_43.csr_imm @[dec_decode_ctl.scala 226:23] + i0_dp.csr_write <= _T_43.csr_write @[dec_decode_ctl.scala 226:23] + i0_dp.csr_set <= _T_43.csr_set @[dec_decode_ctl.scala 226:23] + i0_dp.csr_clr <= _T_43.csr_clr @[dec_decode_ctl.scala 226:23] + i0_dp.csr_read <= _T_43.csr_read @[dec_decode_ctl.scala 226:23] + i0_dp.word <= _T_43.word @[dec_decode_ctl.scala 226:23] + i0_dp.half <= _T_43.half @[dec_decode_ctl.scala 226:23] + i0_dp.by <= _T_43.by @[dec_decode_ctl.scala 226:23] + i0_dp.jal <= _T_43.jal @[dec_decode_ctl.scala 226:23] + i0_dp.blt <= _T_43.blt @[dec_decode_ctl.scala 226:23] + i0_dp.bge <= _T_43.bge @[dec_decode_ctl.scala 226:23] + i0_dp.bne <= _T_43.bne @[dec_decode_ctl.scala 226:23] + i0_dp.beq <= _T_43.beq @[dec_decode_ctl.scala 226:23] + i0_dp.condbr <= _T_43.condbr @[dec_decode_ctl.scala 226:23] + i0_dp.unsign <= _T_43.unsign @[dec_decode_ctl.scala 226:23] + i0_dp.slt <= _T_43.slt @[dec_decode_ctl.scala 226:23] + i0_dp.srl <= _T_43.srl @[dec_decode_ctl.scala 226:23] + i0_dp.sra <= _T_43.sra @[dec_decode_ctl.scala 226:23] + i0_dp.sll <= _T_43.sll @[dec_decode_ctl.scala 226:23] + i0_dp.lxor <= _T_43.lxor @[dec_decode_ctl.scala 226:23] + i0_dp.lor <= _T_43.lor @[dec_decode_ctl.scala 226:23] + i0_dp.land <= _T_43.land @[dec_decode_ctl.scala 226:23] + i0_dp.sub <= _T_43.sub @[dec_decode_ctl.scala 226:23] + i0_dp.add <= _T_43.add @[dec_decode_ctl.scala 226:23] + i0_dp.lsu <= _T_43.lsu @[dec_decode_ctl.scala 226:23] + i0_dp.store <= _T_43.store @[dec_decode_ctl.scala 226:23] + i0_dp.load <= _T_43.load @[dec_decode_ctl.scala 226:23] + i0_dp.pc <= _T_43.pc @[dec_decode_ctl.scala 226:23] + i0_dp.imm20 <= _T_43.imm20 @[dec_decode_ctl.scala 226:23] + i0_dp.shimm5 <= _T_43.shimm5 @[dec_decode_ctl.scala 226:23] + i0_dp.rd <= _T_43.rd @[dec_decode_ctl.scala 226:23] + i0_dp.imm12 <= _T_43.imm12 @[dec_decode_ctl.scala 226:23] + i0_dp.rs2 <= _T_43.rs2 @[dec_decode_ctl.scala 226:23] + i0_dp.rs1 <= _T_43.rs1 @[dec_decode_ctl.scala 226:23] + i0_dp.alu <= _T_43.alu @[dec_decode_ctl.scala 226:23] + i0_dp.alu <= UInt<1>("h01") @[dec_decode_ctl.scala 227:23] + i0_dp.rs1 <= UInt<1>("h01") @[dec_decode_ctl.scala 228:23] + i0_dp.rs2 <= UInt<1>("h01") @[dec_decode_ctl.scala 229:23] + i0_dp.lor <= UInt<1>("h01") @[dec_decode_ctl.scala 230:23] + i0_dp.legal <= UInt<1>("h01") @[dec_decode_ctl.scala 231:23] + i0_dp.postsync <= UInt<1>("h01") @[dec_decode_ctl.scala 232:23] + skip @[dec_decode_ctl.scala 225:50] + io.decode_exu.dec_i0_select_pc_d <= i0_dp.pc @[dec_decode_ctl.scala 236:36] + node _T_44 = or(i0_dp.condbr, i0_pcall) @[dec_decode_ctl.scala 239:54] + node _T_45 = or(_T_44, i0_pja) @[dec_decode_ctl.scala 239:65] + node i0_predict_br = or(_T_45, i0_pret) @[dec_decode_ctl.scala 239:74] + node _T_46 = bits(io.dec_i0_brp.bits.hist, 1, 1) @[dec_decode_ctl.scala 240:65] + node _T_47 = and(_T_46, i0_brp_valid) @[dec_decode_ctl.scala 240:69] + node _T_48 = eq(_T_47, UInt<1>("h00")) @[dec_decode_ctl.scala 240:40] + node i0_predict_nt = and(_T_48, i0_predict_br) @[dec_decode_ctl.scala 240:85] + node _T_49 = bits(io.dec_i0_brp.bits.hist, 1, 1) @[dec_decode_ctl.scala 241:65] + node _T_50 = and(_T_49, i0_brp_valid) @[dec_decode_ctl.scala 241:69] + node i0_predict_t = and(_T_50, i0_predict_br) @[dec_decode_ctl.scala 241:85] + node i0_ap_pc2 = eq(io.dec_i0_pc4_d, UInt<1>("h00")) @[dec_decode_ctl.scala 242:40] + io.decode_exu.i0_ap.predict_nt <= i0_predict_nt @[dec_decode_ctl.scala 244:37] + io.decode_exu.i0_ap.predict_t <= i0_predict_t @[dec_decode_ctl.scala 245:37] + io.decode_exu.i0_ap.add <= i0_dp.add @[dec_decode_ctl.scala 247:37] + io.decode_exu.i0_ap.sub <= i0_dp.sub @[dec_decode_ctl.scala 248:37] + io.decode_exu.i0_ap.land <= i0_dp.land @[dec_decode_ctl.scala 249:37] + io.decode_exu.i0_ap.lor <= i0_dp.lor @[dec_decode_ctl.scala 250:37] + io.decode_exu.i0_ap.lxor <= i0_dp.lxor @[dec_decode_ctl.scala 251:37] + io.decode_exu.i0_ap.sll <= i0_dp.sll @[dec_decode_ctl.scala 252:37] + io.decode_exu.i0_ap.srl <= i0_dp.srl @[dec_decode_ctl.scala 253:37] + io.decode_exu.i0_ap.sra <= i0_dp.sra @[dec_decode_ctl.scala 254:37] + io.decode_exu.i0_ap.slt <= i0_dp.slt @[dec_decode_ctl.scala 255:37] + io.decode_exu.i0_ap.unsign <= i0_dp.unsign @[dec_decode_ctl.scala 256:37] + io.decode_exu.i0_ap.beq <= i0_dp.beq @[dec_decode_ctl.scala 257:37] + io.decode_exu.i0_ap.bne <= i0_dp.bne @[dec_decode_ctl.scala 258:37] + io.decode_exu.i0_ap.blt <= i0_dp.blt @[dec_decode_ctl.scala 259:37] + io.decode_exu.i0_ap.bge <= i0_dp.bge @[dec_decode_ctl.scala 260:37] + io.decode_exu.i0_ap.csr_write <= i0_csr_write_only_d @[dec_decode_ctl.scala 261:37] + io.decode_exu.i0_ap.csr_imm <= i0_dp.csr_imm @[dec_decode_ctl.scala 262:37] + io.decode_exu.i0_ap.jal <= i0_jal @[dec_decode_ctl.scala 263:37] + node _T_51 = eq(cam[0].valid, UInt<1>("h00")) @[dec_decode_ctl.scala 267:78] + node _T_52 = bits(_T_51, 0, 0) @[dec_decode_ctl.scala 267:137] + node _T_53 = shl(cam_write, 0) @[dec_decode_ctl.scala 267:158] + node _T_54 = eq(cam[1].valid, UInt<1>("h00")) @[dec_decode_ctl.scala 267:78] + node _T_55 = bits(cam[0].valid, 0, 0) @[dec_decode_ctl.scala 267:120] + node _T_56 = bits(_T_54, 0, 0) @[dec_decode_ctl.scala 267:129] + node _T_57 = and(_T_55, _T_56) @[dec_decode_ctl.scala 267:126] + node _T_58 = bits(_T_57, 0, 0) @[dec_decode_ctl.scala 267:137] + node _T_59 = shl(cam_write, 1) @[dec_decode_ctl.scala 267:158] + node _T_60 = eq(cam[2].valid, UInt<1>("h00")) @[dec_decode_ctl.scala 267:78] + node _T_61 = bits(cam[0].valid, 0, 0) @[dec_decode_ctl.scala 267:120] + node _T_62 = bits(cam[1].valid, 0, 0) @[dec_decode_ctl.scala 267:129] + node _T_63 = and(_T_61, _T_62) @[dec_decode_ctl.scala 267:126] + node _T_64 = bits(_T_63, 0, 0) @[dec_decode_ctl.scala 267:120] + node _T_65 = bits(_T_60, 0, 0) @[dec_decode_ctl.scala 267:129] + node _T_66 = and(_T_64, _T_65) @[dec_decode_ctl.scala 267:126] + node _T_67 = bits(_T_66, 0, 0) @[dec_decode_ctl.scala 267:137] + node _T_68 = shl(cam_write, 2) @[dec_decode_ctl.scala 267:158] + node _T_69 = eq(cam[3].valid, UInt<1>("h00")) @[dec_decode_ctl.scala 267:78] + node _T_70 = bits(cam[0].valid, 0, 0) @[dec_decode_ctl.scala 267:120] + node _T_71 = bits(cam[1].valid, 0, 0) @[dec_decode_ctl.scala 267:129] + node _T_72 = and(_T_70, _T_71) @[dec_decode_ctl.scala 267:126] + node _T_73 = bits(_T_72, 0, 0) @[dec_decode_ctl.scala 267:120] + node _T_74 = bits(cam[2].valid, 0, 0) @[dec_decode_ctl.scala 267:129] + node _T_75 = and(_T_73, _T_74) @[dec_decode_ctl.scala 267:126] + node _T_76 = bits(_T_75, 0, 0) @[dec_decode_ctl.scala 267:120] + node _T_77 = bits(_T_69, 0, 0) @[dec_decode_ctl.scala 267:129] + node _T_78 = and(_T_76, _T_77) @[dec_decode_ctl.scala 267:126] + node _T_79 = bits(_T_78, 0, 0) @[dec_decode_ctl.scala 267:137] + node _T_80 = shl(cam_write, 3) @[dec_decode_ctl.scala 267:158] + node _T_81 = mux(_T_52, _T_53, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_82 = mux(_T_58, _T_59, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_83 = mux(_T_67, _T_68, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_84 = mux(_T_79, _T_80, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_85 = or(_T_81, _T_82) @[Mux.scala 27:72] + node _T_86 = or(_T_85, _T_83) @[Mux.scala 27:72] + node _T_87 = or(_T_86, _T_84) @[Mux.scala 27:72] + wire _T_88 : UInt<4> @[Mux.scala 27:72] + _T_88 <= _T_87 @[Mux.scala 27:72] + cam_wen <= _T_88 @[dec_decode_ctl.scala 267:11] + cam_write <= io.dctl_busbuff.lsu_nonblock_load_valid_m @[dec_decode_ctl.scala 269:25] + node cam_write_tag = bits(io.dctl_busbuff.lsu_nonblock_load_tag_m, 1, 0) @[dec_decode_ctl.scala 270:67] + node cam_data_reset = or(io.dctl_busbuff.lsu_nonblock_load_data_valid, io.dctl_busbuff.lsu_nonblock_load_data_error) @[dec_decode_ctl.scala 275:76] + node _T_89 = bits(x_d.bits.i0load, 0, 0) @[dec_decode_ctl.scala 278:48] + node nonblock_load_rd = mux(_T_89, x_d.bits.i0rd, UInt<5>("h00")) @[dec_decode_ctl.scala 278:31] + node _T_90 = bits(i0_r_ctl_en, 0, 0) @[dec_decode_ctl.scala 282:129] + reg nonblock_load_valid_m_delay : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_90 : @[Reg.scala 28:19] + nonblock_load_valid_m_delay <= io.dctl_busbuff.lsu_nonblock_load_valid_m @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node i0_load_kill_wen_r = and(nonblock_load_valid_m_delay, r_d.bits.i0load) @[dec_decode_ctl.scala 283:56] + node _T_91 = eq(io.dctl_busbuff.lsu_nonblock_load_inv_tag_r, cam[0].bits.tag) @[dec_decode_ctl.scala 285:66] + node _T_92 = and(io.dctl_busbuff.lsu_nonblock_load_inv_r, _T_91) @[dec_decode_ctl.scala 285:45] + node _T_93 = and(_T_92, cam[0].valid) @[dec_decode_ctl.scala 285:87] + cam_inv_reset_val[0] <= _T_93 @[dec_decode_ctl.scala 285:26] + node _T_94 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, cam[0].bits.tag) @[dec_decode_ctl.scala 286:67] + node _T_95 = and(cam_data_reset, _T_94) @[dec_decode_ctl.scala 286:45] + node _T_96 = and(_T_95, cam_raw[0].valid) @[dec_decode_ctl.scala 286:88] + cam_data_reset_val[0] <= _T_96 @[dec_decode_ctl.scala 286:27] + wire _T_97 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[dec_decode_ctl.scala 287:28] + _T_97.bits.rd <= UInt<5>("h00") @[dec_decode_ctl.scala 287:28] + _T_97.bits.tag <= UInt<3>("h00") @[dec_decode_ctl.scala 287:28] + _T_97.bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 287:28] + _T_97.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 287:28] + cam_in[0].bits.rd <= _T_97.bits.rd @[dec_decode_ctl.scala 287:14] + cam_in[0].bits.tag <= _T_97.bits.tag @[dec_decode_ctl.scala 287:14] + cam_in[0].bits.wb <= _T_97.bits.wb @[dec_decode_ctl.scala 287:14] + cam_in[0].valid <= _T_97.valid @[dec_decode_ctl.scala 287:14] + cam[0].bits.rd <= cam_raw[0].bits.rd @[dec_decode_ctl.scala 288:11] + cam[0].bits.tag <= cam_raw[0].bits.tag @[dec_decode_ctl.scala 288:11] + cam[0].bits.wb <= cam_raw[0].bits.wb @[dec_decode_ctl.scala 288:11] + cam[0].valid <= cam_raw[0].valid @[dec_decode_ctl.scala 288:11] + node _T_98 = bits(cam_data_reset_val[0], 0, 0) @[dec_decode_ctl.scala 290:32] + when _T_98 : @[dec_decode_ctl.scala 290:39] + cam[0].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 291:20] + skip @[dec_decode_ctl.scala 290:39] + node _T_99 = bits(cam_wen, 0, 0) @[dec_decode_ctl.scala 293:17] + node _T_100 = bits(_T_99, 0, 0) @[dec_decode_ctl.scala 293:21] + when _T_100 : @[dec_decode_ctl.scala 293:28] + cam_in[0].valid <= UInt<1>("h01") @[dec_decode_ctl.scala 294:27] + cam_in[0].bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 295:32] + cam_in[0].bits.tag <= cam_write_tag @[dec_decode_ctl.scala 296:32] + cam_in[0].bits.rd <= nonblock_load_rd @[dec_decode_ctl.scala 297:32] + skip @[dec_decode_ctl.scala 293:28] + else : @[dec_decode_ctl.scala 298:131] + node _T_101 = bits(cam_inv_reset_val[0], 0, 0) @[dec_decode_ctl.scala 298:37] + node _T_102 = bits(i0_wen_r, 0, 0) @[dec_decode_ctl.scala 298:57] + node _T_103 = eq(r_d_in.bits.i0rd, cam[0].bits.rd) @[dec_decode_ctl.scala 298:85] + node _T_104 = and(_T_102, _T_103) @[dec_decode_ctl.scala 298:64] + node _T_105 = bits(cam[0].bits.wb, 0, 0) @[dec_decode_ctl.scala 298:123] + node _T_106 = and(_T_104, _T_105) @[dec_decode_ctl.scala 298:105] + node _T_107 = or(_T_101, _T_106) @[dec_decode_ctl.scala 298:44] + when _T_107 : @[dec_decode_ctl.scala 298:131] + cam_in[0].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 299:23] + skip @[dec_decode_ctl.scala 298:131] + else : @[dec_decode_ctl.scala 300:16] + cam_in[0].bits.rd <= cam[0].bits.rd @[dec_decode_ctl.scala 301:22] + cam_in[0].bits.tag <= cam[0].bits.tag @[dec_decode_ctl.scala 301:22] + cam_in[0].bits.wb <= cam[0].bits.wb @[dec_decode_ctl.scala 301:22] + cam_in[0].valid <= cam[0].valid @[dec_decode_ctl.scala 301:22] + skip @[dec_decode_ctl.scala 300:16] + node _T_108 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[dec_decode_ctl.scala 303:37] + node _T_109 = eq(io.dctl_busbuff.lsu_nonblock_load_inv_tag_r, cam[0].bits.tag) @[dec_decode_ctl.scala 303:92] + node _T_110 = and(_T_108, _T_109) @[dec_decode_ctl.scala 303:44] + node _T_111 = eq(cam[0].valid, UInt<1>("h01")) @[dec_decode_ctl.scala 303:128] + node _T_112 = and(_T_110, _T_111) @[dec_decode_ctl.scala 303:113] + when _T_112 : @[dec_decode_ctl.scala 303:135] + cam_in[0].bits.wb <= UInt<1>("h01") @[dec_decode_ctl.scala 304:25] + skip @[dec_decode_ctl.scala 303:135] + when io.dec_tlu_force_halt : @[dec_decode_ctl.scala 307:32] + cam_in[0].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 308:23] + skip @[dec_decode_ctl.scala 307:32] + wire _T_113 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[dec_decode_ctl.scala 311:70] + _T_113.bits.rd <= UInt<5>("h00") @[dec_decode_ctl.scala 311:70] + _T_113.bits.tag <= UInt<3>("h00") @[dec_decode_ctl.scala 311:70] + _T_113.bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 311:70] + _T_113.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 311:70] + reg _T_114 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}, io.free_clk with : (reset => (reset, _T_113)) @[dec_decode_ctl.scala 311:47] + _T_114.bits.rd <= cam_in[0].bits.rd @[dec_decode_ctl.scala 311:47] + _T_114.bits.tag <= cam_in[0].bits.tag @[dec_decode_ctl.scala 311:47] + _T_114.bits.wb <= cam_in[0].bits.wb @[dec_decode_ctl.scala 311:47] + _T_114.valid <= cam_in[0].valid @[dec_decode_ctl.scala 311:47] + cam_raw[0].bits.rd <= _T_114.bits.rd @[dec_decode_ctl.scala 311:15] + cam_raw[0].bits.tag <= _T_114.bits.tag @[dec_decode_ctl.scala 311:15] + cam_raw[0].bits.wb <= _T_114.bits.wb @[dec_decode_ctl.scala 311:15] + cam_raw[0].valid <= _T_114.valid @[dec_decode_ctl.scala 311:15] + node _T_115 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, cam_raw[0].bits.tag) @[dec_decode_ctl.scala 312:46] + node _T_116 = and(_T_115, cam_raw[0].valid) @[dec_decode_ctl.scala 312:71] + nonblock_load_write[0] <= _T_116 @[dec_decode_ctl.scala 312:28] + node _T_117 = eq(io.dctl_busbuff.lsu_nonblock_load_inv_tag_r, cam[1].bits.tag) @[dec_decode_ctl.scala 285:66] + node _T_118 = and(io.dctl_busbuff.lsu_nonblock_load_inv_r, _T_117) @[dec_decode_ctl.scala 285:45] + node _T_119 = and(_T_118, cam[1].valid) @[dec_decode_ctl.scala 285:87] + cam_inv_reset_val[1] <= _T_119 @[dec_decode_ctl.scala 285:26] + node _T_120 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, cam[1].bits.tag) @[dec_decode_ctl.scala 286:67] + node _T_121 = and(cam_data_reset, _T_120) @[dec_decode_ctl.scala 286:45] + node _T_122 = and(_T_121, cam_raw[1].valid) @[dec_decode_ctl.scala 286:88] + cam_data_reset_val[1] <= _T_122 @[dec_decode_ctl.scala 286:27] + wire _T_123 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[dec_decode_ctl.scala 287:28] + _T_123.bits.rd <= UInt<5>("h00") @[dec_decode_ctl.scala 287:28] + _T_123.bits.tag <= UInt<3>("h00") @[dec_decode_ctl.scala 287:28] + _T_123.bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 287:28] + _T_123.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 287:28] + cam_in[1].bits.rd <= _T_123.bits.rd @[dec_decode_ctl.scala 287:14] + cam_in[1].bits.tag <= _T_123.bits.tag @[dec_decode_ctl.scala 287:14] + cam_in[1].bits.wb <= _T_123.bits.wb @[dec_decode_ctl.scala 287:14] + cam_in[1].valid <= _T_123.valid @[dec_decode_ctl.scala 287:14] + cam[1].bits.rd <= cam_raw[1].bits.rd @[dec_decode_ctl.scala 288:11] + cam[1].bits.tag <= cam_raw[1].bits.tag @[dec_decode_ctl.scala 288:11] + cam[1].bits.wb <= cam_raw[1].bits.wb @[dec_decode_ctl.scala 288:11] + cam[1].valid <= cam_raw[1].valid @[dec_decode_ctl.scala 288:11] + node _T_124 = bits(cam_data_reset_val[1], 0, 0) @[dec_decode_ctl.scala 290:32] + when _T_124 : @[dec_decode_ctl.scala 290:39] + cam[1].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 291:20] + skip @[dec_decode_ctl.scala 290:39] + node _T_125 = bits(cam_wen, 1, 1) @[dec_decode_ctl.scala 293:17] + node _T_126 = bits(_T_125, 0, 0) @[dec_decode_ctl.scala 293:21] + when _T_126 : @[dec_decode_ctl.scala 293:28] + cam_in[1].valid <= UInt<1>("h01") @[dec_decode_ctl.scala 294:27] + cam_in[1].bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 295:32] + cam_in[1].bits.tag <= cam_write_tag @[dec_decode_ctl.scala 296:32] + cam_in[1].bits.rd <= nonblock_load_rd @[dec_decode_ctl.scala 297:32] + skip @[dec_decode_ctl.scala 293:28] + else : @[dec_decode_ctl.scala 298:131] + node _T_127 = bits(cam_inv_reset_val[1], 0, 0) @[dec_decode_ctl.scala 298:37] + node _T_128 = bits(i0_wen_r, 0, 0) @[dec_decode_ctl.scala 298:57] + node _T_129 = eq(r_d_in.bits.i0rd, cam[1].bits.rd) @[dec_decode_ctl.scala 298:85] + node _T_130 = and(_T_128, _T_129) @[dec_decode_ctl.scala 298:64] + node _T_131 = bits(cam[1].bits.wb, 0, 0) @[dec_decode_ctl.scala 298:123] + node _T_132 = and(_T_130, _T_131) @[dec_decode_ctl.scala 298:105] + node _T_133 = or(_T_127, _T_132) @[dec_decode_ctl.scala 298:44] + when _T_133 : @[dec_decode_ctl.scala 298:131] + cam_in[1].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 299:23] + skip @[dec_decode_ctl.scala 298:131] + else : @[dec_decode_ctl.scala 300:16] + cam_in[1].bits.rd <= cam[1].bits.rd @[dec_decode_ctl.scala 301:22] + cam_in[1].bits.tag <= cam[1].bits.tag @[dec_decode_ctl.scala 301:22] + cam_in[1].bits.wb <= cam[1].bits.wb @[dec_decode_ctl.scala 301:22] + cam_in[1].valid <= cam[1].valid @[dec_decode_ctl.scala 301:22] + skip @[dec_decode_ctl.scala 300:16] + node _T_134 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[dec_decode_ctl.scala 303:37] + node _T_135 = eq(io.dctl_busbuff.lsu_nonblock_load_inv_tag_r, cam[1].bits.tag) @[dec_decode_ctl.scala 303:92] + node _T_136 = and(_T_134, _T_135) @[dec_decode_ctl.scala 303:44] + node _T_137 = eq(cam[1].valid, UInt<1>("h01")) @[dec_decode_ctl.scala 303:128] + node _T_138 = and(_T_136, _T_137) @[dec_decode_ctl.scala 303:113] + when _T_138 : @[dec_decode_ctl.scala 303:135] + cam_in[1].bits.wb <= UInt<1>("h01") @[dec_decode_ctl.scala 304:25] + skip @[dec_decode_ctl.scala 303:135] + when io.dec_tlu_force_halt : @[dec_decode_ctl.scala 307:32] + cam_in[1].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 308:23] + skip @[dec_decode_ctl.scala 307:32] + wire _T_139 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[dec_decode_ctl.scala 311:70] + _T_139.bits.rd <= UInt<5>("h00") @[dec_decode_ctl.scala 311:70] + _T_139.bits.tag <= UInt<3>("h00") @[dec_decode_ctl.scala 311:70] + _T_139.bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 311:70] + _T_139.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 311:70] + reg _T_140 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}, io.free_clk with : (reset => (reset, _T_139)) @[dec_decode_ctl.scala 311:47] + _T_140.bits.rd <= cam_in[1].bits.rd @[dec_decode_ctl.scala 311:47] + _T_140.bits.tag <= cam_in[1].bits.tag @[dec_decode_ctl.scala 311:47] + _T_140.bits.wb <= cam_in[1].bits.wb @[dec_decode_ctl.scala 311:47] + _T_140.valid <= cam_in[1].valid @[dec_decode_ctl.scala 311:47] + cam_raw[1].bits.rd <= _T_140.bits.rd @[dec_decode_ctl.scala 311:15] + cam_raw[1].bits.tag <= _T_140.bits.tag @[dec_decode_ctl.scala 311:15] + cam_raw[1].bits.wb <= _T_140.bits.wb @[dec_decode_ctl.scala 311:15] + cam_raw[1].valid <= _T_140.valid @[dec_decode_ctl.scala 311:15] + node _T_141 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, cam_raw[1].bits.tag) @[dec_decode_ctl.scala 312:46] + node _T_142 = and(_T_141, cam_raw[1].valid) @[dec_decode_ctl.scala 312:71] + nonblock_load_write[1] <= _T_142 @[dec_decode_ctl.scala 312:28] + node _T_143 = eq(io.dctl_busbuff.lsu_nonblock_load_inv_tag_r, cam[2].bits.tag) @[dec_decode_ctl.scala 285:66] + node _T_144 = and(io.dctl_busbuff.lsu_nonblock_load_inv_r, _T_143) @[dec_decode_ctl.scala 285:45] + node _T_145 = and(_T_144, cam[2].valid) @[dec_decode_ctl.scala 285:87] + cam_inv_reset_val[2] <= _T_145 @[dec_decode_ctl.scala 285:26] + node _T_146 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, cam[2].bits.tag) @[dec_decode_ctl.scala 286:67] + node _T_147 = and(cam_data_reset, _T_146) @[dec_decode_ctl.scala 286:45] + node _T_148 = and(_T_147, cam_raw[2].valid) @[dec_decode_ctl.scala 286:88] + cam_data_reset_val[2] <= _T_148 @[dec_decode_ctl.scala 286:27] + wire _T_149 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[dec_decode_ctl.scala 287:28] + _T_149.bits.rd <= UInt<5>("h00") @[dec_decode_ctl.scala 287:28] + _T_149.bits.tag <= UInt<3>("h00") @[dec_decode_ctl.scala 287:28] + _T_149.bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 287:28] + _T_149.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 287:28] + cam_in[2].bits.rd <= _T_149.bits.rd @[dec_decode_ctl.scala 287:14] + cam_in[2].bits.tag <= _T_149.bits.tag @[dec_decode_ctl.scala 287:14] + cam_in[2].bits.wb <= _T_149.bits.wb @[dec_decode_ctl.scala 287:14] + cam_in[2].valid <= _T_149.valid @[dec_decode_ctl.scala 287:14] + cam[2].bits.rd <= cam_raw[2].bits.rd @[dec_decode_ctl.scala 288:11] + cam[2].bits.tag <= cam_raw[2].bits.tag @[dec_decode_ctl.scala 288:11] + cam[2].bits.wb <= cam_raw[2].bits.wb @[dec_decode_ctl.scala 288:11] + cam[2].valid <= cam_raw[2].valid @[dec_decode_ctl.scala 288:11] + node _T_150 = bits(cam_data_reset_val[2], 0, 0) @[dec_decode_ctl.scala 290:32] + when _T_150 : @[dec_decode_ctl.scala 290:39] + cam[2].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 291:20] + skip @[dec_decode_ctl.scala 290:39] + node _T_151 = bits(cam_wen, 2, 2) @[dec_decode_ctl.scala 293:17] + node _T_152 = bits(_T_151, 0, 0) @[dec_decode_ctl.scala 293:21] + when _T_152 : @[dec_decode_ctl.scala 293:28] + cam_in[2].valid <= UInt<1>("h01") @[dec_decode_ctl.scala 294:27] + cam_in[2].bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 295:32] + cam_in[2].bits.tag <= cam_write_tag @[dec_decode_ctl.scala 296:32] + cam_in[2].bits.rd <= nonblock_load_rd @[dec_decode_ctl.scala 297:32] + skip @[dec_decode_ctl.scala 293:28] + else : @[dec_decode_ctl.scala 298:131] + node _T_153 = bits(cam_inv_reset_val[2], 0, 0) @[dec_decode_ctl.scala 298:37] + node _T_154 = bits(i0_wen_r, 0, 0) @[dec_decode_ctl.scala 298:57] + node _T_155 = eq(r_d_in.bits.i0rd, cam[2].bits.rd) @[dec_decode_ctl.scala 298:85] + node _T_156 = and(_T_154, _T_155) @[dec_decode_ctl.scala 298:64] + node _T_157 = bits(cam[2].bits.wb, 0, 0) @[dec_decode_ctl.scala 298:123] + node _T_158 = and(_T_156, _T_157) @[dec_decode_ctl.scala 298:105] + node _T_159 = or(_T_153, _T_158) @[dec_decode_ctl.scala 298:44] + when _T_159 : @[dec_decode_ctl.scala 298:131] + cam_in[2].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 299:23] + skip @[dec_decode_ctl.scala 298:131] + else : @[dec_decode_ctl.scala 300:16] + cam_in[2].bits.rd <= cam[2].bits.rd @[dec_decode_ctl.scala 301:22] + cam_in[2].bits.tag <= cam[2].bits.tag @[dec_decode_ctl.scala 301:22] + cam_in[2].bits.wb <= cam[2].bits.wb @[dec_decode_ctl.scala 301:22] + cam_in[2].valid <= cam[2].valid @[dec_decode_ctl.scala 301:22] + skip @[dec_decode_ctl.scala 300:16] + node _T_160 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[dec_decode_ctl.scala 303:37] + node _T_161 = eq(io.dctl_busbuff.lsu_nonblock_load_inv_tag_r, cam[2].bits.tag) @[dec_decode_ctl.scala 303:92] + node _T_162 = and(_T_160, _T_161) @[dec_decode_ctl.scala 303:44] + node _T_163 = eq(cam[2].valid, UInt<1>("h01")) @[dec_decode_ctl.scala 303:128] + node _T_164 = and(_T_162, _T_163) @[dec_decode_ctl.scala 303:113] + when _T_164 : @[dec_decode_ctl.scala 303:135] + cam_in[2].bits.wb <= UInt<1>("h01") @[dec_decode_ctl.scala 304:25] + skip @[dec_decode_ctl.scala 303:135] + when io.dec_tlu_force_halt : @[dec_decode_ctl.scala 307:32] + cam_in[2].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 308:23] + skip @[dec_decode_ctl.scala 307:32] + wire _T_165 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[dec_decode_ctl.scala 311:70] + _T_165.bits.rd <= UInt<5>("h00") @[dec_decode_ctl.scala 311:70] + _T_165.bits.tag <= UInt<3>("h00") @[dec_decode_ctl.scala 311:70] + _T_165.bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 311:70] + _T_165.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 311:70] + reg _T_166 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}, io.free_clk with : (reset => (reset, _T_165)) @[dec_decode_ctl.scala 311:47] + _T_166.bits.rd <= cam_in[2].bits.rd @[dec_decode_ctl.scala 311:47] + _T_166.bits.tag <= cam_in[2].bits.tag @[dec_decode_ctl.scala 311:47] + _T_166.bits.wb <= cam_in[2].bits.wb @[dec_decode_ctl.scala 311:47] + _T_166.valid <= cam_in[2].valid @[dec_decode_ctl.scala 311:47] + cam_raw[2].bits.rd <= _T_166.bits.rd @[dec_decode_ctl.scala 311:15] + cam_raw[2].bits.tag <= _T_166.bits.tag @[dec_decode_ctl.scala 311:15] + cam_raw[2].bits.wb <= _T_166.bits.wb @[dec_decode_ctl.scala 311:15] + cam_raw[2].valid <= _T_166.valid @[dec_decode_ctl.scala 311:15] + node _T_167 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, cam_raw[2].bits.tag) @[dec_decode_ctl.scala 312:46] + node _T_168 = and(_T_167, cam_raw[2].valid) @[dec_decode_ctl.scala 312:71] + nonblock_load_write[2] <= _T_168 @[dec_decode_ctl.scala 312:28] + node _T_169 = eq(io.dctl_busbuff.lsu_nonblock_load_inv_tag_r, cam[3].bits.tag) @[dec_decode_ctl.scala 285:66] + node _T_170 = and(io.dctl_busbuff.lsu_nonblock_load_inv_r, _T_169) @[dec_decode_ctl.scala 285:45] + node _T_171 = and(_T_170, cam[3].valid) @[dec_decode_ctl.scala 285:87] + cam_inv_reset_val[3] <= _T_171 @[dec_decode_ctl.scala 285:26] + node _T_172 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, cam[3].bits.tag) @[dec_decode_ctl.scala 286:67] + node _T_173 = and(cam_data_reset, _T_172) @[dec_decode_ctl.scala 286:45] + node _T_174 = and(_T_173, cam_raw[3].valid) @[dec_decode_ctl.scala 286:88] + cam_data_reset_val[3] <= _T_174 @[dec_decode_ctl.scala 286:27] + wire _T_175 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[dec_decode_ctl.scala 287:28] + _T_175.bits.rd <= UInt<5>("h00") @[dec_decode_ctl.scala 287:28] + _T_175.bits.tag <= UInt<3>("h00") @[dec_decode_ctl.scala 287:28] + _T_175.bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 287:28] + _T_175.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 287:28] + cam_in[3].bits.rd <= _T_175.bits.rd @[dec_decode_ctl.scala 287:14] + cam_in[3].bits.tag <= _T_175.bits.tag @[dec_decode_ctl.scala 287:14] + cam_in[3].bits.wb <= _T_175.bits.wb @[dec_decode_ctl.scala 287:14] + cam_in[3].valid <= _T_175.valid @[dec_decode_ctl.scala 287:14] + cam[3].bits.rd <= cam_raw[3].bits.rd @[dec_decode_ctl.scala 288:11] + cam[3].bits.tag <= cam_raw[3].bits.tag @[dec_decode_ctl.scala 288:11] + cam[3].bits.wb <= cam_raw[3].bits.wb @[dec_decode_ctl.scala 288:11] + cam[3].valid <= cam_raw[3].valid @[dec_decode_ctl.scala 288:11] + node _T_176 = bits(cam_data_reset_val[3], 0, 0) @[dec_decode_ctl.scala 290:32] + when _T_176 : @[dec_decode_ctl.scala 290:39] + cam[3].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 291:20] + skip @[dec_decode_ctl.scala 290:39] + node _T_177 = bits(cam_wen, 3, 3) @[dec_decode_ctl.scala 293:17] + node _T_178 = bits(_T_177, 0, 0) @[dec_decode_ctl.scala 293:21] + when _T_178 : @[dec_decode_ctl.scala 293:28] + cam_in[3].valid <= UInt<1>("h01") @[dec_decode_ctl.scala 294:27] + cam_in[3].bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 295:32] + cam_in[3].bits.tag <= cam_write_tag @[dec_decode_ctl.scala 296:32] + cam_in[3].bits.rd <= nonblock_load_rd @[dec_decode_ctl.scala 297:32] + skip @[dec_decode_ctl.scala 293:28] + else : @[dec_decode_ctl.scala 298:131] + node _T_179 = bits(cam_inv_reset_val[3], 0, 0) @[dec_decode_ctl.scala 298:37] + node _T_180 = bits(i0_wen_r, 0, 0) @[dec_decode_ctl.scala 298:57] + node _T_181 = eq(r_d_in.bits.i0rd, cam[3].bits.rd) @[dec_decode_ctl.scala 298:85] + node _T_182 = and(_T_180, _T_181) @[dec_decode_ctl.scala 298:64] + node _T_183 = bits(cam[3].bits.wb, 0, 0) @[dec_decode_ctl.scala 298:123] + node _T_184 = and(_T_182, _T_183) @[dec_decode_ctl.scala 298:105] + node _T_185 = or(_T_179, _T_184) @[dec_decode_ctl.scala 298:44] + when _T_185 : @[dec_decode_ctl.scala 298:131] + cam_in[3].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 299:23] + skip @[dec_decode_ctl.scala 298:131] + else : @[dec_decode_ctl.scala 300:16] + cam_in[3].bits.rd <= cam[3].bits.rd @[dec_decode_ctl.scala 301:22] + cam_in[3].bits.tag <= cam[3].bits.tag @[dec_decode_ctl.scala 301:22] + cam_in[3].bits.wb <= cam[3].bits.wb @[dec_decode_ctl.scala 301:22] + cam_in[3].valid <= cam[3].valid @[dec_decode_ctl.scala 301:22] + skip @[dec_decode_ctl.scala 300:16] + node _T_186 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[dec_decode_ctl.scala 303:37] + node _T_187 = eq(io.dctl_busbuff.lsu_nonblock_load_inv_tag_r, cam[3].bits.tag) @[dec_decode_ctl.scala 303:92] + node _T_188 = and(_T_186, _T_187) @[dec_decode_ctl.scala 303:44] + node _T_189 = eq(cam[3].valid, UInt<1>("h01")) @[dec_decode_ctl.scala 303:128] + node _T_190 = and(_T_188, _T_189) @[dec_decode_ctl.scala 303:113] + when _T_190 : @[dec_decode_ctl.scala 303:135] + cam_in[3].bits.wb <= UInt<1>("h01") @[dec_decode_ctl.scala 304:25] + skip @[dec_decode_ctl.scala 303:135] + when io.dec_tlu_force_halt : @[dec_decode_ctl.scala 307:32] + cam_in[3].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 308:23] + skip @[dec_decode_ctl.scala 307:32] + wire _T_191 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[dec_decode_ctl.scala 311:70] + _T_191.bits.rd <= UInt<5>("h00") @[dec_decode_ctl.scala 311:70] + _T_191.bits.tag <= UInt<3>("h00") @[dec_decode_ctl.scala 311:70] + _T_191.bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 311:70] + _T_191.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 311:70] + reg _T_192 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}, io.free_clk with : (reset => (reset, _T_191)) @[dec_decode_ctl.scala 311:47] + _T_192.bits.rd <= cam_in[3].bits.rd @[dec_decode_ctl.scala 311:47] + _T_192.bits.tag <= cam_in[3].bits.tag @[dec_decode_ctl.scala 311:47] + _T_192.bits.wb <= cam_in[3].bits.wb @[dec_decode_ctl.scala 311:47] + _T_192.valid <= cam_in[3].valid @[dec_decode_ctl.scala 311:47] + cam_raw[3].bits.rd <= _T_192.bits.rd @[dec_decode_ctl.scala 311:15] + cam_raw[3].bits.tag <= _T_192.bits.tag @[dec_decode_ctl.scala 311:15] + cam_raw[3].bits.wb <= _T_192.bits.wb @[dec_decode_ctl.scala 311:15] + cam_raw[3].valid <= _T_192.valid @[dec_decode_ctl.scala 311:15] + node _T_193 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, cam_raw[3].bits.tag) @[dec_decode_ctl.scala 312:46] + node _T_194 = and(_T_193, cam_raw[3].valid) @[dec_decode_ctl.scala 312:71] + nonblock_load_write[3] <= _T_194 @[dec_decode_ctl.scala 312:28] + io.dec_nonblock_load_waddr <= UInt<5>("h00") @[dec_decode_ctl.scala 315:29] + node _T_195 = eq(r_d_in.bits.i0rd, io.dec_nonblock_load_waddr) @[dec_decode_ctl.scala 317:49] + node nonblock_load_cancel = and(_T_195, i0_wen_r) @[dec_decode_ctl.scala 317:81] + node _T_196 = or(nonblock_load_write[0], nonblock_load_write[1]) @[dec_decode_ctl.scala 318:108] + node _T_197 = or(_T_196, nonblock_load_write[2]) @[dec_decode_ctl.scala 318:108] + node _T_198 = or(_T_197, nonblock_load_write[3]) @[dec_decode_ctl.scala 318:108] + node _T_199 = bits(_T_198, 0, 0) @[dec_decode_ctl.scala 318:112] + node _T_200 = and(io.dctl_busbuff.lsu_nonblock_load_data_valid, _T_199) @[dec_decode_ctl.scala 318:77] + node _T_201 = eq(nonblock_load_cancel, UInt<1>("h00")) @[dec_decode_ctl.scala 318:122] + node _T_202 = and(_T_200, _T_201) @[dec_decode_ctl.scala 318:119] + io.dec_nonblock_load_wen <= _T_202 @[dec_decode_ctl.scala 318:28] + node _T_203 = eq(nonblock_load_rd, i0r.rs1) @[dec_decode_ctl.scala 319:54] + node _T_204 = and(_T_203, io.dctl_busbuff.lsu_nonblock_load_valid_m) @[dec_decode_ctl.scala 319:66] + node _T_205 = and(_T_204, io.decode_exu.dec_i0_rs1_en_d) @[dec_decode_ctl.scala 319:110] + node _T_206 = eq(nonblock_load_rd, i0r.rs2) @[dec_decode_ctl.scala 319:161] + node _T_207 = and(_T_206, io.dctl_busbuff.lsu_nonblock_load_valid_m) @[dec_decode_ctl.scala 319:173] + node _T_208 = and(_T_207, io.decode_exu.dec_i0_rs2_en_d) @[dec_decode_ctl.scala 319:217] + node i0_nonblock_boundary_stall = or(_T_205, _T_208) @[dec_decode_ctl.scala 319:142] + i0_nonblock_load_stall <= i0_nonblock_boundary_stall @[dec_decode_ctl.scala 321:26] + node _T_209 = bits(nonblock_load_write[0], 0, 0) @[Bitwise.scala 72:15] + node _T_210 = mux(_T_209, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_211 = and(_T_210, cam[0].bits.rd) @[dec_decode_ctl.scala 323:88] + node _T_212 = and(io.decode_exu.dec_i0_rs1_en_d, cam[0].valid) @[dec_decode_ctl.scala 323:137] + node _T_213 = eq(cam[0].bits.rd, i0r.rs1) @[dec_decode_ctl.scala 323:170] + node _T_214 = and(_T_212, _T_213) @[dec_decode_ctl.scala 323:152] + node _T_215 = and(io.decode_exu.dec_i0_rs2_en_d, cam[0].valid) @[dec_decode_ctl.scala 323:214] + node _T_216 = eq(cam[0].bits.rd, i0r.rs2) @[dec_decode_ctl.scala 323:247] + node _T_217 = and(_T_215, _T_216) @[dec_decode_ctl.scala 323:229] + node _T_218 = bits(nonblock_load_write[1], 0, 0) @[Bitwise.scala 72:15] + node _T_219 = mux(_T_218, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_220 = and(_T_219, cam[1].bits.rd) @[dec_decode_ctl.scala 323:88] + node _T_221 = and(io.decode_exu.dec_i0_rs1_en_d, cam[1].valid) @[dec_decode_ctl.scala 323:137] + node _T_222 = eq(cam[1].bits.rd, i0r.rs1) @[dec_decode_ctl.scala 323:170] + node _T_223 = and(_T_221, _T_222) @[dec_decode_ctl.scala 323:152] + node _T_224 = and(io.decode_exu.dec_i0_rs2_en_d, cam[1].valid) @[dec_decode_ctl.scala 323:214] + node _T_225 = eq(cam[1].bits.rd, i0r.rs2) @[dec_decode_ctl.scala 323:247] + node _T_226 = and(_T_224, _T_225) @[dec_decode_ctl.scala 323:229] + node _T_227 = bits(nonblock_load_write[2], 0, 0) @[Bitwise.scala 72:15] + node _T_228 = mux(_T_227, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_229 = and(_T_228, cam[2].bits.rd) @[dec_decode_ctl.scala 323:88] + node _T_230 = and(io.decode_exu.dec_i0_rs1_en_d, cam[2].valid) @[dec_decode_ctl.scala 323:137] + node _T_231 = eq(cam[2].bits.rd, i0r.rs1) @[dec_decode_ctl.scala 323:170] + node _T_232 = and(_T_230, _T_231) @[dec_decode_ctl.scala 323:152] + node _T_233 = and(io.decode_exu.dec_i0_rs2_en_d, cam[2].valid) @[dec_decode_ctl.scala 323:214] + node _T_234 = eq(cam[2].bits.rd, i0r.rs2) @[dec_decode_ctl.scala 323:247] + node _T_235 = and(_T_233, _T_234) @[dec_decode_ctl.scala 323:229] + node _T_236 = bits(nonblock_load_write[3], 0, 0) @[Bitwise.scala 72:15] + node _T_237 = mux(_T_236, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_238 = and(_T_237, cam[3].bits.rd) @[dec_decode_ctl.scala 323:88] + node _T_239 = and(io.decode_exu.dec_i0_rs1_en_d, cam[3].valid) @[dec_decode_ctl.scala 323:137] + node _T_240 = eq(cam[3].bits.rd, i0r.rs1) @[dec_decode_ctl.scala 323:170] + node _T_241 = and(_T_239, _T_240) @[dec_decode_ctl.scala 323:152] + node _T_242 = and(io.decode_exu.dec_i0_rs2_en_d, cam[3].valid) @[dec_decode_ctl.scala 323:214] + node _T_243 = eq(cam[3].bits.rd, i0r.rs2) @[dec_decode_ctl.scala 323:247] + node _T_244 = and(_T_242, _T_243) @[dec_decode_ctl.scala 323:229] + node _T_245 = or(_T_211, _T_220) @[dec_decode_ctl.scala 324:69] + node _T_246 = or(_T_245, _T_229) @[dec_decode_ctl.scala 324:69] + node waddr = or(_T_246, _T_238) @[dec_decode_ctl.scala 324:69] + node _T_247 = or(_T_214, _T_223) @[dec_decode_ctl.scala 324:102] + node _T_248 = or(_T_247, _T_232) @[dec_decode_ctl.scala 324:102] + node ld_stall_1 = or(_T_248, _T_241) @[dec_decode_ctl.scala 324:102] + node _T_249 = or(_T_217, _T_226) @[dec_decode_ctl.scala 324:134] + node _T_250 = or(_T_249, _T_235) @[dec_decode_ctl.scala 324:134] + node ld_stall_2 = or(_T_250, _T_244) @[dec_decode_ctl.scala 324:134] + io.dec_nonblock_load_waddr <= waddr @[dec_decode_ctl.scala 325:29] + node _T_251 = or(ld_stall_1, ld_stall_2) @[dec_decode_ctl.scala 326:38] + node _T_252 = or(_T_251, i0_nonblock_boundary_stall) @[dec_decode_ctl.scala 326:51] + i0_nonblock_load_stall <= _T_252 @[dec_decode_ctl.scala 326:25] + node _T_253 = eq(i0_predict_br, UInt<1>("h00")) @[dec_decode_ctl.scala 335:34] + node i0_br_unpred = and(i0_dp.jal, _T_253) @[dec_decode_ctl.scala 335:32] + node _T_254 = bits(i0_legal_decode_d, 0, 0) @[Bitwise.scala 72:15] + node _T_255 = mux(_T_254, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_256 = and(csr_read, io.dec_csr_wen_unq_d) @[dec_decode_ctl.scala 347:16] + node _T_257 = bits(_T_256, 0, 0) @[dec_decode_ctl.scala 347:30] + node _T_258 = eq(csr_read, UInt<1>("h00")) @[dec_decode_ctl.scala 348:6] + node _T_259 = and(_T_258, io.dec_csr_wen_unq_d) @[dec_decode_ctl.scala 348:16] + node _T_260 = bits(_T_259, 0, 0) @[dec_decode_ctl.scala 348:30] + node _T_261 = eq(io.dec_csr_wen_unq_d, UInt<1>("h00")) @[dec_decode_ctl.scala 349:18] + node _T_262 = and(csr_read, _T_261) @[dec_decode_ctl.scala 349:16] + node _T_263 = bits(_T_262, 0, 0) @[dec_decode_ctl.scala 349:30] + node _T_264 = mux(i0_dp.mul, UInt<4>("h01"), UInt<4>("h00")) @[Mux.scala 98:16] + node _T_265 = mux(i0_dp.load, UInt<4>("h02"), _T_264) @[Mux.scala 98:16] + node _T_266 = mux(i0_dp.store, UInt<4>("h03"), _T_265) @[Mux.scala 98:16] + node _T_267 = mux(i0_dp.pm_alu, UInt<4>("h04"), _T_266) @[Mux.scala 98:16] + node _T_268 = mux(_T_263, UInt<4>("h05"), _T_267) @[Mux.scala 98:16] + node _T_269 = mux(_T_260, UInt<4>("h06"), _T_268) @[Mux.scala 98:16] + node _T_270 = mux(_T_257, UInt<4>("h07"), _T_269) @[Mux.scala 98:16] + node _T_271 = mux(i0_dp.ebreak, UInt<4>("h08"), _T_270) @[Mux.scala 98:16] + node _T_272 = mux(i0_dp.ecall, UInt<4>("h09"), _T_271) @[Mux.scala 98:16] + node _T_273 = mux(i0_dp.fence, UInt<4>("h0a"), _T_272) @[Mux.scala 98:16] + node _T_274 = mux(i0_dp.fence_i, UInt<4>("h0b"), _T_273) @[Mux.scala 98:16] + node _T_275 = mux(i0_dp.mret, UInt<4>("h0c"), _T_274) @[Mux.scala 98:16] + node _T_276 = mux(i0_dp.condbr, UInt<4>("h0d"), _T_275) @[Mux.scala 98:16] + node _T_277 = mux(i0_dp.jal, UInt<4>("h0e"), _T_276) @[Mux.scala 98:16] + node _T_278 = and(_T_255, _T_277) @[dec_decode_ctl.scala 339:49] + d_t.pmu_i0_itype <= _T_278 @[dec_decode_ctl.scala 339:21] + inst i0_dec of dec_dec_ctl @[dec_decode_ctl.scala 356:22] + i0_dec.clock <= clock + i0_dec.reset <= reset + i0_dec.io.ins <= io.dec_i0_instr_d @[dec_decode_ctl.scala 357:16] + i0_dp_raw.legal <= i0_dec.io.out.legal @[dec_decode_ctl.scala 358:12] + i0_dp_raw.pm_alu <= i0_dec.io.out.pm_alu @[dec_decode_ctl.scala 358:12] + i0_dp_raw.fence_i <= i0_dec.io.out.fence_i @[dec_decode_ctl.scala 358:12] + i0_dp_raw.fence <= i0_dec.io.out.fence @[dec_decode_ctl.scala 358:12] + i0_dp_raw.rem <= i0_dec.io.out.rem @[dec_decode_ctl.scala 358:12] + i0_dp_raw.div <= i0_dec.io.out.div @[dec_decode_ctl.scala 358:12] + i0_dp_raw.low <= i0_dec.io.out.low @[dec_decode_ctl.scala 358:12] + i0_dp_raw.rs2_sign <= i0_dec.io.out.rs2_sign @[dec_decode_ctl.scala 358:12] + i0_dp_raw.rs1_sign <= i0_dec.io.out.rs1_sign @[dec_decode_ctl.scala 358:12] + i0_dp_raw.mul <= i0_dec.io.out.mul @[dec_decode_ctl.scala 358:12] + i0_dp_raw.mret <= i0_dec.io.out.mret @[dec_decode_ctl.scala 358:12] + i0_dp_raw.ecall <= i0_dec.io.out.ecall @[dec_decode_ctl.scala 358:12] + i0_dp_raw.ebreak <= i0_dec.io.out.ebreak @[dec_decode_ctl.scala 358:12] + i0_dp_raw.postsync <= i0_dec.io.out.postsync @[dec_decode_ctl.scala 358:12] + i0_dp_raw.presync <= i0_dec.io.out.presync @[dec_decode_ctl.scala 358:12] + i0_dp_raw.csr_imm <= i0_dec.io.out.csr_imm @[dec_decode_ctl.scala 358:12] + i0_dp_raw.csr_write <= i0_dec.io.out.csr_write @[dec_decode_ctl.scala 358:12] + i0_dp_raw.csr_set <= i0_dec.io.out.csr_set @[dec_decode_ctl.scala 358:12] + i0_dp_raw.csr_clr <= i0_dec.io.out.csr_clr @[dec_decode_ctl.scala 358:12] + i0_dp_raw.csr_read <= i0_dec.io.out.csr_read @[dec_decode_ctl.scala 358:12] + i0_dp_raw.word <= i0_dec.io.out.word @[dec_decode_ctl.scala 358:12] + i0_dp_raw.half <= i0_dec.io.out.half @[dec_decode_ctl.scala 358:12] + i0_dp_raw.by <= i0_dec.io.out.by @[dec_decode_ctl.scala 358:12] + i0_dp_raw.jal <= i0_dec.io.out.jal @[dec_decode_ctl.scala 358:12] + i0_dp_raw.blt <= i0_dec.io.out.blt @[dec_decode_ctl.scala 358:12] + i0_dp_raw.bge <= i0_dec.io.out.bge @[dec_decode_ctl.scala 358:12] + i0_dp_raw.bne <= i0_dec.io.out.bne @[dec_decode_ctl.scala 358:12] + i0_dp_raw.beq <= i0_dec.io.out.beq @[dec_decode_ctl.scala 358:12] + i0_dp_raw.condbr <= i0_dec.io.out.condbr @[dec_decode_ctl.scala 358:12] + i0_dp_raw.unsign <= i0_dec.io.out.unsign @[dec_decode_ctl.scala 358:12] + i0_dp_raw.slt <= i0_dec.io.out.slt @[dec_decode_ctl.scala 358:12] + i0_dp_raw.srl <= i0_dec.io.out.srl @[dec_decode_ctl.scala 358:12] + i0_dp_raw.sra <= i0_dec.io.out.sra @[dec_decode_ctl.scala 358:12] + i0_dp_raw.sll <= i0_dec.io.out.sll @[dec_decode_ctl.scala 358:12] + i0_dp_raw.lxor <= i0_dec.io.out.lxor @[dec_decode_ctl.scala 358:12] + i0_dp_raw.lor <= i0_dec.io.out.lor @[dec_decode_ctl.scala 358:12] + i0_dp_raw.land <= i0_dec.io.out.land @[dec_decode_ctl.scala 358:12] + i0_dp_raw.sub <= i0_dec.io.out.sub @[dec_decode_ctl.scala 358:12] + i0_dp_raw.add <= i0_dec.io.out.add @[dec_decode_ctl.scala 358:12] + i0_dp_raw.lsu <= i0_dec.io.out.lsu @[dec_decode_ctl.scala 358:12] + i0_dp_raw.store <= i0_dec.io.out.store @[dec_decode_ctl.scala 358:12] + i0_dp_raw.load <= i0_dec.io.out.load @[dec_decode_ctl.scala 358:12] + i0_dp_raw.pc <= i0_dec.io.out.pc @[dec_decode_ctl.scala 358:12] + i0_dp_raw.imm20 <= i0_dec.io.out.imm20 @[dec_decode_ctl.scala 358:12] + i0_dp_raw.shimm5 <= i0_dec.io.out.shimm5 @[dec_decode_ctl.scala 358:12] + i0_dp_raw.rd <= i0_dec.io.out.rd @[dec_decode_ctl.scala 358:12] + i0_dp_raw.imm12 <= i0_dec.io.out.imm12 @[dec_decode_ctl.scala 358:12] + i0_dp_raw.rs2 <= i0_dec.io.out.rs2 @[dec_decode_ctl.scala 358:12] + i0_dp_raw.rs1 <= i0_dec.io.out.rs1 @[dec_decode_ctl.scala 358:12] + i0_dp_raw.alu <= i0_dec.io.out.alu @[dec_decode_ctl.scala 358:12] + reg _T_279 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 360:45] + _T_279 <= io.lsu_idle_any @[dec_decode_ctl.scala 360:45] + lsu_idle <= _T_279 @[dec_decode_ctl.scala 360:11] + node _T_280 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[dec_decode_ctl.scala 363:73] + node _T_281 = and(leak1_i1_stall, _T_280) @[dec_decode_ctl.scala 363:71] + node _T_282 = or(io.dec_tlu_flush_leak_one_r, _T_281) @[dec_decode_ctl.scala 363:53] + leak1_i1_stall_in <= _T_282 @[dec_decode_ctl.scala 363:21] + reg _T_283 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 364:56] + _T_283 <= leak1_i1_stall_in @[dec_decode_ctl.scala 364:56] + leak1_i1_stall <= _T_283 @[dec_decode_ctl.scala 364:21] + leak1_mode <= leak1_i1_stall @[dec_decode_ctl.scala 365:14] + node _T_284 = and(io.dec_aln.dec_i0_decode_d, leak1_i1_stall) @[dec_decode_ctl.scala 366:53] + node _T_285 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[dec_decode_ctl.scala 366:91] + node _T_286 = and(leak1_i0_stall, _T_285) @[dec_decode_ctl.scala 366:89] + node _T_287 = or(_T_284, _T_286) @[dec_decode_ctl.scala 366:71] + leak1_i0_stall_in <= _T_287 @[dec_decode_ctl.scala 366:21] + reg _T_288 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 367:56] + _T_288 <= leak1_i0_stall_in @[dec_decode_ctl.scala 367:56] + leak1_i0_stall <= _T_288 @[dec_decode_ctl.scala 367:21] + node _T_289 = bits(io.dec_i0_instr_d, 31, 31) @[dec_decode_ctl.scala 371:29] + node _T_290 = bits(io.dec_i0_instr_d, 19, 12) @[dec_decode_ctl.scala 371:36] + node _T_291 = bits(io.dec_i0_instr_d, 20, 20) @[dec_decode_ctl.scala 371:46] + node _T_292 = bits(io.dec_i0_instr_d, 30, 21) @[dec_decode_ctl.scala 371:53] + node _T_293 = cat(_T_291, _T_292) @[Cat.scala 29:58] + node _T_294 = cat(_T_289, _T_290) @[Cat.scala 29:58] + node i0_pcall_imm = cat(_T_294, _T_293) @[Cat.scala 29:58] + node _T_295 = bits(i0_pcall_imm, 11, 11) @[dec_decode_ctl.scala 372:46] + node _T_296 = bits(_T_295, 0, 0) @[dec_decode_ctl.scala 372:51] + node _T_297 = bits(i0_pcall_imm, 19, 12) @[dec_decode_ctl.scala 372:71] + node _T_298 = eq(_T_297, UInt<8>("h0ff")) @[dec_decode_ctl.scala 372:79] + node _T_299 = bits(i0_pcall_imm, 19, 12) @[dec_decode_ctl.scala 372:104] + node _T_300 = eq(_T_299, UInt<8>("h00")) @[dec_decode_ctl.scala 372:112] + node i0_pcall_12b_offset = mux(_T_296, _T_298, _T_300) @[dec_decode_ctl.scala 372:33] + node _T_301 = and(i0_pcall_12b_offset, i0_dp_raw.imm20) @[dec_decode_ctl.scala 373:47] + node _T_302 = eq(i0r.rd, UInt<5>("h01")) @[dec_decode_ctl.scala 373:76] + node _T_303 = eq(i0r.rd, UInt<5>("h05")) @[dec_decode_ctl.scala 373:98] + node _T_304 = or(_T_302, _T_303) @[dec_decode_ctl.scala 373:89] + node i0_pcall_case = and(_T_301, _T_304) @[dec_decode_ctl.scala 373:65] + node _T_305 = and(i0_pcall_12b_offset, i0_dp_raw.imm20) @[dec_decode_ctl.scala 374:47] + node _T_306 = eq(i0r.rd, UInt<5>("h01")) @[dec_decode_ctl.scala 374:76] + node _T_307 = eq(i0r.rd, UInt<5>("h05")) @[dec_decode_ctl.scala 374:98] + node _T_308 = or(_T_306, _T_307) @[dec_decode_ctl.scala 374:89] + node _T_309 = eq(_T_308, UInt<1>("h00")) @[dec_decode_ctl.scala 374:67] + node i0_pja_case = and(_T_305, _T_309) @[dec_decode_ctl.scala 374:65] + node _T_310 = and(i0_dp_raw.jal, i0_pcall_case) @[dec_decode_ctl.scala 375:38] + i0_pcall_raw <= _T_310 @[dec_decode_ctl.scala 375:20] + node _T_311 = and(i0_dp.jal, i0_pcall_case) @[dec_decode_ctl.scala 376:38] + i0_pcall <= _T_311 @[dec_decode_ctl.scala 376:20] + node _T_312 = and(i0_dp_raw.jal, i0_pja_case) @[dec_decode_ctl.scala 377:38] + i0_pja_raw <= _T_312 @[dec_decode_ctl.scala 377:20] + node _T_313 = and(i0_dp.jal, i0_pja_case) @[dec_decode_ctl.scala 378:38] + i0_pja <= _T_313 @[dec_decode_ctl.scala 378:20] + node _T_314 = or(i0_pcall_raw, i0_pja_raw) @[dec_decode_ctl.scala 379:41] + node _T_315 = bits(_T_314, 0, 0) @[dec_decode_ctl.scala 379:55] + node _T_316 = bits(i0_pcall_imm, 11, 0) @[dec_decode_ctl.scala 379:75] + node _T_317 = bits(io.dec_i0_instr_d, 31, 31) @[dec_decode_ctl.scala 379:90] + node _T_318 = bits(io.dec_i0_instr_d, 7, 7) @[dec_decode_ctl.scala 379:97] + node _T_319 = bits(io.dec_i0_instr_d, 30, 25) @[dec_decode_ctl.scala 379:103] + node _T_320 = bits(io.dec_i0_instr_d, 11, 8) @[dec_decode_ctl.scala 379:113] + node _T_321 = cat(_T_319, _T_320) @[Cat.scala 29:58] + node _T_322 = cat(_T_317, _T_318) @[Cat.scala 29:58] + node _T_323 = cat(_T_322, _T_321) @[Cat.scala 29:58] + node _T_324 = mux(_T_315, _T_316, _T_323) @[dec_decode_ctl.scala 379:26] + i0_br_offset <= _T_324 @[dec_decode_ctl.scala 379:20] + node _T_325 = and(i0_dp_raw.jal, i0_dp_raw.imm12) @[dec_decode_ctl.scala 381:37] + node _T_326 = eq(i0r.rd, UInt<5>("h00")) @[dec_decode_ctl.scala 381:65] + node _T_327 = and(_T_325, _T_326) @[dec_decode_ctl.scala 381:55] + node _T_328 = eq(i0r.rs1, UInt<5>("h01")) @[dec_decode_ctl.scala 381:89] + node _T_329 = eq(i0r.rs1, UInt<5>("h05")) @[dec_decode_ctl.scala 381:111] + node _T_330 = or(_T_328, _T_329) @[dec_decode_ctl.scala 381:101] + node i0_pret_case = and(_T_327, _T_330) @[dec_decode_ctl.scala 381:79] + node _T_331 = and(i0_dp_raw.jal, i0_pret_case) @[dec_decode_ctl.scala 382:32] + i0_pret_raw <= _T_331 @[dec_decode_ctl.scala 382:15] + node _T_332 = and(i0_dp.jal, i0_pret_case) @[dec_decode_ctl.scala 383:32] + i0_pret <= _T_332 @[dec_decode_ctl.scala 383:15] + node _T_333 = eq(i0_pcall_case, UInt<1>("h00")) @[dec_decode_ctl.scala 384:35] + node _T_334 = and(i0_dp.jal, _T_333) @[dec_decode_ctl.scala 384:32] + node _T_335 = eq(i0_pja_case, UInt<1>("h00")) @[dec_decode_ctl.scala 384:52] + node _T_336 = and(_T_334, _T_335) @[dec_decode_ctl.scala 384:50] + node _T_337 = eq(i0_pret_case, UInt<1>("h00")) @[dec_decode_ctl.scala 384:67] + node _T_338 = and(_T_336, _T_337) @[dec_decode_ctl.scala 384:65] + i0_jal <= _T_338 @[dec_decode_ctl.scala 384:15] + io.dec_div.div_p.valid <= div_decode_d @[dec_decode_ctl.scala 387:29] + io.dec_div.div_p.bits.unsign <= i0_dp.unsign @[dec_decode_ctl.scala 388:34] + io.dec_div.div_p.bits.rem <= i0_dp.rem @[dec_decode_ctl.scala 389:34] + io.decode_exu.mul_p.valid <= mul_decode_d @[dec_decode_ctl.scala 391:32] + io.decode_exu.mul_p.bits.rs1_sign <= i0_dp.rs1_sign @[dec_decode_ctl.scala 392:37] + io.decode_exu.mul_p.bits.rs2_sign <= i0_dp.rs2_sign @[dec_decode_ctl.scala 393:37] + io.decode_exu.mul_p.bits.low <= i0_dp.low @[dec_decode_ctl.scala 394:37] + reg _T_339 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 396:69] + _T_339 <= io.dec_tlu_flush_extint @[dec_decode_ctl.scala 396:69] + io.decode_exu.dec_extint_stall <= _T_339 @[dec_decode_ctl.scala 396:34] + wire _T_340 : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}} @[dec_decode_ctl.scala 398:27] + _T_340.bits.store_data_bypass_m <= UInt<1>("h00") @[dec_decode_ctl.scala 398:27] + _T_340.bits.load_ldst_bypass_d <= UInt<1>("h00") @[dec_decode_ctl.scala 398:27] + _T_340.bits.store_data_bypass_d <= UInt<1>("h00") @[dec_decode_ctl.scala 398:27] + _T_340.bits.dma <= UInt<1>("h00") @[dec_decode_ctl.scala 398:27] + _T_340.bits.unsign <= UInt<1>("h00") @[dec_decode_ctl.scala 398:27] + _T_340.bits.store <= UInt<1>("h00") @[dec_decode_ctl.scala 398:27] + _T_340.bits.load <= UInt<1>("h00") @[dec_decode_ctl.scala 398:27] + _T_340.bits.dword <= UInt<1>("h00") @[dec_decode_ctl.scala 398:27] + _T_340.bits.word <= UInt<1>("h00") @[dec_decode_ctl.scala 398:27] + _T_340.bits.half <= UInt<1>("h00") @[dec_decode_ctl.scala 398:27] + _T_340.bits.by <= UInt<1>("h00") @[dec_decode_ctl.scala 398:27] + _T_340.bits.fast_int <= UInt<1>("h00") @[dec_decode_ctl.scala 398:27] + _T_340.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 398:27] + io.lsu_p.bits.store_data_bypass_m <= _T_340.bits.store_data_bypass_m @[dec_decode_ctl.scala 398:12] + io.lsu_p.bits.load_ldst_bypass_d <= _T_340.bits.load_ldst_bypass_d @[dec_decode_ctl.scala 398:12] + io.lsu_p.bits.store_data_bypass_d <= _T_340.bits.store_data_bypass_d @[dec_decode_ctl.scala 398:12] + io.lsu_p.bits.dma <= _T_340.bits.dma @[dec_decode_ctl.scala 398:12] + io.lsu_p.bits.unsign <= _T_340.bits.unsign @[dec_decode_ctl.scala 398:12] + io.lsu_p.bits.store <= _T_340.bits.store @[dec_decode_ctl.scala 398:12] + io.lsu_p.bits.load <= _T_340.bits.load @[dec_decode_ctl.scala 398:12] + io.lsu_p.bits.dword <= _T_340.bits.dword @[dec_decode_ctl.scala 398:12] + io.lsu_p.bits.word <= _T_340.bits.word @[dec_decode_ctl.scala 398:12] + io.lsu_p.bits.half <= _T_340.bits.half @[dec_decode_ctl.scala 398:12] + io.lsu_p.bits.by <= _T_340.bits.by @[dec_decode_ctl.scala 398:12] + io.lsu_p.bits.fast_int <= _T_340.bits.fast_int @[dec_decode_ctl.scala 398:12] + io.lsu_p.valid <= _T_340.valid @[dec_decode_ctl.scala 398:12] + when io.decode_exu.dec_extint_stall : @[dec_decode_ctl.scala 399:40] + io.lsu_p.bits.load <= UInt<1>("h01") @[dec_decode_ctl.scala 400:29] + io.lsu_p.bits.word <= UInt<1>("h01") @[dec_decode_ctl.scala 401:29] + io.lsu_p.bits.fast_int <= UInt<1>("h01") @[dec_decode_ctl.scala 402:29] + io.lsu_p.valid <= UInt<1>("h01") @[dec_decode_ctl.scala 403:24] + skip @[dec_decode_ctl.scala 399:40] + else : @[dec_decode_ctl.scala 404:15] + io.lsu_p.valid <= lsu_decode_d @[dec_decode_ctl.scala 405:35] + io.lsu_p.bits.load <= i0_dp.load @[dec_decode_ctl.scala 406:40] + io.lsu_p.bits.store <= i0_dp.store @[dec_decode_ctl.scala 407:40] + io.lsu_p.bits.by <= i0_dp.by @[dec_decode_ctl.scala 408:40] + io.lsu_p.bits.half <= i0_dp.half @[dec_decode_ctl.scala 409:40] + io.lsu_p.bits.word <= i0_dp.word @[dec_decode_ctl.scala 410:40] + io.lsu_p.bits.load_ldst_bypass_d <= load_ldst_bypass_d @[dec_decode_ctl.scala 411:40] + io.lsu_p.bits.store_data_bypass_d <= store_data_bypass_d @[dec_decode_ctl.scala 412:40] + io.lsu_p.bits.store_data_bypass_m <= store_data_bypass_m @[dec_decode_ctl.scala 413:40] + io.lsu_p.bits.unsign <= i0_dp.unsign @[dec_decode_ctl.scala 414:40] + skip @[dec_decode_ctl.scala 404:15] + io.dec_alu.dec_csr_ren_d <= i0_dp.csr_read @[dec_decode_ctl.scala 418:29] + node _T_341 = bits(i0_legal_decode_d, 0, 0) @[dec_decode_ctl.scala 419:56] + node _T_342 = and(i0_dp.csr_read, _T_341) @[dec_decode_ctl.scala 419:36] + csr_read <= _T_342 @[dec_decode_ctl.scala 419:18] + node _T_343 = eq(io.dec_debug_fence_d, UInt<1>("h00")) @[dec_decode_ctl.scala 421:42] + node i0_csr_write = and(i0_dp.csr_write, _T_343) @[dec_decode_ctl.scala 421:40] + node _T_344 = bits(i0_legal_decode_d, 0, 0) @[dec_decode_ctl.scala 422:61] + node csr_clr_d = and(i0_dp.csr_clr, _T_344) @[dec_decode_ctl.scala 422:41] + node _T_345 = bits(i0_legal_decode_d, 0, 0) @[dec_decode_ctl.scala 423:59] + node csr_set_d = and(i0_dp.csr_set, _T_345) @[dec_decode_ctl.scala 423:39] + node _T_346 = bits(i0_legal_decode_d, 0, 0) @[dec_decode_ctl.scala 424:59] + node csr_write_d = and(i0_csr_write, _T_346) @[dec_decode_ctl.scala 424:39] + node _T_347 = eq(i0_dp.csr_read, UInt<1>("h00")) @[dec_decode_ctl.scala 426:41] + node _T_348 = and(i0_csr_write, _T_347) @[dec_decode_ctl.scala 426:39] + i0_csr_write_only_d <= _T_348 @[dec_decode_ctl.scala 426:23] + node _T_349 = or(i0_dp.csr_clr, i0_dp.csr_set) @[dec_decode_ctl.scala 427:42] + node _T_350 = or(_T_349, i0_csr_write) @[dec_decode_ctl.scala 427:58] + io.dec_csr_wen_unq_d <= _T_350 @[dec_decode_ctl.scala 427:24] + node _T_351 = bits(io.dec_i0_instr_d, 31, 20) @[dec_decode_ctl.scala 430:30] + io.dec_csr_rdaddr_d <= _T_351 @[dec_decode_ctl.scala 430:24] + io.dec_csr_wraddr_r <= r_d.bits.csrwaddr @[dec_decode_ctl.scala 431:23] + node _T_352 = and(r_d.bits.csrwen, r_d.valid) @[dec_decode_ctl.scala 435:39] + node _T_353 = eq(io.dec_tlu_i0_kill_writeb_r, UInt<1>("h00")) @[dec_decode_ctl.scala 435:53] + node _T_354 = and(_T_352, _T_353) @[dec_decode_ctl.scala 435:51] + io.dec_csr_wen_r <= _T_354 @[dec_decode_ctl.scala 435:20] + node _T_355 = eq(r_d.bits.csrwaddr, UInt<10>("h0300")) @[dec_decode_ctl.scala 438:50] + node _T_356 = eq(r_d.bits.csrwaddr, UInt<10>("h0304")) @[dec_decode_ctl.scala 438:85] + node _T_357 = or(_T_355, _T_356) @[dec_decode_ctl.scala 438:64] + node _T_358 = and(_T_357, r_d.bits.csrwen) @[dec_decode_ctl.scala 438:100] + node _T_359 = and(_T_358, r_d.valid) @[dec_decode_ctl.scala 438:118] + node _T_360 = eq(io.dec_tlu_i0_kill_writeb_wb, UInt<1>("h00")) @[dec_decode_ctl.scala 438:132] + node _T_361 = and(_T_359, _T_360) @[dec_decode_ctl.scala 438:130] + io.dec_csr_stall_int_ff <= _T_361 @[dec_decode_ctl.scala 438:27] + reg csr_read_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 440:52] + csr_read_x <= csr_read @[dec_decode_ctl.scala 440:52] + reg csr_clr_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 441:51] + csr_clr_x <= csr_clr_d @[dec_decode_ctl.scala 441:51] + reg csr_set_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 442:51] + csr_set_x <= csr_set_d @[dec_decode_ctl.scala 442:51] + reg csr_write_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 443:53] + csr_write_x <= csr_write_d @[dec_decode_ctl.scala 443:53] + reg csr_imm_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 444:51] + csr_imm_x <= i0_dp.csr_imm @[dec_decode_ctl.scala 444:51] + node _T_362 = bits(io.dec_i0_instr_d, 19, 15) @[dec_decode_ctl.scala 447:27] + node _T_363 = bits(i0_x_data_en, 0, 0) @[dec_decode_ctl.scala 447:48] + inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 368:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_1.io.en <= _T_363 @[lib.scala 371:17] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg csrimm_x : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + csrimm_x <= _T_362 @[lib.scala 374:16] + node _T_364 = bits(i0_x_data_en, 0, 0) @[dec_decode_ctl.scala 448:62] + inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 368:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_2.io.en <= _T_364 @[lib.scala 371:17] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg csr_rddata_x : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + csr_rddata_x <= io.dec_csr_rddata_d @[lib.scala 374:16] + node _T_365 = bits(csr_imm_x, 0, 0) @[dec_decode_ctl.scala 451:15] + wire _T_366 : UInt<1>[27] @[lib.scala 12:48] + _T_366[0] <= UInt<1>("h00") @[lib.scala 12:48] + _T_366[1] <= UInt<1>("h00") @[lib.scala 12:48] + _T_366[2] <= UInt<1>("h00") @[lib.scala 12:48] + _T_366[3] <= UInt<1>("h00") @[lib.scala 12:48] + _T_366[4] <= UInt<1>("h00") @[lib.scala 12:48] + _T_366[5] <= UInt<1>("h00") @[lib.scala 12:48] + _T_366[6] <= UInt<1>("h00") @[lib.scala 12:48] + _T_366[7] <= UInt<1>("h00") @[lib.scala 12:48] + _T_366[8] <= UInt<1>("h00") @[lib.scala 12:48] + _T_366[9] <= UInt<1>("h00") @[lib.scala 12:48] + _T_366[10] <= UInt<1>("h00") @[lib.scala 12:48] + _T_366[11] <= UInt<1>("h00") @[lib.scala 12:48] + _T_366[12] <= UInt<1>("h00") @[lib.scala 12:48] + _T_366[13] <= UInt<1>("h00") @[lib.scala 12:48] + _T_366[14] <= UInt<1>("h00") @[lib.scala 12:48] + _T_366[15] <= UInt<1>("h00") @[lib.scala 12:48] + _T_366[16] <= UInt<1>("h00") @[lib.scala 12:48] + _T_366[17] <= UInt<1>("h00") @[lib.scala 12:48] + _T_366[18] <= UInt<1>("h00") @[lib.scala 12:48] + _T_366[19] <= UInt<1>("h00") @[lib.scala 12:48] + _T_366[20] <= UInt<1>("h00") @[lib.scala 12:48] + _T_366[21] <= UInt<1>("h00") @[lib.scala 12:48] + _T_366[22] <= UInt<1>("h00") @[lib.scala 12:48] + _T_366[23] <= UInt<1>("h00") @[lib.scala 12:48] + _T_366[24] <= UInt<1>("h00") @[lib.scala 12:48] + _T_366[25] <= UInt<1>("h00") @[lib.scala 12:48] + _T_366[26] <= UInt<1>("h00") @[lib.scala 12:48] + node _T_367 = cat(_T_366[0], _T_366[1]) @[Cat.scala 29:58] + node _T_368 = cat(_T_367, _T_366[2]) @[Cat.scala 29:58] + node _T_369 = cat(_T_368, _T_366[3]) @[Cat.scala 29:58] + node _T_370 = cat(_T_369, _T_366[4]) @[Cat.scala 29:58] + node _T_371 = cat(_T_370, _T_366[5]) @[Cat.scala 29:58] + node _T_372 = cat(_T_371, _T_366[6]) @[Cat.scala 29:58] + node _T_373 = cat(_T_372, _T_366[7]) @[Cat.scala 29:58] + node _T_374 = cat(_T_373, _T_366[8]) @[Cat.scala 29:58] + node _T_375 = cat(_T_374, _T_366[9]) @[Cat.scala 29:58] + node _T_376 = cat(_T_375, _T_366[10]) @[Cat.scala 29:58] + node _T_377 = cat(_T_376, _T_366[11]) @[Cat.scala 29:58] + node _T_378 = cat(_T_377, _T_366[12]) @[Cat.scala 29:58] + node _T_379 = cat(_T_378, _T_366[13]) @[Cat.scala 29:58] + node _T_380 = cat(_T_379, _T_366[14]) @[Cat.scala 29:58] + node _T_381 = cat(_T_380, _T_366[15]) @[Cat.scala 29:58] + node _T_382 = cat(_T_381, _T_366[16]) @[Cat.scala 29:58] + node _T_383 = cat(_T_382, _T_366[17]) @[Cat.scala 29:58] + node _T_384 = cat(_T_383, _T_366[18]) @[Cat.scala 29:58] + node _T_385 = cat(_T_384, _T_366[19]) @[Cat.scala 29:58] + node _T_386 = cat(_T_385, _T_366[20]) @[Cat.scala 29:58] + node _T_387 = cat(_T_386, _T_366[21]) @[Cat.scala 29:58] + node _T_388 = cat(_T_387, _T_366[22]) @[Cat.scala 29:58] + node _T_389 = cat(_T_388, _T_366[23]) @[Cat.scala 29:58] + node _T_390 = cat(_T_389, _T_366[24]) @[Cat.scala 29:58] + node _T_391 = cat(_T_390, _T_366[25]) @[Cat.scala 29:58] + node _T_392 = cat(_T_391, _T_366[26]) @[Cat.scala 29:58] + node _T_393 = bits(csrimm_x, 4, 0) @[dec_decode_ctl.scala 451:53] + node _T_394 = cat(_T_392, _T_393) @[Cat.scala 29:58] + node _T_395 = bits(csr_imm_x, 0, 0) @[dec_decode_ctl.scala 452:16] + node _T_396 = eq(_T_395, UInt<1>("h00")) @[dec_decode_ctl.scala 452:5] + node _T_397 = mux(_T_365, _T_394, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_398 = mux(_T_396, io.decode_exu.exu_csr_rs1_x, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_399 = or(_T_397, _T_398) @[Mux.scala 27:72] + wire csr_mask_x : UInt<32> @[Mux.scala 27:72] + csr_mask_x <= _T_399 @[Mux.scala 27:72] + node _T_400 = not(csr_mask_x) @[dec_decode_ctl.scala 455:38] + node _T_401 = and(csr_rddata_x, _T_400) @[dec_decode_ctl.scala 455:35] + node _T_402 = or(csr_rddata_x, csr_mask_x) @[dec_decode_ctl.scala 456:35] + node _T_403 = mux(csr_clr_x, _T_401, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_404 = mux(csr_set_x, _T_402, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_405 = mux(csr_write_x, csr_mask_x, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_406 = or(_T_403, _T_404) @[Mux.scala 27:72] + node _T_407 = or(_T_406, _T_405) @[Mux.scala 27:72] + wire write_csr_data_x : UInt @[Mux.scala 27:72] + write_csr_data_x <= _T_407 @[Mux.scala 27:72] + node _T_408 = eq(io.dec_tlu_flush_pause_r, UInt<1>("h00")) @[dec_decode_ctl.scala 459:49] + node _T_409 = and(io.dec_tlu_flush_lower_r, _T_408) @[dec_decode_ctl.scala 459:47] + node _T_410 = mux(UInt<1>("h00"), UInt<31>("h07fffffff"), UInt<31>("h00")) @[Bitwise.scala 72:12] + node _T_411 = bits(write_csr_data, 0, 0) @[dec_decode_ctl.scala 459:145] + node _T_412 = cat(_T_410, _T_411) @[Cat.scala 29:58] + node _T_413 = eq(write_csr_data, _T_412) @[dec_decode_ctl.scala 459:109] + node _T_414 = and(pause_stall, _T_413) @[dec_decode_ctl.scala 459:91] + node clear_pause = or(_T_409, _T_414) @[dec_decode_ctl.scala 459:76] + node _T_415 = or(io.dec_tlu_wr_pause_r, pause_stall) @[dec_decode_ctl.scala 460:44] + node _T_416 = eq(clear_pause, UInt<1>("h00")) @[dec_decode_ctl.scala 460:61] + node _T_417 = and(_T_415, _T_416) @[dec_decode_ctl.scala 460:59] + pause_state_in <= _T_417 @[dec_decode_ctl.scala 460:18] + reg _T_418 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 461:50] + _T_418 <= pause_state_in @[dec_decode_ctl.scala 461:50] + pause_stall <= _T_418 @[dec_decode_ctl.scala 461:15] + io.dec_pause_state <= pause_stall @[dec_decode_ctl.scala 462:22] + reg _T_419 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 463:55] + _T_419 <= io.dec_tlu_wr_pause_r @[dec_decode_ctl.scala 463:55] + tlu_wr_pause_r1 <= _T_419 @[dec_decode_ctl.scala 463:19] + reg _T_420 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 464:55] + _T_420 <= tlu_wr_pause_r1 @[dec_decode_ctl.scala 464:55] + tlu_wr_pause_r2 <= _T_420 @[dec_decode_ctl.scala 464:19] + node _T_421 = eq(tlu_wr_pause_r1, UInt<1>("h00")) @[dec_decode_ctl.scala 466:44] + node _T_422 = eq(tlu_wr_pause_r2, UInt<1>("h00")) @[dec_decode_ctl.scala 466:64] + node _T_423 = and(_T_421, _T_422) @[dec_decode_ctl.scala 466:61] + node _T_424 = and(pause_stall, _T_423) @[dec_decode_ctl.scala 466:41] + io.dec_pause_state_cg <= _T_424 @[dec_decode_ctl.scala 466:25] + node _T_425 = sub(write_csr_data, UInt<32>("h01")) @[dec_decode_ctl.scala 469:59] + node _T_426 = tail(_T_425, 1) @[dec_decode_ctl.scala 469:59] + node _T_427 = mux(io.dec_tlu_wr_pause_r, io.dec_csr_wrdata_r, write_csr_data_x) @[dec_decode_ctl.scala 470:8] + node write_csr_data_in = mux(pause_stall, _T_426, _T_427) @[dec_decode_ctl.scala 469:30] + node _T_428 = or(csr_clr_x, csr_set_x) @[dec_decode_ctl.scala 471:34] + node _T_429 = or(_T_428, csr_write_x) @[dec_decode_ctl.scala 471:46] + node _T_430 = and(_T_429, csr_read_x) @[dec_decode_ctl.scala 471:61] + node _T_431 = or(_T_430, io.dec_tlu_wr_pause_r) @[dec_decode_ctl.scala 471:75] + node csr_data_wen = or(_T_431, pause_stall) @[dec_decode_ctl.scala 471:99] + inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 368:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_3.io.en <= csr_data_wen @[lib.scala 371:17] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_432 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_432 <= write_csr_data_in @[lib.scala 374:16] + write_csr_data <= _T_432 @[dec_decode_ctl.scala 472:18] + node _T_433 = bits(r_d.bits.csrwonly, 0, 0) @[dec_decode_ctl.scala 478:49] + node _T_434 = mux(_T_433, i0_result_corr_r, write_csr_data) @[dec_decode_ctl.scala 478:30] + io.dec_csr_wrdata_r <= _T_434 @[dec_decode_ctl.scala 478:24] + node _T_435 = or(x_d.bits.csrwonly, r_d.bits.csrwonly) @[dec_decode_ctl.scala 480:43] + node prior_csr_write = or(_T_435, wbd.bits.csrwonly) @[dec_decode_ctl.scala 480:63] + node _T_436 = bits(io.dbg_dctl.dbg_cmd_wrdata, 0, 0) @[dec_decode_ctl.scala 482:76] + node debug_fence_i = and(io.dec_debug_fence_d, _T_436) @[dec_decode_ctl.scala 482:48] + node _T_437 = bits(io.dbg_dctl.dbg_cmd_wrdata, 1, 1) @[dec_decode_ctl.scala 483:76] + node debug_fence_raw = and(io.dec_debug_fence_d, _T_437) @[dec_decode_ctl.scala 483:48] + node _T_438 = or(debug_fence_raw, debug_fence_i) @[dec_decode_ctl.scala 484:40] + debug_fence <= _T_438 @[dec_decode_ctl.scala 484:21] + node _T_439 = or(i0_dp.presync, io.dec_tlu_presync_d) @[dec_decode_ctl.scala 487:34] + node _T_440 = or(_T_439, debug_fence_i) @[dec_decode_ctl.scala 487:57] + node _T_441 = or(_T_440, debug_fence_raw) @[dec_decode_ctl.scala 487:73] + node i0_presync = or(_T_441, io.dec_tlu_pipelining_disable) @[dec_decode_ctl.scala 487:91] + node _T_442 = or(i0_dp.postsync, io.dec_tlu_postsync_d) @[dec_decode_ctl.scala 490:36] + node _T_443 = or(_T_442, debug_fence_i) @[dec_decode_ctl.scala 490:60] + node _T_444 = bits(io.dec_i0_instr_d, 31, 20) @[dec_decode_ctl.scala 490:104] + node _T_445 = eq(_T_444, UInt<11>("h07c2")) @[dec_decode_ctl.scala 490:112] + node _T_446 = and(i0_csr_write_only_d, _T_445) @[dec_decode_ctl.scala 490:99] + node i0_postsync = or(_T_443, _T_446) @[dec_decode_ctl.scala 490:76] + node any_csr_d = or(i0_dp.csr_read, i0_csr_write) @[dec_decode_ctl.scala 492:34] + io.dec_csr_any_unq_d <= any_csr_d @[dec_decode_ctl.scala 493:24] + node _T_447 = eq(any_csr_d, UInt<1>("h00")) @[dec_decode_ctl.scala 494:40] + node _T_448 = or(_T_447, io.dec_csr_legal_d) @[dec_decode_ctl.scala 494:51] + node i0_legal = and(i0_dp.legal, _T_448) @[dec_decode_ctl.scala 494:37] + wire _T_449 : UInt<1>[16] @[lib.scala 12:48] + _T_449[0] <= UInt<1>("h00") @[lib.scala 12:48] + _T_449[1] <= UInt<1>("h00") @[lib.scala 12:48] + _T_449[2] <= UInt<1>("h00") @[lib.scala 12:48] + _T_449[3] <= UInt<1>("h00") @[lib.scala 12:48] + _T_449[4] <= UInt<1>("h00") @[lib.scala 12:48] + _T_449[5] <= UInt<1>("h00") @[lib.scala 12:48] + _T_449[6] <= UInt<1>("h00") @[lib.scala 12:48] + _T_449[7] <= UInt<1>("h00") @[lib.scala 12:48] + _T_449[8] <= UInt<1>("h00") @[lib.scala 12:48] + _T_449[9] <= UInt<1>("h00") @[lib.scala 12:48] + _T_449[10] <= UInt<1>("h00") @[lib.scala 12:48] + _T_449[11] <= UInt<1>("h00") @[lib.scala 12:48] + _T_449[12] <= UInt<1>("h00") @[lib.scala 12:48] + _T_449[13] <= UInt<1>("h00") @[lib.scala 12:48] + _T_449[14] <= UInt<1>("h00") @[lib.scala 12:48] + _T_449[15] <= UInt<1>("h00") @[lib.scala 12:48] + node _T_450 = cat(_T_449[0], _T_449[1]) @[Cat.scala 29:58] + node _T_451 = cat(_T_450, _T_449[2]) @[Cat.scala 29:58] + node _T_452 = cat(_T_451, _T_449[3]) @[Cat.scala 29:58] + node _T_453 = cat(_T_452, _T_449[4]) @[Cat.scala 29:58] + node _T_454 = cat(_T_453, _T_449[5]) @[Cat.scala 29:58] + node _T_455 = cat(_T_454, _T_449[6]) @[Cat.scala 29:58] + node _T_456 = cat(_T_455, _T_449[7]) @[Cat.scala 29:58] + node _T_457 = cat(_T_456, _T_449[8]) @[Cat.scala 29:58] + node _T_458 = cat(_T_457, _T_449[9]) @[Cat.scala 29:58] + node _T_459 = cat(_T_458, _T_449[10]) @[Cat.scala 29:58] + node _T_460 = cat(_T_459, _T_449[11]) @[Cat.scala 29:58] + node _T_461 = cat(_T_460, _T_449[12]) @[Cat.scala 29:58] + node _T_462 = cat(_T_461, _T_449[13]) @[Cat.scala 29:58] + node _T_463 = cat(_T_462, _T_449[14]) @[Cat.scala 29:58] + node _T_464 = cat(_T_463, _T_449[15]) @[Cat.scala 29:58] + node _T_465 = cat(_T_464, io.dec_aln.ifu_i0_cinst) @[Cat.scala 29:58] + node i0_inst_d = mux(io.dec_i0_pc4_d, io.dec_i0_instr_d, _T_465) @[dec_decode_ctl.scala 495:27] + node _T_466 = eq(i0_legal, UInt<1>("h00")) @[dec_decode_ctl.scala 498:57] + node shift_illegal = and(io.dec_aln.dec_i0_decode_d, _T_466) @[dec_decode_ctl.scala 498:55] + node _T_467 = eq(illegal_lockout, UInt<1>("h00")) @[dec_decode_ctl.scala 499:44] + node illegal_inst_en = and(shift_illegal, _T_467) @[dec_decode_ctl.scala 499:42] + inst rvclkhdr_4 of rvclkhdr_4 @[lib.scala 368:23] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_4.io.en <= illegal_inst_en @[lib.scala 371:17] + rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_468 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_468 <= i0_inst_d @[lib.scala 374:16] + io.dec_illegal_inst <= _T_468 @[dec_decode_ctl.scala 500:23] + node _T_469 = or(shift_illegal, illegal_lockout) @[dec_decode_ctl.scala 501:40] + node _T_470 = eq(flush_final_r, UInt<1>("h00")) @[dec_decode_ctl.scala 501:61] + node _T_471 = and(_T_469, _T_470) @[dec_decode_ctl.scala 501:59] + illegal_lockout_in <= _T_471 @[dec_decode_ctl.scala 501:22] + reg _T_472 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 502:54] + _T_472 <= illegal_lockout_in @[dec_decode_ctl.scala 502:54] + illegal_lockout <= _T_472 @[dec_decode_ctl.scala 502:19] + node i0_div_prior_div_stall = and(i0_dp.div, io.dec_div_active) @[dec_decode_ctl.scala 503:42] + node _T_473 = and(i0_dp.csr_read, prior_csr_write) @[dec_decode_ctl.scala 505:40] + node _T_474 = or(_T_473, io.decode_exu.dec_extint_stall) @[dec_decode_ctl.scala 505:59] + node _T_475 = or(_T_474, pause_stall) @[dec_decode_ctl.scala 505:92] + node _T_476 = or(_T_475, leak1_i0_stall) @[dec_decode_ctl.scala 505:106] + node _T_477 = or(_T_476, io.dec_tlu_debug_stall) @[dec_decode_ctl.scala 506:20] + node _T_478 = or(_T_477, postsync_stall) @[dec_decode_ctl.scala 506:45] + node _T_479 = or(_T_478, presync_stall) @[dec_decode_ctl.scala 506:62] + node _T_480 = or(i0_dp.fence, debug_fence) @[dec_decode_ctl.scala 507:19] + node _T_481 = eq(lsu_idle, UInt<1>("h00")) @[dec_decode_ctl.scala 507:36] + node _T_482 = and(_T_480, _T_481) @[dec_decode_ctl.scala 507:34] + node _T_483 = or(_T_479, _T_482) @[dec_decode_ctl.scala 506:79] + node _T_484 = or(_T_483, i0_nonblock_load_stall) @[dec_decode_ctl.scala 507:47] + node _T_485 = or(_T_484, i0_load_block_d) @[dec_decode_ctl.scala 507:72] + node _T_486 = or(_T_485, i0_nonblock_div_stall) @[dec_decode_ctl.scala 508:21] + node i0_block_raw_d = or(_T_486, i0_div_prior_div_stall) @[dec_decode_ctl.scala 508:45] + node _T_487 = or(io.lsu_store_stall_any, io.dctl_dma.dma_dccm_stall_any) @[dec_decode_ctl.scala 510:65] + node i0_store_stall_d = and(i0_dp.store, _T_487) @[dec_decode_ctl.scala 510:39] + node _T_488 = or(io.lsu_load_stall_any, io.dctl_dma.dma_dccm_stall_any) @[dec_decode_ctl.scala 511:63] + node i0_load_stall_d = and(i0_dp.load, _T_488) @[dec_decode_ctl.scala 511:38] + node _T_489 = or(i0_block_raw_d, i0_store_stall_d) @[dec_decode_ctl.scala 512:38] + node i0_block_d = or(_T_489, i0_load_stall_d) @[dec_decode_ctl.scala 512:57] + node _T_490 = eq(i0_block_d, UInt<1>("h00")) @[dec_decode_ctl.scala 516:54] + node _T_491 = and(io.dec_ib0_valid_d, _T_490) @[dec_decode_ctl.scala 516:52] + node _T_492 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[dec_decode_ctl.scala 516:71] + node _T_493 = and(_T_491, _T_492) @[dec_decode_ctl.scala 516:69] + node _T_494 = eq(flush_final_r, UInt<1>("h00")) @[dec_decode_ctl.scala 516:99] + node _T_495 = and(_T_493, _T_494) @[dec_decode_ctl.scala 516:97] + io.dec_aln.dec_i0_decode_d <= _T_495 @[dec_decode_ctl.scala 516:30] + node _T_496 = eq(i0_block_raw_d, UInt<1>("h00")) @[dec_decode_ctl.scala 517:46] + node _T_497 = and(io.dec_ib0_valid_d, _T_496) @[dec_decode_ctl.scala 517:44] + node _T_498 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[dec_decode_ctl.scala 517:63] + node _T_499 = and(_T_497, _T_498) @[dec_decode_ctl.scala 517:61] + node _T_500 = eq(flush_final_r, UInt<1>("h00")) @[dec_decode_ctl.scala 517:91] + node i0_exudecode_d = and(_T_499, _T_500) @[dec_decode_ctl.scala 517:89] + node i0_exulegal_decode_d = and(i0_exudecode_d, i0_legal) @[dec_decode_ctl.scala 518:46] + io.dec_pmu_instr_decoded <= io.dec_aln.dec_i0_decode_d @[dec_decode_ctl.scala 521:28] + node _T_501 = eq(io.dec_aln.dec_i0_decode_d, UInt<1>("h00")) @[dec_decode_ctl.scala 522:51] + node _T_502 = and(io.dec_ib0_valid_d, _T_501) @[dec_decode_ctl.scala 522:49] + io.dec_pmu_decode_stall <= _T_502 @[dec_decode_ctl.scala 522:27] + node _T_503 = bits(postsync_stall, 0, 0) @[dec_decode_ctl.scala 523:47] + io.dec_pmu_postsync_stall <= _T_503 @[dec_decode_ctl.scala 523:29] + node _T_504 = bits(presync_stall, 0, 0) @[dec_decode_ctl.scala 524:46] + io.dec_pmu_presync_stall <= _T_504 @[dec_decode_ctl.scala 524:29] + node prior_inflight = or(x_d.valid, r_d.valid) @[dec_decode_ctl.scala 528:41] + node prior_inflight_eff = mux(i0_dp.div, x_d.valid, prior_inflight) @[dec_decode_ctl.scala 529:31] + node _T_505 = and(i0_presync, prior_inflight_eff) @[dec_decode_ctl.scala 531:37] + presync_stall <= _T_505 @[dec_decode_ctl.scala 531:22] + reg _T_506 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 532:53] + _T_506 <= ps_stall_in @[dec_decode_ctl.scala 532:53] + postsync_stall <= _T_506 @[dec_decode_ctl.scala 532:18] + node _T_507 = eq(i0_legal, UInt<1>("h00")) @[dec_decode_ctl.scala 534:64] + node _T_508 = or(i0_postsync, _T_507) @[dec_decode_ctl.scala 534:62] + node _T_509 = and(io.dec_aln.dec_i0_decode_d, _T_508) @[dec_decode_ctl.scala 534:47] + node _T_510 = and(postsync_stall, x_d.valid) @[dec_decode_ctl.scala 534:96] + node _T_511 = or(_T_509, _T_510) @[dec_decode_ctl.scala 534:77] + ps_stall_in <= _T_511 @[dec_decode_ctl.scala 534:15] + node _T_512 = and(i0_exulegal_decode_d, i0_dp.alu) @[dec_decode_ctl.scala 536:58] + io.dec_alu.dec_i0_alu_decode_d <= _T_512 @[dec_decode_ctl.scala 536:34] + node _T_513 = and(i0_legal_decode_d, i0_dp.lsu) @[dec_decode_ctl.scala 538:40] + lsu_decode_d <= _T_513 @[dec_decode_ctl.scala 538:16] + node _T_514 = and(i0_exulegal_decode_d, i0_dp.mul) @[dec_decode_ctl.scala 539:40] + mul_decode_d <= _T_514 @[dec_decode_ctl.scala 539:16] + node _T_515 = and(i0_exulegal_decode_d, i0_dp.div) @[dec_decode_ctl.scala 540:40] + div_decode_d <= _T_515 @[dec_decode_ctl.scala 540:16] + node _T_516 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[dec_decode_ctl.scala 542:45] + node _T_517 = and(r_d.valid, _T_516) @[dec_decode_ctl.scala 542:43] + io.dec_tlu_i0_valid_r <= _T_517 @[dec_decode_ctl.scala 542:29] + d_t.legal <= i0_legal_decode_d @[dec_decode_ctl.scala 545:26] + node _T_518 = and(i0_icaf_d, i0_legal_decode_d) @[dec_decode_ctl.scala 546:40] + d_t.icaf <= _T_518 @[dec_decode_ctl.scala 546:26] + node _T_519 = and(io.dec_i0_icaf_f1_d, i0_legal_decode_d) @[dec_decode_ctl.scala 547:50] + d_t.icaf_f1 <= _T_519 @[dec_decode_ctl.scala 547:26] + d_t.icaf_type <= io.dec_i0_icaf_type_d @[dec_decode_ctl.scala 548:26] + node _T_520 = or(i0_dp.fence_i, debug_fence_i) @[dec_decode_ctl.scala 550:44] + node _T_521 = and(_T_520, i0_legal_decode_d) @[dec_decode_ctl.scala 550:61] + d_t.fence_i <= _T_521 @[dec_decode_ctl.scala 550:26] + d_t.pmu_i0_br_unpred <= i0_br_unpred @[dec_decode_ctl.scala 553:26] + d_t.pmu_divide <= UInt<1>("h00") @[dec_decode_ctl.scala 554:26] + d_t.pmu_lsu_misaligned <= UInt<1>("h00") @[dec_decode_ctl.scala 555:26] + wire _T_522 : UInt<1>[4] @[lib.scala 12:48] + _T_522[0] <= io.dec_aln.dec_i0_decode_d @[lib.scala 12:48] + _T_522[1] <= io.dec_aln.dec_i0_decode_d @[lib.scala 12:48] + _T_522[2] <= io.dec_aln.dec_i0_decode_d @[lib.scala 12:48] + _T_522[3] <= io.dec_aln.dec_i0_decode_d @[lib.scala 12:48] + node _T_523 = cat(_T_522[0], _T_522[1]) @[Cat.scala 29:58] + node _T_524 = cat(_T_523, _T_522[2]) @[Cat.scala 29:58] + node _T_525 = cat(_T_524, _T_522[3]) @[Cat.scala 29:58] + node _T_526 = and(io.dec_i0_trigger_match_d, _T_525) @[dec_decode_ctl.scala 557:56] + d_t.i0trigger <= _T_526 @[dec_decode_ctl.scala 557:26] + node _T_527 = bits(i0_x_ctl_en, 0, 0) @[dec_decode_ctl.scala 560:33] + inst rvclkhdr_5 of rvclkhdr_5 @[lib.scala 378:23] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[lib.scala 380:18] + rvclkhdr_5.io.en <= _T_527 @[lib.scala 381:17] + rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 382:24] + wire _T_528 : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[lib.scala 384:33] + _T_528.pmu_lsu_misaligned <= UInt<1>("h00") @[lib.scala 384:33] + _T_528.pmu_divide <= UInt<1>("h00") @[lib.scala 384:33] + _T_528.pmu_i0_br_unpred <= UInt<1>("h00") @[lib.scala 384:33] + _T_528.pmu_i0_itype <= UInt<4>("h00") @[lib.scala 384:33] + _T_528.i0trigger <= UInt<4>("h00") @[lib.scala 384:33] + _T_528.fence_i <= UInt<1>("h00") @[lib.scala 384:33] + _T_528.icaf_type <= UInt<2>("h00") @[lib.scala 384:33] + _T_528.icaf_f1 <= UInt<1>("h00") @[lib.scala 384:33] + _T_528.icaf <= UInt<1>("h00") @[lib.scala 384:33] + _T_528.legal <= UInt<1>("h00") @[lib.scala 384:33] + reg _T_529 : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>}, rvclkhdr_5.io.l1clk with : (reset => (reset, _T_528)) @[lib.scala 384:16] + _T_529.pmu_lsu_misaligned <= d_t.pmu_lsu_misaligned @[lib.scala 384:16] + _T_529.pmu_divide <= d_t.pmu_divide @[lib.scala 384:16] + _T_529.pmu_i0_br_unpred <= d_t.pmu_i0_br_unpred @[lib.scala 384:16] + _T_529.pmu_i0_itype <= d_t.pmu_i0_itype @[lib.scala 384:16] + _T_529.i0trigger <= d_t.i0trigger @[lib.scala 384:16] + _T_529.fence_i <= d_t.fence_i @[lib.scala 384:16] + _T_529.icaf_type <= d_t.icaf_type @[lib.scala 384:16] + _T_529.icaf_f1 <= d_t.icaf_f1 @[lib.scala 384:16] + _T_529.icaf <= d_t.icaf @[lib.scala 384:16] + _T_529.legal <= d_t.legal @[lib.scala 384:16] + x_t.pmu_lsu_misaligned <= _T_529.pmu_lsu_misaligned @[dec_decode_ctl.scala 560:7] + x_t.pmu_divide <= _T_529.pmu_divide @[dec_decode_ctl.scala 560:7] + x_t.pmu_i0_br_unpred <= _T_529.pmu_i0_br_unpred @[dec_decode_ctl.scala 560:7] + x_t.pmu_i0_itype <= _T_529.pmu_i0_itype @[dec_decode_ctl.scala 560:7] + x_t.i0trigger <= _T_529.i0trigger @[dec_decode_ctl.scala 560:7] + x_t.fence_i <= _T_529.fence_i @[dec_decode_ctl.scala 560:7] + x_t.icaf_type <= _T_529.icaf_type @[dec_decode_ctl.scala 560:7] + x_t.icaf_f1 <= _T_529.icaf_f1 @[dec_decode_ctl.scala 560:7] + x_t.icaf <= _T_529.icaf @[dec_decode_ctl.scala 560:7] + x_t.legal <= _T_529.legal @[dec_decode_ctl.scala 560:7] + x_t_in.pmu_lsu_misaligned <= x_t.pmu_lsu_misaligned @[dec_decode_ctl.scala 562:10] + x_t_in.pmu_divide <= x_t.pmu_divide @[dec_decode_ctl.scala 562:10] + x_t_in.pmu_i0_br_unpred <= x_t.pmu_i0_br_unpred @[dec_decode_ctl.scala 562:10] + x_t_in.pmu_i0_itype <= x_t.pmu_i0_itype @[dec_decode_ctl.scala 562:10] + x_t_in.i0trigger <= x_t.i0trigger @[dec_decode_ctl.scala 562:10] + x_t_in.fence_i <= x_t.fence_i @[dec_decode_ctl.scala 562:10] + x_t_in.icaf_type <= x_t.icaf_type @[dec_decode_ctl.scala 562:10] + x_t_in.icaf_f1 <= x_t.icaf_f1 @[dec_decode_ctl.scala 562:10] + x_t_in.icaf <= x_t.icaf @[dec_decode_ctl.scala 562:10] + x_t_in.legal <= x_t.legal @[dec_decode_ctl.scala 562:10] + wire _T_530 : UInt<1>[4] @[lib.scala 12:48] + _T_530[0] <= io.dec_tlu_flush_lower_wb @[lib.scala 12:48] + _T_530[1] <= io.dec_tlu_flush_lower_wb @[lib.scala 12:48] + _T_530[2] <= io.dec_tlu_flush_lower_wb @[lib.scala 12:48] + _T_530[3] <= io.dec_tlu_flush_lower_wb @[lib.scala 12:48] + node _T_531 = cat(_T_530[0], _T_530[1]) @[Cat.scala 29:58] + node _T_532 = cat(_T_531, _T_530[2]) @[Cat.scala 29:58] + node _T_533 = cat(_T_532, _T_530[3]) @[Cat.scala 29:58] + node _T_534 = not(_T_533) @[dec_decode_ctl.scala 563:39] + node _T_535 = and(x_t.i0trigger, _T_534) @[dec_decode_ctl.scala 563:37] + x_t_in.i0trigger <= _T_535 @[dec_decode_ctl.scala 563:20] + node _T_536 = bits(i0_x_ctl_en, 0, 0) @[dec_decode_ctl.scala 565:36] + inst rvclkhdr_6 of rvclkhdr_6 @[lib.scala 378:23] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= reset + rvclkhdr_6.io.clk <= clock @[lib.scala 380:18] + rvclkhdr_6.io.en <= _T_536 @[lib.scala 381:17] + rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 382:24] + wire _T_537 : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[lib.scala 384:33] + _T_537.pmu_lsu_misaligned <= UInt<1>("h00") @[lib.scala 384:33] + _T_537.pmu_divide <= UInt<1>("h00") @[lib.scala 384:33] + _T_537.pmu_i0_br_unpred <= UInt<1>("h00") @[lib.scala 384:33] + _T_537.pmu_i0_itype <= UInt<4>("h00") @[lib.scala 384:33] + _T_537.i0trigger <= UInt<4>("h00") @[lib.scala 384:33] + _T_537.fence_i <= UInt<1>("h00") @[lib.scala 384:33] + _T_537.icaf_type <= UInt<2>("h00") @[lib.scala 384:33] + _T_537.icaf_f1 <= UInt<1>("h00") @[lib.scala 384:33] + _T_537.icaf <= UInt<1>("h00") @[lib.scala 384:33] + _T_537.legal <= UInt<1>("h00") @[lib.scala 384:33] + reg _T_538 : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>}, rvclkhdr_6.io.l1clk with : (reset => (reset, _T_537)) @[lib.scala 384:16] + _T_538.pmu_lsu_misaligned <= x_t_in.pmu_lsu_misaligned @[lib.scala 384:16] + _T_538.pmu_divide <= x_t_in.pmu_divide @[lib.scala 384:16] + _T_538.pmu_i0_br_unpred <= x_t_in.pmu_i0_br_unpred @[lib.scala 384:16] + _T_538.pmu_i0_itype <= x_t_in.pmu_i0_itype @[lib.scala 384:16] + _T_538.i0trigger <= x_t_in.i0trigger @[lib.scala 384:16] + _T_538.fence_i <= x_t_in.fence_i @[lib.scala 384:16] + _T_538.icaf_type <= x_t_in.icaf_type @[lib.scala 384:16] + _T_538.icaf_f1 <= x_t_in.icaf_f1 @[lib.scala 384:16] + _T_538.icaf <= x_t_in.icaf @[lib.scala 384:16] + _T_538.legal <= x_t_in.legal @[lib.scala 384:16] + r_t.pmu_lsu_misaligned <= _T_538.pmu_lsu_misaligned @[dec_decode_ctl.scala 565:7] + r_t.pmu_divide <= _T_538.pmu_divide @[dec_decode_ctl.scala 565:7] + r_t.pmu_i0_br_unpred <= _T_538.pmu_i0_br_unpred @[dec_decode_ctl.scala 565:7] + r_t.pmu_i0_itype <= _T_538.pmu_i0_itype @[dec_decode_ctl.scala 565:7] + r_t.i0trigger <= _T_538.i0trigger @[dec_decode_ctl.scala 565:7] + r_t.fence_i <= _T_538.fence_i @[dec_decode_ctl.scala 565:7] + r_t.icaf_type <= _T_538.icaf_type @[dec_decode_ctl.scala 565:7] + r_t.icaf_f1 <= _T_538.icaf_f1 @[dec_decode_ctl.scala 565:7] + r_t.icaf <= _T_538.icaf @[dec_decode_ctl.scala 565:7] + r_t.legal <= _T_538.legal @[dec_decode_ctl.scala 565:7] + reg lsu_trigger_match_r : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 566:36] + lsu_trigger_match_r <= io.lsu_trigger_match_m @[dec_decode_ctl.scala 566:36] + reg lsu_pmu_misaligned_r : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 567:37] + lsu_pmu_misaligned_r <= io.lsu_pmu_misaligned_m @[dec_decode_ctl.scala 567:37] + r_t_in.pmu_lsu_misaligned <= r_t.pmu_lsu_misaligned @[dec_decode_ctl.scala 569:10] + r_t_in.pmu_divide <= r_t.pmu_divide @[dec_decode_ctl.scala 569:10] + r_t_in.pmu_i0_br_unpred <= r_t.pmu_i0_br_unpred @[dec_decode_ctl.scala 569:10] + r_t_in.pmu_i0_itype <= r_t.pmu_i0_itype @[dec_decode_ctl.scala 569:10] + r_t_in.i0trigger <= r_t.i0trigger @[dec_decode_ctl.scala 569:10] + r_t_in.fence_i <= r_t.fence_i @[dec_decode_ctl.scala 569:10] + r_t_in.icaf_type <= r_t.icaf_type @[dec_decode_ctl.scala 569:10] + r_t_in.icaf_f1 <= r_t.icaf_f1 @[dec_decode_ctl.scala 569:10] + r_t_in.icaf <= r_t.icaf @[dec_decode_ctl.scala 569:10] + r_t_in.legal <= r_t.legal @[dec_decode_ctl.scala 569:10] + node _T_539 = or(r_d.bits.i0load, r_d.bits.i0store) @[dec_decode_ctl.scala 571:61] + wire _T_540 : UInt<1>[4] @[lib.scala 12:48] + _T_540[0] <= _T_539 @[lib.scala 12:48] + _T_540[1] <= _T_539 @[lib.scala 12:48] + _T_540[2] <= _T_539 @[lib.scala 12:48] + _T_540[3] <= _T_539 @[lib.scala 12:48] + node _T_541 = cat(_T_540[0], _T_540[1]) @[Cat.scala 29:58] + node _T_542 = cat(_T_541, _T_540[2]) @[Cat.scala 29:58] + node _T_543 = cat(_T_542, _T_540[3]) @[Cat.scala 29:58] + node _T_544 = and(_T_543, lsu_trigger_match_r) @[dec_decode_ctl.scala 571:82] + node _T_545 = or(_T_544, r_t.i0trigger) @[dec_decode_ctl.scala 571:105] + r_t_in.i0trigger <= _T_545 @[dec_decode_ctl.scala 571:33] + r_t_in.pmu_lsu_misaligned <= lsu_pmu_misaligned_r @[dec_decode_ctl.scala 572:33] + node _T_546 = bits(io.dec_tlu_flush_lower_wb, 0, 0) @[dec_decode_ctl.scala 574:35] + when _T_546 : @[dec_decode_ctl.scala 574:43] + wire _T_547 : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[dec_decode_ctl.scala 574:66] + _T_547.pmu_lsu_misaligned <= UInt<1>("h00") @[dec_decode_ctl.scala 574:66] + _T_547.pmu_divide <= UInt<1>("h00") @[dec_decode_ctl.scala 574:66] + _T_547.pmu_i0_br_unpred <= UInt<1>("h00") @[dec_decode_ctl.scala 574:66] + _T_547.pmu_i0_itype <= UInt<4>("h00") @[dec_decode_ctl.scala 574:66] + _T_547.i0trigger <= UInt<4>("h00") @[dec_decode_ctl.scala 574:66] + _T_547.fence_i <= UInt<1>("h00") @[dec_decode_ctl.scala 574:66] + _T_547.icaf_type <= UInt<2>("h00") @[dec_decode_ctl.scala 574:66] + _T_547.icaf_f1 <= UInt<1>("h00") @[dec_decode_ctl.scala 574:66] + _T_547.icaf <= UInt<1>("h00") @[dec_decode_ctl.scala 574:66] + _T_547.legal <= UInt<1>("h00") @[dec_decode_ctl.scala 574:66] + r_t_in.pmu_lsu_misaligned <= _T_547.pmu_lsu_misaligned @[dec_decode_ctl.scala 574:51] + r_t_in.pmu_divide <= _T_547.pmu_divide @[dec_decode_ctl.scala 574:51] + r_t_in.pmu_i0_br_unpred <= _T_547.pmu_i0_br_unpred @[dec_decode_ctl.scala 574:51] + r_t_in.pmu_i0_itype <= _T_547.pmu_i0_itype @[dec_decode_ctl.scala 574:51] + r_t_in.i0trigger <= _T_547.i0trigger @[dec_decode_ctl.scala 574:51] + r_t_in.fence_i <= _T_547.fence_i @[dec_decode_ctl.scala 574:51] + r_t_in.icaf_type <= _T_547.icaf_type @[dec_decode_ctl.scala 574:51] + r_t_in.icaf_f1 <= _T_547.icaf_f1 @[dec_decode_ctl.scala 574:51] + r_t_in.icaf <= _T_547.icaf @[dec_decode_ctl.scala 574:51] + r_t_in.legal <= _T_547.legal @[dec_decode_ctl.scala 574:51] + skip @[dec_decode_ctl.scala 574:43] + io.dec_tlu_packet_r.pmu_lsu_misaligned <= r_t_in.pmu_lsu_misaligned @[dec_decode_ctl.scala 576:39] + io.dec_tlu_packet_r.pmu_divide <= r_t_in.pmu_divide @[dec_decode_ctl.scala 576:39] + io.dec_tlu_packet_r.pmu_i0_br_unpred <= r_t_in.pmu_i0_br_unpred @[dec_decode_ctl.scala 576:39] + io.dec_tlu_packet_r.pmu_i0_itype <= r_t_in.pmu_i0_itype @[dec_decode_ctl.scala 576:39] + io.dec_tlu_packet_r.i0trigger <= r_t_in.i0trigger @[dec_decode_ctl.scala 576:39] + io.dec_tlu_packet_r.fence_i <= r_t_in.fence_i @[dec_decode_ctl.scala 576:39] + io.dec_tlu_packet_r.icaf_type <= r_t_in.icaf_type @[dec_decode_ctl.scala 576:39] + io.dec_tlu_packet_r.icaf_f1 <= r_t_in.icaf_f1 @[dec_decode_ctl.scala 576:39] + io.dec_tlu_packet_r.icaf <= r_t_in.icaf @[dec_decode_ctl.scala 576:39] + io.dec_tlu_packet_r.legal <= r_t_in.legal @[dec_decode_ctl.scala 576:39] + node _T_548 = and(r_d.bits.i0div, r_d.valid) @[dec_decode_ctl.scala 577:58] + io.dec_tlu_packet_r.pmu_divide <= _T_548 @[dec_decode_ctl.scala 577:39] + reg _T_549 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 580:52] + _T_549 <= io.exu_flush_final @[dec_decode_ctl.scala 580:52] + flush_final_r <= _T_549 @[dec_decode_ctl.scala 580:17] + node _T_550 = eq(i0_block_d, UInt<1>("h00")) @[dec_decode_ctl.scala 582:54] + node _T_551 = and(io.dec_ib0_valid_d, _T_550) @[dec_decode_ctl.scala 582:52] + node _T_552 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[dec_decode_ctl.scala 582:68] + node _T_553 = and(_T_551, _T_552) @[dec_decode_ctl.scala 582:66] + node _T_554 = eq(flush_final_r, UInt<1>("h00")) @[dec_decode_ctl.scala 582:96] + node _T_555 = and(_T_553, _T_554) @[dec_decode_ctl.scala 582:94] + io.dec_aln.dec_i0_decode_d <= _T_555 @[dec_decode_ctl.scala 582:30] + node _T_556 = bits(io.dec_i0_instr_d, 19, 15) @[dec_decode_ctl.scala 584:16] + i0r.rs1 <= _T_556 @[dec_decode_ctl.scala 584:11] + node _T_557 = bits(io.dec_i0_instr_d, 24, 20) @[dec_decode_ctl.scala 585:16] + i0r.rs2 <= _T_557 @[dec_decode_ctl.scala 585:11] + node _T_558 = bits(io.dec_i0_instr_d, 11, 7) @[dec_decode_ctl.scala 586:16] + i0r.rd <= _T_558 @[dec_decode_ctl.scala 586:11] + node _T_559 = neq(i0r.rs1, UInt<5>("h00")) @[dec_decode_ctl.scala 588:60] + node _T_560 = and(i0_dp.rs1, _T_559) @[dec_decode_ctl.scala 588:49] + io.decode_exu.dec_i0_rs1_en_d <= _T_560 @[dec_decode_ctl.scala 588:35] + node _T_561 = neq(i0r.rs2, UInt<5>("h00")) @[dec_decode_ctl.scala 589:60] + node _T_562 = and(i0_dp.rs2, _T_561) @[dec_decode_ctl.scala 589:49] + io.decode_exu.dec_i0_rs2_en_d <= _T_562 @[dec_decode_ctl.scala 589:35] + node _T_563 = neq(i0r.rd, UInt<5>("h00")) @[dec_decode_ctl.scala 590:48] + node i0_rd_en_d = and(i0_dp.rd, _T_563) @[dec_decode_ctl.scala 590:37] + io.dec_i0_rs1_d <= i0r.rs1 @[dec_decode_ctl.scala 591:19] + io.dec_i0_rs2_d <= i0r.rs2 @[dec_decode_ctl.scala 592:19] + node i0_jalimm20 = and(i0_dp.jal, i0_dp.imm20) @[dec_decode_ctl.scala 594:38] + node _T_564 = eq(i0_dp.jal, UInt<1>("h00")) @[dec_decode_ctl.scala 595:27] + node i0_uiimm20 = and(_T_564, i0_dp.imm20) @[dec_decode_ctl.scala 595:38] + node _T_565 = eq(i0_dp.csr_read, UInt<1>("h00")) @[dec_decode_ctl.scala 599:5] + node _T_566 = mux(i0_dp.csr_read, io.dec_csr_rddata_d, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_567 = mux(_T_565, i0_immed_d, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_568 = or(_T_566, _T_567) @[Mux.scala 27:72] + wire _T_569 : UInt<32> @[Mux.scala 27:72] + _T_569 <= _T_568 @[Mux.scala 27:72] + io.decode_exu.dec_i0_immed_d <= _T_569 @[dec_decode_ctl.scala 597:32] + node _T_570 = bits(io.dec_i0_instr_d, 31, 31) @[dec_decode_ctl.scala 602:38] + wire _T_571 : UInt<1>[20] @[lib.scala 12:48] + _T_571[0] <= _T_570 @[lib.scala 12:48] + _T_571[1] <= _T_570 @[lib.scala 12:48] + _T_571[2] <= _T_570 @[lib.scala 12:48] + _T_571[3] <= _T_570 @[lib.scala 12:48] + _T_571[4] <= _T_570 @[lib.scala 12:48] + _T_571[5] <= _T_570 @[lib.scala 12:48] + _T_571[6] <= _T_570 @[lib.scala 12:48] + _T_571[7] <= _T_570 @[lib.scala 12:48] + _T_571[8] <= _T_570 @[lib.scala 12:48] + _T_571[9] <= _T_570 @[lib.scala 12:48] + _T_571[10] <= _T_570 @[lib.scala 12:48] + _T_571[11] <= _T_570 @[lib.scala 12:48] + _T_571[12] <= _T_570 @[lib.scala 12:48] + _T_571[13] <= _T_570 @[lib.scala 12:48] + _T_571[14] <= _T_570 @[lib.scala 12:48] + _T_571[15] <= _T_570 @[lib.scala 12:48] + _T_571[16] <= _T_570 @[lib.scala 12:48] + _T_571[17] <= _T_570 @[lib.scala 12:48] + _T_571[18] <= _T_570 @[lib.scala 12:48] + _T_571[19] <= _T_570 @[lib.scala 12:48] + node _T_572 = cat(_T_571[0], _T_571[1]) @[Cat.scala 29:58] + node _T_573 = cat(_T_572, _T_571[2]) @[Cat.scala 29:58] + node _T_574 = cat(_T_573, _T_571[3]) @[Cat.scala 29:58] + node _T_575 = cat(_T_574, _T_571[4]) @[Cat.scala 29:58] + node _T_576 = cat(_T_575, _T_571[5]) @[Cat.scala 29:58] + node _T_577 = cat(_T_576, _T_571[6]) @[Cat.scala 29:58] + node _T_578 = cat(_T_577, _T_571[7]) @[Cat.scala 29:58] + node _T_579 = cat(_T_578, _T_571[8]) @[Cat.scala 29:58] + node _T_580 = cat(_T_579, _T_571[9]) @[Cat.scala 29:58] + node _T_581 = cat(_T_580, _T_571[10]) @[Cat.scala 29:58] + node _T_582 = cat(_T_581, _T_571[11]) @[Cat.scala 29:58] + node _T_583 = cat(_T_582, _T_571[12]) @[Cat.scala 29:58] + node _T_584 = cat(_T_583, _T_571[13]) @[Cat.scala 29:58] + node _T_585 = cat(_T_584, _T_571[14]) @[Cat.scala 29:58] + node _T_586 = cat(_T_585, _T_571[15]) @[Cat.scala 29:58] + node _T_587 = cat(_T_586, _T_571[16]) @[Cat.scala 29:58] + node _T_588 = cat(_T_587, _T_571[17]) @[Cat.scala 29:58] + node _T_589 = cat(_T_588, _T_571[18]) @[Cat.scala 29:58] + node _T_590 = cat(_T_589, _T_571[19]) @[Cat.scala 29:58] + node _T_591 = bits(io.dec_i0_instr_d, 31, 20) @[dec_decode_ctl.scala 602:46] + node _T_592 = cat(_T_590, _T_591) @[Cat.scala 29:58] + wire _T_593 : UInt<1>[27] @[lib.scala 12:48] + _T_593[0] <= UInt<1>("h00") @[lib.scala 12:48] + _T_593[1] <= UInt<1>("h00") @[lib.scala 12:48] + _T_593[2] <= UInt<1>("h00") @[lib.scala 12:48] + _T_593[3] <= UInt<1>("h00") @[lib.scala 12:48] + _T_593[4] <= UInt<1>("h00") @[lib.scala 12:48] + _T_593[5] <= UInt<1>("h00") @[lib.scala 12:48] + _T_593[6] <= UInt<1>("h00") @[lib.scala 12:48] + _T_593[7] <= UInt<1>("h00") @[lib.scala 12:48] + _T_593[8] <= UInt<1>("h00") @[lib.scala 12:48] + _T_593[9] <= UInt<1>("h00") @[lib.scala 12:48] + _T_593[10] <= UInt<1>("h00") @[lib.scala 12:48] + _T_593[11] <= UInt<1>("h00") @[lib.scala 12:48] + _T_593[12] <= UInt<1>("h00") @[lib.scala 12:48] + _T_593[13] <= UInt<1>("h00") @[lib.scala 12:48] + _T_593[14] <= UInt<1>("h00") @[lib.scala 12:48] + _T_593[15] <= UInt<1>("h00") @[lib.scala 12:48] + _T_593[16] <= UInt<1>("h00") @[lib.scala 12:48] + _T_593[17] <= UInt<1>("h00") @[lib.scala 12:48] + _T_593[18] <= UInt<1>("h00") @[lib.scala 12:48] + _T_593[19] <= UInt<1>("h00") @[lib.scala 12:48] + _T_593[20] <= UInt<1>("h00") @[lib.scala 12:48] + _T_593[21] <= UInt<1>("h00") @[lib.scala 12:48] + _T_593[22] <= UInt<1>("h00") @[lib.scala 12:48] + _T_593[23] <= UInt<1>("h00") @[lib.scala 12:48] + _T_593[24] <= UInt<1>("h00") @[lib.scala 12:48] + _T_593[25] <= UInt<1>("h00") @[lib.scala 12:48] + _T_593[26] <= UInt<1>("h00") @[lib.scala 12:48] + node _T_594 = cat(_T_593[0], _T_593[1]) @[Cat.scala 29:58] + node _T_595 = cat(_T_594, _T_593[2]) @[Cat.scala 29:58] + node _T_596 = cat(_T_595, _T_593[3]) @[Cat.scala 29:58] + node _T_597 = cat(_T_596, _T_593[4]) @[Cat.scala 29:58] + node _T_598 = cat(_T_597, _T_593[5]) @[Cat.scala 29:58] + node _T_599 = cat(_T_598, _T_593[6]) @[Cat.scala 29:58] + node _T_600 = cat(_T_599, _T_593[7]) @[Cat.scala 29:58] + node _T_601 = cat(_T_600, _T_593[8]) @[Cat.scala 29:58] + node _T_602 = cat(_T_601, _T_593[9]) @[Cat.scala 29:58] + node _T_603 = cat(_T_602, _T_593[10]) @[Cat.scala 29:58] + node _T_604 = cat(_T_603, _T_593[11]) @[Cat.scala 29:58] + node _T_605 = cat(_T_604, _T_593[12]) @[Cat.scala 29:58] + node _T_606 = cat(_T_605, _T_593[13]) @[Cat.scala 29:58] + node _T_607 = cat(_T_606, _T_593[14]) @[Cat.scala 29:58] + node _T_608 = cat(_T_607, _T_593[15]) @[Cat.scala 29:58] + node _T_609 = cat(_T_608, _T_593[16]) @[Cat.scala 29:58] + node _T_610 = cat(_T_609, _T_593[17]) @[Cat.scala 29:58] + node _T_611 = cat(_T_610, _T_593[18]) @[Cat.scala 29:58] + node _T_612 = cat(_T_611, _T_593[19]) @[Cat.scala 29:58] + node _T_613 = cat(_T_612, _T_593[20]) @[Cat.scala 29:58] + node _T_614 = cat(_T_613, _T_593[21]) @[Cat.scala 29:58] + node _T_615 = cat(_T_614, _T_593[22]) @[Cat.scala 29:58] + node _T_616 = cat(_T_615, _T_593[23]) @[Cat.scala 29:58] + node _T_617 = cat(_T_616, _T_593[24]) @[Cat.scala 29:58] + node _T_618 = cat(_T_617, _T_593[25]) @[Cat.scala 29:58] + node _T_619 = cat(_T_618, _T_593[26]) @[Cat.scala 29:58] + node _T_620 = bits(io.dec_i0_instr_d, 24, 20) @[dec_decode_ctl.scala 603:43] + node _T_621 = cat(_T_619, _T_620) @[Cat.scala 29:58] + node _T_622 = bits(io.dec_i0_instr_d, 31, 31) @[dec_decode_ctl.scala 604:38] + wire _T_623 : UInt<1>[12] @[lib.scala 12:48] + _T_623[0] <= _T_622 @[lib.scala 12:48] + _T_623[1] <= _T_622 @[lib.scala 12:48] + _T_623[2] <= _T_622 @[lib.scala 12:48] + _T_623[3] <= _T_622 @[lib.scala 12:48] + _T_623[4] <= _T_622 @[lib.scala 12:48] + _T_623[5] <= _T_622 @[lib.scala 12:48] + _T_623[6] <= _T_622 @[lib.scala 12:48] + _T_623[7] <= _T_622 @[lib.scala 12:48] + _T_623[8] <= _T_622 @[lib.scala 12:48] + _T_623[9] <= _T_622 @[lib.scala 12:48] + _T_623[10] <= _T_622 @[lib.scala 12:48] + _T_623[11] <= _T_622 @[lib.scala 12:48] + node _T_624 = cat(_T_623[0], _T_623[1]) @[Cat.scala 29:58] + node _T_625 = cat(_T_624, _T_623[2]) @[Cat.scala 29:58] + node _T_626 = cat(_T_625, _T_623[3]) @[Cat.scala 29:58] + node _T_627 = cat(_T_626, _T_623[4]) @[Cat.scala 29:58] + node _T_628 = cat(_T_627, _T_623[5]) @[Cat.scala 29:58] + node _T_629 = cat(_T_628, _T_623[6]) @[Cat.scala 29:58] + node _T_630 = cat(_T_629, _T_623[7]) @[Cat.scala 29:58] + node _T_631 = cat(_T_630, _T_623[8]) @[Cat.scala 29:58] + node _T_632 = cat(_T_631, _T_623[9]) @[Cat.scala 29:58] + node _T_633 = cat(_T_632, _T_623[10]) @[Cat.scala 29:58] + node _T_634 = cat(_T_633, _T_623[11]) @[Cat.scala 29:58] + node _T_635 = bits(io.dec_i0_instr_d, 19, 12) @[dec_decode_ctl.scala 604:46] + node _T_636 = bits(io.dec_i0_instr_d, 20, 20) @[dec_decode_ctl.scala 604:56] + node _T_637 = bits(io.dec_i0_instr_d, 30, 21) @[dec_decode_ctl.scala 604:63] + node _T_638 = cat(_T_637, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_639 = cat(_T_634, _T_635) @[Cat.scala 29:58] + node _T_640 = cat(_T_639, _T_636) @[Cat.scala 29:58] + node _T_641 = cat(_T_640, _T_638) @[Cat.scala 29:58] + node _T_642 = bits(io.dec_i0_instr_d, 31, 12) @[dec_decode_ctl.scala 605:30] + wire _T_643 : UInt<1>[12] @[lib.scala 12:48] + _T_643[0] <= UInt<1>("h00") @[lib.scala 12:48] + _T_643[1] <= UInt<1>("h00") @[lib.scala 12:48] + _T_643[2] <= UInt<1>("h00") @[lib.scala 12:48] + _T_643[3] <= UInt<1>("h00") @[lib.scala 12:48] + _T_643[4] <= UInt<1>("h00") @[lib.scala 12:48] + _T_643[5] <= UInt<1>("h00") @[lib.scala 12:48] + _T_643[6] <= UInt<1>("h00") @[lib.scala 12:48] + _T_643[7] <= UInt<1>("h00") @[lib.scala 12:48] + _T_643[8] <= UInt<1>("h00") @[lib.scala 12:48] + _T_643[9] <= UInt<1>("h00") @[lib.scala 12:48] + _T_643[10] <= UInt<1>("h00") @[lib.scala 12:48] + _T_643[11] <= UInt<1>("h00") @[lib.scala 12:48] + node _T_644 = cat(_T_643[0], _T_643[1]) @[Cat.scala 29:58] + node _T_645 = cat(_T_644, _T_643[2]) @[Cat.scala 29:58] + node _T_646 = cat(_T_645, _T_643[3]) @[Cat.scala 29:58] + node _T_647 = cat(_T_646, _T_643[4]) @[Cat.scala 29:58] + node _T_648 = cat(_T_647, _T_643[5]) @[Cat.scala 29:58] + node _T_649 = cat(_T_648, _T_643[6]) @[Cat.scala 29:58] + node _T_650 = cat(_T_649, _T_643[7]) @[Cat.scala 29:58] + node _T_651 = cat(_T_650, _T_643[8]) @[Cat.scala 29:58] + node _T_652 = cat(_T_651, _T_643[9]) @[Cat.scala 29:58] + node _T_653 = cat(_T_652, _T_643[10]) @[Cat.scala 29:58] + node _T_654 = cat(_T_653, _T_643[11]) @[Cat.scala 29:58] + node _T_655 = cat(_T_642, _T_654) @[Cat.scala 29:58] + node _T_656 = and(i0_csr_write_only_d, i0_dp.csr_imm) @[dec_decode_ctl.scala 606:26] + node _T_657 = bits(_T_656, 0, 0) @[dec_decode_ctl.scala 606:43] + wire _T_658 : UInt<1>[27] @[lib.scala 12:48] + _T_658[0] <= UInt<1>("h00") @[lib.scala 12:48] + _T_658[1] <= UInt<1>("h00") @[lib.scala 12:48] + _T_658[2] <= UInt<1>("h00") @[lib.scala 12:48] + _T_658[3] <= UInt<1>("h00") @[lib.scala 12:48] + _T_658[4] <= UInt<1>("h00") @[lib.scala 12:48] + _T_658[5] <= UInt<1>("h00") @[lib.scala 12:48] + _T_658[6] <= UInt<1>("h00") @[lib.scala 12:48] + _T_658[7] <= UInt<1>("h00") @[lib.scala 12:48] + _T_658[8] <= UInt<1>("h00") @[lib.scala 12:48] + _T_658[9] <= UInt<1>("h00") @[lib.scala 12:48] + _T_658[10] <= UInt<1>("h00") @[lib.scala 12:48] + _T_658[11] <= UInt<1>("h00") @[lib.scala 12:48] + _T_658[12] <= UInt<1>("h00") @[lib.scala 12:48] + _T_658[13] <= UInt<1>("h00") @[lib.scala 12:48] + _T_658[14] <= UInt<1>("h00") @[lib.scala 12:48] + _T_658[15] <= UInt<1>("h00") @[lib.scala 12:48] + _T_658[16] <= UInt<1>("h00") @[lib.scala 12:48] + _T_658[17] <= UInt<1>("h00") @[lib.scala 12:48] + _T_658[18] <= UInt<1>("h00") @[lib.scala 12:48] + _T_658[19] <= UInt<1>("h00") @[lib.scala 12:48] + _T_658[20] <= UInt<1>("h00") @[lib.scala 12:48] + _T_658[21] <= UInt<1>("h00") @[lib.scala 12:48] + _T_658[22] <= UInt<1>("h00") @[lib.scala 12:48] + _T_658[23] <= UInt<1>("h00") @[lib.scala 12:48] + _T_658[24] <= UInt<1>("h00") @[lib.scala 12:48] + _T_658[25] <= UInt<1>("h00") @[lib.scala 12:48] + _T_658[26] <= UInt<1>("h00") @[lib.scala 12:48] + node _T_659 = cat(_T_658[0], _T_658[1]) @[Cat.scala 29:58] + node _T_660 = cat(_T_659, _T_658[2]) @[Cat.scala 29:58] + node _T_661 = cat(_T_660, _T_658[3]) @[Cat.scala 29:58] + node _T_662 = cat(_T_661, _T_658[4]) @[Cat.scala 29:58] + node _T_663 = cat(_T_662, _T_658[5]) @[Cat.scala 29:58] + node _T_664 = cat(_T_663, _T_658[6]) @[Cat.scala 29:58] + node _T_665 = cat(_T_664, _T_658[7]) @[Cat.scala 29:58] + node _T_666 = cat(_T_665, _T_658[8]) @[Cat.scala 29:58] + node _T_667 = cat(_T_666, _T_658[9]) @[Cat.scala 29:58] + node _T_668 = cat(_T_667, _T_658[10]) @[Cat.scala 29:58] + node _T_669 = cat(_T_668, _T_658[11]) @[Cat.scala 29:58] + node _T_670 = cat(_T_669, _T_658[12]) @[Cat.scala 29:58] + node _T_671 = cat(_T_670, _T_658[13]) @[Cat.scala 29:58] + node _T_672 = cat(_T_671, _T_658[14]) @[Cat.scala 29:58] + node _T_673 = cat(_T_672, _T_658[15]) @[Cat.scala 29:58] + node _T_674 = cat(_T_673, _T_658[16]) @[Cat.scala 29:58] + node _T_675 = cat(_T_674, _T_658[17]) @[Cat.scala 29:58] + node _T_676 = cat(_T_675, _T_658[18]) @[Cat.scala 29:58] + node _T_677 = cat(_T_676, _T_658[19]) @[Cat.scala 29:58] + node _T_678 = cat(_T_677, _T_658[20]) @[Cat.scala 29:58] + node _T_679 = cat(_T_678, _T_658[21]) @[Cat.scala 29:58] + node _T_680 = cat(_T_679, _T_658[22]) @[Cat.scala 29:58] + node _T_681 = cat(_T_680, _T_658[23]) @[Cat.scala 29:58] + node _T_682 = cat(_T_681, _T_658[24]) @[Cat.scala 29:58] + node _T_683 = cat(_T_682, _T_658[25]) @[Cat.scala 29:58] + node _T_684 = cat(_T_683, _T_658[26]) @[Cat.scala 29:58] + node _T_685 = bits(io.dec_i0_instr_d, 19, 15) @[dec_decode_ctl.scala 606:72] + node _T_686 = cat(_T_684, _T_685) @[Cat.scala 29:58] + node _T_687 = mux(i0_dp.imm12, _T_592, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_688 = mux(i0_dp.shimm5, _T_621, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_689 = mux(i0_jalimm20, _T_641, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_690 = mux(i0_uiimm20, _T_655, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_691 = mux(_T_657, _T_686, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_692 = or(_T_687, _T_688) @[Mux.scala 27:72] + node _T_693 = or(_T_692, _T_689) @[Mux.scala 27:72] + node _T_694 = or(_T_693, _T_690) @[Mux.scala 27:72] + node _T_695 = or(_T_694, _T_691) @[Mux.scala 27:72] + wire _T_696 : UInt<32> @[Mux.scala 27:72] + _T_696 <= _T_695 @[Mux.scala 27:72] + i0_immed_d <= _T_696 @[dec_decode_ctl.scala 601:14] + node _T_697 = and(io.dec_aln.dec_i0_decode_d, i0_legal) @[dec_decode_ctl.scala 608:54] + i0_legal_decode_d <= _T_697 @[dec_decode_ctl.scala 608:24] + node _T_698 = and(i0_dp.mul, i0_legal_decode_d) @[dec_decode_ctl.scala 610:44] + i0_d_c.mul <= _T_698 @[dec_decode_ctl.scala 610:29] + node _T_699 = and(i0_dp.load, i0_legal_decode_d) @[dec_decode_ctl.scala 611:44] + i0_d_c.load <= _T_699 @[dec_decode_ctl.scala 611:29] + node _T_700 = and(i0_dp.alu, i0_legal_decode_d) @[dec_decode_ctl.scala 612:44] + i0_d_c.alu <= _T_700 @[dec_decode_ctl.scala 612:29] + wire _T_701 : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[dec_decode_ctl.scala 614:70] + _T_701.alu <= UInt<1>("h00") @[dec_decode_ctl.scala 614:70] + _T_701.load <= UInt<1>("h00") @[dec_decode_ctl.scala 614:70] + _T_701.mul <= UInt<1>("h00") @[dec_decode_ctl.scala 614:70] + node _T_702 = bits(i0_x_ctl_en, 0, 0) @[dec_decode_ctl.scala 614:92] + reg i0_x_c : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>}, io.active_clk with : (reset => (reset, _T_701)) @[Reg.scala 27:20] + when _T_702 : @[Reg.scala 28:19] + i0_x_c.alu <= i0_d_c.alu @[Reg.scala 28:23] + i0_x_c.load <= i0_d_c.load @[Reg.scala 28:23] + i0_x_c.mul <= i0_d_c.mul @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + wire _T_703 : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[dec_decode_ctl.scala 615:70] + _T_703.alu <= UInt<1>("h00") @[dec_decode_ctl.scala 615:70] + _T_703.load <= UInt<1>("h00") @[dec_decode_ctl.scala 615:70] + _T_703.mul <= UInt<1>("h00") @[dec_decode_ctl.scala 615:70] + node _T_704 = bits(i0_r_ctl_en, 0, 0) @[dec_decode_ctl.scala 615:92] + reg i0_r_c : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>}, io.active_clk with : (reset => (reset, _T_703)) @[Reg.scala 27:20] + when _T_704 : @[Reg.scala 28:19] + i0_r_c.alu <= i0_x_c.alu @[Reg.scala 28:23] + i0_r_c.load <= i0_x_c.load @[Reg.scala 28:23] + i0_r_c.mul <= i0_x_c.mul @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_705 = bits(i0_pipe_en, 3, 1) @[dec_decode_ctl.scala 616:91] + reg _T_706 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 616:80] + _T_706 <= _T_705 @[dec_decode_ctl.scala 616:80] + node _T_707 = cat(io.dec_aln.dec_i0_decode_d, _T_706) @[Cat.scala 29:58] + i0_pipe_en <= _T_707 @[dec_decode_ctl.scala 616:14] + node _T_708 = bits(i0_pipe_en, 3, 2) @[dec_decode_ctl.scala 618:43] + node _T_709 = orr(_T_708) @[dec_decode_ctl.scala 618:49] + node _T_710 = or(_T_709, io.clk_override) @[dec_decode_ctl.scala 618:53] + i0_x_ctl_en <= _T_710 @[dec_decode_ctl.scala 618:29] + node _T_711 = bits(i0_pipe_en, 2, 1) @[dec_decode_ctl.scala 619:43] + node _T_712 = orr(_T_711) @[dec_decode_ctl.scala 619:49] + node _T_713 = or(_T_712, io.clk_override) @[dec_decode_ctl.scala 619:53] + i0_r_ctl_en <= _T_713 @[dec_decode_ctl.scala 619:29] + node _T_714 = bits(i0_pipe_en, 1, 0) @[dec_decode_ctl.scala 620:43] + node _T_715 = orr(_T_714) @[dec_decode_ctl.scala 620:49] + node _T_716 = or(_T_715, io.clk_override) @[dec_decode_ctl.scala 620:53] + i0_wb_ctl_en <= _T_716 @[dec_decode_ctl.scala 620:29] + node _T_717 = bits(i0_pipe_en, 3, 3) @[dec_decode_ctl.scala 621:44] + node _T_718 = or(_T_717, io.clk_override) @[dec_decode_ctl.scala 621:50] + i0_x_data_en <= _T_718 @[dec_decode_ctl.scala 621:29] + node _T_719 = bits(i0_pipe_en, 2, 2) @[dec_decode_ctl.scala 622:44] + node _T_720 = or(_T_719, io.clk_override) @[dec_decode_ctl.scala 622:50] + i0_r_data_en <= _T_720 @[dec_decode_ctl.scala 622:29] + node _T_721 = bits(i0_pipe_en, 1, 1) @[dec_decode_ctl.scala 623:44] + node _T_722 = or(_T_721, io.clk_override) @[dec_decode_ctl.scala 623:50] + i0_wb_data_en <= _T_722 @[dec_decode_ctl.scala 623:29] + node _T_723 = bits(i0_pipe_en, 0, 0) @[dec_decode_ctl.scala 624:44] + node _T_724 = or(_T_723, io.clk_override) @[dec_decode_ctl.scala 624:50] + i0_wb1_data_en <= _T_724 @[dec_decode_ctl.scala 624:29] + node _T_725 = cat(i0_x_data_en, i0_r_data_en) @[Cat.scala 29:58] + io.decode_exu.dec_data_en <= _T_725 @[dec_decode_ctl.scala 626:38] + node _T_726 = cat(i0_x_ctl_en, i0_r_ctl_en) @[Cat.scala 29:58] + io.decode_exu.dec_ctl_en <= _T_726 @[dec_decode_ctl.scala 627:38] + d_d.bits.i0rd <= i0r.rd @[dec_decode_ctl.scala 629:34] + node _T_727 = and(i0_rd_en_d, i0_legal_decode_d) @[dec_decode_ctl.scala 630:50] + d_d.bits.i0v <= _T_727 @[dec_decode_ctl.scala 630:34] + d_d.valid <= io.dec_aln.dec_i0_decode_d @[dec_decode_ctl.scala 631:27] + node _T_728 = and(i0_dp.load, i0_legal_decode_d) @[dec_decode_ctl.scala 633:50] + d_d.bits.i0load <= _T_728 @[dec_decode_ctl.scala 633:34] + node _T_729 = and(i0_dp.store, i0_legal_decode_d) @[dec_decode_ctl.scala 634:50] + d_d.bits.i0store <= _T_729 @[dec_decode_ctl.scala 634:34] + node _T_730 = and(i0_dp.div, i0_legal_decode_d) @[dec_decode_ctl.scala 635:50] + d_d.bits.i0div <= _T_730 @[dec_decode_ctl.scala 635:34] + node _T_731 = and(io.dec_csr_wen_unq_d, i0_legal_decode_d) @[dec_decode_ctl.scala 637:61] + d_d.bits.csrwen <= _T_731 @[dec_decode_ctl.scala 637:34] + node _T_732 = and(i0_csr_write_only_d, io.dec_aln.dec_i0_decode_d) @[dec_decode_ctl.scala 638:58] + d_d.bits.csrwonly <= _T_732 @[dec_decode_ctl.scala 638:34] + node _T_733 = bits(io.dec_i0_instr_d, 31, 20) @[dec_decode_ctl.scala 639:40] + d_d.bits.csrwaddr <= _T_733 @[dec_decode_ctl.scala 639:34] + node _T_734 = bits(i0_x_ctl_en, 0, 0) @[dec_decode_ctl.scala 641:34] + inst rvclkhdr_7 of rvclkhdr_7 @[lib.scala 378:23] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= reset + rvclkhdr_7.io.clk <= clock @[lib.scala 380:18] + rvclkhdr_7.io.en <= _T_734 @[lib.scala 381:17] + rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 382:24] + wire _T_735 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[lib.scala 384:33] + _T_735.bits.csrwaddr <= UInt<12>("h00") @[lib.scala 384:33] + _T_735.bits.csrwonly <= UInt<1>("h00") @[lib.scala 384:33] + _T_735.bits.csrwen <= UInt<1>("h00") @[lib.scala 384:33] + _T_735.bits.i0v <= UInt<1>("h00") @[lib.scala 384:33] + _T_735.bits.i0div <= UInt<1>("h00") @[lib.scala 384:33] + _T_735.bits.i0store <= UInt<1>("h00") @[lib.scala 384:33] + _T_735.bits.i0load <= UInt<1>("h00") @[lib.scala 384:33] + _T_735.bits.i0rd <= UInt<5>("h00") @[lib.scala 384:33] + _T_735.valid <= UInt<1>("h00") @[lib.scala 384:33] + reg _T_736 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}}, rvclkhdr_7.io.l1clk with : (reset => (reset, _T_735)) @[lib.scala 384:16] + _T_736.bits.csrwaddr <= d_d.bits.csrwaddr @[lib.scala 384:16] + _T_736.bits.csrwonly <= d_d.bits.csrwonly @[lib.scala 384:16] + _T_736.bits.csrwen <= d_d.bits.csrwen @[lib.scala 384:16] + _T_736.bits.i0v <= d_d.bits.i0v @[lib.scala 384:16] + _T_736.bits.i0div <= d_d.bits.i0div @[lib.scala 384:16] + _T_736.bits.i0store <= d_d.bits.i0store @[lib.scala 384:16] + _T_736.bits.i0load <= d_d.bits.i0load @[lib.scala 384:16] + _T_736.bits.i0rd <= d_d.bits.i0rd @[lib.scala 384:16] + _T_736.valid <= d_d.valid @[lib.scala 384:16] + x_d.bits.csrwaddr <= _T_736.bits.csrwaddr @[dec_decode_ctl.scala 641:7] + x_d.bits.csrwonly <= _T_736.bits.csrwonly @[dec_decode_ctl.scala 641:7] + x_d.bits.csrwen <= _T_736.bits.csrwen @[dec_decode_ctl.scala 641:7] + x_d.bits.i0v <= _T_736.bits.i0v @[dec_decode_ctl.scala 641:7] + x_d.bits.i0div <= _T_736.bits.i0div @[dec_decode_ctl.scala 641:7] + x_d.bits.i0store <= _T_736.bits.i0store @[dec_decode_ctl.scala 641:7] + x_d.bits.i0load <= _T_736.bits.i0load @[dec_decode_ctl.scala 641:7] + x_d.bits.i0rd <= _T_736.bits.i0rd @[dec_decode_ctl.scala 641:7] + x_d.valid <= _T_736.valid @[dec_decode_ctl.scala 641:7] + wire x_d_in : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[dec_decode_ctl.scala 642:20] + x_d_in.bits.csrwaddr <= x_d.bits.csrwaddr @[dec_decode_ctl.scala 643:10] + x_d_in.bits.csrwonly <= x_d.bits.csrwonly @[dec_decode_ctl.scala 643:10] + x_d_in.bits.csrwen <= x_d.bits.csrwen @[dec_decode_ctl.scala 643:10] + x_d_in.bits.i0v <= x_d.bits.i0v @[dec_decode_ctl.scala 643:10] + x_d_in.bits.i0div <= x_d.bits.i0div @[dec_decode_ctl.scala 643:10] + x_d_in.bits.i0store <= x_d.bits.i0store @[dec_decode_ctl.scala 643:10] + x_d_in.bits.i0load <= x_d.bits.i0load @[dec_decode_ctl.scala 643:10] + x_d_in.bits.i0rd <= x_d.bits.i0rd @[dec_decode_ctl.scala 643:10] + x_d_in.valid <= x_d.valid @[dec_decode_ctl.scala 643:10] + node _T_737 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[dec_decode_ctl.scala 644:49] + node _T_738 = and(x_d.bits.i0v, _T_737) @[dec_decode_ctl.scala 644:47] + node _T_739 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[dec_decode_ctl.scala 644:78] + node _T_740 = and(_T_738, _T_739) @[dec_decode_ctl.scala 644:76] + x_d_in.bits.i0v <= _T_740 @[dec_decode_ctl.scala 644:27] + node _T_741 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[dec_decode_ctl.scala 645:35] + node _T_742 = and(x_d.valid, _T_741) @[dec_decode_ctl.scala 645:33] + node _T_743 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[dec_decode_ctl.scala 645:64] + node _T_744 = and(_T_742, _T_743) @[dec_decode_ctl.scala 645:62] + x_d_in.valid <= _T_744 @[dec_decode_ctl.scala 645:20] + node _T_745 = bits(i0_r_ctl_en, 0, 0) @[dec_decode_ctl.scala 647:36] + inst rvclkhdr_8 of rvclkhdr_8 @[lib.scala 378:23] + rvclkhdr_8.clock <= clock + rvclkhdr_8.reset <= reset + rvclkhdr_8.io.clk <= clock @[lib.scala 380:18] + rvclkhdr_8.io.en <= _T_745 @[lib.scala 381:17] + rvclkhdr_8.io.scan_mode <= io.scan_mode @[lib.scala 382:24] + wire _T_746 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[lib.scala 384:33] + _T_746.bits.csrwaddr <= UInt<12>("h00") @[lib.scala 384:33] + _T_746.bits.csrwonly <= UInt<1>("h00") @[lib.scala 384:33] + _T_746.bits.csrwen <= UInt<1>("h00") @[lib.scala 384:33] + _T_746.bits.i0v <= UInt<1>("h00") @[lib.scala 384:33] + _T_746.bits.i0div <= UInt<1>("h00") @[lib.scala 384:33] + _T_746.bits.i0store <= UInt<1>("h00") @[lib.scala 384:33] + _T_746.bits.i0load <= UInt<1>("h00") @[lib.scala 384:33] + _T_746.bits.i0rd <= UInt<5>("h00") @[lib.scala 384:33] + _T_746.valid <= UInt<1>("h00") @[lib.scala 384:33] + reg _T_747 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}}, rvclkhdr_8.io.l1clk with : (reset => (reset, _T_746)) @[lib.scala 384:16] + _T_747.bits.csrwaddr <= x_d_in.bits.csrwaddr @[lib.scala 384:16] + _T_747.bits.csrwonly <= x_d_in.bits.csrwonly @[lib.scala 384:16] + _T_747.bits.csrwen <= x_d_in.bits.csrwen @[lib.scala 384:16] + _T_747.bits.i0v <= x_d_in.bits.i0v @[lib.scala 384:16] + _T_747.bits.i0div <= x_d_in.bits.i0div @[lib.scala 384:16] + _T_747.bits.i0store <= x_d_in.bits.i0store @[lib.scala 384:16] + _T_747.bits.i0load <= x_d_in.bits.i0load @[lib.scala 384:16] + _T_747.bits.i0rd <= x_d_in.bits.i0rd @[lib.scala 384:16] + _T_747.valid <= x_d_in.valid @[lib.scala 384:16] + r_d.bits.csrwaddr <= _T_747.bits.csrwaddr @[dec_decode_ctl.scala 647:7] + r_d.bits.csrwonly <= _T_747.bits.csrwonly @[dec_decode_ctl.scala 647:7] + r_d.bits.csrwen <= _T_747.bits.csrwen @[dec_decode_ctl.scala 647:7] + r_d.bits.i0v <= _T_747.bits.i0v @[dec_decode_ctl.scala 647:7] + r_d.bits.i0div <= _T_747.bits.i0div @[dec_decode_ctl.scala 647:7] + r_d.bits.i0store <= _T_747.bits.i0store @[dec_decode_ctl.scala 647:7] + r_d.bits.i0load <= _T_747.bits.i0load @[dec_decode_ctl.scala 647:7] + r_d.bits.i0rd <= _T_747.bits.i0rd @[dec_decode_ctl.scala 647:7] + r_d.valid <= _T_747.valid @[dec_decode_ctl.scala 647:7] + r_d_in.bits.csrwaddr <= r_d.bits.csrwaddr @[dec_decode_ctl.scala 648:10] + r_d_in.bits.csrwonly <= r_d.bits.csrwonly @[dec_decode_ctl.scala 648:10] + r_d_in.bits.csrwen <= r_d.bits.csrwen @[dec_decode_ctl.scala 648:10] + r_d_in.bits.i0v <= r_d.bits.i0v @[dec_decode_ctl.scala 648:10] + r_d_in.bits.i0div <= r_d.bits.i0div @[dec_decode_ctl.scala 648:10] + r_d_in.bits.i0store <= r_d.bits.i0store @[dec_decode_ctl.scala 648:10] + r_d_in.bits.i0load <= r_d.bits.i0load @[dec_decode_ctl.scala 648:10] + r_d_in.bits.i0rd <= r_d.bits.i0rd @[dec_decode_ctl.scala 648:10] + r_d_in.valid <= r_d.valid @[dec_decode_ctl.scala 648:10] + r_d_in.bits.i0rd <= r_d.bits.i0rd @[dec_decode_ctl.scala 649:22] + node _T_748 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[dec_decode_ctl.scala 651:51] + node _T_749 = and(r_d.bits.i0v, _T_748) @[dec_decode_ctl.scala 651:49] + r_d_in.bits.i0v <= _T_749 @[dec_decode_ctl.scala 651:27] + node _T_750 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[dec_decode_ctl.scala 652:37] + node _T_751 = and(r_d.valid, _T_750) @[dec_decode_ctl.scala 652:35] + r_d_in.valid <= _T_751 @[dec_decode_ctl.scala 652:20] + node _T_752 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[dec_decode_ctl.scala 653:51] + node _T_753 = and(r_d.bits.i0load, _T_752) @[dec_decode_ctl.scala 653:49] + r_d_in.bits.i0load <= _T_753 @[dec_decode_ctl.scala 653:27] + node _T_754 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[dec_decode_ctl.scala 654:51] + node _T_755 = and(r_d.bits.i0store, _T_754) @[dec_decode_ctl.scala 654:49] + r_d_in.bits.i0store <= _T_755 @[dec_decode_ctl.scala 654:27] + node _T_756 = bits(i0_wb_ctl_en, 0, 0) @[dec_decode_ctl.scala 656:37] + inst rvclkhdr_9 of rvclkhdr_9 @[lib.scala 378:23] + rvclkhdr_9.clock <= clock + rvclkhdr_9.reset <= reset + rvclkhdr_9.io.clk <= clock @[lib.scala 380:18] + rvclkhdr_9.io.en <= _T_756 @[lib.scala 381:17] + rvclkhdr_9.io.scan_mode <= io.scan_mode @[lib.scala 382:24] + wire _T_757 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[lib.scala 384:33] + _T_757.bits.csrwaddr <= UInt<12>("h00") @[lib.scala 384:33] + _T_757.bits.csrwonly <= UInt<1>("h00") @[lib.scala 384:33] + _T_757.bits.csrwen <= UInt<1>("h00") @[lib.scala 384:33] + _T_757.bits.i0v <= UInt<1>("h00") @[lib.scala 384:33] + _T_757.bits.i0div <= UInt<1>("h00") @[lib.scala 384:33] + _T_757.bits.i0store <= UInt<1>("h00") @[lib.scala 384:33] + _T_757.bits.i0load <= UInt<1>("h00") @[lib.scala 384:33] + _T_757.bits.i0rd <= UInt<5>("h00") @[lib.scala 384:33] + _T_757.valid <= UInt<1>("h00") @[lib.scala 384:33] + reg _T_758 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}}, rvclkhdr_9.io.l1clk with : (reset => (reset, _T_757)) @[lib.scala 384:16] + _T_758.bits.csrwaddr <= r_d_in.bits.csrwaddr @[lib.scala 384:16] + _T_758.bits.csrwonly <= r_d_in.bits.csrwonly @[lib.scala 384:16] + _T_758.bits.csrwen <= r_d_in.bits.csrwen @[lib.scala 384:16] + _T_758.bits.i0v <= r_d_in.bits.i0v @[lib.scala 384:16] + _T_758.bits.i0div <= r_d_in.bits.i0div @[lib.scala 384:16] + _T_758.bits.i0store <= r_d_in.bits.i0store @[lib.scala 384:16] + _T_758.bits.i0load <= r_d_in.bits.i0load @[lib.scala 384:16] + _T_758.bits.i0rd <= r_d_in.bits.i0rd @[lib.scala 384:16] + _T_758.valid <= r_d_in.valid @[lib.scala 384:16] + wbd.bits.csrwaddr <= _T_758.bits.csrwaddr @[dec_decode_ctl.scala 656:7] + wbd.bits.csrwonly <= _T_758.bits.csrwonly @[dec_decode_ctl.scala 656:7] + wbd.bits.csrwen <= _T_758.bits.csrwen @[dec_decode_ctl.scala 656:7] + wbd.bits.i0v <= _T_758.bits.i0v @[dec_decode_ctl.scala 656:7] + wbd.bits.i0div <= _T_758.bits.i0div @[dec_decode_ctl.scala 656:7] + wbd.bits.i0store <= _T_758.bits.i0store @[dec_decode_ctl.scala 656:7] + wbd.bits.i0load <= _T_758.bits.i0load @[dec_decode_ctl.scala 656:7] + wbd.bits.i0rd <= _T_758.bits.i0rd @[dec_decode_ctl.scala 656:7] + wbd.valid <= _T_758.valid @[dec_decode_ctl.scala 656:7] + io.dec_i0_waddr_r <= r_d_in.bits.i0rd @[dec_decode_ctl.scala 658:27] + node _T_759 = eq(io.dec_tlu_i0_kill_writeb_r, UInt<1>("h00")) @[dec_decode_ctl.scala 659:47] + node _T_760 = and(r_d_in.bits.i0v, _T_759) @[dec_decode_ctl.scala 659:45] + i0_wen_r <= _T_760 @[dec_decode_ctl.scala 659:25] + node _T_761 = eq(r_d_in.bits.i0div, UInt<1>("h00")) @[dec_decode_ctl.scala 660:49] + node _T_762 = and(i0_wen_r, _T_761) @[dec_decode_ctl.scala 660:47] + node _T_763 = eq(i0_load_kill_wen_r, UInt<1>("h00")) @[dec_decode_ctl.scala 660:70] + node _T_764 = and(_T_762, _T_763) @[dec_decode_ctl.scala 660:68] + io.dec_i0_wen_r <= _T_764 @[dec_decode_ctl.scala 660:32] + io.dec_i0_wdata_r <= i0_result_corr_r @[dec_decode_ctl.scala 661:26] + node _T_765 = bits(i0_r_data_en, 0, 0) @[dec_decode_ctl.scala 663:57] + inst rvclkhdr_10 of rvclkhdr_10 @[lib.scala 368:23] + rvclkhdr_10.clock <= clock + rvclkhdr_10.reset <= reset + rvclkhdr_10.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_10.io.en <= _T_765 @[lib.scala 371:17] + rvclkhdr_10.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg i0_result_r_raw : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + i0_result_r_raw <= i0_result_x @[lib.scala 374:16] + node _T_766 = and(x_d.bits.i0v, x_d.bits.i0load) @[dec_decode_ctl.scala 669:47] + node _T_767 = bits(_T_766, 0, 0) @[dec_decode_ctl.scala 669:66] + node _T_768 = mux(_T_767, io.lsu_result_m, io.decode_exu.exu_i0_result_x) @[dec_decode_ctl.scala 669:32] + i0_result_x <= _T_768 @[dec_decode_ctl.scala 669:26] + i0_result_r <= i0_result_r_raw @[dec_decode_ctl.scala 670:26] + node _T_769 = and(r_d.bits.i0v, r_d.bits.i0load) @[dec_decode_ctl.scala 674:42] + node _T_770 = bits(_T_769, 0, 0) @[dec_decode_ctl.scala 674:61] + node _T_771 = mux(_T_770, io.lsu_result_corr_r, i0_result_r_raw) @[dec_decode_ctl.scala 674:27] + i0_result_corr_r <= _T_771 @[dec_decode_ctl.scala 674:21] + node _T_772 = eq(i0_dp.jal, UInt<1>("h00")) @[dec_decode_ctl.scala 675:73] + node _T_773 = and(io.decode_exu.i0_ap.predict_nt, _T_772) @[dec_decode_ctl.scala 675:71] + node _T_774 = bits(_T_773, 0, 0) @[dec_decode_ctl.scala 675:85] + wire _T_775 : UInt<1>[10] @[lib.scala 12:48] + _T_775[0] <= UInt<1>("h00") @[lib.scala 12:48] + _T_775[1] <= UInt<1>("h00") @[lib.scala 12:48] + _T_775[2] <= UInt<1>("h00") @[lib.scala 12:48] + _T_775[3] <= UInt<1>("h00") @[lib.scala 12:48] + _T_775[4] <= UInt<1>("h00") @[lib.scala 12:48] + _T_775[5] <= UInt<1>("h00") @[lib.scala 12:48] + _T_775[6] <= UInt<1>("h00") @[lib.scala 12:48] + _T_775[7] <= UInt<1>("h00") @[lib.scala 12:48] + _T_775[8] <= UInt<1>("h00") @[lib.scala 12:48] + _T_775[9] <= UInt<1>("h00") @[lib.scala 12:48] + node _T_776 = cat(_T_775[0], _T_775[1]) @[Cat.scala 29:58] + node _T_777 = cat(_T_776, _T_775[2]) @[Cat.scala 29:58] + node _T_778 = cat(_T_777, _T_775[3]) @[Cat.scala 29:58] + node _T_779 = cat(_T_778, _T_775[4]) @[Cat.scala 29:58] + node _T_780 = cat(_T_779, _T_775[5]) @[Cat.scala 29:58] + node _T_781 = cat(_T_780, _T_775[6]) @[Cat.scala 29:58] + node _T_782 = cat(_T_781, _T_775[7]) @[Cat.scala 29:58] + node _T_783 = cat(_T_782, _T_775[8]) @[Cat.scala 29:58] + node _T_784 = cat(_T_783, _T_775[9]) @[Cat.scala 29:58] + node _T_785 = cat(_T_784, io.dec_i0_pc4_d) @[Cat.scala 29:58] + node _T_786 = cat(_T_785, i0_ap_pc2) @[Cat.scala 29:58] + node _T_787 = mux(_T_774, i0_br_offset, _T_786) @[dec_decode_ctl.scala 675:38] + io.dec_alu.dec_i0_br_immed_d <= _T_787 @[dec_decode_ctl.scala 675:32] + wire last_br_immed_d : UInt<12> + last_br_immed_d <= UInt<1>("h00") + node _T_788 = bits(io.decode_exu.i0_ap.predict_nt, 0, 0) @[dec_decode_ctl.scala 677:59] + wire _T_789 : UInt<1>[10] @[lib.scala 12:48] + _T_789[0] <= UInt<1>("h00") @[lib.scala 12:48] + _T_789[1] <= UInt<1>("h00") @[lib.scala 12:48] + _T_789[2] <= UInt<1>("h00") @[lib.scala 12:48] + _T_789[3] <= UInt<1>("h00") @[lib.scala 12:48] + _T_789[4] <= UInt<1>("h00") @[lib.scala 12:48] + _T_789[5] <= UInt<1>("h00") @[lib.scala 12:48] + _T_789[6] <= UInt<1>("h00") @[lib.scala 12:48] + _T_789[7] <= UInt<1>("h00") @[lib.scala 12:48] + _T_789[8] <= UInt<1>("h00") @[lib.scala 12:48] + _T_789[9] <= UInt<1>("h00") @[lib.scala 12:48] + node _T_790 = cat(_T_789[0], _T_789[1]) @[Cat.scala 29:58] + node _T_791 = cat(_T_790, _T_789[2]) @[Cat.scala 29:58] + node _T_792 = cat(_T_791, _T_789[3]) @[Cat.scala 29:58] + node _T_793 = cat(_T_792, _T_789[4]) @[Cat.scala 29:58] + node _T_794 = cat(_T_793, _T_789[5]) @[Cat.scala 29:58] + node _T_795 = cat(_T_794, _T_789[6]) @[Cat.scala 29:58] + node _T_796 = cat(_T_795, _T_789[7]) @[Cat.scala 29:58] + node _T_797 = cat(_T_796, _T_789[8]) @[Cat.scala 29:58] + node _T_798 = cat(_T_797, _T_789[9]) @[Cat.scala 29:58] + node _T_799 = cat(_T_798, io.dec_i0_pc4_d) @[Cat.scala 29:58] + node _T_800 = cat(_T_799, i0_ap_pc2) @[Cat.scala 29:58] + node _T_801 = mux(_T_788, _T_800, i0_br_offset) @[dec_decode_ctl.scala 677:25] + last_br_immed_d <= _T_801 @[dec_decode_ctl.scala 677:19] + wire last_br_immed_x : UInt<12> + last_br_immed_x <= UInt<1>("h00") + node _T_802 = bits(i0_x_data_en, 0, 0) @[dec_decode_ctl.scala 679:58] + inst rvclkhdr_11 of rvclkhdr_11 @[lib.scala 368:23] + rvclkhdr_11.clock <= clock + rvclkhdr_11.reset <= reset + rvclkhdr_11.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_11.io.en <= _T_802 @[lib.scala 371:17] + rvclkhdr_11.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_803 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_803 <= last_br_immed_d @[lib.scala 374:16] + last_br_immed_x <= _T_803 @[dec_decode_ctl.scala 679:19] + node _T_804 = and(x_d.bits.i0div, x_d.valid) @[dec_decode_ctl.scala 683:45] + node _T_805 = and(r_d.bits.i0div, r_d.valid) @[dec_decode_ctl.scala 683:76] + node div_e1_to_r = or(_T_804, _T_805) @[dec_decode_ctl.scala 683:58] + node _T_806 = and(x_d.bits.i0div, x_d.valid) @[dec_decode_ctl.scala 685:48] + node _T_807 = eq(x_d.bits.i0rd, UInt<5>("h00")) @[dec_decode_ctl.scala 685:77] + node _T_808 = and(_T_806, _T_807) @[dec_decode_ctl.scala 685:60] + node _T_809 = and(x_d.bits.i0div, x_d.valid) @[dec_decode_ctl.scala 686:21] + node _T_810 = and(_T_809, io.dec_tlu_flush_lower_r) @[dec_decode_ctl.scala 686:33] + node _T_811 = or(_T_808, _T_810) @[dec_decode_ctl.scala 685:94] + node _T_812 = and(r_d.bits.i0div, r_d.valid) @[dec_decode_ctl.scala 687:21] + node _T_813 = and(_T_812, io.dec_tlu_flush_lower_r) @[dec_decode_ctl.scala 687:33] + node _T_814 = and(_T_813, io.dec_tlu_i0_kill_writeb_r) @[dec_decode_ctl.scala 687:60] + node div_flush = or(_T_811, _T_814) @[dec_decode_ctl.scala 686:62] + node _T_815 = and(io.dec_div_active, div_flush) @[dec_decode_ctl.scala 691:51] + node _T_816 = eq(div_e1_to_r, UInt<1>("h00")) @[dec_decode_ctl.scala 692:26] + node _T_817 = and(io.dec_div_active, _T_816) @[dec_decode_ctl.scala 692:24] + node _T_818 = eq(r_d.bits.i0rd, io.div_waddr_wb) @[dec_decode_ctl.scala 692:56] + node _T_819 = and(_T_817, _T_818) @[dec_decode_ctl.scala 692:39] + node _T_820 = and(_T_819, i0_wen_r) @[dec_decode_ctl.scala 692:77] + node nonblock_div_cancel = or(_T_815, _T_820) @[dec_decode_ctl.scala 691:65] + node _T_821 = bits(nonblock_div_cancel, 0, 0) @[dec_decode_ctl.scala 694:61] + io.dec_div.dec_div_cancel <= _T_821 @[dec_decode_ctl.scala 694:37] + node i0_div_decode_d = and(i0_legal_decode_d, i0_dp.div) @[dec_decode_ctl.scala 695:55] + node _T_822 = eq(io.exu_div_wren, UInt<1>("h00")) @[dec_decode_ctl.scala 697:62] + node _T_823 = and(io.dec_div_active, _T_822) @[dec_decode_ctl.scala 697:60] + node _T_824 = eq(nonblock_div_cancel, UInt<1>("h00")) @[dec_decode_ctl.scala 697:81] + node _T_825 = and(_T_823, _T_824) @[dec_decode_ctl.scala 697:79] + node div_active_in = or(i0_div_decode_d, _T_825) @[dec_decode_ctl.scala 697:39] + reg _T_826 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 699:54] + _T_826 <= div_active_in @[dec_decode_ctl.scala 699:54] + io.dec_div_active <= _T_826 @[dec_decode_ctl.scala 699:21] + node _T_827 = and(io.decode_exu.dec_i0_rs1_en_d, io.dec_div_active) @[dec_decode_ctl.scala 702:60] + node _T_828 = eq(io.div_waddr_wb, i0r.rs1) @[dec_decode_ctl.scala 702:99] + node _T_829 = and(_T_827, _T_828) @[dec_decode_ctl.scala 702:80] + node _T_830 = and(io.decode_exu.dec_i0_rs2_en_d, io.dec_div_active) @[dec_decode_ctl.scala 703:36] + node _T_831 = eq(io.div_waddr_wb, i0r.rs2) @[dec_decode_ctl.scala 703:75] + node _T_832 = and(_T_830, _T_831) @[dec_decode_ctl.scala 703:56] + node _T_833 = or(_T_829, _T_832) @[dec_decode_ctl.scala 702:113] + i0_nonblock_div_stall <= _T_833 @[dec_decode_ctl.scala 702:26] + node _T_834 = bits(i0_div_decode_d, 0, 0) @[dec_decode_ctl.scala 705:59] + reg _T_835 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_834 : @[Reg.scala 28:19] + _T_835 <= i0r.rd @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + io.div_waddr_wb <= _T_835 @[dec_decode_ctl.scala 705:19] + node _T_836 = bits(i0_inst_d, 24, 7) @[dec_decode_ctl.scala 712:34] + node _T_837 = bits(i0_div_decode_d, 0, 0) @[dec_decode_ctl.scala 712:57] + inst rvclkhdr_12 of rvclkhdr_12 @[lib.scala 368:23] + rvclkhdr_12.clock <= clock + rvclkhdr_12.reset <= reset + rvclkhdr_12.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_12.io.en <= _T_837 @[lib.scala 371:17] + rvclkhdr_12.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg div_inst : UInt, rvclkhdr_12.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + div_inst <= _T_836 @[lib.scala 374:16] + node _T_838 = bits(i0_x_data_en, 0, 0) @[dec_decode_ctl.scala 713:49] + inst rvclkhdr_13 of rvclkhdr_13 @[lib.scala 368:23] + rvclkhdr_13.clock <= clock + rvclkhdr_13.reset <= reset + rvclkhdr_13.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_13.io.en <= _T_838 @[lib.scala 371:17] + rvclkhdr_13.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg i0_inst_x : UInt, rvclkhdr_13.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + i0_inst_x <= i0_inst_d @[lib.scala 374:16] + node _T_839 = bits(i0_r_data_en, 0, 0) @[dec_decode_ctl.scala 714:49] + inst rvclkhdr_14 of rvclkhdr_14 @[lib.scala 368:23] + rvclkhdr_14.clock <= clock + rvclkhdr_14.reset <= reset + rvclkhdr_14.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_14.io.en <= _T_839 @[lib.scala 371:17] + rvclkhdr_14.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg i0_inst_r : UInt, rvclkhdr_14.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + i0_inst_r <= i0_inst_x @[lib.scala 374:16] + node _T_840 = bits(i0_wb_data_en, 0, 0) @[dec_decode_ctl.scala 716:50] + inst rvclkhdr_15 of rvclkhdr_15 @[lib.scala 368:23] + rvclkhdr_15.clock <= clock + rvclkhdr_15.reset <= reset + rvclkhdr_15.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_15.io.en <= _T_840 @[lib.scala 371:17] + rvclkhdr_15.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg i0_inst_wb : UInt, rvclkhdr_15.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + i0_inst_wb <= i0_inst_r @[lib.scala 374:16] + node _T_841 = bits(i0_wb1_data_en, 0, 0) @[dec_decode_ctl.scala 717:53] + inst rvclkhdr_16 of rvclkhdr_16 @[lib.scala 368:23] + rvclkhdr_16.clock <= clock + rvclkhdr_16.reset <= reset + rvclkhdr_16.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_16.io.en <= _T_841 @[lib.scala 371:17] + rvclkhdr_16.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_842 : UInt, rvclkhdr_16.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_842 <= i0_inst_wb @[lib.scala 374:16] + io.dec_i0_inst_wb1 <= _T_842 @[dec_decode_ctl.scala 717:22] + node _T_843 = bits(i0_wb_data_en, 0, 0) @[dec_decode_ctl.scala 718:53] + inst rvclkhdr_17 of rvclkhdr_17 @[lib.scala 368:23] + rvclkhdr_17.clock <= clock + rvclkhdr_17.reset <= reset + rvclkhdr_17.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_17.io.en <= _T_843 @[lib.scala 371:17] + rvclkhdr_17.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg i0_pc_wb : UInt, rvclkhdr_17.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + i0_pc_wb <= io.dec_tlu_i0_pc_r @[lib.scala 374:16] + node _T_844 = bits(i0_wb1_data_en, 0, 0) @[dec_decode_ctl.scala 720:49] + inst rvclkhdr_18 of rvclkhdr_18 @[lib.scala 368:23] + rvclkhdr_18.clock <= clock + rvclkhdr_18.reset <= reset + rvclkhdr_18.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_18.io.en <= _T_844 @[lib.scala 371:17] + rvclkhdr_18.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_845 : UInt, rvclkhdr_18.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_845 <= i0_pc_wb @[lib.scala 374:16] + io.dec_i0_pc_wb1 <= _T_845 @[dec_decode_ctl.scala 720:20] + node _T_846 = bits(i0_r_data_en, 0, 0) @[dec_decode_ctl.scala 721:64] + inst rvclkhdr_19 of rvclkhdr_19 @[lib.scala 368:23] + rvclkhdr_19.clock <= clock + rvclkhdr_19.reset <= reset + rvclkhdr_19.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_19.io.en <= _T_846 @[lib.scala 371:17] + rvclkhdr_19.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg dec_i0_pc_r : UInt, rvclkhdr_19.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + dec_i0_pc_r <= io.dec_alu.exu_i0_pc_x @[lib.scala 374:16] + io.dec_tlu_i0_pc_r <= dec_i0_pc_r @[dec_decode_ctl.scala 723:27] + node _T_847 = cat(io.dec_alu.exu_i0_pc_x, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_848 = cat(last_br_immed_x, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_849 = bits(_T_847, 12, 1) @[lib.scala 68:24] + node _T_850 = bits(_T_848, 12, 1) @[lib.scala 68:40] + node _T_851 = add(_T_849, _T_850) @[lib.scala 68:31] + node _T_852 = bits(_T_847, 31, 13) @[lib.scala 69:20] + node _T_853 = add(_T_852, UInt<1>("h01")) @[lib.scala 69:27] + node _T_854 = tail(_T_853, 1) @[lib.scala 69:27] + node _T_855 = bits(_T_847, 31, 13) @[lib.scala 70:20] + node _T_856 = sub(_T_855, UInt<1>("h01")) @[lib.scala 70:27] + node _T_857 = tail(_T_856, 1) @[lib.scala 70:27] + node _T_858 = bits(_T_848, 12, 12) @[lib.scala 71:22] + node _T_859 = bits(_T_851, 12, 12) @[lib.scala 72:39] + node _T_860 = eq(_T_859, UInt<1>("h00")) @[lib.scala 72:28] + node _T_861 = xor(_T_858, _T_860) @[lib.scala 72:26] + node _T_862 = bits(_T_861, 0, 0) @[lib.scala 72:64] + node _T_863 = bits(_T_847, 31, 13) @[lib.scala 72:76] + node _T_864 = eq(_T_858, UInt<1>("h00")) @[lib.scala 73:20] + node _T_865 = bits(_T_851, 12, 12) @[lib.scala 73:39] + node _T_866 = and(_T_864, _T_865) @[lib.scala 73:26] + node _T_867 = bits(_T_866, 0, 0) @[lib.scala 73:64] + node _T_868 = bits(_T_851, 12, 12) @[lib.scala 74:39] + node _T_869 = eq(_T_868, UInt<1>("h00")) @[lib.scala 74:28] + node _T_870 = and(_T_858, _T_869) @[lib.scala 74:26] + node _T_871 = bits(_T_870, 0, 0) @[lib.scala 74:64] + node _T_872 = mux(_T_862, _T_863, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_873 = mux(_T_867, _T_854, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_874 = mux(_T_871, _T_857, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_875 = or(_T_872, _T_873) @[Mux.scala 27:72] + node _T_876 = or(_T_875, _T_874) @[Mux.scala 27:72] + wire _T_877 : UInt<19> @[Mux.scala 27:72] + _T_877 <= _T_876 @[Mux.scala 27:72] + node _T_878 = bits(_T_851, 11, 0) @[lib.scala 74:94] + node _T_879 = cat(_T_877, _T_878) @[Cat.scala 29:58] + node temp_pred_correct_npc_x = cat(_T_879, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_880 = bits(temp_pred_correct_npc_x, 31, 1) @[dec_decode_ctl.scala 728:62] + io.decode_exu.pred_correct_npc_x <= _T_880 @[dec_decode_ctl.scala 728:36] + node _T_881 = and(io.decode_exu.dec_i0_rs1_en_d, x_d.bits.i0v) @[dec_decode_ctl.scala 732:59] + node _T_882 = eq(x_d.bits.i0rd, i0r.rs1) @[dec_decode_ctl.scala 732:91] + node i0_rs1_depend_i0_x = and(_T_881, _T_882) @[dec_decode_ctl.scala 732:74] + node _T_883 = and(io.decode_exu.dec_i0_rs1_en_d, r_d.bits.i0v) @[dec_decode_ctl.scala 733:59] + node _T_884 = eq(r_d.bits.i0rd, i0r.rs1) @[dec_decode_ctl.scala 733:91] + node i0_rs1_depend_i0_r = and(_T_883, _T_884) @[dec_decode_ctl.scala 733:74] + node _T_885 = and(io.decode_exu.dec_i0_rs2_en_d, x_d.bits.i0v) @[dec_decode_ctl.scala 735:59] + node _T_886 = eq(x_d.bits.i0rd, i0r.rs2) @[dec_decode_ctl.scala 735:91] + node i0_rs2_depend_i0_x = and(_T_885, _T_886) @[dec_decode_ctl.scala 735:74] + node _T_887 = and(io.decode_exu.dec_i0_rs2_en_d, r_d.bits.i0v) @[dec_decode_ctl.scala 736:59] + node _T_888 = eq(r_d.bits.i0rd, i0r.rs2) @[dec_decode_ctl.scala 736:91] + node i0_rs2_depend_i0_r = and(_T_887, _T_888) @[dec_decode_ctl.scala 736:74] + node _T_889 = bits(i0_rs1_depend_i0_x, 0, 0) @[dec_decode_ctl.scala 738:44] + node _T_890 = bits(i0_rs1_depend_i0_r, 0, 0) @[dec_decode_ctl.scala 738:81] + wire _T_891 : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[dec_decode_ctl.scala 738:109] + _T_891.alu <= UInt<1>("h00") @[dec_decode_ctl.scala 738:109] + _T_891.load <= UInt<1>("h00") @[dec_decode_ctl.scala 738:109] + _T_891.mul <= UInt<1>("h00") @[dec_decode_ctl.scala 738:109] + node _T_892 = mux(_T_890, i0_r_c, _T_891) @[dec_decode_ctl.scala 738:61] + node _T_893 = mux(_T_889, i0_x_c, _T_892) @[dec_decode_ctl.scala 738:24] + i0_rs1_class_d.alu <= _T_893.alu @[dec_decode_ctl.scala 738:18] + i0_rs1_class_d.load <= _T_893.load @[dec_decode_ctl.scala 738:18] + i0_rs1_class_d.mul <= _T_893.mul @[dec_decode_ctl.scala 738:18] + node _T_894 = bits(i0_rs1_depend_i0_x, 0, 0) @[dec_decode_ctl.scala 739:44] + node _T_895 = bits(i0_rs1_depend_i0_r, 0, 0) @[dec_decode_ctl.scala 739:83] + node _T_896 = mux(_T_895, UInt<2>("h02"), UInt<1>("h00")) @[dec_decode_ctl.scala 739:63] + node _T_897 = mux(_T_894, UInt<2>("h01"), _T_896) @[dec_decode_ctl.scala 739:24] + i0_rs1_depth_d <= _T_897 @[dec_decode_ctl.scala 739:18] + node _T_898 = bits(i0_rs2_depend_i0_x, 0, 0) @[dec_decode_ctl.scala 740:44] + node _T_899 = bits(i0_rs2_depend_i0_r, 0, 0) @[dec_decode_ctl.scala 740:81] + wire _T_900 : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[dec_decode_ctl.scala 740:109] + _T_900.alu <= UInt<1>("h00") @[dec_decode_ctl.scala 740:109] + _T_900.load <= UInt<1>("h00") @[dec_decode_ctl.scala 740:109] + _T_900.mul <= UInt<1>("h00") @[dec_decode_ctl.scala 740:109] + node _T_901 = mux(_T_899, i0_r_c, _T_900) @[dec_decode_ctl.scala 740:61] + node _T_902 = mux(_T_898, i0_x_c, _T_901) @[dec_decode_ctl.scala 740:24] + i0_rs2_class_d.alu <= _T_902.alu @[dec_decode_ctl.scala 740:18] + i0_rs2_class_d.load <= _T_902.load @[dec_decode_ctl.scala 740:18] + i0_rs2_class_d.mul <= _T_902.mul @[dec_decode_ctl.scala 740:18] + node _T_903 = bits(i0_rs2_depend_i0_x, 0, 0) @[dec_decode_ctl.scala 741:44] + node _T_904 = bits(i0_rs2_depend_i0_r, 0, 0) @[dec_decode_ctl.scala 741:83] + node _T_905 = mux(_T_904, UInt<2>("h02"), UInt<1>("h00")) @[dec_decode_ctl.scala 741:63] + node _T_906 = mux(_T_903, UInt<2>("h01"), _T_905) @[dec_decode_ctl.scala 741:24] + i0_rs2_depth_d <= _T_906 @[dec_decode_ctl.scala 741:18] + i0_load_block_d <= UInt<1>("h00") @[dec_decode_ctl.scala 751:21] + node _T_907 = or(i0_dp.load, i0_dp.store) @[dec_decode_ctl.scala 752:43] + node _T_908 = bits(i0_rs1_depth_d, 0, 0) @[dec_decode_ctl.scala 752:74] + node _T_909 = and(_T_907, _T_908) @[dec_decode_ctl.scala 752:58] + node _T_910 = and(_T_909, i0_rs1_class_d.load) @[dec_decode_ctl.scala 752:78] + load_ldst_bypass_d <= _T_910 @[dec_decode_ctl.scala 752:27] + node _T_911 = bits(i0_rs2_depth_d, 0, 0) @[dec_decode_ctl.scala 753:59] + node _T_912 = and(i0_dp.store, _T_911) @[dec_decode_ctl.scala 753:43] + node _T_913 = and(_T_912, i0_rs2_class_d.load) @[dec_decode_ctl.scala 753:63] + store_data_bypass_d <= _T_913 @[dec_decode_ctl.scala 753:25] + store_data_bypass_m <= UInt<1>("h00") @[dec_decode_ctl.scala 754:25] + node _T_914 = and(io.decode_exu.dec_i0_rs1_en_d, io.dec_nonblock_load_wen) @[dec_decode_ctl.scala 758:73] + node _T_915 = eq(io.dec_nonblock_load_waddr, i0r.rs1) @[dec_decode_ctl.scala 758:130] + node i0_rs1_nonblock_load_bypass_en_d = and(_T_914, _T_915) @[dec_decode_ctl.scala 758:100] + node _T_916 = and(io.decode_exu.dec_i0_rs2_en_d, io.dec_nonblock_load_wen) @[dec_decode_ctl.scala 760:73] + node _T_917 = eq(io.dec_nonblock_load_waddr, i0r.rs2) @[dec_decode_ctl.scala 760:130] + node i0_rs2_nonblock_load_bypass_en_d = and(_T_916, _T_917) @[dec_decode_ctl.scala 760:100] + node _T_918 = bits(i0_rs1_depth_d, 0, 0) @[dec_decode_ctl.scala 763:41] + node _T_919 = or(i0_rs1_class_d.alu, i0_rs1_class_d.mul) @[dec_decode_ctl.scala 763:66] + node _T_920 = and(_T_918, _T_919) @[dec_decode_ctl.scala 763:45] + node _T_921 = bits(i0_rs1_depth_d, 0, 0) @[dec_decode_ctl.scala 763:104] + node _T_922 = and(_T_921, i0_rs1_class_d.load) @[dec_decode_ctl.scala 763:108] + node _T_923 = bits(i0_rs1_depth_d, 1, 1) @[dec_decode_ctl.scala 763:149] + node _T_924 = or(i0_rs1_class_d.alu, i0_rs1_class_d.mul) @[dec_decode_ctl.scala 763:175] + node _T_925 = or(_T_924, i0_rs1_class_d.load) @[dec_decode_ctl.scala 763:196] + node _T_926 = and(_T_923, _T_925) @[dec_decode_ctl.scala 763:153] + node _T_927 = cat(_T_920, _T_922) @[Cat.scala 29:58] + node _T_928 = cat(_T_927, _T_926) @[Cat.scala 29:58] + i0_rs1bypass <= _T_928 @[dec_decode_ctl.scala 763:18] + node _T_929 = bits(i0_rs2_depth_d, 0, 0) @[dec_decode_ctl.scala 765:41] + node _T_930 = or(i0_rs2_class_d.alu, i0_rs2_class_d.mul) @[dec_decode_ctl.scala 765:67] + node _T_931 = and(_T_929, _T_930) @[dec_decode_ctl.scala 765:45] + node _T_932 = bits(i0_rs2_depth_d, 0, 0) @[dec_decode_ctl.scala 765:105] + node _T_933 = and(_T_932, i0_rs2_class_d.load) @[dec_decode_ctl.scala 765:109] + node _T_934 = bits(i0_rs2_depth_d, 1, 1) @[dec_decode_ctl.scala 765:149] + node _T_935 = or(i0_rs2_class_d.alu, i0_rs2_class_d.mul) @[dec_decode_ctl.scala 765:175] + node _T_936 = or(_T_935, i0_rs2_class_d.load) @[dec_decode_ctl.scala 765:196] + node _T_937 = and(_T_934, _T_936) @[dec_decode_ctl.scala 765:153] + node _T_938 = cat(_T_931, _T_933) @[Cat.scala 29:58] + node _T_939 = cat(_T_938, _T_937) @[Cat.scala 29:58] + i0_rs2bypass <= _T_939 @[dec_decode_ctl.scala 765:18] + node _T_940 = bits(i0_rs1bypass, 2, 2) @[dec_decode_ctl.scala 767:65] + node _T_941 = bits(i0_rs1bypass, 1, 1) @[dec_decode_ctl.scala 767:82] + node _T_942 = bits(i0_rs1bypass, 0, 0) @[dec_decode_ctl.scala 767:100] + node _T_943 = or(_T_941, _T_942) @[dec_decode_ctl.scala 767:86] + node _T_944 = bits(i0_rs1bypass, 2, 2) @[dec_decode_ctl.scala 767:120] + node _T_945 = eq(_T_944, UInt<1>("h00")) @[dec_decode_ctl.scala 767:107] + node _T_946 = and(_T_945, i0_rs1_nonblock_load_bypass_en_d) @[dec_decode_ctl.scala 767:124] + node _T_947 = or(_T_943, _T_946) @[dec_decode_ctl.scala 767:104] + node _T_948 = cat(_T_940, _T_947) @[Cat.scala 29:58] + io.decode_exu.dec_i0_rs1_bypass_en_d <= _T_948 @[dec_decode_ctl.scala 767:45] + node _T_949 = bits(i0_rs2bypass, 2, 2) @[dec_decode_ctl.scala 768:65] + node _T_950 = bits(i0_rs2bypass, 1, 1) @[dec_decode_ctl.scala 768:82] + node _T_951 = bits(i0_rs2bypass, 0, 0) @[dec_decode_ctl.scala 768:100] + node _T_952 = or(_T_950, _T_951) @[dec_decode_ctl.scala 768:86] + node _T_953 = bits(i0_rs2bypass, 2, 2) @[dec_decode_ctl.scala 768:120] + node _T_954 = eq(_T_953, UInt<1>("h00")) @[dec_decode_ctl.scala 768:107] + node _T_955 = and(_T_954, i0_rs2_nonblock_load_bypass_en_d) @[dec_decode_ctl.scala 768:124] + node _T_956 = or(_T_952, _T_955) @[dec_decode_ctl.scala 768:104] + node _T_957 = cat(_T_949, _T_956) @[Cat.scala 29:58] + io.decode_exu.dec_i0_rs2_bypass_en_d <= _T_957 @[dec_decode_ctl.scala 768:45] + node _T_958 = bits(i0_rs1bypass, 1, 1) @[dec_decode_ctl.scala 772:17] + node _T_959 = bits(_T_958, 0, 0) @[dec_decode_ctl.scala 772:21] + node _T_960 = bits(i0_rs1bypass, 0, 0) @[dec_decode_ctl.scala 773:17] + node _T_961 = bits(_T_960, 0, 0) @[dec_decode_ctl.scala 773:21] + node _T_962 = bits(i0_rs1bypass, 1, 1) @[dec_decode_ctl.scala 774:19] + node _T_963 = eq(_T_962, UInt<1>("h00")) @[dec_decode_ctl.scala 774:6] + node _T_964 = bits(i0_rs1bypass, 0, 0) @[dec_decode_ctl.scala 774:38] + node _T_965 = eq(_T_964, UInt<1>("h00")) @[dec_decode_ctl.scala 774:25] + node _T_966 = and(_T_963, _T_965) @[dec_decode_ctl.scala 774:23] + node _T_967 = and(_T_966, i0_rs1_nonblock_load_bypass_en_d) @[dec_decode_ctl.scala 774:42] + node _T_968 = bits(_T_967, 0, 0) @[dec_decode_ctl.scala 774:78] + node _T_969 = mux(_T_959, io.lsu_result_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_970 = mux(_T_961, i0_result_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_971 = mux(_T_968, io.dctl_busbuff.lsu_nonblock_load_data, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_972 = or(_T_969, _T_970) @[Mux.scala 27:72] + node _T_973 = or(_T_972, _T_971) @[Mux.scala 27:72] + wire _T_974 : UInt<32> @[Mux.scala 27:72] + _T_974 <= _T_973 @[Mux.scala 27:72] + io.decode_exu.dec_i0_rs1_bypass_data_d <= _T_974 @[dec_decode_ctl.scala 771:42] + node _T_975 = bits(i0_rs2bypass, 1, 1) @[dec_decode_ctl.scala 777:17] + node _T_976 = bits(_T_975, 0, 0) @[dec_decode_ctl.scala 777:21] + node _T_977 = bits(i0_rs2bypass, 0, 0) @[dec_decode_ctl.scala 778:17] + node _T_978 = bits(_T_977, 0, 0) @[dec_decode_ctl.scala 778:21] + node _T_979 = bits(i0_rs2bypass, 1, 1) @[dec_decode_ctl.scala 779:19] + node _T_980 = eq(_T_979, UInt<1>("h00")) @[dec_decode_ctl.scala 779:6] + node _T_981 = bits(i0_rs2bypass, 0, 0) @[dec_decode_ctl.scala 779:38] + node _T_982 = eq(_T_981, UInt<1>("h00")) @[dec_decode_ctl.scala 779:25] + node _T_983 = and(_T_980, _T_982) @[dec_decode_ctl.scala 779:23] + node _T_984 = and(_T_983, i0_rs2_nonblock_load_bypass_en_d) @[dec_decode_ctl.scala 779:42] + node _T_985 = bits(_T_984, 0, 0) @[dec_decode_ctl.scala 779:78] + node _T_986 = mux(_T_976, io.lsu_result_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_987 = mux(_T_978, i0_result_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_988 = mux(_T_985, io.dctl_busbuff.lsu_nonblock_load_data, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_989 = or(_T_986, _T_987) @[Mux.scala 27:72] + node _T_990 = or(_T_989, _T_988) @[Mux.scala 27:72] + wire _T_991 : UInt<32> @[Mux.scala 27:72] + _T_991 <= _T_990 @[Mux.scala 27:72] + io.decode_exu.dec_i0_rs2_bypass_data_d <= _T_991 @[dec_decode_ctl.scala 776:42] + node _T_992 = or(i0_dp_raw.load, i0_dp_raw.store) @[dec_decode_ctl.scala 781:68] + node _T_993 = and(io.dec_ib0_valid_d, _T_992) @[dec_decode_ctl.scala 781:50] + node _T_994 = eq(io.dctl_dma.dma_dccm_stall_any, UInt<1>("h00")) @[dec_decode_ctl.scala 781:89] + node _T_995 = and(_T_993, _T_994) @[dec_decode_ctl.scala 781:87] + node _T_996 = eq(i0_block_raw_d, UInt<1>("h00")) @[dec_decode_ctl.scala 781:123] + node _T_997 = and(_T_995, _T_996) @[dec_decode_ctl.scala 781:121] + node _T_998 = or(_T_997, io.decode_exu.dec_extint_stall) @[dec_decode_ctl.scala 781:140] + io.dec_lsu_valid_raw_d <= _T_998 @[dec_decode_ctl.scala 781:26] + node _T_999 = eq(io.decode_exu.dec_extint_stall, UInt<1>("h00")) @[dec_decode_ctl.scala 783:6] + node _T_1000 = and(_T_999, i0_dp.lsu) @[dec_decode_ctl.scala 783:38] + node _T_1001 = and(_T_1000, i0_dp.load) @[dec_decode_ctl.scala 783:50] + node _T_1002 = bits(_T_1001, 0, 0) @[dec_decode_ctl.scala 783:64] + node _T_1003 = bits(io.dec_i0_instr_d, 31, 20) @[dec_decode_ctl.scala 783:81] + node _T_1004 = eq(io.decode_exu.dec_extint_stall, UInt<1>("h00")) @[dec_decode_ctl.scala 784:6] + node _T_1005 = and(_T_1004, i0_dp.lsu) @[dec_decode_ctl.scala 784:38] + node _T_1006 = and(_T_1005, i0_dp.store) @[dec_decode_ctl.scala 784:50] + node _T_1007 = bits(_T_1006, 0, 0) @[dec_decode_ctl.scala 784:65] + node _T_1008 = bits(io.dec_i0_instr_d, 31, 25) @[dec_decode_ctl.scala 784:85] + node _T_1009 = bits(io.dec_i0_instr_d, 11, 7) @[dec_decode_ctl.scala 784:95] + node _T_1010 = cat(_T_1008, _T_1009) @[Cat.scala 29:58] + node _T_1011 = mux(_T_1002, _T_1003, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1012 = mux(_T_1007, _T_1010, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1013 = or(_T_1011, _T_1012) @[Mux.scala 27:72] + wire _T_1014 : UInt<12> @[Mux.scala 27:72] + _T_1014 <= _T_1013 @[Mux.scala 27:72] + io.dec_lsu_offset_d <= _T_1014 @[dec_decode_ctl.scala 782:23] + + extmodule gated_latch_20 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_20 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_20 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_21 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_21 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_21 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_22 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_22 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_22 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_23 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_23 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_23 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_24 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_24 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_24 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_25 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_25 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_25 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_26 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_26 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_26 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_27 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_27 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_27 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_28 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_28 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_28 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_29 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_29 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_29 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_30 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_30 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_30 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_31 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_31 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_31 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_32 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_32 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_32 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_33 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_33 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_33 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_34 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_34 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_34 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_35 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_35 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_35 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_36 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_36 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_36 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_37 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_37 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_37 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_38 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_38 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_38 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_39 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_39 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_39 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_40 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_40 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_40 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_41 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_41 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_41 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_42 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_42 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_42 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_43 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_43 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_43 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_44 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_44 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_44 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_45 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_45 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_45 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_46 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_46 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_46 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_47 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_47 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_47 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_48 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_48 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_48 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_49 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_49 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_49 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_50 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_50 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_50 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module dec_gpr_ctl : + input clock : Clock + input reset : AsyncReset + output io : {flip raddr0 : UInt<5>, flip raddr1 : UInt<5>, flip wen0 : UInt<1>, flip waddr0 : UInt<5>, flip wd0 : UInt<32>, flip wen1 : UInt<1>, flip waddr1 : UInt<5>, flip wd1 : UInt<32>, flip wen2 : UInt<1>, flip waddr2 : UInt<5>, flip wd2 : UInt<32>, flip scan_mode : UInt<1>, flip gpr_exu : {flip gpr_i0_rs1_d : UInt<32>, flip gpr_i0_rs2_d : UInt<32>}} + + wire w0v : UInt<1>[32] @[dec_gpr_ctl.scala 27:30] + w0v[0] <= UInt<1>("h00") @[dec_gpr_ctl.scala 28:13] + w0v[1] <= UInt<1>("h00") @[dec_gpr_ctl.scala 28:13] + w0v[2] <= UInt<1>("h00") @[dec_gpr_ctl.scala 28:13] + w0v[3] <= UInt<1>("h00") @[dec_gpr_ctl.scala 28:13] + w0v[4] <= UInt<1>("h00") @[dec_gpr_ctl.scala 28:13] + w0v[5] <= UInt<1>("h00") @[dec_gpr_ctl.scala 28:13] + w0v[6] <= UInt<1>("h00") @[dec_gpr_ctl.scala 28:13] + w0v[7] <= UInt<1>("h00") @[dec_gpr_ctl.scala 28:13] + w0v[8] <= UInt<1>("h00") @[dec_gpr_ctl.scala 28:13] + w0v[9] <= UInt<1>("h00") @[dec_gpr_ctl.scala 28:13] + w0v[10] <= UInt<1>("h00") @[dec_gpr_ctl.scala 28:13] + w0v[11] <= UInt<1>("h00") @[dec_gpr_ctl.scala 28:13] + w0v[12] <= UInt<1>("h00") @[dec_gpr_ctl.scala 28:13] + w0v[13] <= UInt<1>("h00") @[dec_gpr_ctl.scala 28:13] + w0v[14] <= UInt<1>("h00") @[dec_gpr_ctl.scala 28:13] + w0v[15] <= UInt<1>("h00") @[dec_gpr_ctl.scala 28:13] + w0v[16] <= UInt<1>("h00") @[dec_gpr_ctl.scala 28:13] + w0v[17] <= UInt<1>("h00") @[dec_gpr_ctl.scala 28:13] + w0v[18] <= UInt<1>("h00") @[dec_gpr_ctl.scala 28:13] + w0v[19] <= UInt<1>("h00") @[dec_gpr_ctl.scala 28:13] + w0v[20] <= UInt<1>("h00") @[dec_gpr_ctl.scala 28:13] + w0v[21] <= UInt<1>("h00") @[dec_gpr_ctl.scala 28:13] + w0v[22] <= UInt<1>("h00") @[dec_gpr_ctl.scala 28:13] + w0v[23] <= UInt<1>("h00") @[dec_gpr_ctl.scala 28:13] + w0v[24] <= UInt<1>("h00") @[dec_gpr_ctl.scala 28:13] + w0v[25] <= UInt<1>("h00") @[dec_gpr_ctl.scala 28:13] + w0v[26] <= UInt<1>("h00") @[dec_gpr_ctl.scala 28:13] + w0v[27] <= UInt<1>("h00") @[dec_gpr_ctl.scala 28:13] + w0v[28] <= UInt<1>("h00") @[dec_gpr_ctl.scala 28:13] + w0v[29] <= UInt<1>("h00") @[dec_gpr_ctl.scala 28:13] + w0v[30] <= UInt<1>("h00") @[dec_gpr_ctl.scala 28:13] + w0v[31] <= UInt<1>("h00") @[dec_gpr_ctl.scala 28:13] + wire w1v : UInt<1>[32] @[dec_gpr_ctl.scala 30:30] + w1v[0] <= UInt<1>("h00") @[dec_gpr_ctl.scala 31:13] + w1v[1] <= UInt<1>("h00") @[dec_gpr_ctl.scala 31:13] + w1v[2] <= UInt<1>("h00") @[dec_gpr_ctl.scala 31:13] + w1v[3] <= UInt<1>("h00") @[dec_gpr_ctl.scala 31:13] + w1v[4] <= UInt<1>("h00") @[dec_gpr_ctl.scala 31:13] + w1v[5] <= UInt<1>("h00") @[dec_gpr_ctl.scala 31:13] + w1v[6] <= UInt<1>("h00") @[dec_gpr_ctl.scala 31:13] + w1v[7] <= UInt<1>("h00") @[dec_gpr_ctl.scala 31:13] + w1v[8] <= UInt<1>("h00") @[dec_gpr_ctl.scala 31:13] + w1v[9] <= UInt<1>("h00") @[dec_gpr_ctl.scala 31:13] + w1v[10] <= UInt<1>("h00") @[dec_gpr_ctl.scala 31:13] + w1v[11] <= UInt<1>("h00") @[dec_gpr_ctl.scala 31:13] + w1v[12] <= UInt<1>("h00") @[dec_gpr_ctl.scala 31:13] + w1v[13] <= UInt<1>("h00") @[dec_gpr_ctl.scala 31:13] + w1v[14] <= UInt<1>("h00") @[dec_gpr_ctl.scala 31:13] + w1v[15] <= UInt<1>("h00") @[dec_gpr_ctl.scala 31:13] + w1v[16] <= UInt<1>("h00") @[dec_gpr_ctl.scala 31:13] + w1v[17] <= UInt<1>("h00") @[dec_gpr_ctl.scala 31:13] + w1v[18] <= UInt<1>("h00") @[dec_gpr_ctl.scala 31:13] + w1v[19] <= UInt<1>("h00") @[dec_gpr_ctl.scala 31:13] + w1v[20] <= UInt<1>("h00") @[dec_gpr_ctl.scala 31:13] + w1v[21] <= UInt<1>("h00") @[dec_gpr_ctl.scala 31:13] + w1v[22] <= UInt<1>("h00") @[dec_gpr_ctl.scala 31:13] + w1v[23] <= UInt<1>("h00") @[dec_gpr_ctl.scala 31:13] + w1v[24] <= UInt<1>("h00") @[dec_gpr_ctl.scala 31:13] + w1v[25] <= UInt<1>("h00") @[dec_gpr_ctl.scala 31:13] + w1v[26] <= UInt<1>("h00") @[dec_gpr_ctl.scala 31:13] + w1v[27] <= UInt<1>("h00") @[dec_gpr_ctl.scala 31:13] + w1v[28] <= UInt<1>("h00") @[dec_gpr_ctl.scala 31:13] + w1v[29] <= UInt<1>("h00") @[dec_gpr_ctl.scala 31:13] + w1v[30] <= UInt<1>("h00") @[dec_gpr_ctl.scala 31:13] + w1v[31] <= UInt<1>("h00") @[dec_gpr_ctl.scala 31:13] + wire w2v : UInt<1>[32] @[dec_gpr_ctl.scala 33:30] + w2v[0] <= UInt<1>("h00") @[dec_gpr_ctl.scala 34:13] + w2v[1] <= UInt<1>("h00") @[dec_gpr_ctl.scala 34:13] + w2v[2] <= UInt<1>("h00") @[dec_gpr_ctl.scala 34:13] + w2v[3] <= UInt<1>("h00") @[dec_gpr_ctl.scala 34:13] + w2v[4] <= UInt<1>("h00") @[dec_gpr_ctl.scala 34:13] + w2v[5] <= UInt<1>("h00") @[dec_gpr_ctl.scala 34:13] + w2v[6] <= UInt<1>("h00") @[dec_gpr_ctl.scala 34:13] + w2v[7] <= UInt<1>("h00") @[dec_gpr_ctl.scala 34:13] + w2v[8] <= UInt<1>("h00") @[dec_gpr_ctl.scala 34:13] + w2v[9] <= UInt<1>("h00") @[dec_gpr_ctl.scala 34:13] + w2v[10] <= UInt<1>("h00") @[dec_gpr_ctl.scala 34:13] + w2v[11] <= UInt<1>("h00") @[dec_gpr_ctl.scala 34:13] + w2v[12] <= UInt<1>("h00") @[dec_gpr_ctl.scala 34:13] + w2v[13] <= UInt<1>("h00") @[dec_gpr_ctl.scala 34:13] + w2v[14] <= UInt<1>("h00") @[dec_gpr_ctl.scala 34:13] + w2v[15] <= UInt<1>("h00") @[dec_gpr_ctl.scala 34:13] + w2v[16] <= UInt<1>("h00") @[dec_gpr_ctl.scala 34:13] + w2v[17] <= UInt<1>("h00") @[dec_gpr_ctl.scala 34:13] + w2v[18] <= UInt<1>("h00") @[dec_gpr_ctl.scala 34:13] + w2v[19] <= UInt<1>("h00") @[dec_gpr_ctl.scala 34:13] + w2v[20] <= UInt<1>("h00") @[dec_gpr_ctl.scala 34:13] + w2v[21] <= UInt<1>("h00") @[dec_gpr_ctl.scala 34:13] + w2v[22] <= UInt<1>("h00") @[dec_gpr_ctl.scala 34:13] + w2v[23] <= UInt<1>("h00") @[dec_gpr_ctl.scala 34:13] + w2v[24] <= UInt<1>("h00") @[dec_gpr_ctl.scala 34:13] + w2v[25] <= UInt<1>("h00") @[dec_gpr_ctl.scala 34:13] + w2v[26] <= UInt<1>("h00") @[dec_gpr_ctl.scala 34:13] + w2v[27] <= UInt<1>("h00") @[dec_gpr_ctl.scala 34:13] + w2v[28] <= UInt<1>("h00") @[dec_gpr_ctl.scala 34:13] + w2v[29] <= UInt<1>("h00") @[dec_gpr_ctl.scala 34:13] + w2v[30] <= UInt<1>("h00") @[dec_gpr_ctl.scala 34:13] + w2v[31] <= UInt<1>("h00") @[dec_gpr_ctl.scala 34:13] + wire gpr_in : UInt<32>[32] @[dec_gpr_ctl.scala 36:30] + gpr_in[0] <= UInt<1>("h00") @[dec_gpr_ctl.scala 37:16] + gpr_in[1] <= UInt<1>("h00") @[dec_gpr_ctl.scala 37:16] + gpr_in[2] <= UInt<1>("h00") @[dec_gpr_ctl.scala 37:16] + gpr_in[3] <= UInt<1>("h00") @[dec_gpr_ctl.scala 37:16] + gpr_in[4] <= UInt<1>("h00") @[dec_gpr_ctl.scala 37:16] + gpr_in[5] <= UInt<1>("h00") @[dec_gpr_ctl.scala 37:16] + gpr_in[6] <= UInt<1>("h00") @[dec_gpr_ctl.scala 37:16] + gpr_in[7] <= UInt<1>("h00") @[dec_gpr_ctl.scala 37:16] + gpr_in[8] <= UInt<1>("h00") @[dec_gpr_ctl.scala 37:16] + gpr_in[9] <= UInt<1>("h00") @[dec_gpr_ctl.scala 37:16] + gpr_in[10] <= UInt<1>("h00") @[dec_gpr_ctl.scala 37:16] + gpr_in[11] <= UInt<1>("h00") @[dec_gpr_ctl.scala 37:16] + gpr_in[12] <= UInt<1>("h00") @[dec_gpr_ctl.scala 37:16] + gpr_in[13] <= UInt<1>("h00") @[dec_gpr_ctl.scala 37:16] + gpr_in[14] <= UInt<1>("h00") @[dec_gpr_ctl.scala 37:16] + gpr_in[15] <= UInt<1>("h00") @[dec_gpr_ctl.scala 37:16] + gpr_in[16] <= UInt<1>("h00") @[dec_gpr_ctl.scala 37:16] + gpr_in[17] <= UInt<1>("h00") @[dec_gpr_ctl.scala 37:16] + gpr_in[18] <= UInt<1>("h00") @[dec_gpr_ctl.scala 37:16] + gpr_in[19] <= UInt<1>("h00") @[dec_gpr_ctl.scala 37:16] + gpr_in[20] <= UInt<1>("h00") @[dec_gpr_ctl.scala 37:16] + gpr_in[21] <= UInt<1>("h00") @[dec_gpr_ctl.scala 37:16] + gpr_in[22] <= UInt<1>("h00") @[dec_gpr_ctl.scala 37:16] + gpr_in[23] <= UInt<1>("h00") @[dec_gpr_ctl.scala 37:16] + gpr_in[24] <= UInt<1>("h00") @[dec_gpr_ctl.scala 37:16] + gpr_in[25] <= UInt<1>("h00") @[dec_gpr_ctl.scala 37:16] + gpr_in[26] <= UInt<1>("h00") @[dec_gpr_ctl.scala 37:16] + gpr_in[27] <= UInt<1>("h00") @[dec_gpr_ctl.scala 37:16] + gpr_in[28] <= UInt<1>("h00") @[dec_gpr_ctl.scala 37:16] + gpr_in[29] <= UInt<1>("h00") @[dec_gpr_ctl.scala 37:16] + gpr_in[30] <= UInt<1>("h00") @[dec_gpr_ctl.scala 37:16] + gpr_in[31] <= UInt<1>("h00") @[dec_gpr_ctl.scala 37:16] + wire gpr_out : UInt<32>[32] @[dec_gpr_ctl.scala 39:30] + gpr_out[0] <= UInt<1>("h00") @[dec_gpr_ctl.scala 40:17] + gpr_out[1] <= UInt<1>("h00") @[dec_gpr_ctl.scala 40:17] + gpr_out[2] <= UInt<1>("h00") @[dec_gpr_ctl.scala 40:17] + gpr_out[3] <= UInt<1>("h00") @[dec_gpr_ctl.scala 40:17] + gpr_out[4] <= UInt<1>("h00") @[dec_gpr_ctl.scala 40:17] + gpr_out[5] <= UInt<1>("h00") @[dec_gpr_ctl.scala 40:17] + gpr_out[6] <= UInt<1>("h00") @[dec_gpr_ctl.scala 40:17] + gpr_out[7] <= UInt<1>("h00") @[dec_gpr_ctl.scala 40:17] + gpr_out[8] <= UInt<1>("h00") @[dec_gpr_ctl.scala 40:17] + gpr_out[9] <= UInt<1>("h00") @[dec_gpr_ctl.scala 40:17] + gpr_out[10] <= UInt<1>("h00") @[dec_gpr_ctl.scala 40:17] + gpr_out[11] <= UInt<1>("h00") @[dec_gpr_ctl.scala 40:17] + gpr_out[12] <= UInt<1>("h00") @[dec_gpr_ctl.scala 40:17] + gpr_out[13] <= UInt<1>("h00") @[dec_gpr_ctl.scala 40:17] + gpr_out[14] <= UInt<1>("h00") @[dec_gpr_ctl.scala 40:17] + gpr_out[15] <= UInt<1>("h00") @[dec_gpr_ctl.scala 40:17] + gpr_out[16] <= UInt<1>("h00") @[dec_gpr_ctl.scala 40:17] + gpr_out[17] <= UInt<1>("h00") @[dec_gpr_ctl.scala 40:17] + gpr_out[18] <= UInt<1>("h00") @[dec_gpr_ctl.scala 40:17] + gpr_out[19] <= UInt<1>("h00") @[dec_gpr_ctl.scala 40:17] + gpr_out[20] <= UInt<1>("h00") @[dec_gpr_ctl.scala 40:17] + gpr_out[21] <= UInt<1>("h00") @[dec_gpr_ctl.scala 40:17] + gpr_out[22] <= UInt<1>("h00") @[dec_gpr_ctl.scala 40:17] + gpr_out[23] <= UInt<1>("h00") @[dec_gpr_ctl.scala 40:17] + gpr_out[24] <= UInt<1>("h00") @[dec_gpr_ctl.scala 40:17] + gpr_out[25] <= UInt<1>("h00") @[dec_gpr_ctl.scala 40:17] + gpr_out[26] <= UInt<1>("h00") @[dec_gpr_ctl.scala 40:17] + gpr_out[27] <= UInt<1>("h00") @[dec_gpr_ctl.scala 40:17] + gpr_out[28] <= UInt<1>("h00") @[dec_gpr_ctl.scala 40:17] + gpr_out[29] <= UInt<1>("h00") @[dec_gpr_ctl.scala 40:17] + gpr_out[30] <= UInt<1>("h00") @[dec_gpr_ctl.scala 40:17] + gpr_out[31] <= UInt<1>("h00") @[dec_gpr_ctl.scala 40:17] + wire gpr_wr_en : UInt<32> + gpr_wr_en <= UInt<1>("h00") + w0v[0] <= UInt<1>("h00") @[dec_gpr_ctl.scala 43:15] + w1v[0] <= UInt<1>("h00") @[dec_gpr_ctl.scala 44:15] + w2v[0] <= UInt<1>("h00") @[dec_gpr_ctl.scala 45:15] + gpr_out[0] <= UInt<1>("h00") @[dec_gpr_ctl.scala 46:19] + gpr_in[0] <= UInt<1>("h00") @[dec_gpr_ctl.scala 47:18] + io.gpr_exu.gpr_i0_rs1_d <= UInt<1>("h00") @[dec_gpr_ctl.scala 48:32] + io.gpr_exu.gpr_i0_rs2_d <= UInt<1>("h00") @[dec_gpr_ctl.scala 49:32] + node _T = eq(io.waddr0, UInt<1>("h01")) @[dec_gpr_ctl.scala 52:45] + node _T_1 = and(io.wen0, _T) @[dec_gpr_ctl.scala 52:33] + w0v[1] <= _T_1 @[dec_gpr_ctl.scala 52:21] + node _T_2 = eq(io.waddr1, UInt<1>("h01")) @[dec_gpr_ctl.scala 53:45] + node _T_3 = and(io.wen1, _T_2) @[dec_gpr_ctl.scala 53:33] + w1v[1] <= _T_3 @[dec_gpr_ctl.scala 53:21] + node _T_4 = eq(io.waddr2, UInt<1>("h01")) @[dec_gpr_ctl.scala 54:45] + node _T_5 = and(io.wen2, _T_4) @[dec_gpr_ctl.scala 54:33] + w2v[1] <= _T_5 @[dec_gpr_ctl.scala 54:21] + node _T_6 = bits(w0v[1], 0, 0) @[Bitwise.scala 72:15] + node _T_7 = mux(_T_6, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_8 = and(_T_7, io.wd0) @[dec_gpr_ctl.scala 55:42] + node _T_9 = bits(w1v[1], 0, 0) @[Bitwise.scala 72:15] + node _T_10 = mux(_T_9, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_11 = and(_T_10, io.wd1) @[dec_gpr_ctl.scala 55:71] + node _T_12 = or(_T_8, _T_11) @[dec_gpr_ctl.scala 55:52] + node _T_13 = bits(w2v[1], 0, 0) @[Bitwise.scala 72:15] + node _T_14 = mux(_T_13, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_15 = and(_T_14, io.wd2) @[dec_gpr_ctl.scala 55:100] + node _T_16 = or(_T_12, _T_15) @[dec_gpr_ctl.scala 55:81] + gpr_in[1] <= _T_16 @[dec_gpr_ctl.scala 55:21] + node _T_17 = eq(io.waddr0, UInt<2>("h02")) @[dec_gpr_ctl.scala 52:45] + node _T_18 = and(io.wen0, _T_17) @[dec_gpr_ctl.scala 52:33] + w0v[2] <= _T_18 @[dec_gpr_ctl.scala 52:21] + node _T_19 = eq(io.waddr1, UInt<2>("h02")) @[dec_gpr_ctl.scala 53:45] + node _T_20 = and(io.wen1, _T_19) @[dec_gpr_ctl.scala 53:33] + w1v[2] <= _T_20 @[dec_gpr_ctl.scala 53:21] + node _T_21 = eq(io.waddr2, UInt<2>("h02")) @[dec_gpr_ctl.scala 54:45] + node _T_22 = and(io.wen2, _T_21) @[dec_gpr_ctl.scala 54:33] + w2v[2] <= _T_22 @[dec_gpr_ctl.scala 54:21] + node _T_23 = bits(w0v[2], 0, 0) @[Bitwise.scala 72:15] + node _T_24 = mux(_T_23, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_25 = and(_T_24, io.wd0) @[dec_gpr_ctl.scala 55:42] + node _T_26 = bits(w1v[2], 0, 0) @[Bitwise.scala 72:15] + node _T_27 = mux(_T_26, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_28 = and(_T_27, io.wd1) @[dec_gpr_ctl.scala 55:71] + node _T_29 = or(_T_25, _T_28) @[dec_gpr_ctl.scala 55:52] + node _T_30 = bits(w2v[2], 0, 0) @[Bitwise.scala 72:15] + node _T_31 = mux(_T_30, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_32 = and(_T_31, io.wd2) @[dec_gpr_ctl.scala 55:100] + node _T_33 = or(_T_29, _T_32) @[dec_gpr_ctl.scala 55:81] + gpr_in[2] <= _T_33 @[dec_gpr_ctl.scala 55:21] + node _T_34 = eq(io.waddr0, UInt<2>("h03")) @[dec_gpr_ctl.scala 52:45] + node _T_35 = and(io.wen0, _T_34) @[dec_gpr_ctl.scala 52:33] + w0v[3] <= _T_35 @[dec_gpr_ctl.scala 52:21] + node _T_36 = eq(io.waddr1, UInt<2>("h03")) @[dec_gpr_ctl.scala 53:45] + node _T_37 = and(io.wen1, _T_36) @[dec_gpr_ctl.scala 53:33] + w1v[3] <= _T_37 @[dec_gpr_ctl.scala 53:21] + node _T_38 = eq(io.waddr2, UInt<2>("h03")) @[dec_gpr_ctl.scala 54:45] + node _T_39 = and(io.wen2, _T_38) @[dec_gpr_ctl.scala 54:33] + w2v[3] <= _T_39 @[dec_gpr_ctl.scala 54:21] + node _T_40 = bits(w0v[3], 0, 0) @[Bitwise.scala 72:15] + node _T_41 = mux(_T_40, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_42 = and(_T_41, io.wd0) @[dec_gpr_ctl.scala 55:42] + node _T_43 = bits(w1v[3], 0, 0) @[Bitwise.scala 72:15] + node _T_44 = mux(_T_43, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_45 = and(_T_44, io.wd1) @[dec_gpr_ctl.scala 55:71] + node _T_46 = or(_T_42, _T_45) @[dec_gpr_ctl.scala 55:52] + node _T_47 = bits(w2v[3], 0, 0) @[Bitwise.scala 72:15] + node _T_48 = mux(_T_47, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_49 = and(_T_48, io.wd2) @[dec_gpr_ctl.scala 55:100] + node _T_50 = or(_T_46, _T_49) @[dec_gpr_ctl.scala 55:81] + gpr_in[3] <= _T_50 @[dec_gpr_ctl.scala 55:21] + node _T_51 = eq(io.waddr0, UInt<3>("h04")) @[dec_gpr_ctl.scala 52:45] + node _T_52 = and(io.wen0, _T_51) @[dec_gpr_ctl.scala 52:33] + w0v[4] <= _T_52 @[dec_gpr_ctl.scala 52:21] + node _T_53 = eq(io.waddr1, UInt<3>("h04")) @[dec_gpr_ctl.scala 53:45] + node _T_54 = and(io.wen1, _T_53) @[dec_gpr_ctl.scala 53:33] + w1v[4] <= _T_54 @[dec_gpr_ctl.scala 53:21] + node _T_55 = eq(io.waddr2, UInt<3>("h04")) @[dec_gpr_ctl.scala 54:45] + node _T_56 = and(io.wen2, _T_55) @[dec_gpr_ctl.scala 54:33] + w2v[4] <= _T_56 @[dec_gpr_ctl.scala 54:21] + node _T_57 = bits(w0v[4], 0, 0) @[Bitwise.scala 72:15] + node _T_58 = mux(_T_57, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_59 = and(_T_58, io.wd0) @[dec_gpr_ctl.scala 55:42] + node _T_60 = bits(w1v[4], 0, 0) @[Bitwise.scala 72:15] + node _T_61 = mux(_T_60, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_62 = and(_T_61, io.wd1) @[dec_gpr_ctl.scala 55:71] + node _T_63 = or(_T_59, _T_62) @[dec_gpr_ctl.scala 55:52] + node _T_64 = bits(w2v[4], 0, 0) @[Bitwise.scala 72:15] + node _T_65 = mux(_T_64, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_66 = and(_T_65, io.wd2) @[dec_gpr_ctl.scala 55:100] + node _T_67 = or(_T_63, _T_66) @[dec_gpr_ctl.scala 55:81] + gpr_in[4] <= _T_67 @[dec_gpr_ctl.scala 55:21] + node _T_68 = eq(io.waddr0, UInt<3>("h05")) @[dec_gpr_ctl.scala 52:45] + node _T_69 = and(io.wen0, _T_68) @[dec_gpr_ctl.scala 52:33] + w0v[5] <= _T_69 @[dec_gpr_ctl.scala 52:21] + node _T_70 = eq(io.waddr1, UInt<3>("h05")) @[dec_gpr_ctl.scala 53:45] + node _T_71 = and(io.wen1, _T_70) @[dec_gpr_ctl.scala 53:33] + w1v[5] <= _T_71 @[dec_gpr_ctl.scala 53:21] + node _T_72 = eq(io.waddr2, UInt<3>("h05")) @[dec_gpr_ctl.scala 54:45] + node _T_73 = and(io.wen2, _T_72) @[dec_gpr_ctl.scala 54:33] + w2v[5] <= _T_73 @[dec_gpr_ctl.scala 54:21] + node _T_74 = bits(w0v[5], 0, 0) @[Bitwise.scala 72:15] + node _T_75 = mux(_T_74, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_76 = and(_T_75, io.wd0) @[dec_gpr_ctl.scala 55:42] + node _T_77 = bits(w1v[5], 0, 0) @[Bitwise.scala 72:15] + node _T_78 = mux(_T_77, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_79 = and(_T_78, io.wd1) @[dec_gpr_ctl.scala 55:71] + node _T_80 = or(_T_76, _T_79) @[dec_gpr_ctl.scala 55:52] + node _T_81 = bits(w2v[5], 0, 0) @[Bitwise.scala 72:15] + node _T_82 = mux(_T_81, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_83 = and(_T_82, io.wd2) @[dec_gpr_ctl.scala 55:100] + node _T_84 = or(_T_80, _T_83) @[dec_gpr_ctl.scala 55:81] + gpr_in[5] <= _T_84 @[dec_gpr_ctl.scala 55:21] + node _T_85 = eq(io.waddr0, UInt<3>("h06")) @[dec_gpr_ctl.scala 52:45] + node _T_86 = and(io.wen0, _T_85) @[dec_gpr_ctl.scala 52:33] + w0v[6] <= _T_86 @[dec_gpr_ctl.scala 52:21] + node _T_87 = eq(io.waddr1, UInt<3>("h06")) @[dec_gpr_ctl.scala 53:45] + node _T_88 = and(io.wen1, _T_87) @[dec_gpr_ctl.scala 53:33] + w1v[6] <= _T_88 @[dec_gpr_ctl.scala 53:21] + node _T_89 = eq(io.waddr2, UInt<3>("h06")) @[dec_gpr_ctl.scala 54:45] + node _T_90 = and(io.wen2, _T_89) @[dec_gpr_ctl.scala 54:33] + w2v[6] <= _T_90 @[dec_gpr_ctl.scala 54:21] + node _T_91 = bits(w0v[6], 0, 0) @[Bitwise.scala 72:15] + node _T_92 = mux(_T_91, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_93 = and(_T_92, io.wd0) @[dec_gpr_ctl.scala 55:42] + node _T_94 = bits(w1v[6], 0, 0) @[Bitwise.scala 72:15] + node _T_95 = mux(_T_94, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_96 = and(_T_95, io.wd1) @[dec_gpr_ctl.scala 55:71] + node _T_97 = or(_T_93, _T_96) @[dec_gpr_ctl.scala 55:52] + node _T_98 = bits(w2v[6], 0, 0) @[Bitwise.scala 72:15] + node _T_99 = mux(_T_98, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_100 = and(_T_99, io.wd2) @[dec_gpr_ctl.scala 55:100] + node _T_101 = or(_T_97, _T_100) @[dec_gpr_ctl.scala 55:81] + gpr_in[6] <= _T_101 @[dec_gpr_ctl.scala 55:21] + node _T_102 = eq(io.waddr0, UInt<3>("h07")) @[dec_gpr_ctl.scala 52:45] + node _T_103 = and(io.wen0, _T_102) @[dec_gpr_ctl.scala 52:33] + w0v[7] <= _T_103 @[dec_gpr_ctl.scala 52:21] + node _T_104 = eq(io.waddr1, UInt<3>("h07")) @[dec_gpr_ctl.scala 53:45] + node _T_105 = and(io.wen1, _T_104) @[dec_gpr_ctl.scala 53:33] + w1v[7] <= _T_105 @[dec_gpr_ctl.scala 53:21] + node _T_106 = eq(io.waddr2, UInt<3>("h07")) @[dec_gpr_ctl.scala 54:45] + node _T_107 = and(io.wen2, _T_106) @[dec_gpr_ctl.scala 54:33] + w2v[7] <= _T_107 @[dec_gpr_ctl.scala 54:21] + node _T_108 = bits(w0v[7], 0, 0) @[Bitwise.scala 72:15] + node _T_109 = mux(_T_108, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_110 = and(_T_109, io.wd0) @[dec_gpr_ctl.scala 55:42] + node _T_111 = bits(w1v[7], 0, 0) @[Bitwise.scala 72:15] + node _T_112 = mux(_T_111, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_113 = and(_T_112, io.wd1) @[dec_gpr_ctl.scala 55:71] + node _T_114 = or(_T_110, _T_113) @[dec_gpr_ctl.scala 55:52] + node _T_115 = bits(w2v[7], 0, 0) @[Bitwise.scala 72:15] + node _T_116 = mux(_T_115, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_117 = and(_T_116, io.wd2) @[dec_gpr_ctl.scala 55:100] + node _T_118 = or(_T_114, _T_117) @[dec_gpr_ctl.scala 55:81] + gpr_in[7] <= _T_118 @[dec_gpr_ctl.scala 55:21] + node _T_119 = eq(io.waddr0, UInt<4>("h08")) @[dec_gpr_ctl.scala 52:45] + node _T_120 = and(io.wen0, _T_119) @[dec_gpr_ctl.scala 52:33] + w0v[8] <= _T_120 @[dec_gpr_ctl.scala 52:21] + node _T_121 = eq(io.waddr1, UInt<4>("h08")) @[dec_gpr_ctl.scala 53:45] + node _T_122 = and(io.wen1, _T_121) @[dec_gpr_ctl.scala 53:33] + w1v[8] <= _T_122 @[dec_gpr_ctl.scala 53:21] + node _T_123 = eq(io.waddr2, UInt<4>("h08")) @[dec_gpr_ctl.scala 54:45] + node _T_124 = and(io.wen2, _T_123) @[dec_gpr_ctl.scala 54:33] + w2v[8] <= _T_124 @[dec_gpr_ctl.scala 54:21] + node _T_125 = bits(w0v[8], 0, 0) @[Bitwise.scala 72:15] + node _T_126 = mux(_T_125, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_127 = and(_T_126, io.wd0) @[dec_gpr_ctl.scala 55:42] + node _T_128 = bits(w1v[8], 0, 0) @[Bitwise.scala 72:15] + node _T_129 = mux(_T_128, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_130 = and(_T_129, io.wd1) @[dec_gpr_ctl.scala 55:71] + node _T_131 = or(_T_127, _T_130) @[dec_gpr_ctl.scala 55:52] + node _T_132 = bits(w2v[8], 0, 0) @[Bitwise.scala 72:15] + node _T_133 = mux(_T_132, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_134 = and(_T_133, io.wd2) @[dec_gpr_ctl.scala 55:100] + node _T_135 = or(_T_131, _T_134) @[dec_gpr_ctl.scala 55:81] + gpr_in[8] <= _T_135 @[dec_gpr_ctl.scala 55:21] + node _T_136 = eq(io.waddr0, UInt<4>("h09")) @[dec_gpr_ctl.scala 52:45] + node _T_137 = and(io.wen0, _T_136) @[dec_gpr_ctl.scala 52:33] + w0v[9] <= _T_137 @[dec_gpr_ctl.scala 52:21] + node _T_138 = eq(io.waddr1, UInt<4>("h09")) @[dec_gpr_ctl.scala 53:45] + node _T_139 = and(io.wen1, _T_138) @[dec_gpr_ctl.scala 53:33] + w1v[9] <= _T_139 @[dec_gpr_ctl.scala 53:21] + node _T_140 = eq(io.waddr2, UInt<4>("h09")) @[dec_gpr_ctl.scala 54:45] + node _T_141 = and(io.wen2, _T_140) @[dec_gpr_ctl.scala 54:33] + w2v[9] <= _T_141 @[dec_gpr_ctl.scala 54:21] + node _T_142 = bits(w0v[9], 0, 0) @[Bitwise.scala 72:15] + node _T_143 = mux(_T_142, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_144 = and(_T_143, io.wd0) @[dec_gpr_ctl.scala 55:42] + node _T_145 = bits(w1v[9], 0, 0) @[Bitwise.scala 72:15] + node _T_146 = mux(_T_145, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_147 = and(_T_146, io.wd1) @[dec_gpr_ctl.scala 55:71] + node _T_148 = or(_T_144, _T_147) @[dec_gpr_ctl.scala 55:52] + node _T_149 = bits(w2v[9], 0, 0) @[Bitwise.scala 72:15] + node _T_150 = mux(_T_149, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_151 = and(_T_150, io.wd2) @[dec_gpr_ctl.scala 55:100] + node _T_152 = or(_T_148, _T_151) @[dec_gpr_ctl.scala 55:81] + gpr_in[9] <= _T_152 @[dec_gpr_ctl.scala 55:21] + node _T_153 = eq(io.waddr0, UInt<4>("h0a")) @[dec_gpr_ctl.scala 52:45] + node _T_154 = and(io.wen0, _T_153) @[dec_gpr_ctl.scala 52:33] + w0v[10] <= _T_154 @[dec_gpr_ctl.scala 52:21] + node _T_155 = eq(io.waddr1, UInt<4>("h0a")) @[dec_gpr_ctl.scala 53:45] + node _T_156 = and(io.wen1, _T_155) @[dec_gpr_ctl.scala 53:33] + w1v[10] <= _T_156 @[dec_gpr_ctl.scala 53:21] + node _T_157 = eq(io.waddr2, UInt<4>("h0a")) @[dec_gpr_ctl.scala 54:45] + node _T_158 = and(io.wen2, _T_157) @[dec_gpr_ctl.scala 54:33] + w2v[10] <= _T_158 @[dec_gpr_ctl.scala 54:21] + node _T_159 = bits(w0v[10], 0, 0) @[Bitwise.scala 72:15] + node _T_160 = mux(_T_159, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_161 = and(_T_160, io.wd0) @[dec_gpr_ctl.scala 55:42] + node _T_162 = bits(w1v[10], 0, 0) @[Bitwise.scala 72:15] + node _T_163 = mux(_T_162, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_164 = and(_T_163, io.wd1) @[dec_gpr_ctl.scala 55:71] + node _T_165 = or(_T_161, _T_164) @[dec_gpr_ctl.scala 55:52] + node _T_166 = bits(w2v[10], 0, 0) @[Bitwise.scala 72:15] + node _T_167 = mux(_T_166, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_168 = and(_T_167, io.wd2) @[dec_gpr_ctl.scala 55:100] + node _T_169 = or(_T_165, _T_168) @[dec_gpr_ctl.scala 55:81] + gpr_in[10] <= _T_169 @[dec_gpr_ctl.scala 55:21] + node _T_170 = eq(io.waddr0, UInt<4>("h0b")) @[dec_gpr_ctl.scala 52:45] + node _T_171 = and(io.wen0, _T_170) @[dec_gpr_ctl.scala 52:33] + w0v[11] <= _T_171 @[dec_gpr_ctl.scala 52:21] + node _T_172 = eq(io.waddr1, UInt<4>("h0b")) @[dec_gpr_ctl.scala 53:45] + node _T_173 = and(io.wen1, _T_172) @[dec_gpr_ctl.scala 53:33] + w1v[11] <= _T_173 @[dec_gpr_ctl.scala 53:21] + node _T_174 = eq(io.waddr2, UInt<4>("h0b")) @[dec_gpr_ctl.scala 54:45] + node _T_175 = and(io.wen2, _T_174) @[dec_gpr_ctl.scala 54:33] + w2v[11] <= _T_175 @[dec_gpr_ctl.scala 54:21] + node _T_176 = bits(w0v[11], 0, 0) @[Bitwise.scala 72:15] + node _T_177 = mux(_T_176, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_178 = and(_T_177, io.wd0) @[dec_gpr_ctl.scala 55:42] + node _T_179 = bits(w1v[11], 0, 0) @[Bitwise.scala 72:15] + node _T_180 = mux(_T_179, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_181 = and(_T_180, io.wd1) @[dec_gpr_ctl.scala 55:71] + node _T_182 = or(_T_178, _T_181) @[dec_gpr_ctl.scala 55:52] + node _T_183 = bits(w2v[11], 0, 0) @[Bitwise.scala 72:15] + node _T_184 = mux(_T_183, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_185 = and(_T_184, io.wd2) @[dec_gpr_ctl.scala 55:100] + node _T_186 = or(_T_182, _T_185) @[dec_gpr_ctl.scala 55:81] + gpr_in[11] <= _T_186 @[dec_gpr_ctl.scala 55:21] + node _T_187 = eq(io.waddr0, UInt<4>("h0c")) @[dec_gpr_ctl.scala 52:45] + node _T_188 = and(io.wen0, _T_187) @[dec_gpr_ctl.scala 52:33] + w0v[12] <= _T_188 @[dec_gpr_ctl.scala 52:21] + node _T_189 = eq(io.waddr1, UInt<4>("h0c")) @[dec_gpr_ctl.scala 53:45] + node _T_190 = and(io.wen1, _T_189) @[dec_gpr_ctl.scala 53:33] + w1v[12] <= _T_190 @[dec_gpr_ctl.scala 53:21] + node _T_191 = eq(io.waddr2, UInt<4>("h0c")) @[dec_gpr_ctl.scala 54:45] + node _T_192 = and(io.wen2, _T_191) @[dec_gpr_ctl.scala 54:33] + w2v[12] <= _T_192 @[dec_gpr_ctl.scala 54:21] + node _T_193 = bits(w0v[12], 0, 0) @[Bitwise.scala 72:15] + node _T_194 = mux(_T_193, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_195 = and(_T_194, io.wd0) @[dec_gpr_ctl.scala 55:42] + node _T_196 = bits(w1v[12], 0, 0) @[Bitwise.scala 72:15] + node _T_197 = mux(_T_196, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_198 = and(_T_197, io.wd1) @[dec_gpr_ctl.scala 55:71] + node _T_199 = or(_T_195, _T_198) @[dec_gpr_ctl.scala 55:52] + node _T_200 = bits(w2v[12], 0, 0) @[Bitwise.scala 72:15] + node _T_201 = mux(_T_200, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_202 = and(_T_201, io.wd2) @[dec_gpr_ctl.scala 55:100] + node _T_203 = or(_T_199, _T_202) @[dec_gpr_ctl.scala 55:81] + gpr_in[12] <= _T_203 @[dec_gpr_ctl.scala 55:21] + node _T_204 = eq(io.waddr0, UInt<4>("h0d")) @[dec_gpr_ctl.scala 52:45] + node _T_205 = and(io.wen0, _T_204) @[dec_gpr_ctl.scala 52:33] + w0v[13] <= _T_205 @[dec_gpr_ctl.scala 52:21] + node _T_206 = eq(io.waddr1, UInt<4>("h0d")) @[dec_gpr_ctl.scala 53:45] + node _T_207 = and(io.wen1, _T_206) @[dec_gpr_ctl.scala 53:33] + w1v[13] <= _T_207 @[dec_gpr_ctl.scala 53:21] + node _T_208 = eq(io.waddr2, UInt<4>("h0d")) @[dec_gpr_ctl.scala 54:45] + node _T_209 = and(io.wen2, _T_208) @[dec_gpr_ctl.scala 54:33] + w2v[13] <= _T_209 @[dec_gpr_ctl.scala 54:21] + node _T_210 = bits(w0v[13], 0, 0) @[Bitwise.scala 72:15] + node _T_211 = mux(_T_210, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_212 = and(_T_211, io.wd0) @[dec_gpr_ctl.scala 55:42] + node _T_213 = bits(w1v[13], 0, 0) @[Bitwise.scala 72:15] + node _T_214 = mux(_T_213, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_215 = and(_T_214, io.wd1) @[dec_gpr_ctl.scala 55:71] + node _T_216 = or(_T_212, _T_215) @[dec_gpr_ctl.scala 55:52] + node _T_217 = bits(w2v[13], 0, 0) @[Bitwise.scala 72:15] + node _T_218 = mux(_T_217, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_219 = and(_T_218, io.wd2) @[dec_gpr_ctl.scala 55:100] + node _T_220 = or(_T_216, _T_219) @[dec_gpr_ctl.scala 55:81] + gpr_in[13] <= _T_220 @[dec_gpr_ctl.scala 55:21] + node _T_221 = eq(io.waddr0, UInt<4>("h0e")) @[dec_gpr_ctl.scala 52:45] + node _T_222 = and(io.wen0, _T_221) @[dec_gpr_ctl.scala 52:33] + w0v[14] <= _T_222 @[dec_gpr_ctl.scala 52:21] + node _T_223 = eq(io.waddr1, UInt<4>("h0e")) @[dec_gpr_ctl.scala 53:45] + node _T_224 = and(io.wen1, _T_223) @[dec_gpr_ctl.scala 53:33] + w1v[14] <= _T_224 @[dec_gpr_ctl.scala 53:21] + node _T_225 = eq(io.waddr2, UInt<4>("h0e")) @[dec_gpr_ctl.scala 54:45] + node _T_226 = and(io.wen2, _T_225) @[dec_gpr_ctl.scala 54:33] + w2v[14] <= _T_226 @[dec_gpr_ctl.scala 54:21] + node _T_227 = bits(w0v[14], 0, 0) @[Bitwise.scala 72:15] + node _T_228 = mux(_T_227, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_229 = and(_T_228, io.wd0) @[dec_gpr_ctl.scala 55:42] + node _T_230 = bits(w1v[14], 0, 0) @[Bitwise.scala 72:15] + node _T_231 = mux(_T_230, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_232 = and(_T_231, io.wd1) @[dec_gpr_ctl.scala 55:71] + node _T_233 = or(_T_229, _T_232) @[dec_gpr_ctl.scala 55:52] + node _T_234 = bits(w2v[14], 0, 0) @[Bitwise.scala 72:15] + node _T_235 = mux(_T_234, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_236 = and(_T_235, io.wd2) @[dec_gpr_ctl.scala 55:100] + node _T_237 = or(_T_233, _T_236) @[dec_gpr_ctl.scala 55:81] + gpr_in[14] <= _T_237 @[dec_gpr_ctl.scala 55:21] + node _T_238 = eq(io.waddr0, UInt<4>("h0f")) @[dec_gpr_ctl.scala 52:45] + node _T_239 = and(io.wen0, _T_238) @[dec_gpr_ctl.scala 52:33] + w0v[15] <= _T_239 @[dec_gpr_ctl.scala 52:21] + node _T_240 = eq(io.waddr1, UInt<4>("h0f")) @[dec_gpr_ctl.scala 53:45] + node _T_241 = and(io.wen1, _T_240) @[dec_gpr_ctl.scala 53:33] + w1v[15] <= _T_241 @[dec_gpr_ctl.scala 53:21] + node _T_242 = eq(io.waddr2, UInt<4>("h0f")) @[dec_gpr_ctl.scala 54:45] + node _T_243 = and(io.wen2, _T_242) @[dec_gpr_ctl.scala 54:33] + w2v[15] <= _T_243 @[dec_gpr_ctl.scala 54:21] + node _T_244 = bits(w0v[15], 0, 0) @[Bitwise.scala 72:15] + node _T_245 = mux(_T_244, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_246 = and(_T_245, io.wd0) @[dec_gpr_ctl.scala 55:42] + node _T_247 = bits(w1v[15], 0, 0) @[Bitwise.scala 72:15] + node _T_248 = mux(_T_247, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_249 = and(_T_248, io.wd1) @[dec_gpr_ctl.scala 55:71] + node _T_250 = or(_T_246, _T_249) @[dec_gpr_ctl.scala 55:52] + node _T_251 = bits(w2v[15], 0, 0) @[Bitwise.scala 72:15] + node _T_252 = mux(_T_251, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_253 = and(_T_252, io.wd2) @[dec_gpr_ctl.scala 55:100] + node _T_254 = or(_T_250, _T_253) @[dec_gpr_ctl.scala 55:81] + gpr_in[15] <= _T_254 @[dec_gpr_ctl.scala 55:21] + node _T_255 = eq(io.waddr0, UInt<5>("h010")) @[dec_gpr_ctl.scala 52:45] + node _T_256 = and(io.wen0, _T_255) @[dec_gpr_ctl.scala 52:33] + w0v[16] <= _T_256 @[dec_gpr_ctl.scala 52:21] + node _T_257 = eq(io.waddr1, UInt<5>("h010")) @[dec_gpr_ctl.scala 53:45] + node _T_258 = and(io.wen1, _T_257) @[dec_gpr_ctl.scala 53:33] + w1v[16] <= _T_258 @[dec_gpr_ctl.scala 53:21] + node _T_259 = eq(io.waddr2, UInt<5>("h010")) @[dec_gpr_ctl.scala 54:45] + node _T_260 = and(io.wen2, _T_259) @[dec_gpr_ctl.scala 54:33] + w2v[16] <= _T_260 @[dec_gpr_ctl.scala 54:21] + node _T_261 = bits(w0v[16], 0, 0) @[Bitwise.scala 72:15] + node _T_262 = mux(_T_261, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_263 = and(_T_262, io.wd0) @[dec_gpr_ctl.scala 55:42] + node _T_264 = bits(w1v[16], 0, 0) @[Bitwise.scala 72:15] + node _T_265 = mux(_T_264, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_266 = and(_T_265, io.wd1) @[dec_gpr_ctl.scala 55:71] + node _T_267 = or(_T_263, _T_266) @[dec_gpr_ctl.scala 55:52] + node _T_268 = bits(w2v[16], 0, 0) @[Bitwise.scala 72:15] + node _T_269 = mux(_T_268, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_270 = and(_T_269, io.wd2) @[dec_gpr_ctl.scala 55:100] + node _T_271 = or(_T_267, _T_270) @[dec_gpr_ctl.scala 55:81] + gpr_in[16] <= _T_271 @[dec_gpr_ctl.scala 55:21] + node _T_272 = eq(io.waddr0, UInt<5>("h011")) @[dec_gpr_ctl.scala 52:45] + node _T_273 = and(io.wen0, _T_272) @[dec_gpr_ctl.scala 52:33] + w0v[17] <= _T_273 @[dec_gpr_ctl.scala 52:21] + node _T_274 = eq(io.waddr1, UInt<5>("h011")) @[dec_gpr_ctl.scala 53:45] + node _T_275 = and(io.wen1, _T_274) @[dec_gpr_ctl.scala 53:33] + w1v[17] <= _T_275 @[dec_gpr_ctl.scala 53:21] + node _T_276 = eq(io.waddr2, UInt<5>("h011")) @[dec_gpr_ctl.scala 54:45] + node _T_277 = and(io.wen2, _T_276) @[dec_gpr_ctl.scala 54:33] + w2v[17] <= _T_277 @[dec_gpr_ctl.scala 54:21] + node _T_278 = bits(w0v[17], 0, 0) @[Bitwise.scala 72:15] + node _T_279 = mux(_T_278, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_280 = and(_T_279, io.wd0) @[dec_gpr_ctl.scala 55:42] + node _T_281 = bits(w1v[17], 0, 0) @[Bitwise.scala 72:15] + node _T_282 = mux(_T_281, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_283 = and(_T_282, io.wd1) @[dec_gpr_ctl.scala 55:71] + node _T_284 = or(_T_280, _T_283) @[dec_gpr_ctl.scala 55:52] + node _T_285 = bits(w2v[17], 0, 0) @[Bitwise.scala 72:15] + node _T_286 = mux(_T_285, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_287 = and(_T_286, io.wd2) @[dec_gpr_ctl.scala 55:100] + node _T_288 = or(_T_284, _T_287) @[dec_gpr_ctl.scala 55:81] + gpr_in[17] <= _T_288 @[dec_gpr_ctl.scala 55:21] + node _T_289 = eq(io.waddr0, UInt<5>("h012")) @[dec_gpr_ctl.scala 52:45] + node _T_290 = and(io.wen0, _T_289) @[dec_gpr_ctl.scala 52:33] + w0v[18] <= _T_290 @[dec_gpr_ctl.scala 52:21] + node _T_291 = eq(io.waddr1, UInt<5>("h012")) @[dec_gpr_ctl.scala 53:45] + node _T_292 = and(io.wen1, _T_291) @[dec_gpr_ctl.scala 53:33] + w1v[18] <= _T_292 @[dec_gpr_ctl.scala 53:21] + node _T_293 = eq(io.waddr2, UInt<5>("h012")) @[dec_gpr_ctl.scala 54:45] + node _T_294 = and(io.wen2, _T_293) @[dec_gpr_ctl.scala 54:33] + w2v[18] <= _T_294 @[dec_gpr_ctl.scala 54:21] + node _T_295 = bits(w0v[18], 0, 0) @[Bitwise.scala 72:15] + node _T_296 = mux(_T_295, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_297 = and(_T_296, io.wd0) @[dec_gpr_ctl.scala 55:42] + node _T_298 = bits(w1v[18], 0, 0) @[Bitwise.scala 72:15] + node _T_299 = mux(_T_298, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_300 = and(_T_299, io.wd1) @[dec_gpr_ctl.scala 55:71] + node _T_301 = or(_T_297, _T_300) @[dec_gpr_ctl.scala 55:52] + node _T_302 = bits(w2v[18], 0, 0) @[Bitwise.scala 72:15] + node _T_303 = mux(_T_302, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_304 = and(_T_303, io.wd2) @[dec_gpr_ctl.scala 55:100] + node _T_305 = or(_T_301, _T_304) @[dec_gpr_ctl.scala 55:81] + gpr_in[18] <= _T_305 @[dec_gpr_ctl.scala 55:21] + node _T_306 = eq(io.waddr0, UInt<5>("h013")) @[dec_gpr_ctl.scala 52:45] + node _T_307 = and(io.wen0, _T_306) @[dec_gpr_ctl.scala 52:33] + w0v[19] <= _T_307 @[dec_gpr_ctl.scala 52:21] + node _T_308 = eq(io.waddr1, UInt<5>("h013")) @[dec_gpr_ctl.scala 53:45] + node _T_309 = and(io.wen1, _T_308) @[dec_gpr_ctl.scala 53:33] + w1v[19] <= _T_309 @[dec_gpr_ctl.scala 53:21] + node _T_310 = eq(io.waddr2, UInt<5>("h013")) @[dec_gpr_ctl.scala 54:45] + node _T_311 = and(io.wen2, _T_310) @[dec_gpr_ctl.scala 54:33] + w2v[19] <= _T_311 @[dec_gpr_ctl.scala 54:21] + node _T_312 = bits(w0v[19], 0, 0) @[Bitwise.scala 72:15] + node _T_313 = mux(_T_312, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_314 = and(_T_313, io.wd0) @[dec_gpr_ctl.scala 55:42] + node _T_315 = bits(w1v[19], 0, 0) @[Bitwise.scala 72:15] + node _T_316 = mux(_T_315, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_317 = and(_T_316, io.wd1) @[dec_gpr_ctl.scala 55:71] + node _T_318 = or(_T_314, _T_317) @[dec_gpr_ctl.scala 55:52] + node _T_319 = bits(w2v[19], 0, 0) @[Bitwise.scala 72:15] + node _T_320 = mux(_T_319, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_321 = and(_T_320, io.wd2) @[dec_gpr_ctl.scala 55:100] + node _T_322 = or(_T_318, _T_321) @[dec_gpr_ctl.scala 55:81] + gpr_in[19] <= _T_322 @[dec_gpr_ctl.scala 55:21] + node _T_323 = eq(io.waddr0, UInt<5>("h014")) @[dec_gpr_ctl.scala 52:45] + node _T_324 = and(io.wen0, _T_323) @[dec_gpr_ctl.scala 52:33] + w0v[20] <= _T_324 @[dec_gpr_ctl.scala 52:21] + node _T_325 = eq(io.waddr1, UInt<5>("h014")) @[dec_gpr_ctl.scala 53:45] + node _T_326 = and(io.wen1, _T_325) @[dec_gpr_ctl.scala 53:33] + w1v[20] <= _T_326 @[dec_gpr_ctl.scala 53:21] + node _T_327 = eq(io.waddr2, UInt<5>("h014")) @[dec_gpr_ctl.scala 54:45] + node _T_328 = and(io.wen2, _T_327) @[dec_gpr_ctl.scala 54:33] + w2v[20] <= _T_328 @[dec_gpr_ctl.scala 54:21] + node _T_329 = bits(w0v[20], 0, 0) @[Bitwise.scala 72:15] + node _T_330 = mux(_T_329, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_331 = and(_T_330, io.wd0) @[dec_gpr_ctl.scala 55:42] + node _T_332 = bits(w1v[20], 0, 0) @[Bitwise.scala 72:15] + node _T_333 = mux(_T_332, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_334 = and(_T_333, io.wd1) @[dec_gpr_ctl.scala 55:71] + node _T_335 = or(_T_331, _T_334) @[dec_gpr_ctl.scala 55:52] + node _T_336 = bits(w2v[20], 0, 0) @[Bitwise.scala 72:15] + node _T_337 = mux(_T_336, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_338 = and(_T_337, io.wd2) @[dec_gpr_ctl.scala 55:100] + node _T_339 = or(_T_335, _T_338) @[dec_gpr_ctl.scala 55:81] + gpr_in[20] <= _T_339 @[dec_gpr_ctl.scala 55:21] + node _T_340 = eq(io.waddr0, UInt<5>("h015")) @[dec_gpr_ctl.scala 52:45] + node _T_341 = and(io.wen0, _T_340) @[dec_gpr_ctl.scala 52:33] + w0v[21] <= _T_341 @[dec_gpr_ctl.scala 52:21] + node _T_342 = eq(io.waddr1, UInt<5>("h015")) @[dec_gpr_ctl.scala 53:45] + node _T_343 = and(io.wen1, _T_342) @[dec_gpr_ctl.scala 53:33] + w1v[21] <= _T_343 @[dec_gpr_ctl.scala 53:21] + node _T_344 = eq(io.waddr2, UInt<5>("h015")) @[dec_gpr_ctl.scala 54:45] + node _T_345 = and(io.wen2, _T_344) @[dec_gpr_ctl.scala 54:33] + w2v[21] <= _T_345 @[dec_gpr_ctl.scala 54:21] + node _T_346 = bits(w0v[21], 0, 0) @[Bitwise.scala 72:15] + node _T_347 = mux(_T_346, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_348 = and(_T_347, io.wd0) @[dec_gpr_ctl.scala 55:42] + node _T_349 = bits(w1v[21], 0, 0) @[Bitwise.scala 72:15] + node _T_350 = mux(_T_349, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_351 = and(_T_350, io.wd1) @[dec_gpr_ctl.scala 55:71] + node _T_352 = or(_T_348, _T_351) @[dec_gpr_ctl.scala 55:52] + node _T_353 = bits(w2v[21], 0, 0) @[Bitwise.scala 72:15] + node _T_354 = mux(_T_353, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_355 = and(_T_354, io.wd2) @[dec_gpr_ctl.scala 55:100] + node _T_356 = or(_T_352, _T_355) @[dec_gpr_ctl.scala 55:81] + gpr_in[21] <= _T_356 @[dec_gpr_ctl.scala 55:21] + node _T_357 = eq(io.waddr0, UInt<5>("h016")) @[dec_gpr_ctl.scala 52:45] + node _T_358 = and(io.wen0, _T_357) @[dec_gpr_ctl.scala 52:33] + w0v[22] <= _T_358 @[dec_gpr_ctl.scala 52:21] + node _T_359 = eq(io.waddr1, UInt<5>("h016")) @[dec_gpr_ctl.scala 53:45] + node _T_360 = and(io.wen1, _T_359) @[dec_gpr_ctl.scala 53:33] + w1v[22] <= _T_360 @[dec_gpr_ctl.scala 53:21] + node _T_361 = eq(io.waddr2, UInt<5>("h016")) @[dec_gpr_ctl.scala 54:45] + node _T_362 = and(io.wen2, _T_361) @[dec_gpr_ctl.scala 54:33] + w2v[22] <= _T_362 @[dec_gpr_ctl.scala 54:21] + node _T_363 = bits(w0v[22], 0, 0) @[Bitwise.scala 72:15] + node _T_364 = mux(_T_363, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_365 = and(_T_364, io.wd0) @[dec_gpr_ctl.scala 55:42] + node _T_366 = bits(w1v[22], 0, 0) @[Bitwise.scala 72:15] + node _T_367 = mux(_T_366, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_368 = and(_T_367, io.wd1) @[dec_gpr_ctl.scala 55:71] + node _T_369 = or(_T_365, _T_368) @[dec_gpr_ctl.scala 55:52] + node _T_370 = bits(w2v[22], 0, 0) @[Bitwise.scala 72:15] + node _T_371 = mux(_T_370, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_372 = and(_T_371, io.wd2) @[dec_gpr_ctl.scala 55:100] + node _T_373 = or(_T_369, _T_372) @[dec_gpr_ctl.scala 55:81] + gpr_in[22] <= _T_373 @[dec_gpr_ctl.scala 55:21] + node _T_374 = eq(io.waddr0, UInt<5>("h017")) @[dec_gpr_ctl.scala 52:45] + node _T_375 = and(io.wen0, _T_374) @[dec_gpr_ctl.scala 52:33] + w0v[23] <= _T_375 @[dec_gpr_ctl.scala 52:21] + node _T_376 = eq(io.waddr1, UInt<5>("h017")) @[dec_gpr_ctl.scala 53:45] + node _T_377 = and(io.wen1, _T_376) @[dec_gpr_ctl.scala 53:33] + w1v[23] <= _T_377 @[dec_gpr_ctl.scala 53:21] + node _T_378 = eq(io.waddr2, UInt<5>("h017")) @[dec_gpr_ctl.scala 54:45] + node _T_379 = and(io.wen2, _T_378) @[dec_gpr_ctl.scala 54:33] + w2v[23] <= _T_379 @[dec_gpr_ctl.scala 54:21] + node _T_380 = bits(w0v[23], 0, 0) @[Bitwise.scala 72:15] + node _T_381 = mux(_T_380, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_382 = and(_T_381, io.wd0) @[dec_gpr_ctl.scala 55:42] + node _T_383 = bits(w1v[23], 0, 0) @[Bitwise.scala 72:15] + node _T_384 = mux(_T_383, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_385 = and(_T_384, io.wd1) @[dec_gpr_ctl.scala 55:71] + node _T_386 = or(_T_382, _T_385) @[dec_gpr_ctl.scala 55:52] + node _T_387 = bits(w2v[23], 0, 0) @[Bitwise.scala 72:15] + node _T_388 = mux(_T_387, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_389 = and(_T_388, io.wd2) @[dec_gpr_ctl.scala 55:100] + node _T_390 = or(_T_386, _T_389) @[dec_gpr_ctl.scala 55:81] + gpr_in[23] <= _T_390 @[dec_gpr_ctl.scala 55:21] + node _T_391 = eq(io.waddr0, UInt<5>("h018")) @[dec_gpr_ctl.scala 52:45] + node _T_392 = and(io.wen0, _T_391) @[dec_gpr_ctl.scala 52:33] + w0v[24] <= _T_392 @[dec_gpr_ctl.scala 52:21] + node _T_393 = eq(io.waddr1, UInt<5>("h018")) @[dec_gpr_ctl.scala 53:45] + node _T_394 = and(io.wen1, _T_393) @[dec_gpr_ctl.scala 53:33] + w1v[24] <= _T_394 @[dec_gpr_ctl.scala 53:21] + node _T_395 = eq(io.waddr2, UInt<5>("h018")) @[dec_gpr_ctl.scala 54:45] + node _T_396 = and(io.wen2, _T_395) @[dec_gpr_ctl.scala 54:33] + w2v[24] <= _T_396 @[dec_gpr_ctl.scala 54:21] + node _T_397 = bits(w0v[24], 0, 0) @[Bitwise.scala 72:15] + node _T_398 = mux(_T_397, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_399 = and(_T_398, io.wd0) @[dec_gpr_ctl.scala 55:42] + node _T_400 = bits(w1v[24], 0, 0) @[Bitwise.scala 72:15] + node _T_401 = mux(_T_400, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_402 = and(_T_401, io.wd1) @[dec_gpr_ctl.scala 55:71] + node _T_403 = or(_T_399, _T_402) @[dec_gpr_ctl.scala 55:52] + node _T_404 = bits(w2v[24], 0, 0) @[Bitwise.scala 72:15] + node _T_405 = mux(_T_404, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_406 = and(_T_405, io.wd2) @[dec_gpr_ctl.scala 55:100] + node _T_407 = or(_T_403, _T_406) @[dec_gpr_ctl.scala 55:81] + gpr_in[24] <= _T_407 @[dec_gpr_ctl.scala 55:21] + node _T_408 = eq(io.waddr0, UInt<5>("h019")) @[dec_gpr_ctl.scala 52:45] + node _T_409 = and(io.wen0, _T_408) @[dec_gpr_ctl.scala 52:33] + w0v[25] <= _T_409 @[dec_gpr_ctl.scala 52:21] + node _T_410 = eq(io.waddr1, UInt<5>("h019")) @[dec_gpr_ctl.scala 53:45] + node _T_411 = and(io.wen1, _T_410) @[dec_gpr_ctl.scala 53:33] + w1v[25] <= _T_411 @[dec_gpr_ctl.scala 53:21] + node _T_412 = eq(io.waddr2, UInt<5>("h019")) @[dec_gpr_ctl.scala 54:45] + node _T_413 = and(io.wen2, _T_412) @[dec_gpr_ctl.scala 54:33] + w2v[25] <= _T_413 @[dec_gpr_ctl.scala 54:21] + node _T_414 = bits(w0v[25], 0, 0) @[Bitwise.scala 72:15] + node _T_415 = mux(_T_414, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_416 = and(_T_415, io.wd0) @[dec_gpr_ctl.scala 55:42] + node _T_417 = bits(w1v[25], 0, 0) @[Bitwise.scala 72:15] + node _T_418 = mux(_T_417, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_419 = and(_T_418, io.wd1) @[dec_gpr_ctl.scala 55:71] + node _T_420 = or(_T_416, _T_419) @[dec_gpr_ctl.scala 55:52] + node _T_421 = bits(w2v[25], 0, 0) @[Bitwise.scala 72:15] + node _T_422 = mux(_T_421, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_423 = and(_T_422, io.wd2) @[dec_gpr_ctl.scala 55:100] + node _T_424 = or(_T_420, _T_423) @[dec_gpr_ctl.scala 55:81] + gpr_in[25] <= _T_424 @[dec_gpr_ctl.scala 55:21] + node _T_425 = eq(io.waddr0, UInt<5>("h01a")) @[dec_gpr_ctl.scala 52:45] + node _T_426 = and(io.wen0, _T_425) @[dec_gpr_ctl.scala 52:33] + w0v[26] <= _T_426 @[dec_gpr_ctl.scala 52:21] + node _T_427 = eq(io.waddr1, UInt<5>("h01a")) @[dec_gpr_ctl.scala 53:45] + node _T_428 = and(io.wen1, _T_427) @[dec_gpr_ctl.scala 53:33] + w1v[26] <= _T_428 @[dec_gpr_ctl.scala 53:21] + node _T_429 = eq(io.waddr2, UInt<5>("h01a")) @[dec_gpr_ctl.scala 54:45] + node _T_430 = and(io.wen2, _T_429) @[dec_gpr_ctl.scala 54:33] + w2v[26] <= _T_430 @[dec_gpr_ctl.scala 54:21] + node _T_431 = bits(w0v[26], 0, 0) @[Bitwise.scala 72:15] + node _T_432 = mux(_T_431, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_433 = and(_T_432, io.wd0) @[dec_gpr_ctl.scala 55:42] + node _T_434 = bits(w1v[26], 0, 0) @[Bitwise.scala 72:15] + node _T_435 = mux(_T_434, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_436 = and(_T_435, io.wd1) @[dec_gpr_ctl.scala 55:71] + node _T_437 = or(_T_433, _T_436) @[dec_gpr_ctl.scala 55:52] + node _T_438 = bits(w2v[26], 0, 0) @[Bitwise.scala 72:15] + node _T_439 = mux(_T_438, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_440 = and(_T_439, io.wd2) @[dec_gpr_ctl.scala 55:100] + node _T_441 = or(_T_437, _T_440) @[dec_gpr_ctl.scala 55:81] + gpr_in[26] <= _T_441 @[dec_gpr_ctl.scala 55:21] + node _T_442 = eq(io.waddr0, UInt<5>("h01b")) @[dec_gpr_ctl.scala 52:45] + node _T_443 = and(io.wen0, _T_442) @[dec_gpr_ctl.scala 52:33] + w0v[27] <= _T_443 @[dec_gpr_ctl.scala 52:21] + node _T_444 = eq(io.waddr1, UInt<5>("h01b")) @[dec_gpr_ctl.scala 53:45] + node _T_445 = and(io.wen1, _T_444) @[dec_gpr_ctl.scala 53:33] + w1v[27] <= _T_445 @[dec_gpr_ctl.scala 53:21] + node _T_446 = eq(io.waddr2, UInt<5>("h01b")) @[dec_gpr_ctl.scala 54:45] + node _T_447 = and(io.wen2, _T_446) @[dec_gpr_ctl.scala 54:33] + w2v[27] <= _T_447 @[dec_gpr_ctl.scala 54:21] + node _T_448 = bits(w0v[27], 0, 0) @[Bitwise.scala 72:15] + node _T_449 = mux(_T_448, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_450 = and(_T_449, io.wd0) @[dec_gpr_ctl.scala 55:42] + node _T_451 = bits(w1v[27], 0, 0) @[Bitwise.scala 72:15] + node _T_452 = mux(_T_451, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_453 = and(_T_452, io.wd1) @[dec_gpr_ctl.scala 55:71] + node _T_454 = or(_T_450, _T_453) @[dec_gpr_ctl.scala 55:52] + node _T_455 = bits(w2v[27], 0, 0) @[Bitwise.scala 72:15] + node _T_456 = mux(_T_455, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_457 = and(_T_456, io.wd2) @[dec_gpr_ctl.scala 55:100] + node _T_458 = or(_T_454, _T_457) @[dec_gpr_ctl.scala 55:81] + gpr_in[27] <= _T_458 @[dec_gpr_ctl.scala 55:21] + node _T_459 = eq(io.waddr0, UInt<5>("h01c")) @[dec_gpr_ctl.scala 52:45] + node _T_460 = and(io.wen0, _T_459) @[dec_gpr_ctl.scala 52:33] + w0v[28] <= _T_460 @[dec_gpr_ctl.scala 52:21] + node _T_461 = eq(io.waddr1, UInt<5>("h01c")) @[dec_gpr_ctl.scala 53:45] + node _T_462 = and(io.wen1, _T_461) @[dec_gpr_ctl.scala 53:33] + w1v[28] <= _T_462 @[dec_gpr_ctl.scala 53:21] + node _T_463 = eq(io.waddr2, UInt<5>("h01c")) @[dec_gpr_ctl.scala 54:45] + node _T_464 = and(io.wen2, _T_463) @[dec_gpr_ctl.scala 54:33] + w2v[28] <= _T_464 @[dec_gpr_ctl.scala 54:21] + node _T_465 = bits(w0v[28], 0, 0) @[Bitwise.scala 72:15] + node _T_466 = mux(_T_465, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_467 = and(_T_466, io.wd0) @[dec_gpr_ctl.scala 55:42] + node _T_468 = bits(w1v[28], 0, 0) @[Bitwise.scala 72:15] + node _T_469 = mux(_T_468, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_470 = and(_T_469, io.wd1) @[dec_gpr_ctl.scala 55:71] + node _T_471 = or(_T_467, _T_470) @[dec_gpr_ctl.scala 55:52] + node _T_472 = bits(w2v[28], 0, 0) @[Bitwise.scala 72:15] + node _T_473 = mux(_T_472, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_474 = and(_T_473, io.wd2) @[dec_gpr_ctl.scala 55:100] + node _T_475 = or(_T_471, _T_474) @[dec_gpr_ctl.scala 55:81] + gpr_in[28] <= _T_475 @[dec_gpr_ctl.scala 55:21] + node _T_476 = eq(io.waddr0, UInt<5>("h01d")) @[dec_gpr_ctl.scala 52:45] + node _T_477 = and(io.wen0, _T_476) @[dec_gpr_ctl.scala 52:33] + w0v[29] <= _T_477 @[dec_gpr_ctl.scala 52:21] + node _T_478 = eq(io.waddr1, UInt<5>("h01d")) @[dec_gpr_ctl.scala 53:45] + node _T_479 = and(io.wen1, _T_478) @[dec_gpr_ctl.scala 53:33] + w1v[29] <= _T_479 @[dec_gpr_ctl.scala 53:21] + node _T_480 = eq(io.waddr2, UInt<5>("h01d")) @[dec_gpr_ctl.scala 54:45] + node _T_481 = and(io.wen2, _T_480) @[dec_gpr_ctl.scala 54:33] + w2v[29] <= _T_481 @[dec_gpr_ctl.scala 54:21] + node _T_482 = bits(w0v[29], 0, 0) @[Bitwise.scala 72:15] + node _T_483 = mux(_T_482, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_484 = and(_T_483, io.wd0) @[dec_gpr_ctl.scala 55:42] + node _T_485 = bits(w1v[29], 0, 0) @[Bitwise.scala 72:15] + node _T_486 = mux(_T_485, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_487 = and(_T_486, io.wd1) @[dec_gpr_ctl.scala 55:71] + node _T_488 = or(_T_484, _T_487) @[dec_gpr_ctl.scala 55:52] + node _T_489 = bits(w2v[29], 0, 0) @[Bitwise.scala 72:15] + node _T_490 = mux(_T_489, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_491 = and(_T_490, io.wd2) @[dec_gpr_ctl.scala 55:100] + node _T_492 = or(_T_488, _T_491) @[dec_gpr_ctl.scala 55:81] + gpr_in[29] <= _T_492 @[dec_gpr_ctl.scala 55:21] + node _T_493 = eq(io.waddr0, UInt<5>("h01e")) @[dec_gpr_ctl.scala 52:45] + node _T_494 = and(io.wen0, _T_493) @[dec_gpr_ctl.scala 52:33] + w0v[30] <= _T_494 @[dec_gpr_ctl.scala 52:21] + node _T_495 = eq(io.waddr1, UInt<5>("h01e")) @[dec_gpr_ctl.scala 53:45] + node _T_496 = and(io.wen1, _T_495) @[dec_gpr_ctl.scala 53:33] + w1v[30] <= _T_496 @[dec_gpr_ctl.scala 53:21] + node _T_497 = eq(io.waddr2, UInt<5>("h01e")) @[dec_gpr_ctl.scala 54:45] + node _T_498 = and(io.wen2, _T_497) @[dec_gpr_ctl.scala 54:33] + w2v[30] <= _T_498 @[dec_gpr_ctl.scala 54:21] + node _T_499 = bits(w0v[30], 0, 0) @[Bitwise.scala 72:15] + node _T_500 = mux(_T_499, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_501 = and(_T_500, io.wd0) @[dec_gpr_ctl.scala 55:42] + node _T_502 = bits(w1v[30], 0, 0) @[Bitwise.scala 72:15] + node _T_503 = mux(_T_502, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_504 = and(_T_503, io.wd1) @[dec_gpr_ctl.scala 55:71] + node _T_505 = or(_T_501, _T_504) @[dec_gpr_ctl.scala 55:52] + node _T_506 = bits(w2v[30], 0, 0) @[Bitwise.scala 72:15] + node _T_507 = mux(_T_506, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_508 = and(_T_507, io.wd2) @[dec_gpr_ctl.scala 55:100] + node _T_509 = or(_T_505, _T_508) @[dec_gpr_ctl.scala 55:81] + gpr_in[30] <= _T_509 @[dec_gpr_ctl.scala 55:21] + node _T_510 = eq(io.waddr0, UInt<5>("h01f")) @[dec_gpr_ctl.scala 52:45] + node _T_511 = and(io.wen0, _T_510) @[dec_gpr_ctl.scala 52:33] + w0v[31] <= _T_511 @[dec_gpr_ctl.scala 52:21] + node _T_512 = eq(io.waddr1, UInt<5>("h01f")) @[dec_gpr_ctl.scala 53:45] + node _T_513 = and(io.wen1, _T_512) @[dec_gpr_ctl.scala 53:33] + w1v[31] <= _T_513 @[dec_gpr_ctl.scala 53:21] + node _T_514 = eq(io.waddr2, UInt<5>("h01f")) @[dec_gpr_ctl.scala 54:45] + node _T_515 = and(io.wen2, _T_514) @[dec_gpr_ctl.scala 54:33] + w2v[31] <= _T_515 @[dec_gpr_ctl.scala 54:21] + node _T_516 = bits(w0v[31], 0, 0) @[Bitwise.scala 72:15] + node _T_517 = mux(_T_516, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_518 = and(_T_517, io.wd0) @[dec_gpr_ctl.scala 55:42] + node _T_519 = bits(w1v[31], 0, 0) @[Bitwise.scala 72:15] + node _T_520 = mux(_T_519, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_521 = and(_T_520, io.wd1) @[dec_gpr_ctl.scala 55:71] + node _T_522 = or(_T_518, _T_521) @[dec_gpr_ctl.scala 55:52] + node _T_523 = bits(w2v[31], 0, 0) @[Bitwise.scala 72:15] + node _T_524 = mux(_T_523, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_525 = and(_T_524, io.wd2) @[dec_gpr_ctl.scala 55:100] + node _T_526 = or(_T_522, _T_525) @[dec_gpr_ctl.scala 55:81] + gpr_in[31] <= _T_526 @[dec_gpr_ctl.scala 55:21] + node _T_527 = cat(w0v[1], w0v[0]) @[Cat.scala 29:58] + node _T_528 = cat(w0v[2], _T_527) @[Cat.scala 29:58] + node _T_529 = cat(w0v[3], _T_528) @[Cat.scala 29:58] + node _T_530 = cat(w0v[4], _T_529) @[Cat.scala 29:58] + node _T_531 = cat(w0v[5], _T_530) @[Cat.scala 29:58] + node _T_532 = cat(w0v[6], _T_531) @[Cat.scala 29:58] + node _T_533 = cat(w0v[7], _T_532) @[Cat.scala 29:58] + node _T_534 = cat(w0v[8], _T_533) @[Cat.scala 29:58] + node _T_535 = cat(w0v[9], _T_534) @[Cat.scala 29:58] + node _T_536 = cat(w0v[10], _T_535) @[Cat.scala 29:58] + node _T_537 = cat(w0v[11], _T_536) @[Cat.scala 29:58] + node _T_538 = cat(w0v[12], _T_537) @[Cat.scala 29:58] + node _T_539 = cat(w0v[13], _T_538) @[Cat.scala 29:58] + node _T_540 = cat(w0v[14], _T_539) @[Cat.scala 29:58] + node _T_541 = cat(w0v[15], _T_540) @[Cat.scala 29:58] + node _T_542 = cat(w0v[16], _T_541) @[Cat.scala 29:58] + node _T_543 = cat(w0v[17], _T_542) @[Cat.scala 29:58] + node _T_544 = cat(w0v[18], _T_543) @[Cat.scala 29:58] + node _T_545 = cat(w0v[19], _T_544) @[Cat.scala 29:58] + node _T_546 = cat(w0v[20], _T_545) @[Cat.scala 29:58] + node _T_547 = cat(w0v[21], _T_546) @[Cat.scala 29:58] + node _T_548 = cat(w0v[22], _T_547) @[Cat.scala 29:58] + node _T_549 = cat(w0v[23], _T_548) @[Cat.scala 29:58] + node _T_550 = cat(w0v[24], _T_549) @[Cat.scala 29:58] + node _T_551 = cat(w0v[25], _T_550) @[Cat.scala 29:58] + node _T_552 = cat(w0v[26], _T_551) @[Cat.scala 29:58] + node _T_553 = cat(w0v[27], _T_552) @[Cat.scala 29:58] + node _T_554 = cat(w0v[28], _T_553) @[Cat.scala 29:58] + node _T_555 = cat(w0v[29], _T_554) @[Cat.scala 29:58] + node _T_556 = cat(w0v[30], _T_555) @[Cat.scala 29:58] + node _T_557 = cat(w0v[31], _T_556) @[Cat.scala 29:58] + node _T_558 = cat(w1v[1], w1v[0]) @[Cat.scala 29:58] + node _T_559 = cat(w1v[2], _T_558) @[Cat.scala 29:58] + node _T_560 = cat(w1v[3], _T_559) @[Cat.scala 29:58] + node _T_561 = cat(w1v[4], _T_560) @[Cat.scala 29:58] + node _T_562 = cat(w1v[5], _T_561) @[Cat.scala 29:58] + node _T_563 = cat(w1v[6], _T_562) @[Cat.scala 29:58] + node _T_564 = cat(w1v[7], _T_563) @[Cat.scala 29:58] + node _T_565 = cat(w1v[8], _T_564) @[Cat.scala 29:58] + node _T_566 = cat(w1v[9], _T_565) @[Cat.scala 29:58] + node _T_567 = cat(w1v[10], _T_566) @[Cat.scala 29:58] + node _T_568 = cat(w1v[11], _T_567) @[Cat.scala 29:58] + node _T_569 = cat(w1v[12], _T_568) @[Cat.scala 29:58] + node _T_570 = cat(w1v[13], _T_569) @[Cat.scala 29:58] + node _T_571 = cat(w1v[14], _T_570) @[Cat.scala 29:58] + node _T_572 = cat(w1v[15], _T_571) @[Cat.scala 29:58] + node _T_573 = cat(w1v[16], _T_572) @[Cat.scala 29:58] + node _T_574 = cat(w1v[17], _T_573) @[Cat.scala 29:58] + node _T_575 = cat(w1v[18], _T_574) @[Cat.scala 29:58] + node _T_576 = cat(w1v[19], _T_575) @[Cat.scala 29:58] + node _T_577 = cat(w1v[20], _T_576) @[Cat.scala 29:58] + node _T_578 = cat(w1v[21], _T_577) @[Cat.scala 29:58] + node _T_579 = cat(w1v[22], _T_578) @[Cat.scala 29:58] + node _T_580 = cat(w1v[23], _T_579) @[Cat.scala 29:58] + node _T_581 = cat(w1v[24], _T_580) @[Cat.scala 29:58] + node _T_582 = cat(w1v[25], _T_581) @[Cat.scala 29:58] + node _T_583 = cat(w1v[26], _T_582) @[Cat.scala 29:58] + node _T_584 = cat(w1v[27], _T_583) @[Cat.scala 29:58] + node _T_585 = cat(w1v[28], _T_584) @[Cat.scala 29:58] + node _T_586 = cat(w1v[29], _T_585) @[Cat.scala 29:58] + node _T_587 = cat(w1v[30], _T_586) @[Cat.scala 29:58] + node _T_588 = cat(w1v[31], _T_587) @[Cat.scala 29:58] + node _T_589 = or(_T_557, _T_588) @[dec_gpr_ctl.scala 57:57] + node _T_590 = cat(w2v[1], w2v[0]) @[Cat.scala 29:58] + node _T_591 = cat(w2v[2], _T_590) @[Cat.scala 29:58] + node _T_592 = cat(w2v[3], _T_591) @[Cat.scala 29:58] + node _T_593 = cat(w2v[4], _T_592) @[Cat.scala 29:58] + node _T_594 = cat(w2v[5], _T_593) @[Cat.scala 29:58] + node _T_595 = cat(w2v[6], _T_594) @[Cat.scala 29:58] + node _T_596 = cat(w2v[7], _T_595) @[Cat.scala 29:58] + node _T_597 = cat(w2v[8], _T_596) @[Cat.scala 29:58] + node _T_598 = cat(w2v[9], _T_597) @[Cat.scala 29:58] + node _T_599 = cat(w2v[10], _T_598) @[Cat.scala 29:58] + node _T_600 = cat(w2v[11], _T_599) @[Cat.scala 29:58] + node _T_601 = cat(w2v[12], _T_600) @[Cat.scala 29:58] + node _T_602 = cat(w2v[13], _T_601) @[Cat.scala 29:58] + node _T_603 = cat(w2v[14], _T_602) @[Cat.scala 29:58] + node _T_604 = cat(w2v[15], _T_603) @[Cat.scala 29:58] + node _T_605 = cat(w2v[16], _T_604) @[Cat.scala 29:58] + node _T_606 = cat(w2v[17], _T_605) @[Cat.scala 29:58] + node _T_607 = cat(w2v[18], _T_606) @[Cat.scala 29:58] + node _T_608 = cat(w2v[19], _T_607) @[Cat.scala 29:58] + node _T_609 = cat(w2v[20], _T_608) @[Cat.scala 29:58] + node _T_610 = cat(w2v[21], _T_609) @[Cat.scala 29:58] + node _T_611 = cat(w2v[22], _T_610) @[Cat.scala 29:58] + node _T_612 = cat(w2v[23], _T_611) @[Cat.scala 29:58] + node _T_613 = cat(w2v[24], _T_612) @[Cat.scala 29:58] + node _T_614 = cat(w2v[25], _T_613) @[Cat.scala 29:58] + node _T_615 = cat(w2v[26], _T_614) @[Cat.scala 29:58] + node _T_616 = cat(w2v[27], _T_615) @[Cat.scala 29:58] + node _T_617 = cat(w2v[28], _T_616) @[Cat.scala 29:58] + node _T_618 = cat(w2v[29], _T_617) @[Cat.scala 29:58] + node _T_619 = cat(w2v[30], _T_618) @[Cat.scala 29:58] + node _T_620 = cat(w2v[31], _T_619) @[Cat.scala 29:58] + node _T_621 = or(_T_589, _T_620) @[dec_gpr_ctl.scala 57:95] + gpr_wr_en <= _T_621 @[dec_gpr_ctl.scala 57:18] + node _T_622 = bits(gpr_wr_en, 1, 1) @[dec_gpr_ctl.scala 61:49] + inst rvclkhdr of rvclkhdr_20 @[lib.scala 368:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 370:18] + rvclkhdr.io.en <= _T_622 @[lib.scala 371:17] + rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_623 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_623 <= gpr_in[1] @[lib.scala 374:16] + gpr_out[1] <= _T_623 @[dec_gpr_ctl.scala 61:21] + node _T_624 = bits(gpr_wr_en, 2, 2) @[dec_gpr_ctl.scala 61:49] + inst rvclkhdr_1 of rvclkhdr_21 @[lib.scala 368:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_1.io.en <= _T_624 @[lib.scala 371:17] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_625 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_625 <= gpr_in[2] @[lib.scala 374:16] + gpr_out[2] <= _T_625 @[dec_gpr_ctl.scala 61:21] + node _T_626 = bits(gpr_wr_en, 3, 3) @[dec_gpr_ctl.scala 61:49] + inst rvclkhdr_2 of rvclkhdr_22 @[lib.scala 368:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_2.io.en <= _T_626 @[lib.scala 371:17] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_627 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_627 <= gpr_in[3] @[lib.scala 374:16] + gpr_out[3] <= _T_627 @[dec_gpr_ctl.scala 61:21] + node _T_628 = bits(gpr_wr_en, 4, 4) @[dec_gpr_ctl.scala 61:49] + inst rvclkhdr_3 of rvclkhdr_23 @[lib.scala 368:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_3.io.en <= _T_628 @[lib.scala 371:17] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_629 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_629 <= gpr_in[4] @[lib.scala 374:16] + gpr_out[4] <= _T_629 @[dec_gpr_ctl.scala 61:21] + node _T_630 = bits(gpr_wr_en, 5, 5) @[dec_gpr_ctl.scala 61:49] + inst rvclkhdr_4 of rvclkhdr_24 @[lib.scala 368:23] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_4.io.en <= _T_630 @[lib.scala 371:17] + rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_631 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_631 <= gpr_in[5] @[lib.scala 374:16] + gpr_out[5] <= _T_631 @[dec_gpr_ctl.scala 61:21] + node _T_632 = bits(gpr_wr_en, 6, 6) @[dec_gpr_ctl.scala 61:49] + inst rvclkhdr_5 of rvclkhdr_25 @[lib.scala 368:23] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_5.io.en <= _T_632 @[lib.scala 371:17] + rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_633 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_633 <= gpr_in[6] @[lib.scala 374:16] + gpr_out[6] <= _T_633 @[dec_gpr_ctl.scala 61:21] + node _T_634 = bits(gpr_wr_en, 7, 7) @[dec_gpr_ctl.scala 61:49] + inst rvclkhdr_6 of rvclkhdr_26 @[lib.scala 368:23] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= reset + rvclkhdr_6.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_6.io.en <= _T_634 @[lib.scala 371:17] + rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_635 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_635 <= gpr_in[7] @[lib.scala 374:16] + gpr_out[7] <= _T_635 @[dec_gpr_ctl.scala 61:21] + node _T_636 = bits(gpr_wr_en, 8, 8) @[dec_gpr_ctl.scala 61:49] + inst rvclkhdr_7 of rvclkhdr_27 @[lib.scala 368:23] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= reset + rvclkhdr_7.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_7.io.en <= _T_636 @[lib.scala 371:17] + rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_637 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_637 <= gpr_in[8] @[lib.scala 374:16] + gpr_out[8] <= _T_637 @[dec_gpr_ctl.scala 61:21] + node _T_638 = bits(gpr_wr_en, 9, 9) @[dec_gpr_ctl.scala 61:49] + inst rvclkhdr_8 of rvclkhdr_28 @[lib.scala 368:23] + rvclkhdr_8.clock <= clock + rvclkhdr_8.reset <= reset + rvclkhdr_8.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_8.io.en <= _T_638 @[lib.scala 371:17] + rvclkhdr_8.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_639 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_639 <= gpr_in[9] @[lib.scala 374:16] + gpr_out[9] <= _T_639 @[dec_gpr_ctl.scala 61:21] + node _T_640 = bits(gpr_wr_en, 10, 10) @[dec_gpr_ctl.scala 61:49] + inst rvclkhdr_9 of rvclkhdr_29 @[lib.scala 368:23] + rvclkhdr_9.clock <= clock + rvclkhdr_9.reset <= reset + rvclkhdr_9.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_9.io.en <= _T_640 @[lib.scala 371:17] + rvclkhdr_9.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_641 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_641 <= gpr_in[10] @[lib.scala 374:16] + gpr_out[10] <= _T_641 @[dec_gpr_ctl.scala 61:21] + node _T_642 = bits(gpr_wr_en, 11, 11) @[dec_gpr_ctl.scala 61:49] + inst rvclkhdr_10 of rvclkhdr_30 @[lib.scala 368:23] + rvclkhdr_10.clock <= clock + rvclkhdr_10.reset <= reset + rvclkhdr_10.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_10.io.en <= _T_642 @[lib.scala 371:17] + rvclkhdr_10.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_643 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_643 <= gpr_in[11] @[lib.scala 374:16] + gpr_out[11] <= _T_643 @[dec_gpr_ctl.scala 61:21] + node _T_644 = bits(gpr_wr_en, 12, 12) @[dec_gpr_ctl.scala 61:49] + inst rvclkhdr_11 of rvclkhdr_31 @[lib.scala 368:23] + rvclkhdr_11.clock <= clock + rvclkhdr_11.reset <= reset + rvclkhdr_11.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_11.io.en <= _T_644 @[lib.scala 371:17] + rvclkhdr_11.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_645 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_645 <= gpr_in[12] @[lib.scala 374:16] + gpr_out[12] <= _T_645 @[dec_gpr_ctl.scala 61:21] + node _T_646 = bits(gpr_wr_en, 13, 13) @[dec_gpr_ctl.scala 61:49] + inst rvclkhdr_12 of rvclkhdr_32 @[lib.scala 368:23] + rvclkhdr_12.clock <= clock + rvclkhdr_12.reset <= reset + rvclkhdr_12.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_12.io.en <= _T_646 @[lib.scala 371:17] + rvclkhdr_12.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_647 : UInt, rvclkhdr_12.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_647 <= gpr_in[13] @[lib.scala 374:16] + gpr_out[13] <= _T_647 @[dec_gpr_ctl.scala 61:21] + node _T_648 = bits(gpr_wr_en, 14, 14) @[dec_gpr_ctl.scala 61:49] + inst rvclkhdr_13 of rvclkhdr_33 @[lib.scala 368:23] + rvclkhdr_13.clock <= clock + rvclkhdr_13.reset <= reset + rvclkhdr_13.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_13.io.en <= _T_648 @[lib.scala 371:17] + rvclkhdr_13.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_649 : UInt, rvclkhdr_13.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_649 <= gpr_in[14] @[lib.scala 374:16] + gpr_out[14] <= _T_649 @[dec_gpr_ctl.scala 61:21] + node _T_650 = bits(gpr_wr_en, 15, 15) @[dec_gpr_ctl.scala 61:49] + inst rvclkhdr_14 of rvclkhdr_34 @[lib.scala 368:23] + rvclkhdr_14.clock <= clock + rvclkhdr_14.reset <= reset + rvclkhdr_14.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_14.io.en <= _T_650 @[lib.scala 371:17] + rvclkhdr_14.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_651 : UInt, rvclkhdr_14.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_651 <= gpr_in[15] @[lib.scala 374:16] + gpr_out[15] <= _T_651 @[dec_gpr_ctl.scala 61:21] + node _T_652 = bits(gpr_wr_en, 16, 16) @[dec_gpr_ctl.scala 61:49] + inst rvclkhdr_15 of rvclkhdr_35 @[lib.scala 368:23] + rvclkhdr_15.clock <= clock + rvclkhdr_15.reset <= reset + rvclkhdr_15.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_15.io.en <= _T_652 @[lib.scala 371:17] + rvclkhdr_15.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_653 : UInt, rvclkhdr_15.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_653 <= gpr_in[16] @[lib.scala 374:16] + gpr_out[16] <= _T_653 @[dec_gpr_ctl.scala 61:21] + node _T_654 = bits(gpr_wr_en, 17, 17) @[dec_gpr_ctl.scala 61:49] + inst rvclkhdr_16 of rvclkhdr_36 @[lib.scala 368:23] + rvclkhdr_16.clock <= clock + rvclkhdr_16.reset <= reset + rvclkhdr_16.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_16.io.en <= _T_654 @[lib.scala 371:17] + rvclkhdr_16.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_655 : UInt, rvclkhdr_16.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_655 <= gpr_in[17] @[lib.scala 374:16] + gpr_out[17] <= _T_655 @[dec_gpr_ctl.scala 61:21] + node _T_656 = bits(gpr_wr_en, 18, 18) @[dec_gpr_ctl.scala 61:49] + inst rvclkhdr_17 of rvclkhdr_37 @[lib.scala 368:23] + rvclkhdr_17.clock <= clock + rvclkhdr_17.reset <= reset + rvclkhdr_17.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_17.io.en <= _T_656 @[lib.scala 371:17] + rvclkhdr_17.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_657 : UInt, rvclkhdr_17.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_657 <= gpr_in[18] @[lib.scala 374:16] + gpr_out[18] <= _T_657 @[dec_gpr_ctl.scala 61:21] + node _T_658 = bits(gpr_wr_en, 19, 19) @[dec_gpr_ctl.scala 61:49] + inst rvclkhdr_18 of rvclkhdr_38 @[lib.scala 368:23] + rvclkhdr_18.clock <= clock + rvclkhdr_18.reset <= reset + rvclkhdr_18.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_18.io.en <= _T_658 @[lib.scala 371:17] + rvclkhdr_18.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_659 : UInt, rvclkhdr_18.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_659 <= gpr_in[19] @[lib.scala 374:16] + gpr_out[19] <= _T_659 @[dec_gpr_ctl.scala 61:21] + node _T_660 = bits(gpr_wr_en, 20, 20) @[dec_gpr_ctl.scala 61:49] + inst rvclkhdr_19 of rvclkhdr_39 @[lib.scala 368:23] + rvclkhdr_19.clock <= clock + rvclkhdr_19.reset <= reset + rvclkhdr_19.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_19.io.en <= _T_660 @[lib.scala 371:17] + rvclkhdr_19.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_661 : UInt, rvclkhdr_19.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_661 <= gpr_in[20] @[lib.scala 374:16] + gpr_out[20] <= _T_661 @[dec_gpr_ctl.scala 61:21] + node _T_662 = bits(gpr_wr_en, 21, 21) @[dec_gpr_ctl.scala 61:49] + inst rvclkhdr_20 of rvclkhdr_40 @[lib.scala 368:23] + rvclkhdr_20.clock <= clock + rvclkhdr_20.reset <= reset + rvclkhdr_20.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_20.io.en <= _T_662 @[lib.scala 371:17] + rvclkhdr_20.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_663 : UInt, rvclkhdr_20.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_663 <= gpr_in[21] @[lib.scala 374:16] + gpr_out[21] <= _T_663 @[dec_gpr_ctl.scala 61:21] + node _T_664 = bits(gpr_wr_en, 22, 22) @[dec_gpr_ctl.scala 61:49] + inst rvclkhdr_21 of rvclkhdr_41 @[lib.scala 368:23] + rvclkhdr_21.clock <= clock + rvclkhdr_21.reset <= reset + rvclkhdr_21.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_21.io.en <= _T_664 @[lib.scala 371:17] + rvclkhdr_21.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_665 : UInt, rvclkhdr_21.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_665 <= gpr_in[22] @[lib.scala 374:16] + gpr_out[22] <= _T_665 @[dec_gpr_ctl.scala 61:21] + node _T_666 = bits(gpr_wr_en, 23, 23) @[dec_gpr_ctl.scala 61:49] + inst rvclkhdr_22 of rvclkhdr_42 @[lib.scala 368:23] + rvclkhdr_22.clock <= clock + rvclkhdr_22.reset <= reset + rvclkhdr_22.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_22.io.en <= _T_666 @[lib.scala 371:17] + rvclkhdr_22.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_667 : UInt, rvclkhdr_22.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_667 <= gpr_in[23] @[lib.scala 374:16] + gpr_out[23] <= _T_667 @[dec_gpr_ctl.scala 61:21] + node _T_668 = bits(gpr_wr_en, 24, 24) @[dec_gpr_ctl.scala 61:49] + inst rvclkhdr_23 of rvclkhdr_43 @[lib.scala 368:23] + rvclkhdr_23.clock <= clock + rvclkhdr_23.reset <= reset + rvclkhdr_23.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_23.io.en <= _T_668 @[lib.scala 371:17] + rvclkhdr_23.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_669 : UInt, rvclkhdr_23.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_669 <= gpr_in[24] @[lib.scala 374:16] + gpr_out[24] <= _T_669 @[dec_gpr_ctl.scala 61:21] + node _T_670 = bits(gpr_wr_en, 25, 25) @[dec_gpr_ctl.scala 61:49] + inst rvclkhdr_24 of rvclkhdr_44 @[lib.scala 368:23] + rvclkhdr_24.clock <= clock + rvclkhdr_24.reset <= reset + rvclkhdr_24.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_24.io.en <= _T_670 @[lib.scala 371:17] + rvclkhdr_24.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_671 : UInt, rvclkhdr_24.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_671 <= gpr_in[25] @[lib.scala 374:16] + gpr_out[25] <= _T_671 @[dec_gpr_ctl.scala 61:21] + node _T_672 = bits(gpr_wr_en, 26, 26) @[dec_gpr_ctl.scala 61:49] + inst rvclkhdr_25 of rvclkhdr_45 @[lib.scala 368:23] + rvclkhdr_25.clock <= clock + rvclkhdr_25.reset <= reset + rvclkhdr_25.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_25.io.en <= _T_672 @[lib.scala 371:17] + rvclkhdr_25.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_673 : UInt, rvclkhdr_25.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_673 <= gpr_in[26] @[lib.scala 374:16] + gpr_out[26] <= _T_673 @[dec_gpr_ctl.scala 61:21] + node _T_674 = bits(gpr_wr_en, 27, 27) @[dec_gpr_ctl.scala 61:49] + inst rvclkhdr_26 of rvclkhdr_46 @[lib.scala 368:23] + rvclkhdr_26.clock <= clock + rvclkhdr_26.reset <= reset + rvclkhdr_26.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_26.io.en <= _T_674 @[lib.scala 371:17] + rvclkhdr_26.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_675 : UInt, rvclkhdr_26.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_675 <= gpr_in[27] @[lib.scala 374:16] + gpr_out[27] <= _T_675 @[dec_gpr_ctl.scala 61:21] + node _T_676 = bits(gpr_wr_en, 28, 28) @[dec_gpr_ctl.scala 61:49] + inst rvclkhdr_27 of rvclkhdr_47 @[lib.scala 368:23] + rvclkhdr_27.clock <= clock + rvclkhdr_27.reset <= reset + rvclkhdr_27.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_27.io.en <= _T_676 @[lib.scala 371:17] + rvclkhdr_27.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_677 : UInt, rvclkhdr_27.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_677 <= gpr_in[28] @[lib.scala 374:16] + gpr_out[28] <= _T_677 @[dec_gpr_ctl.scala 61:21] + node _T_678 = bits(gpr_wr_en, 29, 29) @[dec_gpr_ctl.scala 61:49] + inst rvclkhdr_28 of rvclkhdr_48 @[lib.scala 368:23] + rvclkhdr_28.clock <= clock + rvclkhdr_28.reset <= reset + rvclkhdr_28.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_28.io.en <= _T_678 @[lib.scala 371:17] + rvclkhdr_28.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_679 : UInt, rvclkhdr_28.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_679 <= gpr_in[29] @[lib.scala 374:16] + gpr_out[29] <= _T_679 @[dec_gpr_ctl.scala 61:21] + node _T_680 = bits(gpr_wr_en, 30, 30) @[dec_gpr_ctl.scala 61:49] + inst rvclkhdr_29 of rvclkhdr_49 @[lib.scala 368:23] + rvclkhdr_29.clock <= clock + rvclkhdr_29.reset <= reset + rvclkhdr_29.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_29.io.en <= _T_680 @[lib.scala 371:17] + rvclkhdr_29.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_681 : UInt, rvclkhdr_29.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_681 <= gpr_in[30] @[lib.scala 374:16] + gpr_out[30] <= _T_681 @[dec_gpr_ctl.scala 61:21] + node _T_682 = bits(gpr_wr_en, 31, 31) @[dec_gpr_ctl.scala 61:49] + inst rvclkhdr_30 of rvclkhdr_50 @[lib.scala 368:23] + rvclkhdr_30.clock <= clock + rvclkhdr_30.reset <= reset + rvclkhdr_30.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_30.io.en <= _T_682 @[lib.scala 371:17] + rvclkhdr_30.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_683 : UInt, rvclkhdr_30.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_683 <= gpr_in[31] @[lib.scala 374:16] + gpr_out[31] <= _T_683 @[dec_gpr_ctl.scala 61:21] + node _T_684 = eq(io.raddr0, UInt<1>("h01")) @[dec_gpr_ctl.scala 64:72] + node _T_685 = bits(_T_684, 0, 0) @[dec_gpr_ctl.scala 64:80] + node _T_686 = eq(io.raddr0, UInt<2>("h02")) @[dec_gpr_ctl.scala 64:72] + node _T_687 = bits(_T_686, 0, 0) @[dec_gpr_ctl.scala 64:80] + node _T_688 = eq(io.raddr0, UInt<2>("h03")) @[dec_gpr_ctl.scala 64:72] + node _T_689 = bits(_T_688, 0, 0) @[dec_gpr_ctl.scala 64:80] + node _T_690 = eq(io.raddr0, UInt<3>("h04")) @[dec_gpr_ctl.scala 64:72] + node _T_691 = bits(_T_690, 0, 0) @[dec_gpr_ctl.scala 64:80] + node _T_692 = eq(io.raddr0, UInt<3>("h05")) @[dec_gpr_ctl.scala 64:72] + node _T_693 = bits(_T_692, 0, 0) @[dec_gpr_ctl.scala 64:80] + node _T_694 = eq(io.raddr0, UInt<3>("h06")) @[dec_gpr_ctl.scala 64:72] + node _T_695 = bits(_T_694, 0, 0) @[dec_gpr_ctl.scala 64:80] + node _T_696 = eq(io.raddr0, UInt<3>("h07")) @[dec_gpr_ctl.scala 64:72] + node _T_697 = bits(_T_696, 0, 0) @[dec_gpr_ctl.scala 64:80] + node _T_698 = eq(io.raddr0, UInt<4>("h08")) @[dec_gpr_ctl.scala 64:72] + node _T_699 = bits(_T_698, 0, 0) @[dec_gpr_ctl.scala 64:80] + node _T_700 = eq(io.raddr0, UInt<4>("h09")) @[dec_gpr_ctl.scala 64:72] + node _T_701 = bits(_T_700, 0, 0) @[dec_gpr_ctl.scala 64:80] + node _T_702 = eq(io.raddr0, UInt<4>("h0a")) @[dec_gpr_ctl.scala 64:72] + node _T_703 = bits(_T_702, 0, 0) @[dec_gpr_ctl.scala 64:80] + node _T_704 = eq(io.raddr0, UInt<4>("h0b")) @[dec_gpr_ctl.scala 64:72] + node _T_705 = bits(_T_704, 0, 0) @[dec_gpr_ctl.scala 64:80] + node _T_706 = eq(io.raddr0, UInt<4>("h0c")) @[dec_gpr_ctl.scala 64:72] + node _T_707 = bits(_T_706, 0, 0) @[dec_gpr_ctl.scala 64:80] + node _T_708 = eq(io.raddr0, UInt<4>("h0d")) @[dec_gpr_ctl.scala 64:72] + node _T_709 = bits(_T_708, 0, 0) @[dec_gpr_ctl.scala 64:80] + node _T_710 = eq(io.raddr0, UInt<4>("h0e")) @[dec_gpr_ctl.scala 64:72] + node _T_711 = bits(_T_710, 0, 0) @[dec_gpr_ctl.scala 64:80] + node _T_712 = eq(io.raddr0, UInt<4>("h0f")) @[dec_gpr_ctl.scala 64:72] + node _T_713 = bits(_T_712, 0, 0) @[dec_gpr_ctl.scala 64:80] + node _T_714 = eq(io.raddr0, UInt<5>("h010")) @[dec_gpr_ctl.scala 64:72] + node _T_715 = bits(_T_714, 0, 0) @[dec_gpr_ctl.scala 64:80] + node _T_716 = eq(io.raddr0, UInt<5>("h011")) @[dec_gpr_ctl.scala 64:72] + node _T_717 = bits(_T_716, 0, 0) @[dec_gpr_ctl.scala 64:80] + node _T_718 = eq(io.raddr0, UInt<5>("h012")) @[dec_gpr_ctl.scala 64:72] + node _T_719 = bits(_T_718, 0, 0) @[dec_gpr_ctl.scala 64:80] + node _T_720 = eq(io.raddr0, UInt<5>("h013")) @[dec_gpr_ctl.scala 64:72] + node _T_721 = bits(_T_720, 0, 0) @[dec_gpr_ctl.scala 64:80] + node _T_722 = eq(io.raddr0, UInt<5>("h014")) @[dec_gpr_ctl.scala 64:72] + node _T_723 = bits(_T_722, 0, 0) @[dec_gpr_ctl.scala 64:80] + node _T_724 = eq(io.raddr0, UInt<5>("h015")) @[dec_gpr_ctl.scala 64:72] + node _T_725 = bits(_T_724, 0, 0) @[dec_gpr_ctl.scala 64:80] + node _T_726 = eq(io.raddr0, UInt<5>("h016")) @[dec_gpr_ctl.scala 64:72] + node _T_727 = bits(_T_726, 0, 0) @[dec_gpr_ctl.scala 64:80] + node _T_728 = eq(io.raddr0, UInt<5>("h017")) @[dec_gpr_ctl.scala 64:72] + node _T_729 = bits(_T_728, 0, 0) @[dec_gpr_ctl.scala 64:80] + node _T_730 = eq(io.raddr0, UInt<5>("h018")) @[dec_gpr_ctl.scala 64:72] + node _T_731 = bits(_T_730, 0, 0) @[dec_gpr_ctl.scala 64:80] + node _T_732 = eq(io.raddr0, UInt<5>("h019")) @[dec_gpr_ctl.scala 64:72] + node _T_733 = bits(_T_732, 0, 0) @[dec_gpr_ctl.scala 64:80] + node _T_734 = eq(io.raddr0, UInt<5>("h01a")) @[dec_gpr_ctl.scala 64:72] + node _T_735 = bits(_T_734, 0, 0) @[dec_gpr_ctl.scala 64:80] + node _T_736 = eq(io.raddr0, UInt<5>("h01b")) @[dec_gpr_ctl.scala 64:72] + node _T_737 = bits(_T_736, 0, 0) @[dec_gpr_ctl.scala 64:80] + node _T_738 = eq(io.raddr0, UInt<5>("h01c")) @[dec_gpr_ctl.scala 64:72] + node _T_739 = bits(_T_738, 0, 0) @[dec_gpr_ctl.scala 64:80] + node _T_740 = eq(io.raddr0, UInt<5>("h01d")) @[dec_gpr_ctl.scala 64:72] + node _T_741 = bits(_T_740, 0, 0) @[dec_gpr_ctl.scala 64:80] + node _T_742 = eq(io.raddr0, UInt<5>("h01e")) @[dec_gpr_ctl.scala 64:72] + node _T_743 = bits(_T_742, 0, 0) @[dec_gpr_ctl.scala 64:80] + node _T_744 = eq(io.raddr0, UInt<5>("h01f")) @[dec_gpr_ctl.scala 64:72] + node _T_745 = bits(_T_744, 0, 0) @[dec_gpr_ctl.scala 64:80] + node _T_746 = mux(_T_685, gpr_out[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_747 = mux(_T_687, gpr_out[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_748 = mux(_T_689, gpr_out[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_749 = mux(_T_691, gpr_out[4], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_750 = mux(_T_693, gpr_out[5], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_751 = mux(_T_695, gpr_out[6], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_752 = mux(_T_697, gpr_out[7], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_753 = mux(_T_699, gpr_out[8], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_754 = mux(_T_701, gpr_out[9], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_755 = mux(_T_703, gpr_out[10], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_756 = mux(_T_705, gpr_out[11], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_757 = mux(_T_707, gpr_out[12], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_758 = mux(_T_709, gpr_out[13], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_759 = mux(_T_711, gpr_out[14], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_760 = mux(_T_713, gpr_out[15], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_761 = mux(_T_715, gpr_out[16], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_762 = mux(_T_717, gpr_out[17], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_763 = mux(_T_719, gpr_out[18], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_764 = mux(_T_721, gpr_out[19], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_765 = mux(_T_723, gpr_out[20], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_766 = mux(_T_725, gpr_out[21], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_767 = mux(_T_727, gpr_out[22], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_768 = mux(_T_729, gpr_out[23], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_769 = mux(_T_731, gpr_out[24], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_770 = mux(_T_733, gpr_out[25], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_771 = mux(_T_735, gpr_out[26], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_772 = mux(_T_737, gpr_out[27], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_773 = mux(_T_739, gpr_out[28], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_774 = mux(_T_741, gpr_out[29], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_775 = mux(_T_743, gpr_out[30], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_776 = mux(_T_745, gpr_out[31], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_777 = or(_T_746, _T_747) @[Mux.scala 27:72] + node _T_778 = or(_T_777, _T_748) @[Mux.scala 27:72] + node _T_779 = or(_T_778, _T_749) @[Mux.scala 27:72] + node _T_780 = or(_T_779, _T_750) @[Mux.scala 27:72] + node _T_781 = or(_T_780, _T_751) @[Mux.scala 27:72] + node _T_782 = or(_T_781, _T_752) @[Mux.scala 27:72] + node _T_783 = or(_T_782, _T_753) @[Mux.scala 27:72] + node _T_784 = or(_T_783, _T_754) @[Mux.scala 27:72] + node _T_785 = or(_T_784, _T_755) @[Mux.scala 27:72] + node _T_786 = or(_T_785, _T_756) @[Mux.scala 27:72] + node _T_787 = or(_T_786, _T_757) @[Mux.scala 27:72] + node _T_788 = or(_T_787, _T_758) @[Mux.scala 27:72] + node _T_789 = or(_T_788, _T_759) @[Mux.scala 27:72] + node _T_790 = or(_T_789, _T_760) @[Mux.scala 27:72] + node _T_791 = or(_T_790, _T_761) @[Mux.scala 27:72] + node _T_792 = or(_T_791, _T_762) @[Mux.scala 27:72] + node _T_793 = or(_T_792, _T_763) @[Mux.scala 27:72] + node _T_794 = or(_T_793, _T_764) @[Mux.scala 27:72] + node _T_795 = or(_T_794, _T_765) @[Mux.scala 27:72] + node _T_796 = or(_T_795, _T_766) @[Mux.scala 27:72] + node _T_797 = or(_T_796, _T_767) @[Mux.scala 27:72] + node _T_798 = or(_T_797, _T_768) @[Mux.scala 27:72] + node _T_799 = or(_T_798, _T_769) @[Mux.scala 27:72] + node _T_800 = or(_T_799, _T_770) @[Mux.scala 27:72] + node _T_801 = or(_T_800, _T_771) @[Mux.scala 27:72] + node _T_802 = or(_T_801, _T_772) @[Mux.scala 27:72] + node _T_803 = or(_T_802, _T_773) @[Mux.scala 27:72] + node _T_804 = or(_T_803, _T_774) @[Mux.scala 27:72] + node _T_805 = or(_T_804, _T_775) @[Mux.scala 27:72] + node _T_806 = or(_T_805, _T_776) @[Mux.scala 27:72] + wire _T_807 : UInt<32> @[Mux.scala 27:72] + _T_807 <= _T_806 @[Mux.scala 27:72] + io.gpr_exu.gpr_i0_rs1_d <= _T_807 @[dec_gpr_ctl.scala 64:32] + node _T_808 = eq(io.raddr1, UInt<1>("h01")) @[dec_gpr_ctl.scala 65:72] + node _T_809 = bits(_T_808, 0, 0) @[dec_gpr_ctl.scala 65:80] + node _T_810 = eq(io.raddr1, UInt<2>("h02")) @[dec_gpr_ctl.scala 65:72] + node _T_811 = bits(_T_810, 0, 0) @[dec_gpr_ctl.scala 65:80] + node _T_812 = eq(io.raddr1, UInt<2>("h03")) @[dec_gpr_ctl.scala 65:72] + node _T_813 = bits(_T_812, 0, 0) @[dec_gpr_ctl.scala 65:80] + node _T_814 = eq(io.raddr1, UInt<3>("h04")) @[dec_gpr_ctl.scala 65:72] + node _T_815 = bits(_T_814, 0, 0) @[dec_gpr_ctl.scala 65:80] + node _T_816 = eq(io.raddr1, UInt<3>("h05")) @[dec_gpr_ctl.scala 65:72] + node _T_817 = bits(_T_816, 0, 0) @[dec_gpr_ctl.scala 65:80] + node _T_818 = eq(io.raddr1, UInt<3>("h06")) @[dec_gpr_ctl.scala 65:72] + node _T_819 = bits(_T_818, 0, 0) @[dec_gpr_ctl.scala 65:80] + node _T_820 = eq(io.raddr1, UInt<3>("h07")) @[dec_gpr_ctl.scala 65:72] + node _T_821 = bits(_T_820, 0, 0) @[dec_gpr_ctl.scala 65:80] + node _T_822 = eq(io.raddr1, UInt<4>("h08")) @[dec_gpr_ctl.scala 65:72] + node _T_823 = bits(_T_822, 0, 0) @[dec_gpr_ctl.scala 65:80] + node _T_824 = eq(io.raddr1, UInt<4>("h09")) @[dec_gpr_ctl.scala 65:72] + node _T_825 = bits(_T_824, 0, 0) @[dec_gpr_ctl.scala 65:80] + node _T_826 = eq(io.raddr1, UInt<4>("h0a")) @[dec_gpr_ctl.scala 65:72] + node _T_827 = bits(_T_826, 0, 0) @[dec_gpr_ctl.scala 65:80] + node _T_828 = eq(io.raddr1, UInt<4>("h0b")) @[dec_gpr_ctl.scala 65:72] + node _T_829 = bits(_T_828, 0, 0) @[dec_gpr_ctl.scala 65:80] + node _T_830 = eq(io.raddr1, UInt<4>("h0c")) @[dec_gpr_ctl.scala 65:72] + node _T_831 = bits(_T_830, 0, 0) @[dec_gpr_ctl.scala 65:80] + node _T_832 = eq(io.raddr1, UInt<4>("h0d")) @[dec_gpr_ctl.scala 65:72] + node _T_833 = bits(_T_832, 0, 0) @[dec_gpr_ctl.scala 65:80] + node _T_834 = eq(io.raddr1, UInt<4>("h0e")) @[dec_gpr_ctl.scala 65:72] + node _T_835 = bits(_T_834, 0, 0) @[dec_gpr_ctl.scala 65:80] + node _T_836 = eq(io.raddr1, UInt<4>("h0f")) @[dec_gpr_ctl.scala 65:72] + node _T_837 = bits(_T_836, 0, 0) @[dec_gpr_ctl.scala 65:80] + node _T_838 = eq(io.raddr1, UInt<5>("h010")) @[dec_gpr_ctl.scala 65:72] + node _T_839 = bits(_T_838, 0, 0) @[dec_gpr_ctl.scala 65:80] + node _T_840 = eq(io.raddr1, UInt<5>("h011")) @[dec_gpr_ctl.scala 65:72] + node _T_841 = bits(_T_840, 0, 0) @[dec_gpr_ctl.scala 65:80] + node _T_842 = eq(io.raddr1, UInt<5>("h012")) @[dec_gpr_ctl.scala 65:72] + node _T_843 = bits(_T_842, 0, 0) @[dec_gpr_ctl.scala 65:80] + node _T_844 = eq(io.raddr1, UInt<5>("h013")) @[dec_gpr_ctl.scala 65:72] + node _T_845 = bits(_T_844, 0, 0) @[dec_gpr_ctl.scala 65:80] + node _T_846 = eq(io.raddr1, UInt<5>("h014")) @[dec_gpr_ctl.scala 65:72] + node _T_847 = bits(_T_846, 0, 0) @[dec_gpr_ctl.scala 65:80] + node _T_848 = eq(io.raddr1, UInt<5>("h015")) @[dec_gpr_ctl.scala 65:72] + node _T_849 = bits(_T_848, 0, 0) @[dec_gpr_ctl.scala 65:80] + node _T_850 = eq(io.raddr1, UInt<5>("h016")) @[dec_gpr_ctl.scala 65:72] + node _T_851 = bits(_T_850, 0, 0) @[dec_gpr_ctl.scala 65:80] + node _T_852 = eq(io.raddr1, UInt<5>("h017")) @[dec_gpr_ctl.scala 65:72] + node _T_853 = bits(_T_852, 0, 0) @[dec_gpr_ctl.scala 65:80] + node _T_854 = eq(io.raddr1, UInt<5>("h018")) @[dec_gpr_ctl.scala 65:72] + node _T_855 = bits(_T_854, 0, 0) @[dec_gpr_ctl.scala 65:80] + node _T_856 = eq(io.raddr1, UInt<5>("h019")) @[dec_gpr_ctl.scala 65:72] + node _T_857 = bits(_T_856, 0, 0) @[dec_gpr_ctl.scala 65:80] + node _T_858 = eq(io.raddr1, UInt<5>("h01a")) @[dec_gpr_ctl.scala 65:72] + node _T_859 = bits(_T_858, 0, 0) @[dec_gpr_ctl.scala 65:80] + node _T_860 = eq(io.raddr1, UInt<5>("h01b")) @[dec_gpr_ctl.scala 65:72] + node _T_861 = bits(_T_860, 0, 0) @[dec_gpr_ctl.scala 65:80] + node _T_862 = eq(io.raddr1, UInt<5>("h01c")) @[dec_gpr_ctl.scala 65:72] + node _T_863 = bits(_T_862, 0, 0) @[dec_gpr_ctl.scala 65:80] + node _T_864 = eq(io.raddr1, UInt<5>("h01d")) @[dec_gpr_ctl.scala 65:72] + node _T_865 = bits(_T_864, 0, 0) @[dec_gpr_ctl.scala 65:80] + node _T_866 = eq(io.raddr1, UInt<5>("h01e")) @[dec_gpr_ctl.scala 65:72] + node _T_867 = bits(_T_866, 0, 0) @[dec_gpr_ctl.scala 65:80] + node _T_868 = eq(io.raddr1, UInt<5>("h01f")) @[dec_gpr_ctl.scala 65:72] + node _T_869 = bits(_T_868, 0, 0) @[dec_gpr_ctl.scala 65:80] + node _T_870 = mux(_T_809, gpr_out[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_871 = mux(_T_811, gpr_out[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_872 = mux(_T_813, gpr_out[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_873 = mux(_T_815, gpr_out[4], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_874 = mux(_T_817, gpr_out[5], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_875 = mux(_T_819, gpr_out[6], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_876 = mux(_T_821, gpr_out[7], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_877 = mux(_T_823, gpr_out[8], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_878 = mux(_T_825, gpr_out[9], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_879 = mux(_T_827, gpr_out[10], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_880 = mux(_T_829, gpr_out[11], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_881 = mux(_T_831, gpr_out[12], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_882 = mux(_T_833, gpr_out[13], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_883 = mux(_T_835, gpr_out[14], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_884 = mux(_T_837, gpr_out[15], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_885 = mux(_T_839, gpr_out[16], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_886 = mux(_T_841, gpr_out[17], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_887 = mux(_T_843, gpr_out[18], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_888 = mux(_T_845, gpr_out[19], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_889 = mux(_T_847, gpr_out[20], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_890 = mux(_T_849, gpr_out[21], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_891 = mux(_T_851, gpr_out[22], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_892 = mux(_T_853, gpr_out[23], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_893 = mux(_T_855, gpr_out[24], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_894 = mux(_T_857, gpr_out[25], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_895 = mux(_T_859, gpr_out[26], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_896 = mux(_T_861, gpr_out[27], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_897 = mux(_T_863, gpr_out[28], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_898 = mux(_T_865, gpr_out[29], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_899 = mux(_T_867, gpr_out[30], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_900 = mux(_T_869, gpr_out[31], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_901 = or(_T_870, _T_871) @[Mux.scala 27:72] + node _T_902 = or(_T_901, _T_872) @[Mux.scala 27:72] + node _T_903 = or(_T_902, _T_873) @[Mux.scala 27:72] + node _T_904 = or(_T_903, _T_874) @[Mux.scala 27:72] + node _T_905 = or(_T_904, _T_875) @[Mux.scala 27:72] + node _T_906 = or(_T_905, _T_876) @[Mux.scala 27:72] + node _T_907 = or(_T_906, _T_877) @[Mux.scala 27:72] + node _T_908 = or(_T_907, _T_878) @[Mux.scala 27:72] + node _T_909 = or(_T_908, _T_879) @[Mux.scala 27:72] + node _T_910 = or(_T_909, _T_880) @[Mux.scala 27:72] + node _T_911 = or(_T_910, _T_881) @[Mux.scala 27:72] + node _T_912 = or(_T_911, _T_882) @[Mux.scala 27:72] + node _T_913 = or(_T_912, _T_883) @[Mux.scala 27:72] + node _T_914 = or(_T_913, _T_884) @[Mux.scala 27:72] + node _T_915 = or(_T_914, _T_885) @[Mux.scala 27:72] + node _T_916 = or(_T_915, _T_886) @[Mux.scala 27:72] + node _T_917 = or(_T_916, _T_887) @[Mux.scala 27:72] + node _T_918 = or(_T_917, _T_888) @[Mux.scala 27:72] + node _T_919 = or(_T_918, _T_889) @[Mux.scala 27:72] + node _T_920 = or(_T_919, _T_890) @[Mux.scala 27:72] + node _T_921 = or(_T_920, _T_891) @[Mux.scala 27:72] + node _T_922 = or(_T_921, _T_892) @[Mux.scala 27:72] + node _T_923 = or(_T_922, _T_893) @[Mux.scala 27:72] + node _T_924 = or(_T_923, _T_894) @[Mux.scala 27:72] + node _T_925 = or(_T_924, _T_895) @[Mux.scala 27:72] + node _T_926 = or(_T_925, _T_896) @[Mux.scala 27:72] + node _T_927 = or(_T_926, _T_897) @[Mux.scala 27:72] + node _T_928 = or(_T_927, _T_898) @[Mux.scala 27:72] + node _T_929 = or(_T_928, _T_899) @[Mux.scala 27:72] + node _T_930 = or(_T_929, _T_900) @[Mux.scala 27:72] + wire _T_931 : UInt<32> @[Mux.scala 27:72] + _T_931 <= _T_930 @[Mux.scala 27:72] + io.gpr_exu.gpr_i0_rs2_d <= _T_931 @[dec_gpr_ctl.scala 65:32] + + extmodule gated_latch_51 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_51 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_51 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_52 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_52 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_52 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_53 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_53 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_53 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_54 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_54 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_54 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module dec_timer_ctl : + input clock : Clock + input reset : AsyncReset + output io : {flip free_clk : Clock, flip scan_mode : UInt<1>, flip dec_csr_wen_r_mod : UInt<1>, flip dec_csr_rdaddr_d : UInt<12>, flip dec_csr_wraddr_r : UInt<12>, flip dec_csr_wrdata_r : UInt<32>, flip csr_mitctl0 : UInt<1>, flip csr_mitctl1 : UInt<1>, flip csr_mitb0 : UInt<1>, flip csr_mitb1 : UInt<1>, flip csr_mitcnt0 : UInt<1>, flip csr_mitcnt1 : UInt<1>, flip dec_pause_state : UInt<1>, flip dec_tlu_pmu_fw_halted : UInt<1>, flip internal_dbg_halt_timers : UInt<1>, dec_timer_rddata_d : UInt<32>, dec_timer_read_d : UInt<1>, dec_timer_t0_pulse : UInt<1>, dec_timer_t1_pulse : UInt<1>} + + wire mitctl1 : UInt<4> + mitctl1 <= UInt<1>("h00") + wire mitctl0 : UInt<3> + mitctl0 <= UInt<1>("h00") + wire mitb1 : UInt<32> + mitb1 <= UInt<1>("h00") + wire mitb0 : UInt<32> + mitb0 <= UInt<1>("h00") + wire mitcnt1 : UInt<32> + mitcnt1 <= UInt<1>("h00") + wire mitcnt0 : UInt<32> + mitcnt0 <= UInt<1>("h00") + node mit0_match_ns = geq(mitcnt0, mitb0) @[dec_tlu_ctl.scala 2672:36] + node mit1_match_ns = geq(mitcnt1, mitb1) @[dec_tlu_ctl.scala 2673:36] + io.dec_timer_t0_pulse <= mit0_match_ns @[dec_tlu_ctl.scala 2675:31] + io.dec_timer_t1_pulse <= mit1_match_ns @[dec_tlu_ctl.scala 2676:31] + node _T = eq(io.dec_csr_wraddr_r, UInt<12>("h07d2")) @[dec_tlu_ctl.scala 2683:72] + node wr_mitcnt0_r = and(io.dec_csr_wen_r_mod, _T) @[dec_tlu_ctl.scala 2683:49] + node _T_1 = bits(mitctl0, 0, 0) @[dec_tlu_ctl.scala 2685:37] + node _T_2 = not(io.dec_pause_state) @[dec_tlu_ctl.scala 2685:56] + node _T_3 = bits(mitctl0, 2, 2) @[dec_tlu_ctl.scala 2685:85] + node _T_4 = or(_T_2, _T_3) @[dec_tlu_ctl.scala 2685:76] + node _T_5 = and(_T_1, _T_4) @[dec_tlu_ctl.scala 2685:53] + node _T_6 = not(io.dec_tlu_pmu_fw_halted) @[dec_tlu_ctl.scala 2685:112] + node _T_7 = bits(mitctl0, 1, 1) @[dec_tlu_ctl.scala 2685:147] + node _T_8 = or(_T_6, _T_7) @[dec_tlu_ctl.scala 2685:138] + node _T_9 = and(_T_5, _T_8) @[dec_tlu_ctl.scala 2685:109] + node _T_10 = not(io.internal_dbg_halt_timers) @[dec_tlu_ctl.scala 2685:173] + node mitcnt0_inc_ok = and(_T_9, _T_10) @[dec_tlu_ctl.scala 2685:171] + node _T_11 = add(mitcnt0, UInt<32>("h01")) @[dec_tlu_ctl.scala 2686:35] + node mitcnt0_inc = tail(_T_11, 1) @[dec_tlu_ctl.scala 2686:35] + node _T_12 = bits(mit0_match_ns, 0, 0) @[dec_tlu_ctl.scala 2687:44] + node _T_13 = bits(wr_mitcnt0_r, 0, 0) @[dec_tlu_ctl.scala 2687:74] + node _T_14 = mux(_T_13, io.dec_csr_wrdata_r, mitcnt0_inc) @[dec_tlu_ctl.scala 2687:60] + node mitcnt0_ns = mux(_T_12, UInt<1>("h00"), _T_14) @[dec_tlu_ctl.scala 2687:29] + node _T_15 = or(wr_mitcnt0_r, mitcnt0_inc_ok) @[dec_tlu_ctl.scala 2688:59] + node _T_16 = or(_T_15, mit0_match_ns) @[dec_tlu_ctl.scala 2688:76] + node _T_17 = bits(_T_16, 0, 0) @[dec_tlu_ctl.scala 2688:93] + inst rvclkhdr of rvclkhdr_51 @[lib.scala 368:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 370:18] + rvclkhdr.io.en <= _T_17 @[lib.scala 371:17] + rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_18 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_18 <= mitcnt0_ns @[lib.scala 374:16] + mitcnt0 <= _T_18 @[dec_tlu_ctl.scala 2688:25] + node _T_19 = eq(io.dec_csr_wraddr_r, UInt<12>("h07d5")) @[dec_tlu_ctl.scala 2695:72] + node wr_mitcnt1_r = and(io.dec_csr_wen_r_mod, _T_19) @[dec_tlu_ctl.scala 2695:49] + node _T_20 = bits(mitctl1, 0, 0) @[dec_tlu_ctl.scala 2697:37] + node _T_21 = not(io.dec_pause_state) @[dec_tlu_ctl.scala 2697:56] + node _T_22 = bits(mitctl1, 2, 2) @[dec_tlu_ctl.scala 2697:85] + node _T_23 = or(_T_21, _T_22) @[dec_tlu_ctl.scala 2697:76] + node _T_24 = and(_T_20, _T_23) @[dec_tlu_ctl.scala 2697:53] + node _T_25 = not(io.dec_tlu_pmu_fw_halted) @[dec_tlu_ctl.scala 2697:112] + node _T_26 = bits(mitctl1, 1, 1) @[dec_tlu_ctl.scala 2697:147] + node _T_27 = or(_T_25, _T_26) @[dec_tlu_ctl.scala 2697:138] + node _T_28 = and(_T_24, _T_27) @[dec_tlu_ctl.scala 2697:109] + node _T_29 = not(io.internal_dbg_halt_timers) @[dec_tlu_ctl.scala 2697:173] + node mitcnt1_inc_ok = and(_T_28, _T_29) @[dec_tlu_ctl.scala 2697:171] + node _T_30 = mux(UInt<1>("h00"), UInt<31>("h07fffffff"), UInt<31>("h00")) @[Bitwise.scala 72:12] + node _T_31 = bits(mitctl1, 3, 3) @[dec_tlu_ctl.scala 2700:68] + node _T_32 = not(_T_31) @[dec_tlu_ctl.scala 2700:60] + node _T_33 = or(_T_32, mit0_match_ns) @[dec_tlu_ctl.scala 2700:72] + node _T_34 = cat(_T_30, _T_33) @[Cat.scala 29:58] + node _T_35 = add(mitcnt1, _T_34) @[dec_tlu_ctl.scala 2700:35] + node mitcnt1_inc = tail(_T_35, 1) @[dec_tlu_ctl.scala 2700:35] + node _T_36 = bits(mit1_match_ns, 0, 0) @[dec_tlu_ctl.scala 2701:45] + node _T_37 = bits(wr_mitcnt1_r, 0, 0) @[dec_tlu_ctl.scala 2701:75] + node _T_38 = mux(_T_37, io.dec_csr_wrdata_r, mitcnt1_inc) @[dec_tlu_ctl.scala 2701:61] + node mitcnt1_ns = mux(_T_36, UInt<1>("h00"), _T_38) @[dec_tlu_ctl.scala 2701:30] + node _T_39 = or(wr_mitcnt1_r, mitcnt1_inc_ok) @[dec_tlu_ctl.scala 2702:60] + node _T_40 = or(_T_39, mit1_match_ns) @[dec_tlu_ctl.scala 2702:77] + node _T_41 = bits(_T_40, 0, 0) @[dec_tlu_ctl.scala 2702:94] + inst rvclkhdr_1 of rvclkhdr_52 @[lib.scala 368:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_1.io.en <= _T_41 @[lib.scala 371:17] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_42 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_42 <= mitcnt1_ns @[lib.scala 374:16] + mitcnt1 <= _T_42 @[dec_tlu_ctl.scala 2702:25] + node _T_43 = eq(io.dec_csr_wraddr_r, UInt<12>("h07d3")) @[dec_tlu_ctl.scala 2709:70] + node wr_mitb0_r = and(io.dec_csr_wen_r_mod, _T_43) @[dec_tlu_ctl.scala 2709:47] + node _T_44 = not(io.dec_csr_wrdata_r) @[dec_tlu_ctl.scala 2710:38] + node _T_45 = bits(wr_mitb0_r, 0, 0) @[dec_tlu_ctl.scala 2710:71] + inst rvclkhdr_2 of rvclkhdr_53 @[lib.scala 368:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_2.io.en <= _T_45 @[lib.scala 371:17] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg mitb0_b : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + mitb0_b <= _T_44 @[lib.scala 374:16] + node _T_46 = not(mitb0_b) @[dec_tlu_ctl.scala 2711:22] + mitb0 <= _T_46 @[dec_tlu_ctl.scala 2711:19] + node _T_47 = eq(io.dec_csr_wraddr_r, UInt<12>("h07d6")) @[dec_tlu_ctl.scala 2718:69] + node wr_mitb1_r = and(io.dec_csr_wen_r_mod, _T_47) @[dec_tlu_ctl.scala 2718:47] + node _T_48 = not(io.dec_csr_wrdata_r) @[dec_tlu_ctl.scala 2719:29] + node _T_49 = bits(wr_mitb1_r, 0, 0) @[dec_tlu_ctl.scala 2719:62] + inst rvclkhdr_3 of rvclkhdr_54 @[lib.scala 368:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_3.io.en <= _T_49 @[lib.scala 371:17] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg mitb1_b : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + mitb1_b <= _T_48 @[lib.scala 374:16] + node _T_50 = not(mitb1_b) @[dec_tlu_ctl.scala 2720:18] + mitb1 <= _T_50 @[dec_tlu_ctl.scala 2720:15] + node _T_51 = eq(io.dec_csr_wraddr_r, UInt<12>("h07d4")) @[dec_tlu_ctl.scala 2731:72] + node wr_mitctl0_r = and(io.dec_csr_wen_r_mod, _T_51) @[dec_tlu_ctl.scala 2731:49] + node _T_52 = bits(wr_mitctl0_r, 0, 0) @[dec_tlu_ctl.scala 2732:45] + node _T_53 = bits(io.dec_csr_wrdata_r, 2, 0) @[dec_tlu_ctl.scala 2732:72] + node _T_54 = bits(mitctl0, 2, 0) @[dec_tlu_ctl.scala 2732:86] + node mitctl0_ns = mux(_T_52, _T_53, _T_54) @[dec_tlu_ctl.scala 2732:31] + node _T_55 = bits(mitctl0_ns, 0, 0) @[dec_tlu_ctl.scala 2734:41] + node mitctl0_0_b_ns = not(_T_55) @[dec_tlu_ctl.scala 2734:30] + reg mitctl0_0_b : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2735:60] + mitctl0_0_b <= mitctl0_0_b_ns @[dec_tlu_ctl.scala 2735:60] + node _T_56 = bits(mitctl0_ns, 2, 1) @[dec_tlu_ctl.scala 2736:78] + reg _T_57 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2736:67] + _T_57 <= _T_56 @[dec_tlu_ctl.scala 2736:67] + node _T_58 = not(mitctl0_0_b) @[dec_tlu_ctl.scala 2736:90] + node _T_59 = cat(_T_57, _T_58) @[Cat.scala 29:58] + mitctl0 <= _T_59 @[dec_tlu_ctl.scala 2736:31] + node _T_60 = eq(io.dec_csr_wraddr_r, UInt<12>("h07d7")) @[dec_tlu_ctl.scala 2746:71] + node wr_mitctl1_r = and(io.dec_csr_wen_r_mod, _T_60) @[dec_tlu_ctl.scala 2746:49] + node _T_61 = bits(wr_mitctl1_r, 0, 0) @[dec_tlu_ctl.scala 2747:45] + node _T_62 = bits(io.dec_csr_wrdata_r, 3, 0) @[dec_tlu_ctl.scala 2747:71] + node _T_63 = bits(mitctl1, 3, 0) @[dec_tlu_ctl.scala 2747:85] + node mitctl1_ns = mux(_T_61, _T_62, _T_63) @[dec_tlu_ctl.scala 2747:31] + node _T_64 = bits(mitctl1_ns, 0, 0) @[dec_tlu_ctl.scala 2748:40] + node mitctl1_0_b_ns = not(_T_64) @[dec_tlu_ctl.scala 2748:29] + reg mitctl1_0_b : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2749:55] + mitctl1_0_b <= mitctl1_0_b_ns @[dec_tlu_ctl.scala 2749:55] + node _T_65 = bits(mitctl1_ns, 3, 1) @[dec_tlu_ctl.scala 2750:63] + reg _T_66 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2750:52] + _T_66 <= _T_65 @[dec_tlu_ctl.scala 2750:52] + node _T_67 = not(mitctl1_0_b) @[dec_tlu_ctl.scala 2750:75] + node _T_68 = cat(_T_66, _T_67) @[Cat.scala 29:58] + mitctl1 <= _T_68 @[dec_tlu_ctl.scala 2750:16] + node _T_69 = or(io.csr_mitcnt1, io.csr_mitcnt0) @[dec_tlu_ctl.scala 2752:51] + node _T_70 = or(_T_69, io.csr_mitb1) @[dec_tlu_ctl.scala 2752:68] + node _T_71 = or(_T_70, io.csr_mitb0) @[dec_tlu_ctl.scala 2752:83] + node _T_72 = or(_T_71, io.csr_mitctl0) @[dec_tlu_ctl.scala 2752:98] + node _T_73 = or(_T_72, io.csr_mitctl1) @[dec_tlu_ctl.scala 2752:115] + io.dec_timer_read_d <= _T_73 @[dec_tlu_ctl.scala 2752:33] + node _T_74 = bits(io.csr_mitcnt0, 0, 0) @[dec_tlu_ctl.scala 2754:25] + node _T_75 = bits(mitcnt0, 31, 0) @[dec_tlu_ctl.scala 2754:44] + node _T_76 = bits(io.csr_mitcnt1, 0, 0) @[dec_tlu_ctl.scala 2755:32] + node _T_77 = bits(io.csr_mitb0, 0, 0) @[dec_tlu_ctl.scala 2756:30] + node _T_78 = bits(io.csr_mitb1, 0, 0) @[dec_tlu_ctl.scala 2757:30] + node _T_79 = bits(io.csr_mitctl0, 0, 0) @[dec_tlu_ctl.scala 2758:32] + node _T_80 = mux(UInt<1>("h00"), UInt<29>("h01fffffff"), UInt<29>("h00")) @[Bitwise.scala 72:12] + node _T_81 = cat(_T_80, mitctl0) @[Cat.scala 29:58] + node _T_82 = bits(io.csr_mitctl1, 0, 0) @[dec_tlu_ctl.scala 2759:32] + node _T_83 = mux(UInt<1>("h00"), UInt<28>("h0fffffff"), UInt<28>("h00")) @[Bitwise.scala 72:12] + node _T_84 = cat(_T_83, mitctl1) @[Cat.scala 29:58] + node _T_85 = mux(_T_74, _T_75, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_86 = mux(_T_76, mitcnt1, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_87 = mux(_T_77, mitb0, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_88 = mux(_T_78, mitb1, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_89 = mux(_T_79, _T_81, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_90 = mux(_T_82, _T_84, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_91 = or(_T_85, _T_86) @[Mux.scala 27:72] + node _T_92 = or(_T_91, _T_87) @[Mux.scala 27:72] + node _T_93 = or(_T_92, _T_88) @[Mux.scala 27:72] + node _T_94 = or(_T_93, _T_89) @[Mux.scala 27:72] + node _T_95 = or(_T_94, _T_90) @[Mux.scala 27:72] + wire _T_96 : UInt<32> @[Mux.scala 27:72] + _T_96 <= _T_95 @[Mux.scala 27:72] + io.dec_timer_rddata_d <= _T_96 @[dec_tlu_ctl.scala 2753:33] + + extmodule gated_latch_55 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_55 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_55 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_56 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_56 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_56 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_57 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_57 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_57 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_58 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_58 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_58 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_59 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_59 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_59 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_60 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_60 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_60 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_61 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_61 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_61 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_62 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_62 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_62 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_63 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_63 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_63 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_64 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_64 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_64 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_65 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_65 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_65 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_66 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_66 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_66 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_67 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_67 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_67 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_68 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_68 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_68 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_69 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_69 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_69 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_70 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_70 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_70 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_71 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_71 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_71 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_72 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_72 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_72 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_73 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_73 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_73 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_74 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_74 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_74 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_75 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_75 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_75 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_76 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_76 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_76 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_77 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_77 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_77 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_78 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_78 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_78 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_79 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_79 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_79 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_80 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_80 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_80 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_81 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_81 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_81 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_82 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_82 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_82 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_83 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_83 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_83 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_84 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_84 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_84 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_85 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_85 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_85 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_86 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_86 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_86 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_87 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_87 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_87 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_88 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_88 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_88 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_89 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_89 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_89 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_90 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_90 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_90 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_91 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_91 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_91 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_92 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_92 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_92 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_93 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_93 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_93 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module csr_tlu : + input clock : Clock + input reset : AsyncReset + output io : {flip free_clk : Clock, flip active_clk : Clock, flip scan_mode : UInt<1>, flip dec_csr_wrdata_r : UInt<32>, flip dec_csr_wraddr_r : UInt<12>, flip dec_csr_rdaddr_d : UInt<12>, flip dec_csr_wen_unq_d : UInt<1>, flip dec_i0_decode_d : UInt<1>, dec_tlu_ic_diag_pkt : {icache_wrdata : UInt<71>, icache_dicawics : UInt<17>, icache_rd_valid : UInt<1>, icache_wr_valid : UInt<1>}, flip ifu_ic_debug_rd_data_valid : UInt<1>, trigger_pkt_any : {select : UInt<1>, match_pkt : UInt<1>, store : UInt<1>, load : UInt<1>, execute : UInt<1>, m : UInt<1>, tdata2 : UInt<32>}[4], flip ifu_pmu_bus_trxn : UInt<1>, flip dma_iccm_stall_any : UInt<1>, flip dma_dccm_stall_any : UInt<1>, flip lsu_store_stall_any : UInt<1>, flip dec_pmu_presync_stall : UInt<1>, flip dec_pmu_postsync_stall : UInt<1>, flip dec_pmu_decode_stall : UInt<1>, flip ifu_pmu_fetch_stall : UInt<1>, flip dec_tlu_packet_r : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>}, flip exu_pmu_i0_br_ataken : UInt<1>, flip exu_pmu_i0_br_misp : UInt<1>, flip dec_pmu_instr_decoded : UInt<1>, flip ifu_pmu_instr_aligned : UInt<1>, flip exu_pmu_i0_pc4 : UInt<1>, flip ifu_pmu_ic_miss : UInt<1>, flip ifu_pmu_ic_hit : UInt<1>, dec_tlu_int_valid_wb1 : UInt<1>, dec_tlu_i0_exc_valid_wb1 : UInt<1>, dec_tlu_i0_valid_wb1 : UInt<1>, flip dec_csr_wen_r : UInt<1>, dec_tlu_mtval_wb1 : UInt<32>, dec_tlu_exc_cause_wb1 : UInt<5>, dec_tlu_perfcnt0 : UInt<1>, dec_tlu_perfcnt1 : UInt<1>, dec_tlu_perfcnt2 : UInt<1>, dec_tlu_perfcnt3 : UInt<1>, flip dec_tlu_dbg_halted : UInt<1>, flip dma_pmu_dccm_write : UInt<1>, flip dma_pmu_dccm_read : UInt<1>, flip dma_pmu_any_write : UInt<1>, flip dma_pmu_any_read : UInt<1>, flip lsu_pmu_bus_busy : UInt<1>, flip dec_tlu_i0_pc_r : UInt<31>, flip dec_tlu_i0_valid_r : UInt<1>, flip dec_csr_stall_int_ff : UInt<1>, flip dec_csr_any_unq_d : UInt<1>, dec_tlu_misc_clk_override : UInt<1>, dec_tlu_dec_clk_override : UInt<1>, dec_tlu_ifu_clk_override : UInt<1>, dec_tlu_lsu_clk_override : UInt<1>, dec_tlu_bus_clk_override : UInt<1>, dec_tlu_pic_clk_override : UInt<1>, dec_tlu_dccm_clk_override : UInt<1>, dec_tlu_icm_clk_override : UInt<1>, dec_csr_rddata_d : UInt<32>, dec_tlu_pipelining_disable : UInt<1>, dec_tlu_wr_pause_r : UInt<1>, flip ifu_pmu_bus_busy : UInt<1>, flip lsu_pmu_bus_error : UInt<1>, flip ifu_pmu_bus_error : UInt<1>, flip lsu_pmu_bus_misaligned : UInt<1>, flip lsu_pmu_bus_trxn : UInt<1>, flip ifu_ic_debug_rd_data : UInt<71>, dec_tlu_meipt : UInt<4>, flip pic_pl : UInt<4>, dec_tlu_meicurpl : UInt<4>, dec_tlu_meihap : UInt<30>, flip pic_claimid : UInt<8>, flip iccm_dma_sb_error : UInt<1>, flip lsu_imprecise_error_addr_any : UInt<32>, flip lsu_imprecise_error_load_any : UInt<1>, flip lsu_imprecise_error_store_any : UInt<1>, dec_tlu_mrac_ff : UInt<32>, dec_tlu_wb_coalescing_disable : UInt<1>, dec_tlu_bpred_disable : UInt<1>, dec_tlu_sideeffect_posted_disable : UInt<1>, dec_tlu_core_ecc_disable : UInt<1>, dec_tlu_external_ldfwd_disable : UInt<1>, dec_tlu_dma_qos_prty : UInt<3>, flip dec_illegal_inst : UInt<32>, flip lsu_error_pkt_r : {valid : UInt<1>, bits : {single_ecc_error : UInt<1>, inst_type : UInt<1>, exc_type : UInt<1>, mscause : UInt<4>, addr : UInt<32>}}, flip mexintpend : UInt<1>, flip exu_npc_r : UInt<31>, flip mpc_reset_run_req : UInt<1>, flip rst_vec : UInt<31>, flip core_id : UInt<28>, flip dec_timer_rddata_d : UInt<32>, flip dec_timer_read_d : UInt<1>, dec_csr_wen_r_mod : UInt<1>, flip rfpc_i0_r : UInt<1>, flip i0_trigger_hit_r : UInt<1>, fw_halt_req : UInt<1>, mstatus : UInt<2>, flip exc_or_int_valid_r : UInt<1>, flip mret_r : UInt<1>, mstatus_mie_ns : UInt<1>, flip dcsr_single_step_running_f : UInt<1>, dcsr : UInt<16>, mtvec : UInt<31>, mip : UInt<6>, flip dec_timer_t0_pulse : UInt<1>, flip dec_timer_t1_pulse : UInt<1>, flip timer_int_sync : UInt<1>, flip soft_int_sync : UInt<1>, mie_ns : UInt<6>, flip csr_wr_clk : Clock, flip ebreak_to_debug_mode_r : UInt<1>, flip dec_tlu_pmu_fw_halted : UInt<1>, flip lsu_fir_error : UInt<2>, npc_r : UInt<31>, flip tlu_flush_lower_r_d1 : UInt<1>, flip dec_tlu_flush_noredir_r_d1 : UInt<1>, flip tlu_flush_path_r_d1 : UInt<31>, npc_r_d1 : UInt<31>, flip reset_delayed : UInt<1>, mepc : UInt<31>, flip interrupt_valid_r : UInt<1>, flip i0_exception_valid_r : UInt<1>, flip lsu_exc_valid_r : UInt<1>, flip mepc_trigger_hit_sel_pc_r : UInt<1>, flip e4e5_int_clk : Clock, flip lsu_i0_exc_r : UInt<1>, flip inst_acc_r : UInt<1>, flip inst_acc_second_r : UInt<1>, flip take_nmi : UInt<1>, flip lsu_error_pkt_addr_r : UInt<32>, flip exc_cause_r : UInt<5>, flip i0_valid_wb : UInt<1>, flip exc_or_int_valid_r_d1 : UInt<1>, flip interrupt_valid_r_d1 : UInt<1>, flip clk_override : UInt<1>, flip i0_exception_valid_r_d1 : UInt<1>, flip lsu_i0_exc_r_d1 : UInt<1>, flip exc_cause_wb : UInt<5>, flip nmi_lsu_store_type : UInt<1>, flip nmi_lsu_load_type : UInt<1>, flip tlu_i0_commit_cmt : UInt<1>, flip ebreak_r : UInt<1>, flip ecall_r : UInt<1>, flip illegal_r : UInt<1>, mdseac_locked_ns : UInt<1>, flip mdseac_locked_f : UInt<1>, flip nmi_int_detected_f : UInt<1>, flip internal_dbg_halt_mode_f2 : UInt<1>, flip ext_int_freeze_d1 : UInt<1>, flip ic_perr_r_d1 : UInt<1>, flip iccm_sbecc_r_d1 : UInt<1>, flip lsu_single_ecc_error_r_d1 : UInt<1>, flip ifu_miss_state_idle_f : UInt<1>, flip lsu_idle_any_f : UInt<1>, flip dbg_tlu_halted_f : UInt<1>, flip dbg_tlu_halted : UInt<1>, flip debug_halt_req_f : UInt<1>, force_halt : UInt<1>, flip take_ext_int_start : UInt<1>, flip trigger_hit_dmode_r_d1 : UInt<1>, flip trigger_hit_r_d1 : UInt<1>, flip dcsr_single_step_done_f : UInt<1>, flip ebreak_to_debug_mode_r_d1 : UInt<1>, flip debug_halt_req : UInt<1>, flip allow_dbg_halt_csr_write : UInt<1>, flip internal_dbg_halt_mode_f : UInt<1>, flip enter_debug_halt_req : UInt<1>, flip internal_dbg_halt_mode : UInt<1>, flip request_debug_mode_done : UInt<1>, flip request_debug_mode_r : UInt<1>, dpc : UInt<31>, flip update_hit_bit_r : UInt<4>, flip take_timer_int : UInt<1>, flip take_int_timer0_int : UInt<1>, flip take_int_timer1_int : UInt<1>, flip take_ext_int : UInt<1>, flip tlu_flush_lower_r : UInt<1>, flip dec_tlu_br0_error_r : UInt<1>, flip dec_tlu_br0_start_error_r : UInt<1>, flip lsu_pmu_load_external_r : UInt<1>, flip lsu_pmu_store_external_r : UInt<1>, flip csr_pkt : {csr_misa : UInt<1>, csr_mvendorid : UInt<1>, csr_marchid : UInt<1>, csr_mimpid : UInt<1>, csr_mhartid : UInt<1>, csr_mstatus : UInt<1>, csr_mtvec : UInt<1>, csr_mip : UInt<1>, csr_mie : UInt<1>, csr_mcyclel : UInt<1>, csr_mcycleh : UInt<1>, csr_minstretl : UInt<1>, csr_minstreth : UInt<1>, csr_mscratch : UInt<1>, csr_mepc : UInt<1>, csr_mcause : UInt<1>, csr_mscause : UInt<1>, csr_mtval : UInt<1>, csr_mrac : UInt<1>, csr_dmst : UInt<1>, csr_mdseac : UInt<1>, csr_meihap : UInt<1>, csr_meivt : UInt<1>, csr_meipt : UInt<1>, csr_meicurpl : UInt<1>, csr_meicidpl : UInt<1>, csr_dcsr : UInt<1>, csr_mcgc : UInt<1>, csr_mfdc : UInt<1>, csr_dpc : UInt<1>, csr_mtsel : UInt<1>, csr_mtdata1 : UInt<1>, csr_mtdata2 : UInt<1>, csr_mhpmc3 : UInt<1>, csr_mhpmc4 : UInt<1>, csr_mhpmc5 : UInt<1>, csr_mhpmc6 : UInt<1>, csr_mhpmc3h : UInt<1>, csr_mhpmc4h : UInt<1>, csr_mhpmc5h : UInt<1>, csr_mhpmc6h : UInt<1>, csr_mhpme3 : UInt<1>, csr_mhpme4 : UInt<1>, csr_mhpme5 : UInt<1>, csr_mhpme6 : UInt<1>, csr_mcountinhibit : UInt<1>, csr_mitctl0 : UInt<1>, csr_mitctl1 : UInt<1>, csr_mitb0 : UInt<1>, csr_mitb1 : UInt<1>, csr_mitcnt0 : UInt<1>, csr_mitcnt1 : UInt<1>, csr_mpmc : UInt<1>, csr_mcpc : UInt<1>, csr_meicpct : UInt<1>, csr_mdeau : UInt<1>, csr_micect : UInt<1>, csr_miccmect : UInt<1>, csr_mdccmect : UInt<1>, csr_mfdht : UInt<1>, csr_mfdhs : UInt<1>, csr_dicawics : UInt<1>, csr_dicad0h : UInt<1>, csr_dicad0 : UInt<1>, csr_dicad1 : UInt<1>, csr_dicago : UInt<1>, presync : UInt<1>, postsync : UInt<1>, legal : UInt<1>}, mtdata1_t : UInt<10>[4]} + + wire miccme_ce_req : UInt<1> + miccme_ce_req <= UInt<1>("h00") + wire mice_ce_req : UInt<1> + mice_ce_req <= UInt<1>("h00") + wire mdccme_ce_req : UInt<1> + mdccme_ce_req <= UInt<1>("h00") + wire pc_r_d1 : UInt<31> + pc_r_d1 <= UInt<1>("h00") + wire mpmc_b_ns : UInt<1> + mpmc_b_ns <= UInt<1>("h00") + wire mpmc_b : UInt<1> + mpmc_b <= UInt<1>("h00") + wire wr_mcycleh_r : UInt<1> + wr_mcycleh_r <= UInt<1>("h00") + wire mcycleh : UInt<32> + mcycleh <= UInt<1>("h00") + wire minstretl_inc : UInt<33> + minstretl_inc <= UInt<1>("h00") + wire wr_minstreth_r : UInt<1> + wr_minstreth_r <= UInt<1>("h00") + wire minstretl : UInt<32> + minstretl <= UInt<1>("h00") + wire minstreth_inc : UInt<32> + minstreth_inc <= UInt<1>("h00") + wire minstreth : UInt<32> + minstreth <= UInt<1>("h00") + wire mfdc_ns : UInt<15> + mfdc_ns <= UInt<1>("h00") + wire mfdc_int : UInt<15> + mfdc_int <= UInt<1>("h00") + wire mhpmc6_incr : UInt<64> + mhpmc6_incr <= UInt<1>("h00") + wire mhpmc5_incr : UInt<64> + mhpmc5_incr <= UInt<1>("h00") + wire mhpmc4_incr : UInt<64> + mhpmc4_incr <= UInt<1>("h00") + wire perfcnt_halted : UInt<1> + perfcnt_halted <= UInt<1>("h00") + wire mhpmc3_incr : UInt<64> + mhpmc3_incr <= UInt<1>("h00") + wire mhpme_vec : UInt<10>[4] @[dec_tlu_ctl.scala 1393:41] + wire mtdata2_t : UInt<32>[4] @[dec_tlu_ctl.scala 1394:65] + wire wr_meicpct_r : UInt<1> + wr_meicpct_r <= UInt<1>("h00") + wire force_halt_ctr_f : UInt<32> + force_halt_ctr_f <= UInt<1>("h00") + wire mdccmect_inc : UInt<27> + mdccmect_inc <= UInt<1>("h00") + wire miccmect_inc : UInt<27> + miccmect_inc <= UInt<1>("h00") + wire micect_inc : UInt<27> + micect_inc <= UInt<1>("h00") + wire mdseac_en : UInt<1> + mdseac_en <= UInt<1>("h00") + wire mie : UInt<6> + mie <= UInt<1>("h00") + wire mcyclel : UInt<32> + mcyclel <= UInt<1>("h00") + wire mscratch : UInt<32> + mscratch <= UInt<1>("h00") + wire mcause : UInt<32> + mcause <= UInt<1>("h00") + wire mscause : UInt<4> + mscause <= UInt<1>("h00") + wire mtval : UInt<32> + mtval <= UInt<1>("h00") + wire meicurpl : UInt<4> + meicurpl <= UInt<1>("h00") + wire meicidpl : UInt<4> + meicidpl <= UInt<1>("h00") + wire meipt : UInt<4> + meipt <= UInt<1>("h00") + wire mfdc : UInt<19> + mfdc <= UInt<1>("h00") + wire mtsel : UInt<2> + mtsel <= UInt<1>("h00") + wire micect : UInt<32> + micect <= UInt<1>("h00") + wire miccmect : UInt<32> + miccmect <= UInt<1>("h00") + wire mdccmect : UInt<32> + mdccmect <= UInt<1>("h00") + wire mhpmc3h : UInt<32> + mhpmc3h <= UInt<1>("h00") + wire mhpmc3 : UInt<32> + mhpmc3 <= UInt<1>("h00") + wire mhpmc4h : UInt<32> + mhpmc4h <= UInt<1>("h00") + wire mhpmc4 : UInt<32> + mhpmc4 <= UInt<1>("h00") + wire mhpmc5h : UInt<32> + mhpmc5h <= UInt<1>("h00") + wire mhpmc5 : UInt<32> + mhpmc5 <= UInt<1>("h00") + wire mhpmc6h : UInt<32> + mhpmc6h <= UInt<1>("h00") + wire mhpmc6 : UInt<32> + mhpmc6 <= UInt<1>("h00") + wire mhpme3 : UInt<10> + mhpme3 <= UInt<1>("h00") + wire mhpme4 : UInt<10> + mhpme4 <= UInt<1>("h00") + wire mhpme5 : UInt<10> + mhpme5 <= UInt<1>("h00") + wire mhpme6 : UInt<10> + mhpme6 <= UInt<1>("h00") + wire mfdht : UInt<6> + mfdht <= UInt<1>("h00") + wire mfdhs : UInt<2> + mfdhs <= UInt<1>("h00") + wire mcountinhibit : UInt<7> + mcountinhibit <= UInt<1>("h00") + wire mpmc : UInt<1> + mpmc <= UInt<1>("h00") + wire dicad1 : UInt<32> + dicad1 <= UInt<1>("h00") + node _T = eq(io.i0_trigger_hit_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1449:45] + node _T_1 = and(io.dec_csr_wen_r, _T) @[dec_tlu_ctl.scala 1449:43] + node _T_2 = eq(io.rfpc_i0_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1449:68] + node _T_3 = and(_T_1, _T_2) @[dec_tlu_ctl.scala 1449:66] + io.dec_csr_wen_r_mod <= _T_3 @[dec_tlu_ctl.scala 1449:23] + node _T_4 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1450:64] + node _T_5 = eq(_T_4, UInt<12>("h0300")) @[dec_tlu_ctl.scala 1450:71] + node wr_mstatus_r = and(io.dec_csr_wen_r_mod, _T_5) @[dec_tlu_ctl.scala 1450:42] + node _T_6 = eq(mpmc_b_ns, UInt<1>("h00")) @[dec_tlu_ctl.scala 1453:28] + node set_mie_pmu_fw_halt = and(_T_6, io.fw_halt_req) @[dec_tlu_ctl.scala 1453:39] + node _T_7 = eq(wr_mstatus_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1456:5] + node _T_8 = and(_T_7, io.exc_or_int_valid_r) @[dec_tlu_ctl.scala 1456:19] + node _T_9 = bits(_T_8, 0, 0) @[dec_tlu_ctl.scala 1456:44] + node _T_10 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 1456:68] + node _T_11 = bits(_T_10, 0, 0) @[dec_tlu_ctl.scala 1456:68] + node _T_12 = cat(_T_11, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_13 = and(wr_mstatus_r, io.exc_or_int_valid_r) @[dec_tlu_ctl.scala 1457:18] + node _T_14 = bits(_T_13, 0, 0) @[dec_tlu_ctl.scala 1457:43] + node _T_15 = bits(io.dec_csr_wrdata_r, 3, 3) @[dec_tlu_ctl.scala 1457:76] + node _T_16 = cat(_T_15, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_17 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1458:17] + node _T_18 = and(io.mret_r, _T_17) @[dec_tlu_ctl.scala 1458:15] + node _T_19 = bits(_T_18, 0, 0) @[dec_tlu_ctl.scala 1458:41] + node _T_20 = bits(io.mstatus, 1, 1) @[dec_tlu_ctl.scala 1458:70] + node _T_21 = cat(UInt<1>("h01"), _T_20) @[Cat.scala 29:58] + node _T_22 = bits(set_mie_pmu_fw_halt, 0, 0) @[dec_tlu_ctl.scala 1459:26] + node _T_23 = bits(io.mstatus, 1, 1) @[dec_tlu_ctl.scala 1459:50] + node _T_24 = cat(_T_23, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_25 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1460:20] + node _T_26 = and(wr_mstatus_r, _T_25) @[dec_tlu_ctl.scala 1460:18] + node _T_27 = bits(_T_26, 0, 0) @[dec_tlu_ctl.scala 1460:44] + node _T_28 = bits(io.dec_csr_wrdata_r, 7, 7) @[dec_tlu_ctl.scala 1460:77] + node _T_29 = bits(io.dec_csr_wrdata_r, 3, 3) @[dec_tlu_ctl.scala 1460:101] + node _T_30 = cat(_T_28, _T_29) @[Cat.scala 29:58] + node _T_31 = eq(wr_mstatus_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1461:5] + node _T_32 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1461:21] + node _T_33 = and(_T_31, _T_32) @[dec_tlu_ctl.scala 1461:19] + node _T_34 = eq(io.mret_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1461:46] + node _T_35 = and(_T_33, _T_34) @[dec_tlu_ctl.scala 1461:44] + node _T_36 = eq(set_mie_pmu_fw_halt, UInt<1>("h00")) @[dec_tlu_ctl.scala 1461:59] + node _T_37 = and(_T_35, _T_36) @[dec_tlu_ctl.scala 1461:57] + node _T_38 = bits(_T_37, 0, 0) @[dec_tlu_ctl.scala 1461:81] + node _T_39 = mux(_T_9, _T_12, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_40 = mux(_T_14, _T_16, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_41 = mux(_T_19, _T_21, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_42 = mux(_T_22, _T_24, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_43 = mux(_T_27, _T_30, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_44 = mux(_T_38, io.mstatus, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_45 = or(_T_39, _T_40) @[Mux.scala 27:72] + node _T_46 = or(_T_45, _T_41) @[Mux.scala 27:72] + node _T_47 = or(_T_46, _T_42) @[Mux.scala 27:72] + node _T_48 = or(_T_47, _T_43) @[Mux.scala 27:72] + node _T_49 = or(_T_48, _T_44) @[Mux.scala 27:72] + wire mstatus_ns : UInt<2> @[Mux.scala 27:72] + mstatus_ns <= _T_49 @[Mux.scala 27:72] + node _T_50 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 1464:33] + node _T_51 = bits(_T_50, 0, 0) @[dec_tlu_ctl.scala 1464:33] + node _T_52 = not(io.dcsr_single_step_running_f) @[dec_tlu_ctl.scala 1464:50] + node _T_53 = bits(io.dcsr, 11, 11) @[dec_tlu_ctl.scala 1464:90] + node _T_54 = or(_T_52, _T_53) @[dec_tlu_ctl.scala 1464:81] + node _T_55 = and(_T_51, _T_54) @[dec_tlu_ctl.scala 1464:47] + io.mstatus_mie_ns <= _T_55 @[dec_tlu_ctl.scala 1464:20] + reg _T_56 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1466:11] + _T_56 <= mstatus_ns @[dec_tlu_ctl.scala 1466:11] + io.mstatus <= _T_56 @[dec_tlu_ctl.scala 1465:13] + node _T_57 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1475:62] + node _T_58 = eq(_T_57, UInt<12>("h0305")) @[dec_tlu_ctl.scala 1475:69] + node wr_mtvec_r = and(io.dec_csr_wen_r_mod, _T_58) @[dec_tlu_ctl.scala 1475:40] + node _T_59 = bits(io.dec_csr_wrdata_r, 31, 2) @[dec_tlu_ctl.scala 1476:40] + node _T_60 = bits(io.dec_csr_wrdata_r, 0, 0) @[dec_tlu_ctl.scala 1476:68] + node mtvec_ns = cat(_T_59, _T_60) @[Cat.scala 29:58] + node _T_61 = bits(wr_mtvec_r, 0, 0) @[dec_tlu_ctl.scala 1477:42] + inst rvclkhdr of rvclkhdr_59 @[lib.scala 368:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 370:18] + rvclkhdr.io.en <= _T_61 @[lib.scala 371:17] + rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_62 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_62 <= mtvec_ns @[lib.scala 374:16] + io.mtvec <= _T_62 @[dec_tlu_ctl.scala 1477:11] + node _T_63 = or(mdccme_ce_req, miccme_ce_req) @[dec_tlu_ctl.scala 1489:30] + node ce_int = or(_T_63, mice_ce_req) @[dec_tlu_ctl.scala 1489:46] + node _T_64 = cat(io.mexintpend, io.timer_int_sync) @[Cat.scala 29:58] + node _T_65 = cat(_T_64, io.soft_int_sync) @[Cat.scala 29:58] + node _T_66 = cat(ce_int, io.dec_timer_t0_pulse) @[Cat.scala 29:58] + node _T_67 = cat(_T_66, io.dec_timer_t1_pulse) @[Cat.scala 29:58] + node mip_ns = cat(_T_67, _T_65) @[Cat.scala 29:58] + reg _T_68 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1493:11] + _T_68 <= mip_ns @[dec_tlu_ctl.scala 1493:11] + io.mip <= _T_68 @[dec_tlu_ctl.scala 1492:9] + node _T_69 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1505:60] + node _T_70 = eq(_T_69, UInt<12>("h0304")) @[dec_tlu_ctl.scala 1505:67] + node wr_mie_r = and(io.dec_csr_wen_r_mod, _T_70) @[dec_tlu_ctl.scala 1505:38] + node _T_71 = bits(wr_mie_r, 0, 0) @[dec_tlu_ctl.scala 1506:28] + node _T_72 = bits(io.dec_csr_wrdata_r, 30, 28) @[dec_tlu_ctl.scala 1506:59] + node _T_73 = bits(io.dec_csr_wrdata_r, 11, 11) @[dec_tlu_ctl.scala 1506:88] + node _T_74 = bits(io.dec_csr_wrdata_r, 7, 7) @[dec_tlu_ctl.scala 1506:113] + node _T_75 = bits(io.dec_csr_wrdata_r, 3, 3) @[dec_tlu_ctl.scala 1506:137] + node _T_76 = cat(_T_74, _T_75) @[Cat.scala 29:58] + node _T_77 = cat(_T_72, _T_73) @[Cat.scala 29:58] + node _T_78 = cat(_T_77, _T_76) @[Cat.scala 29:58] + node _T_79 = mux(_T_71, _T_78, mie) @[dec_tlu_ctl.scala 1506:18] + io.mie_ns <= _T_79 @[dec_tlu_ctl.scala 1506:12] + reg _T_80 : UInt, io.csr_wr_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1508:11] + _T_80 <= io.mie_ns @[dec_tlu_ctl.scala 1508:11] + mie <= _T_80 @[dec_tlu_ctl.scala 1507:6] + node _T_81 = bits(io.dcsr, 10, 10) @[dec_tlu_ctl.scala 1515:63] + node kill_ebreak_count_r = and(io.ebreak_to_debug_mode_r, _T_81) @[dec_tlu_ctl.scala 1515:54] + node _T_82 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1517:64] + node _T_83 = eq(_T_82, UInt<12>("h0b00")) @[dec_tlu_ctl.scala 1517:71] + node wr_mcyclel_r = and(io.dec_csr_wen_r_mod, _T_83) @[dec_tlu_ctl.scala 1517:42] + node _T_84 = bits(io.dcsr, 10, 10) @[dec_tlu_ctl.scala 1519:80] + node _T_85 = and(io.dec_tlu_dbg_halted, _T_84) @[dec_tlu_ctl.scala 1519:71] + node _T_86 = or(kill_ebreak_count_r, _T_85) @[dec_tlu_ctl.scala 1519:46] + node _T_87 = or(_T_86, io.dec_tlu_pmu_fw_halted) @[dec_tlu_ctl.scala 1519:94] + node _T_88 = bits(mcountinhibit, 0, 0) @[dec_tlu_ctl.scala 1519:136] + node _T_89 = or(_T_87, _T_88) @[dec_tlu_ctl.scala 1519:121] + node mcyclel_cout_in = not(_T_89) @[dec_tlu_ctl.scala 1519:24] + wire mcyclel_inc : UInt<33> + mcyclel_inc <= UInt<1>("h00") + node _T_90 = cat(UInt<31>("h00"), mcyclel_cout_in) @[Cat.scala 29:58] + node _T_91 = add(mcyclel, _T_90) @[dec_tlu_ctl.scala 1523:25] + mcyclel_inc <= _T_91 @[dec_tlu_ctl.scala 1523:14] + node _T_92 = bits(wr_mcyclel_r, 0, 0) @[dec_tlu_ctl.scala 1524:36] + node _T_93 = bits(mcyclel_inc, 31, 0) @[dec_tlu_ctl.scala 1524:76] + node mcyclel_ns = mux(_T_92, io.dec_csr_wrdata_r, _T_93) @[dec_tlu_ctl.scala 1524:22] + node _T_94 = bits(mcyclel_inc, 32, 32) @[dec_tlu_ctl.scala 1525:32] + node mcyclel_cout = bits(_T_94, 0, 0) @[dec_tlu_ctl.scala 1525:37] + node _T_95 = or(wr_mcyclel_r, mcyclel_cout_in) @[dec_tlu_ctl.scala 1526:46] + node _T_96 = bits(_T_95, 0, 0) @[dec_tlu_ctl.scala 1526:72] + inst rvclkhdr_1 of rvclkhdr_60 @[lib.scala 368:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_1.io.en <= _T_96 @[lib.scala 371:17] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_97 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_97 <= mcyclel_ns @[lib.scala 374:16] + mcyclel <= _T_97 @[dec_tlu_ctl.scala 1526:10] + node _T_98 = eq(wr_mcycleh_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1527:71] + node _T_99 = and(mcyclel_cout, _T_98) @[dec_tlu_ctl.scala 1527:69] + reg mcyclel_cout_f : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1527:54] + mcyclel_cout_f <= _T_99 @[dec_tlu_ctl.scala 1527:54] + node _T_100 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1533:61] + node _T_101 = eq(_T_100, UInt<12>("h0b80")) @[dec_tlu_ctl.scala 1533:68] + node _T_102 = and(io.dec_csr_wen_r_mod, _T_101) @[dec_tlu_ctl.scala 1533:39] + wr_mcycleh_r <= _T_102 @[dec_tlu_ctl.scala 1533:15] + node _T_103 = cat(UInt<31>("h00"), mcyclel_cout_f) @[Cat.scala 29:58] + node _T_104 = add(mcycleh, _T_103) @[dec_tlu_ctl.scala 1535:28] + node mcycleh_inc = tail(_T_104, 1) @[dec_tlu_ctl.scala 1535:28] + node _T_105 = bits(wr_mcycleh_r, 0, 0) @[dec_tlu_ctl.scala 1536:36] + node mcycleh_ns = mux(_T_105, io.dec_csr_wrdata_r, mcycleh_inc) @[dec_tlu_ctl.scala 1536:22] + node _T_106 = or(wr_mcycleh_r, mcyclel_cout_f) @[dec_tlu_ctl.scala 1538:46] + node _T_107 = bits(_T_106, 0, 0) @[dec_tlu_ctl.scala 1538:64] + inst rvclkhdr_2 of rvclkhdr_61 @[lib.scala 368:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_2.io.en <= _T_107 @[lib.scala 371:17] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_108 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_108 <= mcycleh_ns @[lib.scala 374:16] + mcycleh <= _T_108 @[dec_tlu_ctl.scala 1538:10] + node _T_109 = or(io.ebreak_r, io.ecall_r) @[dec_tlu_ctl.scala 1552:72] + node _T_110 = or(_T_109, io.ebreak_to_debug_mode_r) @[dec_tlu_ctl.scala 1552:85] + node _T_111 = or(_T_110, io.illegal_r) @[dec_tlu_ctl.scala 1552:113] + node _T_112 = bits(mcountinhibit, 2, 2) @[dec_tlu_ctl.scala 1552:143] + node _T_113 = or(_T_111, _T_112) @[dec_tlu_ctl.scala 1552:128] + node _T_114 = bits(_T_113, 0, 0) @[dec_tlu_ctl.scala 1552:148] + node _T_115 = not(_T_114) @[dec_tlu_ctl.scala 1552:58] + node i0_valid_no_ebreak_ecall_r = and(io.tlu_i0_commit_cmt, _T_115) @[dec_tlu_ctl.scala 1552:56] + node _T_116 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1554:66] + node _T_117 = eq(_T_116, UInt<12>("h0b02")) @[dec_tlu_ctl.scala 1554:73] + node wr_minstretl_r = and(io.dec_csr_wen_r_mod, _T_117) @[dec_tlu_ctl.scala 1554:44] + node _T_118 = cat(UInt<31>("h00"), i0_valid_no_ebreak_ecall_r) @[Cat.scala 29:58] + node _T_119 = add(minstretl, _T_118) @[dec_tlu_ctl.scala 1556:29] + minstretl_inc <= _T_119 @[dec_tlu_ctl.scala 1556:16] + node minstretl_cout = bits(minstretl_inc, 32, 32) @[dec_tlu_ctl.scala 1557:36] + node _T_120 = or(i0_valid_no_ebreak_ecall_r, wr_minstretl_r) @[dec_tlu_ctl.scala 1558:52] + node minstret_enable = bits(_T_120, 0, 0) @[dec_tlu_ctl.scala 1558:70] + node _T_121 = bits(wr_minstretl_r, 0, 0) @[dec_tlu_ctl.scala 1560:40] + node _T_122 = bits(minstretl_inc, 31, 0) @[dec_tlu_ctl.scala 1560:83] + node minstretl_ns = mux(_T_121, io.dec_csr_wrdata_r, _T_122) @[dec_tlu_ctl.scala 1560:24] + node _T_123 = bits(minstret_enable, 0, 0) @[dec_tlu_ctl.scala 1561:51] + inst rvclkhdr_3 of rvclkhdr_62 @[lib.scala 368:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_3.io.en <= _T_123 @[lib.scala 371:17] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_124 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_124 <= minstretl_ns @[lib.scala 374:16] + minstretl <= _T_124 @[dec_tlu_ctl.scala 1561:12] + reg minstret_enable_f : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1562:56] + minstret_enable_f <= minstret_enable @[dec_tlu_ctl.scala 1562:56] + node _T_125 = not(wr_minstreth_r) @[dec_tlu_ctl.scala 1563:75] + node _T_126 = and(minstretl_cout, _T_125) @[dec_tlu_ctl.scala 1563:73] + reg minstretl_cout_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1563:56] + minstretl_cout_f <= _T_126 @[dec_tlu_ctl.scala 1563:56] + node _T_127 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1571:64] + node _T_128 = eq(_T_127, UInt<12>("h0b82")) @[dec_tlu_ctl.scala 1571:71] + node _T_129 = and(io.dec_csr_wen_r_mod, _T_128) @[dec_tlu_ctl.scala 1571:42] + node _T_130 = bits(_T_129, 0, 0) @[dec_tlu_ctl.scala 1571:87] + wr_minstreth_r <= _T_130 @[dec_tlu_ctl.scala 1571:17] + node _T_131 = cat(UInt<31>("h00"), minstretl_cout_f) @[Cat.scala 29:58] + node _T_132 = add(minstreth, _T_131) @[dec_tlu_ctl.scala 1574:29] + node _T_133 = tail(_T_132, 1) @[dec_tlu_ctl.scala 1574:29] + minstreth_inc <= _T_133 @[dec_tlu_ctl.scala 1574:16] + node _T_134 = bits(wr_minstreth_r, 0, 0) @[dec_tlu_ctl.scala 1575:41] + node minstreth_ns = mux(_T_134, io.dec_csr_wrdata_r, minstreth_inc) @[dec_tlu_ctl.scala 1575:25] + node _T_135 = or(minstret_enable_f, wr_minstreth_r) @[dec_tlu_ctl.scala 1577:55] + node _T_136 = bits(_T_135, 0, 0) @[dec_tlu_ctl.scala 1577:73] + inst rvclkhdr_4 of rvclkhdr_63 @[lib.scala 368:23] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_4.io.en <= _T_136 @[lib.scala 371:17] + rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_137 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_137 <= minstreth_ns @[lib.scala 374:16] + minstreth <= _T_137 @[dec_tlu_ctl.scala 1577:12] + node _T_138 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1585:65] + node _T_139 = eq(_T_138, UInt<12>("h0340")) @[dec_tlu_ctl.scala 1585:72] + node wr_mscratch_r = and(io.dec_csr_wen_r_mod, _T_139) @[dec_tlu_ctl.scala 1585:43] + node _T_140 = bits(wr_mscratch_r, 0, 0) @[dec_tlu_ctl.scala 1587:55] + inst rvclkhdr_5 of rvclkhdr_64 @[lib.scala 368:23] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_5.io.en <= _T_140 @[lib.scala 371:17] + rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_141 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_141 <= io.dec_csr_wrdata_r @[lib.scala 374:16] + mscratch <= _T_141 @[dec_tlu_ctl.scala 1587:11] + node _T_142 = eq(io.dec_tlu_dbg_halted, UInt<1>("h00")) @[dec_tlu_ctl.scala 1596:22] + node _T_143 = eq(io.tlu_flush_lower_r_d1, UInt<1>("h00")) @[dec_tlu_ctl.scala 1596:47] + node _T_144 = and(_T_142, _T_143) @[dec_tlu_ctl.scala 1596:45] + node sel_exu_npc_r = and(_T_144, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 1596:72] + node _T_145 = eq(io.dec_tlu_dbg_halted, UInt<1>("h00")) @[dec_tlu_ctl.scala 1597:24] + node _T_146 = and(_T_145, io.tlu_flush_lower_r_d1) @[dec_tlu_ctl.scala 1597:47] + node _T_147 = eq(io.dec_tlu_flush_noredir_r_d1, UInt<1>("h00")) @[dec_tlu_ctl.scala 1597:75] + node sel_flush_npc_r = and(_T_146, _T_147) @[dec_tlu_ctl.scala 1597:73] + node _T_148 = eq(sel_exu_npc_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1598:23] + node _T_149 = eq(sel_flush_npc_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1598:40] + node sel_hold_npc_r = and(_T_148, _T_149) @[dec_tlu_ctl.scala 1598:38] + node _T_150 = bits(sel_exu_npc_r, 0, 0) @[dec_tlu_ctl.scala 1601:26] + node _T_151 = eq(io.mpc_reset_run_req, UInt<1>("h00")) @[dec_tlu_ctl.scala 1602:13] + node _T_152 = and(_T_151, io.reset_delayed) @[dec_tlu_ctl.scala 1602:35] + node _T_153 = bits(_T_152, 0, 0) @[dec_tlu_ctl.scala 1602:55] + node _T_154 = bits(sel_flush_npc_r, 0, 0) @[dec_tlu_ctl.scala 1603:28] + node _T_155 = bits(sel_hold_npc_r, 0, 0) @[dec_tlu_ctl.scala 1604:27] + node _T_156 = mux(_T_150, io.exu_npc_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_157 = mux(_T_153, io.rst_vec, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_158 = mux(_T_154, io.tlu_flush_path_r_d1, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_159 = mux(_T_155, io.npc_r_d1, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_160 = or(_T_156, _T_157) @[Mux.scala 27:72] + node _T_161 = or(_T_160, _T_158) @[Mux.scala 27:72] + node _T_162 = or(_T_161, _T_159) @[Mux.scala 27:72] + wire _T_163 : UInt<31> @[Mux.scala 27:72] + _T_163 <= _T_162 @[Mux.scala 27:72] + io.npc_r <= _T_163 @[dec_tlu_ctl.scala 1600:11] + node _T_164 = or(sel_exu_npc_r, sel_flush_npc_r) @[dec_tlu_ctl.scala 1606:48] + node _T_165 = or(_T_164, io.reset_delayed) @[dec_tlu_ctl.scala 1606:66] + node _T_166 = bits(_T_165, 0, 0) @[dec_tlu_ctl.scala 1606:86] + inst rvclkhdr_6 of rvclkhdr_65 @[lib.scala 368:23] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= reset + rvclkhdr_6.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_6.io.en <= _T_166 @[lib.scala 371:17] + rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_167 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_167 <= io.npc_r @[lib.scala 374:16] + io.npc_r_d1 <= _T_167 @[dec_tlu_ctl.scala 1606:14] + node _T_168 = eq(io.dec_tlu_dbg_halted, UInt<1>("h00")) @[dec_tlu_ctl.scala 1609:21] + node _T_169 = and(_T_168, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 1609:44] + node pc0_valid_r = bits(_T_169, 0, 0) @[dec_tlu_ctl.scala 1609:69] + node _T_170 = not(pc0_valid_r) @[dec_tlu_ctl.scala 1613:22] + node _T_171 = mux(pc0_valid_r, io.dec_tlu_i0_pc_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_172 = mux(_T_170, pc_r_d1, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_173 = or(_T_171, _T_172) @[Mux.scala 27:72] + wire pc_r : UInt<31> @[Mux.scala 27:72] + pc_r <= _T_173 @[Mux.scala 27:72] + inst rvclkhdr_7 of rvclkhdr_66 @[lib.scala 368:23] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= reset + rvclkhdr_7.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_7.io.en <= pc0_valid_r @[lib.scala 371:17] + rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_174 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_174 <= pc_r @[lib.scala 374:16] + pc_r_d1 <= _T_174 @[dec_tlu_ctl.scala 1615:10] + node _T_175 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1617:61] + node _T_176 = eq(_T_175, UInt<12>("h0341")) @[dec_tlu_ctl.scala 1617:68] + node wr_mepc_r = and(io.dec_csr_wen_r_mod, _T_176) @[dec_tlu_ctl.scala 1617:39] + node _T_177 = or(io.i0_exception_valid_r, io.lsu_exc_valid_r) @[dec_tlu_ctl.scala 1620:27] + node _T_178 = or(_T_177, io.mepc_trigger_hit_sel_pc_r) @[dec_tlu_ctl.scala 1620:48] + node _T_179 = bits(_T_178, 0, 0) @[dec_tlu_ctl.scala 1620:80] + node _T_180 = bits(io.interrupt_valid_r, 0, 0) @[dec_tlu_ctl.scala 1621:25] + node _T_181 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1622:15] + node _T_182 = and(wr_mepc_r, _T_181) @[dec_tlu_ctl.scala 1622:13] + node _T_183 = bits(_T_182, 0, 0) @[dec_tlu_ctl.scala 1622:39] + node _T_184 = bits(io.dec_csr_wrdata_r, 31, 1) @[dec_tlu_ctl.scala 1622:104] + node _T_185 = eq(wr_mepc_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1623:3] + node _T_186 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1623:16] + node _T_187 = and(_T_185, _T_186) @[dec_tlu_ctl.scala 1623:14] + node _T_188 = bits(_T_187, 0, 0) @[dec_tlu_ctl.scala 1623:40] + node _T_189 = mux(_T_179, pc_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_190 = mux(_T_180, io.npc_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_191 = mux(_T_183, _T_184, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_192 = mux(_T_188, io.mepc, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_193 = or(_T_189, _T_190) @[Mux.scala 27:72] + node _T_194 = or(_T_193, _T_191) @[Mux.scala 27:72] + node _T_195 = or(_T_194, _T_192) @[Mux.scala 27:72] + wire mepc_ns : UInt<31> @[Mux.scala 27:72] + mepc_ns <= _T_195 @[Mux.scala 27:72] + reg _T_196 : UInt, io.e4e5_int_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1625:47] + _T_196 <= mepc_ns @[dec_tlu_ctl.scala 1625:47] + io.mepc <= _T_196 @[dec_tlu_ctl.scala 1625:10] + node _T_197 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1632:65] + node _T_198 = eq(_T_197, UInt<12>("h0342")) @[dec_tlu_ctl.scala 1632:72] + node wr_mcause_r = and(io.dec_csr_wen_r_mod, _T_198) @[dec_tlu_ctl.scala 1632:43] + node _T_199 = and(io.exc_or_int_valid_r, io.take_nmi) @[dec_tlu_ctl.scala 1633:53] + node mcause_sel_nmi_store = and(_T_199, io.nmi_lsu_store_type) @[dec_tlu_ctl.scala 1633:67] + node _T_200 = and(io.exc_or_int_valid_r, io.take_nmi) @[dec_tlu_ctl.scala 1634:52] + node mcause_sel_nmi_load = and(_T_200, io.nmi_lsu_load_type) @[dec_tlu_ctl.scala 1634:66] + node _T_201 = and(io.exc_or_int_valid_r, io.take_nmi) @[dec_tlu_ctl.scala 1635:51] + node _T_202 = orr(io.lsu_fir_error) @[dec_tlu_ctl.scala 1635:84] + node mcause_sel_nmi_ext = and(_T_201, _T_202) @[dec_tlu_ctl.scala 1635:65] + node _T_203 = andr(io.lsu_fir_error) @[dec_tlu_ctl.scala 1641:53] + node _T_204 = bits(io.lsu_fir_error, 1, 1) @[dec_tlu_ctl.scala 1641:76] + node _T_205 = bits(io.lsu_fir_error, 0, 0) @[dec_tlu_ctl.scala 1641:99] + node _T_206 = not(_T_205) @[dec_tlu_ctl.scala 1641:82] + node _T_207 = and(_T_204, _T_206) @[dec_tlu_ctl.scala 1641:80] + node mcause_fir_error_type = cat(_T_203, _T_207) @[Cat.scala 29:58] + node _T_208 = bits(mcause_sel_nmi_store, 0, 0) @[dec_tlu_ctl.scala 1644:52] + node _T_209 = bits(mcause_sel_nmi_load, 0, 0) @[dec_tlu_ctl.scala 1645:51] + node _T_210 = bits(mcause_sel_nmi_ext, 0, 0) @[dec_tlu_ctl.scala 1646:50] + node _T_211 = cat(UInt<28>("h0f000100"), UInt<2>("h00")) @[Cat.scala 29:58] + node _T_212 = cat(_T_211, mcause_fir_error_type) @[Cat.scala 29:58] + node _T_213 = not(io.take_nmi) @[dec_tlu_ctl.scala 1647:56] + node _T_214 = and(io.exc_or_int_valid_r, _T_213) @[dec_tlu_ctl.scala 1647:54] + node _T_215 = bits(_T_214, 0, 0) @[dec_tlu_ctl.scala 1647:70] + node _T_216 = cat(io.interrupt_valid_r, UInt<26>("h00")) @[Cat.scala 29:58] + node _T_217 = cat(_T_216, io.exc_cause_r) @[Cat.scala 29:58] + node _T_218 = not(io.exc_or_int_valid_r) @[dec_tlu_ctl.scala 1648:46] + node _T_219 = and(wr_mcause_r, _T_218) @[dec_tlu_ctl.scala 1648:44] + node _T_220 = bits(_T_219, 0, 0) @[dec_tlu_ctl.scala 1648:70] + node _T_221 = not(wr_mcause_r) @[dec_tlu_ctl.scala 1649:32] + node _T_222 = not(io.exc_or_int_valid_r) @[dec_tlu_ctl.scala 1649:47] + node _T_223 = and(_T_221, _T_222) @[dec_tlu_ctl.scala 1649:45] + node _T_224 = bits(_T_223, 0, 0) @[dec_tlu_ctl.scala 1649:71] + node _T_225 = mux(_T_208, UInt<32>("h0f0000000"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_226 = mux(_T_209, UInt<32>("h0f0000001"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_227 = mux(_T_210, _T_212, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_228 = mux(_T_215, _T_217, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_229 = mux(_T_220, io.dec_csr_wrdata_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_230 = mux(_T_224, mcause, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_231 = or(_T_225, _T_226) @[Mux.scala 27:72] + node _T_232 = or(_T_231, _T_227) @[Mux.scala 27:72] + node _T_233 = or(_T_232, _T_228) @[Mux.scala 27:72] + node _T_234 = or(_T_233, _T_229) @[Mux.scala 27:72] + node _T_235 = or(_T_234, _T_230) @[Mux.scala 27:72] + wire mcause_ns : UInt<32> @[Mux.scala 27:72] + mcause_ns <= _T_235 @[Mux.scala 27:72] + reg _T_236 : UInt, io.e4e5_int_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1651:49] + _T_236 <= mcause_ns @[dec_tlu_ctl.scala 1651:49] + mcause <= _T_236 @[dec_tlu_ctl.scala 1651:12] + node _T_237 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1658:64] + node _T_238 = eq(_T_237, UInt<12>("h07ff")) @[dec_tlu_ctl.scala 1658:71] + node wr_mscause_r = and(io.dec_csr_wen_r_mod, _T_238) @[dec_tlu_ctl.scala 1658:42] + node _T_239 = eq(io.dec_tlu_packet_r.icaf_type, UInt<2>("h00")) @[dec_tlu_ctl.scala 1660:56] + node _T_240 = cat(UInt<2>("h00"), io.dec_tlu_packet_r.icaf_type) @[Cat.scala 29:58] + node ifu_mscause = mux(_T_239, UInt<4>("h09"), _T_240) @[dec_tlu_ctl.scala 1660:24] + node _T_241 = bits(io.lsu_i0_exc_r, 0, 0) @[dec_tlu_ctl.scala 1663:36] + node _T_242 = bits(io.i0_trigger_hit_r, 0, 0) @[dec_tlu_ctl.scala 1664:40] + node _T_243 = bits(io.ebreak_r, 0, 0) @[dec_tlu_ctl.scala 1665:32] + node _T_244 = bits(io.inst_acc_r, 0, 0) @[dec_tlu_ctl.scala 1666:34] + node _T_245 = mux(_T_241, io.lsu_error_pkt_r.bits.mscause, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_246 = mux(_T_242, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_247 = mux(_T_243, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_248 = mux(_T_244, ifu_mscause, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_249 = or(_T_245, _T_246) @[Mux.scala 27:72] + node _T_250 = or(_T_249, _T_247) @[Mux.scala 27:72] + node _T_251 = or(_T_250, _T_248) @[Mux.scala 27:72] + wire mscause_type : UInt<4> @[Mux.scala 27:72] + mscause_type <= _T_251 @[Mux.scala 27:72] + node _T_252 = bits(io.exc_or_int_valid_r, 0, 0) @[dec_tlu_ctl.scala 1670:48] + node _T_253 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1671:40] + node _T_254 = and(wr_mscause_r, _T_253) @[dec_tlu_ctl.scala 1671:38] + node _T_255 = bits(_T_254, 0, 0) @[dec_tlu_ctl.scala 1671:64] + node _T_256 = bits(io.dec_csr_wrdata_r, 3, 0) @[dec_tlu_ctl.scala 1671:103] + node _T_257 = eq(wr_mscause_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1672:25] + node _T_258 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1672:41] + node _T_259 = and(_T_257, _T_258) @[dec_tlu_ctl.scala 1672:39] + node _T_260 = bits(_T_259, 0, 0) @[dec_tlu_ctl.scala 1672:65] + node _T_261 = mux(_T_252, mscause_type, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_262 = mux(_T_255, _T_256, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_263 = mux(_T_260, mscause, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_264 = or(_T_261, _T_262) @[Mux.scala 27:72] + node _T_265 = or(_T_264, _T_263) @[Mux.scala 27:72] + wire mscause_ns : UInt<4> @[Mux.scala 27:72] + mscause_ns <= _T_265 @[Mux.scala 27:72] + reg _T_266 : UInt, io.e4e5_int_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1674:47] + _T_266 <= mscause_ns @[dec_tlu_ctl.scala 1674:47] + mscause <= _T_266 @[dec_tlu_ctl.scala 1674:10] + node _T_267 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1681:62] + node _T_268 = eq(_T_267, UInt<12>("h0343")) @[dec_tlu_ctl.scala 1681:69] + node wr_mtval_r = and(io.dec_csr_wen_r_mod, _T_268) @[dec_tlu_ctl.scala 1681:40] + node _T_269 = not(io.inst_acc_second_r) @[dec_tlu_ctl.scala 1682:83] + node _T_270 = and(io.inst_acc_r, _T_269) @[dec_tlu_ctl.scala 1682:81] + node _T_271 = or(io.ebreak_r, _T_270) @[dec_tlu_ctl.scala 1682:64] + node _T_272 = or(_T_271, io.mepc_trigger_hit_sel_pc_r) @[dec_tlu_ctl.scala 1682:106] + node _T_273 = and(io.exc_or_int_valid_r, _T_272) @[dec_tlu_ctl.scala 1682:49] + node _T_274 = not(io.take_nmi) @[dec_tlu_ctl.scala 1682:140] + node mtval_capture_pc_r = and(_T_273, _T_274) @[dec_tlu_ctl.scala 1682:138] + node _T_275 = and(io.inst_acc_r, io.inst_acc_second_r) @[dec_tlu_ctl.scala 1683:72] + node _T_276 = and(io.exc_or_int_valid_r, _T_275) @[dec_tlu_ctl.scala 1683:55] + node _T_277 = not(io.take_nmi) @[dec_tlu_ctl.scala 1683:98] + node mtval_capture_pc_plus2_r = and(_T_276, _T_277) @[dec_tlu_ctl.scala 1683:96] + node _T_278 = and(io.exc_or_int_valid_r, io.illegal_r) @[dec_tlu_ctl.scala 1684:51] + node _T_279 = not(io.take_nmi) @[dec_tlu_ctl.scala 1684:68] + node mtval_capture_inst_r = and(_T_278, _T_279) @[dec_tlu_ctl.scala 1684:66] + node _T_280 = and(io.exc_or_int_valid_r, io.lsu_exc_valid_r) @[dec_tlu_ctl.scala 1685:50] + node _T_281 = not(io.take_nmi) @[dec_tlu_ctl.scala 1685:73] + node mtval_capture_lsu_r = and(_T_280, _T_281) @[dec_tlu_ctl.scala 1685:71] + node _T_282 = not(mtval_capture_pc_r) @[dec_tlu_ctl.scala 1686:46] + node _T_283 = and(io.exc_or_int_valid_r, _T_282) @[dec_tlu_ctl.scala 1686:44] + node _T_284 = not(mtval_capture_inst_r) @[dec_tlu_ctl.scala 1686:68] + node _T_285 = and(_T_283, _T_284) @[dec_tlu_ctl.scala 1686:66] + node _T_286 = not(mtval_capture_lsu_r) @[dec_tlu_ctl.scala 1686:92] + node _T_287 = and(_T_285, _T_286) @[dec_tlu_ctl.scala 1686:90] + node _T_288 = not(io.mepc_trigger_hit_sel_pc_r) @[dec_tlu_ctl.scala 1686:115] + node mtval_clear_r = and(_T_287, _T_288) @[dec_tlu_ctl.scala 1686:113] + node _T_289 = bits(mtval_capture_pc_r, 0, 0) @[dec_tlu_ctl.scala 1690:25] + node _T_290 = cat(pc_r, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_291 = bits(mtval_capture_pc_plus2_r, 0, 0) @[dec_tlu_ctl.scala 1691:31] + node _T_292 = add(pc_r, UInt<31>("h01")) @[dec_tlu_ctl.scala 1691:83] + node _T_293 = tail(_T_292, 1) @[dec_tlu_ctl.scala 1691:83] + node _T_294 = cat(_T_293, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_295 = bits(mtval_capture_inst_r, 0, 0) @[dec_tlu_ctl.scala 1692:27] + node _T_296 = bits(mtval_capture_lsu_r, 0, 0) @[dec_tlu_ctl.scala 1693:26] + node _T_297 = not(io.interrupt_valid_r) @[dec_tlu_ctl.scala 1694:18] + node _T_298 = and(wr_mtval_r, _T_297) @[dec_tlu_ctl.scala 1694:16] + node _T_299 = bits(_T_298, 0, 0) @[dec_tlu_ctl.scala 1694:48] + node _T_300 = not(io.take_nmi) @[dec_tlu_ctl.scala 1695:5] + node _T_301 = not(wr_mtval_r) @[dec_tlu_ctl.scala 1695:20] + node _T_302 = and(_T_300, _T_301) @[dec_tlu_ctl.scala 1695:18] + node _T_303 = not(mtval_capture_pc_r) @[dec_tlu_ctl.scala 1695:34] + node _T_304 = and(_T_302, _T_303) @[dec_tlu_ctl.scala 1695:32] + node _T_305 = not(mtval_capture_inst_r) @[dec_tlu_ctl.scala 1695:56] + node _T_306 = and(_T_304, _T_305) @[dec_tlu_ctl.scala 1695:54] + node _T_307 = not(mtval_clear_r) @[dec_tlu_ctl.scala 1695:80] + node _T_308 = and(_T_306, _T_307) @[dec_tlu_ctl.scala 1695:78] + node _T_309 = not(mtval_capture_lsu_r) @[dec_tlu_ctl.scala 1695:97] + node _T_310 = and(_T_308, _T_309) @[dec_tlu_ctl.scala 1695:95] + node _T_311 = bits(_T_310, 0, 0) @[dec_tlu_ctl.scala 1695:119] + node _T_312 = mux(_T_289, _T_290, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_313 = mux(_T_291, _T_294, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_314 = mux(_T_295, io.dec_illegal_inst, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_315 = mux(_T_296, io.lsu_error_pkt_addr_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_316 = mux(_T_299, io.dec_csr_wrdata_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_317 = mux(_T_311, mtval, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_318 = or(_T_312, _T_313) @[Mux.scala 27:72] + node _T_319 = or(_T_318, _T_314) @[Mux.scala 27:72] + node _T_320 = or(_T_319, _T_315) @[Mux.scala 27:72] + node _T_321 = or(_T_320, _T_316) @[Mux.scala 27:72] + node _T_322 = or(_T_321, _T_317) @[Mux.scala 27:72] + wire mtval_ns : UInt<32> @[Mux.scala 27:72] + mtval_ns <= _T_322 @[Mux.scala 27:72] + reg _T_323 : UInt, io.e4e5_int_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1697:46] + _T_323 <= mtval_ns @[dec_tlu_ctl.scala 1697:46] + mtval <= _T_323 @[dec_tlu_ctl.scala 1697:8] + node _T_324 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1712:61] + node _T_325 = eq(_T_324, UInt<12>("h07f8")) @[dec_tlu_ctl.scala 1712:68] + node wr_mcgc_r = and(io.dec_csr_wen_r_mod, _T_325) @[dec_tlu_ctl.scala 1712:39] + node _T_326 = bits(io.dec_csr_wrdata_r, 8, 0) @[dec_tlu_ctl.scala 1714:39] + node _T_327 = bits(wr_mcgc_r, 0, 0) @[dec_tlu_ctl.scala 1714:55] + inst rvclkhdr_8 of rvclkhdr_67 @[lib.scala 368:23] + rvclkhdr_8.clock <= clock + rvclkhdr_8.reset <= reset + rvclkhdr_8.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_8.io.en <= _T_327 @[lib.scala 371:17] + rvclkhdr_8.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg mcgc : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + mcgc <= _T_326 @[lib.scala 374:16] + node _T_328 = bits(mcgc, 8, 8) @[dec_tlu_ctl.scala 1716:38] + io.dec_tlu_misc_clk_override <= _T_328 @[dec_tlu_ctl.scala 1716:31] + node _T_329 = bits(mcgc, 7, 7) @[dec_tlu_ctl.scala 1717:38] + io.dec_tlu_dec_clk_override <= _T_329 @[dec_tlu_ctl.scala 1717:31] + node _T_330 = bits(mcgc, 5, 5) @[dec_tlu_ctl.scala 1718:38] + io.dec_tlu_ifu_clk_override <= _T_330 @[dec_tlu_ctl.scala 1718:31] + node _T_331 = bits(mcgc, 4, 4) @[dec_tlu_ctl.scala 1719:38] + io.dec_tlu_lsu_clk_override <= _T_331 @[dec_tlu_ctl.scala 1719:31] + node _T_332 = bits(mcgc, 3, 3) @[dec_tlu_ctl.scala 1720:38] + io.dec_tlu_bus_clk_override <= _T_332 @[dec_tlu_ctl.scala 1720:31] + node _T_333 = bits(mcgc, 2, 2) @[dec_tlu_ctl.scala 1721:38] + io.dec_tlu_pic_clk_override <= _T_333 @[dec_tlu_ctl.scala 1721:31] + node _T_334 = bits(mcgc, 1, 1) @[dec_tlu_ctl.scala 1722:38] + io.dec_tlu_dccm_clk_override <= _T_334 @[dec_tlu_ctl.scala 1722:31] + node _T_335 = bits(mcgc, 0, 0) @[dec_tlu_ctl.scala 1723:38] + io.dec_tlu_icm_clk_override <= _T_335 @[dec_tlu_ctl.scala 1723:31] + node _T_336 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1742:61] + node _T_337 = eq(_T_336, UInt<12>("h07f9")) @[dec_tlu_ctl.scala 1742:68] + node wr_mfdc_r = and(io.dec_csr_wen_r_mod, _T_337) @[dec_tlu_ctl.scala 1742:39] + node _T_338 = bits(wr_mfdc_r, 0, 0) @[dec_tlu_ctl.scala 1746:39] + inst rvclkhdr_9 of rvclkhdr_68 @[lib.scala 368:23] + rvclkhdr_9.clock <= clock + rvclkhdr_9.reset <= reset + rvclkhdr_9.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_9.io.en <= _T_338 @[lib.scala 371:17] + rvclkhdr_9.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_339 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_339 <= mfdc_ns @[lib.scala 374:16] + mfdc_int <= _T_339 @[dec_tlu_ctl.scala 1746:11] + node _T_340 = bits(io.dec_csr_wrdata_r, 18, 16) @[dec_tlu_ctl.scala 1751:40] + node _T_341 = not(_T_340) @[dec_tlu_ctl.scala 1751:20] + node _T_342 = bits(io.dec_csr_wrdata_r, 11, 7) @[dec_tlu_ctl.scala 1751:67] + node _T_343 = bits(io.dec_csr_wrdata_r, 6, 6) @[dec_tlu_ctl.scala 1751:95] + node _T_344 = not(_T_343) @[dec_tlu_ctl.scala 1751:75] + node _T_345 = bits(io.dec_csr_wrdata_r, 5, 0) @[dec_tlu_ctl.scala 1751:119] + node _T_346 = cat(_T_344, _T_345) @[Cat.scala 29:58] + node _T_347 = cat(_T_341, _T_342) @[Cat.scala 29:58] + node _T_348 = cat(_T_347, _T_346) @[Cat.scala 29:58] + mfdc_ns <= _T_348 @[dec_tlu_ctl.scala 1751:13] + node _T_349 = bits(mfdc_int, 14, 12) @[dec_tlu_ctl.scala 1752:29] + node _T_350 = not(_T_349) @[dec_tlu_ctl.scala 1752:20] + node _T_351 = bits(mfdc_int, 11, 7) @[dec_tlu_ctl.scala 1752:55] + node _T_352 = bits(mfdc_int, 6, 6) @[dec_tlu_ctl.scala 1752:72] + node _T_353 = not(_T_352) @[dec_tlu_ctl.scala 1752:63] + node _T_354 = bits(mfdc_int, 5, 0) @[dec_tlu_ctl.scala 1752:85] + node _T_355 = cat(_T_353, _T_354) @[Cat.scala 29:58] + node _T_356 = cat(_T_350, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_357 = cat(_T_356, _T_351) @[Cat.scala 29:58] + node _T_358 = cat(_T_357, _T_355) @[Cat.scala 29:58] + mfdc <= _T_358 @[dec_tlu_ctl.scala 1752:13] + node _T_359 = bits(mfdc, 18, 16) @[dec_tlu_ctl.scala 1760:46] + io.dec_tlu_dma_qos_prty <= _T_359 @[dec_tlu_ctl.scala 1760:39] + node _T_360 = bits(mfdc, 11, 11) @[dec_tlu_ctl.scala 1761:46] + io.dec_tlu_external_ldfwd_disable <= _T_360 @[dec_tlu_ctl.scala 1761:39] + node _T_361 = bits(mfdc, 8, 8) @[dec_tlu_ctl.scala 1762:46] + io.dec_tlu_core_ecc_disable <= _T_361 @[dec_tlu_ctl.scala 1762:39] + node _T_362 = bits(mfdc, 6, 6) @[dec_tlu_ctl.scala 1763:46] + io.dec_tlu_sideeffect_posted_disable <= _T_362 @[dec_tlu_ctl.scala 1763:39] + node _T_363 = bits(mfdc, 3, 3) @[dec_tlu_ctl.scala 1764:46] + io.dec_tlu_bpred_disable <= _T_363 @[dec_tlu_ctl.scala 1764:39] + node _T_364 = bits(mfdc, 2, 2) @[dec_tlu_ctl.scala 1765:46] + io.dec_tlu_wb_coalescing_disable <= _T_364 @[dec_tlu_ctl.scala 1765:39] + node _T_365 = bits(mfdc, 0, 0) @[dec_tlu_ctl.scala 1766:46] + io.dec_tlu_pipelining_disable <= _T_365 @[dec_tlu_ctl.scala 1766:39] + node _T_366 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1775:70] + node _T_367 = eq(_T_366, UInt<12>("h07c2")) @[dec_tlu_ctl.scala 1775:77] + node _T_368 = and(io.dec_csr_wen_r_mod, _T_367) @[dec_tlu_ctl.scala 1775:48] + node _T_369 = not(io.interrupt_valid_r) @[dec_tlu_ctl.scala 1775:89] + node _T_370 = and(_T_368, _T_369) @[dec_tlu_ctl.scala 1775:87] + node _T_371 = not(io.take_ext_int_start) @[dec_tlu_ctl.scala 1775:113] + node _T_372 = and(_T_370, _T_371) @[dec_tlu_ctl.scala 1775:111] + io.dec_tlu_wr_pause_r <= _T_372 @[dec_tlu_ctl.scala 1775:24] + node _T_373 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1782:61] + node _T_374 = eq(_T_373, UInt<12>("h07c0")) @[dec_tlu_ctl.scala 1782:68] + node wr_mrac_r = and(io.dec_csr_wen_r_mod, _T_374) @[dec_tlu_ctl.scala 1782:39] + node _T_375 = bits(io.dec_csr_wrdata_r, 31, 31) @[dec_tlu_ctl.scala 1785:39] + node _T_376 = bits(io.dec_csr_wrdata_r, 30, 30) @[dec_tlu_ctl.scala 1785:64] + node _T_377 = bits(io.dec_csr_wrdata_r, 31, 31) @[dec_tlu_ctl.scala 1785:91] + node _T_378 = not(_T_377) @[dec_tlu_ctl.scala 1785:71] + node _T_379 = and(_T_376, _T_378) @[dec_tlu_ctl.scala 1785:69] + node _T_380 = bits(io.dec_csr_wrdata_r, 29, 29) @[dec_tlu_ctl.scala 1786:41] + node _T_381 = bits(io.dec_csr_wrdata_r, 28, 28) @[dec_tlu_ctl.scala 1786:66] + node _T_382 = bits(io.dec_csr_wrdata_r, 29, 29) @[dec_tlu_ctl.scala 1786:93] + node _T_383 = not(_T_382) @[dec_tlu_ctl.scala 1786:73] + node _T_384 = and(_T_381, _T_383) @[dec_tlu_ctl.scala 1786:71] + node _T_385 = bits(io.dec_csr_wrdata_r, 27, 27) @[dec_tlu_ctl.scala 1787:41] + node _T_386 = bits(io.dec_csr_wrdata_r, 26, 26) @[dec_tlu_ctl.scala 1787:66] + node _T_387 = bits(io.dec_csr_wrdata_r, 27, 27) @[dec_tlu_ctl.scala 1787:93] + node _T_388 = not(_T_387) @[dec_tlu_ctl.scala 1787:73] + node _T_389 = and(_T_386, _T_388) @[dec_tlu_ctl.scala 1787:71] + node _T_390 = bits(io.dec_csr_wrdata_r, 25, 25) @[dec_tlu_ctl.scala 1788:41] + node _T_391 = bits(io.dec_csr_wrdata_r, 24, 24) @[dec_tlu_ctl.scala 1788:66] + node _T_392 = bits(io.dec_csr_wrdata_r, 25, 25) @[dec_tlu_ctl.scala 1788:93] + node _T_393 = not(_T_392) @[dec_tlu_ctl.scala 1788:73] + node _T_394 = and(_T_391, _T_393) @[dec_tlu_ctl.scala 1788:71] + node _T_395 = bits(io.dec_csr_wrdata_r, 23, 23) @[dec_tlu_ctl.scala 1789:41] + node _T_396 = bits(io.dec_csr_wrdata_r, 22, 22) @[dec_tlu_ctl.scala 1789:66] + node _T_397 = bits(io.dec_csr_wrdata_r, 23, 23) @[dec_tlu_ctl.scala 1789:93] + node _T_398 = not(_T_397) @[dec_tlu_ctl.scala 1789:73] + node _T_399 = and(_T_396, _T_398) @[dec_tlu_ctl.scala 1789:71] + node _T_400 = bits(io.dec_csr_wrdata_r, 21, 21) @[dec_tlu_ctl.scala 1790:41] + node _T_401 = bits(io.dec_csr_wrdata_r, 20, 20) @[dec_tlu_ctl.scala 1790:66] + node _T_402 = bits(io.dec_csr_wrdata_r, 21, 21) @[dec_tlu_ctl.scala 1790:93] + node _T_403 = not(_T_402) @[dec_tlu_ctl.scala 1790:73] + node _T_404 = and(_T_401, _T_403) @[dec_tlu_ctl.scala 1790:71] + node _T_405 = bits(io.dec_csr_wrdata_r, 19, 19) @[dec_tlu_ctl.scala 1791:41] + node _T_406 = bits(io.dec_csr_wrdata_r, 18, 18) @[dec_tlu_ctl.scala 1791:66] + node _T_407 = bits(io.dec_csr_wrdata_r, 19, 19) @[dec_tlu_ctl.scala 1791:93] + node _T_408 = not(_T_407) @[dec_tlu_ctl.scala 1791:73] + node _T_409 = and(_T_406, _T_408) @[dec_tlu_ctl.scala 1791:71] + node _T_410 = bits(io.dec_csr_wrdata_r, 17, 17) @[dec_tlu_ctl.scala 1792:41] + node _T_411 = bits(io.dec_csr_wrdata_r, 16, 16) @[dec_tlu_ctl.scala 1792:66] + node _T_412 = bits(io.dec_csr_wrdata_r, 17, 17) @[dec_tlu_ctl.scala 1792:93] + node _T_413 = not(_T_412) @[dec_tlu_ctl.scala 1792:73] + node _T_414 = and(_T_411, _T_413) @[dec_tlu_ctl.scala 1792:71] + node _T_415 = bits(io.dec_csr_wrdata_r, 15, 15) @[dec_tlu_ctl.scala 1793:41] + node _T_416 = bits(io.dec_csr_wrdata_r, 14, 14) @[dec_tlu_ctl.scala 1793:66] + node _T_417 = bits(io.dec_csr_wrdata_r, 15, 15) @[dec_tlu_ctl.scala 1793:93] + node _T_418 = not(_T_417) @[dec_tlu_ctl.scala 1793:73] + node _T_419 = and(_T_416, _T_418) @[dec_tlu_ctl.scala 1793:71] + node _T_420 = bits(io.dec_csr_wrdata_r, 13, 13) @[dec_tlu_ctl.scala 1794:41] + node _T_421 = bits(io.dec_csr_wrdata_r, 12, 12) @[dec_tlu_ctl.scala 1794:66] + node _T_422 = bits(io.dec_csr_wrdata_r, 13, 13) @[dec_tlu_ctl.scala 1794:93] + node _T_423 = not(_T_422) @[dec_tlu_ctl.scala 1794:73] + node _T_424 = and(_T_421, _T_423) @[dec_tlu_ctl.scala 1794:71] + node _T_425 = bits(io.dec_csr_wrdata_r, 11, 11) @[dec_tlu_ctl.scala 1795:41] + node _T_426 = bits(io.dec_csr_wrdata_r, 10, 10) @[dec_tlu_ctl.scala 1795:66] + node _T_427 = bits(io.dec_csr_wrdata_r, 11, 11) @[dec_tlu_ctl.scala 1795:93] + node _T_428 = not(_T_427) @[dec_tlu_ctl.scala 1795:73] + node _T_429 = and(_T_426, _T_428) @[dec_tlu_ctl.scala 1795:71] + node _T_430 = bits(io.dec_csr_wrdata_r, 9, 9) @[dec_tlu_ctl.scala 1796:41] + node _T_431 = bits(io.dec_csr_wrdata_r, 8, 8) @[dec_tlu_ctl.scala 1796:66] + node _T_432 = bits(io.dec_csr_wrdata_r, 9, 9) @[dec_tlu_ctl.scala 1796:93] + node _T_433 = not(_T_432) @[dec_tlu_ctl.scala 1796:73] + node _T_434 = and(_T_431, _T_433) @[dec_tlu_ctl.scala 1796:70] + node _T_435 = bits(io.dec_csr_wrdata_r, 7, 7) @[dec_tlu_ctl.scala 1797:41] + node _T_436 = bits(io.dec_csr_wrdata_r, 6, 6) @[dec_tlu_ctl.scala 1797:66] + node _T_437 = bits(io.dec_csr_wrdata_r, 7, 7) @[dec_tlu_ctl.scala 1797:93] + node _T_438 = not(_T_437) @[dec_tlu_ctl.scala 1797:73] + node _T_439 = and(_T_436, _T_438) @[dec_tlu_ctl.scala 1797:70] + node _T_440 = bits(io.dec_csr_wrdata_r, 5, 5) @[dec_tlu_ctl.scala 1798:41] + node _T_441 = bits(io.dec_csr_wrdata_r, 4, 4) @[dec_tlu_ctl.scala 1798:66] + node _T_442 = bits(io.dec_csr_wrdata_r, 5, 5) @[dec_tlu_ctl.scala 1798:93] + node _T_443 = not(_T_442) @[dec_tlu_ctl.scala 1798:73] + node _T_444 = and(_T_441, _T_443) @[dec_tlu_ctl.scala 1798:70] + node _T_445 = bits(io.dec_csr_wrdata_r, 3, 3) @[dec_tlu_ctl.scala 1799:41] + node _T_446 = bits(io.dec_csr_wrdata_r, 2, 2) @[dec_tlu_ctl.scala 1799:66] + node _T_447 = bits(io.dec_csr_wrdata_r, 3, 3) @[dec_tlu_ctl.scala 1799:93] + node _T_448 = not(_T_447) @[dec_tlu_ctl.scala 1799:73] + node _T_449 = and(_T_446, _T_448) @[dec_tlu_ctl.scala 1799:70] + node _T_450 = bits(io.dec_csr_wrdata_r, 1, 1) @[dec_tlu_ctl.scala 1800:41] + node _T_451 = bits(io.dec_csr_wrdata_r, 0, 0) @[dec_tlu_ctl.scala 1800:66] + node _T_452 = bits(io.dec_csr_wrdata_r, 1, 1) @[dec_tlu_ctl.scala 1800:93] + node _T_453 = not(_T_452) @[dec_tlu_ctl.scala 1800:73] + node _T_454 = and(_T_451, _T_453) @[dec_tlu_ctl.scala 1800:70] + node _T_455 = cat(_T_450, _T_454) @[Cat.scala 29:58] + node _T_456 = cat(_T_445, _T_449) @[Cat.scala 29:58] + node _T_457 = cat(_T_456, _T_455) @[Cat.scala 29:58] + node _T_458 = cat(_T_440, _T_444) @[Cat.scala 29:58] + node _T_459 = cat(_T_435, _T_439) @[Cat.scala 29:58] + node _T_460 = cat(_T_459, _T_458) @[Cat.scala 29:58] + node _T_461 = cat(_T_460, _T_457) @[Cat.scala 29:58] + node _T_462 = cat(_T_430, _T_434) @[Cat.scala 29:58] + node _T_463 = cat(_T_425, _T_429) @[Cat.scala 29:58] + node _T_464 = cat(_T_463, _T_462) @[Cat.scala 29:58] + node _T_465 = cat(_T_420, _T_424) @[Cat.scala 29:58] + node _T_466 = cat(_T_415, _T_419) @[Cat.scala 29:58] + node _T_467 = cat(_T_466, _T_465) @[Cat.scala 29:58] + node _T_468 = cat(_T_467, _T_464) @[Cat.scala 29:58] + node _T_469 = cat(_T_468, _T_461) @[Cat.scala 29:58] + node _T_470 = cat(_T_410, _T_414) @[Cat.scala 29:58] + node _T_471 = cat(_T_405, _T_409) @[Cat.scala 29:58] + node _T_472 = cat(_T_471, _T_470) @[Cat.scala 29:58] + node _T_473 = cat(_T_400, _T_404) @[Cat.scala 29:58] + node _T_474 = cat(_T_395, _T_399) @[Cat.scala 29:58] + node _T_475 = cat(_T_474, _T_473) @[Cat.scala 29:58] + node _T_476 = cat(_T_475, _T_472) @[Cat.scala 29:58] + node _T_477 = cat(_T_390, _T_394) @[Cat.scala 29:58] + node _T_478 = cat(_T_385, _T_389) @[Cat.scala 29:58] + node _T_479 = cat(_T_478, _T_477) @[Cat.scala 29:58] + node _T_480 = cat(_T_380, _T_384) @[Cat.scala 29:58] + node _T_481 = cat(_T_375, _T_379) @[Cat.scala 29:58] + node _T_482 = cat(_T_481, _T_480) @[Cat.scala 29:58] + node _T_483 = cat(_T_482, _T_479) @[Cat.scala 29:58] + node _T_484 = cat(_T_483, _T_476) @[Cat.scala 29:58] + node mrac_in = cat(_T_484, _T_469) @[Cat.scala 29:58] + node _T_485 = bits(wr_mrac_r, 0, 0) @[dec_tlu_ctl.scala 1803:38] + inst rvclkhdr_10 of rvclkhdr_69 @[lib.scala 368:23] + rvclkhdr_10.clock <= clock + rvclkhdr_10.reset <= reset + rvclkhdr_10.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_10.io.en <= _T_485 @[lib.scala 371:17] + rvclkhdr_10.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg mrac : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + mrac <= mrac_in @[lib.scala 374:16] + io.dec_tlu_mrac_ff <= mrac @[dec_tlu_ctl.scala 1805:21] + node _T_486 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1813:62] + node _T_487 = eq(_T_486, UInt<12>("h0bc0")) @[dec_tlu_ctl.scala 1813:69] + node wr_mdeau_r = and(io.dec_csr_wen_r_mod, _T_487) @[dec_tlu_ctl.scala 1813:40] + node _T_488 = not(wr_mdeau_r) @[dec_tlu_ctl.scala 1823:59] + node _T_489 = and(io.mdseac_locked_f, _T_488) @[dec_tlu_ctl.scala 1823:57] + node _T_490 = or(mdseac_en, _T_489) @[dec_tlu_ctl.scala 1823:35] + io.mdseac_locked_ns <= _T_490 @[dec_tlu_ctl.scala 1823:22] + node _T_491 = or(io.lsu_imprecise_error_store_any, io.lsu_imprecise_error_load_any) @[dec_tlu_ctl.scala 1825:49] + node _T_492 = not(io.nmi_int_detected_f) @[dec_tlu_ctl.scala 1825:86] + node _T_493 = and(_T_491, _T_492) @[dec_tlu_ctl.scala 1825:84] + node _T_494 = not(io.mdseac_locked_f) @[dec_tlu_ctl.scala 1825:111] + node _T_495 = and(_T_493, _T_494) @[dec_tlu_ctl.scala 1825:109] + mdseac_en <= _T_495 @[dec_tlu_ctl.scala 1825:12] + node _T_496 = bits(mdseac_en, 0, 0) @[dec_tlu_ctl.scala 1827:64] + inst rvclkhdr_11 of rvclkhdr_70 @[lib.scala 368:23] + rvclkhdr_11.clock <= clock + rvclkhdr_11.reset <= reset + rvclkhdr_11.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_11.io.en <= _T_496 @[lib.scala 371:17] + rvclkhdr_11.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg mdseac : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + mdseac <= io.lsu_imprecise_error_addr_any @[lib.scala 374:16] + node _T_497 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1836:61] + node _T_498 = eq(_T_497, UInt<12>("h07c6")) @[dec_tlu_ctl.scala 1836:68] + node wr_mpmc_r = and(io.dec_csr_wen_r_mod, _T_498) @[dec_tlu_ctl.scala 1836:39] + node _T_499 = bits(io.dec_csr_wrdata_r, 0, 0) @[dec_tlu_ctl.scala 1840:51] + node _T_500 = and(wr_mpmc_r, _T_499) @[dec_tlu_ctl.scala 1840:30] + node _T_501 = not(io.internal_dbg_halt_mode_f2) @[dec_tlu_ctl.scala 1840:57] + node _T_502 = and(_T_500, _T_501) @[dec_tlu_ctl.scala 1840:55] + node _T_503 = not(io.ext_int_freeze_d1) @[dec_tlu_ctl.scala 1840:89] + node _T_504 = and(_T_502, _T_503) @[dec_tlu_ctl.scala 1840:87] + io.fw_halt_req <= _T_504 @[dec_tlu_ctl.scala 1840:17] + wire fw_halted_ns : UInt<1> + fw_halted_ns <= UInt<1>("h00") + reg fw_halted : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1842:48] + fw_halted <= fw_halted_ns @[dec_tlu_ctl.scala 1842:48] + node _T_505 = or(io.fw_halt_req, fw_halted) @[dec_tlu_ctl.scala 1843:34] + node _T_506 = not(set_mie_pmu_fw_halt) @[dec_tlu_ctl.scala 1843:49] + node _T_507 = and(_T_505, _T_506) @[dec_tlu_ctl.scala 1843:47] + fw_halted_ns <= _T_507 @[dec_tlu_ctl.scala 1843:15] + node _T_508 = bits(wr_mpmc_r, 0, 0) @[dec_tlu_ctl.scala 1844:29] + node _T_509 = bits(io.dec_csr_wrdata_r, 1, 1) @[dec_tlu_ctl.scala 1844:57] + node _T_510 = not(_T_509) @[dec_tlu_ctl.scala 1844:37] + node _T_511 = not(mpmc) @[dec_tlu_ctl.scala 1844:62] + node _T_512 = mux(_T_508, _T_510, _T_511) @[dec_tlu_ctl.scala 1844:18] + mpmc_b_ns <= _T_512 @[dec_tlu_ctl.scala 1844:12] + reg _T_513 : UInt, io.csr_wr_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1846:44] + _T_513 <= mpmc_b_ns @[dec_tlu_ctl.scala 1846:44] + mpmc_b <= _T_513 @[dec_tlu_ctl.scala 1846:9] + node _T_514 = not(mpmc_b) @[dec_tlu_ctl.scala 1849:10] + mpmc <= _T_514 @[dec_tlu_ctl.scala 1849:7] + node _T_515 = bits(io.dec_csr_wrdata_r, 31, 27) @[dec_tlu_ctl.scala 1858:40] + node _T_516 = gt(_T_515, UInt<5>("h01a")) @[dec_tlu_ctl.scala 1858:48] + node _T_517 = bits(io.dec_csr_wrdata_r, 31, 27) @[dec_tlu_ctl.scala 1858:92] + node csr_sat = mux(_T_516, UInt<5>("h01a"), _T_517) @[dec_tlu_ctl.scala 1858:19] + node _T_518 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1860:63] + node _T_519 = eq(_T_518, UInt<12>("h07f0")) @[dec_tlu_ctl.scala 1860:70] + node wr_micect_r = and(io.dec_csr_wen_r_mod, _T_519) @[dec_tlu_ctl.scala 1860:41] + node _T_520 = cat(UInt<26>("h00"), io.ic_perr_r_d1) @[Cat.scala 29:58] + node _T_521 = add(micect, _T_520) @[dec_tlu_ctl.scala 1861:23] + node _T_522 = tail(_T_521, 1) @[dec_tlu_ctl.scala 1861:23] + micect_inc <= _T_522 @[dec_tlu_ctl.scala 1861:13] + node _T_523 = bits(wr_micect_r, 0, 0) @[dec_tlu_ctl.scala 1862:35] + node _T_524 = bits(io.dec_csr_wrdata_r, 26, 0) @[dec_tlu_ctl.scala 1862:75] + node _T_525 = cat(csr_sat, _T_524) @[Cat.scala 29:58] + node _T_526 = bits(micect, 31, 27) @[dec_tlu_ctl.scala 1862:95] + node _T_527 = cat(_T_526, micect_inc) @[Cat.scala 29:58] + node micect_ns = mux(_T_523, _T_525, _T_527) @[dec_tlu_ctl.scala 1862:22] + node _T_528 = or(wr_micect_r, io.ic_perr_r_d1) @[dec_tlu_ctl.scala 1864:42] + node _T_529 = bits(_T_528, 0, 0) @[dec_tlu_ctl.scala 1864:61] + inst rvclkhdr_12 of rvclkhdr_71 @[lib.scala 368:23] + rvclkhdr_12.clock <= clock + rvclkhdr_12.reset <= reset + rvclkhdr_12.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_12.io.en <= _T_529 @[lib.scala 371:17] + rvclkhdr_12.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_530 : UInt, rvclkhdr_12.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_530 <= micect_ns @[lib.scala 374:16] + micect <= _T_530 @[dec_tlu_ctl.scala 1864:9] + node _T_531 = bits(micect, 31, 27) @[dec_tlu_ctl.scala 1866:48] + node _T_532 = dshl(UInt<32>("h0ffffffff"), _T_531) @[dec_tlu_ctl.scala 1866:39] + node _T_533 = bits(micect, 26, 0) @[dec_tlu_ctl.scala 1866:79] + node _T_534 = cat(UInt<5>("h00"), _T_533) @[Cat.scala 29:58] + node _T_535 = and(_T_532, _T_534) @[dec_tlu_ctl.scala 1866:57] + node _T_536 = orr(_T_535) @[dec_tlu_ctl.scala 1866:88] + mice_ce_req <= _T_536 @[dec_tlu_ctl.scala 1866:14] + node _T_537 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1875:69] + node _T_538 = eq(_T_537, UInt<12>("h07f1")) @[dec_tlu_ctl.scala 1875:76] + node wr_miccmect_r = and(io.dec_csr_wen_r_mod, _T_538) @[dec_tlu_ctl.scala 1875:47] + node _T_539 = bits(miccmect, 26, 0) @[dec_tlu_ctl.scala 1876:26] + node _T_540 = or(io.iccm_sbecc_r_d1, io.iccm_dma_sb_error) @[dec_tlu_ctl.scala 1876:70] + node _T_541 = cat(UInt<26>("h00"), _T_540) @[Cat.scala 29:58] + node _T_542 = add(_T_539, _T_541) @[dec_tlu_ctl.scala 1876:33] + node _T_543 = tail(_T_542, 1) @[dec_tlu_ctl.scala 1876:33] + miccmect_inc <= _T_543 @[dec_tlu_ctl.scala 1876:15] + node _T_544 = bits(wr_miccmect_r, 0, 0) @[dec_tlu_ctl.scala 1877:45] + node _T_545 = bits(io.dec_csr_wrdata_r, 26, 0) @[dec_tlu_ctl.scala 1877:85] + node _T_546 = cat(csr_sat, _T_545) @[Cat.scala 29:58] + node _T_547 = bits(miccmect, 31, 27) @[dec_tlu_ctl.scala 1877:107] + node _T_548 = cat(_T_547, miccmect_inc) @[Cat.scala 29:58] + node miccmect_ns = mux(_T_544, _T_546, _T_548) @[dec_tlu_ctl.scala 1877:30] + node _T_549 = or(wr_miccmect_r, io.iccm_sbecc_r_d1) @[dec_tlu_ctl.scala 1879:48] + node _T_550 = or(_T_549, io.iccm_dma_sb_error) @[dec_tlu_ctl.scala 1879:69] + node _T_551 = bits(_T_550, 0, 0) @[dec_tlu_ctl.scala 1879:93] + inst rvclkhdr_13 of rvclkhdr_72 @[lib.scala 368:23] + rvclkhdr_13.clock <= clock + rvclkhdr_13.reset <= reset + rvclkhdr_13.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_13.io.en <= _T_551 @[lib.scala 371:17] + rvclkhdr_13.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_552 : UInt, rvclkhdr_13.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_552 <= miccmect_ns @[lib.scala 374:16] + miccmect <= _T_552 @[dec_tlu_ctl.scala 1879:11] + node _T_553 = bits(miccmect, 31, 27) @[dec_tlu_ctl.scala 1881:51] + node _T_554 = dshl(UInt<32>("h0ffffffff"), _T_553) @[dec_tlu_ctl.scala 1881:40] + node _T_555 = bits(miccmect, 26, 0) @[dec_tlu_ctl.scala 1881:84] + node _T_556 = cat(UInt<5>("h00"), _T_555) @[Cat.scala 29:58] + node _T_557 = and(_T_554, _T_556) @[dec_tlu_ctl.scala 1881:60] + node _T_558 = orr(_T_557) @[dec_tlu_ctl.scala 1881:93] + miccme_ce_req <= _T_558 @[dec_tlu_ctl.scala 1881:15] + node _T_559 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1890:69] + node _T_560 = eq(_T_559, UInt<12>("h07f2")) @[dec_tlu_ctl.scala 1890:76] + node wr_mdccmect_r = and(io.dec_csr_wen_r_mod, _T_560) @[dec_tlu_ctl.scala 1890:47] + node _T_561 = bits(mdccmect, 26, 0) @[dec_tlu_ctl.scala 1891:26] + node _T_562 = cat(UInt<26>("h00"), io.lsu_single_ecc_error_r_d1) @[Cat.scala 29:58] + node _T_563 = add(_T_561, _T_562) @[dec_tlu_ctl.scala 1891:33] + node _T_564 = tail(_T_563, 1) @[dec_tlu_ctl.scala 1891:33] + mdccmect_inc <= _T_564 @[dec_tlu_ctl.scala 1891:15] + node _T_565 = bits(wr_mdccmect_r, 0, 0) @[dec_tlu_ctl.scala 1892:45] + node _T_566 = bits(io.dec_csr_wrdata_r, 26, 0) @[dec_tlu_ctl.scala 1892:85] + node _T_567 = cat(csr_sat, _T_566) @[Cat.scala 29:58] + node _T_568 = bits(mdccmect, 31, 27) @[dec_tlu_ctl.scala 1892:107] + node _T_569 = cat(_T_568, mdccmect_inc) @[Cat.scala 29:58] + node mdccmect_ns = mux(_T_565, _T_567, _T_569) @[dec_tlu_ctl.scala 1892:30] + node _T_570 = or(wr_mdccmect_r, io.lsu_single_ecc_error_r_d1) @[dec_tlu_ctl.scala 1894:49] + node _T_571 = bits(_T_570, 0, 0) @[dec_tlu_ctl.scala 1894:81] + inst rvclkhdr_14 of rvclkhdr_73 @[lib.scala 368:23] + rvclkhdr_14.clock <= clock + rvclkhdr_14.reset <= reset + rvclkhdr_14.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_14.io.en <= _T_571 @[lib.scala 371:17] + rvclkhdr_14.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_572 : UInt, rvclkhdr_14.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_572 <= mdccmect_ns @[lib.scala 374:16] + mdccmect <= _T_572 @[dec_tlu_ctl.scala 1894:11] + node _T_573 = bits(mdccmect, 31, 27) @[dec_tlu_ctl.scala 1896:52] + node _T_574 = dshl(UInt<32>("h0ffffffff"), _T_573) @[dec_tlu_ctl.scala 1896:41] + node _T_575 = bits(mdccmect, 26, 0) @[dec_tlu_ctl.scala 1896:85] + node _T_576 = cat(UInt<5>("h00"), _T_575) @[Cat.scala 29:58] + node _T_577 = and(_T_574, _T_576) @[dec_tlu_ctl.scala 1896:61] + node _T_578 = orr(_T_577) @[dec_tlu_ctl.scala 1896:94] + mdccme_ce_req <= _T_578 @[dec_tlu_ctl.scala 1896:16] + node _T_579 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1906:62] + node _T_580 = eq(_T_579, UInt<12>("h07ce")) @[dec_tlu_ctl.scala 1906:69] + node wr_mfdht_r = and(io.dec_csr_wen_r_mod, _T_580) @[dec_tlu_ctl.scala 1906:40] + node _T_581 = bits(wr_mfdht_r, 0, 0) @[dec_tlu_ctl.scala 1908:32] + node _T_582 = bits(io.dec_csr_wrdata_r, 5, 0) @[dec_tlu_ctl.scala 1908:59] + node mfdht_ns = mux(_T_581, _T_582, mfdht) @[dec_tlu_ctl.scala 1908:20] + reg _T_583 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1910:43] + _T_583 <= mfdht_ns @[dec_tlu_ctl.scala 1910:43] + mfdht <= _T_583 @[dec_tlu_ctl.scala 1910:8] + node _T_584 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1919:62] + node _T_585 = eq(_T_584, UInt<12>("h07cf")) @[dec_tlu_ctl.scala 1919:69] + node wr_mfdhs_r = and(io.dec_csr_wen_r_mod, _T_585) @[dec_tlu_ctl.scala 1919:40] + node _T_586 = bits(wr_mfdhs_r, 0, 0) @[dec_tlu_ctl.scala 1921:32] + node _T_587 = bits(io.dec_csr_wrdata_r, 1, 0) @[dec_tlu_ctl.scala 1921:60] + node _T_588 = not(io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 1922:43] + node _T_589 = and(io.dbg_tlu_halted, _T_588) @[dec_tlu_ctl.scala 1922:41] + node _T_590 = bits(_T_589, 0, 0) @[dec_tlu_ctl.scala 1922:65] + node _T_591 = not(io.lsu_idle_any_f) @[dec_tlu_ctl.scala 1922:78] + node _T_592 = not(io.ifu_miss_state_idle_f) @[dec_tlu_ctl.scala 1922:98] + node _T_593 = cat(_T_591, _T_592) @[Cat.scala 29:58] + node _T_594 = mux(_T_590, _T_593, mfdhs) @[dec_tlu_ctl.scala 1922:21] + node mfdhs_ns = mux(_T_586, _T_587, _T_594) @[dec_tlu_ctl.scala 1921:20] + node _T_595 = or(wr_mfdhs_r, io.dbg_tlu_halted) @[dec_tlu_ctl.scala 1924:71] + node _T_596 = bits(_T_595, 0, 0) @[dec_tlu_ctl.scala 1924:92] + reg _T_597 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_596 : @[Reg.scala 28:19] + _T_597 <= mfdhs_ns @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + mfdhs <= _T_597 @[dec_tlu_ctl.scala 1924:8] + node _T_598 = bits(io.debug_halt_req_f, 0, 0) @[dec_tlu_ctl.scala 1926:47] + node _T_599 = add(force_halt_ctr_f, UInt<32>("h01")) @[dec_tlu_ctl.scala 1926:74] + node _T_600 = tail(_T_599, 1) @[dec_tlu_ctl.scala 1926:74] + node _T_601 = bits(io.dbg_tlu_halted_f, 0, 0) @[dec_tlu_ctl.scala 1927:48] + node _T_602 = mux(_T_601, UInt<32>("h00"), force_halt_ctr_f) @[dec_tlu_ctl.scala 1927:27] + node force_halt_ctr = mux(_T_598, _T_600, _T_602) @[dec_tlu_ctl.scala 1926:26] + node _T_603 = bits(mfdht, 0, 0) @[dec_tlu_ctl.scala 1929:81] + reg _T_604 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_603 : @[Reg.scala 28:19] + _T_604 <= force_halt_ctr @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + force_halt_ctr_f <= _T_604 @[dec_tlu_ctl.scala 1929:19] + node _T_605 = bits(mfdht, 0, 0) @[dec_tlu_ctl.scala 1931:24] + node _T_606 = bits(mfdht, 5, 1) @[dec_tlu_ctl.scala 1931:79] + node _T_607 = dshl(UInt<32>("h0ffffffff"), _T_606) @[dec_tlu_ctl.scala 1931:71] + node _T_608 = and(force_halt_ctr_f, _T_607) @[dec_tlu_ctl.scala 1931:48] + node _T_609 = orr(_T_608) @[dec_tlu_ctl.scala 1931:87] + node _T_610 = and(_T_605, _T_609) @[dec_tlu_ctl.scala 1931:28] + io.force_halt <= _T_610 @[dec_tlu_ctl.scala 1931:16] + node _T_611 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1939:62] + node _T_612 = eq(_T_611, UInt<12>("h0bc8")) @[dec_tlu_ctl.scala 1939:69] + node wr_meivt_r = and(io.dec_csr_wen_r_mod, _T_612) @[dec_tlu_ctl.scala 1939:40] + node _T_613 = bits(io.dec_csr_wrdata_r, 31, 10) @[dec_tlu_ctl.scala 1941:40] + node _T_614 = bits(wr_meivt_r, 0, 0) @[dec_tlu_ctl.scala 1941:59] + inst rvclkhdr_15 of rvclkhdr_74 @[lib.scala 368:23] + rvclkhdr_15.clock <= clock + rvclkhdr_15.reset <= reset + rvclkhdr_15.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_15.io.en <= _T_614 @[lib.scala 371:17] + rvclkhdr_15.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg meivt : UInt, rvclkhdr_15.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + meivt <= _T_613 @[lib.scala 374:16] + node _T_615 = bits(wr_meicpct_r, 0, 0) @[dec_tlu_ctl.scala 1953:49] + inst rvclkhdr_16 of rvclkhdr_75 @[lib.scala 368:23] + rvclkhdr_16.clock <= clock + rvclkhdr_16.reset <= reset + rvclkhdr_16.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_16.io.en <= _T_615 @[lib.scala 371:17] + rvclkhdr_16.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg meihap : UInt, rvclkhdr_16.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + meihap <= io.pic_claimid @[lib.scala 374:16] + node _T_616 = cat(meivt, meihap) @[Cat.scala 29:58] + io.dec_tlu_meihap <= _T_616 @[dec_tlu_ctl.scala 1954:20] + node _T_617 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1963:65] + node _T_618 = eq(_T_617, UInt<12>("h0bcc")) @[dec_tlu_ctl.scala 1963:72] + node wr_meicurpl_r = and(io.dec_csr_wen_r_mod, _T_618) @[dec_tlu_ctl.scala 1963:43] + node _T_619 = bits(wr_meicurpl_r, 0, 0) @[dec_tlu_ctl.scala 1964:38] + node _T_620 = bits(io.dec_csr_wrdata_r, 3, 0) @[dec_tlu_ctl.scala 1964:65] + node meicurpl_ns = mux(_T_619, _T_620, meicurpl) @[dec_tlu_ctl.scala 1964:23] + reg _T_621 : UInt, io.csr_wr_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1966:46] + _T_621 <= meicurpl_ns @[dec_tlu_ctl.scala 1966:46] + meicurpl <= _T_621 @[dec_tlu_ctl.scala 1966:11] + io.dec_tlu_meicurpl <= meicurpl @[dec_tlu_ctl.scala 1968:22] + node _T_622 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1978:66] + node _T_623 = eq(_T_622, UInt<12>("h0bcb")) @[dec_tlu_ctl.scala 1978:73] + node _T_624 = and(io.dec_csr_wen_r_mod, _T_623) @[dec_tlu_ctl.scala 1978:44] + node wr_meicidpl_r = or(_T_624, io.take_ext_int_start) @[dec_tlu_ctl.scala 1978:88] + node _T_625 = bits(wr_meicpct_r, 0, 0) @[dec_tlu_ctl.scala 1980:37] + node _T_626 = bits(wr_meicidpl_r, 0, 0) @[dec_tlu_ctl.scala 1981:38] + node _T_627 = bits(io.dec_csr_wrdata_r, 3, 0) @[dec_tlu_ctl.scala 1981:65] + node _T_628 = mux(_T_626, _T_627, meicidpl) @[dec_tlu_ctl.scala 1981:23] + node meicidpl_ns = mux(_T_625, io.pic_pl, _T_628) @[dec_tlu_ctl.scala 1980:23] + reg _T_629 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1983:44] + _T_629 <= meicidpl_ns @[dec_tlu_ctl.scala 1983:44] + meicidpl <= _T_629 @[dec_tlu_ctl.scala 1983:11] + node _T_630 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1990:62] + node _T_631 = eq(_T_630, UInt<12>("h0bca")) @[dec_tlu_ctl.scala 1990:69] + node _T_632 = and(io.dec_csr_wen_r_mod, _T_631) @[dec_tlu_ctl.scala 1990:40] + node _T_633 = or(_T_632, io.take_ext_int_start) @[dec_tlu_ctl.scala 1990:83] + wr_meicpct_r <= _T_633 @[dec_tlu_ctl.scala 1990:15] + node _T_634 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1999:62] + node _T_635 = eq(_T_634, UInt<12>("h0bc9")) @[dec_tlu_ctl.scala 1999:69] + node wr_meipt_r = and(io.dec_csr_wen_r_mod, _T_635) @[dec_tlu_ctl.scala 1999:40] + node _T_636 = bits(wr_meipt_r, 0, 0) @[dec_tlu_ctl.scala 2000:32] + node _T_637 = bits(io.dec_csr_wrdata_r, 3, 0) @[dec_tlu_ctl.scala 2000:59] + node meipt_ns = mux(_T_636, _T_637, meipt) @[dec_tlu_ctl.scala 2000:20] + reg _T_638 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2002:43] + _T_638 <= meipt_ns @[dec_tlu_ctl.scala 2002:43] + meipt <= _T_638 @[dec_tlu_ctl.scala 2002:8] + io.dec_tlu_meipt <= meipt @[dec_tlu_ctl.scala 2004:19] + node _T_639 = and(io.trigger_hit_r_d1, io.dcsr_single_step_done_f) @[dec_tlu_ctl.scala 2030:89] + node trigger_hit_for_dscr_cause_r_d1 = or(io.trigger_hit_dmode_r_d1, _T_639) @[dec_tlu_ctl.scala 2030:66] + node _T_640 = not(io.ebreak_to_debug_mode_r_d1) @[dec_tlu_ctl.scala 2033:31] + node _T_641 = and(io.dcsr_single_step_done_f, _T_640) @[dec_tlu_ctl.scala 2033:29] + node _T_642 = not(trigger_hit_for_dscr_cause_r_d1) @[dec_tlu_ctl.scala 2033:63] + node _T_643 = and(_T_641, _T_642) @[dec_tlu_ctl.scala 2033:61] + node _T_644 = not(io.debug_halt_req) @[dec_tlu_ctl.scala 2033:98] + node _T_645 = and(_T_643, _T_644) @[dec_tlu_ctl.scala 2033:96] + node _T_646 = bits(_T_645, 0, 0) @[dec_tlu_ctl.scala 2033:118] + node _T_647 = not(io.ebreak_to_debug_mode_r_d1) @[dec_tlu_ctl.scala 2034:48] + node _T_648 = and(io.debug_halt_req, _T_647) @[dec_tlu_ctl.scala 2034:46] + node _T_649 = not(trigger_hit_for_dscr_cause_r_d1) @[dec_tlu_ctl.scala 2034:80] + node _T_650 = and(_T_648, _T_649) @[dec_tlu_ctl.scala 2034:78] + node _T_651 = bits(_T_650, 0, 0) @[dec_tlu_ctl.scala 2034:114] + node _T_652 = not(trigger_hit_for_dscr_cause_r_d1) @[dec_tlu_ctl.scala 2035:77] + node _T_653 = and(io.ebreak_to_debug_mode_r_d1, _T_652) @[dec_tlu_ctl.scala 2035:75] + node _T_654 = bits(_T_653, 0, 0) @[dec_tlu_ctl.scala 2035:111] + node _T_655 = bits(trigger_hit_for_dscr_cause_r_d1, 0, 0) @[dec_tlu_ctl.scala 2036:108] + node _T_656 = mux(_T_646, UInt<3>("h04"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_657 = mux(_T_651, UInt<3>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_658 = mux(_T_654, UInt<3>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_659 = mux(_T_655, UInt<3>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_660 = or(_T_656, _T_657) @[Mux.scala 27:72] + node _T_661 = or(_T_660, _T_658) @[Mux.scala 27:72] + node _T_662 = or(_T_661, _T_659) @[Mux.scala 27:72] + wire dcsr_cause : UInt<3> @[Mux.scala 27:72] + dcsr_cause <= _T_662 @[Mux.scala 27:72] + node _T_663 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2038:46] + node _T_664 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2038:91] + node _T_665 = eq(_T_664, UInt<12>("h07b0")) @[dec_tlu_ctl.scala 2038:98] + node wr_dcsr_r = and(_T_663, _T_665) @[dec_tlu_ctl.scala 2038:69] + node _T_666 = bits(io.dcsr, 8, 6) @[dec_tlu_ctl.scala 2044:69] + node _T_667 = eq(_T_666, UInt<3>("h03")) @[dec_tlu_ctl.scala 2044:75] + node dcsr_cause_upgradeable = and(io.internal_dbg_halt_mode_f, _T_667) @[dec_tlu_ctl.scala 2044:59] + node _T_668 = not(io.dbg_tlu_halted) @[dec_tlu_ctl.scala 2045:59] + node _T_669 = or(_T_668, dcsr_cause_upgradeable) @[dec_tlu_ctl.scala 2045:78] + node enter_debug_halt_req_le = and(io.enter_debug_halt_req, _T_669) @[dec_tlu_ctl.scala 2045:56] + node nmi_in_debug_mode = and(io.nmi_int_detected_f, io.internal_dbg_halt_mode_f) @[dec_tlu_ctl.scala 2047:48] + node _T_670 = bits(enter_debug_halt_req_le, 0, 0) @[dec_tlu_ctl.scala 2048:44] + node _T_671 = bits(io.dcsr, 15, 9) @[dec_tlu_ctl.scala 2048:64] + node _T_672 = bits(io.dcsr, 5, 2) @[dec_tlu_ctl.scala 2048:91] + node _T_673 = cat(_T_672, UInt<2>("h03")) @[Cat.scala 29:58] + node _T_674 = cat(_T_671, dcsr_cause) @[Cat.scala 29:58] + node _T_675 = cat(_T_674, _T_673) @[Cat.scala 29:58] + node _T_676 = bits(wr_dcsr_r, 0, 0) @[dec_tlu_ctl.scala 2049:18] + node _T_677 = bits(io.dec_csr_wrdata_r, 15, 15) @[dec_tlu_ctl.scala 2049:49] + node _T_678 = bits(io.dec_csr_wrdata_r, 11, 10) @[dec_tlu_ctl.scala 2049:84] + node _T_679 = bits(io.dcsr, 8, 6) @[dec_tlu_ctl.scala 2049:110] + node _T_680 = bits(io.dcsr, 3, 3) @[dec_tlu_ctl.scala 2049:154] + node _T_681 = or(nmi_in_debug_mode, _T_680) @[dec_tlu_ctl.scala 2049:145] + node _T_682 = bits(io.dec_csr_wrdata_r, 2, 2) @[dec_tlu_ctl.scala 2049:178] + node _T_683 = cat(_T_682, UInt<2>("h03")) @[Cat.scala 29:58] + node _T_684 = cat(UInt<2>("h00"), _T_681) @[Cat.scala 29:58] + node _T_685 = cat(_T_684, _T_683) @[Cat.scala 29:58] + node _T_686 = cat(UInt<1>("h00"), _T_679) @[Cat.scala 29:58] + node _T_687 = cat(_T_677, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_688 = cat(_T_687, _T_678) @[Cat.scala 29:58] + node _T_689 = cat(_T_688, _T_686) @[Cat.scala 29:58] + node _T_690 = cat(_T_689, _T_685) @[Cat.scala 29:58] + node _T_691 = bits(io.dcsr, 15, 4) @[dec_tlu_ctl.scala 2049:211] + node _T_692 = bits(io.dcsr, 2, 2) @[dec_tlu_ctl.scala 2049:245] + node _T_693 = cat(_T_692, UInt<2>("h03")) @[Cat.scala 29:58] + node _T_694 = cat(_T_691, nmi_in_debug_mode) @[Cat.scala 29:58] + node _T_695 = cat(_T_694, _T_693) @[Cat.scala 29:58] + node _T_696 = mux(_T_676, _T_690, _T_695) @[dec_tlu_ctl.scala 2049:7] + node dcsr_ns = mux(_T_670, _T_675, _T_696) @[dec_tlu_ctl.scala 2048:19] + node _T_697 = or(enter_debug_halt_req_le, wr_dcsr_r) @[dec_tlu_ctl.scala 2051:54] + node _T_698 = or(_T_697, io.internal_dbg_halt_mode) @[dec_tlu_ctl.scala 2051:66] + node _T_699 = or(_T_698, io.take_nmi) @[dec_tlu_ctl.scala 2051:94] + node _T_700 = bits(_T_699, 0, 0) @[dec_tlu_ctl.scala 2051:109] + inst rvclkhdr_17 of rvclkhdr_76 @[lib.scala 368:23] + rvclkhdr_17.clock <= clock + rvclkhdr_17.reset <= reset + rvclkhdr_17.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_17.io.en <= _T_700 @[lib.scala 371:17] + rvclkhdr_17.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_701 : UInt, rvclkhdr_17.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_701 <= dcsr_ns @[lib.scala 374:16] + io.dcsr <= _T_701 @[dec_tlu_ctl.scala 2051:10] + node _T_702 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2059:45] + node _T_703 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2059:90] + node _T_704 = eq(_T_703, UInt<12>("h07b1")) @[dec_tlu_ctl.scala 2059:97] + node wr_dpc_r = and(_T_702, _T_704) @[dec_tlu_ctl.scala 2059:68] + node _T_705 = not(io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2060:44] + node _T_706 = and(io.dbg_tlu_halted, _T_705) @[dec_tlu_ctl.scala 2060:42] + node _T_707 = not(io.request_debug_mode_done) @[dec_tlu_ctl.scala 2060:67] + node dpc_capture_npc = and(_T_706, _T_707) @[dec_tlu_ctl.scala 2060:65] + node _T_708 = not(io.request_debug_mode_r) @[dec_tlu_ctl.scala 2064:21] + node _T_709 = not(dpc_capture_npc) @[dec_tlu_ctl.scala 2064:39] + node _T_710 = and(_T_708, _T_709) @[dec_tlu_ctl.scala 2064:37] + node _T_711 = and(_T_710, wr_dpc_r) @[dec_tlu_ctl.scala 2064:56] + node _T_712 = bits(_T_711, 0, 0) @[dec_tlu_ctl.scala 2064:68] + node _T_713 = bits(io.dec_csr_wrdata_r, 31, 1) @[dec_tlu_ctl.scala 2064:97] + node _T_714 = bits(io.request_debug_mode_r, 0, 0) @[dec_tlu_ctl.scala 2065:68] + node _T_715 = not(io.request_debug_mode_r) @[dec_tlu_ctl.scala 2066:33] + node _T_716 = and(_T_715, dpc_capture_npc) @[dec_tlu_ctl.scala 2066:49] + node _T_717 = bits(_T_716, 0, 0) @[dec_tlu_ctl.scala 2066:68] + node _T_718 = mux(_T_712, _T_713, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_719 = mux(_T_714, pc_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_720 = mux(_T_717, io.npc_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_721 = or(_T_718, _T_719) @[Mux.scala 27:72] + node _T_722 = or(_T_721, _T_720) @[Mux.scala 27:72] + wire dpc_ns : UInt<31> @[Mux.scala 27:72] + dpc_ns <= _T_722 @[Mux.scala 27:72] + node _T_723 = or(wr_dpc_r, io.request_debug_mode_r) @[dec_tlu_ctl.scala 2068:36] + node _T_724 = or(_T_723, dpc_capture_npc) @[dec_tlu_ctl.scala 2068:53] + node _T_725 = bits(_T_724, 0, 0) @[dec_tlu_ctl.scala 2068:72] + inst rvclkhdr_18 of rvclkhdr_77 @[lib.scala 368:23] + rvclkhdr_18.clock <= clock + rvclkhdr_18.reset <= reset + rvclkhdr_18.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_18.io.en <= _T_725 @[lib.scala 371:17] + rvclkhdr_18.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_726 : UInt, rvclkhdr_18.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_726 <= dpc_ns @[lib.scala 374:16] + io.dpc <= _T_726 @[dec_tlu_ctl.scala 2068:9] + node _T_727 = bits(io.dec_csr_wrdata_r, 24, 24) @[dec_tlu_ctl.scala 2082:43] + node _T_728 = bits(io.dec_csr_wrdata_r, 21, 20) @[dec_tlu_ctl.scala 2082:68] + node _T_729 = bits(io.dec_csr_wrdata_r, 16, 3) @[dec_tlu_ctl.scala 2082:96] + node _T_730 = cat(_T_727, _T_728) @[Cat.scala 29:58] + node dicawics_ns = cat(_T_730, _T_729) @[Cat.scala 29:58] + node _T_731 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2083:50] + node _T_732 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2083:95] + node _T_733 = eq(_T_732, UInt<12>("h07c8")) @[dec_tlu_ctl.scala 2083:102] + node wr_dicawics_r = and(_T_731, _T_733) @[dec_tlu_ctl.scala 2083:73] + node _T_734 = bits(wr_dicawics_r, 0, 0) @[dec_tlu_ctl.scala 2085:50] + inst rvclkhdr_19 of rvclkhdr_78 @[lib.scala 368:23] + rvclkhdr_19.clock <= clock + rvclkhdr_19.reset <= reset + rvclkhdr_19.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_19.io.en <= _T_734 @[lib.scala 371:17] + rvclkhdr_19.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg dicawics : UInt, rvclkhdr_19.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + dicawics <= dicawics_ns @[lib.scala 374:16] + node _T_735 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2101:48] + node _T_736 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2101:93] + node _T_737 = eq(_T_736, UInt<12>("h07c9")) @[dec_tlu_ctl.scala 2101:100] + node wr_dicad0_r = and(_T_735, _T_737) @[dec_tlu_ctl.scala 2101:71] + node _T_738 = bits(wr_dicad0_r, 0, 0) @[dec_tlu_ctl.scala 2102:34] + node dicad0_ns = mux(_T_738, io.dec_csr_wrdata_r, io.ifu_ic_debug_rd_data) @[dec_tlu_ctl.scala 2102:21] + node _T_739 = or(wr_dicad0_r, io.ifu_ic_debug_rd_data_valid) @[dec_tlu_ctl.scala 2104:46] + node _T_740 = bits(_T_739, 0, 0) @[dec_tlu_ctl.scala 2104:79] + inst rvclkhdr_20 of rvclkhdr_79 @[lib.scala 368:23] + rvclkhdr_20.clock <= clock + rvclkhdr_20.reset <= reset + rvclkhdr_20.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_20.io.en <= _T_740 @[lib.scala 371:17] + rvclkhdr_20.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg dicad0 : UInt, rvclkhdr_20.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + dicad0 <= dicad0_ns @[lib.scala 374:16] + node _T_741 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2114:49] + node _T_742 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2114:94] + node _T_743 = eq(_T_742, UInt<12>("h07cc")) @[dec_tlu_ctl.scala 2114:101] + node wr_dicad0h_r = and(_T_741, _T_743) @[dec_tlu_ctl.scala 2114:72] + node _T_744 = bits(wr_dicad0h_r, 0, 0) @[dec_tlu_ctl.scala 2116:36] + node _T_745 = bits(io.ifu_ic_debug_rd_data, 63, 32) @[dec_tlu_ctl.scala 2116:88] + node dicad0h_ns = mux(_T_744, io.dec_csr_wrdata_r, _T_745) @[dec_tlu_ctl.scala 2116:22] + node _T_746 = or(wr_dicad0h_r, io.ifu_ic_debug_rd_data_valid) @[dec_tlu_ctl.scala 2118:48] + node _T_747 = bits(_T_746, 0, 0) @[dec_tlu_ctl.scala 2118:81] + inst rvclkhdr_21 of rvclkhdr_80 @[lib.scala 368:23] + rvclkhdr_21.clock <= clock + rvclkhdr_21.reset <= reset + rvclkhdr_21.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_21.io.en <= _T_747 @[lib.scala 371:17] + rvclkhdr_21.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg dicad0h : UInt, rvclkhdr_21.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + dicad0h <= dicad0h_ns @[lib.scala 374:16] + wire _T_748 : UInt<7> + _T_748 <= UInt<1>("h00") + node _T_749 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2126:48] + node _T_750 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2126:93] + node _T_751 = eq(_T_750, UInt<12>("h07ca")) @[dec_tlu_ctl.scala 2126:100] + node _T_752 = and(_T_749, _T_751) @[dec_tlu_ctl.scala 2126:71] + node _T_753 = bits(_T_752, 0, 0) @[dec_tlu_ctl.scala 2128:34] + node _T_754 = bits(io.dec_csr_wrdata_r, 6, 0) @[dec_tlu_ctl.scala 2128:61] + node _T_755 = bits(io.ifu_ic_debug_rd_data, 70, 64) @[dec_tlu_ctl.scala 2128:91] + node _T_756 = mux(_T_753, _T_754, _T_755) @[dec_tlu_ctl.scala 2128:21] + node _T_757 = or(_T_752, io.ifu_ic_debug_rd_data_valid) @[dec_tlu_ctl.scala 2130:78] + node _T_758 = bits(_T_757, 0, 0) @[dec_tlu_ctl.scala 2130:111] + reg _T_759 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_758 : @[Reg.scala 28:19] + _T_759 <= _T_756 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + _T_748 <= _T_759 @[dec_tlu_ctl.scala 2130:13] + node _T_760 = cat(UInt<25>("h00"), _T_748) @[Cat.scala 29:58] + dicad1 <= _T_760 @[dec_tlu_ctl.scala 2131:9] + node _T_761 = bits(dicad1, 6, 0) @[dec_tlu_ctl.scala 2153:69] + node _T_762 = bits(dicad0h, 31, 0) @[dec_tlu_ctl.scala 2153:83] + node _T_763 = bits(dicad0, 31, 0) @[dec_tlu_ctl.scala 2153:97] + node _T_764 = cat(_T_761, _T_762) @[Cat.scala 29:58] + node _T_765 = cat(_T_764, _T_763) @[Cat.scala 29:58] + io.dec_tlu_ic_diag_pkt.icache_wrdata <= _T_765 @[dec_tlu_ctl.scala 2153:56] + io.dec_tlu_ic_diag_pkt.icache_dicawics <= dicawics @[dec_tlu_ctl.scala 2156:41] + node _T_766 = and(io.allow_dbg_halt_csr_write, io.dec_csr_any_unq_d) @[dec_tlu_ctl.scala 2158:52] + node _T_767 = and(_T_766, io.dec_i0_decode_d) @[dec_tlu_ctl.scala 2158:75] + node _T_768 = not(io.dec_csr_wen_unq_d) @[dec_tlu_ctl.scala 2158:98] + node _T_769 = and(_T_767, _T_768) @[dec_tlu_ctl.scala 2158:96] + node _T_770 = bits(io.dec_csr_rdaddr_d, 11, 0) @[dec_tlu_ctl.scala 2158:142] + node _T_771 = eq(_T_770, UInt<12>("h07cb")) @[dec_tlu_ctl.scala 2158:149] + node icache_rd_valid = and(_T_769, _T_771) @[dec_tlu_ctl.scala 2158:120] + node _T_772 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2159:52] + node _T_773 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2159:97] + node _T_774 = eq(_T_773, UInt<12>("h07cb")) @[dec_tlu_ctl.scala 2159:104] + node icache_wr_valid = and(_T_772, _T_774) @[dec_tlu_ctl.scala 2159:75] + reg icache_rd_valid_f : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2161:58] + icache_rd_valid_f <= icache_rd_valid @[dec_tlu_ctl.scala 2161:58] + reg icache_wr_valid_f : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2162:58] + icache_wr_valid_f <= icache_wr_valid @[dec_tlu_ctl.scala 2162:58] + io.dec_tlu_ic_diag_pkt.icache_rd_valid <= icache_rd_valid_f @[dec_tlu_ctl.scala 2164:41] + io.dec_tlu_ic_diag_pkt.icache_wr_valid <= icache_wr_valid_f @[dec_tlu_ctl.scala 2165:41] + node _T_775 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2173:62] + node _T_776 = eq(_T_775, UInt<12>("h07a0")) @[dec_tlu_ctl.scala 2173:69] + node wr_mtsel_r = and(io.dec_csr_wen_r_mod, _T_776) @[dec_tlu_ctl.scala 2173:40] + node _T_777 = bits(wr_mtsel_r, 0, 0) @[dec_tlu_ctl.scala 2174:32] + node _T_778 = bits(io.dec_csr_wrdata_r, 1, 0) @[dec_tlu_ctl.scala 2174:59] + node mtsel_ns = mux(_T_777, _T_778, mtsel) @[dec_tlu_ctl.scala 2174:20] + reg _T_779 : UInt, io.csr_wr_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2176:43] + _T_779 <= mtsel_ns @[dec_tlu_ctl.scala 2176:43] + mtsel <= _T_779 @[dec_tlu_ctl.scala 2176:8] + node _T_780 = bits(io.dec_csr_wrdata_r, 0, 0) @[dec_tlu_ctl.scala 2211:38] + node _T_781 = bits(io.dec_csr_wrdata_r, 19, 19) @[dec_tlu_ctl.scala 2211:64] + node _T_782 = not(_T_781) @[dec_tlu_ctl.scala 2211:44] + node tdata_load = and(_T_780, _T_782) @[dec_tlu_ctl.scala 2211:42] + node _T_783 = bits(io.dec_csr_wrdata_r, 2, 2) @[dec_tlu_ctl.scala 2213:40] + node _T_784 = bits(io.dec_csr_wrdata_r, 19, 19) @[dec_tlu_ctl.scala 2213:66] + node _T_785 = not(_T_784) @[dec_tlu_ctl.scala 2213:46] + node tdata_opcode = and(_T_783, _T_785) @[dec_tlu_ctl.scala 2213:44] + node _T_786 = bits(io.dec_csr_wrdata_r, 27, 27) @[dec_tlu_ctl.scala 2215:41] + node _T_787 = and(_T_786, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2215:46] + node _T_788 = bits(io.dec_csr_wrdata_r, 12, 12) @[dec_tlu_ctl.scala 2215:90] + node tdata_action = and(_T_787, _T_788) @[dec_tlu_ctl.scala 2215:69] + node _T_789 = bits(io.dec_csr_wrdata_r, 27, 27) @[dec_tlu_ctl.scala 2217:47] + node _T_790 = and(_T_789, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2217:52] + node _T_791 = bits(io.dec_csr_wrdata_r, 20, 19) @[dec_tlu_ctl.scala 2217:94] + node _T_792 = bits(io.dec_csr_wrdata_r, 11, 11) @[dec_tlu_ctl.scala 2217:136] + node _T_793 = bits(io.dec_csr_wrdata_r, 7, 6) @[dec_tlu_ctl.scala 2218:43] + node _T_794 = bits(io.dec_csr_wrdata_r, 1, 1) @[dec_tlu_ctl.scala 2218:83] + node _T_795 = cat(_T_794, tdata_load) @[Cat.scala 29:58] + node _T_796 = cat(_T_793, tdata_opcode) @[Cat.scala 29:58] + node _T_797 = cat(_T_796, _T_795) @[Cat.scala 29:58] + node _T_798 = cat(tdata_action, _T_792) @[Cat.scala 29:58] + node _T_799 = cat(_T_790, _T_791) @[Cat.scala 29:58] + node _T_800 = cat(_T_799, _T_798) @[Cat.scala 29:58] + node tdata_wrdata_r = cat(_T_800, _T_797) @[Cat.scala 29:58] + node _T_801 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2221:92] + node _T_802 = eq(_T_801, UInt<12>("h07a1")) @[dec_tlu_ctl.scala 2221:99] + node _T_803 = and(io.dec_csr_wen_r_mod, _T_802) @[dec_tlu_ctl.scala 2221:70] + node _T_804 = eq(mtsel, UInt<2>("h00")) @[dec_tlu_ctl.scala 2221:121] + node _T_805 = and(_T_803, _T_804) @[dec_tlu_ctl.scala 2221:112] + node _T_806 = bits(io.mtdata1_t[0], 9, 9) @[dec_tlu_ctl.scala 2221:154] + node _T_807 = not(_T_806) @[dec_tlu_ctl.scala 2221:138] + node _T_808 = or(_T_807, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2221:170] + node _T_809 = and(_T_805, _T_808) @[dec_tlu_ctl.scala 2221:135] + node _T_810 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2221:92] + node _T_811 = eq(_T_810, UInt<12>("h07a1")) @[dec_tlu_ctl.scala 2221:99] + node _T_812 = and(io.dec_csr_wen_r_mod, _T_811) @[dec_tlu_ctl.scala 2221:70] + node _T_813 = eq(mtsel, UInt<2>("h01")) @[dec_tlu_ctl.scala 2221:121] + node _T_814 = and(_T_812, _T_813) @[dec_tlu_ctl.scala 2221:112] + node _T_815 = bits(io.mtdata1_t[1], 9, 9) @[dec_tlu_ctl.scala 2221:154] + node _T_816 = not(_T_815) @[dec_tlu_ctl.scala 2221:138] + node _T_817 = or(_T_816, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2221:170] + node _T_818 = and(_T_814, _T_817) @[dec_tlu_ctl.scala 2221:135] + node _T_819 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2221:92] + node _T_820 = eq(_T_819, UInt<12>("h07a1")) @[dec_tlu_ctl.scala 2221:99] + node _T_821 = and(io.dec_csr_wen_r_mod, _T_820) @[dec_tlu_ctl.scala 2221:70] + node _T_822 = eq(mtsel, UInt<2>("h02")) @[dec_tlu_ctl.scala 2221:121] + node _T_823 = and(_T_821, _T_822) @[dec_tlu_ctl.scala 2221:112] + node _T_824 = bits(io.mtdata1_t[2], 9, 9) @[dec_tlu_ctl.scala 2221:154] + node _T_825 = not(_T_824) @[dec_tlu_ctl.scala 2221:138] + node _T_826 = or(_T_825, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2221:170] + node _T_827 = and(_T_823, _T_826) @[dec_tlu_ctl.scala 2221:135] + node _T_828 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2221:92] + node _T_829 = eq(_T_828, UInt<12>("h07a1")) @[dec_tlu_ctl.scala 2221:99] + node _T_830 = and(io.dec_csr_wen_r_mod, _T_829) @[dec_tlu_ctl.scala 2221:70] + node _T_831 = eq(mtsel, UInt<2>("h03")) @[dec_tlu_ctl.scala 2221:121] + node _T_832 = and(_T_830, _T_831) @[dec_tlu_ctl.scala 2221:112] + node _T_833 = bits(io.mtdata1_t[3], 9, 9) @[dec_tlu_ctl.scala 2221:154] + node _T_834 = not(_T_833) @[dec_tlu_ctl.scala 2221:138] + node _T_835 = or(_T_834, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2221:170] + node _T_836 = and(_T_832, _T_835) @[dec_tlu_ctl.scala 2221:135] + wire wr_mtdata1_t_r : UInt<1>[4] @[dec_tlu_ctl.scala 2221:42] + wr_mtdata1_t_r[0] <= _T_809 @[dec_tlu_ctl.scala 2221:42] + wr_mtdata1_t_r[1] <= _T_818 @[dec_tlu_ctl.scala 2221:42] + wr_mtdata1_t_r[2] <= _T_827 @[dec_tlu_ctl.scala 2221:42] + wr_mtdata1_t_r[3] <= _T_836 @[dec_tlu_ctl.scala 2221:42] + node _T_837 = bits(wr_mtdata1_t_r[0], 0, 0) @[dec_tlu_ctl.scala 2222:68] + node _T_838 = bits(io.mtdata1_t[0], 9, 9) @[dec_tlu_ctl.scala 2222:111] + node _T_839 = bits(io.update_hit_bit_r, 0, 0) @[dec_tlu_ctl.scala 2222:135] + node _T_840 = bits(io.mtdata1_t[0], 8, 8) @[dec_tlu_ctl.scala 2222:156] + node _T_841 = or(_T_839, _T_840) @[dec_tlu_ctl.scala 2222:139] + node _T_842 = bits(io.mtdata1_t[0], 7, 0) @[dec_tlu_ctl.scala 2222:176] + node _T_843 = cat(_T_838, _T_841) @[Cat.scala 29:58] + node _T_844 = cat(_T_843, _T_842) @[Cat.scala 29:58] + node _T_845 = mux(_T_837, tdata_wrdata_r, _T_844) @[dec_tlu_ctl.scala 2222:49] + node _T_846 = bits(wr_mtdata1_t_r[1], 0, 0) @[dec_tlu_ctl.scala 2222:68] + node _T_847 = bits(io.mtdata1_t[1], 9, 9) @[dec_tlu_ctl.scala 2222:111] + node _T_848 = bits(io.update_hit_bit_r, 1, 1) @[dec_tlu_ctl.scala 2222:135] + node _T_849 = bits(io.mtdata1_t[1], 8, 8) @[dec_tlu_ctl.scala 2222:156] + node _T_850 = or(_T_848, _T_849) @[dec_tlu_ctl.scala 2222:139] + node _T_851 = bits(io.mtdata1_t[1], 7, 0) @[dec_tlu_ctl.scala 2222:176] + node _T_852 = cat(_T_847, _T_850) @[Cat.scala 29:58] + node _T_853 = cat(_T_852, _T_851) @[Cat.scala 29:58] + node _T_854 = mux(_T_846, tdata_wrdata_r, _T_853) @[dec_tlu_ctl.scala 2222:49] + node _T_855 = bits(wr_mtdata1_t_r[2], 0, 0) @[dec_tlu_ctl.scala 2222:68] + node _T_856 = bits(io.mtdata1_t[2], 9, 9) @[dec_tlu_ctl.scala 2222:111] + node _T_857 = bits(io.update_hit_bit_r, 2, 2) @[dec_tlu_ctl.scala 2222:135] + node _T_858 = bits(io.mtdata1_t[2], 8, 8) @[dec_tlu_ctl.scala 2222:156] + node _T_859 = or(_T_857, _T_858) @[dec_tlu_ctl.scala 2222:139] + node _T_860 = bits(io.mtdata1_t[2], 7, 0) @[dec_tlu_ctl.scala 2222:176] + node _T_861 = cat(_T_856, _T_859) @[Cat.scala 29:58] + node _T_862 = cat(_T_861, _T_860) @[Cat.scala 29:58] + node _T_863 = mux(_T_855, tdata_wrdata_r, _T_862) @[dec_tlu_ctl.scala 2222:49] + node _T_864 = bits(wr_mtdata1_t_r[3], 0, 0) @[dec_tlu_ctl.scala 2222:68] + node _T_865 = bits(io.mtdata1_t[3], 9, 9) @[dec_tlu_ctl.scala 2222:111] + node _T_866 = bits(io.update_hit_bit_r, 3, 3) @[dec_tlu_ctl.scala 2222:135] + node _T_867 = bits(io.mtdata1_t[3], 8, 8) @[dec_tlu_ctl.scala 2222:156] + node _T_868 = or(_T_866, _T_867) @[dec_tlu_ctl.scala 2222:139] + node _T_869 = bits(io.mtdata1_t[3], 7, 0) @[dec_tlu_ctl.scala 2222:176] + node _T_870 = cat(_T_865, _T_868) @[Cat.scala 29:58] + node _T_871 = cat(_T_870, _T_869) @[Cat.scala 29:58] + node _T_872 = mux(_T_864, tdata_wrdata_r, _T_871) @[dec_tlu_ctl.scala 2222:49] + wire mtdata1_t_ns : UInt<10>[4] @[dec_tlu_ctl.scala 2222:40] + mtdata1_t_ns[0] <= _T_845 @[dec_tlu_ctl.scala 2222:40] + mtdata1_t_ns[1] <= _T_854 @[dec_tlu_ctl.scala 2222:40] + mtdata1_t_ns[2] <= _T_863 @[dec_tlu_ctl.scala 2222:40] + mtdata1_t_ns[3] <= _T_872 @[dec_tlu_ctl.scala 2222:40] + reg _T_873 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2224:74] + _T_873 <= mtdata1_t_ns[0] @[dec_tlu_ctl.scala 2224:74] + io.mtdata1_t[0] <= _T_873 @[dec_tlu_ctl.scala 2224:39] + reg _T_874 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2224:74] + _T_874 <= mtdata1_t_ns[1] @[dec_tlu_ctl.scala 2224:74] + io.mtdata1_t[1] <= _T_874 @[dec_tlu_ctl.scala 2224:39] + reg _T_875 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2224:74] + _T_875 <= mtdata1_t_ns[2] @[dec_tlu_ctl.scala 2224:74] + io.mtdata1_t[2] <= _T_875 @[dec_tlu_ctl.scala 2224:39] + reg _T_876 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2224:74] + _T_876 <= mtdata1_t_ns[3] @[dec_tlu_ctl.scala 2224:74] + io.mtdata1_t[3] <= _T_876 @[dec_tlu_ctl.scala 2224:39] + node _T_877 = eq(mtsel, UInt<2>("h00")) @[dec_tlu_ctl.scala 2227:58] + node _T_878 = bits(io.mtdata1_t[0], 9, 9) @[dec_tlu_ctl.scala 2227:104] + node _T_879 = bits(io.mtdata1_t[0], 8, 7) @[dec_tlu_ctl.scala 2227:142] + node _T_880 = bits(io.mtdata1_t[0], 6, 5) @[dec_tlu_ctl.scala 2227:174] + node _T_881 = bits(io.mtdata1_t[0], 4, 3) @[dec_tlu_ctl.scala 2227:206] + node _T_882 = bits(io.mtdata1_t[0], 2, 0) @[dec_tlu_ctl.scala 2227:238] + node _T_883 = cat(UInt<3>("h00"), _T_882) @[Cat.scala 29:58] + node _T_884 = cat(_T_880, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_885 = cat(_T_884, _T_881) @[Cat.scala 29:58] + node _T_886 = cat(_T_885, _T_883) @[Cat.scala 29:58] + node _T_887 = cat(_T_879, UInt<6>("h00")) @[Cat.scala 29:58] + node _T_888 = cat(UInt<4>("h02"), _T_878) @[Cat.scala 29:58] + node _T_889 = cat(_T_888, UInt<6>("h01f")) @[Cat.scala 29:58] + node _T_890 = cat(_T_889, _T_887) @[Cat.scala 29:58] + node _T_891 = cat(_T_890, _T_886) @[Cat.scala 29:58] + node _T_892 = eq(mtsel, UInt<2>("h01")) @[dec_tlu_ctl.scala 2227:58] + node _T_893 = bits(io.mtdata1_t[1], 9, 9) @[dec_tlu_ctl.scala 2227:104] + node _T_894 = bits(io.mtdata1_t[1], 8, 7) @[dec_tlu_ctl.scala 2227:142] + node _T_895 = bits(io.mtdata1_t[1], 6, 5) @[dec_tlu_ctl.scala 2227:174] + node _T_896 = bits(io.mtdata1_t[1], 4, 3) @[dec_tlu_ctl.scala 2227:206] + node _T_897 = bits(io.mtdata1_t[1], 2, 0) @[dec_tlu_ctl.scala 2227:238] + node _T_898 = cat(UInt<3>("h00"), _T_897) @[Cat.scala 29:58] + node _T_899 = cat(_T_895, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_900 = cat(_T_899, _T_896) @[Cat.scala 29:58] + node _T_901 = cat(_T_900, _T_898) @[Cat.scala 29:58] + node _T_902 = cat(_T_894, UInt<6>("h00")) @[Cat.scala 29:58] + node _T_903 = cat(UInt<4>("h02"), _T_893) @[Cat.scala 29:58] + node _T_904 = cat(_T_903, UInt<6>("h01f")) @[Cat.scala 29:58] + node _T_905 = cat(_T_904, _T_902) @[Cat.scala 29:58] + node _T_906 = cat(_T_905, _T_901) @[Cat.scala 29:58] + node _T_907 = eq(mtsel, UInt<2>("h02")) @[dec_tlu_ctl.scala 2227:58] + node _T_908 = bits(io.mtdata1_t[2], 9, 9) @[dec_tlu_ctl.scala 2227:104] + node _T_909 = bits(io.mtdata1_t[2], 8, 7) @[dec_tlu_ctl.scala 2227:142] + node _T_910 = bits(io.mtdata1_t[2], 6, 5) @[dec_tlu_ctl.scala 2227:174] + node _T_911 = bits(io.mtdata1_t[2], 4, 3) @[dec_tlu_ctl.scala 2227:206] + node _T_912 = bits(io.mtdata1_t[2], 2, 0) @[dec_tlu_ctl.scala 2227:238] + node _T_913 = cat(UInt<3>("h00"), _T_912) @[Cat.scala 29:58] + node _T_914 = cat(_T_910, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_915 = cat(_T_914, _T_911) @[Cat.scala 29:58] + node _T_916 = cat(_T_915, _T_913) @[Cat.scala 29:58] + node _T_917 = cat(_T_909, UInt<6>("h00")) @[Cat.scala 29:58] + node _T_918 = cat(UInt<4>("h02"), _T_908) @[Cat.scala 29:58] + node _T_919 = cat(_T_918, UInt<6>("h01f")) @[Cat.scala 29:58] + node _T_920 = cat(_T_919, _T_917) @[Cat.scala 29:58] + node _T_921 = cat(_T_920, _T_916) @[Cat.scala 29:58] + node _T_922 = eq(mtsel, UInt<2>("h03")) @[dec_tlu_ctl.scala 2227:58] + node _T_923 = bits(io.mtdata1_t[3], 9, 9) @[dec_tlu_ctl.scala 2227:104] + node _T_924 = bits(io.mtdata1_t[3], 8, 7) @[dec_tlu_ctl.scala 2227:142] + node _T_925 = bits(io.mtdata1_t[3], 6, 5) @[dec_tlu_ctl.scala 2227:174] + node _T_926 = bits(io.mtdata1_t[3], 4, 3) @[dec_tlu_ctl.scala 2227:206] + node _T_927 = bits(io.mtdata1_t[3], 2, 0) @[dec_tlu_ctl.scala 2227:238] + node _T_928 = cat(UInt<3>("h00"), _T_927) @[Cat.scala 29:58] + node _T_929 = cat(_T_925, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_930 = cat(_T_929, _T_926) @[Cat.scala 29:58] + node _T_931 = cat(_T_930, _T_928) @[Cat.scala 29:58] + node _T_932 = cat(_T_924, UInt<6>("h00")) @[Cat.scala 29:58] + node _T_933 = cat(UInt<4>("h02"), _T_923) @[Cat.scala 29:58] + node _T_934 = cat(_T_933, UInt<6>("h01f")) @[Cat.scala 29:58] + node _T_935 = cat(_T_934, _T_932) @[Cat.scala 29:58] + node _T_936 = cat(_T_935, _T_931) @[Cat.scala 29:58] + node _T_937 = mux(_T_877, _T_891, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_938 = mux(_T_892, _T_906, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_939 = mux(_T_907, _T_921, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_940 = mux(_T_922, _T_936, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_941 = or(_T_937, _T_938) @[Mux.scala 27:72] + node _T_942 = or(_T_941, _T_939) @[Mux.scala 27:72] + node _T_943 = or(_T_942, _T_940) @[Mux.scala 27:72] + wire mtdata1_tsel_out : UInt<32> @[Mux.scala 27:72] + mtdata1_tsel_out <= _T_943 @[Mux.scala 27:72] + node _T_944 = bits(io.mtdata1_t[0], 7, 7) @[dec_tlu_ctl.scala 2229:58] + io.trigger_pkt_any[0].select <= _T_944 @[dec_tlu_ctl.scala 2229:40] + node _T_945 = bits(io.mtdata1_t[0], 4, 4) @[dec_tlu_ctl.scala 2230:61] + io.trigger_pkt_any[0].match_pkt <= _T_945 @[dec_tlu_ctl.scala 2230:43] + node _T_946 = bits(io.mtdata1_t[0], 1, 1) @[dec_tlu_ctl.scala 2231:58] + io.trigger_pkt_any[0].store <= _T_946 @[dec_tlu_ctl.scala 2231:40] + node _T_947 = bits(io.mtdata1_t[0], 0, 0) @[dec_tlu_ctl.scala 2232:58] + io.trigger_pkt_any[0].load <= _T_947 @[dec_tlu_ctl.scala 2232:40] + node _T_948 = bits(io.mtdata1_t[0], 2, 2) @[dec_tlu_ctl.scala 2233:58] + io.trigger_pkt_any[0].execute <= _T_948 @[dec_tlu_ctl.scala 2233:40] + node _T_949 = bits(io.mtdata1_t[0], 3, 3) @[dec_tlu_ctl.scala 2234:58] + io.trigger_pkt_any[0].m <= _T_949 @[dec_tlu_ctl.scala 2234:40] + node _T_950 = bits(io.mtdata1_t[1], 7, 7) @[dec_tlu_ctl.scala 2229:58] + io.trigger_pkt_any[1].select <= _T_950 @[dec_tlu_ctl.scala 2229:40] + node _T_951 = bits(io.mtdata1_t[1], 4, 4) @[dec_tlu_ctl.scala 2230:61] + io.trigger_pkt_any[1].match_pkt <= _T_951 @[dec_tlu_ctl.scala 2230:43] + node _T_952 = bits(io.mtdata1_t[1], 1, 1) @[dec_tlu_ctl.scala 2231:58] + io.trigger_pkt_any[1].store <= _T_952 @[dec_tlu_ctl.scala 2231:40] + node _T_953 = bits(io.mtdata1_t[1], 0, 0) @[dec_tlu_ctl.scala 2232:58] + io.trigger_pkt_any[1].load <= _T_953 @[dec_tlu_ctl.scala 2232:40] + node _T_954 = bits(io.mtdata1_t[1], 2, 2) @[dec_tlu_ctl.scala 2233:58] + io.trigger_pkt_any[1].execute <= _T_954 @[dec_tlu_ctl.scala 2233:40] + node _T_955 = bits(io.mtdata1_t[1], 3, 3) @[dec_tlu_ctl.scala 2234:58] + io.trigger_pkt_any[1].m <= _T_955 @[dec_tlu_ctl.scala 2234:40] + node _T_956 = bits(io.mtdata1_t[2], 7, 7) @[dec_tlu_ctl.scala 2229:58] + io.trigger_pkt_any[2].select <= _T_956 @[dec_tlu_ctl.scala 2229:40] + node _T_957 = bits(io.mtdata1_t[2], 4, 4) @[dec_tlu_ctl.scala 2230:61] + io.trigger_pkt_any[2].match_pkt <= _T_957 @[dec_tlu_ctl.scala 2230:43] + node _T_958 = bits(io.mtdata1_t[2], 1, 1) @[dec_tlu_ctl.scala 2231:58] + io.trigger_pkt_any[2].store <= _T_958 @[dec_tlu_ctl.scala 2231:40] + node _T_959 = bits(io.mtdata1_t[2], 0, 0) @[dec_tlu_ctl.scala 2232:58] + io.trigger_pkt_any[2].load <= _T_959 @[dec_tlu_ctl.scala 2232:40] + node _T_960 = bits(io.mtdata1_t[2], 2, 2) @[dec_tlu_ctl.scala 2233:58] + io.trigger_pkt_any[2].execute <= _T_960 @[dec_tlu_ctl.scala 2233:40] + node _T_961 = bits(io.mtdata1_t[2], 3, 3) @[dec_tlu_ctl.scala 2234:58] + io.trigger_pkt_any[2].m <= _T_961 @[dec_tlu_ctl.scala 2234:40] + node _T_962 = bits(io.mtdata1_t[3], 7, 7) @[dec_tlu_ctl.scala 2229:58] + io.trigger_pkt_any[3].select <= _T_962 @[dec_tlu_ctl.scala 2229:40] + node _T_963 = bits(io.mtdata1_t[3], 4, 4) @[dec_tlu_ctl.scala 2230:61] + io.trigger_pkt_any[3].match_pkt <= _T_963 @[dec_tlu_ctl.scala 2230:43] + node _T_964 = bits(io.mtdata1_t[3], 1, 1) @[dec_tlu_ctl.scala 2231:58] + io.trigger_pkt_any[3].store <= _T_964 @[dec_tlu_ctl.scala 2231:40] + node _T_965 = bits(io.mtdata1_t[3], 0, 0) @[dec_tlu_ctl.scala 2232:58] + io.trigger_pkt_any[3].load <= _T_965 @[dec_tlu_ctl.scala 2232:40] + node _T_966 = bits(io.mtdata1_t[3], 2, 2) @[dec_tlu_ctl.scala 2233:58] + io.trigger_pkt_any[3].execute <= _T_966 @[dec_tlu_ctl.scala 2233:40] + node _T_967 = bits(io.mtdata1_t[3], 3, 3) @[dec_tlu_ctl.scala 2234:58] + io.trigger_pkt_any[3].m <= _T_967 @[dec_tlu_ctl.scala 2234:40] + node _T_968 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2241:91] + node _T_969 = eq(_T_968, UInt<12>("h07a2")) @[dec_tlu_ctl.scala 2241:98] + node _T_970 = and(io.dec_csr_wen_r_mod, _T_969) @[dec_tlu_ctl.scala 2241:69] + node _T_971 = eq(mtsel, UInt<2>("h00")) @[dec_tlu_ctl.scala 2241:120] + node _T_972 = and(_T_970, _T_971) @[dec_tlu_ctl.scala 2241:111] + node _T_973 = bits(io.mtdata1_t[0], 9, 9) @[dec_tlu_ctl.scala 2241:153] + node _T_974 = not(_T_973) @[dec_tlu_ctl.scala 2241:137] + node _T_975 = or(_T_974, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2241:169] + node _T_976 = and(_T_972, _T_975) @[dec_tlu_ctl.scala 2241:134] + node _T_977 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2241:91] + node _T_978 = eq(_T_977, UInt<12>("h07a2")) @[dec_tlu_ctl.scala 2241:98] + node _T_979 = and(io.dec_csr_wen_r_mod, _T_978) @[dec_tlu_ctl.scala 2241:69] + node _T_980 = eq(mtsel, UInt<2>("h01")) @[dec_tlu_ctl.scala 2241:120] + node _T_981 = and(_T_979, _T_980) @[dec_tlu_ctl.scala 2241:111] + node _T_982 = bits(io.mtdata1_t[1], 9, 9) @[dec_tlu_ctl.scala 2241:153] + node _T_983 = not(_T_982) @[dec_tlu_ctl.scala 2241:137] + node _T_984 = or(_T_983, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2241:169] + node _T_985 = and(_T_981, _T_984) @[dec_tlu_ctl.scala 2241:134] + node _T_986 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2241:91] + node _T_987 = eq(_T_986, UInt<12>("h07a2")) @[dec_tlu_ctl.scala 2241:98] + node _T_988 = and(io.dec_csr_wen_r_mod, _T_987) @[dec_tlu_ctl.scala 2241:69] + node _T_989 = eq(mtsel, UInt<2>("h02")) @[dec_tlu_ctl.scala 2241:120] + node _T_990 = and(_T_988, _T_989) @[dec_tlu_ctl.scala 2241:111] + node _T_991 = bits(io.mtdata1_t[2], 9, 9) @[dec_tlu_ctl.scala 2241:153] + node _T_992 = not(_T_991) @[dec_tlu_ctl.scala 2241:137] + node _T_993 = or(_T_992, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2241:169] + node _T_994 = and(_T_990, _T_993) @[dec_tlu_ctl.scala 2241:134] + node _T_995 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2241:91] + node _T_996 = eq(_T_995, UInt<12>("h07a2")) @[dec_tlu_ctl.scala 2241:98] + node _T_997 = and(io.dec_csr_wen_r_mod, _T_996) @[dec_tlu_ctl.scala 2241:69] + node _T_998 = eq(mtsel, UInt<2>("h03")) @[dec_tlu_ctl.scala 2241:120] + node _T_999 = and(_T_997, _T_998) @[dec_tlu_ctl.scala 2241:111] + node _T_1000 = bits(io.mtdata1_t[3], 9, 9) @[dec_tlu_ctl.scala 2241:153] + node _T_1001 = not(_T_1000) @[dec_tlu_ctl.scala 2241:137] + node _T_1002 = or(_T_1001, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2241:169] + node _T_1003 = and(_T_999, _T_1002) @[dec_tlu_ctl.scala 2241:134] + wire wr_mtdata2_t_r : UInt<1>[4] @[dec_tlu_ctl.scala 2241:42] + wr_mtdata2_t_r[0] <= _T_976 @[dec_tlu_ctl.scala 2241:42] + wr_mtdata2_t_r[1] <= _T_985 @[dec_tlu_ctl.scala 2241:42] + wr_mtdata2_t_r[2] <= _T_994 @[dec_tlu_ctl.scala 2241:42] + wr_mtdata2_t_r[3] <= _T_1003 @[dec_tlu_ctl.scala 2241:42] + node _T_1004 = bits(wr_mtdata2_t_r[0], 0, 0) @[dec_tlu_ctl.scala 2242:84] + inst rvclkhdr_22 of rvclkhdr_81 @[lib.scala 368:23] + rvclkhdr_22.clock <= clock + rvclkhdr_22.reset <= reset + rvclkhdr_22.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_22.io.en <= _T_1004 @[lib.scala 371:17] + rvclkhdr_22.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_1005 : UInt, rvclkhdr_22.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1005 <= io.dec_csr_wrdata_r @[lib.scala 374:16] + mtdata2_t[0] <= _T_1005 @[dec_tlu_ctl.scala 2242:36] + node _T_1006 = bits(wr_mtdata2_t_r[1], 0, 0) @[dec_tlu_ctl.scala 2242:84] + inst rvclkhdr_23 of rvclkhdr_82 @[lib.scala 368:23] + rvclkhdr_23.clock <= clock + rvclkhdr_23.reset <= reset + rvclkhdr_23.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_23.io.en <= _T_1006 @[lib.scala 371:17] + rvclkhdr_23.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_1007 : UInt, rvclkhdr_23.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1007 <= io.dec_csr_wrdata_r @[lib.scala 374:16] + mtdata2_t[1] <= _T_1007 @[dec_tlu_ctl.scala 2242:36] + node _T_1008 = bits(wr_mtdata2_t_r[2], 0, 0) @[dec_tlu_ctl.scala 2242:84] + inst rvclkhdr_24 of rvclkhdr_83 @[lib.scala 368:23] + rvclkhdr_24.clock <= clock + rvclkhdr_24.reset <= reset + rvclkhdr_24.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_24.io.en <= _T_1008 @[lib.scala 371:17] + rvclkhdr_24.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_1009 : UInt, rvclkhdr_24.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1009 <= io.dec_csr_wrdata_r @[lib.scala 374:16] + mtdata2_t[2] <= _T_1009 @[dec_tlu_ctl.scala 2242:36] + node _T_1010 = bits(wr_mtdata2_t_r[3], 0, 0) @[dec_tlu_ctl.scala 2242:84] + inst rvclkhdr_25 of rvclkhdr_84 @[lib.scala 368:23] + rvclkhdr_25.clock <= clock + rvclkhdr_25.reset <= reset + rvclkhdr_25.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_25.io.en <= _T_1010 @[lib.scala 371:17] + rvclkhdr_25.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_1011 : UInt, rvclkhdr_25.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1011 <= io.dec_csr_wrdata_r @[lib.scala 374:16] + mtdata2_t[3] <= _T_1011 @[dec_tlu_ctl.scala 2242:36] + node _T_1012 = eq(mtsel, UInt<2>("h00")) @[dec_tlu_ctl.scala 2246:57] + node _T_1013 = eq(mtsel, UInt<2>("h01")) @[dec_tlu_ctl.scala 2246:57] + node _T_1014 = eq(mtsel, UInt<2>("h02")) @[dec_tlu_ctl.scala 2246:57] + node _T_1015 = eq(mtsel, UInt<2>("h03")) @[dec_tlu_ctl.scala 2246:57] + node _T_1016 = mux(_T_1012, mtdata2_t[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1017 = mux(_T_1013, mtdata2_t[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1018 = mux(_T_1014, mtdata2_t[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1019 = mux(_T_1015, mtdata2_t[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1020 = or(_T_1016, _T_1017) @[Mux.scala 27:72] + node _T_1021 = or(_T_1020, _T_1018) @[Mux.scala 27:72] + node _T_1022 = or(_T_1021, _T_1019) @[Mux.scala 27:72] + wire mtdata2_tsel_out : UInt<32> @[Mux.scala 27:72] + mtdata2_tsel_out <= _T_1022 @[Mux.scala 27:72] + io.trigger_pkt_any[0].tdata2 <= mtdata2_t[0] @[dec_tlu_ctl.scala 2247:51] + io.trigger_pkt_any[1].tdata2 <= mtdata2_t[1] @[dec_tlu_ctl.scala 2247:51] + io.trigger_pkt_any[2].tdata2 <= mtdata2_t[2] @[dec_tlu_ctl.scala 2247:51] + io.trigger_pkt_any[3].tdata2 <= mtdata2_t[3] @[dec_tlu_ctl.scala 2247:51] + mhpme_vec[0] <= mhpme3 @[dec_tlu_ctl.scala 2257:15] + mhpme_vec[1] <= mhpme4 @[dec_tlu_ctl.scala 2258:15] + mhpme_vec[2] <= mhpme5 @[dec_tlu_ctl.scala 2259:15] + mhpme_vec[3] <= mhpme6 @[dec_tlu_ctl.scala 2260:15] + node _T_1023 = bits(io.tlu_i0_commit_cmt, 0, 0) @[Bitwise.scala 72:15] + node _T_1024 = mux(_T_1023, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node pmu_i0_itype_qual = and(io.dec_tlu_packet_r.pmu_i0_itype, _T_1024) @[dec_tlu_ctl.scala 2266:59] + wire mhpmc_inc_r : UInt<1>[4] @[dec_tlu_ctl.scala 2267:24] + wire mhpmc_inc_r_d1 : UInt<1>[4] @[dec_tlu_ctl.scala 2268:27] + node _T_1025 = bits(mcountinhibit, 3, 3) @[dec_tlu_ctl.scala 2272:38] + node _T_1026 = not(_T_1025) @[dec_tlu_ctl.scala 2272:24] + node _T_1027 = eq(mhpme_vec[0], UInt<1>("h01")) @[dec_tlu_ctl.scala 2273:34] + node _T_1028 = bits(_T_1027, 0, 0) @[dec_tlu_ctl.scala 2273:62] + node _T_1029 = eq(mhpme_vec[0], UInt<2>("h02")) @[dec_tlu_ctl.scala 2274:34] + node _T_1030 = bits(_T_1029, 0, 0) @[dec_tlu_ctl.scala 2274:62] + node _T_1031 = eq(mhpme_vec[0], UInt<2>("h03")) @[dec_tlu_ctl.scala 2275:34] + node _T_1032 = bits(_T_1031, 0, 0) @[dec_tlu_ctl.scala 2275:62] + node _T_1033 = eq(mhpme_vec[0], UInt<3>("h04")) @[dec_tlu_ctl.scala 2276:34] + node _T_1034 = bits(_T_1033, 0, 0) @[dec_tlu_ctl.scala 2276:62] + node _T_1035 = not(io.illegal_r) @[dec_tlu_ctl.scala 2276:96] + node _T_1036 = and(io.tlu_i0_commit_cmt, _T_1035) @[dec_tlu_ctl.scala 2276:94] + node _T_1037 = eq(mhpme_vec[0], UInt<3>("h05")) @[dec_tlu_ctl.scala 2277:34] + node _T_1038 = bits(_T_1037, 0, 0) @[dec_tlu_ctl.scala 2277:62] + node _T_1039 = not(io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2277:96] + node _T_1040 = and(io.tlu_i0_commit_cmt, _T_1039) @[dec_tlu_ctl.scala 2277:94] + node _T_1041 = not(io.illegal_r) @[dec_tlu_ctl.scala 2277:117] + node _T_1042 = and(_T_1040, _T_1041) @[dec_tlu_ctl.scala 2277:115] + node _T_1043 = eq(mhpme_vec[0], UInt<3>("h06")) @[dec_tlu_ctl.scala 2278:34] + node _T_1044 = bits(_T_1043, 0, 0) @[dec_tlu_ctl.scala 2278:62] + node _T_1045 = and(io.tlu_i0_commit_cmt, io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2278:94] + node _T_1046 = not(io.illegal_r) @[dec_tlu_ctl.scala 2278:117] + node _T_1047 = and(_T_1045, _T_1046) @[dec_tlu_ctl.scala 2278:115] + node _T_1048 = eq(mhpme_vec[0], UInt<3>("h07")) @[dec_tlu_ctl.scala 2279:34] + node _T_1049 = bits(_T_1048, 0, 0) @[dec_tlu_ctl.scala 2279:62] + node _T_1050 = eq(mhpme_vec[0], UInt<4>("h08")) @[dec_tlu_ctl.scala 2280:34] + node _T_1051 = bits(_T_1050, 0, 0) @[dec_tlu_ctl.scala 2280:62] + node _T_1052 = eq(mhpme_vec[0], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2281:34] + node _T_1053 = bits(_T_1052, 0, 0) @[dec_tlu_ctl.scala 2281:62] + node _T_1054 = eq(mhpme_vec[0], UInt<4>("h09")) @[dec_tlu_ctl.scala 2282:34] + node _T_1055 = bits(_T_1054, 0, 0) @[dec_tlu_ctl.scala 2282:62] + node _T_1056 = eq(pmu_i0_itype_qual, UInt<4>("h01")) @[dec_tlu_ctl.scala 2282:91] + node _T_1057 = eq(mhpme_vec[0], UInt<4>("h0a")) @[dec_tlu_ctl.scala 2283:34] + node _T_1058 = bits(_T_1057, 0, 0) @[dec_tlu_ctl.scala 2283:62] + node _T_1059 = and(io.dec_tlu_packet_r.pmu_divide, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2283:105] + node _T_1060 = eq(mhpme_vec[0], UInt<4>("h0b")) @[dec_tlu_ctl.scala 2284:34] + node _T_1061 = bits(_T_1060, 0, 0) @[dec_tlu_ctl.scala 2284:62] + node _T_1062 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2284:91] + node _T_1063 = eq(mhpme_vec[0], UInt<4>("h0c")) @[dec_tlu_ctl.scala 2285:34] + node _T_1064 = bits(_T_1063, 0, 0) @[dec_tlu_ctl.scala 2285:62] + node _T_1065 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2285:91] + node _T_1066 = eq(mhpme_vec[0], UInt<4>("h0d")) @[dec_tlu_ctl.scala 2286:34] + node _T_1067 = bits(_T_1066, 0, 0) @[dec_tlu_ctl.scala 2286:62] + node _T_1068 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2286:91] + node _T_1069 = and(_T_1068, io.dec_tlu_packet_r.pmu_lsu_misaligned) @[dec_tlu_ctl.scala 2286:100] + node _T_1070 = eq(mhpme_vec[0], UInt<4>("h0e")) @[dec_tlu_ctl.scala 2287:34] + node _T_1071 = bits(_T_1070, 0, 0) @[dec_tlu_ctl.scala 2287:62] + node _T_1072 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2287:91] + node _T_1073 = bits(io.dec_tlu_packet_r.pmu_lsu_misaligned, 0, 0) @[dec_tlu_ctl.scala 2287:142] + node _T_1074 = and(_T_1072, _T_1073) @[dec_tlu_ctl.scala 2287:101] + node _T_1075 = eq(mhpme_vec[0], UInt<4>("h0f")) @[dec_tlu_ctl.scala 2288:34] + node _T_1076 = bits(_T_1075, 0, 0) @[dec_tlu_ctl.scala 2288:59] + node _T_1077 = eq(pmu_i0_itype_qual, UInt<4>("h04")) @[dec_tlu_ctl.scala 2288:89] + node _T_1078 = eq(mhpme_vec[0], UInt<5>("h010")) @[dec_tlu_ctl.scala 2289:34] + node _T_1079 = bits(_T_1078, 0, 0) @[dec_tlu_ctl.scala 2289:59] + node _T_1080 = eq(pmu_i0_itype_qual, UInt<4>("h05")) @[dec_tlu_ctl.scala 2289:89] + node _T_1081 = eq(mhpme_vec[0], UInt<5>("h012")) @[dec_tlu_ctl.scala 2290:34] + node _T_1082 = bits(_T_1081, 0, 0) @[dec_tlu_ctl.scala 2290:59] + node _T_1083 = eq(pmu_i0_itype_qual, UInt<4>("h06")) @[dec_tlu_ctl.scala 2290:89] + node _T_1084 = eq(mhpme_vec[0], UInt<5>("h011")) @[dec_tlu_ctl.scala 2291:34] + node _T_1085 = bits(_T_1084, 0, 0) @[dec_tlu_ctl.scala 2291:59] + node _T_1086 = eq(pmu_i0_itype_qual, UInt<4>("h07")) @[dec_tlu_ctl.scala 2291:89] + node _T_1087 = eq(mhpme_vec[0], UInt<5>("h013")) @[dec_tlu_ctl.scala 2292:34] + node _T_1088 = bits(_T_1087, 0, 0) @[dec_tlu_ctl.scala 2292:59] + node _T_1089 = eq(pmu_i0_itype_qual, UInt<4>("h08")) @[dec_tlu_ctl.scala 2292:89] + node _T_1090 = eq(mhpme_vec[0], UInt<5>("h014")) @[dec_tlu_ctl.scala 2293:34] + node _T_1091 = bits(_T_1090, 0, 0) @[dec_tlu_ctl.scala 2293:59] + node _T_1092 = eq(pmu_i0_itype_qual, UInt<4>("h09")) @[dec_tlu_ctl.scala 2293:89] + node _T_1093 = eq(mhpme_vec[0], UInt<5>("h015")) @[dec_tlu_ctl.scala 2294:34] + node _T_1094 = bits(_T_1093, 0, 0) @[dec_tlu_ctl.scala 2294:59] + node _T_1095 = eq(pmu_i0_itype_qual, UInt<4>("h0a")) @[dec_tlu_ctl.scala 2294:89] + node _T_1096 = eq(mhpme_vec[0], UInt<5>("h016")) @[dec_tlu_ctl.scala 2295:34] + node _T_1097 = bits(_T_1096, 0, 0) @[dec_tlu_ctl.scala 2295:59] + node _T_1098 = eq(pmu_i0_itype_qual, UInt<4>("h0b")) @[dec_tlu_ctl.scala 2295:89] + node _T_1099 = eq(mhpme_vec[0], UInt<5>("h017")) @[dec_tlu_ctl.scala 2296:34] + node _T_1100 = bits(_T_1099, 0, 0) @[dec_tlu_ctl.scala 2296:59] + node _T_1101 = eq(pmu_i0_itype_qual, UInt<4>("h0c")) @[dec_tlu_ctl.scala 2296:89] + node _T_1102 = eq(mhpme_vec[0], UInt<5>("h018")) @[dec_tlu_ctl.scala 2297:34] + node _T_1103 = bits(_T_1102, 0, 0) @[dec_tlu_ctl.scala 2297:59] + node _T_1104 = eq(pmu_i0_itype_qual, UInt<4>("h0d")) @[dec_tlu_ctl.scala 2297:89] + node _T_1105 = eq(pmu_i0_itype_qual, UInt<4>("h0e")) @[dec_tlu_ctl.scala 2297:122] + node _T_1106 = or(_T_1104, _T_1105) @[dec_tlu_ctl.scala 2297:101] + node _T_1107 = eq(mhpme_vec[0], UInt<5>("h019")) @[dec_tlu_ctl.scala 2298:34] + node _T_1108 = bits(_T_1107, 0, 0) @[dec_tlu_ctl.scala 2298:62] + node _T_1109 = and(io.exu_pmu_i0_br_misp, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2298:95] + node _T_1110 = eq(mhpme_vec[0], UInt<5>("h01a")) @[dec_tlu_ctl.scala 2299:34] + node _T_1111 = bits(_T_1110, 0, 0) @[dec_tlu_ctl.scala 2299:62] + node _T_1112 = and(io.exu_pmu_i0_br_ataken, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2299:97] + node _T_1113 = eq(mhpme_vec[0], UInt<5>("h01b")) @[dec_tlu_ctl.scala 2300:34] + node _T_1114 = bits(_T_1113, 0, 0) @[dec_tlu_ctl.scala 2300:62] + node _T_1115 = and(io.dec_tlu_packet_r.pmu_i0_br_unpred, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2300:110] + node _T_1116 = eq(mhpme_vec[0], UInt<5>("h01c")) @[dec_tlu_ctl.scala 2301:34] + node _T_1117 = bits(_T_1116, 0, 0) @[dec_tlu_ctl.scala 2301:62] + node _T_1118 = eq(mhpme_vec[0], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2302:34] + node _T_1119 = bits(_T_1118, 0, 0) @[dec_tlu_ctl.scala 2302:62] + node _T_1120 = eq(mhpme_vec[0], UInt<5>("h01f")) @[dec_tlu_ctl.scala 2303:34] + node _T_1121 = bits(_T_1120, 0, 0) @[dec_tlu_ctl.scala 2303:62] + node _T_1122 = eq(mhpme_vec[0], UInt<6>("h020")) @[dec_tlu_ctl.scala 2304:34] + node _T_1123 = bits(_T_1122, 0, 0) @[dec_tlu_ctl.scala 2304:62] + node _T_1124 = eq(mhpme_vec[0], UInt<6>("h022")) @[dec_tlu_ctl.scala 2305:34] + node _T_1125 = bits(_T_1124, 0, 0) @[dec_tlu_ctl.scala 2305:62] + node _T_1126 = eq(mhpme_vec[0], UInt<6>("h023")) @[dec_tlu_ctl.scala 2306:34] + node _T_1127 = bits(_T_1126, 0, 0) @[dec_tlu_ctl.scala 2306:62] + node _T_1128 = eq(mhpme_vec[0], UInt<6>("h024")) @[dec_tlu_ctl.scala 2307:34] + node _T_1129 = bits(_T_1128, 0, 0) @[dec_tlu_ctl.scala 2307:62] + node _T_1130 = eq(mhpme_vec[0], UInt<6>("h025")) @[dec_tlu_ctl.scala 2308:34] + node _T_1131 = bits(_T_1130, 0, 0) @[dec_tlu_ctl.scala 2308:62] + node _T_1132 = or(io.i0_exception_valid_r, io.i0_trigger_hit_r) @[dec_tlu_ctl.scala 2308:98] + node _T_1133 = or(_T_1132, io.lsu_exc_valid_r) @[dec_tlu_ctl.scala 2308:120] + node _T_1134 = eq(mhpme_vec[0], UInt<6>("h026")) @[dec_tlu_ctl.scala 2309:34] + node _T_1135 = bits(_T_1134, 0, 0) @[dec_tlu_ctl.scala 2309:62] + node _T_1136 = or(io.take_timer_int, io.take_int_timer0_int) @[dec_tlu_ctl.scala 2309:92] + node _T_1137 = or(_T_1136, io.take_int_timer1_int) @[dec_tlu_ctl.scala 2309:117] + node _T_1138 = eq(mhpme_vec[0], UInt<6>("h027")) @[dec_tlu_ctl.scala 2310:34] + node _T_1139 = bits(_T_1138, 0, 0) @[dec_tlu_ctl.scala 2310:62] + node _T_1140 = eq(mhpme_vec[0], UInt<6>("h028")) @[dec_tlu_ctl.scala 2311:34] + node _T_1141 = bits(_T_1140, 0, 0) @[dec_tlu_ctl.scala 2311:62] + node _T_1142 = eq(mhpme_vec[0], UInt<6>("h029")) @[dec_tlu_ctl.scala 2312:34] + node _T_1143 = bits(_T_1142, 0, 0) @[dec_tlu_ctl.scala 2312:62] + node _T_1144 = or(io.dec_tlu_br0_error_r, io.dec_tlu_br0_start_error_r) @[dec_tlu_ctl.scala 2312:97] + node _T_1145 = and(_T_1144, io.rfpc_i0_r) @[dec_tlu_ctl.scala 2312:129] + node _T_1146 = eq(mhpme_vec[0], UInt<6>("h02a")) @[dec_tlu_ctl.scala 2313:34] + node _T_1147 = bits(_T_1146, 0, 0) @[dec_tlu_ctl.scala 2313:62] + node _T_1148 = eq(mhpme_vec[0], UInt<6>("h02b")) @[dec_tlu_ctl.scala 2314:34] + node _T_1149 = bits(_T_1148, 0, 0) @[dec_tlu_ctl.scala 2314:62] + node _T_1150 = eq(mhpme_vec[0], UInt<6>("h02c")) @[dec_tlu_ctl.scala 2315:34] + node _T_1151 = bits(_T_1150, 0, 0) @[dec_tlu_ctl.scala 2315:62] + node _T_1152 = eq(mhpme_vec[0], UInt<6>("h02d")) @[dec_tlu_ctl.scala 2316:34] + node _T_1153 = bits(_T_1152, 0, 0) @[dec_tlu_ctl.scala 2316:62] + node _T_1154 = eq(mhpme_vec[0], UInt<6>("h02e")) @[dec_tlu_ctl.scala 2317:34] + node _T_1155 = bits(_T_1154, 0, 0) @[dec_tlu_ctl.scala 2317:62] + node _T_1156 = eq(mhpme_vec[0], UInt<6>("h02f")) @[dec_tlu_ctl.scala 2318:34] + node _T_1157 = bits(_T_1156, 0, 0) @[dec_tlu_ctl.scala 2318:62] + node _T_1158 = eq(mhpme_vec[0], UInt<6>("h030")) @[dec_tlu_ctl.scala 2319:34] + node _T_1159 = bits(_T_1158, 0, 0) @[dec_tlu_ctl.scala 2319:62] + node _T_1160 = eq(mhpme_vec[0], UInt<6>("h031")) @[dec_tlu_ctl.scala 2320:34] + node _T_1161 = bits(_T_1160, 0, 0) @[dec_tlu_ctl.scala 2320:62] + node _T_1162 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2320:84] + node _T_1163 = bits(_T_1162, 0, 0) @[dec_tlu_ctl.scala 2320:84] + node _T_1164 = not(_T_1163) @[dec_tlu_ctl.scala 2320:73] + node _T_1165 = eq(mhpme_vec[0], UInt<6>("h032")) @[dec_tlu_ctl.scala 2321:34] + node _T_1166 = bits(_T_1165, 0, 0) @[dec_tlu_ctl.scala 2321:62] + node _T_1167 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2321:84] + node _T_1168 = bits(_T_1167, 0, 0) @[dec_tlu_ctl.scala 2321:84] + node _T_1169 = not(_T_1168) @[dec_tlu_ctl.scala 2321:73] + node _T_1170 = bits(io.mip, 5, 0) @[dec_tlu_ctl.scala 2321:107] + node _T_1171 = bits(mie, 5, 0) @[dec_tlu_ctl.scala 2321:118] + node _T_1172 = and(_T_1170, _T_1171) @[dec_tlu_ctl.scala 2321:113] + node _T_1173 = orr(_T_1172) @[dec_tlu_ctl.scala 2321:125] + node _T_1174 = and(_T_1169, _T_1173) @[dec_tlu_ctl.scala 2321:98] + node _T_1175 = eq(mhpme_vec[0], UInt<6>("h036")) @[dec_tlu_ctl.scala 2322:34] + node _T_1176 = bits(_T_1175, 0, 0) @[dec_tlu_ctl.scala 2322:62] + node _T_1177 = eq(pmu_i0_itype_qual, UInt<4>("h0f")) @[dec_tlu_ctl.scala 2322:91] + node _T_1178 = eq(mhpme_vec[0], UInt<6>("h037")) @[dec_tlu_ctl.scala 2323:34] + node _T_1179 = bits(_T_1178, 0, 0) @[dec_tlu_ctl.scala 2323:62] + node _T_1180 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_load_external_r) @[dec_tlu_ctl.scala 2323:94] + node _T_1181 = eq(mhpme_vec[0], UInt<6>("h038")) @[dec_tlu_ctl.scala 2324:34] + node _T_1182 = bits(_T_1181, 0, 0) @[dec_tlu_ctl.scala 2324:62] + node _T_1183 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_store_external_r) @[dec_tlu_ctl.scala 2324:94] + node _T_1184 = eq(mhpme_vec[0], UInt<10>("h0200")) @[dec_tlu_ctl.scala 2326:34] + node _T_1185 = bits(_T_1184, 0, 0) @[dec_tlu_ctl.scala 2326:62] + node _T_1186 = eq(mhpme_vec[0], UInt<10>("h0201")) @[dec_tlu_ctl.scala 2327:34] + node _T_1187 = bits(_T_1186, 0, 0) @[dec_tlu_ctl.scala 2327:62] + node _T_1188 = eq(mhpme_vec[0], UInt<10>("h0202")) @[dec_tlu_ctl.scala 2328:34] + node _T_1189 = bits(_T_1188, 0, 0) @[dec_tlu_ctl.scala 2328:62] + node _T_1190 = eq(mhpme_vec[0], UInt<10>("h0203")) @[dec_tlu_ctl.scala 2329:34] + node _T_1191 = bits(_T_1190, 0, 0) @[dec_tlu_ctl.scala 2329:62] + node _T_1192 = eq(mhpme_vec[0], UInt<10>("h0204")) @[dec_tlu_ctl.scala 2330:34] + node _T_1193 = bits(_T_1192, 0, 0) @[dec_tlu_ctl.scala 2330:62] + node _T_1194 = mux(_T_1028, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1195 = mux(_T_1030, io.ifu_pmu_ic_hit, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1196 = mux(_T_1032, io.ifu_pmu_ic_miss, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1197 = mux(_T_1034, _T_1036, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1198 = mux(_T_1038, _T_1042, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1199 = mux(_T_1044, _T_1047, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1200 = mux(_T_1049, io.ifu_pmu_instr_aligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1201 = mux(_T_1051, io.dec_pmu_instr_decoded, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1202 = mux(_T_1053, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1203 = mux(_T_1055, _T_1056, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1204 = mux(_T_1058, _T_1059, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1205 = mux(_T_1061, _T_1062, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1206 = mux(_T_1064, _T_1065, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1207 = mux(_T_1067, _T_1069, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1208 = mux(_T_1071, _T_1074, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1209 = mux(_T_1076, _T_1077, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1210 = mux(_T_1079, _T_1080, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1211 = mux(_T_1082, _T_1083, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1212 = mux(_T_1085, _T_1086, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1213 = mux(_T_1088, _T_1089, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1214 = mux(_T_1091, _T_1092, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1215 = mux(_T_1094, _T_1095, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1216 = mux(_T_1097, _T_1098, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1217 = mux(_T_1100, _T_1101, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1218 = mux(_T_1103, _T_1106, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1219 = mux(_T_1108, _T_1109, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1220 = mux(_T_1111, _T_1112, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1221 = mux(_T_1114, _T_1115, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1222 = mux(_T_1117, io.ifu_pmu_fetch_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1223 = mux(_T_1119, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1224 = mux(_T_1121, io.dec_pmu_postsync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1225 = mux(_T_1123, io.dec_pmu_presync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1226 = mux(_T_1125, io.lsu_store_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1227 = mux(_T_1127, io.dma_dccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1228 = mux(_T_1129, io.dma_iccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1229 = mux(_T_1131, _T_1133, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1230 = mux(_T_1135, _T_1137, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1231 = mux(_T_1139, io.take_ext_int, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1232 = mux(_T_1141, io.tlu_flush_lower_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1233 = mux(_T_1143, _T_1145, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1234 = mux(_T_1147, io.ifu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1235 = mux(_T_1149, io.lsu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1236 = mux(_T_1151, io.lsu_pmu_bus_misaligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1237 = mux(_T_1153, io.ifu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1238 = mux(_T_1155, io.lsu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1239 = mux(_T_1157, io.ifu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1240 = mux(_T_1159, io.lsu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1241 = mux(_T_1161, _T_1164, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1242 = mux(_T_1166, _T_1174, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1243 = mux(_T_1176, _T_1177, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1244 = mux(_T_1179, _T_1180, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1245 = mux(_T_1182, _T_1183, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1246 = mux(_T_1185, io.dec_tlu_pmu_fw_halted, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1247 = mux(_T_1187, io.dma_pmu_any_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1248 = mux(_T_1189, io.dma_pmu_any_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1249 = mux(_T_1191, io.dma_pmu_dccm_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1250 = mux(_T_1193, io.dma_pmu_dccm_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1251 = or(_T_1194, _T_1195) @[Mux.scala 27:72] + node _T_1252 = or(_T_1251, _T_1196) @[Mux.scala 27:72] + node _T_1253 = or(_T_1252, _T_1197) @[Mux.scala 27:72] + node _T_1254 = or(_T_1253, _T_1198) @[Mux.scala 27:72] + node _T_1255 = or(_T_1254, _T_1199) @[Mux.scala 27:72] + node _T_1256 = or(_T_1255, _T_1200) @[Mux.scala 27:72] + node _T_1257 = or(_T_1256, _T_1201) @[Mux.scala 27:72] + node _T_1258 = or(_T_1257, _T_1202) @[Mux.scala 27:72] + node _T_1259 = or(_T_1258, _T_1203) @[Mux.scala 27:72] + node _T_1260 = or(_T_1259, _T_1204) @[Mux.scala 27:72] + node _T_1261 = or(_T_1260, _T_1205) @[Mux.scala 27:72] + node _T_1262 = or(_T_1261, _T_1206) @[Mux.scala 27:72] + node _T_1263 = or(_T_1262, _T_1207) @[Mux.scala 27:72] + node _T_1264 = or(_T_1263, _T_1208) @[Mux.scala 27:72] + node _T_1265 = or(_T_1264, _T_1209) @[Mux.scala 27:72] + node _T_1266 = or(_T_1265, _T_1210) @[Mux.scala 27:72] + node _T_1267 = or(_T_1266, _T_1211) @[Mux.scala 27:72] + node _T_1268 = or(_T_1267, _T_1212) @[Mux.scala 27:72] + node _T_1269 = or(_T_1268, _T_1213) @[Mux.scala 27:72] + node _T_1270 = or(_T_1269, _T_1214) @[Mux.scala 27:72] + node _T_1271 = or(_T_1270, _T_1215) @[Mux.scala 27:72] + node _T_1272 = or(_T_1271, _T_1216) @[Mux.scala 27:72] + node _T_1273 = or(_T_1272, _T_1217) @[Mux.scala 27:72] + node _T_1274 = or(_T_1273, _T_1218) @[Mux.scala 27:72] + node _T_1275 = or(_T_1274, _T_1219) @[Mux.scala 27:72] + node _T_1276 = or(_T_1275, _T_1220) @[Mux.scala 27:72] + node _T_1277 = or(_T_1276, _T_1221) @[Mux.scala 27:72] + node _T_1278 = or(_T_1277, _T_1222) @[Mux.scala 27:72] + node _T_1279 = or(_T_1278, _T_1223) @[Mux.scala 27:72] + node _T_1280 = or(_T_1279, _T_1224) @[Mux.scala 27:72] + node _T_1281 = or(_T_1280, _T_1225) @[Mux.scala 27:72] + node _T_1282 = or(_T_1281, _T_1226) @[Mux.scala 27:72] + node _T_1283 = or(_T_1282, _T_1227) @[Mux.scala 27:72] + node _T_1284 = or(_T_1283, _T_1228) @[Mux.scala 27:72] + node _T_1285 = or(_T_1284, _T_1229) @[Mux.scala 27:72] + node _T_1286 = or(_T_1285, _T_1230) @[Mux.scala 27:72] + node _T_1287 = or(_T_1286, _T_1231) @[Mux.scala 27:72] + node _T_1288 = or(_T_1287, _T_1232) @[Mux.scala 27:72] + node _T_1289 = or(_T_1288, _T_1233) @[Mux.scala 27:72] + node _T_1290 = or(_T_1289, _T_1234) @[Mux.scala 27:72] + node _T_1291 = or(_T_1290, _T_1235) @[Mux.scala 27:72] + node _T_1292 = or(_T_1291, _T_1236) @[Mux.scala 27:72] + node _T_1293 = or(_T_1292, _T_1237) @[Mux.scala 27:72] + node _T_1294 = or(_T_1293, _T_1238) @[Mux.scala 27:72] + node _T_1295 = or(_T_1294, _T_1239) @[Mux.scala 27:72] + node _T_1296 = or(_T_1295, _T_1240) @[Mux.scala 27:72] + node _T_1297 = or(_T_1296, _T_1241) @[Mux.scala 27:72] + node _T_1298 = or(_T_1297, _T_1242) @[Mux.scala 27:72] + node _T_1299 = or(_T_1298, _T_1243) @[Mux.scala 27:72] + node _T_1300 = or(_T_1299, _T_1244) @[Mux.scala 27:72] + node _T_1301 = or(_T_1300, _T_1245) @[Mux.scala 27:72] + node _T_1302 = or(_T_1301, _T_1246) @[Mux.scala 27:72] + node _T_1303 = or(_T_1302, _T_1247) @[Mux.scala 27:72] + node _T_1304 = or(_T_1303, _T_1248) @[Mux.scala 27:72] + node _T_1305 = or(_T_1304, _T_1249) @[Mux.scala 27:72] + node _T_1306 = or(_T_1305, _T_1250) @[Mux.scala 27:72] + wire _T_1307 : UInt<1> @[Mux.scala 27:72] + _T_1307 <= _T_1306 @[Mux.scala 27:72] + node _T_1308 = and(_T_1026, _T_1307) @[dec_tlu_ctl.scala 2272:44] + mhpmc_inc_r[0] <= _T_1308 @[dec_tlu_ctl.scala 2272:19] + node _T_1309 = bits(mcountinhibit, 4, 4) @[dec_tlu_ctl.scala 2272:38] + node _T_1310 = not(_T_1309) @[dec_tlu_ctl.scala 2272:24] + node _T_1311 = eq(mhpme_vec[1], UInt<1>("h01")) @[dec_tlu_ctl.scala 2273:34] + node _T_1312 = bits(_T_1311, 0, 0) @[dec_tlu_ctl.scala 2273:62] + node _T_1313 = eq(mhpme_vec[1], UInt<2>("h02")) @[dec_tlu_ctl.scala 2274:34] + node _T_1314 = bits(_T_1313, 0, 0) @[dec_tlu_ctl.scala 2274:62] + node _T_1315 = eq(mhpme_vec[1], UInt<2>("h03")) @[dec_tlu_ctl.scala 2275:34] + node _T_1316 = bits(_T_1315, 0, 0) @[dec_tlu_ctl.scala 2275:62] + node _T_1317 = eq(mhpme_vec[1], UInt<3>("h04")) @[dec_tlu_ctl.scala 2276:34] + node _T_1318 = bits(_T_1317, 0, 0) @[dec_tlu_ctl.scala 2276:62] + node _T_1319 = not(io.illegal_r) @[dec_tlu_ctl.scala 2276:96] + node _T_1320 = and(io.tlu_i0_commit_cmt, _T_1319) @[dec_tlu_ctl.scala 2276:94] + node _T_1321 = eq(mhpme_vec[1], UInt<3>("h05")) @[dec_tlu_ctl.scala 2277:34] + node _T_1322 = bits(_T_1321, 0, 0) @[dec_tlu_ctl.scala 2277:62] + node _T_1323 = not(io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2277:96] + node _T_1324 = and(io.tlu_i0_commit_cmt, _T_1323) @[dec_tlu_ctl.scala 2277:94] + node _T_1325 = not(io.illegal_r) @[dec_tlu_ctl.scala 2277:117] + node _T_1326 = and(_T_1324, _T_1325) @[dec_tlu_ctl.scala 2277:115] + node _T_1327 = eq(mhpme_vec[1], UInt<3>("h06")) @[dec_tlu_ctl.scala 2278:34] + node _T_1328 = bits(_T_1327, 0, 0) @[dec_tlu_ctl.scala 2278:62] + node _T_1329 = and(io.tlu_i0_commit_cmt, io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2278:94] + node _T_1330 = not(io.illegal_r) @[dec_tlu_ctl.scala 2278:117] + node _T_1331 = and(_T_1329, _T_1330) @[dec_tlu_ctl.scala 2278:115] + node _T_1332 = eq(mhpme_vec[1], UInt<3>("h07")) @[dec_tlu_ctl.scala 2279:34] + node _T_1333 = bits(_T_1332, 0, 0) @[dec_tlu_ctl.scala 2279:62] + node _T_1334 = eq(mhpme_vec[1], UInt<4>("h08")) @[dec_tlu_ctl.scala 2280:34] + node _T_1335 = bits(_T_1334, 0, 0) @[dec_tlu_ctl.scala 2280:62] + node _T_1336 = eq(mhpme_vec[1], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2281:34] + node _T_1337 = bits(_T_1336, 0, 0) @[dec_tlu_ctl.scala 2281:62] + node _T_1338 = eq(mhpme_vec[1], UInt<4>("h09")) @[dec_tlu_ctl.scala 2282:34] + node _T_1339 = bits(_T_1338, 0, 0) @[dec_tlu_ctl.scala 2282:62] + node _T_1340 = eq(pmu_i0_itype_qual, UInt<4>("h01")) @[dec_tlu_ctl.scala 2282:91] + node _T_1341 = eq(mhpme_vec[1], UInt<4>("h0a")) @[dec_tlu_ctl.scala 2283:34] + node _T_1342 = bits(_T_1341, 0, 0) @[dec_tlu_ctl.scala 2283:62] + node _T_1343 = and(io.dec_tlu_packet_r.pmu_divide, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2283:105] + node _T_1344 = eq(mhpme_vec[1], UInt<4>("h0b")) @[dec_tlu_ctl.scala 2284:34] + node _T_1345 = bits(_T_1344, 0, 0) @[dec_tlu_ctl.scala 2284:62] + node _T_1346 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2284:91] + node _T_1347 = eq(mhpme_vec[1], UInt<4>("h0c")) @[dec_tlu_ctl.scala 2285:34] + node _T_1348 = bits(_T_1347, 0, 0) @[dec_tlu_ctl.scala 2285:62] + node _T_1349 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2285:91] + node _T_1350 = eq(mhpme_vec[1], UInt<4>("h0d")) @[dec_tlu_ctl.scala 2286:34] + node _T_1351 = bits(_T_1350, 0, 0) @[dec_tlu_ctl.scala 2286:62] + node _T_1352 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2286:91] + node _T_1353 = and(_T_1352, io.dec_tlu_packet_r.pmu_lsu_misaligned) @[dec_tlu_ctl.scala 2286:100] + node _T_1354 = eq(mhpme_vec[1], UInt<4>("h0e")) @[dec_tlu_ctl.scala 2287:34] + node _T_1355 = bits(_T_1354, 0, 0) @[dec_tlu_ctl.scala 2287:62] + node _T_1356 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2287:91] + node _T_1357 = bits(io.dec_tlu_packet_r.pmu_lsu_misaligned, 0, 0) @[dec_tlu_ctl.scala 2287:142] + node _T_1358 = and(_T_1356, _T_1357) @[dec_tlu_ctl.scala 2287:101] + node _T_1359 = eq(mhpme_vec[1], UInt<4>("h0f")) @[dec_tlu_ctl.scala 2288:34] + node _T_1360 = bits(_T_1359, 0, 0) @[dec_tlu_ctl.scala 2288:59] + node _T_1361 = eq(pmu_i0_itype_qual, UInt<4>("h04")) @[dec_tlu_ctl.scala 2288:89] + node _T_1362 = eq(mhpme_vec[1], UInt<5>("h010")) @[dec_tlu_ctl.scala 2289:34] + node _T_1363 = bits(_T_1362, 0, 0) @[dec_tlu_ctl.scala 2289:59] + node _T_1364 = eq(pmu_i0_itype_qual, UInt<4>("h05")) @[dec_tlu_ctl.scala 2289:89] + node _T_1365 = eq(mhpme_vec[1], UInt<5>("h012")) @[dec_tlu_ctl.scala 2290:34] + node _T_1366 = bits(_T_1365, 0, 0) @[dec_tlu_ctl.scala 2290:59] + node _T_1367 = eq(pmu_i0_itype_qual, UInt<4>("h06")) @[dec_tlu_ctl.scala 2290:89] + node _T_1368 = eq(mhpme_vec[1], UInt<5>("h011")) @[dec_tlu_ctl.scala 2291:34] + node _T_1369 = bits(_T_1368, 0, 0) @[dec_tlu_ctl.scala 2291:59] + node _T_1370 = eq(pmu_i0_itype_qual, UInt<4>("h07")) @[dec_tlu_ctl.scala 2291:89] + node _T_1371 = eq(mhpme_vec[1], UInt<5>("h013")) @[dec_tlu_ctl.scala 2292:34] + node _T_1372 = bits(_T_1371, 0, 0) @[dec_tlu_ctl.scala 2292:59] + node _T_1373 = eq(pmu_i0_itype_qual, UInt<4>("h08")) @[dec_tlu_ctl.scala 2292:89] + node _T_1374 = eq(mhpme_vec[1], UInt<5>("h014")) @[dec_tlu_ctl.scala 2293:34] + node _T_1375 = bits(_T_1374, 0, 0) @[dec_tlu_ctl.scala 2293:59] + node _T_1376 = eq(pmu_i0_itype_qual, UInt<4>("h09")) @[dec_tlu_ctl.scala 2293:89] + node _T_1377 = eq(mhpme_vec[1], UInt<5>("h015")) @[dec_tlu_ctl.scala 2294:34] + node _T_1378 = bits(_T_1377, 0, 0) @[dec_tlu_ctl.scala 2294:59] + node _T_1379 = eq(pmu_i0_itype_qual, UInt<4>("h0a")) @[dec_tlu_ctl.scala 2294:89] + node _T_1380 = eq(mhpme_vec[1], UInt<5>("h016")) @[dec_tlu_ctl.scala 2295:34] + node _T_1381 = bits(_T_1380, 0, 0) @[dec_tlu_ctl.scala 2295:59] + node _T_1382 = eq(pmu_i0_itype_qual, UInt<4>("h0b")) @[dec_tlu_ctl.scala 2295:89] + node _T_1383 = eq(mhpme_vec[1], UInt<5>("h017")) @[dec_tlu_ctl.scala 2296:34] + node _T_1384 = bits(_T_1383, 0, 0) @[dec_tlu_ctl.scala 2296:59] + node _T_1385 = eq(pmu_i0_itype_qual, UInt<4>("h0c")) @[dec_tlu_ctl.scala 2296:89] + node _T_1386 = eq(mhpme_vec[1], UInt<5>("h018")) @[dec_tlu_ctl.scala 2297:34] + node _T_1387 = bits(_T_1386, 0, 0) @[dec_tlu_ctl.scala 2297:59] + node _T_1388 = eq(pmu_i0_itype_qual, UInt<4>("h0d")) @[dec_tlu_ctl.scala 2297:89] + node _T_1389 = eq(pmu_i0_itype_qual, UInt<4>("h0e")) @[dec_tlu_ctl.scala 2297:122] + node _T_1390 = or(_T_1388, _T_1389) @[dec_tlu_ctl.scala 2297:101] + node _T_1391 = eq(mhpme_vec[1], UInt<5>("h019")) @[dec_tlu_ctl.scala 2298:34] + node _T_1392 = bits(_T_1391, 0, 0) @[dec_tlu_ctl.scala 2298:62] + node _T_1393 = and(io.exu_pmu_i0_br_misp, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2298:95] + node _T_1394 = eq(mhpme_vec[1], UInt<5>("h01a")) @[dec_tlu_ctl.scala 2299:34] + node _T_1395 = bits(_T_1394, 0, 0) @[dec_tlu_ctl.scala 2299:62] + node _T_1396 = and(io.exu_pmu_i0_br_ataken, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2299:97] + node _T_1397 = eq(mhpme_vec[1], UInt<5>("h01b")) @[dec_tlu_ctl.scala 2300:34] + node _T_1398 = bits(_T_1397, 0, 0) @[dec_tlu_ctl.scala 2300:62] + node _T_1399 = and(io.dec_tlu_packet_r.pmu_i0_br_unpred, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2300:110] + node _T_1400 = eq(mhpme_vec[1], UInt<5>("h01c")) @[dec_tlu_ctl.scala 2301:34] + node _T_1401 = bits(_T_1400, 0, 0) @[dec_tlu_ctl.scala 2301:62] + node _T_1402 = eq(mhpme_vec[1], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2302:34] + node _T_1403 = bits(_T_1402, 0, 0) @[dec_tlu_ctl.scala 2302:62] + node _T_1404 = eq(mhpme_vec[1], UInt<5>("h01f")) @[dec_tlu_ctl.scala 2303:34] + node _T_1405 = bits(_T_1404, 0, 0) @[dec_tlu_ctl.scala 2303:62] + node _T_1406 = eq(mhpme_vec[1], UInt<6>("h020")) @[dec_tlu_ctl.scala 2304:34] + node _T_1407 = bits(_T_1406, 0, 0) @[dec_tlu_ctl.scala 2304:62] + node _T_1408 = eq(mhpme_vec[1], UInt<6>("h022")) @[dec_tlu_ctl.scala 2305:34] + node _T_1409 = bits(_T_1408, 0, 0) @[dec_tlu_ctl.scala 2305:62] + node _T_1410 = eq(mhpme_vec[1], UInt<6>("h023")) @[dec_tlu_ctl.scala 2306:34] + node _T_1411 = bits(_T_1410, 0, 0) @[dec_tlu_ctl.scala 2306:62] + node _T_1412 = eq(mhpme_vec[1], UInt<6>("h024")) @[dec_tlu_ctl.scala 2307:34] + node _T_1413 = bits(_T_1412, 0, 0) @[dec_tlu_ctl.scala 2307:62] + node _T_1414 = eq(mhpme_vec[1], UInt<6>("h025")) @[dec_tlu_ctl.scala 2308:34] + node _T_1415 = bits(_T_1414, 0, 0) @[dec_tlu_ctl.scala 2308:62] + node _T_1416 = or(io.i0_exception_valid_r, io.i0_trigger_hit_r) @[dec_tlu_ctl.scala 2308:98] + node _T_1417 = or(_T_1416, io.lsu_exc_valid_r) @[dec_tlu_ctl.scala 2308:120] + node _T_1418 = eq(mhpme_vec[1], UInt<6>("h026")) @[dec_tlu_ctl.scala 2309:34] + node _T_1419 = bits(_T_1418, 0, 0) @[dec_tlu_ctl.scala 2309:62] + node _T_1420 = or(io.take_timer_int, io.take_int_timer0_int) @[dec_tlu_ctl.scala 2309:92] + node _T_1421 = or(_T_1420, io.take_int_timer1_int) @[dec_tlu_ctl.scala 2309:117] + node _T_1422 = eq(mhpme_vec[1], UInt<6>("h027")) @[dec_tlu_ctl.scala 2310:34] + node _T_1423 = bits(_T_1422, 0, 0) @[dec_tlu_ctl.scala 2310:62] + node _T_1424 = eq(mhpme_vec[1], UInt<6>("h028")) @[dec_tlu_ctl.scala 2311:34] + node _T_1425 = bits(_T_1424, 0, 0) @[dec_tlu_ctl.scala 2311:62] + node _T_1426 = eq(mhpme_vec[1], UInt<6>("h029")) @[dec_tlu_ctl.scala 2312:34] + node _T_1427 = bits(_T_1426, 0, 0) @[dec_tlu_ctl.scala 2312:62] + node _T_1428 = or(io.dec_tlu_br0_error_r, io.dec_tlu_br0_start_error_r) @[dec_tlu_ctl.scala 2312:97] + node _T_1429 = and(_T_1428, io.rfpc_i0_r) @[dec_tlu_ctl.scala 2312:129] + node _T_1430 = eq(mhpme_vec[1], UInt<6>("h02a")) @[dec_tlu_ctl.scala 2313:34] + node _T_1431 = bits(_T_1430, 0, 0) @[dec_tlu_ctl.scala 2313:62] + node _T_1432 = eq(mhpme_vec[1], UInt<6>("h02b")) @[dec_tlu_ctl.scala 2314:34] + node _T_1433 = bits(_T_1432, 0, 0) @[dec_tlu_ctl.scala 2314:62] + node _T_1434 = eq(mhpme_vec[1], UInt<6>("h02c")) @[dec_tlu_ctl.scala 2315:34] + node _T_1435 = bits(_T_1434, 0, 0) @[dec_tlu_ctl.scala 2315:62] + node _T_1436 = eq(mhpme_vec[1], UInt<6>("h02d")) @[dec_tlu_ctl.scala 2316:34] + node _T_1437 = bits(_T_1436, 0, 0) @[dec_tlu_ctl.scala 2316:62] + node _T_1438 = eq(mhpme_vec[1], UInt<6>("h02e")) @[dec_tlu_ctl.scala 2317:34] + node _T_1439 = bits(_T_1438, 0, 0) @[dec_tlu_ctl.scala 2317:62] + node _T_1440 = eq(mhpme_vec[1], UInt<6>("h02f")) @[dec_tlu_ctl.scala 2318:34] + node _T_1441 = bits(_T_1440, 0, 0) @[dec_tlu_ctl.scala 2318:62] + node _T_1442 = eq(mhpme_vec[1], UInt<6>("h030")) @[dec_tlu_ctl.scala 2319:34] + node _T_1443 = bits(_T_1442, 0, 0) @[dec_tlu_ctl.scala 2319:62] + node _T_1444 = eq(mhpme_vec[1], UInt<6>("h031")) @[dec_tlu_ctl.scala 2320:34] + node _T_1445 = bits(_T_1444, 0, 0) @[dec_tlu_ctl.scala 2320:62] + node _T_1446 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2320:84] + node _T_1447 = bits(_T_1446, 0, 0) @[dec_tlu_ctl.scala 2320:84] + node _T_1448 = not(_T_1447) @[dec_tlu_ctl.scala 2320:73] + node _T_1449 = eq(mhpme_vec[1], UInt<6>("h032")) @[dec_tlu_ctl.scala 2321:34] + node _T_1450 = bits(_T_1449, 0, 0) @[dec_tlu_ctl.scala 2321:62] + node _T_1451 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2321:84] + node _T_1452 = bits(_T_1451, 0, 0) @[dec_tlu_ctl.scala 2321:84] + node _T_1453 = not(_T_1452) @[dec_tlu_ctl.scala 2321:73] + node _T_1454 = bits(io.mip, 5, 0) @[dec_tlu_ctl.scala 2321:107] + node _T_1455 = bits(mie, 5, 0) @[dec_tlu_ctl.scala 2321:118] + node _T_1456 = and(_T_1454, _T_1455) @[dec_tlu_ctl.scala 2321:113] + node _T_1457 = orr(_T_1456) @[dec_tlu_ctl.scala 2321:125] + node _T_1458 = and(_T_1453, _T_1457) @[dec_tlu_ctl.scala 2321:98] + node _T_1459 = eq(mhpme_vec[1], UInt<6>("h036")) @[dec_tlu_ctl.scala 2322:34] + node _T_1460 = bits(_T_1459, 0, 0) @[dec_tlu_ctl.scala 2322:62] + node _T_1461 = eq(pmu_i0_itype_qual, UInt<4>("h0f")) @[dec_tlu_ctl.scala 2322:91] + node _T_1462 = eq(mhpme_vec[1], UInt<6>("h037")) @[dec_tlu_ctl.scala 2323:34] + node _T_1463 = bits(_T_1462, 0, 0) @[dec_tlu_ctl.scala 2323:62] + node _T_1464 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_load_external_r) @[dec_tlu_ctl.scala 2323:94] + node _T_1465 = eq(mhpme_vec[1], UInt<6>("h038")) @[dec_tlu_ctl.scala 2324:34] + node _T_1466 = bits(_T_1465, 0, 0) @[dec_tlu_ctl.scala 2324:62] + node _T_1467 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_store_external_r) @[dec_tlu_ctl.scala 2324:94] + node _T_1468 = eq(mhpme_vec[1], UInt<10>("h0200")) @[dec_tlu_ctl.scala 2326:34] + node _T_1469 = bits(_T_1468, 0, 0) @[dec_tlu_ctl.scala 2326:62] + node _T_1470 = eq(mhpme_vec[1], UInt<10>("h0201")) @[dec_tlu_ctl.scala 2327:34] + node _T_1471 = bits(_T_1470, 0, 0) @[dec_tlu_ctl.scala 2327:62] + node _T_1472 = eq(mhpme_vec[1], UInt<10>("h0202")) @[dec_tlu_ctl.scala 2328:34] + node _T_1473 = bits(_T_1472, 0, 0) @[dec_tlu_ctl.scala 2328:62] + node _T_1474 = eq(mhpme_vec[1], UInt<10>("h0203")) @[dec_tlu_ctl.scala 2329:34] + node _T_1475 = bits(_T_1474, 0, 0) @[dec_tlu_ctl.scala 2329:62] + node _T_1476 = eq(mhpme_vec[1], UInt<10>("h0204")) @[dec_tlu_ctl.scala 2330:34] + node _T_1477 = bits(_T_1476, 0, 0) @[dec_tlu_ctl.scala 2330:62] + node _T_1478 = mux(_T_1312, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1479 = mux(_T_1314, io.ifu_pmu_ic_hit, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1480 = mux(_T_1316, io.ifu_pmu_ic_miss, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1481 = mux(_T_1318, _T_1320, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1482 = mux(_T_1322, _T_1326, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1483 = mux(_T_1328, _T_1331, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1484 = mux(_T_1333, io.ifu_pmu_instr_aligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1485 = mux(_T_1335, io.dec_pmu_instr_decoded, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1486 = mux(_T_1337, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1487 = mux(_T_1339, _T_1340, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1488 = mux(_T_1342, _T_1343, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1489 = mux(_T_1345, _T_1346, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1490 = mux(_T_1348, _T_1349, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1491 = mux(_T_1351, _T_1353, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1492 = mux(_T_1355, _T_1358, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1493 = mux(_T_1360, _T_1361, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1494 = mux(_T_1363, _T_1364, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1495 = mux(_T_1366, _T_1367, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1496 = mux(_T_1369, _T_1370, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1497 = mux(_T_1372, _T_1373, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1498 = mux(_T_1375, _T_1376, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1499 = mux(_T_1378, _T_1379, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1500 = mux(_T_1381, _T_1382, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1501 = mux(_T_1384, _T_1385, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1502 = mux(_T_1387, _T_1390, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1503 = mux(_T_1392, _T_1393, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1504 = mux(_T_1395, _T_1396, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1505 = mux(_T_1398, _T_1399, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1506 = mux(_T_1401, io.ifu_pmu_fetch_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1507 = mux(_T_1403, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1508 = mux(_T_1405, io.dec_pmu_postsync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1509 = mux(_T_1407, io.dec_pmu_presync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1510 = mux(_T_1409, io.lsu_store_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1511 = mux(_T_1411, io.dma_dccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1512 = mux(_T_1413, io.dma_iccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1513 = mux(_T_1415, _T_1417, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1514 = mux(_T_1419, _T_1421, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1515 = mux(_T_1423, io.take_ext_int, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1516 = mux(_T_1425, io.tlu_flush_lower_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1517 = mux(_T_1427, _T_1429, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1518 = mux(_T_1431, io.ifu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1519 = mux(_T_1433, io.lsu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1520 = mux(_T_1435, io.lsu_pmu_bus_misaligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1521 = mux(_T_1437, io.ifu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1522 = mux(_T_1439, io.lsu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1523 = mux(_T_1441, io.ifu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1524 = mux(_T_1443, io.lsu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1525 = mux(_T_1445, _T_1448, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1526 = mux(_T_1450, _T_1458, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1527 = mux(_T_1460, _T_1461, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1528 = mux(_T_1463, _T_1464, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1529 = mux(_T_1466, _T_1467, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1530 = mux(_T_1469, io.dec_tlu_pmu_fw_halted, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1531 = mux(_T_1471, io.dma_pmu_any_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1532 = mux(_T_1473, io.dma_pmu_any_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1533 = mux(_T_1475, io.dma_pmu_dccm_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1534 = mux(_T_1477, io.dma_pmu_dccm_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1535 = or(_T_1478, _T_1479) @[Mux.scala 27:72] + node _T_1536 = or(_T_1535, _T_1480) @[Mux.scala 27:72] + node _T_1537 = or(_T_1536, _T_1481) @[Mux.scala 27:72] + node _T_1538 = or(_T_1537, _T_1482) @[Mux.scala 27:72] + node _T_1539 = or(_T_1538, _T_1483) @[Mux.scala 27:72] + node _T_1540 = or(_T_1539, _T_1484) @[Mux.scala 27:72] + node _T_1541 = or(_T_1540, _T_1485) @[Mux.scala 27:72] + node _T_1542 = or(_T_1541, _T_1486) @[Mux.scala 27:72] + node _T_1543 = or(_T_1542, _T_1487) @[Mux.scala 27:72] + node _T_1544 = or(_T_1543, _T_1488) @[Mux.scala 27:72] + node _T_1545 = or(_T_1544, _T_1489) @[Mux.scala 27:72] + node _T_1546 = or(_T_1545, _T_1490) @[Mux.scala 27:72] + node _T_1547 = or(_T_1546, _T_1491) @[Mux.scala 27:72] + node _T_1548 = or(_T_1547, _T_1492) @[Mux.scala 27:72] + node _T_1549 = or(_T_1548, _T_1493) @[Mux.scala 27:72] + node _T_1550 = or(_T_1549, _T_1494) @[Mux.scala 27:72] + node _T_1551 = or(_T_1550, _T_1495) @[Mux.scala 27:72] + node _T_1552 = or(_T_1551, _T_1496) @[Mux.scala 27:72] + node _T_1553 = or(_T_1552, _T_1497) @[Mux.scala 27:72] + node _T_1554 = or(_T_1553, _T_1498) @[Mux.scala 27:72] + node _T_1555 = or(_T_1554, _T_1499) @[Mux.scala 27:72] + node _T_1556 = or(_T_1555, _T_1500) @[Mux.scala 27:72] + node _T_1557 = or(_T_1556, _T_1501) @[Mux.scala 27:72] + node _T_1558 = or(_T_1557, _T_1502) @[Mux.scala 27:72] + node _T_1559 = or(_T_1558, _T_1503) @[Mux.scala 27:72] + node _T_1560 = or(_T_1559, _T_1504) @[Mux.scala 27:72] + node _T_1561 = or(_T_1560, _T_1505) @[Mux.scala 27:72] + node _T_1562 = or(_T_1561, _T_1506) @[Mux.scala 27:72] + node _T_1563 = or(_T_1562, _T_1507) @[Mux.scala 27:72] + node _T_1564 = or(_T_1563, _T_1508) @[Mux.scala 27:72] + node _T_1565 = or(_T_1564, _T_1509) @[Mux.scala 27:72] + node _T_1566 = or(_T_1565, _T_1510) @[Mux.scala 27:72] + node _T_1567 = or(_T_1566, _T_1511) @[Mux.scala 27:72] + node _T_1568 = or(_T_1567, _T_1512) @[Mux.scala 27:72] + node _T_1569 = or(_T_1568, _T_1513) @[Mux.scala 27:72] + node _T_1570 = or(_T_1569, _T_1514) @[Mux.scala 27:72] + node _T_1571 = or(_T_1570, _T_1515) @[Mux.scala 27:72] + node _T_1572 = or(_T_1571, _T_1516) @[Mux.scala 27:72] + node _T_1573 = or(_T_1572, _T_1517) @[Mux.scala 27:72] + node _T_1574 = or(_T_1573, _T_1518) @[Mux.scala 27:72] + node _T_1575 = or(_T_1574, _T_1519) @[Mux.scala 27:72] + node _T_1576 = or(_T_1575, _T_1520) @[Mux.scala 27:72] + node _T_1577 = or(_T_1576, _T_1521) @[Mux.scala 27:72] + node _T_1578 = or(_T_1577, _T_1522) @[Mux.scala 27:72] + node _T_1579 = or(_T_1578, _T_1523) @[Mux.scala 27:72] + node _T_1580 = or(_T_1579, _T_1524) @[Mux.scala 27:72] + node _T_1581 = or(_T_1580, _T_1525) @[Mux.scala 27:72] + node _T_1582 = or(_T_1581, _T_1526) @[Mux.scala 27:72] + node _T_1583 = or(_T_1582, _T_1527) @[Mux.scala 27:72] + node _T_1584 = or(_T_1583, _T_1528) @[Mux.scala 27:72] + node _T_1585 = or(_T_1584, _T_1529) @[Mux.scala 27:72] + node _T_1586 = or(_T_1585, _T_1530) @[Mux.scala 27:72] + node _T_1587 = or(_T_1586, _T_1531) @[Mux.scala 27:72] + node _T_1588 = or(_T_1587, _T_1532) @[Mux.scala 27:72] + node _T_1589 = or(_T_1588, _T_1533) @[Mux.scala 27:72] + node _T_1590 = or(_T_1589, _T_1534) @[Mux.scala 27:72] + wire _T_1591 : UInt<1> @[Mux.scala 27:72] + _T_1591 <= _T_1590 @[Mux.scala 27:72] + node _T_1592 = and(_T_1310, _T_1591) @[dec_tlu_ctl.scala 2272:44] + mhpmc_inc_r[1] <= _T_1592 @[dec_tlu_ctl.scala 2272:19] + node _T_1593 = bits(mcountinhibit, 5, 5) @[dec_tlu_ctl.scala 2272:38] + node _T_1594 = not(_T_1593) @[dec_tlu_ctl.scala 2272:24] + node _T_1595 = eq(mhpme_vec[2], UInt<1>("h01")) @[dec_tlu_ctl.scala 2273:34] + node _T_1596 = bits(_T_1595, 0, 0) @[dec_tlu_ctl.scala 2273:62] + node _T_1597 = eq(mhpme_vec[2], UInt<2>("h02")) @[dec_tlu_ctl.scala 2274:34] + node _T_1598 = bits(_T_1597, 0, 0) @[dec_tlu_ctl.scala 2274:62] + node _T_1599 = eq(mhpme_vec[2], UInt<2>("h03")) @[dec_tlu_ctl.scala 2275:34] + node _T_1600 = bits(_T_1599, 0, 0) @[dec_tlu_ctl.scala 2275:62] + node _T_1601 = eq(mhpme_vec[2], UInt<3>("h04")) @[dec_tlu_ctl.scala 2276:34] + node _T_1602 = bits(_T_1601, 0, 0) @[dec_tlu_ctl.scala 2276:62] + node _T_1603 = not(io.illegal_r) @[dec_tlu_ctl.scala 2276:96] + node _T_1604 = and(io.tlu_i0_commit_cmt, _T_1603) @[dec_tlu_ctl.scala 2276:94] + node _T_1605 = eq(mhpme_vec[2], UInt<3>("h05")) @[dec_tlu_ctl.scala 2277:34] + node _T_1606 = bits(_T_1605, 0, 0) @[dec_tlu_ctl.scala 2277:62] + node _T_1607 = not(io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2277:96] + node _T_1608 = and(io.tlu_i0_commit_cmt, _T_1607) @[dec_tlu_ctl.scala 2277:94] + node _T_1609 = not(io.illegal_r) @[dec_tlu_ctl.scala 2277:117] + node _T_1610 = and(_T_1608, _T_1609) @[dec_tlu_ctl.scala 2277:115] + node _T_1611 = eq(mhpme_vec[2], UInt<3>("h06")) @[dec_tlu_ctl.scala 2278:34] + node _T_1612 = bits(_T_1611, 0, 0) @[dec_tlu_ctl.scala 2278:62] + node _T_1613 = and(io.tlu_i0_commit_cmt, io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2278:94] + node _T_1614 = not(io.illegal_r) @[dec_tlu_ctl.scala 2278:117] + node _T_1615 = and(_T_1613, _T_1614) @[dec_tlu_ctl.scala 2278:115] + node _T_1616 = eq(mhpme_vec[2], UInt<3>("h07")) @[dec_tlu_ctl.scala 2279:34] + node _T_1617 = bits(_T_1616, 0, 0) @[dec_tlu_ctl.scala 2279:62] + node _T_1618 = eq(mhpme_vec[2], UInt<4>("h08")) @[dec_tlu_ctl.scala 2280:34] + node _T_1619 = bits(_T_1618, 0, 0) @[dec_tlu_ctl.scala 2280:62] + node _T_1620 = eq(mhpme_vec[2], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2281:34] + node _T_1621 = bits(_T_1620, 0, 0) @[dec_tlu_ctl.scala 2281:62] + node _T_1622 = eq(mhpme_vec[2], UInt<4>("h09")) @[dec_tlu_ctl.scala 2282:34] + node _T_1623 = bits(_T_1622, 0, 0) @[dec_tlu_ctl.scala 2282:62] + node _T_1624 = eq(pmu_i0_itype_qual, UInt<4>("h01")) @[dec_tlu_ctl.scala 2282:91] + node _T_1625 = eq(mhpme_vec[2], UInt<4>("h0a")) @[dec_tlu_ctl.scala 2283:34] + node _T_1626 = bits(_T_1625, 0, 0) @[dec_tlu_ctl.scala 2283:62] + node _T_1627 = and(io.dec_tlu_packet_r.pmu_divide, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2283:105] + node _T_1628 = eq(mhpme_vec[2], UInt<4>("h0b")) @[dec_tlu_ctl.scala 2284:34] + node _T_1629 = bits(_T_1628, 0, 0) @[dec_tlu_ctl.scala 2284:62] + node _T_1630 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2284:91] + node _T_1631 = eq(mhpme_vec[2], UInt<4>("h0c")) @[dec_tlu_ctl.scala 2285:34] + node _T_1632 = bits(_T_1631, 0, 0) @[dec_tlu_ctl.scala 2285:62] + node _T_1633 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2285:91] + node _T_1634 = eq(mhpme_vec[2], UInt<4>("h0d")) @[dec_tlu_ctl.scala 2286:34] + node _T_1635 = bits(_T_1634, 0, 0) @[dec_tlu_ctl.scala 2286:62] + node _T_1636 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2286:91] + node _T_1637 = and(_T_1636, io.dec_tlu_packet_r.pmu_lsu_misaligned) @[dec_tlu_ctl.scala 2286:100] + node _T_1638 = eq(mhpme_vec[2], UInt<4>("h0e")) @[dec_tlu_ctl.scala 2287:34] + node _T_1639 = bits(_T_1638, 0, 0) @[dec_tlu_ctl.scala 2287:62] + node _T_1640 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2287:91] + node _T_1641 = bits(io.dec_tlu_packet_r.pmu_lsu_misaligned, 0, 0) @[dec_tlu_ctl.scala 2287:142] + node _T_1642 = and(_T_1640, _T_1641) @[dec_tlu_ctl.scala 2287:101] + node _T_1643 = eq(mhpme_vec[2], UInt<4>("h0f")) @[dec_tlu_ctl.scala 2288:34] + node _T_1644 = bits(_T_1643, 0, 0) @[dec_tlu_ctl.scala 2288:59] + node _T_1645 = eq(pmu_i0_itype_qual, UInt<4>("h04")) @[dec_tlu_ctl.scala 2288:89] + node _T_1646 = eq(mhpme_vec[2], UInt<5>("h010")) @[dec_tlu_ctl.scala 2289:34] + node _T_1647 = bits(_T_1646, 0, 0) @[dec_tlu_ctl.scala 2289:59] + node _T_1648 = eq(pmu_i0_itype_qual, UInt<4>("h05")) @[dec_tlu_ctl.scala 2289:89] + node _T_1649 = eq(mhpme_vec[2], UInt<5>("h012")) @[dec_tlu_ctl.scala 2290:34] + node _T_1650 = bits(_T_1649, 0, 0) @[dec_tlu_ctl.scala 2290:59] + node _T_1651 = eq(pmu_i0_itype_qual, UInt<4>("h06")) @[dec_tlu_ctl.scala 2290:89] + node _T_1652 = eq(mhpme_vec[2], UInt<5>("h011")) @[dec_tlu_ctl.scala 2291:34] + node _T_1653 = bits(_T_1652, 0, 0) @[dec_tlu_ctl.scala 2291:59] + node _T_1654 = eq(pmu_i0_itype_qual, UInt<4>("h07")) @[dec_tlu_ctl.scala 2291:89] + node _T_1655 = eq(mhpme_vec[2], UInt<5>("h013")) @[dec_tlu_ctl.scala 2292:34] + node _T_1656 = bits(_T_1655, 0, 0) @[dec_tlu_ctl.scala 2292:59] + node _T_1657 = eq(pmu_i0_itype_qual, UInt<4>("h08")) @[dec_tlu_ctl.scala 2292:89] + node _T_1658 = eq(mhpme_vec[2], UInt<5>("h014")) @[dec_tlu_ctl.scala 2293:34] + node _T_1659 = bits(_T_1658, 0, 0) @[dec_tlu_ctl.scala 2293:59] + node _T_1660 = eq(pmu_i0_itype_qual, UInt<4>("h09")) @[dec_tlu_ctl.scala 2293:89] + node _T_1661 = eq(mhpme_vec[2], UInt<5>("h015")) @[dec_tlu_ctl.scala 2294:34] + node _T_1662 = bits(_T_1661, 0, 0) @[dec_tlu_ctl.scala 2294:59] + node _T_1663 = eq(pmu_i0_itype_qual, UInt<4>("h0a")) @[dec_tlu_ctl.scala 2294:89] + node _T_1664 = eq(mhpme_vec[2], UInt<5>("h016")) @[dec_tlu_ctl.scala 2295:34] + node _T_1665 = bits(_T_1664, 0, 0) @[dec_tlu_ctl.scala 2295:59] + node _T_1666 = eq(pmu_i0_itype_qual, UInt<4>("h0b")) @[dec_tlu_ctl.scala 2295:89] + node _T_1667 = eq(mhpme_vec[2], UInt<5>("h017")) @[dec_tlu_ctl.scala 2296:34] + node _T_1668 = bits(_T_1667, 0, 0) @[dec_tlu_ctl.scala 2296:59] + node _T_1669 = eq(pmu_i0_itype_qual, UInt<4>("h0c")) @[dec_tlu_ctl.scala 2296:89] + node _T_1670 = eq(mhpme_vec[2], UInt<5>("h018")) @[dec_tlu_ctl.scala 2297:34] + node _T_1671 = bits(_T_1670, 0, 0) @[dec_tlu_ctl.scala 2297:59] + node _T_1672 = eq(pmu_i0_itype_qual, UInt<4>("h0d")) @[dec_tlu_ctl.scala 2297:89] + node _T_1673 = eq(pmu_i0_itype_qual, UInt<4>("h0e")) @[dec_tlu_ctl.scala 2297:122] + node _T_1674 = or(_T_1672, _T_1673) @[dec_tlu_ctl.scala 2297:101] + node _T_1675 = eq(mhpme_vec[2], UInt<5>("h019")) @[dec_tlu_ctl.scala 2298:34] + node _T_1676 = bits(_T_1675, 0, 0) @[dec_tlu_ctl.scala 2298:62] + node _T_1677 = and(io.exu_pmu_i0_br_misp, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2298:95] + node _T_1678 = eq(mhpme_vec[2], UInt<5>("h01a")) @[dec_tlu_ctl.scala 2299:34] + node _T_1679 = bits(_T_1678, 0, 0) @[dec_tlu_ctl.scala 2299:62] + node _T_1680 = and(io.exu_pmu_i0_br_ataken, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2299:97] + node _T_1681 = eq(mhpme_vec[2], UInt<5>("h01b")) @[dec_tlu_ctl.scala 2300:34] + node _T_1682 = bits(_T_1681, 0, 0) @[dec_tlu_ctl.scala 2300:62] + node _T_1683 = and(io.dec_tlu_packet_r.pmu_i0_br_unpred, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2300:110] + node _T_1684 = eq(mhpme_vec[2], UInt<5>("h01c")) @[dec_tlu_ctl.scala 2301:34] + node _T_1685 = bits(_T_1684, 0, 0) @[dec_tlu_ctl.scala 2301:62] + node _T_1686 = eq(mhpme_vec[2], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2302:34] + node _T_1687 = bits(_T_1686, 0, 0) @[dec_tlu_ctl.scala 2302:62] + node _T_1688 = eq(mhpme_vec[2], UInt<5>("h01f")) @[dec_tlu_ctl.scala 2303:34] + node _T_1689 = bits(_T_1688, 0, 0) @[dec_tlu_ctl.scala 2303:62] + node _T_1690 = eq(mhpme_vec[2], UInt<6>("h020")) @[dec_tlu_ctl.scala 2304:34] + node _T_1691 = bits(_T_1690, 0, 0) @[dec_tlu_ctl.scala 2304:62] + node _T_1692 = eq(mhpme_vec[2], UInt<6>("h022")) @[dec_tlu_ctl.scala 2305:34] + node _T_1693 = bits(_T_1692, 0, 0) @[dec_tlu_ctl.scala 2305:62] + node _T_1694 = eq(mhpme_vec[2], UInt<6>("h023")) @[dec_tlu_ctl.scala 2306:34] + node _T_1695 = bits(_T_1694, 0, 0) @[dec_tlu_ctl.scala 2306:62] + node _T_1696 = eq(mhpme_vec[2], UInt<6>("h024")) @[dec_tlu_ctl.scala 2307:34] + node _T_1697 = bits(_T_1696, 0, 0) @[dec_tlu_ctl.scala 2307:62] + node _T_1698 = eq(mhpme_vec[2], UInt<6>("h025")) @[dec_tlu_ctl.scala 2308:34] + node _T_1699 = bits(_T_1698, 0, 0) @[dec_tlu_ctl.scala 2308:62] + node _T_1700 = or(io.i0_exception_valid_r, io.i0_trigger_hit_r) @[dec_tlu_ctl.scala 2308:98] + node _T_1701 = or(_T_1700, io.lsu_exc_valid_r) @[dec_tlu_ctl.scala 2308:120] + node _T_1702 = eq(mhpme_vec[2], UInt<6>("h026")) @[dec_tlu_ctl.scala 2309:34] + node _T_1703 = bits(_T_1702, 0, 0) @[dec_tlu_ctl.scala 2309:62] + node _T_1704 = or(io.take_timer_int, io.take_int_timer0_int) @[dec_tlu_ctl.scala 2309:92] + node _T_1705 = or(_T_1704, io.take_int_timer1_int) @[dec_tlu_ctl.scala 2309:117] + node _T_1706 = eq(mhpme_vec[2], UInt<6>("h027")) @[dec_tlu_ctl.scala 2310:34] + node _T_1707 = bits(_T_1706, 0, 0) @[dec_tlu_ctl.scala 2310:62] + node _T_1708 = eq(mhpme_vec[2], UInt<6>("h028")) @[dec_tlu_ctl.scala 2311:34] + node _T_1709 = bits(_T_1708, 0, 0) @[dec_tlu_ctl.scala 2311:62] + node _T_1710 = eq(mhpme_vec[2], UInt<6>("h029")) @[dec_tlu_ctl.scala 2312:34] + node _T_1711 = bits(_T_1710, 0, 0) @[dec_tlu_ctl.scala 2312:62] + node _T_1712 = or(io.dec_tlu_br0_error_r, io.dec_tlu_br0_start_error_r) @[dec_tlu_ctl.scala 2312:97] + node _T_1713 = and(_T_1712, io.rfpc_i0_r) @[dec_tlu_ctl.scala 2312:129] + node _T_1714 = eq(mhpme_vec[2], UInt<6>("h02a")) @[dec_tlu_ctl.scala 2313:34] + node _T_1715 = bits(_T_1714, 0, 0) @[dec_tlu_ctl.scala 2313:62] + node _T_1716 = eq(mhpme_vec[2], UInt<6>("h02b")) @[dec_tlu_ctl.scala 2314:34] + node _T_1717 = bits(_T_1716, 0, 0) @[dec_tlu_ctl.scala 2314:62] + node _T_1718 = eq(mhpme_vec[2], UInt<6>("h02c")) @[dec_tlu_ctl.scala 2315:34] + node _T_1719 = bits(_T_1718, 0, 0) @[dec_tlu_ctl.scala 2315:62] + node _T_1720 = eq(mhpme_vec[2], UInt<6>("h02d")) @[dec_tlu_ctl.scala 2316:34] + node _T_1721 = bits(_T_1720, 0, 0) @[dec_tlu_ctl.scala 2316:62] + node _T_1722 = eq(mhpme_vec[2], UInt<6>("h02e")) @[dec_tlu_ctl.scala 2317:34] + node _T_1723 = bits(_T_1722, 0, 0) @[dec_tlu_ctl.scala 2317:62] + node _T_1724 = eq(mhpme_vec[2], UInt<6>("h02f")) @[dec_tlu_ctl.scala 2318:34] + node _T_1725 = bits(_T_1724, 0, 0) @[dec_tlu_ctl.scala 2318:62] + node _T_1726 = eq(mhpme_vec[2], UInt<6>("h030")) @[dec_tlu_ctl.scala 2319:34] + node _T_1727 = bits(_T_1726, 0, 0) @[dec_tlu_ctl.scala 2319:62] + node _T_1728 = eq(mhpme_vec[2], UInt<6>("h031")) @[dec_tlu_ctl.scala 2320:34] + node _T_1729 = bits(_T_1728, 0, 0) @[dec_tlu_ctl.scala 2320:62] + node _T_1730 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2320:84] + node _T_1731 = bits(_T_1730, 0, 0) @[dec_tlu_ctl.scala 2320:84] + node _T_1732 = not(_T_1731) @[dec_tlu_ctl.scala 2320:73] + node _T_1733 = eq(mhpme_vec[2], UInt<6>("h032")) @[dec_tlu_ctl.scala 2321:34] + node _T_1734 = bits(_T_1733, 0, 0) @[dec_tlu_ctl.scala 2321:62] + node _T_1735 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2321:84] + node _T_1736 = bits(_T_1735, 0, 0) @[dec_tlu_ctl.scala 2321:84] + node _T_1737 = not(_T_1736) @[dec_tlu_ctl.scala 2321:73] + node _T_1738 = bits(io.mip, 5, 0) @[dec_tlu_ctl.scala 2321:107] + node _T_1739 = bits(mie, 5, 0) @[dec_tlu_ctl.scala 2321:118] + node _T_1740 = and(_T_1738, _T_1739) @[dec_tlu_ctl.scala 2321:113] + node _T_1741 = orr(_T_1740) @[dec_tlu_ctl.scala 2321:125] + node _T_1742 = and(_T_1737, _T_1741) @[dec_tlu_ctl.scala 2321:98] + node _T_1743 = eq(mhpme_vec[2], UInt<6>("h036")) @[dec_tlu_ctl.scala 2322:34] + node _T_1744 = bits(_T_1743, 0, 0) @[dec_tlu_ctl.scala 2322:62] + node _T_1745 = eq(pmu_i0_itype_qual, UInt<4>("h0f")) @[dec_tlu_ctl.scala 2322:91] + node _T_1746 = eq(mhpme_vec[2], UInt<6>("h037")) @[dec_tlu_ctl.scala 2323:34] + node _T_1747 = bits(_T_1746, 0, 0) @[dec_tlu_ctl.scala 2323:62] + node _T_1748 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_load_external_r) @[dec_tlu_ctl.scala 2323:94] + node _T_1749 = eq(mhpme_vec[2], UInt<6>("h038")) @[dec_tlu_ctl.scala 2324:34] + node _T_1750 = bits(_T_1749, 0, 0) @[dec_tlu_ctl.scala 2324:62] + node _T_1751 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_store_external_r) @[dec_tlu_ctl.scala 2324:94] + node _T_1752 = eq(mhpme_vec[2], UInt<10>("h0200")) @[dec_tlu_ctl.scala 2326:34] + node _T_1753 = bits(_T_1752, 0, 0) @[dec_tlu_ctl.scala 2326:62] + node _T_1754 = eq(mhpme_vec[2], UInt<10>("h0201")) @[dec_tlu_ctl.scala 2327:34] + node _T_1755 = bits(_T_1754, 0, 0) @[dec_tlu_ctl.scala 2327:62] + node _T_1756 = eq(mhpme_vec[2], UInt<10>("h0202")) @[dec_tlu_ctl.scala 2328:34] + node _T_1757 = bits(_T_1756, 0, 0) @[dec_tlu_ctl.scala 2328:62] + node _T_1758 = eq(mhpme_vec[2], UInt<10>("h0203")) @[dec_tlu_ctl.scala 2329:34] + node _T_1759 = bits(_T_1758, 0, 0) @[dec_tlu_ctl.scala 2329:62] + node _T_1760 = eq(mhpme_vec[2], UInt<10>("h0204")) @[dec_tlu_ctl.scala 2330:34] + node _T_1761 = bits(_T_1760, 0, 0) @[dec_tlu_ctl.scala 2330:62] + node _T_1762 = mux(_T_1596, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1763 = mux(_T_1598, io.ifu_pmu_ic_hit, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1764 = mux(_T_1600, io.ifu_pmu_ic_miss, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1765 = mux(_T_1602, _T_1604, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1766 = mux(_T_1606, _T_1610, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1767 = mux(_T_1612, _T_1615, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1768 = mux(_T_1617, io.ifu_pmu_instr_aligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1769 = mux(_T_1619, io.dec_pmu_instr_decoded, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1770 = mux(_T_1621, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1771 = mux(_T_1623, _T_1624, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1772 = mux(_T_1626, _T_1627, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1773 = mux(_T_1629, _T_1630, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1774 = mux(_T_1632, _T_1633, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1775 = mux(_T_1635, _T_1637, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1776 = mux(_T_1639, _T_1642, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1777 = mux(_T_1644, _T_1645, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1778 = mux(_T_1647, _T_1648, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1779 = mux(_T_1650, _T_1651, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1780 = mux(_T_1653, _T_1654, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1781 = mux(_T_1656, _T_1657, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1782 = mux(_T_1659, _T_1660, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1783 = mux(_T_1662, _T_1663, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1784 = mux(_T_1665, _T_1666, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1785 = mux(_T_1668, _T_1669, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1786 = mux(_T_1671, _T_1674, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1787 = mux(_T_1676, _T_1677, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1788 = mux(_T_1679, _T_1680, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1789 = mux(_T_1682, _T_1683, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1790 = mux(_T_1685, io.ifu_pmu_fetch_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1791 = mux(_T_1687, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1792 = mux(_T_1689, io.dec_pmu_postsync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1793 = mux(_T_1691, io.dec_pmu_presync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1794 = mux(_T_1693, io.lsu_store_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1795 = mux(_T_1695, io.dma_dccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1796 = mux(_T_1697, io.dma_iccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1797 = mux(_T_1699, _T_1701, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1798 = mux(_T_1703, _T_1705, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1799 = mux(_T_1707, io.take_ext_int, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1800 = mux(_T_1709, io.tlu_flush_lower_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1801 = mux(_T_1711, _T_1713, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1802 = mux(_T_1715, io.ifu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1803 = mux(_T_1717, io.lsu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1804 = mux(_T_1719, io.lsu_pmu_bus_misaligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1805 = mux(_T_1721, io.ifu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1806 = mux(_T_1723, io.lsu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1807 = mux(_T_1725, io.ifu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1808 = mux(_T_1727, io.lsu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1809 = mux(_T_1729, _T_1732, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1810 = mux(_T_1734, _T_1742, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1811 = mux(_T_1744, _T_1745, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1812 = mux(_T_1747, _T_1748, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1813 = mux(_T_1750, _T_1751, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1814 = mux(_T_1753, io.dec_tlu_pmu_fw_halted, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1815 = mux(_T_1755, io.dma_pmu_any_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1816 = mux(_T_1757, io.dma_pmu_any_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1817 = mux(_T_1759, io.dma_pmu_dccm_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1818 = mux(_T_1761, io.dma_pmu_dccm_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1819 = or(_T_1762, _T_1763) @[Mux.scala 27:72] + node _T_1820 = or(_T_1819, _T_1764) @[Mux.scala 27:72] + node _T_1821 = or(_T_1820, _T_1765) @[Mux.scala 27:72] + node _T_1822 = or(_T_1821, _T_1766) @[Mux.scala 27:72] + node _T_1823 = or(_T_1822, _T_1767) @[Mux.scala 27:72] + node _T_1824 = or(_T_1823, _T_1768) @[Mux.scala 27:72] + node _T_1825 = or(_T_1824, _T_1769) @[Mux.scala 27:72] + node _T_1826 = or(_T_1825, _T_1770) @[Mux.scala 27:72] + node _T_1827 = or(_T_1826, _T_1771) @[Mux.scala 27:72] + node _T_1828 = or(_T_1827, _T_1772) @[Mux.scala 27:72] + node _T_1829 = or(_T_1828, _T_1773) @[Mux.scala 27:72] + node _T_1830 = or(_T_1829, _T_1774) @[Mux.scala 27:72] + node _T_1831 = or(_T_1830, _T_1775) @[Mux.scala 27:72] + node _T_1832 = or(_T_1831, _T_1776) @[Mux.scala 27:72] + node _T_1833 = or(_T_1832, _T_1777) @[Mux.scala 27:72] + node _T_1834 = or(_T_1833, _T_1778) @[Mux.scala 27:72] + node _T_1835 = or(_T_1834, _T_1779) @[Mux.scala 27:72] + node _T_1836 = or(_T_1835, _T_1780) @[Mux.scala 27:72] + node _T_1837 = or(_T_1836, _T_1781) @[Mux.scala 27:72] + node _T_1838 = or(_T_1837, _T_1782) @[Mux.scala 27:72] + node _T_1839 = or(_T_1838, _T_1783) @[Mux.scala 27:72] + node _T_1840 = or(_T_1839, _T_1784) @[Mux.scala 27:72] + node _T_1841 = or(_T_1840, _T_1785) @[Mux.scala 27:72] + node _T_1842 = or(_T_1841, _T_1786) @[Mux.scala 27:72] + node _T_1843 = or(_T_1842, _T_1787) @[Mux.scala 27:72] + node _T_1844 = or(_T_1843, _T_1788) @[Mux.scala 27:72] + node _T_1845 = or(_T_1844, _T_1789) @[Mux.scala 27:72] + node _T_1846 = or(_T_1845, _T_1790) @[Mux.scala 27:72] + node _T_1847 = or(_T_1846, _T_1791) @[Mux.scala 27:72] + node _T_1848 = or(_T_1847, _T_1792) @[Mux.scala 27:72] + node _T_1849 = or(_T_1848, _T_1793) @[Mux.scala 27:72] + node _T_1850 = or(_T_1849, _T_1794) @[Mux.scala 27:72] + node _T_1851 = or(_T_1850, _T_1795) @[Mux.scala 27:72] + node _T_1852 = or(_T_1851, _T_1796) @[Mux.scala 27:72] + node _T_1853 = or(_T_1852, _T_1797) @[Mux.scala 27:72] + node _T_1854 = or(_T_1853, _T_1798) @[Mux.scala 27:72] + node _T_1855 = or(_T_1854, _T_1799) @[Mux.scala 27:72] + node _T_1856 = or(_T_1855, _T_1800) @[Mux.scala 27:72] + node _T_1857 = or(_T_1856, _T_1801) @[Mux.scala 27:72] + node _T_1858 = or(_T_1857, _T_1802) @[Mux.scala 27:72] + node _T_1859 = or(_T_1858, _T_1803) @[Mux.scala 27:72] + node _T_1860 = or(_T_1859, _T_1804) @[Mux.scala 27:72] + node _T_1861 = or(_T_1860, _T_1805) @[Mux.scala 27:72] + node _T_1862 = or(_T_1861, _T_1806) @[Mux.scala 27:72] + node _T_1863 = or(_T_1862, _T_1807) @[Mux.scala 27:72] + node _T_1864 = or(_T_1863, _T_1808) @[Mux.scala 27:72] + node _T_1865 = or(_T_1864, _T_1809) @[Mux.scala 27:72] + node _T_1866 = or(_T_1865, _T_1810) @[Mux.scala 27:72] + node _T_1867 = or(_T_1866, _T_1811) @[Mux.scala 27:72] + node _T_1868 = or(_T_1867, _T_1812) @[Mux.scala 27:72] + node _T_1869 = or(_T_1868, _T_1813) @[Mux.scala 27:72] + node _T_1870 = or(_T_1869, _T_1814) @[Mux.scala 27:72] + node _T_1871 = or(_T_1870, _T_1815) @[Mux.scala 27:72] + node _T_1872 = or(_T_1871, _T_1816) @[Mux.scala 27:72] + node _T_1873 = or(_T_1872, _T_1817) @[Mux.scala 27:72] + node _T_1874 = or(_T_1873, _T_1818) @[Mux.scala 27:72] + wire _T_1875 : UInt<1> @[Mux.scala 27:72] + _T_1875 <= _T_1874 @[Mux.scala 27:72] + node _T_1876 = and(_T_1594, _T_1875) @[dec_tlu_ctl.scala 2272:44] + mhpmc_inc_r[2] <= _T_1876 @[dec_tlu_ctl.scala 2272:19] + node _T_1877 = bits(mcountinhibit, 6, 6) @[dec_tlu_ctl.scala 2272:38] + node _T_1878 = not(_T_1877) @[dec_tlu_ctl.scala 2272:24] + node _T_1879 = eq(mhpme_vec[3], UInt<1>("h01")) @[dec_tlu_ctl.scala 2273:34] + node _T_1880 = bits(_T_1879, 0, 0) @[dec_tlu_ctl.scala 2273:62] + node _T_1881 = eq(mhpme_vec[3], UInt<2>("h02")) @[dec_tlu_ctl.scala 2274:34] + node _T_1882 = bits(_T_1881, 0, 0) @[dec_tlu_ctl.scala 2274:62] + node _T_1883 = eq(mhpme_vec[3], UInt<2>("h03")) @[dec_tlu_ctl.scala 2275:34] + node _T_1884 = bits(_T_1883, 0, 0) @[dec_tlu_ctl.scala 2275:62] + node _T_1885 = eq(mhpme_vec[3], UInt<3>("h04")) @[dec_tlu_ctl.scala 2276:34] + node _T_1886 = bits(_T_1885, 0, 0) @[dec_tlu_ctl.scala 2276:62] + node _T_1887 = not(io.illegal_r) @[dec_tlu_ctl.scala 2276:96] + node _T_1888 = and(io.tlu_i0_commit_cmt, _T_1887) @[dec_tlu_ctl.scala 2276:94] + node _T_1889 = eq(mhpme_vec[3], UInt<3>("h05")) @[dec_tlu_ctl.scala 2277:34] + node _T_1890 = bits(_T_1889, 0, 0) @[dec_tlu_ctl.scala 2277:62] + node _T_1891 = not(io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2277:96] + node _T_1892 = and(io.tlu_i0_commit_cmt, _T_1891) @[dec_tlu_ctl.scala 2277:94] + node _T_1893 = not(io.illegal_r) @[dec_tlu_ctl.scala 2277:117] + node _T_1894 = and(_T_1892, _T_1893) @[dec_tlu_ctl.scala 2277:115] + node _T_1895 = eq(mhpme_vec[3], UInt<3>("h06")) @[dec_tlu_ctl.scala 2278:34] + node _T_1896 = bits(_T_1895, 0, 0) @[dec_tlu_ctl.scala 2278:62] + node _T_1897 = and(io.tlu_i0_commit_cmt, io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2278:94] + node _T_1898 = not(io.illegal_r) @[dec_tlu_ctl.scala 2278:117] + node _T_1899 = and(_T_1897, _T_1898) @[dec_tlu_ctl.scala 2278:115] + node _T_1900 = eq(mhpme_vec[3], UInt<3>("h07")) @[dec_tlu_ctl.scala 2279:34] + node _T_1901 = bits(_T_1900, 0, 0) @[dec_tlu_ctl.scala 2279:62] + node _T_1902 = eq(mhpme_vec[3], UInt<4>("h08")) @[dec_tlu_ctl.scala 2280:34] + node _T_1903 = bits(_T_1902, 0, 0) @[dec_tlu_ctl.scala 2280:62] + node _T_1904 = eq(mhpme_vec[3], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2281:34] + node _T_1905 = bits(_T_1904, 0, 0) @[dec_tlu_ctl.scala 2281:62] + node _T_1906 = eq(mhpme_vec[3], UInt<4>("h09")) @[dec_tlu_ctl.scala 2282:34] + node _T_1907 = bits(_T_1906, 0, 0) @[dec_tlu_ctl.scala 2282:62] + node _T_1908 = eq(pmu_i0_itype_qual, UInt<4>("h01")) @[dec_tlu_ctl.scala 2282:91] + node _T_1909 = eq(mhpme_vec[3], UInt<4>("h0a")) @[dec_tlu_ctl.scala 2283:34] + node _T_1910 = bits(_T_1909, 0, 0) @[dec_tlu_ctl.scala 2283:62] + node _T_1911 = and(io.dec_tlu_packet_r.pmu_divide, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2283:105] + node _T_1912 = eq(mhpme_vec[3], UInt<4>("h0b")) @[dec_tlu_ctl.scala 2284:34] + node _T_1913 = bits(_T_1912, 0, 0) @[dec_tlu_ctl.scala 2284:62] + node _T_1914 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2284:91] + node _T_1915 = eq(mhpme_vec[3], UInt<4>("h0c")) @[dec_tlu_ctl.scala 2285:34] + node _T_1916 = bits(_T_1915, 0, 0) @[dec_tlu_ctl.scala 2285:62] + node _T_1917 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2285:91] + node _T_1918 = eq(mhpme_vec[3], UInt<4>("h0d")) @[dec_tlu_ctl.scala 2286:34] + node _T_1919 = bits(_T_1918, 0, 0) @[dec_tlu_ctl.scala 2286:62] + node _T_1920 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2286:91] + node _T_1921 = and(_T_1920, io.dec_tlu_packet_r.pmu_lsu_misaligned) @[dec_tlu_ctl.scala 2286:100] + node _T_1922 = eq(mhpme_vec[3], UInt<4>("h0e")) @[dec_tlu_ctl.scala 2287:34] + node _T_1923 = bits(_T_1922, 0, 0) @[dec_tlu_ctl.scala 2287:62] + node _T_1924 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2287:91] + node _T_1925 = bits(io.dec_tlu_packet_r.pmu_lsu_misaligned, 0, 0) @[dec_tlu_ctl.scala 2287:142] + node _T_1926 = and(_T_1924, _T_1925) @[dec_tlu_ctl.scala 2287:101] + node _T_1927 = eq(mhpme_vec[3], UInt<4>("h0f")) @[dec_tlu_ctl.scala 2288:34] + node _T_1928 = bits(_T_1927, 0, 0) @[dec_tlu_ctl.scala 2288:59] + node _T_1929 = eq(pmu_i0_itype_qual, UInt<4>("h04")) @[dec_tlu_ctl.scala 2288:89] + node _T_1930 = eq(mhpme_vec[3], UInt<5>("h010")) @[dec_tlu_ctl.scala 2289:34] + node _T_1931 = bits(_T_1930, 0, 0) @[dec_tlu_ctl.scala 2289:59] + node _T_1932 = eq(pmu_i0_itype_qual, UInt<4>("h05")) @[dec_tlu_ctl.scala 2289:89] + node _T_1933 = eq(mhpme_vec[3], UInt<5>("h012")) @[dec_tlu_ctl.scala 2290:34] + node _T_1934 = bits(_T_1933, 0, 0) @[dec_tlu_ctl.scala 2290:59] + node _T_1935 = eq(pmu_i0_itype_qual, UInt<4>("h06")) @[dec_tlu_ctl.scala 2290:89] + node _T_1936 = eq(mhpme_vec[3], UInt<5>("h011")) @[dec_tlu_ctl.scala 2291:34] + node _T_1937 = bits(_T_1936, 0, 0) @[dec_tlu_ctl.scala 2291:59] + node _T_1938 = eq(pmu_i0_itype_qual, UInt<4>("h07")) @[dec_tlu_ctl.scala 2291:89] + node _T_1939 = eq(mhpme_vec[3], UInt<5>("h013")) @[dec_tlu_ctl.scala 2292:34] + node _T_1940 = bits(_T_1939, 0, 0) @[dec_tlu_ctl.scala 2292:59] + node _T_1941 = eq(pmu_i0_itype_qual, UInt<4>("h08")) @[dec_tlu_ctl.scala 2292:89] + node _T_1942 = eq(mhpme_vec[3], UInt<5>("h014")) @[dec_tlu_ctl.scala 2293:34] + node _T_1943 = bits(_T_1942, 0, 0) @[dec_tlu_ctl.scala 2293:59] + node _T_1944 = eq(pmu_i0_itype_qual, UInt<4>("h09")) @[dec_tlu_ctl.scala 2293:89] + node _T_1945 = eq(mhpme_vec[3], UInt<5>("h015")) @[dec_tlu_ctl.scala 2294:34] + node _T_1946 = bits(_T_1945, 0, 0) @[dec_tlu_ctl.scala 2294:59] + node _T_1947 = eq(pmu_i0_itype_qual, UInt<4>("h0a")) @[dec_tlu_ctl.scala 2294:89] + node _T_1948 = eq(mhpme_vec[3], UInt<5>("h016")) @[dec_tlu_ctl.scala 2295:34] + node _T_1949 = bits(_T_1948, 0, 0) @[dec_tlu_ctl.scala 2295:59] + node _T_1950 = eq(pmu_i0_itype_qual, UInt<4>("h0b")) @[dec_tlu_ctl.scala 2295:89] + node _T_1951 = eq(mhpme_vec[3], UInt<5>("h017")) @[dec_tlu_ctl.scala 2296:34] + node _T_1952 = bits(_T_1951, 0, 0) @[dec_tlu_ctl.scala 2296:59] + node _T_1953 = eq(pmu_i0_itype_qual, UInt<4>("h0c")) @[dec_tlu_ctl.scala 2296:89] + node _T_1954 = eq(mhpme_vec[3], UInt<5>("h018")) @[dec_tlu_ctl.scala 2297:34] + node _T_1955 = bits(_T_1954, 0, 0) @[dec_tlu_ctl.scala 2297:59] + node _T_1956 = eq(pmu_i0_itype_qual, UInt<4>("h0d")) @[dec_tlu_ctl.scala 2297:89] + node _T_1957 = eq(pmu_i0_itype_qual, UInt<4>("h0e")) @[dec_tlu_ctl.scala 2297:122] + node _T_1958 = or(_T_1956, _T_1957) @[dec_tlu_ctl.scala 2297:101] + node _T_1959 = eq(mhpme_vec[3], UInt<5>("h019")) @[dec_tlu_ctl.scala 2298:34] + node _T_1960 = bits(_T_1959, 0, 0) @[dec_tlu_ctl.scala 2298:62] + node _T_1961 = and(io.exu_pmu_i0_br_misp, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2298:95] + node _T_1962 = eq(mhpme_vec[3], UInt<5>("h01a")) @[dec_tlu_ctl.scala 2299:34] + node _T_1963 = bits(_T_1962, 0, 0) @[dec_tlu_ctl.scala 2299:62] + node _T_1964 = and(io.exu_pmu_i0_br_ataken, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2299:97] + node _T_1965 = eq(mhpme_vec[3], UInt<5>("h01b")) @[dec_tlu_ctl.scala 2300:34] + node _T_1966 = bits(_T_1965, 0, 0) @[dec_tlu_ctl.scala 2300:62] + node _T_1967 = and(io.dec_tlu_packet_r.pmu_i0_br_unpred, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2300:110] + node _T_1968 = eq(mhpme_vec[3], UInt<5>("h01c")) @[dec_tlu_ctl.scala 2301:34] + node _T_1969 = bits(_T_1968, 0, 0) @[dec_tlu_ctl.scala 2301:62] + node _T_1970 = eq(mhpme_vec[3], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2302:34] + node _T_1971 = bits(_T_1970, 0, 0) @[dec_tlu_ctl.scala 2302:62] + node _T_1972 = eq(mhpme_vec[3], UInt<5>("h01f")) @[dec_tlu_ctl.scala 2303:34] + node _T_1973 = bits(_T_1972, 0, 0) @[dec_tlu_ctl.scala 2303:62] + node _T_1974 = eq(mhpme_vec[3], UInt<6>("h020")) @[dec_tlu_ctl.scala 2304:34] + node _T_1975 = bits(_T_1974, 0, 0) @[dec_tlu_ctl.scala 2304:62] + node _T_1976 = eq(mhpme_vec[3], UInt<6>("h022")) @[dec_tlu_ctl.scala 2305:34] + node _T_1977 = bits(_T_1976, 0, 0) @[dec_tlu_ctl.scala 2305:62] + node _T_1978 = eq(mhpme_vec[3], UInt<6>("h023")) @[dec_tlu_ctl.scala 2306:34] + node _T_1979 = bits(_T_1978, 0, 0) @[dec_tlu_ctl.scala 2306:62] + node _T_1980 = eq(mhpme_vec[3], UInt<6>("h024")) @[dec_tlu_ctl.scala 2307:34] + node _T_1981 = bits(_T_1980, 0, 0) @[dec_tlu_ctl.scala 2307:62] + node _T_1982 = eq(mhpme_vec[3], UInt<6>("h025")) @[dec_tlu_ctl.scala 2308:34] + node _T_1983 = bits(_T_1982, 0, 0) @[dec_tlu_ctl.scala 2308:62] + node _T_1984 = or(io.i0_exception_valid_r, io.i0_trigger_hit_r) @[dec_tlu_ctl.scala 2308:98] + node _T_1985 = or(_T_1984, io.lsu_exc_valid_r) @[dec_tlu_ctl.scala 2308:120] + node _T_1986 = eq(mhpme_vec[3], UInt<6>("h026")) @[dec_tlu_ctl.scala 2309:34] + node _T_1987 = bits(_T_1986, 0, 0) @[dec_tlu_ctl.scala 2309:62] + node _T_1988 = or(io.take_timer_int, io.take_int_timer0_int) @[dec_tlu_ctl.scala 2309:92] + node _T_1989 = or(_T_1988, io.take_int_timer1_int) @[dec_tlu_ctl.scala 2309:117] + node _T_1990 = eq(mhpme_vec[3], UInt<6>("h027")) @[dec_tlu_ctl.scala 2310:34] + node _T_1991 = bits(_T_1990, 0, 0) @[dec_tlu_ctl.scala 2310:62] + node _T_1992 = eq(mhpme_vec[3], UInt<6>("h028")) @[dec_tlu_ctl.scala 2311:34] + node _T_1993 = bits(_T_1992, 0, 0) @[dec_tlu_ctl.scala 2311:62] + node _T_1994 = eq(mhpme_vec[3], UInt<6>("h029")) @[dec_tlu_ctl.scala 2312:34] + node _T_1995 = bits(_T_1994, 0, 0) @[dec_tlu_ctl.scala 2312:62] + node _T_1996 = or(io.dec_tlu_br0_error_r, io.dec_tlu_br0_start_error_r) @[dec_tlu_ctl.scala 2312:97] + node _T_1997 = and(_T_1996, io.rfpc_i0_r) @[dec_tlu_ctl.scala 2312:129] + node _T_1998 = eq(mhpme_vec[3], UInt<6>("h02a")) @[dec_tlu_ctl.scala 2313:34] + node _T_1999 = bits(_T_1998, 0, 0) @[dec_tlu_ctl.scala 2313:62] + node _T_2000 = eq(mhpme_vec[3], UInt<6>("h02b")) @[dec_tlu_ctl.scala 2314:34] + node _T_2001 = bits(_T_2000, 0, 0) @[dec_tlu_ctl.scala 2314:62] + node _T_2002 = eq(mhpme_vec[3], UInt<6>("h02c")) @[dec_tlu_ctl.scala 2315:34] + node _T_2003 = bits(_T_2002, 0, 0) @[dec_tlu_ctl.scala 2315:62] + node _T_2004 = eq(mhpme_vec[3], UInt<6>("h02d")) @[dec_tlu_ctl.scala 2316:34] + node _T_2005 = bits(_T_2004, 0, 0) @[dec_tlu_ctl.scala 2316:62] + node _T_2006 = eq(mhpme_vec[3], UInt<6>("h02e")) @[dec_tlu_ctl.scala 2317:34] + node _T_2007 = bits(_T_2006, 0, 0) @[dec_tlu_ctl.scala 2317:62] + node _T_2008 = eq(mhpme_vec[3], UInt<6>("h02f")) @[dec_tlu_ctl.scala 2318:34] + node _T_2009 = bits(_T_2008, 0, 0) @[dec_tlu_ctl.scala 2318:62] + node _T_2010 = eq(mhpme_vec[3], UInt<6>("h030")) @[dec_tlu_ctl.scala 2319:34] + node _T_2011 = bits(_T_2010, 0, 0) @[dec_tlu_ctl.scala 2319:62] + node _T_2012 = eq(mhpme_vec[3], UInt<6>("h031")) @[dec_tlu_ctl.scala 2320:34] + node _T_2013 = bits(_T_2012, 0, 0) @[dec_tlu_ctl.scala 2320:62] + node _T_2014 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2320:84] + node _T_2015 = bits(_T_2014, 0, 0) @[dec_tlu_ctl.scala 2320:84] + node _T_2016 = not(_T_2015) @[dec_tlu_ctl.scala 2320:73] + node _T_2017 = eq(mhpme_vec[3], UInt<6>("h032")) @[dec_tlu_ctl.scala 2321:34] + node _T_2018 = bits(_T_2017, 0, 0) @[dec_tlu_ctl.scala 2321:62] + node _T_2019 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2321:84] + node _T_2020 = bits(_T_2019, 0, 0) @[dec_tlu_ctl.scala 2321:84] + node _T_2021 = not(_T_2020) @[dec_tlu_ctl.scala 2321:73] + node _T_2022 = bits(io.mip, 5, 0) @[dec_tlu_ctl.scala 2321:107] + node _T_2023 = bits(mie, 5, 0) @[dec_tlu_ctl.scala 2321:118] + node _T_2024 = and(_T_2022, _T_2023) @[dec_tlu_ctl.scala 2321:113] + node _T_2025 = orr(_T_2024) @[dec_tlu_ctl.scala 2321:125] + node _T_2026 = and(_T_2021, _T_2025) @[dec_tlu_ctl.scala 2321:98] + node _T_2027 = eq(mhpme_vec[3], UInt<6>("h036")) @[dec_tlu_ctl.scala 2322:34] + node _T_2028 = bits(_T_2027, 0, 0) @[dec_tlu_ctl.scala 2322:62] + node _T_2029 = eq(pmu_i0_itype_qual, UInt<4>("h0f")) @[dec_tlu_ctl.scala 2322:91] + node _T_2030 = eq(mhpme_vec[3], UInt<6>("h037")) @[dec_tlu_ctl.scala 2323:34] + node _T_2031 = bits(_T_2030, 0, 0) @[dec_tlu_ctl.scala 2323:62] + node _T_2032 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_load_external_r) @[dec_tlu_ctl.scala 2323:94] + node _T_2033 = eq(mhpme_vec[3], UInt<6>("h038")) @[dec_tlu_ctl.scala 2324:34] + node _T_2034 = bits(_T_2033, 0, 0) @[dec_tlu_ctl.scala 2324:62] + node _T_2035 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_store_external_r) @[dec_tlu_ctl.scala 2324:94] + node _T_2036 = eq(mhpme_vec[3], UInt<10>("h0200")) @[dec_tlu_ctl.scala 2326:34] + node _T_2037 = bits(_T_2036, 0, 0) @[dec_tlu_ctl.scala 2326:62] + node _T_2038 = eq(mhpme_vec[3], UInt<10>("h0201")) @[dec_tlu_ctl.scala 2327:34] + node _T_2039 = bits(_T_2038, 0, 0) @[dec_tlu_ctl.scala 2327:62] + node _T_2040 = eq(mhpme_vec[3], UInt<10>("h0202")) @[dec_tlu_ctl.scala 2328:34] + node _T_2041 = bits(_T_2040, 0, 0) @[dec_tlu_ctl.scala 2328:62] + node _T_2042 = eq(mhpme_vec[3], UInt<10>("h0203")) @[dec_tlu_ctl.scala 2329:34] + node _T_2043 = bits(_T_2042, 0, 0) @[dec_tlu_ctl.scala 2329:62] + node _T_2044 = eq(mhpme_vec[3], UInt<10>("h0204")) @[dec_tlu_ctl.scala 2330:34] + node _T_2045 = bits(_T_2044, 0, 0) @[dec_tlu_ctl.scala 2330:62] + node _T_2046 = mux(_T_1880, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2047 = mux(_T_1882, io.ifu_pmu_ic_hit, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2048 = mux(_T_1884, io.ifu_pmu_ic_miss, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2049 = mux(_T_1886, _T_1888, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2050 = mux(_T_1890, _T_1894, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2051 = mux(_T_1896, _T_1899, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2052 = mux(_T_1901, io.ifu_pmu_instr_aligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2053 = mux(_T_1903, io.dec_pmu_instr_decoded, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2054 = mux(_T_1905, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2055 = mux(_T_1907, _T_1908, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2056 = mux(_T_1910, _T_1911, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2057 = mux(_T_1913, _T_1914, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2058 = mux(_T_1916, _T_1917, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2059 = mux(_T_1919, _T_1921, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2060 = mux(_T_1923, _T_1926, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2061 = mux(_T_1928, _T_1929, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2062 = mux(_T_1931, _T_1932, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2063 = mux(_T_1934, _T_1935, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2064 = mux(_T_1937, _T_1938, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2065 = mux(_T_1940, _T_1941, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2066 = mux(_T_1943, _T_1944, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2067 = mux(_T_1946, _T_1947, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2068 = mux(_T_1949, _T_1950, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2069 = mux(_T_1952, _T_1953, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2070 = mux(_T_1955, _T_1958, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2071 = mux(_T_1960, _T_1961, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2072 = mux(_T_1963, _T_1964, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2073 = mux(_T_1966, _T_1967, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2074 = mux(_T_1969, io.ifu_pmu_fetch_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2075 = mux(_T_1971, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2076 = mux(_T_1973, io.dec_pmu_postsync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2077 = mux(_T_1975, io.dec_pmu_presync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2078 = mux(_T_1977, io.lsu_store_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2079 = mux(_T_1979, io.dma_dccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2080 = mux(_T_1981, io.dma_iccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2081 = mux(_T_1983, _T_1985, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2082 = mux(_T_1987, _T_1989, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2083 = mux(_T_1991, io.take_ext_int, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2084 = mux(_T_1993, io.tlu_flush_lower_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2085 = mux(_T_1995, _T_1997, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2086 = mux(_T_1999, io.ifu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2087 = mux(_T_2001, io.lsu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2088 = mux(_T_2003, io.lsu_pmu_bus_misaligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2089 = mux(_T_2005, io.ifu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2090 = mux(_T_2007, io.lsu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2091 = mux(_T_2009, io.ifu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2092 = mux(_T_2011, io.lsu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2093 = mux(_T_2013, _T_2016, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2094 = mux(_T_2018, _T_2026, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2095 = mux(_T_2028, _T_2029, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2096 = mux(_T_2031, _T_2032, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2097 = mux(_T_2034, _T_2035, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2098 = mux(_T_2037, io.dec_tlu_pmu_fw_halted, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2099 = mux(_T_2039, io.dma_pmu_any_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2100 = mux(_T_2041, io.dma_pmu_any_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2101 = mux(_T_2043, io.dma_pmu_dccm_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2102 = mux(_T_2045, io.dma_pmu_dccm_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2103 = or(_T_2046, _T_2047) @[Mux.scala 27:72] + node _T_2104 = or(_T_2103, _T_2048) @[Mux.scala 27:72] + node _T_2105 = or(_T_2104, _T_2049) @[Mux.scala 27:72] + node _T_2106 = or(_T_2105, _T_2050) @[Mux.scala 27:72] + node _T_2107 = or(_T_2106, _T_2051) @[Mux.scala 27:72] + node _T_2108 = or(_T_2107, _T_2052) @[Mux.scala 27:72] + node _T_2109 = or(_T_2108, _T_2053) @[Mux.scala 27:72] + node _T_2110 = or(_T_2109, _T_2054) @[Mux.scala 27:72] + node _T_2111 = or(_T_2110, _T_2055) @[Mux.scala 27:72] + node _T_2112 = or(_T_2111, _T_2056) @[Mux.scala 27:72] + node _T_2113 = or(_T_2112, _T_2057) @[Mux.scala 27:72] + node _T_2114 = or(_T_2113, _T_2058) @[Mux.scala 27:72] + node _T_2115 = or(_T_2114, _T_2059) @[Mux.scala 27:72] + node _T_2116 = or(_T_2115, _T_2060) @[Mux.scala 27:72] + node _T_2117 = or(_T_2116, _T_2061) @[Mux.scala 27:72] + node _T_2118 = or(_T_2117, _T_2062) @[Mux.scala 27:72] + node _T_2119 = or(_T_2118, _T_2063) @[Mux.scala 27:72] + node _T_2120 = or(_T_2119, _T_2064) @[Mux.scala 27:72] + node _T_2121 = or(_T_2120, _T_2065) @[Mux.scala 27:72] + node _T_2122 = or(_T_2121, _T_2066) @[Mux.scala 27:72] + node _T_2123 = or(_T_2122, _T_2067) @[Mux.scala 27:72] + node _T_2124 = or(_T_2123, _T_2068) @[Mux.scala 27:72] + node _T_2125 = or(_T_2124, _T_2069) @[Mux.scala 27:72] + node _T_2126 = or(_T_2125, _T_2070) @[Mux.scala 27:72] + node _T_2127 = or(_T_2126, _T_2071) @[Mux.scala 27:72] + node _T_2128 = or(_T_2127, _T_2072) @[Mux.scala 27:72] + node _T_2129 = or(_T_2128, _T_2073) @[Mux.scala 27:72] + node _T_2130 = or(_T_2129, _T_2074) @[Mux.scala 27:72] + node _T_2131 = or(_T_2130, _T_2075) @[Mux.scala 27:72] + node _T_2132 = or(_T_2131, _T_2076) @[Mux.scala 27:72] + node _T_2133 = or(_T_2132, _T_2077) @[Mux.scala 27:72] + node _T_2134 = or(_T_2133, _T_2078) @[Mux.scala 27:72] + node _T_2135 = or(_T_2134, _T_2079) @[Mux.scala 27:72] + node _T_2136 = or(_T_2135, _T_2080) @[Mux.scala 27:72] + node _T_2137 = or(_T_2136, _T_2081) @[Mux.scala 27:72] + node _T_2138 = or(_T_2137, _T_2082) @[Mux.scala 27:72] + node _T_2139 = or(_T_2138, _T_2083) @[Mux.scala 27:72] + node _T_2140 = or(_T_2139, _T_2084) @[Mux.scala 27:72] + node _T_2141 = or(_T_2140, _T_2085) @[Mux.scala 27:72] + node _T_2142 = or(_T_2141, _T_2086) @[Mux.scala 27:72] + node _T_2143 = or(_T_2142, _T_2087) @[Mux.scala 27:72] + node _T_2144 = or(_T_2143, _T_2088) @[Mux.scala 27:72] + node _T_2145 = or(_T_2144, _T_2089) @[Mux.scala 27:72] + node _T_2146 = or(_T_2145, _T_2090) @[Mux.scala 27:72] + node _T_2147 = or(_T_2146, _T_2091) @[Mux.scala 27:72] + node _T_2148 = or(_T_2147, _T_2092) @[Mux.scala 27:72] + node _T_2149 = or(_T_2148, _T_2093) @[Mux.scala 27:72] + node _T_2150 = or(_T_2149, _T_2094) @[Mux.scala 27:72] + node _T_2151 = or(_T_2150, _T_2095) @[Mux.scala 27:72] + node _T_2152 = or(_T_2151, _T_2096) @[Mux.scala 27:72] + node _T_2153 = or(_T_2152, _T_2097) @[Mux.scala 27:72] + node _T_2154 = or(_T_2153, _T_2098) @[Mux.scala 27:72] + node _T_2155 = or(_T_2154, _T_2099) @[Mux.scala 27:72] + node _T_2156 = or(_T_2155, _T_2100) @[Mux.scala 27:72] + node _T_2157 = or(_T_2156, _T_2101) @[Mux.scala 27:72] + node _T_2158 = or(_T_2157, _T_2102) @[Mux.scala 27:72] + wire _T_2159 : UInt<1> @[Mux.scala 27:72] + _T_2159 <= _T_2158 @[Mux.scala 27:72] + node _T_2160 = and(_T_1878, _T_2159) @[dec_tlu_ctl.scala 2272:44] + mhpmc_inc_r[3] <= _T_2160 @[dec_tlu_ctl.scala 2272:19] + reg _T_2161 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2333:53] + _T_2161 <= mhpmc_inc_r[0] @[dec_tlu_ctl.scala 2333:53] + mhpmc_inc_r_d1[0] <= _T_2161 @[dec_tlu_ctl.scala 2333:20] + reg _T_2162 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2334:53] + _T_2162 <= mhpmc_inc_r[1] @[dec_tlu_ctl.scala 2334:53] + mhpmc_inc_r_d1[1] <= _T_2162 @[dec_tlu_ctl.scala 2334:20] + reg _T_2163 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2335:53] + _T_2163 <= mhpmc_inc_r[2] @[dec_tlu_ctl.scala 2335:53] + mhpmc_inc_r_d1[2] <= _T_2163 @[dec_tlu_ctl.scala 2335:20] + reg _T_2164 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2336:53] + _T_2164 <= mhpmc_inc_r[3] @[dec_tlu_ctl.scala 2336:53] + mhpmc_inc_r_d1[3] <= _T_2164 @[dec_tlu_ctl.scala 2336:20] + reg perfcnt_halted_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2337:56] + perfcnt_halted_d1 <= perfcnt_halted @[dec_tlu_ctl.scala 2337:56] + node _T_2165 = bits(io.dcsr, 10, 10) @[dec_tlu_ctl.scala 2340:53] + node _T_2166 = and(io.dec_tlu_dbg_halted, _T_2165) @[dec_tlu_ctl.scala 2340:44] + node _T_2167 = or(_T_2166, io.dec_tlu_pmu_fw_halted) @[dec_tlu_ctl.scala 2340:67] + perfcnt_halted <= _T_2167 @[dec_tlu_ctl.scala 2340:17] + node _T_2168 = bits(io.dcsr, 10, 10) @[dec_tlu_ctl.scala 2341:70] + node _T_2169 = and(io.dec_tlu_dbg_halted, _T_2168) @[dec_tlu_ctl.scala 2341:61] + node _T_2170 = not(_T_2169) @[dec_tlu_ctl.scala 2341:37] + node _T_2171 = bits(_T_2170, 0, 0) @[Bitwise.scala 72:15] + node _T_2172 = mux(_T_2171, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2173 = bits(mhpme_vec[3], 9, 9) @[dec_tlu_ctl.scala 2341:104] + node _T_2174 = bits(mhpme_vec[2], 9, 9) @[dec_tlu_ctl.scala 2341:120] + node _T_2175 = bits(mhpme_vec[1], 9, 9) @[dec_tlu_ctl.scala 2341:136] + node _T_2176 = bits(mhpme_vec[0], 9, 9) @[dec_tlu_ctl.scala 2341:152] + node _T_2177 = cat(_T_2175, _T_2176) @[Cat.scala 29:58] + node _T_2178 = cat(_T_2173, _T_2174) @[Cat.scala 29:58] + node _T_2179 = cat(_T_2178, _T_2177) @[Cat.scala 29:58] + node perfcnt_during_sleep = and(_T_2172, _T_2179) @[dec_tlu_ctl.scala 2341:86] + node _T_2180 = bits(perfcnt_during_sleep, 0, 0) @[dec_tlu_ctl.scala 2343:88] + node _T_2181 = not(_T_2180) @[dec_tlu_ctl.scala 2343:67] + node _T_2182 = and(perfcnt_halted_d1, _T_2181) @[dec_tlu_ctl.scala 2343:65] + node _T_2183 = not(_T_2182) @[dec_tlu_ctl.scala 2343:45] + node _T_2184 = and(mhpmc_inc_r_d1[0], _T_2183) @[dec_tlu_ctl.scala 2343:43] + io.dec_tlu_perfcnt0 <= _T_2184 @[dec_tlu_ctl.scala 2343:22] + node _T_2185 = bits(perfcnt_during_sleep, 1, 1) @[dec_tlu_ctl.scala 2344:88] + node _T_2186 = not(_T_2185) @[dec_tlu_ctl.scala 2344:67] + node _T_2187 = and(perfcnt_halted_d1, _T_2186) @[dec_tlu_ctl.scala 2344:65] + node _T_2188 = not(_T_2187) @[dec_tlu_ctl.scala 2344:45] + node _T_2189 = and(mhpmc_inc_r_d1[1], _T_2188) @[dec_tlu_ctl.scala 2344:43] + io.dec_tlu_perfcnt1 <= _T_2189 @[dec_tlu_ctl.scala 2344:22] + node _T_2190 = bits(perfcnt_during_sleep, 2, 2) @[dec_tlu_ctl.scala 2345:88] + node _T_2191 = not(_T_2190) @[dec_tlu_ctl.scala 2345:67] + node _T_2192 = and(perfcnt_halted_d1, _T_2191) @[dec_tlu_ctl.scala 2345:65] + node _T_2193 = not(_T_2192) @[dec_tlu_ctl.scala 2345:45] + node _T_2194 = and(mhpmc_inc_r_d1[2], _T_2193) @[dec_tlu_ctl.scala 2345:43] + io.dec_tlu_perfcnt2 <= _T_2194 @[dec_tlu_ctl.scala 2345:22] + node _T_2195 = bits(perfcnt_during_sleep, 3, 3) @[dec_tlu_ctl.scala 2346:88] + node _T_2196 = not(_T_2195) @[dec_tlu_ctl.scala 2346:67] + node _T_2197 = and(perfcnt_halted_d1, _T_2196) @[dec_tlu_ctl.scala 2346:65] + node _T_2198 = not(_T_2197) @[dec_tlu_ctl.scala 2346:45] + node _T_2199 = and(mhpmc_inc_r_d1[3], _T_2198) @[dec_tlu_ctl.scala 2346:43] + io.dec_tlu_perfcnt3 <= _T_2199 @[dec_tlu_ctl.scala 2346:22] + node _T_2200 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2352:65] + node _T_2201 = eq(_T_2200, UInt<12>("h0b03")) @[dec_tlu_ctl.scala 2352:72] + node mhpmc3_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2201) @[dec_tlu_ctl.scala 2352:43] + node _T_2202 = not(perfcnt_halted) @[dec_tlu_ctl.scala 2353:23] + node _T_2203 = bits(perfcnt_during_sleep, 0, 0) @[dec_tlu_ctl.scala 2353:61] + node _T_2204 = or(_T_2202, _T_2203) @[dec_tlu_ctl.scala 2353:39] + node _T_2205 = orr(mhpmc_inc_r[0]) @[dec_tlu_ctl.scala 2353:86] + node mhpmc3_wr_en1 = and(_T_2204, _T_2205) @[dec_tlu_ctl.scala 2353:66] + node mhpmc3_wr_en = or(mhpmc3_wr_en0, mhpmc3_wr_en1) @[dec_tlu_ctl.scala 2354:36] + node _T_2206 = bits(mhpmc3h, 31, 0) @[dec_tlu_ctl.scala 2357:28] + node _T_2207 = bits(mhpmc3, 31, 0) @[dec_tlu_ctl.scala 2357:41] + node _T_2208 = cat(_T_2206, _T_2207) @[Cat.scala 29:58] + node _T_2209 = cat(UInt<63>("h00"), mhpmc_inc_r[0]) @[Cat.scala 29:58] + node _T_2210 = add(_T_2208, _T_2209) @[dec_tlu_ctl.scala 2357:49] + node _T_2211 = tail(_T_2210, 1) @[dec_tlu_ctl.scala 2357:49] + mhpmc3_incr <= _T_2211 @[dec_tlu_ctl.scala 2357:14] + node _T_2212 = bits(mhpmc3_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2358:36] + node _T_2213 = bits(mhpmc3_incr, 31, 0) @[dec_tlu_ctl.scala 2358:76] + node mhpmc3_ns = mux(_T_2212, io.dec_csr_wrdata_r, _T_2213) @[dec_tlu_ctl.scala 2358:21] + node _T_2214 = bits(mhpmc3_wr_en, 0, 0) @[dec_tlu_ctl.scala 2360:42] + inst rvclkhdr_26 of rvclkhdr_85 @[lib.scala 368:23] + rvclkhdr_26.clock <= clock + rvclkhdr_26.reset <= reset + rvclkhdr_26.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_26.io.en <= _T_2214 @[lib.scala 371:17] + rvclkhdr_26.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_2215 : UInt, rvclkhdr_26.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_2215 <= mhpmc3_ns @[lib.scala 374:16] + mhpmc3 <= _T_2215 @[dec_tlu_ctl.scala 2360:9] + node _T_2216 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2362:66] + node _T_2217 = eq(_T_2216, UInt<12>("h0b83")) @[dec_tlu_ctl.scala 2362:73] + node mhpmc3h_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2217) @[dec_tlu_ctl.scala 2362:44] + node mhpmc3h_wr_en = or(mhpmc3h_wr_en0, mhpmc3_wr_en1) @[dec_tlu_ctl.scala 2363:38] + node _T_2218 = bits(mhpmc3h_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2364:38] + node _T_2219 = bits(mhpmc3_incr, 63, 32) @[dec_tlu_ctl.scala 2364:78] + node mhpmc3h_ns = mux(_T_2218, io.dec_csr_wrdata_r, _T_2219) @[dec_tlu_ctl.scala 2364:22] + node _T_2220 = bits(mhpmc3h_wr_en, 0, 0) @[dec_tlu_ctl.scala 2366:46] + inst rvclkhdr_27 of rvclkhdr_86 @[lib.scala 368:23] + rvclkhdr_27.clock <= clock + rvclkhdr_27.reset <= reset + rvclkhdr_27.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_27.io.en <= _T_2220 @[lib.scala 371:17] + rvclkhdr_27.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_2221 : UInt, rvclkhdr_27.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_2221 <= mhpmc3h_ns @[lib.scala 374:16] + mhpmc3h <= _T_2221 @[dec_tlu_ctl.scala 2366:10] + node _T_2222 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2371:65] + node _T_2223 = eq(_T_2222, UInt<12>("h0b04")) @[dec_tlu_ctl.scala 2371:72] + node mhpmc4_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2223) @[dec_tlu_ctl.scala 2371:43] + node _T_2224 = not(perfcnt_halted) @[dec_tlu_ctl.scala 2372:23] + node _T_2225 = bits(perfcnt_during_sleep, 1, 1) @[dec_tlu_ctl.scala 2372:61] + node _T_2226 = or(_T_2224, _T_2225) @[dec_tlu_ctl.scala 2372:39] + node _T_2227 = orr(mhpmc_inc_r[1]) @[dec_tlu_ctl.scala 2372:86] + node mhpmc4_wr_en1 = and(_T_2226, _T_2227) @[dec_tlu_ctl.scala 2372:66] + node mhpmc4_wr_en = or(mhpmc4_wr_en0, mhpmc4_wr_en1) @[dec_tlu_ctl.scala 2373:36] + node _T_2228 = bits(mhpmc4h, 31, 0) @[dec_tlu_ctl.scala 2377:28] + node _T_2229 = bits(mhpmc4, 31, 0) @[dec_tlu_ctl.scala 2377:41] + node _T_2230 = cat(_T_2228, _T_2229) @[Cat.scala 29:58] + node _T_2231 = cat(UInt<63>("h00"), mhpmc_inc_r[1]) @[Cat.scala 29:58] + node _T_2232 = add(_T_2230, _T_2231) @[dec_tlu_ctl.scala 2377:49] + node _T_2233 = tail(_T_2232, 1) @[dec_tlu_ctl.scala 2377:49] + mhpmc4_incr <= _T_2233 @[dec_tlu_ctl.scala 2377:14] + node _T_2234 = bits(mhpmc4_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2378:36] + node _T_2235 = bits(io.dec_csr_wrdata_r, 31, 0) @[dec_tlu_ctl.scala 2378:63] + node _T_2236 = bits(mhpmc4_incr, 31, 0) @[dec_tlu_ctl.scala 2378:82] + node mhpmc4_ns = mux(_T_2234, _T_2235, _T_2236) @[dec_tlu_ctl.scala 2378:21] + node _T_2237 = bits(mhpmc4_wr_en, 0, 0) @[dec_tlu_ctl.scala 2379:43] + inst rvclkhdr_28 of rvclkhdr_87 @[lib.scala 368:23] + rvclkhdr_28.clock <= clock + rvclkhdr_28.reset <= reset + rvclkhdr_28.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_28.io.en <= _T_2237 @[lib.scala 371:17] + rvclkhdr_28.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_2238 : UInt, rvclkhdr_28.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_2238 <= mhpmc4_ns @[lib.scala 374:16] + mhpmc4 <= _T_2238 @[dec_tlu_ctl.scala 2379:9] + node _T_2239 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2381:66] + node _T_2240 = eq(_T_2239, UInt<12>("h0b84")) @[dec_tlu_ctl.scala 2381:73] + node mhpmc4h_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2240) @[dec_tlu_ctl.scala 2381:44] + node mhpmc4h_wr_en = or(mhpmc4h_wr_en0, mhpmc4_wr_en1) @[dec_tlu_ctl.scala 2382:38] + node _T_2241 = bits(mhpmc4h_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2383:38] + node _T_2242 = bits(mhpmc4_incr, 63, 32) @[dec_tlu_ctl.scala 2383:78] + node mhpmc4h_ns = mux(_T_2241, io.dec_csr_wrdata_r, _T_2242) @[dec_tlu_ctl.scala 2383:22] + node _T_2243 = bits(mhpmc4h_wr_en, 0, 0) @[dec_tlu_ctl.scala 2384:46] + inst rvclkhdr_29 of rvclkhdr_88 @[lib.scala 368:23] + rvclkhdr_29.clock <= clock + rvclkhdr_29.reset <= reset + rvclkhdr_29.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_29.io.en <= _T_2243 @[lib.scala 371:17] + rvclkhdr_29.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_2244 : UInt, rvclkhdr_29.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_2244 <= mhpmc4h_ns @[lib.scala 374:16] + mhpmc4h <= _T_2244 @[dec_tlu_ctl.scala 2384:10] + node _T_2245 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2390:65] + node _T_2246 = eq(_T_2245, UInt<12>("h0b05")) @[dec_tlu_ctl.scala 2390:72] + node mhpmc5_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2246) @[dec_tlu_ctl.scala 2390:43] + node _T_2247 = not(perfcnt_halted) @[dec_tlu_ctl.scala 2391:23] + node _T_2248 = bits(perfcnt_during_sleep, 2, 2) @[dec_tlu_ctl.scala 2391:61] + node _T_2249 = or(_T_2247, _T_2248) @[dec_tlu_ctl.scala 2391:39] + node _T_2250 = orr(mhpmc_inc_r[2]) @[dec_tlu_ctl.scala 2391:86] + node mhpmc5_wr_en1 = and(_T_2249, _T_2250) @[dec_tlu_ctl.scala 2391:66] + node mhpmc5_wr_en = or(mhpmc5_wr_en0, mhpmc5_wr_en1) @[dec_tlu_ctl.scala 2392:36] + node _T_2251 = bits(mhpmc5h, 31, 0) @[dec_tlu_ctl.scala 2394:28] + node _T_2252 = bits(mhpmc5, 31, 0) @[dec_tlu_ctl.scala 2394:41] + node _T_2253 = cat(_T_2251, _T_2252) @[Cat.scala 29:58] + node _T_2254 = cat(UInt<63>("h00"), mhpmc_inc_r[2]) @[Cat.scala 29:58] + node _T_2255 = add(_T_2253, _T_2254) @[dec_tlu_ctl.scala 2394:49] + node _T_2256 = tail(_T_2255, 1) @[dec_tlu_ctl.scala 2394:49] + mhpmc5_incr <= _T_2256 @[dec_tlu_ctl.scala 2394:14] + node _T_2257 = bits(mhpmc5_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2395:36] + node _T_2258 = bits(mhpmc5_incr, 31, 0) @[dec_tlu_ctl.scala 2395:76] + node mhpmc5_ns = mux(_T_2257, io.dec_csr_wrdata_r, _T_2258) @[dec_tlu_ctl.scala 2395:21] + node _T_2259 = bits(mhpmc5_wr_en, 0, 0) @[dec_tlu_ctl.scala 2397:43] + inst rvclkhdr_30 of rvclkhdr_89 @[lib.scala 368:23] + rvclkhdr_30.clock <= clock + rvclkhdr_30.reset <= reset + rvclkhdr_30.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_30.io.en <= _T_2259 @[lib.scala 371:17] + rvclkhdr_30.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_2260 : UInt, rvclkhdr_30.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_2260 <= mhpmc5_ns @[lib.scala 374:16] + mhpmc5 <= _T_2260 @[dec_tlu_ctl.scala 2397:9] + node _T_2261 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2399:66] + node _T_2262 = eq(_T_2261, UInt<12>("h0b85")) @[dec_tlu_ctl.scala 2399:73] + node mhpmc5h_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2262) @[dec_tlu_ctl.scala 2399:44] + node mhpmc5h_wr_en = or(mhpmc5h_wr_en0, mhpmc5_wr_en1) @[dec_tlu_ctl.scala 2400:38] + node _T_2263 = bits(mhpmc5h_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2401:38] + node _T_2264 = bits(mhpmc5_incr, 63, 32) @[dec_tlu_ctl.scala 2401:78] + node mhpmc5h_ns = mux(_T_2263, io.dec_csr_wrdata_r, _T_2264) @[dec_tlu_ctl.scala 2401:22] + node _T_2265 = bits(mhpmc5h_wr_en, 0, 0) @[dec_tlu_ctl.scala 2403:46] + inst rvclkhdr_31 of rvclkhdr_90 @[lib.scala 368:23] + rvclkhdr_31.clock <= clock + rvclkhdr_31.reset <= reset + rvclkhdr_31.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_31.io.en <= _T_2265 @[lib.scala 371:17] + rvclkhdr_31.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_2266 : UInt, rvclkhdr_31.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_2266 <= mhpmc5h_ns @[lib.scala 374:16] + mhpmc5h <= _T_2266 @[dec_tlu_ctl.scala 2403:10] + node _T_2267 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2408:65] + node _T_2268 = eq(_T_2267, UInt<12>("h0b06")) @[dec_tlu_ctl.scala 2408:72] + node mhpmc6_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2268) @[dec_tlu_ctl.scala 2408:43] + node _T_2269 = not(perfcnt_halted) @[dec_tlu_ctl.scala 2409:23] + node _T_2270 = bits(perfcnt_during_sleep, 3, 3) @[dec_tlu_ctl.scala 2409:61] + node _T_2271 = or(_T_2269, _T_2270) @[dec_tlu_ctl.scala 2409:39] + node _T_2272 = orr(mhpmc_inc_r[3]) @[dec_tlu_ctl.scala 2409:86] + node mhpmc6_wr_en1 = and(_T_2271, _T_2272) @[dec_tlu_ctl.scala 2409:66] + node mhpmc6_wr_en = or(mhpmc6_wr_en0, mhpmc6_wr_en1) @[dec_tlu_ctl.scala 2410:36] + node _T_2273 = bits(mhpmc6h, 31, 0) @[dec_tlu_ctl.scala 2412:28] + node _T_2274 = bits(mhpmc6, 31, 0) @[dec_tlu_ctl.scala 2412:41] + node _T_2275 = cat(_T_2273, _T_2274) @[Cat.scala 29:58] + node _T_2276 = cat(UInt<63>("h00"), mhpmc_inc_r[3]) @[Cat.scala 29:58] + node _T_2277 = add(_T_2275, _T_2276) @[dec_tlu_ctl.scala 2412:49] + node _T_2278 = tail(_T_2277, 1) @[dec_tlu_ctl.scala 2412:49] + mhpmc6_incr <= _T_2278 @[dec_tlu_ctl.scala 2412:14] + node _T_2279 = bits(mhpmc6_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2413:36] + node _T_2280 = bits(mhpmc6_incr, 31, 0) @[dec_tlu_ctl.scala 2413:76] + node mhpmc6_ns = mux(_T_2279, io.dec_csr_wrdata_r, _T_2280) @[dec_tlu_ctl.scala 2413:21] + node _T_2281 = bits(mhpmc6_wr_en, 0, 0) @[dec_tlu_ctl.scala 2415:43] + inst rvclkhdr_32 of rvclkhdr_91 @[lib.scala 368:23] + rvclkhdr_32.clock <= clock + rvclkhdr_32.reset <= reset + rvclkhdr_32.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_32.io.en <= _T_2281 @[lib.scala 371:17] + rvclkhdr_32.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_2282 : UInt, rvclkhdr_32.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_2282 <= mhpmc6_ns @[lib.scala 374:16] + mhpmc6 <= _T_2282 @[dec_tlu_ctl.scala 2415:9] + node _T_2283 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2417:66] + node _T_2284 = eq(_T_2283, UInt<12>("h0b86")) @[dec_tlu_ctl.scala 2417:73] + node mhpmc6h_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2284) @[dec_tlu_ctl.scala 2417:44] + node mhpmc6h_wr_en = or(mhpmc6h_wr_en0, mhpmc6_wr_en1) @[dec_tlu_ctl.scala 2418:38] + node _T_2285 = bits(mhpmc6h_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2419:38] + node _T_2286 = bits(mhpmc6_incr, 63, 32) @[dec_tlu_ctl.scala 2419:78] + node mhpmc6h_ns = mux(_T_2285, io.dec_csr_wrdata_r, _T_2286) @[dec_tlu_ctl.scala 2419:22] + node _T_2287 = bits(mhpmc6h_wr_en, 0, 0) @[dec_tlu_ctl.scala 2421:46] + inst rvclkhdr_33 of rvclkhdr_92 @[lib.scala 368:23] + rvclkhdr_33.clock <= clock + rvclkhdr_33.reset <= reset + rvclkhdr_33.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_33.io.en <= _T_2287 @[lib.scala 371:17] + rvclkhdr_33.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_2288 : UInt, rvclkhdr_33.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_2288 <= mhpmc6h_ns @[lib.scala 374:16] + mhpmc6h <= _T_2288 @[dec_tlu_ctl.scala 2421:10] + node _T_2289 = bits(io.dec_csr_wrdata_r, 9, 0) @[dec_tlu_ctl.scala 2428:50] + node _T_2290 = gt(_T_2289, UInt<10>("h0204")) @[dec_tlu_ctl.scala 2428:56] + node _T_2291 = bits(io.dec_csr_wrdata_r, 31, 10) @[dec_tlu_ctl.scala 2428:93] + node _T_2292 = orr(_T_2291) @[dec_tlu_ctl.scala 2428:102] + node _T_2293 = or(_T_2290, _T_2292) @[dec_tlu_ctl.scala 2428:71] + node _T_2294 = bits(io.dec_csr_wrdata_r, 9, 0) @[dec_tlu_ctl.scala 2428:141] + node event_saturate_r = mux(_T_2293, UInt<10>("h0204"), _T_2294) @[dec_tlu_ctl.scala 2428:28] + node _T_2295 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2430:63] + node _T_2296 = eq(_T_2295, UInt<12>("h0323")) @[dec_tlu_ctl.scala 2430:70] + node wr_mhpme3_r = and(io.dec_csr_wen_r_mod, _T_2296) @[dec_tlu_ctl.scala 2430:41] + node _T_2297 = bits(wr_mhpme3_r, 0, 0) @[dec_tlu_ctl.scala 2432:80] + reg _T_2298 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_2297 : @[Reg.scala 28:19] + _T_2298 <= event_saturate_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + mhpme3 <= _T_2298 @[dec_tlu_ctl.scala 2432:9] + node _T_2299 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2437:63] + node _T_2300 = eq(_T_2299, UInt<12>("h0324")) @[dec_tlu_ctl.scala 2437:70] + node wr_mhpme4_r = and(io.dec_csr_wen_r_mod, _T_2300) @[dec_tlu_ctl.scala 2437:41] + node _T_2301 = bits(wr_mhpme4_r, 0, 0) @[dec_tlu_ctl.scala 2438:80] + reg _T_2302 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_2301 : @[Reg.scala 28:19] + _T_2302 <= event_saturate_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + mhpme4 <= _T_2302 @[dec_tlu_ctl.scala 2438:9] + node _T_2303 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2444:63] + node _T_2304 = eq(_T_2303, UInt<12>("h0325")) @[dec_tlu_ctl.scala 2444:70] + node wr_mhpme5_r = and(io.dec_csr_wen_r_mod, _T_2304) @[dec_tlu_ctl.scala 2444:41] + node _T_2305 = bits(wr_mhpme5_r, 0, 0) @[dec_tlu_ctl.scala 2445:80] + reg _T_2306 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_2305 : @[Reg.scala 28:19] + _T_2306 <= event_saturate_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + mhpme5 <= _T_2306 @[dec_tlu_ctl.scala 2445:9] + node _T_2307 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2451:63] + node _T_2308 = eq(_T_2307, UInt<12>("h0326")) @[dec_tlu_ctl.scala 2451:70] + node wr_mhpme6_r = and(io.dec_csr_wen_r_mod, _T_2308) @[dec_tlu_ctl.scala 2451:41] + node _T_2309 = bits(wr_mhpme6_r, 0, 0) @[dec_tlu_ctl.scala 2452:80] + reg _T_2310 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_2309 : @[Reg.scala 28:19] + _T_2310 <= event_saturate_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + mhpme6 <= _T_2310 @[dec_tlu_ctl.scala 2452:9] + node _T_2311 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2468:70] + node _T_2312 = eq(_T_2311, UInt<12>("h0320")) @[dec_tlu_ctl.scala 2468:77] + node wr_mcountinhibit_r = and(io.dec_csr_wen_r_mod, _T_2312) @[dec_tlu_ctl.scala 2468:48] + node _T_2313 = bits(mcountinhibit, 0, 0) @[dec_tlu_ctl.scala 2470:54] + wire temp_ncount0 : UInt<1> + temp_ncount0 <= _T_2313 + node _T_2314 = bits(mcountinhibit, 1, 1) @[dec_tlu_ctl.scala 2471:54] + wire temp_ncount1 : UInt<1> + temp_ncount1 <= _T_2314 + node _T_2315 = bits(mcountinhibit, 6, 2) @[dec_tlu_ctl.scala 2472:55] + wire temp_ncount6_2 : UInt<5> + temp_ncount6_2 <= _T_2315 + node _T_2316 = bits(io.dec_csr_wrdata_r, 6, 2) @[dec_tlu_ctl.scala 2473:74] + node _T_2317 = bits(wr_mcountinhibit_r, 0, 0) @[dec_tlu_ctl.scala 2473:103] + reg _T_2318 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_2317 : @[Reg.scala 28:19] + _T_2318 <= _T_2316 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + temp_ncount6_2 <= _T_2318 @[dec_tlu_ctl.scala 2473:17] + node _T_2319 = bits(io.dec_csr_wrdata_r, 0, 0) @[dec_tlu_ctl.scala 2475:72] + node _T_2320 = bits(wr_mcountinhibit_r, 0, 0) @[dec_tlu_ctl.scala 2475:99] + reg _T_2321 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_2320 : @[Reg.scala 28:19] + _T_2321 <= _T_2319 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + temp_ncount0 <= _T_2321 @[dec_tlu_ctl.scala 2475:15] + node _T_2322 = cat(temp_ncount6_2, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2323 = cat(_T_2322, temp_ncount0) @[Cat.scala 29:58] + mcountinhibit <= _T_2323 @[dec_tlu_ctl.scala 2476:16] + node _T_2324 = or(io.i0_valid_wb, io.exc_or_int_valid_r_d1) @[dec_tlu_ctl.scala 2483:51] + node _T_2325 = or(_T_2324, io.interrupt_valid_r_d1) @[dec_tlu_ctl.scala 2483:78] + node _T_2326 = or(_T_2325, io.dec_tlu_i0_valid_wb1) @[dec_tlu_ctl.scala 2483:104] + node _T_2327 = or(_T_2326, io.dec_tlu_i0_exc_valid_wb1) @[dec_tlu_ctl.scala 2483:130] + node _T_2328 = or(_T_2327, io.dec_tlu_int_valid_wb1) @[dec_tlu_ctl.scala 2484:32] + node _T_2329 = or(_T_2328, io.clk_override) @[dec_tlu_ctl.scala 2484:59] + node _T_2330 = bits(_T_2329, 0, 0) @[dec_tlu_ctl.scala 2484:78] + inst rvclkhdr_34 of rvclkhdr_93 @[lib.scala 343:22] + rvclkhdr_34.clock <= clock + rvclkhdr_34.reset <= reset + rvclkhdr_34.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_34.io.en <= _T_2330 @[lib.scala 345:16] + rvclkhdr_34.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + reg _T_2331 : UInt, rvclkhdr_34.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2486:62] + _T_2331 <= io.i0_valid_wb @[dec_tlu_ctl.scala 2486:62] + io.dec_tlu_i0_valid_wb1 <= _T_2331 @[dec_tlu_ctl.scala 2486:30] + node _T_2332 = or(io.i0_exception_valid_r_d1, io.lsu_i0_exc_r_d1) @[dec_tlu_ctl.scala 2487:91] + node _T_2333 = not(io.trigger_hit_dmode_r_d1) @[dec_tlu_ctl.scala 2487:137] + node _T_2334 = and(io.trigger_hit_r_d1, _T_2333) @[dec_tlu_ctl.scala 2487:135] + node _T_2335 = or(_T_2332, _T_2334) @[dec_tlu_ctl.scala 2487:112] + reg _T_2336 : UInt, rvclkhdr_34.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2487:62] + _T_2336 <= _T_2335 @[dec_tlu_ctl.scala 2487:62] + io.dec_tlu_i0_exc_valid_wb1 <= _T_2336 @[dec_tlu_ctl.scala 2487:30] + reg _T_2337 : UInt, rvclkhdr_34.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2488:62] + _T_2337 <= io.exc_cause_wb @[dec_tlu_ctl.scala 2488:62] + io.dec_tlu_exc_cause_wb1 <= _T_2337 @[dec_tlu_ctl.scala 2488:30] + reg _T_2338 : UInt, rvclkhdr_34.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2489:62] + _T_2338 <= io.interrupt_valid_r_d1 @[dec_tlu_ctl.scala 2489:62] + io.dec_tlu_int_valid_wb1 <= _T_2338 @[dec_tlu_ctl.scala 2489:30] + io.dec_tlu_mtval_wb1 <= mtval @[dec_tlu_ctl.scala 2491:24] + node _T_2339 = bits(io.csr_pkt.csr_misa, 0, 0) @[dec_tlu_ctl.scala 2497:61] + node _T_2340 = bits(io.csr_pkt.csr_mvendorid, 0, 0) @[dec_tlu_ctl.scala 2498:42] + node _T_2341 = bits(io.csr_pkt.csr_marchid, 0, 0) @[dec_tlu_ctl.scala 2499:40] + node _T_2342 = bits(io.csr_pkt.csr_mimpid, 0, 0) @[dec_tlu_ctl.scala 2500:39] + node _T_2343 = bits(io.csr_pkt.csr_mhartid, 0, 0) @[dec_tlu_ctl.scala 2501:40] + node _T_2344 = cat(io.core_id, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_2345 = bits(io.csr_pkt.csr_mstatus, 0, 0) @[dec_tlu_ctl.scala 2502:40] + node _T_2346 = bits(io.mstatus, 1, 1) @[dec_tlu_ctl.scala 2502:103] + node _T_2347 = bits(io.mstatus, 0, 0) @[dec_tlu_ctl.scala 2502:128] + node _T_2348 = cat(UInt<3>("h00"), _T_2347) @[Cat.scala 29:58] + node _T_2349 = cat(_T_2348, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2350 = cat(UInt<3>("h00"), _T_2346) @[Cat.scala 29:58] + node _T_2351 = cat(UInt<19>("h00"), UInt<2>("h03")) @[Cat.scala 29:58] + node _T_2352 = cat(_T_2351, _T_2350) @[Cat.scala 29:58] + node _T_2353 = cat(_T_2352, _T_2349) @[Cat.scala 29:58] + node _T_2354 = bits(io.csr_pkt.csr_mtvec, 0, 0) @[dec_tlu_ctl.scala 2503:38] + node _T_2355 = bits(io.mtvec, 30, 1) @[dec_tlu_ctl.scala 2503:70] + node _T_2356 = bits(io.mtvec, 0, 0) @[dec_tlu_ctl.scala 2503:96] + node _T_2357 = cat(_T_2355, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2358 = cat(_T_2357, _T_2356) @[Cat.scala 29:58] + node _T_2359 = bits(io.csr_pkt.csr_mip, 0, 0) @[dec_tlu_ctl.scala 2504:36] + node _T_2360 = bits(io.mip, 5, 3) @[dec_tlu_ctl.scala 2504:78] + node _T_2361 = bits(io.mip, 2, 2) @[dec_tlu_ctl.scala 2504:102] + node _T_2362 = bits(io.mip, 1, 1) @[dec_tlu_ctl.scala 2504:123] + node _T_2363 = bits(io.mip, 0, 0) @[dec_tlu_ctl.scala 2504:144] + node _T_2364 = cat(_T_2363, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2365 = cat(_T_2362, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2366 = cat(_T_2365, _T_2364) @[Cat.scala 29:58] + node _T_2367 = cat(_T_2361, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2368 = cat(UInt<1>("h00"), _T_2360) @[Cat.scala 29:58] + node _T_2369 = cat(_T_2368, UInt<16>("h00")) @[Cat.scala 29:58] + node _T_2370 = cat(_T_2369, _T_2367) @[Cat.scala 29:58] + node _T_2371 = cat(_T_2370, _T_2366) @[Cat.scala 29:58] + node _T_2372 = bits(io.csr_pkt.csr_mie, 0, 0) @[dec_tlu_ctl.scala 2505:36] + node _T_2373 = bits(mie, 5, 3) @[dec_tlu_ctl.scala 2505:75] + node _T_2374 = bits(mie, 2, 2) @[dec_tlu_ctl.scala 2505:96] + node _T_2375 = bits(mie, 1, 1) @[dec_tlu_ctl.scala 2505:114] + node _T_2376 = bits(mie, 0, 0) @[dec_tlu_ctl.scala 2505:132] + node _T_2377 = cat(_T_2376, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2378 = cat(_T_2375, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2379 = cat(_T_2378, _T_2377) @[Cat.scala 29:58] + node _T_2380 = cat(_T_2374, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2381 = cat(UInt<1>("h00"), _T_2373) @[Cat.scala 29:58] + node _T_2382 = cat(_T_2381, UInt<16>("h00")) @[Cat.scala 29:58] + node _T_2383 = cat(_T_2382, _T_2380) @[Cat.scala 29:58] + node _T_2384 = cat(_T_2383, _T_2379) @[Cat.scala 29:58] + node _T_2385 = bits(io.csr_pkt.csr_mcyclel, 0, 0) @[dec_tlu_ctl.scala 2506:40] + node _T_2386 = bits(mcyclel, 31, 0) @[dec_tlu_ctl.scala 2506:65] + node _T_2387 = bits(io.csr_pkt.csr_mcycleh, 0, 0) @[dec_tlu_ctl.scala 2507:40] + node _T_2388 = bits(mcycleh_inc, 31, 0) @[dec_tlu_ctl.scala 2507:69] + node _T_2389 = bits(io.csr_pkt.csr_minstretl, 0, 0) @[dec_tlu_ctl.scala 2508:42] + node _T_2390 = bits(minstretl, 31, 0) @[dec_tlu_ctl.scala 2508:72] + node _T_2391 = bits(io.csr_pkt.csr_minstreth, 0, 0) @[dec_tlu_ctl.scala 2509:42] + node _T_2392 = bits(minstreth_inc, 31, 0) @[dec_tlu_ctl.scala 2509:72] + node _T_2393 = bits(io.csr_pkt.csr_mscratch, 0, 0) @[dec_tlu_ctl.scala 2510:41] + node _T_2394 = bits(mscratch, 31, 0) @[dec_tlu_ctl.scala 2510:66] + node _T_2395 = bits(io.csr_pkt.csr_mepc, 0, 0) @[dec_tlu_ctl.scala 2511:37] + node _T_2396 = cat(io.mepc, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2397 = bits(io.csr_pkt.csr_mcause, 0, 0) @[dec_tlu_ctl.scala 2512:39] + node _T_2398 = bits(mcause, 31, 0) @[dec_tlu_ctl.scala 2512:64] + node _T_2399 = bits(io.csr_pkt.csr_mscause, 0, 0) @[dec_tlu_ctl.scala 2513:40] + node _T_2400 = bits(mscause, 3, 0) @[dec_tlu_ctl.scala 2513:80] + node _T_2401 = cat(UInt<28>("h00"), _T_2400) @[Cat.scala 29:58] + node _T_2402 = bits(io.csr_pkt.csr_mtval, 0, 0) @[dec_tlu_ctl.scala 2514:38] + node _T_2403 = bits(mtval, 31, 0) @[dec_tlu_ctl.scala 2514:63] + node _T_2404 = bits(io.csr_pkt.csr_mrac, 0, 0) @[dec_tlu_ctl.scala 2515:37] + node _T_2405 = bits(mrac, 31, 0) @[dec_tlu_ctl.scala 2515:62] + node _T_2406 = bits(io.csr_pkt.csr_mdseac, 0, 0) @[dec_tlu_ctl.scala 2516:39] + node _T_2407 = bits(mdseac, 31, 0) @[dec_tlu_ctl.scala 2516:64] + node _T_2408 = bits(io.csr_pkt.csr_meivt, 0, 0) @[dec_tlu_ctl.scala 2517:38] + node _T_2409 = cat(meivt, UInt<10>("h00")) @[Cat.scala 29:58] + node _T_2410 = bits(io.csr_pkt.csr_meihap, 0, 0) @[dec_tlu_ctl.scala 2518:39] + node _T_2411 = cat(meivt, meihap) @[Cat.scala 29:58] + node _T_2412 = cat(_T_2411, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_2413 = bits(io.csr_pkt.csr_meicurpl, 0, 0) @[dec_tlu_ctl.scala 2519:41] + node _T_2414 = bits(meicurpl, 3, 0) @[dec_tlu_ctl.scala 2519:81] + node _T_2415 = cat(UInt<28>("h00"), _T_2414) @[Cat.scala 29:58] + node _T_2416 = bits(io.csr_pkt.csr_meicidpl, 0, 0) @[dec_tlu_ctl.scala 2520:41] + node _T_2417 = bits(meicidpl, 3, 0) @[dec_tlu_ctl.scala 2520:81] + node _T_2418 = cat(UInt<28>("h00"), _T_2417) @[Cat.scala 29:58] + node _T_2419 = bits(io.csr_pkt.csr_meipt, 0, 0) @[dec_tlu_ctl.scala 2521:38] + node _T_2420 = bits(meipt, 3, 0) @[dec_tlu_ctl.scala 2521:78] + node _T_2421 = cat(UInt<28>("h00"), _T_2420) @[Cat.scala 29:58] + node _T_2422 = bits(io.csr_pkt.csr_mcgc, 0, 0) @[dec_tlu_ctl.scala 2522:37] + node _T_2423 = bits(mcgc, 8, 0) @[dec_tlu_ctl.scala 2522:77] + node _T_2424 = cat(UInt<23>("h00"), _T_2423) @[Cat.scala 29:58] + node _T_2425 = bits(io.csr_pkt.csr_mfdc, 0, 0) @[dec_tlu_ctl.scala 2523:37] + node _T_2426 = bits(mfdc, 18, 0) @[dec_tlu_ctl.scala 2523:77] + node _T_2427 = cat(UInt<13>("h00"), _T_2426) @[Cat.scala 29:58] + node _T_2428 = bits(io.csr_pkt.csr_dcsr, 0, 0) @[dec_tlu_ctl.scala 2524:37] + node _T_2429 = bits(io.dcsr, 15, 2) @[dec_tlu_ctl.scala 2524:85] + node _T_2430 = cat(UInt<16>("h04000"), _T_2429) @[Cat.scala 29:58] + node _T_2431 = cat(_T_2430, UInt<2>("h03")) @[Cat.scala 29:58] + node _T_2432 = bits(io.csr_pkt.csr_dpc, 0, 0) @[dec_tlu_ctl.scala 2525:36] + node _T_2433 = cat(io.dpc, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2434 = bits(io.csr_pkt.csr_dicad0, 0, 0) @[dec_tlu_ctl.scala 2526:39] + node _T_2435 = bits(dicad0, 31, 0) @[dec_tlu_ctl.scala 2526:64] + node _T_2436 = bits(io.csr_pkt.csr_dicad0h, 0, 0) @[dec_tlu_ctl.scala 2527:40] + node _T_2437 = bits(dicad0h, 31, 0) @[dec_tlu_ctl.scala 2527:65] + node _T_2438 = bits(io.csr_pkt.csr_dicad1, 0, 0) @[dec_tlu_ctl.scala 2528:39] + node _T_2439 = bits(dicad1, 31, 0) @[dec_tlu_ctl.scala 2528:64] + node _T_2440 = bits(io.csr_pkt.csr_dicawics, 0, 0) @[dec_tlu_ctl.scala 2529:41] + node _T_2441 = bits(dicawics, 16, 16) @[dec_tlu_ctl.scala 2529:80] + node _T_2442 = bits(dicawics, 15, 14) @[dec_tlu_ctl.scala 2529:104] + node _T_2443 = bits(dicawics, 13, 0) @[dec_tlu_ctl.scala 2529:131] + node _T_2444 = cat(UInt<3>("h00"), _T_2443) @[Cat.scala 29:58] + node _T_2445 = cat(_T_2444, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2446 = cat(UInt<2>("h00"), _T_2442) @[Cat.scala 29:58] + node _T_2447 = cat(UInt<7>("h00"), _T_2441) @[Cat.scala 29:58] + node _T_2448 = cat(_T_2447, _T_2446) @[Cat.scala 29:58] + node _T_2449 = cat(_T_2448, _T_2445) @[Cat.scala 29:58] + node _T_2450 = bits(io.csr_pkt.csr_mtsel, 0, 0) @[dec_tlu_ctl.scala 2530:38] + node _T_2451 = bits(mtsel, 1, 0) @[dec_tlu_ctl.scala 2530:78] + node _T_2452 = cat(UInt<30>("h00"), _T_2451) @[Cat.scala 29:58] + node _T_2453 = bits(io.csr_pkt.csr_mtdata1, 0, 0) @[dec_tlu_ctl.scala 2531:40] + node _T_2454 = bits(mtdata1_tsel_out, 31, 0) @[dec_tlu_ctl.scala 2531:74] + node _T_2455 = bits(io.csr_pkt.csr_mtdata2, 0, 0) @[dec_tlu_ctl.scala 2532:40] + node _T_2456 = bits(mtdata2_tsel_out, 31, 0) @[dec_tlu_ctl.scala 2532:74] + node _T_2457 = bits(io.csr_pkt.csr_micect, 0, 0) @[dec_tlu_ctl.scala 2533:39] + node _T_2458 = bits(micect, 31, 0) @[dec_tlu_ctl.scala 2533:64] + node _T_2459 = bits(io.csr_pkt.csr_miccmect, 0, 0) @[dec_tlu_ctl.scala 2534:41] + node _T_2460 = bits(miccmect, 31, 0) @[dec_tlu_ctl.scala 2534:66] + node _T_2461 = bits(io.csr_pkt.csr_mdccmect, 0, 0) @[dec_tlu_ctl.scala 2535:41] + node _T_2462 = bits(mdccmect, 31, 0) @[dec_tlu_ctl.scala 2535:66] + node _T_2463 = bits(io.csr_pkt.csr_mhpmc3, 0, 0) @[dec_tlu_ctl.scala 2536:39] + node _T_2464 = bits(mhpmc3, 31, 0) @[dec_tlu_ctl.scala 2536:64] + node _T_2465 = bits(io.csr_pkt.csr_mhpmc4, 0, 0) @[dec_tlu_ctl.scala 2537:39] + node _T_2466 = bits(mhpmc4, 31, 0) @[dec_tlu_ctl.scala 2537:64] + node _T_2467 = bits(io.csr_pkt.csr_mhpmc5, 0, 0) @[dec_tlu_ctl.scala 2538:39] + node _T_2468 = bits(mhpmc5, 31, 0) @[dec_tlu_ctl.scala 2538:64] + node _T_2469 = bits(io.csr_pkt.csr_mhpmc6, 0, 0) @[dec_tlu_ctl.scala 2539:39] + node _T_2470 = bits(mhpmc6, 31, 0) @[dec_tlu_ctl.scala 2539:64] + node _T_2471 = bits(io.csr_pkt.csr_mhpmc3h, 0, 0) @[dec_tlu_ctl.scala 2540:40] + node _T_2472 = bits(mhpmc3h, 31, 0) @[dec_tlu_ctl.scala 2540:65] + node _T_2473 = bits(io.csr_pkt.csr_mhpmc4h, 0, 0) @[dec_tlu_ctl.scala 2541:40] + node _T_2474 = bits(mhpmc4h, 31, 0) @[dec_tlu_ctl.scala 2541:65] + node _T_2475 = bits(io.csr_pkt.csr_mhpmc5h, 0, 0) @[dec_tlu_ctl.scala 2542:40] + node _T_2476 = bits(mhpmc5h, 31, 0) @[dec_tlu_ctl.scala 2542:65] + node _T_2477 = bits(io.csr_pkt.csr_mhpmc6h, 0, 0) @[dec_tlu_ctl.scala 2543:40] + node _T_2478 = bits(mhpmc6h, 31, 0) @[dec_tlu_ctl.scala 2543:65] + node _T_2479 = bits(io.csr_pkt.csr_mfdht, 0, 0) @[dec_tlu_ctl.scala 2544:38] + node _T_2480 = bits(mfdht, 5, 0) @[dec_tlu_ctl.scala 2544:78] + node _T_2481 = cat(UInt<26>("h00"), _T_2480) @[Cat.scala 29:58] + node _T_2482 = bits(io.csr_pkt.csr_mfdhs, 0, 0) @[dec_tlu_ctl.scala 2545:38] + node _T_2483 = bits(mfdhs, 1, 0) @[dec_tlu_ctl.scala 2545:78] + node _T_2484 = cat(UInt<30>("h00"), _T_2483) @[Cat.scala 29:58] + node _T_2485 = bits(io.csr_pkt.csr_mhpme3, 0, 0) @[dec_tlu_ctl.scala 2546:39] + node _T_2486 = bits(mhpme3, 9, 0) @[dec_tlu_ctl.scala 2546:79] + node _T_2487 = cat(UInt<22>("h00"), _T_2486) @[Cat.scala 29:58] + node _T_2488 = bits(io.csr_pkt.csr_mhpme4, 0, 0) @[dec_tlu_ctl.scala 2547:39] + node _T_2489 = bits(mhpme4, 9, 0) @[dec_tlu_ctl.scala 2547:79] + node _T_2490 = cat(UInt<22>("h00"), _T_2489) @[Cat.scala 29:58] + node _T_2491 = bits(io.csr_pkt.csr_mhpme5, 0, 0) @[dec_tlu_ctl.scala 2548:39] + node _T_2492 = bits(mhpme5, 9, 0) @[dec_tlu_ctl.scala 2548:78] + node _T_2493 = cat(UInt<22>("h00"), _T_2492) @[Cat.scala 29:58] + node _T_2494 = bits(io.csr_pkt.csr_mhpme6, 0, 0) @[dec_tlu_ctl.scala 2549:39] + node _T_2495 = bits(mhpme6, 9, 0) @[dec_tlu_ctl.scala 2549:78] + node _T_2496 = cat(UInt<22>("h00"), _T_2495) @[Cat.scala 29:58] + node _T_2497 = bits(io.csr_pkt.csr_mcountinhibit, 0, 0) @[dec_tlu_ctl.scala 2550:46] + node _T_2498 = bits(mcountinhibit, 6, 0) @[dec_tlu_ctl.scala 2550:86] + node _T_2499 = cat(UInt<25>("h00"), _T_2498) @[Cat.scala 29:58] + node _T_2500 = bits(io.csr_pkt.csr_mpmc, 0, 0) @[dec_tlu_ctl.scala 2551:37] + node _T_2501 = cat(UInt<30>("h00"), mpmc) @[Cat.scala 29:58] + node _T_2502 = cat(_T_2501, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2503 = bits(io.dec_timer_read_d, 0, 0) @[dec_tlu_ctl.scala 2552:37] + node _T_2504 = bits(io.dec_timer_rddata_d, 31, 0) @[dec_tlu_ctl.scala 2552:76] + node _T_2505 = mux(_T_2339, UInt<32>("h040001104"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2506 = mux(_T_2340, UInt<32>("h045"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2507 = mux(_T_2341, UInt<32>("h010"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2508 = mux(_T_2342, UInt<32>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2509 = mux(_T_2343, _T_2344, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2510 = mux(_T_2345, _T_2353, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2511 = mux(_T_2354, _T_2358, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2512 = mux(_T_2359, _T_2371, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2513 = mux(_T_2372, _T_2384, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2514 = mux(_T_2385, _T_2386, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2515 = mux(_T_2387, _T_2388, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2516 = mux(_T_2389, _T_2390, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2517 = mux(_T_2391, _T_2392, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2518 = mux(_T_2393, _T_2394, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2519 = mux(_T_2395, _T_2396, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2520 = mux(_T_2397, _T_2398, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2521 = mux(_T_2399, _T_2401, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2522 = mux(_T_2402, _T_2403, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2523 = mux(_T_2404, _T_2405, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2524 = mux(_T_2406, _T_2407, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2525 = mux(_T_2408, _T_2409, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2526 = mux(_T_2410, _T_2412, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2527 = mux(_T_2413, _T_2415, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2528 = mux(_T_2416, _T_2418, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2529 = mux(_T_2419, _T_2421, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2530 = mux(_T_2422, _T_2424, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2531 = mux(_T_2425, _T_2427, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2532 = mux(_T_2428, _T_2431, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2533 = mux(_T_2432, _T_2433, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2534 = mux(_T_2434, _T_2435, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2535 = mux(_T_2436, _T_2437, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2536 = mux(_T_2438, _T_2439, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2537 = mux(_T_2440, _T_2449, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2538 = mux(_T_2450, _T_2452, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2539 = mux(_T_2453, _T_2454, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2540 = mux(_T_2455, _T_2456, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2541 = mux(_T_2457, _T_2458, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2542 = mux(_T_2459, _T_2460, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2543 = mux(_T_2461, _T_2462, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2544 = mux(_T_2463, _T_2464, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2545 = mux(_T_2465, _T_2466, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2546 = mux(_T_2467, _T_2468, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2547 = mux(_T_2469, _T_2470, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2548 = mux(_T_2471, _T_2472, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2549 = mux(_T_2473, _T_2474, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2550 = mux(_T_2475, _T_2476, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2551 = mux(_T_2477, _T_2478, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2552 = mux(_T_2479, _T_2481, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2553 = mux(_T_2482, _T_2484, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2554 = mux(_T_2485, _T_2487, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2555 = mux(_T_2488, _T_2490, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2556 = mux(_T_2491, _T_2493, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2557 = mux(_T_2494, _T_2496, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2558 = mux(_T_2497, _T_2499, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2559 = mux(_T_2500, _T_2502, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2560 = mux(_T_2503, _T_2504, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2561 = or(_T_2505, _T_2506) @[Mux.scala 27:72] + node _T_2562 = or(_T_2561, _T_2507) @[Mux.scala 27:72] + node _T_2563 = or(_T_2562, _T_2508) @[Mux.scala 27:72] + node _T_2564 = or(_T_2563, _T_2509) @[Mux.scala 27:72] + node _T_2565 = or(_T_2564, _T_2510) @[Mux.scala 27:72] + node _T_2566 = or(_T_2565, _T_2511) @[Mux.scala 27:72] + node _T_2567 = or(_T_2566, _T_2512) @[Mux.scala 27:72] + node _T_2568 = or(_T_2567, _T_2513) @[Mux.scala 27:72] + node _T_2569 = or(_T_2568, _T_2514) @[Mux.scala 27:72] + node _T_2570 = or(_T_2569, _T_2515) @[Mux.scala 27:72] + node _T_2571 = or(_T_2570, _T_2516) @[Mux.scala 27:72] + node _T_2572 = or(_T_2571, _T_2517) @[Mux.scala 27:72] + node _T_2573 = or(_T_2572, _T_2518) @[Mux.scala 27:72] + node _T_2574 = or(_T_2573, _T_2519) @[Mux.scala 27:72] + node _T_2575 = or(_T_2574, _T_2520) @[Mux.scala 27:72] + node _T_2576 = or(_T_2575, _T_2521) @[Mux.scala 27:72] + node _T_2577 = or(_T_2576, _T_2522) @[Mux.scala 27:72] + node _T_2578 = or(_T_2577, _T_2523) @[Mux.scala 27:72] + node _T_2579 = or(_T_2578, _T_2524) @[Mux.scala 27:72] + node _T_2580 = or(_T_2579, _T_2525) @[Mux.scala 27:72] + node _T_2581 = or(_T_2580, _T_2526) @[Mux.scala 27:72] + node _T_2582 = or(_T_2581, _T_2527) @[Mux.scala 27:72] + node _T_2583 = or(_T_2582, _T_2528) @[Mux.scala 27:72] + node _T_2584 = or(_T_2583, _T_2529) @[Mux.scala 27:72] + node _T_2585 = or(_T_2584, _T_2530) @[Mux.scala 27:72] + node _T_2586 = or(_T_2585, _T_2531) @[Mux.scala 27:72] + node _T_2587 = or(_T_2586, _T_2532) @[Mux.scala 27:72] + node _T_2588 = or(_T_2587, _T_2533) @[Mux.scala 27:72] + node _T_2589 = or(_T_2588, _T_2534) @[Mux.scala 27:72] + node _T_2590 = or(_T_2589, _T_2535) @[Mux.scala 27:72] + node _T_2591 = or(_T_2590, _T_2536) @[Mux.scala 27:72] + node _T_2592 = or(_T_2591, _T_2537) @[Mux.scala 27:72] + node _T_2593 = or(_T_2592, _T_2538) @[Mux.scala 27:72] + node _T_2594 = or(_T_2593, _T_2539) @[Mux.scala 27:72] + node _T_2595 = or(_T_2594, _T_2540) @[Mux.scala 27:72] + node _T_2596 = or(_T_2595, _T_2541) @[Mux.scala 27:72] + node _T_2597 = or(_T_2596, _T_2542) @[Mux.scala 27:72] + node _T_2598 = or(_T_2597, _T_2543) @[Mux.scala 27:72] + node _T_2599 = or(_T_2598, _T_2544) @[Mux.scala 27:72] + node _T_2600 = or(_T_2599, _T_2545) @[Mux.scala 27:72] + node _T_2601 = or(_T_2600, _T_2546) @[Mux.scala 27:72] + node _T_2602 = or(_T_2601, _T_2547) @[Mux.scala 27:72] + node _T_2603 = or(_T_2602, _T_2548) @[Mux.scala 27:72] + node _T_2604 = or(_T_2603, _T_2549) @[Mux.scala 27:72] + node _T_2605 = or(_T_2604, _T_2550) @[Mux.scala 27:72] + node _T_2606 = or(_T_2605, _T_2551) @[Mux.scala 27:72] + node _T_2607 = or(_T_2606, _T_2552) @[Mux.scala 27:72] + node _T_2608 = or(_T_2607, _T_2553) @[Mux.scala 27:72] + node _T_2609 = or(_T_2608, _T_2554) @[Mux.scala 27:72] + node _T_2610 = or(_T_2609, _T_2555) @[Mux.scala 27:72] + node _T_2611 = or(_T_2610, _T_2556) @[Mux.scala 27:72] + node _T_2612 = or(_T_2611, _T_2557) @[Mux.scala 27:72] + node _T_2613 = or(_T_2612, _T_2558) @[Mux.scala 27:72] + node _T_2614 = or(_T_2613, _T_2559) @[Mux.scala 27:72] + node _T_2615 = or(_T_2614, _T_2560) @[Mux.scala 27:72] + wire _T_2616 : UInt @[Mux.scala 27:72] + _T_2616 <= _T_2615 @[Mux.scala 27:72] + io.dec_csr_rddata_d <= _T_2616 @[dec_tlu_ctl.scala 2496:21] + + module dec_decode_csr_read : + input clock : Clock + input reset : AsyncReset + output io : {flip dec_csr_rdaddr_d : UInt<12>, csr_pkt : {csr_misa : UInt<1>, csr_mvendorid : UInt<1>, csr_marchid : UInt<1>, csr_mimpid : UInt<1>, csr_mhartid : UInt<1>, csr_mstatus : UInt<1>, csr_mtvec : UInt<1>, csr_mip : UInt<1>, csr_mie : UInt<1>, csr_mcyclel : UInt<1>, csr_mcycleh : UInt<1>, csr_minstretl : UInt<1>, csr_minstreth : UInt<1>, csr_mscratch : UInt<1>, csr_mepc : UInt<1>, csr_mcause : UInt<1>, csr_mscause : UInt<1>, csr_mtval : UInt<1>, csr_mrac : UInt<1>, csr_dmst : UInt<1>, csr_mdseac : UInt<1>, csr_meihap : UInt<1>, csr_meivt : UInt<1>, csr_meipt : UInt<1>, csr_meicurpl : UInt<1>, csr_meicidpl : UInt<1>, csr_dcsr : UInt<1>, csr_mcgc : UInt<1>, csr_mfdc : UInt<1>, csr_dpc : UInt<1>, csr_mtsel : UInt<1>, csr_mtdata1 : UInt<1>, csr_mtdata2 : UInt<1>, csr_mhpmc3 : UInt<1>, csr_mhpmc4 : UInt<1>, csr_mhpmc5 : UInt<1>, csr_mhpmc6 : UInt<1>, csr_mhpmc3h : UInt<1>, csr_mhpmc4h : UInt<1>, csr_mhpmc5h : UInt<1>, csr_mhpmc6h : UInt<1>, csr_mhpme3 : UInt<1>, csr_mhpme4 : UInt<1>, csr_mhpme5 : UInt<1>, csr_mhpme6 : UInt<1>, csr_mcountinhibit : UInt<1>, csr_mitctl0 : UInt<1>, csr_mitctl1 : UInt<1>, csr_mitb0 : UInt<1>, csr_mitb1 : UInt<1>, csr_mitcnt0 : UInt<1>, csr_mitcnt1 : UInt<1>, csr_mpmc : UInt<1>, csr_mcpc : UInt<1>, csr_meicpct : UInt<1>, csr_mdeau : UInt<1>, csr_micect : UInt<1>, csr_miccmect : UInt<1>, csr_mdccmect : UInt<1>, csr_mfdht : UInt<1>, csr_mfdhs : UInt<1>, csr_dicawics : UInt<1>, csr_dicad0h : UInt<1>, csr_dicad0 : UInt<1>, csr_dicad1 : UInt<1>, csr_dicago : UInt<1>, presync : UInt<1>, postsync : UInt<1>, legal : UInt<1>}} + + node _T = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_1 = eq(_T, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_2 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_3 = eq(_T_2, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_4 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_5 = eq(_T_4, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_6 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_7 = eq(_T_6, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_8 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_9 = and(_T_1, _T_3) @[dec_tlu_ctl.scala 2568:198] + node _T_10 = and(_T_9, _T_5) @[dec_tlu_ctl.scala 2568:198] + node _T_11 = and(_T_10, _T_7) @[dec_tlu_ctl.scala 2568:198] + node _T_12 = and(_T_11, _T_8) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_misa <= _T_12 @[dec_tlu_ctl.scala 2570:57] + node _T_13 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_14 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_15 = eq(_T_14, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_16 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_17 = eq(_T_16, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_18 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_19 = and(_T_13, _T_15) @[dec_tlu_ctl.scala 2568:198] + node _T_20 = and(_T_19, _T_17) @[dec_tlu_ctl.scala 2568:198] + node _T_21 = and(_T_20, _T_18) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mvendorid <= _T_21 @[dec_tlu_ctl.scala 2571:57] + node _T_22 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_23 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_24 = eq(_T_23, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_25 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_26 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_27 = eq(_T_26, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_28 = and(_T_22, _T_24) @[dec_tlu_ctl.scala 2568:198] + node _T_29 = and(_T_28, _T_25) @[dec_tlu_ctl.scala 2568:198] + node _T_30 = and(_T_29, _T_27) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_marchid <= _T_30 @[dec_tlu_ctl.scala 2572:57] + node _T_31 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_32 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_33 = eq(_T_32, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_34 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_35 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_36 = and(_T_31, _T_33) @[dec_tlu_ctl.scala 2568:198] + node _T_37 = and(_T_36, _T_34) @[dec_tlu_ctl.scala 2568:198] + node _T_38 = and(_T_37, _T_35) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mimpid <= _T_38 @[dec_tlu_ctl.scala 2573:57] + node _T_39 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_40 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_41 = eq(_T_40, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_42 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_43 = and(_T_39, _T_41) @[dec_tlu_ctl.scala 2568:198] + node _T_44 = and(_T_43, _T_42) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mhartid <= _T_44 @[dec_tlu_ctl.scala 2574:57] + node _T_45 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_46 = eq(_T_45, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_47 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_48 = eq(_T_47, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_49 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_50 = eq(_T_49, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_51 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_52 = eq(_T_51, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_53 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_54 = eq(_T_53, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_55 = and(_T_46, _T_48) @[dec_tlu_ctl.scala 2568:198] + node _T_56 = and(_T_55, _T_50) @[dec_tlu_ctl.scala 2568:198] + node _T_57 = and(_T_56, _T_52) @[dec_tlu_ctl.scala 2568:198] + node _T_58 = and(_T_57, _T_54) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mstatus <= _T_58 @[dec_tlu_ctl.scala 2575:57] + node _T_59 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_60 = eq(_T_59, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_61 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_62 = eq(_T_61, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_63 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_64 = eq(_T_63, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_65 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_66 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_67 = and(_T_60, _T_62) @[dec_tlu_ctl.scala 2568:198] + node _T_68 = and(_T_67, _T_64) @[dec_tlu_ctl.scala 2568:198] + node _T_69 = and(_T_68, _T_65) @[dec_tlu_ctl.scala 2568:198] + node _T_70 = and(_T_69, _T_66) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mtvec <= _T_70 @[dec_tlu_ctl.scala 2576:57] + node _T_71 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_72 = eq(_T_71, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_73 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_74 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_75 = and(_T_72, _T_73) @[dec_tlu_ctl.scala 2568:198] + node _T_76 = and(_T_75, _T_74) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mip <= _T_76 @[dec_tlu_ctl.scala 2577:65] + node _T_77 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_78 = eq(_T_77, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_79 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_80 = eq(_T_79, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_81 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_82 = eq(_T_81, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_83 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_84 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_85 = eq(_T_84, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_86 = and(_T_78, _T_80) @[dec_tlu_ctl.scala 2568:198] + node _T_87 = and(_T_86, _T_82) @[dec_tlu_ctl.scala 2568:198] + node _T_88 = and(_T_87, _T_83) @[dec_tlu_ctl.scala 2568:198] + node _T_89 = and(_T_88, _T_85) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mie <= _T_89 @[dec_tlu_ctl.scala 2578:65] + node _T_90 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_91 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_92 = eq(_T_91, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_93 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_94 = eq(_T_93, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_95 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_96 = eq(_T_95, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_97 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_98 = eq(_T_97, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_99 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_100 = eq(_T_99, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_101 = and(_T_90, _T_92) @[dec_tlu_ctl.scala 2568:198] + node _T_102 = and(_T_101, _T_94) @[dec_tlu_ctl.scala 2568:198] + node _T_103 = and(_T_102, _T_96) @[dec_tlu_ctl.scala 2568:198] + node _T_104 = and(_T_103, _T_98) @[dec_tlu_ctl.scala 2568:198] + node _T_105 = and(_T_104, _T_100) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mcyclel <= _T_105 @[dec_tlu_ctl.scala 2579:57] + node _T_106 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_107 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_108 = eq(_T_107, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_109 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_110 = eq(_T_109, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_111 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_112 = eq(_T_111, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_113 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_114 = eq(_T_113, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_115 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_116 = eq(_T_115, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_117 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_118 = eq(_T_117, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_119 = and(_T_106, _T_108) @[dec_tlu_ctl.scala 2568:198] + node _T_120 = and(_T_119, _T_110) @[dec_tlu_ctl.scala 2568:198] + node _T_121 = and(_T_120, _T_112) @[dec_tlu_ctl.scala 2568:198] + node _T_122 = and(_T_121, _T_114) @[dec_tlu_ctl.scala 2568:198] + node _T_123 = and(_T_122, _T_116) @[dec_tlu_ctl.scala 2568:198] + node _T_124 = and(_T_123, _T_118) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mcycleh <= _T_124 @[dec_tlu_ctl.scala 2580:57] + node _T_125 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_126 = eq(_T_125, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_127 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_128 = eq(_T_127, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_129 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_130 = eq(_T_129, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_131 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_132 = eq(_T_131, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_133 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_134 = eq(_T_133, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_135 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_136 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_137 = eq(_T_136, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_138 = and(_T_126, _T_128) @[dec_tlu_ctl.scala 2568:198] + node _T_139 = and(_T_138, _T_130) @[dec_tlu_ctl.scala 2568:198] + node _T_140 = and(_T_139, _T_132) @[dec_tlu_ctl.scala 2568:198] + node _T_141 = and(_T_140, _T_134) @[dec_tlu_ctl.scala 2568:198] + node _T_142 = and(_T_141, _T_135) @[dec_tlu_ctl.scala 2568:198] + node _T_143 = and(_T_142, _T_137) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_minstretl <= _T_143 @[dec_tlu_ctl.scala 2581:57] + node _T_144 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:149] + node _T_145 = eq(_T_144, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_146 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_147 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_148 = eq(_T_147, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_149 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_150 = eq(_T_149, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_151 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_152 = eq(_T_151, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_153 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_154 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_155 = eq(_T_154, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_156 = and(_T_145, _T_146) @[dec_tlu_ctl.scala 2568:198] + node _T_157 = and(_T_156, _T_148) @[dec_tlu_ctl.scala 2568:198] + node _T_158 = and(_T_157, _T_150) @[dec_tlu_ctl.scala 2568:198] + node _T_159 = and(_T_158, _T_152) @[dec_tlu_ctl.scala 2568:198] + node _T_160 = and(_T_159, _T_153) @[dec_tlu_ctl.scala 2568:198] + node _T_161 = and(_T_160, _T_155) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_minstreth <= _T_161 @[dec_tlu_ctl.scala 2582:57] + node _T_162 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_163 = eq(_T_162, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_164 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_165 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_166 = eq(_T_165, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_167 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_168 = eq(_T_167, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_169 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_170 = eq(_T_169, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_171 = and(_T_163, _T_164) @[dec_tlu_ctl.scala 2568:198] + node _T_172 = and(_T_171, _T_166) @[dec_tlu_ctl.scala 2568:198] + node _T_173 = and(_T_172, _T_168) @[dec_tlu_ctl.scala 2568:198] + node _T_174 = and(_T_173, _T_170) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mscratch <= _T_174 @[dec_tlu_ctl.scala 2583:57] + node _T_175 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_176 = eq(_T_175, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_177 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_178 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_179 = eq(_T_178, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_180 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_181 = and(_T_176, _T_177) @[dec_tlu_ctl.scala 2568:198] + node _T_182 = and(_T_181, _T_179) @[dec_tlu_ctl.scala 2568:198] + node _T_183 = and(_T_182, _T_180) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mepc <= _T_183 @[dec_tlu_ctl.scala 2584:57] + node _T_184 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_185 = eq(_T_184, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_186 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_187 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_188 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_189 = eq(_T_188, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_190 = and(_T_185, _T_186) @[dec_tlu_ctl.scala 2568:198] + node _T_191 = and(_T_190, _T_187) @[dec_tlu_ctl.scala 2568:198] + node _T_192 = and(_T_191, _T_189) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mcause <= _T_192 @[dec_tlu_ctl.scala 2585:57] + node _T_193 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_194 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_195 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_196 = and(_T_193, _T_194) @[dec_tlu_ctl.scala 2568:198] + node _T_197 = and(_T_196, _T_195) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mscause <= _T_197 @[dec_tlu_ctl.scala 2586:57] + node _T_198 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_199 = eq(_T_198, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_200 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_201 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_202 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_203 = and(_T_199, _T_200) @[dec_tlu_ctl.scala 2568:198] + node _T_204 = and(_T_203, _T_201) @[dec_tlu_ctl.scala 2568:198] + node _T_205 = and(_T_204, _T_202) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mtval <= _T_205 @[dec_tlu_ctl.scala 2587:57] + node _T_206 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_207 = eq(_T_206, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_208 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_209 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_210 = eq(_T_209, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_211 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_212 = eq(_T_211, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_213 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_214 = eq(_T_213, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_215 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_216 = eq(_T_215, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_217 = and(_T_207, _T_208) @[dec_tlu_ctl.scala 2568:198] + node _T_218 = and(_T_217, _T_210) @[dec_tlu_ctl.scala 2568:198] + node _T_219 = and(_T_218, _T_212) @[dec_tlu_ctl.scala 2568:198] + node _T_220 = and(_T_219, _T_214) @[dec_tlu_ctl.scala 2568:198] + node _T_221 = and(_T_220, _T_216) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mrac <= _T_221 @[dec_tlu_ctl.scala 2588:57] + node _T_222 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_223 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_224 = eq(_T_223, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_225 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_226 = eq(_T_225, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_227 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_228 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_229 = eq(_T_228, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_230 = and(_T_222, _T_224) @[dec_tlu_ctl.scala 2568:198] + node _T_231 = and(_T_230, _T_226) @[dec_tlu_ctl.scala 2568:198] + node _T_232 = and(_T_231, _T_227) @[dec_tlu_ctl.scala 2568:198] + node _T_233 = and(_T_232, _T_229) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_dmst <= _T_233 @[dec_tlu_ctl.scala 2589:57] + node _T_234 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_235 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_236 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_237 = eq(_T_236, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_238 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_239 = eq(_T_238, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_240 = and(_T_234, _T_235) @[dec_tlu_ctl.scala 2568:198] + node _T_241 = and(_T_240, _T_237) @[dec_tlu_ctl.scala 2568:198] + node _T_242 = and(_T_241, _T_239) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mdseac <= _T_242 @[dec_tlu_ctl.scala 2590:57] + node _T_243 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_244 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_245 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:106] + node _T_246 = and(_T_243, _T_244) @[dec_tlu_ctl.scala 2568:198] + node _T_247 = and(_T_246, _T_245) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_meihap <= _T_247 @[dec_tlu_ctl.scala 2591:57] + node _T_248 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:149] + node _T_249 = eq(_T_248, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_250 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_251 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:106] + node _T_252 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_253 = eq(_T_252, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_254 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_255 = eq(_T_254, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_256 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_257 = eq(_T_256, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_258 = and(_T_249, _T_250) @[dec_tlu_ctl.scala 2568:198] + node _T_259 = and(_T_258, _T_251) @[dec_tlu_ctl.scala 2568:198] + node _T_260 = and(_T_259, _T_253) @[dec_tlu_ctl.scala 2568:198] + node _T_261 = and(_T_260, _T_255) @[dec_tlu_ctl.scala 2568:198] + node _T_262 = and(_T_261, _T_257) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_meivt <= _T_262 @[dec_tlu_ctl.scala 2592:57] + node _T_263 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_264 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_265 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_266 = eq(_T_265, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_267 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_268 = and(_T_263, _T_264) @[dec_tlu_ctl.scala 2568:198] + node _T_269 = and(_T_268, _T_266) @[dec_tlu_ctl.scala 2568:198] + node _T_270 = and(_T_269, _T_267) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_meipt <= _T_270 @[dec_tlu_ctl.scala 2593:57] + node _T_271 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_272 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_273 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_274 = and(_T_271, _T_272) @[dec_tlu_ctl.scala 2568:198] + node _T_275 = and(_T_274, _T_273) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_meicurpl <= _T_275 @[dec_tlu_ctl.scala 2594:57] + node _T_276 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_277 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_278 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_279 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_280 = and(_T_276, _T_277) @[dec_tlu_ctl.scala 2568:198] + node _T_281 = and(_T_280, _T_278) @[dec_tlu_ctl.scala 2568:198] + node _T_282 = and(_T_281, _T_279) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_meicidpl <= _T_282 @[dec_tlu_ctl.scala 2595:57] + node _T_283 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_284 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_285 = eq(_T_284, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_286 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_287 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:106] + node _T_288 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_289 = eq(_T_288, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_290 = and(_T_283, _T_285) @[dec_tlu_ctl.scala 2568:198] + node _T_291 = and(_T_290, _T_286) @[dec_tlu_ctl.scala 2568:198] + node _T_292 = and(_T_291, _T_287) @[dec_tlu_ctl.scala 2568:198] + node _T_293 = and(_T_292, _T_289) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_dcsr <= _T_293 @[dec_tlu_ctl.scala 2596:57] + node _T_294 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_295 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:106] + node _T_296 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:106] + node _T_297 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_298 = eq(_T_297, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_299 = and(_T_294, _T_295) @[dec_tlu_ctl.scala 2568:198] + node _T_300 = and(_T_299, _T_296) @[dec_tlu_ctl.scala 2568:198] + node _T_301 = and(_T_300, _T_298) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mcgc <= _T_301 @[dec_tlu_ctl.scala 2597:57] + node _T_302 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_303 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:106] + node _T_304 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:106] + node _T_305 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_306 = eq(_T_305, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_307 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_308 = and(_T_302, _T_303) @[dec_tlu_ctl.scala 2568:198] + node _T_309 = and(_T_308, _T_304) @[dec_tlu_ctl.scala 2568:198] + node _T_310 = and(_T_309, _T_306) @[dec_tlu_ctl.scala 2568:198] + node _T_311 = and(_T_310, _T_307) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mfdc <= _T_311 @[dec_tlu_ctl.scala 2598:57] + node _T_312 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_313 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_314 = eq(_T_313, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_315 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_316 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:106] + node _T_317 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_318 = and(_T_312, _T_314) @[dec_tlu_ctl.scala 2568:198] + node _T_319 = and(_T_318, _T_315) @[dec_tlu_ctl.scala 2568:198] + node _T_320 = and(_T_319, _T_316) @[dec_tlu_ctl.scala 2568:198] + node _T_321 = and(_T_320, _T_317) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_dpc <= _T_321 @[dec_tlu_ctl.scala 2599:65] + node _T_322 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_323 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_324 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_325 = eq(_T_324, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_326 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_327 = eq(_T_326, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_328 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_329 = eq(_T_328, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_330 = and(_T_322, _T_323) @[dec_tlu_ctl.scala 2568:198] + node _T_331 = and(_T_330, _T_325) @[dec_tlu_ctl.scala 2568:198] + node _T_332 = and(_T_331, _T_327) @[dec_tlu_ctl.scala 2568:198] + node _T_333 = and(_T_332, _T_329) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mtsel <= _T_333 @[dec_tlu_ctl.scala 2600:57] + node _T_334 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_335 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_336 = eq(_T_335, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_337 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_338 = eq(_T_337, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_339 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_340 = and(_T_334, _T_336) @[dec_tlu_ctl.scala 2568:198] + node _T_341 = and(_T_340, _T_338) @[dec_tlu_ctl.scala 2568:198] + node _T_342 = and(_T_341, _T_339) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mtdata1 <= _T_342 @[dec_tlu_ctl.scala 2601:57] + node _T_343 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_344 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_345 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_346 = eq(_T_345, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_347 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_348 = and(_T_343, _T_344) @[dec_tlu_ctl.scala 2568:198] + node _T_349 = and(_T_348, _T_346) @[dec_tlu_ctl.scala 2568:198] + node _T_350 = and(_T_349, _T_347) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mtdata2 <= _T_350 @[dec_tlu_ctl.scala 2602:57] + node _T_351 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_352 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_353 = eq(_T_352, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_354 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_355 = eq(_T_354, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_356 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_357 = eq(_T_356, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_358 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_359 = eq(_T_358, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_360 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_361 = and(_T_351, _T_353) @[dec_tlu_ctl.scala 2568:198] + node _T_362 = and(_T_361, _T_355) @[dec_tlu_ctl.scala 2568:198] + node _T_363 = and(_T_362, _T_357) @[dec_tlu_ctl.scala 2568:198] + node _T_364 = and(_T_363, _T_359) @[dec_tlu_ctl.scala 2568:198] + node _T_365 = and(_T_364, _T_360) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mhpmc3 <= _T_365 @[dec_tlu_ctl.scala 2603:57] + node _T_366 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_367 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_368 = eq(_T_367, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_369 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_370 = eq(_T_369, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_371 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_372 = eq(_T_371, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_373 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_374 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_375 = eq(_T_374, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_376 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_377 = eq(_T_376, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_378 = and(_T_366, _T_368) @[dec_tlu_ctl.scala 2568:198] + node _T_379 = and(_T_378, _T_370) @[dec_tlu_ctl.scala 2568:198] + node _T_380 = and(_T_379, _T_372) @[dec_tlu_ctl.scala 2568:198] + node _T_381 = and(_T_380, _T_373) @[dec_tlu_ctl.scala 2568:198] + node _T_382 = and(_T_381, _T_375) @[dec_tlu_ctl.scala 2568:198] + node _T_383 = and(_T_382, _T_377) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mhpmc4 <= _T_383 @[dec_tlu_ctl.scala 2604:57] + node _T_384 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_385 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_386 = eq(_T_385, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_387 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_388 = eq(_T_387, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_389 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_390 = eq(_T_389, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_391 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_392 = eq(_T_391, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_393 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_394 = and(_T_384, _T_386) @[dec_tlu_ctl.scala 2568:198] + node _T_395 = and(_T_394, _T_388) @[dec_tlu_ctl.scala 2568:198] + node _T_396 = and(_T_395, _T_390) @[dec_tlu_ctl.scala 2568:198] + node _T_397 = and(_T_396, _T_392) @[dec_tlu_ctl.scala 2568:198] + node _T_398 = and(_T_397, _T_393) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mhpmc5 <= _T_398 @[dec_tlu_ctl.scala 2605:57] + node _T_399 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_400 = eq(_T_399, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_401 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_402 = eq(_T_401, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_403 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_404 = eq(_T_403, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_405 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_406 = eq(_T_405, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_407 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_408 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_409 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_410 = eq(_T_409, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_411 = and(_T_400, _T_402) @[dec_tlu_ctl.scala 2568:198] + node _T_412 = and(_T_411, _T_404) @[dec_tlu_ctl.scala 2568:198] + node _T_413 = and(_T_412, _T_406) @[dec_tlu_ctl.scala 2568:198] + node _T_414 = and(_T_413, _T_407) @[dec_tlu_ctl.scala 2568:198] + node _T_415 = and(_T_414, _T_408) @[dec_tlu_ctl.scala 2568:198] + node _T_416 = and(_T_415, _T_410) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mhpmc6 <= _T_416 @[dec_tlu_ctl.scala 2606:57] + node _T_417 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_418 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_419 = eq(_T_418, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_420 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_421 = eq(_T_420, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_422 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_423 = eq(_T_422, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_424 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_425 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_426 = and(_T_417, _T_419) @[dec_tlu_ctl.scala 2568:198] + node _T_427 = and(_T_426, _T_421) @[dec_tlu_ctl.scala 2568:198] + node _T_428 = and(_T_427, _T_423) @[dec_tlu_ctl.scala 2568:198] + node _T_429 = and(_T_428, _T_424) @[dec_tlu_ctl.scala 2568:198] + node _T_430 = and(_T_429, _T_425) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mhpmc3h <= _T_430 @[dec_tlu_ctl.scala 2607:57] + node _T_431 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_432 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_433 = eq(_T_432, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_434 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_435 = eq(_T_434, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_436 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_437 = eq(_T_436, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_438 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_439 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_440 = eq(_T_439, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_441 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_442 = eq(_T_441, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_443 = and(_T_431, _T_433) @[dec_tlu_ctl.scala 2568:198] + node _T_444 = and(_T_443, _T_435) @[dec_tlu_ctl.scala 2568:198] + node _T_445 = and(_T_444, _T_437) @[dec_tlu_ctl.scala 2568:198] + node _T_446 = and(_T_445, _T_438) @[dec_tlu_ctl.scala 2568:198] + node _T_447 = and(_T_446, _T_440) @[dec_tlu_ctl.scala 2568:198] + node _T_448 = and(_T_447, _T_442) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mhpmc4h <= _T_448 @[dec_tlu_ctl.scala 2608:57] + node _T_449 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_450 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_451 = eq(_T_450, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_452 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_453 = eq(_T_452, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_454 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_455 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_456 = eq(_T_455, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_457 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_458 = and(_T_449, _T_451) @[dec_tlu_ctl.scala 2568:198] + node _T_459 = and(_T_458, _T_453) @[dec_tlu_ctl.scala 2568:198] + node _T_460 = and(_T_459, _T_454) @[dec_tlu_ctl.scala 2568:198] + node _T_461 = and(_T_460, _T_456) @[dec_tlu_ctl.scala 2568:198] + node _T_462 = and(_T_461, _T_457) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mhpmc5h <= _T_462 @[dec_tlu_ctl.scala 2609:57] + node _T_463 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_464 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_465 = eq(_T_464, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_466 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_467 = eq(_T_466, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_468 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_469 = eq(_T_468, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_470 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_471 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_472 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_473 = eq(_T_472, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_474 = and(_T_463, _T_465) @[dec_tlu_ctl.scala 2568:198] + node _T_475 = and(_T_474, _T_467) @[dec_tlu_ctl.scala 2568:198] + node _T_476 = and(_T_475, _T_469) @[dec_tlu_ctl.scala 2568:198] + node _T_477 = and(_T_476, _T_470) @[dec_tlu_ctl.scala 2568:198] + node _T_478 = and(_T_477, _T_471) @[dec_tlu_ctl.scala 2568:198] + node _T_479 = and(_T_478, _T_473) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mhpmc6h <= _T_479 @[dec_tlu_ctl.scala 2610:57] + node _T_480 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_481 = eq(_T_480, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_482 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_483 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_484 = eq(_T_483, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_485 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_486 = eq(_T_485, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_487 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_488 = eq(_T_487, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_489 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_490 = and(_T_481, _T_482) @[dec_tlu_ctl.scala 2568:198] + node _T_491 = and(_T_490, _T_484) @[dec_tlu_ctl.scala 2568:198] + node _T_492 = and(_T_491, _T_486) @[dec_tlu_ctl.scala 2568:198] + node _T_493 = and(_T_492, _T_488) @[dec_tlu_ctl.scala 2568:198] + node _T_494 = and(_T_493, _T_489) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mhpme3 <= _T_494 @[dec_tlu_ctl.scala 2611:57] + node _T_495 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_496 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_497 = eq(_T_496, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_498 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_499 = eq(_T_498, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_500 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_501 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_502 = eq(_T_501, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_503 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_504 = eq(_T_503, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_505 = and(_T_495, _T_497) @[dec_tlu_ctl.scala 2568:198] + node _T_506 = and(_T_505, _T_499) @[dec_tlu_ctl.scala 2568:198] + node _T_507 = and(_T_506, _T_500) @[dec_tlu_ctl.scala 2568:198] + node _T_508 = and(_T_507, _T_502) @[dec_tlu_ctl.scala 2568:198] + node _T_509 = and(_T_508, _T_504) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mhpme4 <= _T_509 @[dec_tlu_ctl.scala 2612:57] + node _T_510 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_511 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_512 = eq(_T_511, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_513 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_514 = eq(_T_513, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_515 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_516 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_517 = eq(_T_516, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_518 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_519 = and(_T_510, _T_512) @[dec_tlu_ctl.scala 2568:198] + node _T_520 = and(_T_519, _T_514) @[dec_tlu_ctl.scala 2568:198] + node _T_521 = and(_T_520, _T_515) @[dec_tlu_ctl.scala 2568:198] + node _T_522 = and(_T_521, _T_517) @[dec_tlu_ctl.scala 2568:198] + node _T_523 = and(_T_522, _T_518) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mhpme5 <= _T_523 @[dec_tlu_ctl.scala 2613:57] + node _T_524 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_525 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_526 = eq(_T_525, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_527 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_528 = eq(_T_527, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_529 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_530 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_531 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_532 = eq(_T_531, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_533 = and(_T_524, _T_526) @[dec_tlu_ctl.scala 2568:198] + node _T_534 = and(_T_533, _T_528) @[dec_tlu_ctl.scala 2568:198] + node _T_535 = and(_T_534, _T_529) @[dec_tlu_ctl.scala 2568:198] + node _T_536 = and(_T_535, _T_530) @[dec_tlu_ctl.scala 2568:198] + node _T_537 = and(_T_536, _T_532) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mhpme6 <= _T_537 @[dec_tlu_ctl.scala 2614:57] + node _T_538 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_539 = eq(_T_538, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_540 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_541 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_542 = eq(_T_541, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_543 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_544 = eq(_T_543, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_545 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_546 = eq(_T_545, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_547 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_548 = eq(_T_547, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_549 = and(_T_539, _T_540) @[dec_tlu_ctl.scala 2568:198] + node _T_550 = and(_T_549, _T_542) @[dec_tlu_ctl.scala 2568:198] + node _T_551 = and(_T_550, _T_544) @[dec_tlu_ctl.scala 2568:198] + node _T_552 = and(_T_551, _T_546) @[dec_tlu_ctl.scala 2568:198] + node _T_553 = and(_T_552, _T_548) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mcountinhibit <= _T_553 @[dec_tlu_ctl.scala 2615:49] + node _T_554 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_555 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_556 = eq(_T_555, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_557 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:106] + node _T_558 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_559 = eq(_T_558, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_560 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_561 = eq(_T_560, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_562 = and(_T_554, _T_556) @[dec_tlu_ctl.scala 2568:198] + node _T_563 = and(_T_562, _T_557) @[dec_tlu_ctl.scala 2568:198] + node _T_564 = and(_T_563, _T_559) @[dec_tlu_ctl.scala 2568:198] + node _T_565 = and(_T_564, _T_561) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mitctl0 <= _T_565 @[dec_tlu_ctl.scala 2616:57] + node _T_566 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_567 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_568 = eq(_T_567, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_569 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_570 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_571 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_572 = and(_T_566, _T_568) @[dec_tlu_ctl.scala 2568:198] + node _T_573 = and(_T_572, _T_569) @[dec_tlu_ctl.scala 2568:198] + node _T_574 = and(_T_573, _T_570) @[dec_tlu_ctl.scala 2568:198] + node _T_575 = and(_T_574, _T_571) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mitctl1 <= _T_575 @[dec_tlu_ctl.scala 2617:57] + node _T_576 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_577 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_578 = eq(_T_577, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_579 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:106] + node _T_580 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_581 = eq(_T_580, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_582 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_583 = and(_T_576, _T_578) @[dec_tlu_ctl.scala 2568:198] + node _T_584 = and(_T_583, _T_579) @[dec_tlu_ctl.scala 2568:198] + node _T_585 = and(_T_584, _T_581) @[dec_tlu_ctl.scala 2568:198] + node _T_586 = and(_T_585, _T_582) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mitb0 <= _T_586 @[dec_tlu_ctl.scala 2618:57] + node _T_587 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_588 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:106] + node _T_589 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_590 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_591 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_592 = eq(_T_591, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_593 = and(_T_587, _T_588) @[dec_tlu_ctl.scala 2568:198] + node _T_594 = and(_T_593, _T_589) @[dec_tlu_ctl.scala 2568:198] + node _T_595 = and(_T_594, _T_590) @[dec_tlu_ctl.scala 2568:198] + node _T_596 = and(_T_595, _T_592) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mitb1 <= _T_596 @[dec_tlu_ctl.scala 2619:57] + node _T_597 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_598 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_599 = eq(_T_598, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_600 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:106] + node _T_601 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_602 = eq(_T_601, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_603 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_604 = eq(_T_603, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_605 = and(_T_597, _T_599) @[dec_tlu_ctl.scala 2568:198] + node _T_606 = and(_T_605, _T_600) @[dec_tlu_ctl.scala 2568:198] + node _T_607 = and(_T_606, _T_602) @[dec_tlu_ctl.scala 2568:198] + node _T_608 = and(_T_607, _T_604) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mitcnt0 <= _T_608 @[dec_tlu_ctl.scala 2620:57] + node _T_609 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_610 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_611 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_612 = eq(_T_611, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_613 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_614 = and(_T_609, _T_610) @[dec_tlu_ctl.scala 2568:198] + node _T_615 = and(_T_614, _T_612) @[dec_tlu_ctl.scala 2568:198] + node _T_616 = and(_T_615, _T_613) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mitcnt1 <= _T_616 @[dec_tlu_ctl.scala 2621:57] + node _T_617 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_618 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_619 = eq(_T_618, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_620 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_621 = eq(_T_620, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_622 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_623 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_624 = and(_T_617, _T_619) @[dec_tlu_ctl.scala 2568:198] + node _T_625 = and(_T_624, _T_621) @[dec_tlu_ctl.scala 2568:198] + node _T_626 = and(_T_625, _T_622) @[dec_tlu_ctl.scala 2568:198] + node _T_627 = and(_T_626, _T_623) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mpmc <= _T_627 @[dec_tlu_ctl.scala 2622:57] + node _T_628 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_629 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_630 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_631 = eq(_T_630, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_632 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_633 = eq(_T_632, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_634 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_635 = eq(_T_634, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_636 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_637 = and(_T_628, _T_629) @[dec_tlu_ctl.scala 2568:198] + node _T_638 = and(_T_637, _T_631) @[dec_tlu_ctl.scala 2568:198] + node _T_639 = and(_T_638, _T_633) @[dec_tlu_ctl.scala 2568:198] + node _T_640 = and(_T_639, _T_635) @[dec_tlu_ctl.scala 2568:198] + node _T_641 = and(_T_640, _T_636) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mcpc <= _T_641 @[dec_tlu_ctl.scala 2623:57] + node _T_642 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_643 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_644 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_645 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_646 = eq(_T_645, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_647 = and(_T_642, _T_643) @[dec_tlu_ctl.scala 2568:198] + node _T_648 = and(_T_647, _T_644) @[dec_tlu_ctl.scala 2568:198] + node _T_649 = and(_T_648, _T_646) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_meicpct <= _T_649 @[dec_tlu_ctl.scala 2624:57] + node _T_650 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:149] + node _T_651 = eq(_T_650, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_652 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_653 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_654 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_655 = eq(_T_654, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_656 = and(_T_651, _T_652) @[dec_tlu_ctl.scala 2568:198] + node _T_657 = and(_T_656, _T_653) @[dec_tlu_ctl.scala 2568:198] + node _T_658 = and(_T_657, _T_655) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mdeau <= _T_658 @[dec_tlu_ctl.scala 2625:57] + node _T_659 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_660 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_661 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_662 = eq(_T_661, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_663 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_664 = eq(_T_663, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_665 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_666 = eq(_T_665, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_667 = and(_T_659, _T_660) @[dec_tlu_ctl.scala 2568:198] + node _T_668 = and(_T_667, _T_662) @[dec_tlu_ctl.scala 2568:198] + node _T_669 = and(_T_668, _T_664) @[dec_tlu_ctl.scala 2568:198] + node _T_670 = and(_T_669, _T_666) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_micect <= _T_670 @[dec_tlu_ctl.scala 2626:57] + node _T_671 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_672 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_673 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_674 = eq(_T_673, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_675 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_676 = and(_T_671, _T_672) @[dec_tlu_ctl.scala 2568:198] + node _T_677 = and(_T_676, _T_674) @[dec_tlu_ctl.scala 2568:198] + node _T_678 = and(_T_677, _T_675) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_miccmect <= _T_678 @[dec_tlu_ctl.scala 2627:57] + node _T_679 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_680 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_681 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_682 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_683 = eq(_T_682, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_684 = and(_T_679, _T_680) @[dec_tlu_ctl.scala 2568:198] + node _T_685 = and(_T_684, _T_681) @[dec_tlu_ctl.scala 2568:198] + node _T_686 = and(_T_685, _T_683) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mdccmect <= _T_686 @[dec_tlu_ctl.scala 2628:57] + node _T_687 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_688 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:106] + node _T_689 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_690 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_691 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_692 = eq(_T_691, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_693 = and(_T_687, _T_688) @[dec_tlu_ctl.scala 2568:198] + node _T_694 = and(_T_693, _T_689) @[dec_tlu_ctl.scala 2568:198] + node _T_695 = and(_T_694, _T_690) @[dec_tlu_ctl.scala 2568:198] + node _T_696 = and(_T_695, _T_692) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mfdht <= _T_696 @[dec_tlu_ctl.scala 2629:57] + node _T_697 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_698 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_699 = eq(_T_698, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_700 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_701 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_702 = and(_T_697, _T_699) @[dec_tlu_ctl.scala 2568:198] + node _T_703 = and(_T_702, _T_700) @[dec_tlu_ctl.scala 2568:198] + node _T_704 = and(_T_703, _T_701) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mfdhs <= _T_704 @[dec_tlu_ctl.scala 2630:57] + node _T_705 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_706 = eq(_T_705, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_707 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_708 = eq(_T_707, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_709 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:106] + node _T_710 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_711 = eq(_T_710, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_712 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_713 = eq(_T_712, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_714 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_715 = eq(_T_714, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_716 = and(_T_706, _T_708) @[dec_tlu_ctl.scala 2568:198] + node _T_717 = and(_T_716, _T_709) @[dec_tlu_ctl.scala 2568:198] + node _T_718 = and(_T_717, _T_711) @[dec_tlu_ctl.scala 2568:198] + node _T_719 = and(_T_718, _T_713) @[dec_tlu_ctl.scala 2568:198] + node _T_720 = and(_T_719, _T_715) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_dicawics <= _T_720 @[dec_tlu_ctl.scala 2631:57] + node _T_721 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_722 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:106] + node _T_723 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_724 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_725 = eq(_T_724, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_726 = and(_T_721, _T_722) @[dec_tlu_ctl.scala 2568:198] + node _T_727 = and(_T_726, _T_723) @[dec_tlu_ctl.scala 2568:198] + node _T_728 = and(_T_727, _T_725) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_dicad0h <= _T_728 @[dec_tlu_ctl.scala 2632:57] + node _T_729 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_730 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_731 = eq(_T_730, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_732 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:106] + node _T_733 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_734 = eq(_T_733, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_735 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_736 = and(_T_729, _T_731) @[dec_tlu_ctl.scala 2568:198] + node _T_737 = and(_T_736, _T_732) @[dec_tlu_ctl.scala 2568:198] + node _T_738 = and(_T_737, _T_734) @[dec_tlu_ctl.scala 2568:198] + node _T_739 = and(_T_738, _T_735) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_dicad0 <= _T_739 @[dec_tlu_ctl.scala 2633:57] + node _T_740 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_741 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:106] + node _T_742 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_743 = eq(_T_742, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_744 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_745 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_746 = eq(_T_745, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_747 = and(_T_740, _T_741) @[dec_tlu_ctl.scala 2568:198] + node _T_748 = and(_T_747, _T_743) @[dec_tlu_ctl.scala 2568:198] + node _T_749 = and(_T_748, _T_744) @[dec_tlu_ctl.scala 2568:198] + node _T_750 = and(_T_749, _T_746) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_dicad1 <= _T_750 @[dec_tlu_ctl.scala 2634:57] + node _T_751 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_752 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:106] + node _T_753 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_754 = eq(_T_753, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_755 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_756 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_757 = and(_T_751, _T_752) @[dec_tlu_ctl.scala 2568:198] + node _T_758 = and(_T_757, _T_754) @[dec_tlu_ctl.scala 2568:198] + node _T_759 = and(_T_758, _T_755) @[dec_tlu_ctl.scala 2568:198] + node _T_760 = and(_T_759, _T_756) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_dicago <= _T_760 @[dec_tlu_ctl.scala 2635:57] + node _T_761 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_762 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:106] + node _T_763 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:106] + node _T_764 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_765 = eq(_T_764, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_766 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_767 = and(_T_761, _T_762) @[dec_tlu_ctl.scala 2568:198] + node _T_768 = and(_T_767, _T_763) @[dec_tlu_ctl.scala 2568:198] + node _T_769 = and(_T_768, _T_765) @[dec_tlu_ctl.scala 2568:198] + node _T_770 = and(_T_769, _T_766) @[dec_tlu_ctl.scala 2568:198] + node _T_771 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_772 = eq(_T_771, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_773 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_774 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_775 = eq(_T_774, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_776 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_777 = eq(_T_776, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_778 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_779 = eq(_T_778, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_780 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_781 = eq(_T_780, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_782 = and(_T_772, _T_773) @[dec_tlu_ctl.scala 2568:198] + node _T_783 = and(_T_782, _T_775) @[dec_tlu_ctl.scala 2568:198] + node _T_784 = and(_T_783, _T_777) @[dec_tlu_ctl.scala 2568:198] + node _T_785 = and(_T_784, _T_779) @[dec_tlu_ctl.scala 2568:198] + node _T_786 = and(_T_785, _T_781) @[dec_tlu_ctl.scala 2568:198] + node _T_787 = or(_T_770, _T_786) @[dec_tlu_ctl.scala 2636:81] + node _T_788 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_789 = eq(_T_788, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_790 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_791 = eq(_T_790, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_792 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_793 = eq(_T_792, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_794 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_795 = eq(_T_794, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_796 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_797 = eq(_T_796, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_798 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_799 = and(_T_789, _T_791) @[dec_tlu_ctl.scala 2568:198] + node _T_800 = and(_T_799, _T_793) @[dec_tlu_ctl.scala 2568:198] + node _T_801 = and(_T_800, _T_795) @[dec_tlu_ctl.scala 2568:198] + node _T_802 = and(_T_801, _T_797) @[dec_tlu_ctl.scala 2568:198] + node _T_803 = and(_T_802, _T_798) @[dec_tlu_ctl.scala 2568:198] + node _T_804 = or(_T_787, _T_803) @[dec_tlu_ctl.scala 2636:121] + node _T_805 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_806 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_807 = eq(_T_806, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_808 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_809 = eq(_T_808, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_810 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_811 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_812 = eq(_T_811, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_813 = and(_T_805, _T_807) @[dec_tlu_ctl.scala 2568:198] + node _T_814 = and(_T_813, _T_809) @[dec_tlu_ctl.scala 2568:198] + node _T_815 = and(_T_814, _T_810) @[dec_tlu_ctl.scala 2568:198] + node _T_816 = and(_T_815, _T_812) @[dec_tlu_ctl.scala 2568:198] + node _T_817 = or(_T_804, _T_816) @[dec_tlu_ctl.scala 2636:155] + node _T_818 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_819 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_820 = eq(_T_819, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_821 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_822 = eq(_T_821, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_823 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_824 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_825 = eq(_T_824, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_826 = and(_T_818, _T_820) @[dec_tlu_ctl.scala 2568:198] + node _T_827 = and(_T_826, _T_822) @[dec_tlu_ctl.scala 2568:198] + node _T_828 = and(_T_827, _T_823) @[dec_tlu_ctl.scala 2568:198] + node _T_829 = and(_T_828, _T_825) @[dec_tlu_ctl.scala 2568:198] + node _T_830 = or(_T_817, _T_829) @[dec_tlu_ctl.scala 2637:97] + node _T_831 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_832 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_833 = eq(_T_832, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_834 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_835 = eq(_T_834, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_836 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_837 = eq(_T_836, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_838 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_839 = eq(_T_838, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_840 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_841 = and(_T_831, _T_833) @[dec_tlu_ctl.scala 2568:198] + node _T_842 = and(_T_841, _T_835) @[dec_tlu_ctl.scala 2568:198] + node _T_843 = and(_T_842, _T_837) @[dec_tlu_ctl.scala 2568:198] + node _T_844 = and(_T_843, _T_839) @[dec_tlu_ctl.scala 2568:198] + node _T_845 = and(_T_844, _T_840) @[dec_tlu_ctl.scala 2568:198] + node _T_846 = or(_T_830, _T_845) @[dec_tlu_ctl.scala 2637:137] + io.csr_pkt.presync <= _T_846 @[dec_tlu_ctl.scala 2636:34] + node _T_847 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_848 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:106] + node _T_849 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:106] + node _T_850 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_851 = eq(_T_850, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_852 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_853 = and(_T_847, _T_848) @[dec_tlu_ctl.scala 2568:198] + node _T_854 = and(_T_853, _T_849) @[dec_tlu_ctl.scala 2568:198] + node _T_855 = and(_T_854, _T_851) @[dec_tlu_ctl.scala 2568:198] + node _T_856 = and(_T_855, _T_852) @[dec_tlu_ctl.scala 2568:198] + node _T_857 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_858 = eq(_T_857, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_859 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_860 = eq(_T_859, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_861 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_862 = eq(_T_861, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_863 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_864 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_865 = and(_T_858, _T_860) @[dec_tlu_ctl.scala 2568:198] + node _T_866 = and(_T_865, _T_862) @[dec_tlu_ctl.scala 2568:198] + node _T_867 = and(_T_866, _T_863) @[dec_tlu_ctl.scala 2568:198] + node _T_868 = and(_T_867, _T_864) @[dec_tlu_ctl.scala 2568:198] + node _T_869 = or(_T_856, _T_868) @[dec_tlu_ctl.scala 2638:81] + node _T_870 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_871 = eq(_T_870, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_872 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_873 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_874 = eq(_T_873, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_875 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_876 = and(_T_871, _T_872) @[dec_tlu_ctl.scala 2568:198] + node _T_877 = and(_T_876, _T_874) @[dec_tlu_ctl.scala 2568:198] + node _T_878 = and(_T_877, _T_875) @[dec_tlu_ctl.scala 2568:198] + node _T_879 = or(_T_869, _T_878) @[dec_tlu_ctl.scala 2638:121] + node _T_880 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_881 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_882 = eq(_T_881, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_883 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_884 = eq(_T_883, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_885 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_886 = and(_T_880, _T_882) @[dec_tlu_ctl.scala 2568:198] + node _T_887 = and(_T_886, _T_884) @[dec_tlu_ctl.scala 2568:198] + node _T_888 = and(_T_887, _T_885) @[dec_tlu_ctl.scala 2568:198] + node _T_889 = or(_T_879, _T_888) @[dec_tlu_ctl.scala 2638:162] + node _T_890 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_891 = eq(_T_890, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_892 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_893 = eq(_T_892, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_894 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_895 = eq(_T_894, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_896 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_897 = eq(_T_896, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_898 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_899 = eq(_T_898, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_900 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_901 = eq(_T_900, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_902 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_903 = eq(_T_902, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_904 = and(_T_891, _T_893) @[dec_tlu_ctl.scala 2568:198] + node _T_905 = and(_T_904, _T_895) @[dec_tlu_ctl.scala 2568:198] + node _T_906 = and(_T_905, _T_897) @[dec_tlu_ctl.scala 2568:198] + node _T_907 = and(_T_906, _T_899) @[dec_tlu_ctl.scala 2568:198] + node _T_908 = and(_T_907, _T_901) @[dec_tlu_ctl.scala 2568:198] + node _T_909 = and(_T_908, _T_903) @[dec_tlu_ctl.scala 2568:198] + node _T_910 = or(_T_889, _T_909) @[dec_tlu_ctl.scala 2639:105] + node _T_911 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_912 = eq(_T_911, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_913 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_914 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_915 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_916 = eq(_T_915, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_917 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_918 = eq(_T_917, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_919 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_920 = eq(_T_919, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_921 = and(_T_912, _T_913) @[dec_tlu_ctl.scala 2568:198] + node _T_922 = and(_T_921, _T_914) @[dec_tlu_ctl.scala 2568:198] + node _T_923 = and(_T_922, _T_916) @[dec_tlu_ctl.scala 2568:198] + node _T_924 = and(_T_923, _T_918) @[dec_tlu_ctl.scala 2568:198] + node _T_925 = and(_T_924, _T_920) @[dec_tlu_ctl.scala 2568:198] + node _T_926 = or(_T_910, _T_925) @[dec_tlu_ctl.scala 2639:145] + node _T_927 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_928 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_929 = eq(_T_928, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_930 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_931 = eq(_T_930, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_932 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_933 = eq(_T_932, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_934 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_935 = and(_T_927, _T_929) @[dec_tlu_ctl.scala 2568:198] + node _T_936 = and(_T_935, _T_931) @[dec_tlu_ctl.scala 2568:198] + node _T_937 = and(_T_936, _T_933) @[dec_tlu_ctl.scala 2568:198] + node _T_938 = and(_T_937, _T_934) @[dec_tlu_ctl.scala 2568:198] + node _T_939 = or(_T_926, _T_938) @[dec_tlu_ctl.scala 2639:178] + io.csr_pkt.postsync <= _T_939 @[dec_tlu_ctl.scala 2638:30] + node _T_940 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_941 = eq(_T_940, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_942 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_943 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_944 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_945 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_946 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_947 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:106] + node _T_948 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_949 = eq(_T_948, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_950 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_951 = eq(_T_950, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_952 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_953 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_954 = eq(_T_953, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_955 = and(_T_941, _T_942) @[dec_tlu_ctl.scala 2568:198] + node _T_956 = and(_T_955, _T_943) @[dec_tlu_ctl.scala 2568:198] + node _T_957 = and(_T_956, _T_944) @[dec_tlu_ctl.scala 2568:198] + node _T_958 = and(_T_957, _T_945) @[dec_tlu_ctl.scala 2568:198] + node _T_959 = and(_T_958, _T_946) @[dec_tlu_ctl.scala 2568:198] + node _T_960 = and(_T_959, _T_947) @[dec_tlu_ctl.scala 2568:198] + node _T_961 = and(_T_960, _T_949) @[dec_tlu_ctl.scala 2568:198] + node _T_962 = and(_T_961, _T_951) @[dec_tlu_ctl.scala 2568:198] + node _T_963 = and(_T_962, _T_952) @[dec_tlu_ctl.scala 2568:198] + node _T_964 = and(_T_963, _T_954) @[dec_tlu_ctl.scala 2568:198] + node _T_965 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_966 = eq(_T_965, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_967 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:149] + node _T_968 = eq(_T_967, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_969 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_970 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_971 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_972 = eq(_T_971, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_973 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_974 = eq(_T_973, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_975 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_976 = eq(_T_975, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_977 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_978 = eq(_T_977, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_979 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_980 = eq(_T_979, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_981 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_982 = eq(_T_981, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_983 = and(_T_966, _T_968) @[dec_tlu_ctl.scala 2568:198] + node _T_984 = and(_T_983, _T_969) @[dec_tlu_ctl.scala 2568:198] + node _T_985 = and(_T_984, _T_970) @[dec_tlu_ctl.scala 2568:198] + node _T_986 = and(_T_985, _T_972) @[dec_tlu_ctl.scala 2568:198] + node _T_987 = and(_T_986, _T_974) @[dec_tlu_ctl.scala 2568:198] + node _T_988 = and(_T_987, _T_976) @[dec_tlu_ctl.scala 2568:198] + node _T_989 = and(_T_988, _T_978) @[dec_tlu_ctl.scala 2568:198] + node _T_990 = and(_T_989, _T_980) @[dec_tlu_ctl.scala 2568:198] + node _T_991 = and(_T_990, _T_982) @[dec_tlu_ctl.scala 2568:198] + node _T_992 = or(_T_964, _T_991) @[dec_tlu_ctl.scala 2641:81] + node _T_993 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_994 = eq(_T_993, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_995 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:149] + node _T_996 = eq(_T_995, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_997 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_998 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_999 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_1000 = eq(_T_999, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1001 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_1002 = eq(_T_1001, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1003 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_1004 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_1005 = eq(_T_1004, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1006 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_1007 = eq(_T_1006, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_1008 = and(_T_994, _T_996) @[dec_tlu_ctl.scala 2568:198] + node _T_1009 = and(_T_1008, _T_997) @[dec_tlu_ctl.scala 2568:198] + node _T_1010 = and(_T_1009, _T_998) @[dec_tlu_ctl.scala 2568:198] + node _T_1011 = and(_T_1010, _T_1000) @[dec_tlu_ctl.scala 2568:198] + node _T_1012 = and(_T_1011, _T_1002) @[dec_tlu_ctl.scala 2568:198] + node _T_1013 = and(_T_1012, _T_1003) @[dec_tlu_ctl.scala 2568:198] + node _T_1014 = and(_T_1013, _T_1005) @[dec_tlu_ctl.scala 2568:198] + node _T_1015 = and(_T_1014, _T_1007) @[dec_tlu_ctl.scala 2568:198] + node _T_1016 = or(_T_992, _T_1015) @[dec_tlu_ctl.scala 2641:129] + node _T_1017 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_1018 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1019 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1020 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_1021 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_1022 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_1023 = eq(_T_1022, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1024 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_1025 = eq(_T_1024, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1026 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_1027 = eq(_T_1026, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1028 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_1029 = eq(_T_1028, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1030 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_1031 = eq(_T_1030, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_1032 = and(_T_1017, _T_1018) @[dec_tlu_ctl.scala 2568:198] + node _T_1033 = and(_T_1032, _T_1019) @[dec_tlu_ctl.scala 2568:198] + node _T_1034 = and(_T_1033, _T_1020) @[dec_tlu_ctl.scala 2568:198] + node _T_1035 = and(_T_1034, _T_1021) @[dec_tlu_ctl.scala 2568:198] + node _T_1036 = and(_T_1035, _T_1023) @[dec_tlu_ctl.scala 2568:198] + node _T_1037 = and(_T_1036, _T_1025) @[dec_tlu_ctl.scala 2568:198] + node _T_1038 = and(_T_1037, _T_1027) @[dec_tlu_ctl.scala 2568:198] + node _T_1039 = and(_T_1038, _T_1029) @[dec_tlu_ctl.scala 2568:198] + node _T_1040 = and(_T_1039, _T_1031) @[dec_tlu_ctl.scala 2568:198] + node _T_1041 = or(_T_1016, _T_1040) @[dec_tlu_ctl.scala 2642:105] + node _T_1042 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_1043 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:149] + node _T_1044 = eq(_T_1043, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1045 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1046 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1047 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_1048 = eq(_T_1047, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1049 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_1050 = eq(_T_1049, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1051 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_1052 = eq(_T_1051, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_1053 = and(_T_1042, _T_1044) @[dec_tlu_ctl.scala 2568:198] + node _T_1054 = and(_T_1053, _T_1045) @[dec_tlu_ctl.scala 2568:198] + node _T_1055 = and(_T_1054, _T_1046) @[dec_tlu_ctl.scala 2568:198] + node _T_1056 = and(_T_1055, _T_1048) @[dec_tlu_ctl.scala 2568:198] + node _T_1057 = and(_T_1056, _T_1050) @[dec_tlu_ctl.scala 2568:198] + node _T_1058 = and(_T_1057, _T_1052) @[dec_tlu_ctl.scala 2568:198] + node _T_1059 = or(_T_1041, _T_1058) @[dec_tlu_ctl.scala 2642:153] + node _T_1060 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_1061 = eq(_T_1060, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1062 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_1063 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1064 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1065 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_1066 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_1067 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_1068 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:106] + node _T_1069 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:106] + node _T_1070 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_1071 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_1072 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_1073 = and(_T_1061, _T_1062) @[dec_tlu_ctl.scala 2568:198] + node _T_1074 = and(_T_1073, _T_1063) @[dec_tlu_ctl.scala 2568:198] + node _T_1075 = and(_T_1074, _T_1064) @[dec_tlu_ctl.scala 2568:198] + node _T_1076 = and(_T_1075, _T_1065) @[dec_tlu_ctl.scala 2568:198] + node _T_1077 = and(_T_1076, _T_1066) @[dec_tlu_ctl.scala 2568:198] + node _T_1078 = and(_T_1077, _T_1067) @[dec_tlu_ctl.scala 2568:198] + node _T_1079 = and(_T_1078, _T_1068) @[dec_tlu_ctl.scala 2568:198] + node _T_1080 = and(_T_1079, _T_1069) @[dec_tlu_ctl.scala 2568:198] + node _T_1081 = and(_T_1080, _T_1070) @[dec_tlu_ctl.scala 2568:198] + node _T_1082 = and(_T_1081, _T_1071) @[dec_tlu_ctl.scala 2568:198] + node _T_1083 = and(_T_1082, _T_1072) @[dec_tlu_ctl.scala 2568:198] + node _T_1084 = or(_T_1059, _T_1083) @[dec_tlu_ctl.scala 2643:105] + node _T_1085 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_1086 = eq(_T_1085, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1087 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_1088 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1089 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1090 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_1091 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_1092 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_1093 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:106] + node _T_1094 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_1095 = eq(_T_1094, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1096 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_1097 = eq(_T_1096, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1098 = and(_T_1086, _T_1087) @[dec_tlu_ctl.scala 2568:198] + node _T_1099 = and(_T_1098, _T_1088) @[dec_tlu_ctl.scala 2568:198] + node _T_1100 = and(_T_1099, _T_1089) @[dec_tlu_ctl.scala 2568:198] + node _T_1101 = and(_T_1100, _T_1090) @[dec_tlu_ctl.scala 2568:198] + node _T_1102 = and(_T_1101, _T_1091) @[dec_tlu_ctl.scala 2568:198] + node _T_1103 = and(_T_1102, _T_1092) @[dec_tlu_ctl.scala 2568:198] + node _T_1104 = and(_T_1103, _T_1093) @[dec_tlu_ctl.scala 2568:198] + node _T_1105 = and(_T_1104, _T_1095) @[dec_tlu_ctl.scala 2568:198] + node _T_1106 = and(_T_1105, _T_1097) @[dec_tlu_ctl.scala 2568:198] + node _T_1107 = or(_T_1084, _T_1106) @[dec_tlu_ctl.scala 2643:153] + node _T_1108 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_1109 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1110 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1111 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_1112 = eq(_T_1111, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1113 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_1114 = eq(_T_1113, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1115 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_1116 = eq(_T_1115, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1117 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:106] + node _T_1118 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_1119 = eq(_T_1118, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1120 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_1121 = eq(_T_1120, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1122 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_1123 = and(_T_1108, _T_1109) @[dec_tlu_ctl.scala 2568:198] + node _T_1124 = and(_T_1123, _T_1110) @[dec_tlu_ctl.scala 2568:198] + node _T_1125 = and(_T_1124, _T_1112) @[dec_tlu_ctl.scala 2568:198] + node _T_1126 = and(_T_1125, _T_1114) @[dec_tlu_ctl.scala 2568:198] + node _T_1127 = and(_T_1126, _T_1116) @[dec_tlu_ctl.scala 2568:198] + node _T_1128 = and(_T_1127, _T_1117) @[dec_tlu_ctl.scala 2568:198] + node _T_1129 = and(_T_1128, _T_1119) @[dec_tlu_ctl.scala 2568:198] + node _T_1130 = and(_T_1129, _T_1121) @[dec_tlu_ctl.scala 2568:198] + node _T_1131 = and(_T_1130, _T_1122) @[dec_tlu_ctl.scala 2568:198] + node _T_1132 = or(_T_1107, _T_1131) @[dec_tlu_ctl.scala 2644:105] + node _T_1133 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_1134 = eq(_T_1133, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1135 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_1136 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1137 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1138 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_1139 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_1140 = eq(_T_1139, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1141 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_1142 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_1143 = eq(_T_1142, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1144 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_1145 = eq(_T_1144, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1146 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_1147 = eq(_T_1146, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1148 = and(_T_1134, _T_1135) @[dec_tlu_ctl.scala 2568:198] + node _T_1149 = and(_T_1148, _T_1136) @[dec_tlu_ctl.scala 2568:198] + node _T_1150 = and(_T_1149, _T_1137) @[dec_tlu_ctl.scala 2568:198] + node _T_1151 = and(_T_1150, _T_1138) @[dec_tlu_ctl.scala 2568:198] + node _T_1152 = and(_T_1151, _T_1140) @[dec_tlu_ctl.scala 2568:198] + node _T_1153 = and(_T_1152, _T_1141) @[dec_tlu_ctl.scala 2568:198] + node _T_1154 = and(_T_1153, _T_1143) @[dec_tlu_ctl.scala 2568:198] + node _T_1155 = and(_T_1154, _T_1145) @[dec_tlu_ctl.scala 2568:198] + node _T_1156 = and(_T_1155, _T_1147) @[dec_tlu_ctl.scala 2568:198] + node _T_1157 = or(_T_1132, _T_1156) @[dec_tlu_ctl.scala 2644:161] + node _T_1158 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_1159 = eq(_T_1158, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1160 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:149] + node _T_1161 = eq(_T_1160, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1162 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1163 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1164 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_1165 = eq(_T_1164, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1166 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_1167 = eq(_T_1166, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1168 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_1169 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_1170 = and(_T_1159, _T_1161) @[dec_tlu_ctl.scala 2568:198] + node _T_1171 = and(_T_1170, _T_1162) @[dec_tlu_ctl.scala 2568:198] + node _T_1172 = and(_T_1171, _T_1163) @[dec_tlu_ctl.scala 2568:198] + node _T_1173 = and(_T_1172, _T_1165) @[dec_tlu_ctl.scala 2568:198] + node _T_1174 = and(_T_1173, _T_1167) @[dec_tlu_ctl.scala 2568:198] + node _T_1175 = and(_T_1174, _T_1168) @[dec_tlu_ctl.scala 2568:198] + node _T_1176 = and(_T_1175, _T_1169) @[dec_tlu_ctl.scala 2568:198] + node _T_1177 = or(_T_1157, _T_1176) @[dec_tlu_ctl.scala 2645:105] + node _T_1178 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_1179 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1180 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1181 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_1182 = eq(_T_1181, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1183 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_1184 = eq(_T_1183, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1185 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_1186 = eq(_T_1185, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1187 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:106] + node _T_1188 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_1189 = eq(_T_1188, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1190 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_1191 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_1192 = eq(_T_1191, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1193 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_1194 = eq(_T_1193, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_1195 = and(_T_1178, _T_1179) @[dec_tlu_ctl.scala 2568:198] + node _T_1196 = and(_T_1195, _T_1180) @[dec_tlu_ctl.scala 2568:198] + node _T_1197 = and(_T_1196, _T_1182) @[dec_tlu_ctl.scala 2568:198] + node _T_1198 = and(_T_1197, _T_1184) @[dec_tlu_ctl.scala 2568:198] + node _T_1199 = and(_T_1198, _T_1186) @[dec_tlu_ctl.scala 2568:198] + node _T_1200 = and(_T_1199, _T_1187) @[dec_tlu_ctl.scala 2568:198] + node _T_1201 = and(_T_1200, _T_1189) @[dec_tlu_ctl.scala 2568:198] + node _T_1202 = and(_T_1201, _T_1190) @[dec_tlu_ctl.scala 2568:198] + node _T_1203 = and(_T_1202, _T_1192) @[dec_tlu_ctl.scala 2568:198] + node _T_1204 = and(_T_1203, _T_1194) @[dec_tlu_ctl.scala 2568:198] + node _T_1205 = or(_T_1177, _T_1204) @[dec_tlu_ctl.scala 2645:161] + node _T_1206 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_1207 = eq(_T_1206, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1208 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_1209 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1210 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1211 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_1212 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_1213 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_1214 = eq(_T_1213, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1215 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_1216 = eq(_T_1215, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1217 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:106] + node _T_1218 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_1219 = and(_T_1207, _T_1208) @[dec_tlu_ctl.scala 2568:198] + node _T_1220 = and(_T_1219, _T_1209) @[dec_tlu_ctl.scala 2568:198] + node _T_1221 = and(_T_1220, _T_1210) @[dec_tlu_ctl.scala 2568:198] + node _T_1222 = and(_T_1221, _T_1211) @[dec_tlu_ctl.scala 2568:198] + node _T_1223 = and(_T_1222, _T_1212) @[dec_tlu_ctl.scala 2568:198] + node _T_1224 = and(_T_1223, _T_1214) @[dec_tlu_ctl.scala 2568:198] + node _T_1225 = and(_T_1224, _T_1216) @[dec_tlu_ctl.scala 2568:198] + node _T_1226 = and(_T_1225, _T_1217) @[dec_tlu_ctl.scala 2568:198] + node _T_1227 = and(_T_1226, _T_1218) @[dec_tlu_ctl.scala 2568:198] + node _T_1228 = or(_T_1205, _T_1227) @[dec_tlu_ctl.scala 2646:97] + node _T_1229 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_1230 = eq(_T_1229, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1231 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_1232 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1233 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1234 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_1235 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_1236 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_1237 = eq(_T_1236, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1238 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:106] + node _T_1239 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_1240 = eq(_T_1239, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1241 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_1242 = and(_T_1230, _T_1231) @[dec_tlu_ctl.scala 2568:198] + node _T_1243 = and(_T_1242, _T_1232) @[dec_tlu_ctl.scala 2568:198] + node _T_1244 = and(_T_1243, _T_1233) @[dec_tlu_ctl.scala 2568:198] + node _T_1245 = and(_T_1244, _T_1234) @[dec_tlu_ctl.scala 2568:198] + node _T_1246 = and(_T_1245, _T_1235) @[dec_tlu_ctl.scala 2568:198] + node _T_1247 = and(_T_1246, _T_1237) @[dec_tlu_ctl.scala 2568:198] + node _T_1248 = and(_T_1247, _T_1238) @[dec_tlu_ctl.scala 2568:198] + node _T_1249 = and(_T_1248, _T_1240) @[dec_tlu_ctl.scala 2568:198] + node _T_1250 = and(_T_1249, _T_1241) @[dec_tlu_ctl.scala 2568:198] + node _T_1251 = or(_T_1228, _T_1250) @[dec_tlu_ctl.scala 2646:153] + node _T_1252 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_1253 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1254 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1255 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_1256 = eq(_T_1255, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1257 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_1258 = eq(_T_1257, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1259 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_1260 = eq(_T_1259, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1261 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:106] + node _T_1262 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_1263 = eq(_T_1262, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1264 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_1265 = eq(_T_1264, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1266 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_1267 = and(_T_1252, _T_1253) @[dec_tlu_ctl.scala 2568:198] + node _T_1268 = and(_T_1267, _T_1254) @[dec_tlu_ctl.scala 2568:198] + node _T_1269 = and(_T_1268, _T_1256) @[dec_tlu_ctl.scala 2568:198] + node _T_1270 = and(_T_1269, _T_1258) @[dec_tlu_ctl.scala 2568:198] + node _T_1271 = and(_T_1270, _T_1260) @[dec_tlu_ctl.scala 2568:198] + node _T_1272 = and(_T_1271, _T_1261) @[dec_tlu_ctl.scala 2568:198] + node _T_1273 = and(_T_1272, _T_1263) @[dec_tlu_ctl.scala 2568:198] + node _T_1274 = and(_T_1273, _T_1265) @[dec_tlu_ctl.scala 2568:198] + node _T_1275 = and(_T_1274, _T_1266) @[dec_tlu_ctl.scala 2568:198] + node _T_1276 = or(_T_1251, _T_1275) @[dec_tlu_ctl.scala 2647:105] + node _T_1277 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_1278 = eq(_T_1277, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1279 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:149] + node _T_1280 = eq(_T_1279, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1281 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1282 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1283 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_1284 = eq(_T_1283, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1285 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_1286 = eq(_T_1285, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1287 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_1288 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_1289 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_1290 = and(_T_1278, _T_1280) @[dec_tlu_ctl.scala 2568:198] + node _T_1291 = and(_T_1290, _T_1281) @[dec_tlu_ctl.scala 2568:198] + node _T_1292 = and(_T_1291, _T_1282) @[dec_tlu_ctl.scala 2568:198] + node _T_1293 = and(_T_1292, _T_1284) @[dec_tlu_ctl.scala 2568:198] + node _T_1294 = and(_T_1293, _T_1286) @[dec_tlu_ctl.scala 2568:198] + node _T_1295 = and(_T_1294, _T_1287) @[dec_tlu_ctl.scala 2568:198] + node _T_1296 = and(_T_1295, _T_1288) @[dec_tlu_ctl.scala 2568:198] + node _T_1297 = and(_T_1296, _T_1289) @[dec_tlu_ctl.scala 2568:198] + node _T_1298 = or(_T_1276, _T_1297) @[dec_tlu_ctl.scala 2647:161] + node _T_1299 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_1300 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:149] + node _T_1301 = eq(_T_1300, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1302 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1303 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1304 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_1305 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_1306 = eq(_T_1305, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1307 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_1308 = eq(_T_1307, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1309 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:106] + node _T_1310 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_1311 = eq(_T_1310, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1312 = and(_T_1299, _T_1301) @[dec_tlu_ctl.scala 2568:198] + node _T_1313 = and(_T_1312, _T_1302) @[dec_tlu_ctl.scala 2568:198] + node _T_1314 = and(_T_1313, _T_1303) @[dec_tlu_ctl.scala 2568:198] + node _T_1315 = and(_T_1314, _T_1304) @[dec_tlu_ctl.scala 2568:198] + node _T_1316 = and(_T_1315, _T_1306) @[dec_tlu_ctl.scala 2568:198] + node _T_1317 = and(_T_1316, _T_1308) @[dec_tlu_ctl.scala 2568:198] + node _T_1318 = and(_T_1317, _T_1309) @[dec_tlu_ctl.scala 2568:198] + node _T_1319 = and(_T_1318, _T_1311) @[dec_tlu_ctl.scala 2568:198] + node _T_1320 = or(_T_1298, _T_1319) @[dec_tlu_ctl.scala 2648:105] + node _T_1321 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_1322 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:149] + node _T_1323 = eq(_T_1322, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1324 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1325 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1326 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_1327 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_1328 = eq(_T_1327, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1329 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_1330 = eq(_T_1329, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1331 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:106] + node _T_1332 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_1333 = eq(_T_1332, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1334 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_1335 = eq(_T_1334, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_1336 = and(_T_1321, _T_1323) @[dec_tlu_ctl.scala 2568:198] + node _T_1337 = and(_T_1336, _T_1324) @[dec_tlu_ctl.scala 2568:198] + node _T_1338 = and(_T_1337, _T_1325) @[dec_tlu_ctl.scala 2568:198] + node _T_1339 = and(_T_1338, _T_1326) @[dec_tlu_ctl.scala 2568:198] + node _T_1340 = and(_T_1339, _T_1328) @[dec_tlu_ctl.scala 2568:198] + node _T_1341 = and(_T_1340, _T_1330) @[dec_tlu_ctl.scala 2568:198] + node _T_1342 = and(_T_1341, _T_1331) @[dec_tlu_ctl.scala 2568:198] + node _T_1343 = and(_T_1342, _T_1333) @[dec_tlu_ctl.scala 2568:198] + node _T_1344 = and(_T_1343, _T_1335) @[dec_tlu_ctl.scala 2568:198] + node _T_1345 = or(_T_1320, _T_1344) @[dec_tlu_ctl.scala 2648:161] + node _T_1346 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_1347 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:149] + node _T_1348 = eq(_T_1347, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1349 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1350 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1351 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_1352 = eq(_T_1351, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1353 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_1354 = eq(_T_1353, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1355 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_1356 = and(_T_1346, _T_1348) @[dec_tlu_ctl.scala 2568:198] + node _T_1357 = and(_T_1356, _T_1349) @[dec_tlu_ctl.scala 2568:198] + node _T_1358 = and(_T_1357, _T_1350) @[dec_tlu_ctl.scala 2568:198] + node _T_1359 = and(_T_1358, _T_1352) @[dec_tlu_ctl.scala 2568:198] + node _T_1360 = and(_T_1359, _T_1354) @[dec_tlu_ctl.scala 2568:198] + node _T_1361 = and(_T_1360, _T_1355) @[dec_tlu_ctl.scala 2568:198] + node _T_1362 = or(_T_1345, _T_1361) @[dec_tlu_ctl.scala 2649:105] + node _T_1363 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_1364 = eq(_T_1363, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1365 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_1366 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1367 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1368 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_1369 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_1370 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_1371 = eq(_T_1370, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1372 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:106] + node _T_1373 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_1374 = eq(_T_1373, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1375 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_1376 = and(_T_1364, _T_1365) @[dec_tlu_ctl.scala 2568:198] + node _T_1377 = and(_T_1376, _T_1366) @[dec_tlu_ctl.scala 2568:198] + node _T_1378 = and(_T_1377, _T_1367) @[dec_tlu_ctl.scala 2568:198] + node _T_1379 = and(_T_1378, _T_1368) @[dec_tlu_ctl.scala 2568:198] + node _T_1380 = and(_T_1379, _T_1369) @[dec_tlu_ctl.scala 2568:198] + node _T_1381 = and(_T_1380, _T_1371) @[dec_tlu_ctl.scala 2568:198] + node _T_1382 = and(_T_1381, _T_1372) @[dec_tlu_ctl.scala 2568:198] + node _T_1383 = and(_T_1382, _T_1374) @[dec_tlu_ctl.scala 2568:198] + node _T_1384 = and(_T_1383, _T_1375) @[dec_tlu_ctl.scala 2568:198] + node _T_1385 = or(_T_1362, _T_1384) @[dec_tlu_ctl.scala 2649:161] + node _T_1386 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_1387 = eq(_T_1386, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1388 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_1389 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1390 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1391 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_1392 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_1393 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_1394 = eq(_T_1393, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1395 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_1396 = eq(_T_1395, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1397 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_1398 = eq(_T_1397, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_1399 = and(_T_1387, _T_1388) @[dec_tlu_ctl.scala 2568:198] + node _T_1400 = and(_T_1399, _T_1389) @[dec_tlu_ctl.scala 2568:198] + node _T_1401 = and(_T_1400, _T_1390) @[dec_tlu_ctl.scala 2568:198] + node _T_1402 = and(_T_1401, _T_1391) @[dec_tlu_ctl.scala 2568:198] + node _T_1403 = and(_T_1402, _T_1392) @[dec_tlu_ctl.scala 2568:198] + node _T_1404 = and(_T_1403, _T_1394) @[dec_tlu_ctl.scala 2568:198] + node _T_1405 = and(_T_1404, _T_1396) @[dec_tlu_ctl.scala 2568:198] + node _T_1406 = and(_T_1405, _T_1398) @[dec_tlu_ctl.scala 2568:198] + node _T_1407 = or(_T_1385, _T_1406) @[dec_tlu_ctl.scala 2650:105] + node _T_1408 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_1409 = eq(_T_1408, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1410 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_1411 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1412 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1413 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_1414 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_1415 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_1416 = eq(_T_1415, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1417 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_1418 = eq(_T_1417, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1419 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:106] + node _T_1420 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_1421 = eq(_T_1420, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1422 = and(_T_1409, _T_1410) @[dec_tlu_ctl.scala 2568:198] + node _T_1423 = and(_T_1422, _T_1411) @[dec_tlu_ctl.scala 2568:198] + node _T_1424 = and(_T_1423, _T_1412) @[dec_tlu_ctl.scala 2568:198] + node _T_1425 = and(_T_1424, _T_1413) @[dec_tlu_ctl.scala 2568:198] + node _T_1426 = and(_T_1425, _T_1414) @[dec_tlu_ctl.scala 2568:198] + node _T_1427 = and(_T_1426, _T_1416) @[dec_tlu_ctl.scala 2568:198] + node _T_1428 = and(_T_1427, _T_1418) @[dec_tlu_ctl.scala 2568:198] + node _T_1429 = and(_T_1428, _T_1419) @[dec_tlu_ctl.scala 2568:198] + node _T_1430 = and(_T_1429, _T_1421) @[dec_tlu_ctl.scala 2568:198] + node _T_1431 = or(_T_1407, _T_1430) @[dec_tlu_ctl.scala 2650:161] + node _T_1432 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_1433 = eq(_T_1432, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1434 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_1435 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1436 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1437 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_1438 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_1439 = eq(_T_1438, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1440 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_1441 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_1442 = eq(_T_1441, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1443 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_1444 = eq(_T_1443, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1445 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_1446 = eq(_T_1445, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1447 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_1448 = eq(_T_1447, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_1449 = and(_T_1433, _T_1434) @[dec_tlu_ctl.scala 2568:198] + node _T_1450 = and(_T_1449, _T_1435) @[dec_tlu_ctl.scala 2568:198] + node _T_1451 = and(_T_1450, _T_1436) @[dec_tlu_ctl.scala 2568:198] + node _T_1452 = and(_T_1451, _T_1437) @[dec_tlu_ctl.scala 2568:198] + node _T_1453 = and(_T_1452, _T_1439) @[dec_tlu_ctl.scala 2568:198] + node _T_1454 = and(_T_1453, _T_1440) @[dec_tlu_ctl.scala 2568:198] + node _T_1455 = and(_T_1454, _T_1442) @[dec_tlu_ctl.scala 2568:198] + node _T_1456 = and(_T_1455, _T_1444) @[dec_tlu_ctl.scala 2568:198] + node _T_1457 = and(_T_1456, _T_1446) @[dec_tlu_ctl.scala 2568:198] + node _T_1458 = and(_T_1457, _T_1448) @[dec_tlu_ctl.scala 2568:198] + node _T_1459 = or(_T_1431, _T_1458) @[dec_tlu_ctl.scala 2651:105] + node _T_1460 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_1461 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:149] + node _T_1462 = eq(_T_1461, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1463 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1464 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1465 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_1466 = eq(_T_1465, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1467 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_1468 = eq(_T_1467, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1469 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_1470 = and(_T_1460, _T_1462) @[dec_tlu_ctl.scala 2568:198] + node _T_1471 = and(_T_1470, _T_1463) @[dec_tlu_ctl.scala 2568:198] + node _T_1472 = and(_T_1471, _T_1464) @[dec_tlu_ctl.scala 2568:198] + node _T_1473 = and(_T_1472, _T_1466) @[dec_tlu_ctl.scala 2568:198] + node _T_1474 = and(_T_1473, _T_1468) @[dec_tlu_ctl.scala 2568:198] + node _T_1475 = and(_T_1474, _T_1469) @[dec_tlu_ctl.scala 2568:198] + node _T_1476 = or(_T_1459, _T_1475) @[dec_tlu_ctl.scala 2651:153] + node _T_1477 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_1478 = eq(_T_1477, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1479 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:149] + node _T_1480 = eq(_T_1479, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1481 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1482 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1483 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_1484 = eq(_T_1483, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1485 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_1486 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_1487 = eq(_T_1486, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1488 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_1489 = eq(_T_1488, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1490 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_1491 = eq(_T_1490, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1492 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_1493 = eq(_T_1492, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1494 = and(_T_1478, _T_1480) @[dec_tlu_ctl.scala 2568:198] + node _T_1495 = and(_T_1494, _T_1481) @[dec_tlu_ctl.scala 2568:198] + node _T_1496 = and(_T_1495, _T_1482) @[dec_tlu_ctl.scala 2568:198] + node _T_1497 = and(_T_1496, _T_1484) @[dec_tlu_ctl.scala 2568:198] + node _T_1498 = and(_T_1497, _T_1485) @[dec_tlu_ctl.scala 2568:198] + node _T_1499 = and(_T_1498, _T_1487) @[dec_tlu_ctl.scala 2568:198] + node _T_1500 = and(_T_1499, _T_1489) @[dec_tlu_ctl.scala 2568:198] + node _T_1501 = and(_T_1500, _T_1491) @[dec_tlu_ctl.scala 2568:198] + node _T_1502 = and(_T_1501, _T_1493) @[dec_tlu_ctl.scala 2568:198] + node _T_1503 = or(_T_1476, _T_1502) @[dec_tlu_ctl.scala 2652:113] + node _T_1504 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_1505 = eq(_T_1504, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1506 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:149] + node _T_1507 = eq(_T_1506, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1508 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1509 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1510 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_1511 = eq(_T_1510, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1512 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_1513 = eq(_T_1512, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1514 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_1515 = eq(_T_1514, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1516 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_1517 = eq(_T_1516, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1518 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_1519 = eq(_T_1518, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1520 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_1521 = eq(_T_1520, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_1522 = and(_T_1505, _T_1507) @[dec_tlu_ctl.scala 2568:198] + node _T_1523 = and(_T_1522, _T_1508) @[dec_tlu_ctl.scala 2568:198] + node _T_1524 = and(_T_1523, _T_1509) @[dec_tlu_ctl.scala 2568:198] + node _T_1525 = and(_T_1524, _T_1511) @[dec_tlu_ctl.scala 2568:198] + node _T_1526 = and(_T_1525, _T_1513) @[dec_tlu_ctl.scala 2568:198] + node _T_1527 = and(_T_1526, _T_1515) @[dec_tlu_ctl.scala 2568:198] + node _T_1528 = and(_T_1527, _T_1517) @[dec_tlu_ctl.scala 2568:198] + node _T_1529 = and(_T_1528, _T_1519) @[dec_tlu_ctl.scala 2568:198] + node _T_1530 = and(_T_1529, _T_1521) @[dec_tlu_ctl.scala 2568:198] + node _T_1531 = or(_T_1503, _T_1530) @[dec_tlu_ctl.scala 2652:161] + node _T_1532 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_1533 = eq(_T_1532, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1534 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:149] + node _T_1535 = eq(_T_1534, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1536 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1537 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1538 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_1539 = eq(_T_1538, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1540 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_1541 = eq(_T_1540, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1542 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_1543 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:106] + node _T_1544 = and(_T_1533, _T_1535) @[dec_tlu_ctl.scala 2568:198] + node _T_1545 = and(_T_1544, _T_1536) @[dec_tlu_ctl.scala 2568:198] + node _T_1546 = and(_T_1545, _T_1537) @[dec_tlu_ctl.scala 2568:198] + node _T_1547 = and(_T_1546, _T_1539) @[dec_tlu_ctl.scala 2568:198] + node _T_1548 = and(_T_1547, _T_1541) @[dec_tlu_ctl.scala 2568:198] + node _T_1549 = and(_T_1548, _T_1542) @[dec_tlu_ctl.scala 2568:198] + node _T_1550 = and(_T_1549, _T_1543) @[dec_tlu_ctl.scala 2568:198] + node _T_1551 = or(_T_1531, _T_1550) @[dec_tlu_ctl.scala 2653:97] + node _T_1552 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_1553 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:149] + node _T_1554 = eq(_T_1553, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1555 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1556 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1557 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_1558 = eq(_T_1557, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1559 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_1560 = eq(_T_1559, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1561 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:106] + node _T_1562 = and(_T_1552, _T_1554) @[dec_tlu_ctl.scala 2568:198] + node _T_1563 = and(_T_1562, _T_1555) @[dec_tlu_ctl.scala 2568:198] + node _T_1564 = and(_T_1563, _T_1556) @[dec_tlu_ctl.scala 2568:198] + node _T_1565 = and(_T_1564, _T_1558) @[dec_tlu_ctl.scala 2568:198] + node _T_1566 = and(_T_1565, _T_1560) @[dec_tlu_ctl.scala 2568:198] + node _T_1567 = and(_T_1566, _T_1561) @[dec_tlu_ctl.scala 2568:198] + node _T_1568 = or(_T_1551, _T_1567) @[dec_tlu_ctl.scala 2653:153] + node _T_1569 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_1570 = eq(_T_1569, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1571 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:149] + node _T_1572 = eq(_T_1571, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1573 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1574 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1575 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_1576 = eq(_T_1575, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1577 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_1578 = eq(_T_1577, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1579 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_1580 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:106] + node _T_1581 = and(_T_1570, _T_1572) @[dec_tlu_ctl.scala 2568:198] + node _T_1582 = and(_T_1581, _T_1573) @[dec_tlu_ctl.scala 2568:198] + node _T_1583 = and(_T_1582, _T_1574) @[dec_tlu_ctl.scala 2568:198] + node _T_1584 = and(_T_1583, _T_1576) @[dec_tlu_ctl.scala 2568:198] + node _T_1585 = and(_T_1584, _T_1578) @[dec_tlu_ctl.scala 2568:198] + node _T_1586 = and(_T_1585, _T_1579) @[dec_tlu_ctl.scala 2568:198] + node _T_1587 = and(_T_1586, _T_1580) @[dec_tlu_ctl.scala 2568:198] + node _T_1588 = or(_T_1568, _T_1587) @[dec_tlu_ctl.scala 2654:113] + node _T_1589 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_1590 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:149] + node _T_1591 = eq(_T_1590, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1592 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1593 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1594 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_1595 = eq(_T_1594, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1596 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_1597 = eq(_T_1596, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1598 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:106] + node _T_1599 = and(_T_1589, _T_1591) @[dec_tlu_ctl.scala 2568:198] + node _T_1600 = and(_T_1599, _T_1592) @[dec_tlu_ctl.scala 2568:198] + node _T_1601 = and(_T_1600, _T_1593) @[dec_tlu_ctl.scala 2568:198] + node _T_1602 = and(_T_1601, _T_1595) @[dec_tlu_ctl.scala 2568:198] + node _T_1603 = and(_T_1602, _T_1597) @[dec_tlu_ctl.scala 2568:198] + node _T_1604 = and(_T_1603, _T_1598) @[dec_tlu_ctl.scala 2568:198] + node _T_1605 = or(_T_1588, _T_1604) @[dec_tlu_ctl.scala 2654:169] + io.csr_pkt.legal <= _T_1605 @[dec_tlu_ctl.scala 2641:26] + + module dec_tlu_ctl : + input clock : Clock + input reset : AsyncReset + output io : {flip tlu_exu : {flip dec_tlu_meihap : UInt<30>, flip dec_tlu_flush_lower_r : UInt<1>, flip dec_tlu_flush_path_r : UInt<31>, exu_i0_br_hist_r : UInt<2>, exu_i0_br_error_r : UInt<1>, exu_i0_br_start_error_r : UInt<1>, exu_i0_br_index_r : UInt<8>, exu_i0_br_valid_r : UInt<1>, exu_i0_br_mp_r : UInt<1>, exu_i0_br_middle_r : UInt<1>, exu_pmu_i0_br_misp : UInt<1>, exu_pmu_i0_br_ataken : UInt<1>, exu_pmu_i0_pc4 : UInt<1>, exu_npc_r : UInt<31>}, tlu_dma : {flip dma_pmu_dccm_read : UInt<1>, flip dma_pmu_dccm_write : UInt<1>, flip dma_pmu_any_read : UInt<1>, flip dma_pmu_any_write : UInt<1>, dec_tlu_dma_qos_prty : UInt<3>, flip dma_dccm_stall_any : UInt<1>, flip dma_iccm_stall_any : UInt<1>}, flip active_clk : Clock, flip free_clk : Clock, flip scan_mode : UInt<1>, flip rst_vec : UInt<31>, flip nmi_int : UInt<1>, flip nmi_vec : UInt<31>, flip i_cpu_halt_req : UInt<1>, flip i_cpu_run_req : UInt<1>, flip lsu_fastint_stall_any : UInt<1>, flip lsu_idle_any : UInt<1>, flip dec_pmu_instr_decoded : UInt<1>, flip dec_pmu_decode_stall : UInt<1>, flip dec_pmu_presync_stall : UInt<1>, flip dec_pmu_postsync_stall : UInt<1>, flip lsu_store_stall_any : UInt<1>, flip lsu_fir_addr : UInt<31>, flip lsu_fir_error : UInt<2>, flip iccm_dma_sb_error : UInt<1>, flip lsu_error_pkt_r : {valid : UInt<1>, bits : {single_ecc_error : UInt<1>, inst_type : UInt<1>, exc_type : UInt<1>, mscause : UInt<4>, addr : UInt<32>}}, flip lsu_single_ecc_error_incr : UInt<1>, flip dec_pause_state : UInt<1>, flip dec_csr_wen_unq_d : UInt<1>, flip dec_csr_any_unq_d : UInt<1>, flip dec_csr_rdaddr_d : UInt<12>, flip dec_csr_wen_r : UInt<1>, flip dec_csr_wraddr_r : UInt<12>, flip dec_csr_wrdata_r : UInt<32>, flip dec_csr_stall_int_ff : UInt<1>, flip dec_tlu_i0_valid_r : UInt<1>, flip dec_tlu_i0_pc_r : UInt<31>, flip dec_tlu_packet_r : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>}, flip dec_illegal_inst : UInt<32>, flip dec_i0_decode_d : UInt<1>, flip exu_i0_br_way_r : UInt<1>, dec_dbg_cmd_done : UInt<1>, dec_dbg_cmd_fail : UInt<1>, dec_tlu_dbg_halted : UInt<1>, dec_tlu_debug_mode : UInt<1>, dec_tlu_resume_ack : UInt<1>, dec_tlu_debug_stall : UInt<1>, dec_tlu_mpc_halted_only : UInt<1>, dec_tlu_flush_extint : UInt<1>, flip dbg_halt_req : UInt<1>, flip dbg_resume_req : UInt<1>, flip dec_div_active : UInt<1>, trigger_pkt_any : {select : UInt<1>, match_pkt : UInt<1>, store : UInt<1>, load : UInt<1>, execute : UInt<1>, m : UInt<1>, tdata2 : UInt<32>}[4], flip timer_int : UInt<1>, flip soft_int : UInt<1>, o_cpu_halt_status : UInt<1>, o_cpu_halt_ack : UInt<1>, o_cpu_run_ack : UInt<1>, o_debug_mode_status : UInt<1>, flip core_id : UInt<28>, flip mpc_debug_halt_req : UInt<1>, flip mpc_debug_run_req : UInt<1>, flip mpc_reset_run_req : UInt<1>, mpc_debug_halt_ack : UInt<1>, mpc_debug_run_ack : UInt<1>, debug_brkpt_status : UInt<1>, dec_csr_rddata_d : UInt<32>, dec_csr_legal_d : UInt<1>, dec_tlu_i0_kill_writeb_wb : UInt<1>, dec_tlu_i0_kill_writeb_r : UInt<1>, dec_tlu_wr_pause_r : UInt<1>, dec_tlu_flush_pause_r : UInt<1>, dec_tlu_presync_d : UInt<1>, dec_tlu_postsync_d : UInt<1>, dec_tlu_perfcnt0 : UInt<1>, dec_tlu_perfcnt1 : UInt<1>, dec_tlu_perfcnt2 : UInt<1>, dec_tlu_perfcnt3 : UInt<1>, dec_tlu_i0_exc_valid_wb1 : UInt<1>, dec_tlu_i0_valid_wb1 : UInt<1>, dec_tlu_int_valid_wb1 : UInt<1>, dec_tlu_exc_cause_wb1 : UInt<5>, dec_tlu_mtval_wb1 : UInt<32>, dec_tlu_pipelining_disable : UInt<1>, dec_tlu_misc_clk_override : UInt<1>, dec_tlu_dec_clk_override : UInt<1>, dec_tlu_ifu_clk_override : UInt<1>, dec_tlu_lsu_clk_override : UInt<1>, dec_tlu_bus_clk_override : UInt<1>, dec_tlu_pic_clk_override : UInt<1>, dec_tlu_dccm_clk_override : UInt<1>, dec_tlu_icm_clk_override : UInt<1>, dec_tlu_flush_lower_wb : UInt<1>, flip ifu_pmu_instr_aligned : UInt<1>, flip tlu_bp : {flip dec_tlu_br0_r_pkt : {valid : UInt<1>, bits : {hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, way : UInt<1>, middle : UInt<1>}}, flip dec_tlu_flush_leak_one_wb : UInt<1>, flip dec_tlu_bpred_disable : UInt<1>}, flip tlu_ifc : {flip dec_tlu_flush_noredir_wb : UInt<1>, flip dec_tlu_mrac_ff : UInt<32>, ifu_pmu_fetch_stall : UInt<1>}, flip tlu_mem : {flip dec_tlu_flush_err_wb : UInt<1>, flip dec_tlu_i0_commit_cmt : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip dec_tlu_fence_i_wb : UInt<1>, flip dec_tlu_ic_diag_pkt : {icache_wrdata : UInt<71>, icache_dicawics : UInt<17>, icache_rd_valid : UInt<1>, icache_wr_valid : UInt<1>}, flip dec_tlu_core_ecc_disable : UInt<1>, ifu_pmu_ic_miss : UInt<1>, ifu_pmu_ic_hit : UInt<1>, ifu_pmu_bus_error : UInt<1>, ifu_pmu_bus_busy : UInt<1>, ifu_pmu_bus_trxn : UInt<1>, ifu_ic_error_start : UInt<1>, ifu_iccm_rd_ecc_single_err : UInt<1>, ifu_ic_debug_rd_data : UInt<71>, ifu_ic_debug_rd_data_valid : UInt<1>, ifu_miss_state_idle : UInt<1>}, flip tlu_busbuff : {lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>}, flip lsu_tlu : {lsu_pmu_load_external_m : UInt<1>, lsu_pmu_store_external_m : UInt<1>}, dec_pic : {flip pic_claimid : UInt<8>, flip pic_pl : UInt<4>, flip mhwakeup : UInt<1>, dec_tlu_meicurpl : UInt<4>, dec_tlu_meipt : UInt<4>, flip mexintpend : UInt<1>}} + + wire mtdata1_t : UInt<10>[4] @[dec_tlu_ctl.scala 156:67] + wire pause_expired_wb : UInt<1> + pause_expired_wb <= UInt<1>("h00") + wire take_nmi_r_d1 : UInt<1> + take_nmi_r_d1 <= UInt<1>("h00") + wire exc_or_int_valid_r_d1 : UInt<1> + exc_or_int_valid_r_d1 <= UInt<1>("h00") + wire interrupt_valid_r_d1 : UInt<1> + interrupt_valid_r_d1 <= UInt<1>("h00") + wire tlu_flush_lower_r : UInt<1> + tlu_flush_lower_r <= UInt<1>("h00") + wire synchronous_flush_r : UInt<1> + synchronous_flush_r <= UInt<1>("h00") + wire interrupt_valid_r : UInt<1> + interrupt_valid_r <= UInt<1>("h00") + wire take_nmi : UInt<1> + take_nmi <= UInt<1>("h00") + wire take_reset : UInt<1> + take_reset <= UInt<1>("h00") + wire take_int_timer1_int : UInt<1> + take_int_timer1_int <= UInt<1>("h00") + wire take_int_timer0_int : UInt<1> + take_int_timer0_int <= UInt<1>("h00") + wire take_timer_int : UInt<1> + take_timer_int <= UInt<1>("h00") + wire take_soft_int : UInt<1> + take_soft_int <= UInt<1>("h00") + wire take_ce_int : UInt<1> + take_ce_int <= UInt<1>("h00") + wire take_ext_int_start : UInt<1> + take_ext_int_start <= UInt<1>("h00") + wire ext_int_freeze : UInt<1> + ext_int_freeze <= UInt<1>("h00") + wire ext_int_freeze_d1 : UInt<1> + ext_int_freeze_d1 <= UInt<1>("h00") + wire take_ext_int_start_d1 : UInt<1> + take_ext_int_start_d1 <= UInt<1>("h00") + wire take_ext_int_start_d2 : UInt<1> + take_ext_int_start_d2 <= UInt<1>("h00") + wire take_ext_int_start_d3 : UInt<1> + take_ext_int_start_d3 <= UInt<1>("h00") + wire fast_int_meicpct : UInt<1> + fast_int_meicpct <= UInt<1>("h00") + wire ignore_ext_int_due_to_lsu_stall : UInt<1> + ignore_ext_int_due_to_lsu_stall <= UInt<1>("h00") + wire take_ext_int : UInt<1> + take_ext_int <= UInt<1>("h00") + wire internal_dbg_halt_timers : UInt<1> + internal_dbg_halt_timers <= UInt<1>("h00") + wire int_timer1_int_hold : UInt<1> + int_timer1_int_hold <= UInt<1>("h00") + wire int_timer0_int_hold : UInt<1> + int_timer0_int_hold <= UInt<1>("h00") + wire mhwakeup_ready : UInt<1> + mhwakeup_ready <= UInt<1>("h00") + wire ext_int_ready : UInt<1> + ext_int_ready <= UInt<1>("h00") + wire ce_int_ready : UInt<1> + ce_int_ready <= UInt<1>("h00") + wire soft_int_ready : UInt<1> + soft_int_ready <= UInt<1>("h00") + wire timer_int_ready : UInt<1> + timer_int_ready <= UInt<1>("h00") + wire ebreak_to_debug_mode_r_d1 : UInt<1> + ebreak_to_debug_mode_r_d1 <= UInt<1>("h00") + wire ebreak_to_debug_mode_r : UInt<1> + ebreak_to_debug_mode_r <= UInt<1>("h00") + wire inst_acc_r : UInt<1> + inst_acc_r <= UInt<1>("h00") + wire inst_acc_r_raw : UInt<1> + inst_acc_r_raw <= UInt<1>("h00") + wire iccm_sbecc_r : UInt<1> + iccm_sbecc_r <= UInt<1>("h00") + wire ic_perr_r : UInt<1> + ic_perr_r <= UInt<1>("h00") + wire fence_i_r : UInt<1> + fence_i_r <= UInt<1>("h00") + wire ebreak_r : UInt<1> + ebreak_r <= UInt<1>("h00") + wire ecall_r : UInt<1> + ecall_r <= UInt<1>("h00") + wire illegal_r : UInt<1> + illegal_r <= UInt<1>("h00") + wire mret_r : UInt<1> + mret_r <= UInt<1>("h00") + wire iccm_repair_state_ns : UInt<1> + iccm_repair_state_ns <= UInt<1>("h00") + wire rfpc_i0_r : UInt<1> + rfpc_i0_r <= UInt<1>("h00") + wire tlu_i0_kill_writeb_r : UInt<1> + tlu_i0_kill_writeb_r <= UInt<1>("h00") + wire lsu_exc_valid_r_d1 : UInt<1> + lsu_exc_valid_r_d1 <= UInt<1>("h00") + wire lsu_i0_exc_r_raw : UInt<1> + lsu_i0_exc_r_raw <= UInt<1>("h00") + wire mdseac_locked_f : UInt<1> + mdseac_locked_f <= UInt<1>("h00") + wire i_cpu_run_req_d1 : UInt<1> + i_cpu_run_req_d1 <= UInt<1>("h00") + wire cpu_run_ack : UInt<1> + cpu_run_ack <= UInt<1>("h00") + wire cpu_halt_status : UInt<1> + cpu_halt_status <= UInt<1>("h00") + wire cpu_halt_ack : UInt<1> + cpu_halt_ack <= UInt<1>("h00") + wire pmu_fw_tlu_halted : UInt<1> + pmu_fw_tlu_halted <= UInt<1>("h00") + wire internal_pmu_fw_halt_mode : UInt<1> + internal_pmu_fw_halt_mode <= UInt<1>("h00") + wire pmu_fw_halt_req_ns : UInt<1> + pmu_fw_halt_req_ns <= UInt<1>("h00") + wire pmu_fw_halt_req_f : UInt<1> + pmu_fw_halt_req_f <= UInt<1>("h00") + wire pmu_fw_tlu_halted_f : UInt<1> + pmu_fw_tlu_halted_f <= UInt<1>("h00") + wire int_timer0_int_hold_f : UInt<1> + int_timer0_int_hold_f <= UInt<1>("h00") + wire int_timer1_int_hold_f : UInt<1> + int_timer1_int_hold_f <= UInt<1>("h00") + wire trigger_hit_dmode_r : UInt<1> + trigger_hit_dmode_r <= UInt<1>("h00") + wire i0_trigger_hit_r : UInt<1> + i0_trigger_hit_r <= UInt<1>("h00") + wire pause_expired_r : UInt<1> + pause_expired_r <= UInt<1>("h00") + wire dec_tlu_pmu_fw_halted : UInt<1> + dec_tlu_pmu_fw_halted <= UInt<1>("h00") + wire dec_tlu_flush_noredir_r_d1 : UInt<1> + dec_tlu_flush_noredir_r_d1 <= UInt<1>("h00") + wire halt_taken_f : UInt<1> + halt_taken_f <= UInt<1>("h00") + wire lsu_idle_any_f : UInt<1> + lsu_idle_any_f <= UInt<1>("h00") + wire ifu_miss_state_idle_f : UInt<1> + ifu_miss_state_idle_f <= UInt<1>("h00") + wire dbg_tlu_halted_f : UInt<1> + dbg_tlu_halted_f <= UInt<1>("h00") + wire debug_halt_req_f : UInt<1> + debug_halt_req_f <= UInt<1>("h00") + wire debug_resume_req_f : UInt<1> + debug_resume_req_f <= UInt<1>("h00") + wire trigger_hit_dmode_r_d1 : UInt<1> + trigger_hit_dmode_r_d1 <= UInt<1>("h00") + wire dcsr_single_step_done_f : UInt<1> + dcsr_single_step_done_f <= UInt<1>("h00") + wire debug_halt_req_d1 : UInt<1> + debug_halt_req_d1 <= UInt<1>("h00") + wire request_debug_mode_r_d1 : UInt<1> + request_debug_mode_r_d1 <= UInt<1>("h00") + wire request_debug_mode_done_f : UInt<1> + request_debug_mode_done_f <= UInt<1>("h00") + wire dcsr_single_step_running_f : UInt<1> + dcsr_single_step_running_f <= UInt<1>("h00") + wire dec_tlu_flush_pause_r_d1 : UInt<1> + dec_tlu_flush_pause_r_d1 <= UInt<1>("h00") + wire dbg_halt_req_held : UInt<1> + dbg_halt_req_held <= UInt<1>("h00") + wire debug_halt_req_ns : UInt<1> + debug_halt_req_ns <= UInt<1>("h00") + wire internal_dbg_halt_mode : UInt<1> + internal_dbg_halt_mode <= UInt<1>("h00") + wire core_empty : UInt<1> + core_empty <= UInt<1>("h00") + wire dbg_halt_req_final : UInt<1> + dbg_halt_req_final <= UInt<1>("h00") + wire debug_brkpt_status_ns : UInt<1> + debug_brkpt_status_ns <= UInt<1>("h00") + wire mpc_debug_halt_ack_ns : UInt<1> + mpc_debug_halt_ack_ns <= UInt<1>("h00") + wire mpc_debug_run_ack_ns : UInt<1> + mpc_debug_run_ack_ns <= UInt<1>("h00") + wire mpc_halt_state_ns : UInt<1> + mpc_halt_state_ns <= UInt<1>("h00") + wire mpc_run_state_ns : UInt<1> + mpc_run_state_ns <= UInt<1>("h00") + wire dbg_halt_state_ns : UInt<1> + dbg_halt_state_ns <= UInt<1>("h00") + wire dbg_run_state_ns : UInt<1> + dbg_run_state_ns <= UInt<1>("h00") + wire dbg_halt_state_f : UInt<1> + dbg_halt_state_f <= UInt<1>("h00") + wire mpc_halt_state_f : UInt<1> + mpc_halt_state_f <= UInt<1>("h00") + wire nmi_int_detected : UInt<1> + nmi_int_detected <= UInt<1>("h00") + wire nmi_lsu_load_type : UInt<1> + nmi_lsu_load_type <= UInt<1>("h00") + wire nmi_lsu_store_type : UInt<1> + nmi_lsu_store_type <= UInt<1>("h00") + wire reset_delayed : UInt<1> + reset_delayed <= UInt<1>("h00") + wire debug_mode_status : UInt<1> + debug_mode_status <= UInt<1>("h00") + wire e5_valid : UInt<1> + e5_valid <= UInt<1>("h00") + wire ic_perr_r_d1 : UInt<1> + ic_perr_r_d1 <= UInt<1>("h00") + wire iccm_sbecc_r_d1 : UInt<1> + iccm_sbecc_r_d1 <= UInt<1>("h00") + wire npc_r : UInt<31> + npc_r <= UInt<1>("h00") + wire npc_r_d1 : UInt<31> + npc_r_d1 <= UInt<1>("h00") + wire mie_ns : UInt<6> + mie_ns <= UInt<1>("h00") + wire mepc : UInt<31> + mepc <= UInt<1>("h00") + wire mdseac_locked_ns : UInt<1> + mdseac_locked_ns <= UInt<1>("h00") + wire force_halt : UInt<1> + force_halt <= UInt<1>("h00") + wire dpc : UInt<31> + dpc <= UInt<1>("h00") + wire mstatus_mie_ns : UInt<1> + mstatus_mie_ns <= UInt<1>("h00") + wire dec_csr_wen_r_mod : UInt<1> + dec_csr_wen_r_mod <= UInt<1>("h00") + wire fw_halt_req : UInt<1> + fw_halt_req <= UInt<1>("h00") + wire mstatus : UInt<2> + mstatus <= UInt<1>("h00") + wire dcsr : UInt<16> + dcsr <= UInt<1>("h00") + wire mtvec : UInt<31> + mtvec <= UInt<1>("h00") + wire mip : UInt<6> + mip <= UInt<1>("h00") + wire csr_pkt : {csr_misa : UInt<1>, csr_mvendorid : UInt<1>, csr_marchid : UInt<1>, csr_mimpid : UInt<1>, csr_mhartid : UInt<1>, csr_mstatus : UInt<1>, csr_mtvec : UInt<1>, csr_mip : UInt<1>, csr_mie : UInt<1>, csr_mcyclel : UInt<1>, csr_mcycleh : UInt<1>, csr_minstretl : UInt<1>, csr_minstreth : UInt<1>, csr_mscratch : UInt<1>, csr_mepc : UInt<1>, csr_mcause : UInt<1>, csr_mscause : UInt<1>, csr_mtval : UInt<1>, csr_mrac : UInt<1>, csr_dmst : UInt<1>, csr_mdseac : UInt<1>, csr_meihap : UInt<1>, csr_meivt : UInt<1>, csr_meipt : UInt<1>, csr_meicurpl : UInt<1>, csr_meicidpl : UInt<1>, csr_dcsr : UInt<1>, csr_mcgc : UInt<1>, csr_mfdc : UInt<1>, csr_dpc : UInt<1>, csr_mtsel : UInt<1>, csr_mtdata1 : UInt<1>, csr_mtdata2 : UInt<1>, csr_mhpmc3 : UInt<1>, csr_mhpmc4 : UInt<1>, csr_mhpmc5 : UInt<1>, csr_mhpmc6 : UInt<1>, csr_mhpmc3h : UInt<1>, csr_mhpmc4h : UInt<1>, csr_mhpmc5h : UInt<1>, csr_mhpmc6h : UInt<1>, csr_mhpme3 : UInt<1>, csr_mhpme4 : UInt<1>, csr_mhpme5 : UInt<1>, csr_mhpme6 : UInt<1>, csr_mcountinhibit : UInt<1>, csr_mitctl0 : UInt<1>, csr_mitctl1 : UInt<1>, csr_mitb0 : UInt<1>, csr_mitb1 : UInt<1>, csr_mitcnt0 : UInt<1>, csr_mitcnt1 : UInt<1>, csr_mpmc : UInt<1>, csr_mcpc : UInt<1>, csr_meicpct : UInt<1>, csr_mdeau : UInt<1>, csr_micect : UInt<1>, csr_miccmect : UInt<1>, csr_mdccmect : UInt<1>, csr_mfdht : UInt<1>, csr_mfdhs : UInt<1>, csr_dicawics : UInt<1>, csr_dicad0h : UInt<1>, csr_dicad0 : UInt<1>, csr_dicad1 : UInt<1>, csr_dicago : UInt<1>, presync : UInt<1>, postsync : UInt<1>, legal : UInt<1>} @[dec_tlu_ctl.scala 271:41] + wire dec_tlu_mpc_halted_only_ns : UInt<1> + dec_tlu_mpc_halted_only_ns <= UInt<1>("h00") + node _T = not(dbg_halt_state_f) @[dec_tlu_ctl.scala 274:39] + node _T_1 = and(_T, mpc_halt_state_f) @[dec_tlu_ctl.scala 274:57] + dec_tlu_mpc_halted_only_ns <= _T_1 @[dec_tlu_ctl.scala 274:36] + inst int_timers of dec_timer_ctl @[dec_tlu_ctl.scala 275:30] + int_timers.clock <= clock + int_timers.reset <= reset + int_timers.io.free_clk <= io.free_clk @[dec_tlu_ctl.scala 276:57] + int_timers.io.scan_mode <= io.scan_mode @[dec_tlu_ctl.scala 277:57] + int_timers.io.dec_csr_wen_r_mod <= dec_csr_wen_r_mod @[dec_tlu_ctl.scala 278:49] + int_timers.io.dec_csr_rdaddr_d <= io.dec_csr_rdaddr_d @[dec_tlu_ctl.scala 279:49] + int_timers.io.dec_csr_wraddr_r <= io.dec_csr_wraddr_r @[dec_tlu_ctl.scala 280:49] + int_timers.io.dec_csr_wrdata_r <= io.dec_csr_wrdata_r @[dec_tlu_ctl.scala 281:49] + int_timers.io.csr_mitctl0 <= csr_pkt.csr_mitctl0 @[dec_tlu_ctl.scala 282:57] + int_timers.io.csr_mitctl1 <= csr_pkt.csr_mitctl1 @[dec_tlu_ctl.scala 283:57] + int_timers.io.csr_mitb0 <= csr_pkt.csr_mitb0 @[dec_tlu_ctl.scala 284:57] + int_timers.io.csr_mitb1 <= csr_pkt.csr_mitb1 @[dec_tlu_ctl.scala 285:57] + int_timers.io.csr_mitcnt0 <= csr_pkt.csr_mitcnt0 @[dec_tlu_ctl.scala 286:57] + int_timers.io.csr_mitcnt1 <= csr_pkt.csr_mitcnt1 @[dec_tlu_ctl.scala 287:57] + int_timers.io.dec_pause_state <= io.dec_pause_state @[dec_tlu_ctl.scala 288:49] + int_timers.io.dec_tlu_pmu_fw_halted <= dec_tlu_pmu_fw_halted @[dec_tlu_ctl.scala 289:49] + int_timers.io.internal_dbg_halt_timers <= internal_dbg_halt_timers @[dec_tlu_ctl.scala 290:47] + node _T_2 = cat(io.i_cpu_run_req, io.mpc_debug_halt_req) @[Cat.scala 29:58] + node _T_3 = cat(_T_2, io.mpc_debug_run_req) @[Cat.scala 29:58] + node _T_4 = cat(io.soft_int, io.i_cpu_halt_req) @[Cat.scala 29:58] + node _T_5 = cat(io.nmi_int, io.timer_int) @[Cat.scala 29:58] + node _T_6 = cat(_T_5, _T_4) @[Cat.scala 29:58] + node _T_7 = cat(_T_6, _T_3) @[Cat.scala 29:58] + reg _T_8 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 37:81] + _T_8 <= _T_7 @[lib.scala 37:81] + reg syncro_ff : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 37:58] + syncro_ff <= _T_8 @[lib.scala 37:58] + node nmi_int_sync = bits(syncro_ff, 6, 6) @[dec_tlu_ctl.scala 302:67] + node timer_int_sync = bits(syncro_ff, 5, 5) @[dec_tlu_ctl.scala 303:59] + node soft_int_sync = bits(syncro_ff, 4, 4) @[dec_tlu_ctl.scala 304:59] + node i_cpu_halt_req_sync = bits(syncro_ff, 3, 3) @[dec_tlu_ctl.scala 305:59] + node i_cpu_run_req_sync = bits(syncro_ff, 2, 2) @[dec_tlu_ctl.scala 306:59] + node mpc_debug_halt_req_sync_raw = bits(syncro_ff, 1, 1) @[dec_tlu_ctl.scala 307:51] + node mpc_debug_run_req_sync = bits(syncro_ff, 0, 0) @[dec_tlu_ctl.scala 308:51] + node _T_9 = or(dec_csr_wen_r_mod, io.dec_tlu_dec_clk_override) @[dec_tlu_ctl.scala 311:58] + node _T_10 = bits(_T_9, 0, 0) @[dec_tlu_ctl.scala 311:74] + inst rvclkhdr of rvclkhdr_55 @[lib.scala 343:22] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 344:17] + rvclkhdr.io.en <= _T_10 @[lib.scala 345:16] + rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + node _T_11 = or(io.lsu_error_pkt_r.valid, lsu_exc_valid_r_d1) @[dec_tlu_ctl.scala 312:67] + node _T_12 = or(_T_11, io.dec_tlu_dec_clk_override) @[dec_tlu_ctl.scala 312:88] + node _T_13 = bits(_T_12, 0, 0) @[dec_tlu_ctl.scala 312:104] + inst rvclkhdr_1 of rvclkhdr_56 @[lib.scala 343:22] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_1.io.en <= _T_13 @[lib.scala 345:16] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + node e4e5_valid = or(io.dec_tlu_i0_valid_r, e5_valid) @[dec_tlu_ctl.scala 315:30] + node _T_14 = or(debug_mode_status, i_cpu_run_req_d1) @[dec_tlu_ctl.scala 316:50] + node _T_15 = or(_T_14, interrupt_valid_r) @[dec_tlu_ctl.scala 316:69] + node _T_16 = or(_T_15, interrupt_valid_r_d1) @[dec_tlu_ctl.scala 316:89] + node _T_17 = or(_T_16, reset_delayed) @[dec_tlu_ctl.scala 316:112] + node _T_18 = or(_T_17, pause_expired_r) @[dec_tlu_ctl.scala 316:128] + node _T_19 = or(_T_18, pause_expired_wb) @[dec_tlu_ctl.scala 316:146] + node _T_20 = or(_T_19, ic_perr_r) @[dec_tlu_ctl.scala 316:165] + node _T_21 = or(_T_20, ic_perr_r_d1) @[dec_tlu_ctl.scala 316:177] + node _T_22 = or(_T_21, iccm_sbecc_r) @[dec_tlu_ctl.scala 316:192] + node _T_23 = or(_T_22, iccm_sbecc_r_d1) @[dec_tlu_ctl.scala 316:207] + node flush_clkvalid = or(_T_23, io.dec_tlu_dec_clk_override) @[dec_tlu_ctl.scala 316:225] + node _T_24 = or(e4e5_valid, io.dec_tlu_dec_clk_override) @[dec_tlu_ctl.scala 318:49] + node _T_25 = bits(_T_24, 0, 0) @[dec_tlu_ctl.scala 318:65] + inst rvclkhdr_2 of rvclkhdr_57 @[lib.scala 343:22] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_2.io.en <= _T_25 @[lib.scala 345:16] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + node _T_26 = or(e4e5_valid, flush_clkvalid) @[dec_tlu_ctl.scala 319:53] + node _T_27 = bits(_T_26, 0, 0) @[dec_tlu_ctl.scala 319:71] + inst rvclkhdr_3 of rvclkhdr_58 @[lib.scala 343:22] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_3.io.en <= _T_27 @[lib.scala 345:16] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + reg iccm_repair_state_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 321:80] + iccm_repair_state_d1 <= iccm_repair_state_ns @[dec_tlu_ctl.scala 321:80] + reg _T_28 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 322:89] + _T_28 <= ic_perr_r @[dec_tlu_ctl.scala 322:89] + ic_perr_r_d1 <= _T_28 @[dec_tlu_ctl.scala 322:57] + reg _T_29 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 323:89] + _T_29 <= iccm_sbecc_r @[dec_tlu_ctl.scala 323:89] + iccm_sbecc_r_d1 <= _T_29 @[dec_tlu_ctl.scala 323:57] + reg _T_30 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 324:97] + _T_30 <= io.dec_tlu_i0_valid_r @[dec_tlu_ctl.scala 324:97] + e5_valid <= _T_30 @[dec_tlu_ctl.scala 324:65] + reg _T_31 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 325:81] + _T_31 <= internal_dbg_halt_mode @[dec_tlu_ctl.scala 325:81] + debug_mode_status <= _T_31 @[dec_tlu_ctl.scala 325:49] + reg lsu_pmu_load_external_r : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 326:80] + lsu_pmu_load_external_r <= io.lsu_tlu.lsu_pmu_load_external_m @[dec_tlu_ctl.scala 326:80] + reg lsu_pmu_store_external_r : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 327:72] + lsu_pmu_store_external_r <= io.lsu_tlu.lsu_pmu_store_external_m @[dec_tlu_ctl.scala 327:72] + reg tlu_flush_lower_r_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 328:80] + tlu_flush_lower_r_d1 <= tlu_flush_lower_r @[dec_tlu_ctl.scala 328:80] + reg _T_32 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 329:73] + _T_32 <= tlu_i0_kill_writeb_r @[dec_tlu_ctl.scala 329:73] + io.dec_tlu_i0_kill_writeb_wb <= _T_32 @[dec_tlu_ctl.scala 329:41] + reg internal_dbg_halt_mode_f2 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 330:72] + internal_dbg_halt_mode_f2 <= debug_mode_status @[dec_tlu_ctl.scala 330:72] + reg _T_33 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 331:89] + _T_33 <= force_halt @[dec_tlu_ctl.scala 331:89] + io.tlu_mem.dec_tlu_force_halt <= _T_33 @[dec_tlu_ctl.scala 331:57] + io.dec_tlu_i0_kill_writeb_r <= tlu_i0_kill_writeb_r @[dec_tlu_ctl.scala 333:41] + reg reset_detect : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 334:88] + reset_detect <= UInt<1>("h01") @[dec_tlu_ctl.scala 334:88] + reg reset_detected : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 335:88] + reset_detected <= reset_detect @[dec_tlu_ctl.scala 335:88] + node _T_34 = xor(reset_detect, reset_detected) @[dec_tlu_ctl.scala 336:64] + reset_delayed <= _T_34 @[dec_tlu_ctl.scala 336:49] + reg nmi_int_delayed : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 338:72] + nmi_int_delayed <= nmi_int_sync @[dec_tlu_ctl.scala 338:72] + reg nmi_int_detected_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 339:72] + nmi_int_detected_f <= nmi_int_detected @[dec_tlu_ctl.scala 339:72] + reg nmi_lsu_load_type_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 340:72] + nmi_lsu_load_type_f <= nmi_lsu_load_type @[dec_tlu_ctl.scala 340:72] + reg nmi_lsu_store_type_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 341:72] + nmi_lsu_store_type_f <= nmi_lsu_store_type @[dec_tlu_ctl.scala 341:72] + node _T_35 = not(mdseac_locked_f) @[dec_tlu_ctl.scala 345:32] + node _T_36 = or(io.tlu_busbuff.lsu_imprecise_error_load_any, io.tlu_busbuff.lsu_imprecise_error_store_any) @[dec_tlu_ctl.scala 345:96] + node nmi_lsu_detected = and(_T_35, _T_36) @[dec_tlu_ctl.scala 345:49] + node _T_37 = not(nmi_int_delayed) @[dec_tlu_ctl.scala 347:45] + node _T_38 = and(nmi_int_sync, _T_37) @[dec_tlu_ctl.scala 347:43] + node _T_39 = or(_T_38, nmi_lsu_detected) @[dec_tlu_ctl.scala 347:63] + node _T_40 = not(take_nmi_r_d1) @[dec_tlu_ctl.scala 347:106] + node _T_41 = and(nmi_int_detected_f, _T_40) @[dec_tlu_ctl.scala 347:104] + node _T_42 = or(_T_39, _T_41) @[dec_tlu_ctl.scala 347:82] + node _T_43 = orr(io.lsu_fir_error) @[dec_tlu_ctl.scala 347:165] + node _T_44 = and(take_ext_int_start_d3, _T_43) @[dec_tlu_ctl.scala 347:146] + node _T_45 = or(_T_42, _T_44) @[dec_tlu_ctl.scala 347:122] + nmi_int_detected <= _T_45 @[dec_tlu_ctl.scala 347:26] + node _T_46 = and(nmi_lsu_detected, io.tlu_busbuff.lsu_imprecise_error_load_any) @[dec_tlu_ctl.scala 349:48] + node _T_47 = not(take_nmi_r_d1) @[dec_tlu_ctl.scala 349:119] + node _T_48 = and(nmi_int_detected_f, _T_47) @[dec_tlu_ctl.scala 349:117] + node _T_49 = not(_T_48) @[dec_tlu_ctl.scala 349:96] + node _T_50 = and(_T_46, _T_49) @[dec_tlu_ctl.scala 349:94] + node _T_51 = not(take_nmi_r_d1) @[dec_tlu_ctl.scala 349:161] + node _T_52 = and(nmi_lsu_load_type_f, _T_51) @[dec_tlu_ctl.scala 349:159] + node _T_53 = or(_T_50, _T_52) @[dec_tlu_ctl.scala 349:136] + nmi_lsu_load_type <= _T_53 @[dec_tlu_ctl.scala 349:27] + node _T_54 = and(nmi_lsu_detected, io.tlu_busbuff.lsu_imprecise_error_store_any) @[dec_tlu_ctl.scala 350:49] + node _T_55 = not(take_nmi_r_d1) @[dec_tlu_ctl.scala 350:121] + node _T_56 = and(nmi_int_detected_f, _T_55) @[dec_tlu_ctl.scala 350:119] + node _T_57 = not(_T_56) @[dec_tlu_ctl.scala 350:98] + node _T_58 = and(_T_54, _T_57) @[dec_tlu_ctl.scala 350:96] + node _T_59 = not(take_nmi_r_d1) @[dec_tlu_ctl.scala 350:164] + node _T_60 = and(nmi_lsu_store_type_f, _T_59) @[dec_tlu_ctl.scala 350:162] + node _T_61 = or(_T_58, _T_60) @[dec_tlu_ctl.scala 350:138] + nmi_lsu_store_type <= _T_61 @[dec_tlu_ctl.scala 350:28] + node _T_62 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 357:69] + node mpc_debug_halt_req_sync = and(mpc_debug_halt_req_sync_raw, _T_62) @[dec_tlu_ctl.scala 357:67] + reg mpc_debug_halt_req_sync_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 358:72] + mpc_debug_halt_req_sync_f <= mpc_debug_halt_req_sync @[dec_tlu_ctl.scala 358:72] + reg mpc_debug_run_req_sync_f : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 359:72] + mpc_debug_run_req_sync_f <= mpc_debug_run_req_sync @[dec_tlu_ctl.scala 359:72] + reg _T_63 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 360:89] + _T_63 <= mpc_halt_state_ns @[dec_tlu_ctl.scala 360:89] + mpc_halt_state_f <= _T_63 @[dec_tlu_ctl.scala 360:57] + reg mpc_run_state_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 361:88] + mpc_run_state_f <= mpc_run_state_ns @[dec_tlu_ctl.scala 361:88] + reg debug_brkpt_status_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 362:80] + debug_brkpt_status_f <= debug_brkpt_status_ns @[dec_tlu_ctl.scala 362:80] + reg mpc_debug_halt_ack_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 363:80] + mpc_debug_halt_ack_f <= mpc_debug_halt_ack_ns @[dec_tlu_ctl.scala 363:80] + reg mpc_debug_run_ack_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 364:80] + mpc_debug_run_ack_f <= mpc_debug_run_ack_ns @[dec_tlu_ctl.scala 364:80] + reg _T_64 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 365:89] + _T_64 <= dbg_halt_state_ns @[dec_tlu_ctl.scala 365:89] + dbg_halt_state_f <= _T_64 @[dec_tlu_ctl.scala 365:57] + reg dbg_run_state_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 366:88] + dbg_run_state_f <= dbg_run_state_ns @[dec_tlu_ctl.scala 366:88] + reg _T_65 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 367:81] + _T_65 <= dec_tlu_mpc_halted_only_ns @[dec_tlu_ctl.scala 367:81] + io.dec_tlu_mpc_halted_only <= _T_65 @[dec_tlu_ctl.scala 367:49] + node _T_66 = not(mpc_debug_halt_req_sync_f) @[dec_tlu_ctl.scala 371:71] + node mpc_debug_halt_req_sync_pulse = and(mpc_debug_halt_req_sync, _T_66) @[dec_tlu_ctl.scala 371:69] + node _T_67 = not(mpc_debug_run_req_sync_f) @[dec_tlu_ctl.scala 372:70] + node mpc_debug_run_req_sync_pulse = and(mpc_debug_run_req_sync, _T_67) @[dec_tlu_ctl.scala 372:68] + node _T_68 = or(mpc_halt_state_f, mpc_debug_halt_req_sync_pulse) @[dec_tlu_ctl.scala 374:48] + node _T_69 = not(io.mpc_reset_run_req) @[dec_tlu_ctl.scala 374:99] + node _T_70 = and(reset_delayed, _T_69) @[dec_tlu_ctl.scala 374:97] + node _T_71 = or(_T_68, _T_70) @[dec_tlu_ctl.scala 374:80] + node _T_72 = not(mpc_debug_run_req_sync) @[dec_tlu_ctl.scala 374:125] + node _T_73 = and(_T_71, _T_72) @[dec_tlu_ctl.scala 374:123] + mpc_halt_state_ns <= _T_73 @[dec_tlu_ctl.scala 374:27] + node _T_74 = not(mpc_debug_run_ack_f) @[dec_tlu_ctl.scala 375:80] + node _T_75 = and(mpc_debug_run_req_sync_pulse, _T_74) @[dec_tlu_ctl.scala 375:78] + node _T_76 = or(mpc_run_state_f, _T_75) @[dec_tlu_ctl.scala 375:46] + node _T_77 = not(dcsr_single_step_running_f) @[dec_tlu_ctl.scala 375:133] + node _T_78 = and(debug_mode_status, _T_77) @[dec_tlu_ctl.scala 375:131] + node _T_79 = and(_T_76, _T_78) @[dec_tlu_ctl.scala 375:103] + mpc_run_state_ns <= _T_79 @[dec_tlu_ctl.scala 375:26] + node _T_80 = or(dbg_halt_req_final, dcsr_single_step_done_f) @[dec_tlu_ctl.scala 377:70] + node _T_81 = or(_T_80, trigger_hit_dmode_r_d1) @[dec_tlu_ctl.scala 377:96] + node _T_82 = or(_T_81, ebreak_to_debug_mode_r_d1) @[dec_tlu_ctl.scala 377:121] + node _T_83 = or(dbg_halt_state_f, _T_82) @[dec_tlu_ctl.scala 377:48] + node _T_84 = not(io.dbg_resume_req) @[dec_tlu_ctl.scala 377:153] + node _T_85 = and(_T_83, _T_84) @[dec_tlu_ctl.scala 377:151] + dbg_halt_state_ns <= _T_85 @[dec_tlu_ctl.scala 377:27] + node _T_86 = or(dbg_run_state_f, io.dbg_resume_req) @[dec_tlu_ctl.scala 378:46] + node _T_87 = not(dcsr_single_step_running_f) @[dec_tlu_ctl.scala 378:97] + node _T_88 = and(debug_mode_status, _T_87) @[dec_tlu_ctl.scala 378:95] + node _T_89 = and(_T_86, _T_88) @[dec_tlu_ctl.scala 378:67] + dbg_run_state_ns <= _T_89 @[dec_tlu_ctl.scala 378:26] + node _T_90 = not(dbg_halt_state_f) @[dec_tlu_ctl.scala 381:39] + node _T_91 = and(_T_90, mpc_halt_state_f) @[dec_tlu_ctl.scala 381:57] + dec_tlu_mpc_halted_only_ns <= _T_91 @[dec_tlu_ctl.scala 381:36] + node debug_brkpt_valid = or(ebreak_to_debug_mode_r_d1, trigger_hit_dmode_r_d1) @[dec_tlu_ctl.scala 384:59] + node _T_92 = or(debug_brkpt_valid, debug_brkpt_status_f) @[dec_tlu_ctl.scala 385:53] + node _T_93 = not(dcsr_single_step_running_f) @[dec_tlu_ctl.scala 385:105] + node _T_94 = and(internal_dbg_halt_mode, _T_93) @[dec_tlu_ctl.scala 385:103] + node _T_95 = and(_T_92, _T_94) @[dec_tlu_ctl.scala 385:77] + debug_brkpt_status_ns <= _T_95 @[dec_tlu_ctl.scala 385:31] + node _T_96 = and(mpc_halt_state_f, debug_mode_status) @[dec_tlu_ctl.scala 388:51] + node _T_97 = and(_T_96, mpc_debug_halt_req_sync) @[dec_tlu_ctl.scala 388:78] + node _T_98 = and(_T_97, core_empty) @[dec_tlu_ctl.scala 388:104] + mpc_debug_halt_ack_ns <= _T_98 @[dec_tlu_ctl.scala 388:31] + node _T_99 = not(dbg_halt_state_ns) @[dec_tlu_ctl.scala 389:59] + node _T_100 = and(mpc_debug_run_req_sync, _T_99) @[dec_tlu_ctl.scala 389:57] + node _T_101 = not(mpc_debug_halt_req_sync) @[dec_tlu_ctl.scala 389:80] + node _T_102 = and(_T_100, _T_101) @[dec_tlu_ctl.scala 389:78] + node _T_103 = and(mpc_debug_run_ack_f, mpc_debug_run_req_sync) @[dec_tlu_ctl.scala 389:129] + node _T_104 = or(_T_102, _T_103) @[dec_tlu_ctl.scala 389:106] + mpc_debug_run_ack_ns <= _T_104 @[dec_tlu_ctl.scala 389:30] + io.mpc_debug_halt_ack <= mpc_debug_halt_ack_f @[dec_tlu_ctl.scala 392:31] + io.mpc_debug_run_ack <= mpc_debug_run_ack_f @[dec_tlu_ctl.scala 393:31] + io.debug_brkpt_status <= debug_brkpt_status_f @[dec_tlu_ctl.scala 394:31] + node _T_105 = or(io.dbg_halt_req, dbg_halt_req_held) @[dec_tlu_ctl.scala 397:53] + node dbg_halt_req_held_ns = and(_T_105, ext_int_freeze_d1) @[dec_tlu_ctl.scala 397:74] + node _T_106 = or(io.dbg_halt_req, dbg_halt_req_held) @[dec_tlu_ctl.scala 398:48] + node _T_107 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 398:71] + node _T_108 = and(_T_106, _T_107) @[dec_tlu_ctl.scala 398:69] + dbg_halt_req_final <= _T_108 @[dec_tlu_ctl.scala 398:28] + node _T_109 = or(dbg_halt_req_final, mpc_debug_halt_req_sync) @[dec_tlu_ctl.scala 401:50] + node _T_110 = not(io.mpc_reset_run_req) @[dec_tlu_ctl.scala 401:95] + node _T_111 = and(reset_delayed, _T_110) @[dec_tlu_ctl.scala 401:93] + node _T_112 = or(_T_109, _T_111) @[dec_tlu_ctl.scala 401:76] + node _T_113 = not(debug_mode_status) @[dec_tlu_ctl.scala 401:121] + node _T_114 = and(_T_112, _T_113) @[dec_tlu_ctl.scala 401:119] + node _T_115 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 401:149] + node debug_halt_req = and(_T_114, _T_115) @[dec_tlu_ctl.scala 401:147] + node _T_116 = not(debug_resume_req_f) @[dec_tlu_ctl.scala 403:32] + node _T_117 = not(dbg_halt_state_ns) @[dec_tlu_ctl.scala 403:75] + node _T_118 = and(mpc_run_state_ns, _T_117) @[dec_tlu_ctl.scala 403:73] + node _T_119 = not(mpc_halt_state_ns) @[dec_tlu_ctl.scala 403:117] + node _T_120 = and(dbg_run_state_ns, _T_119) @[dec_tlu_ctl.scala 403:115] + node _T_121 = or(_T_118, _T_120) @[dec_tlu_ctl.scala 403:95] + node debug_resume_req = and(_T_116, _T_121) @[dec_tlu_ctl.scala 403:52] + node _T_122 = or(debug_halt_req_f, pmu_fw_halt_req_f) @[dec_tlu_ctl.scala 408:43] + node _T_123 = not(synchronous_flush_r) @[dec_tlu_ctl.scala 408:66] + node _T_124 = and(_T_122, _T_123) @[dec_tlu_ctl.scala 408:64] + node _T_125 = not(mret_r) @[dec_tlu_ctl.scala 408:89] + node _T_126 = and(_T_124, _T_125) @[dec_tlu_ctl.scala 408:87] + node _T_127 = not(halt_taken_f) @[dec_tlu_ctl.scala 408:99] + node _T_128 = and(_T_126, _T_127) @[dec_tlu_ctl.scala 408:97] + node _T_129 = not(dec_tlu_flush_noredir_r_d1) @[dec_tlu_ctl.scala 408:115] + node _T_130 = and(_T_128, _T_129) @[dec_tlu_ctl.scala 408:113] + node _T_131 = not(take_reset) @[dec_tlu_ctl.scala 408:145] + node take_halt = and(_T_130, _T_131) @[dec_tlu_ctl.scala 408:143] + node _T_132 = not(dec_tlu_flush_pause_r_d1) @[dec_tlu_ctl.scala 411:56] + node _T_133 = and(dec_tlu_flush_noredir_r_d1, _T_132) @[dec_tlu_ctl.scala 411:54] + node _T_134 = not(take_ext_int_start_d1) @[dec_tlu_ctl.scala 411:84] + node _T_135 = and(_T_133, _T_134) @[dec_tlu_ctl.scala 411:82] + node _T_136 = not(dbg_tlu_halted_f) @[dec_tlu_ctl.scala 411:126] + node _T_137 = and(halt_taken_f, _T_136) @[dec_tlu_ctl.scala 411:124] + node _T_138 = not(pmu_fw_tlu_halted_f) @[dec_tlu_ctl.scala 411:146] + node _T_139 = and(_T_137, _T_138) @[dec_tlu_ctl.scala 411:144] + node _T_140 = not(interrupt_valid_r_d1) @[dec_tlu_ctl.scala 411:169] + node _T_141 = and(_T_139, _T_140) @[dec_tlu_ctl.scala 411:167] + node halt_taken = or(_T_135, _T_141) @[dec_tlu_ctl.scala 411:108] + node _T_142 = and(io.lsu_idle_any, lsu_idle_any_f) @[dec_tlu_ctl.scala 415:53] + node _T_143 = and(_T_142, io.tlu_mem.ifu_miss_state_idle) @[dec_tlu_ctl.scala 415:70] + node _T_144 = and(_T_143, ifu_miss_state_idle_f) @[dec_tlu_ctl.scala 415:103] + node _T_145 = not(debug_halt_req) @[dec_tlu_ctl.scala 415:129] + node _T_146 = and(_T_144, _T_145) @[dec_tlu_ctl.scala 415:127] + node _T_147 = not(debug_halt_req_d1) @[dec_tlu_ctl.scala 415:147] + node _T_148 = and(_T_146, _T_147) @[dec_tlu_ctl.scala 415:145] + node _T_149 = not(io.dec_div_active) @[dec_tlu_ctl.scala 415:168] + node _T_150 = and(_T_148, _T_149) @[dec_tlu_ctl.scala 415:166] + node _T_151 = or(force_halt, _T_150) @[dec_tlu_ctl.scala 415:34] + core_empty <= _T_151 @[dec_tlu_ctl.scala 415:20] + node _T_152 = not(debug_mode_status) @[dec_tlu_ctl.scala 421:37] + node _T_153 = and(_T_152, debug_halt_req) @[dec_tlu_ctl.scala 421:63] + node _T_154 = or(_T_153, dcsr_single_step_done_f) @[dec_tlu_ctl.scala 421:81] + node _T_155 = or(_T_154, trigger_hit_dmode_r_d1) @[dec_tlu_ctl.scala 421:107] + node enter_debug_halt_req = or(_T_155, ebreak_to_debug_mode_r_d1) @[dec_tlu_ctl.scala 421:132] + node _T_156 = bits(dcsr, 2, 2) @[dec_tlu_ctl.scala 424:111] + node _T_157 = not(_T_156) @[dec_tlu_ctl.scala 424:106] + node _T_158 = and(debug_resume_req_f, _T_157) @[dec_tlu_ctl.scala 424:104] + node _T_159 = not(_T_158) @[dec_tlu_ctl.scala 424:83] + node _T_160 = and(debug_mode_status, _T_159) @[dec_tlu_ctl.scala 424:81] + node _T_161 = or(debug_halt_req_ns, _T_160) @[dec_tlu_ctl.scala 424:53] + internal_dbg_halt_mode <= _T_161 @[dec_tlu_ctl.scala 424:32] + node _T_162 = not(dcsr_single_step_running_f) @[dec_tlu_ctl.scala 426:67] + node allow_dbg_halt_csr_write = and(debug_mode_status, _T_162) @[dec_tlu_ctl.scala 426:65] + node _T_163 = and(debug_halt_req_f, core_empty) @[dec_tlu_ctl.scala 431:48] + node _T_164 = and(_T_163, halt_taken) @[dec_tlu_ctl.scala 431:61] + node _T_165 = not(debug_resume_req_f) @[dec_tlu_ctl.scala 431:97] + node _T_166 = and(dbg_tlu_halted_f, _T_165) @[dec_tlu_ctl.scala 431:95] + node dbg_tlu_halted = or(_T_164, _T_166) @[dec_tlu_ctl.scala 431:75] + node _T_167 = not(dbg_tlu_halted) @[dec_tlu_ctl.scala 432:73] + node _T_168 = and(debug_halt_req_f, _T_167) @[dec_tlu_ctl.scala 432:71] + node _T_169 = or(enter_debug_halt_req, _T_168) @[dec_tlu_ctl.scala 432:51] + debug_halt_req_ns <= _T_169 @[dec_tlu_ctl.scala 432:27] + node _T_170 = and(debug_resume_req_f, dbg_tlu_halted_f) @[dec_tlu_ctl.scala 433:49] + node resume_ack_ns = and(_T_170, dbg_run_state_ns) @[dec_tlu_ctl.scala 433:68] + node _T_171 = not(io.dec_tlu_dbg_halted) @[dec_tlu_ctl.scala 435:61] + node _T_172 = and(io.dec_tlu_i0_valid_r, _T_171) @[dec_tlu_ctl.scala 435:59] + node _T_173 = bits(dcsr, 2, 2) @[dec_tlu_ctl.scala 435:90] + node _T_174 = and(_T_172, _T_173) @[dec_tlu_ctl.scala 435:84] + node _T_175 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 435:104] + node dcsr_single_step_done = and(_T_174, _T_175) @[dec_tlu_ctl.scala 435:102] + node _T_176 = bits(dcsr, 2, 2) @[dec_tlu_ctl.scala 437:66] + node _T_177 = and(debug_resume_req_f, _T_176) @[dec_tlu_ctl.scala 437:60] + node _T_178 = not(dcsr_single_step_done_f) @[dec_tlu_ctl.scala 437:111] + node _T_179 = and(dcsr_single_step_running_f, _T_178) @[dec_tlu_ctl.scala 437:109] + node dcsr_single_step_running = or(_T_177, _T_179) @[dec_tlu_ctl.scala 437:79] + node dbg_cmd_done_ns = and(io.dec_tlu_i0_valid_r, io.dec_tlu_dbg_halted) @[dec_tlu_ctl.scala 439:53] + node _T_180 = or(trigger_hit_dmode_r, ebreak_to_debug_mode_r) @[dec_tlu_ctl.scala 442:57] + node _T_181 = not(io.dec_tlu_flush_lower_wb) @[dec_tlu_ctl.scala 442:112] + node _T_182 = and(request_debug_mode_r_d1, _T_181) @[dec_tlu_ctl.scala 442:110] + node request_debug_mode_r = or(_T_180, _T_182) @[dec_tlu_ctl.scala 442:83] + node _T_183 = or(request_debug_mode_r_d1, request_debug_mode_done_f) @[dec_tlu_ctl.scala 444:64] + node _T_184 = not(dbg_tlu_halted_f) @[dec_tlu_ctl.scala 444:95] + node request_debug_mode_done = and(_T_183, _T_184) @[dec_tlu_ctl.scala 444:93] + reg _T_185 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 447:81] + _T_185 <= io.tlu_ifc.dec_tlu_flush_noredir_wb @[dec_tlu_ctl.scala 447:81] + dec_tlu_flush_noredir_r_d1 <= _T_185 @[dec_tlu_ctl.scala 447:49] + reg _T_186 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 448:89] + _T_186 <= halt_taken @[dec_tlu_ctl.scala 448:89] + halt_taken_f <= _T_186 @[dec_tlu_ctl.scala 448:57] + reg _T_187 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 449:89] + _T_187 <= io.lsu_idle_any @[dec_tlu_ctl.scala 449:89] + lsu_idle_any_f <= _T_187 @[dec_tlu_ctl.scala 449:57] + reg _T_188 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 450:81] + _T_188 <= io.tlu_mem.ifu_miss_state_idle @[dec_tlu_ctl.scala 450:81] + ifu_miss_state_idle_f <= _T_188 @[dec_tlu_ctl.scala 450:49] + reg _T_189 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 451:89] + _T_189 <= dbg_tlu_halted @[dec_tlu_ctl.scala 451:89] + dbg_tlu_halted_f <= _T_189 @[dec_tlu_ctl.scala 451:57] + reg _T_190 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 452:81] + _T_190 <= resume_ack_ns @[dec_tlu_ctl.scala 452:81] + io.dec_tlu_resume_ack <= _T_190 @[dec_tlu_ctl.scala 452:49] + reg _T_191 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 453:89] + _T_191 <= debug_halt_req_ns @[dec_tlu_ctl.scala 453:89] + debug_halt_req_f <= _T_191 @[dec_tlu_ctl.scala 453:57] + reg _T_192 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 454:89] + _T_192 <= debug_resume_req @[dec_tlu_ctl.scala 454:89] + debug_resume_req_f <= _T_192 @[dec_tlu_ctl.scala 454:57] + reg _T_193 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 455:81] + _T_193 <= trigger_hit_dmode_r @[dec_tlu_ctl.scala 455:81] + trigger_hit_dmode_r_d1 <= _T_193 @[dec_tlu_ctl.scala 455:49] + reg _T_194 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 456:81] + _T_194 <= dcsr_single_step_done @[dec_tlu_ctl.scala 456:81] + dcsr_single_step_done_f <= _T_194 @[dec_tlu_ctl.scala 456:49] + reg _T_195 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 457:89] + _T_195 <= debug_halt_req @[dec_tlu_ctl.scala 457:89] + debug_halt_req_d1 <= _T_195 @[dec_tlu_ctl.scala 457:57] + reg dec_tlu_wr_pause_r_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 458:81] + dec_tlu_wr_pause_r_d1 <= io.dec_tlu_wr_pause_r @[dec_tlu_ctl.scala 458:81] + reg dec_pause_state_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 459:81] + dec_pause_state_f <= io.dec_pause_state @[dec_tlu_ctl.scala 459:81] + reg _T_196 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 460:81] + _T_196 <= request_debug_mode_r @[dec_tlu_ctl.scala 460:81] + request_debug_mode_r_d1 <= _T_196 @[dec_tlu_ctl.scala 460:49] + reg _T_197 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 461:73] + _T_197 <= request_debug_mode_done @[dec_tlu_ctl.scala 461:73] + request_debug_mode_done_f <= _T_197 @[dec_tlu_ctl.scala 461:41] + reg _T_198 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 462:73] + _T_198 <= dcsr_single_step_running @[dec_tlu_ctl.scala 462:73] + dcsr_single_step_running_f <= _T_198 @[dec_tlu_ctl.scala 462:41] + reg _T_199 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 463:73] + _T_199 <= io.dec_tlu_flush_pause_r @[dec_tlu_ctl.scala 463:73] + dec_tlu_flush_pause_r_d1 <= _T_199 @[dec_tlu_ctl.scala 463:41] + reg _T_200 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 464:81] + _T_200 <= dbg_halt_req_held_ns @[dec_tlu_ctl.scala 464:81] + dbg_halt_req_held <= _T_200 @[dec_tlu_ctl.scala 464:49] + io.dec_tlu_debug_stall <= debug_halt_req_f @[dec_tlu_ctl.scala 467:41] + io.dec_tlu_dbg_halted <= dbg_tlu_halted_f @[dec_tlu_ctl.scala 468:41] + io.dec_tlu_debug_mode <= debug_mode_status @[dec_tlu_ctl.scala 469:41] + dec_tlu_pmu_fw_halted <= pmu_fw_tlu_halted_f @[dec_tlu_ctl.scala 470:41] + node _T_201 = and(fence_i_r, internal_dbg_halt_mode) @[dec_tlu_ctl.scala 473:71] + node _T_202 = or(take_halt, _T_201) @[dec_tlu_ctl.scala 473:58] + node _T_203 = or(_T_202, io.dec_tlu_flush_pause_r) @[dec_tlu_ctl.scala 473:97] + node _T_204 = and(i0_trigger_hit_r, trigger_hit_dmode_r) @[dec_tlu_ctl.scala 473:144] + node _T_205 = or(_T_203, _T_204) @[dec_tlu_ctl.scala 473:124] + node _T_206 = or(_T_205, take_ext_int_start) @[dec_tlu_ctl.scala 473:167] + io.tlu_ifc.dec_tlu_flush_noredir_wb <= _T_206 @[dec_tlu_ctl.scala 473:45] + io.dec_tlu_flush_extint <= take_ext_int_start @[dec_tlu_ctl.scala 475:33] + node _T_207 = not(interrupt_valid_r) @[dec_tlu_ctl.scala 478:61] + node _T_208 = and(dec_tlu_wr_pause_r_d1, _T_207) @[dec_tlu_ctl.scala 478:59] + node _T_209 = not(take_ext_int_start) @[dec_tlu_ctl.scala 478:82] + node _T_210 = and(_T_208, _T_209) @[dec_tlu_ctl.scala 478:80] + io.dec_tlu_flush_pause_r <= _T_210 @[dec_tlu_ctl.scala 478:34] + node _T_211 = not(io.dec_pause_state) @[dec_tlu_ctl.scala 480:28] + node _T_212 = and(_T_211, dec_pause_state_f) @[dec_tlu_ctl.scala 480:48] + node _T_213 = or(ext_int_ready, ce_int_ready) @[dec_tlu_ctl.scala 480:86] + node _T_214 = or(_T_213, timer_int_ready) @[dec_tlu_ctl.scala 480:101] + node _T_215 = or(_T_214, soft_int_ready) @[dec_tlu_ctl.scala 480:119] + node _T_216 = or(_T_215, int_timer0_int_hold_f) @[dec_tlu_ctl.scala 480:136] + node _T_217 = or(_T_216, int_timer1_int_hold_f) @[dec_tlu_ctl.scala 480:160] + node _T_218 = or(_T_217, nmi_int_detected) @[dec_tlu_ctl.scala 480:184] + node _T_219 = or(_T_218, ext_int_freeze_d1) @[dec_tlu_ctl.scala 480:203] + node _T_220 = not(_T_219) @[dec_tlu_ctl.scala 480:70] + node _T_221 = and(_T_212, _T_220) @[dec_tlu_ctl.scala 480:68] + node _T_222 = not(interrupt_valid_r_d1) @[dec_tlu_ctl.scala 480:226] + node _T_223 = and(_T_221, _T_222) @[dec_tlu_ctl.scala 480:224] + node _T_224 = not(debug_halt_req_f) @[dec_tlu_ctl.scala 480:250] + node _T_225 = and(_T_223, _T_224) @[dec_tlu_ctl.scala 480:248] + node _T_226 = not(pmu_fw_halt_req_f) @[dec_tlu_ctl.scala 480:270] + node _T_227 = and(_T_225, _T_226) @[dec_tlu_ctl.scala 480:268] + node _T_228 = not(halt_taken_f) @[dec_tlu_ctl.scala 480:291] + node _T_229 = and(_T_227, _T_228) @[dec_tlu_ctl.scala 480:289] + pause_expired_r <= _T_229 @[dec_tlu_ctl.scala 480:25] + node _T_230 = bits(dcsr, 2, 2) @[dec_tlu_ctl.scala 482:88] + node _T_231 = and(io.tlu_exu.dec_tlu_flush_lower_r, _T_230) @[dec_tlu_ctl.scala 482:82] + node _T_232 = or(io.dec_tlu_resume_ack, dcsr_single_step_running) @[dec_tlu_ctl.scala 482:125] + node _T_233 = and(_T_231, _T_232) @[dec_tlu_ctl.scala 482:100] + node _T_234 = not(io.tlu_ifc.dec_tlu_flush_noredir_wb) @[dec_tlu_ctl.scala 482:155] + node _T_235 = and(_T_233, _T_234) @[dec_tlu_ctl.scala 482:153] + io.tlu_bp.dec_tlu_flush_leak_one_wb <= _T_235 @[dec_tlu_ctl.scala 482:45] + node _T_236 = or(ic_perr_r_d1, iccm_sbecc_r_d1) @[dec_tlu_ctl.scala 483:93] + node _T_237 = and(io.tlu_exu.dec_tlu_flush_lower_r, _T_236) @[dec_tlu_ctl.scala 483:77] + io.tlu_mem.dec_tlu_flush_err_wb <= _T_237 @[dec_tlu_ctl.scala 483:41] + io.dec_dbg_cmd_done <= dbg_cmd_done_ns @[dec_tlu_ctl.scala 486:29] + node _T_238 = and(illegal_r, io.dec_dbg_cmd_done) @[dec_tlu_ctl.scala 487:42] + io.dec_dbg_cmd_fail <= _T_238 @[dec_tlu_ctl.scala 487:29] + node _T_239 = bits(mtdata1_t[3], 2, 2) @[dec_tlu_ctl.scala 500:48] + node _T_240 = bits(mtdata1_t[2], 2, 2) @[dec_tlu_ctl.scala 500:75] + node _T_241 = bits(mtdata1_t[1], 2, 2) @[dec_tlu_ctl.scala 500:102] + node _T_242 = bits(mtdata1_t[0], 2, 2) @[dec_tlu_ctl.scala 500:129] + node _T_243 = cat(_T_241, _T_242) @[Cat.scala 29:58] + node _T_244 = cat(_T_239, _T_240) @[Cat.scala 29:58] + node trigger_execute = cat(_T_244, _T_243) @[Cat.scala 29:58] + node _T_245 = bits(mtdata1_t[3], 7, 7) @[dec_tlu_ctl.scala 501:52] + node _T_246 = bits(mtdata1_t[2], 7, 7) @[dec_tlu_ctl.scala 501:79] + node _T_247 = bits(mtdata1_t[1], 7, 7) @[dec_tlu_ctl.scala 501:106] + node _T_248 = bits(mtdata1_t[0], 7, 7) @[dec_tlu_ctl.scala 501:133] + node _T_249 = cat(_T_247, _T_248) @[Cat.scala 29:58] + node _T_250 = cat(_T_245, _T_246) @[Cat.scala 29:58] + node trigger_data = cat(_T_250, _T_249) @[Cat.scala 29:58] + node _T_251 = bits(mtdata1_t[3], 1, 1) @[dec_tlu_ctl.scala 502:52] + node _T_252 = bits(mtdata1_t[2], 1, 1) @[dec_tlu_ctl.scala 502:79] + node _T_253 = bits(mtdata1_t[1], 1, 1) @[dec_tlu_ctl.scala 502:106] + node _T_254 = bits(mtdata1_t[0], 1, 1) @[dec_tlu_ctl.scala 502:133] + node _T_255 = cat(_T_253, _T_254) @[Cat.scala 29:58] + node _T_256 = cat(_T_251, _T_252) @[Cat.scala 29:58] + node trigger_store = cat(_T_256, _T_255) @[Cat.scala 29:58] + node _T_257 = bits(mtdata1_t[3], 6, 6) @[dec_tlu_ctl.scala 505:45] + node _T_258 = bits(mstatus, 0, 0) @[dec_tlu_ctl.scala 505:71] + node _T_259 = or(_T_257, _T_258) @[dec_tlu_ctl.scala 505:62] + node _T_260 = bits(mtdata1_t[3], 3, 3) @[dec_tlu_ctl.scala 505:100] + node _T_261 = and(_T_259, _T_260) @[dec_tlu_ctl.scala 505:86] + node _T_262 = bits(mtdata1_t[2], 6, 6) @[dec_tlu_ctl.scala 505:133] + node _T_263 = bits(mstatus, 0, 0) @[dec_tlu_ctl.scala 505:159] + node _T_264 = or(_T_262, _T_263) @[dec_tlu_ctl.scala 505:150] + node _T_265 = bits(mtdata1_t[2], 3, 3) @[dec_tlu_ctl.scala 505:188] + node _T_266 = and(_T_264, _T_265) @[dec_tlu_ctl.scala 505:174] + node _T_267 = bits(mtdata1_t[1], 6, 6) @[dec_tlu_ctl.scala 505:222] + node _T_268 = bits(mstatus, 0, 0) @[dec_tlu_ctl.scala 505:248] + node _T_269 = or(_T_267, _T_268) @[dec_tlu_ctl.scala 505:239] + node _T_270 = bits(mtdata1_t[1], 3, 3) @[dec_tlu_ctl.scala 505:277] + node _T_271 = and(_T_269, _T_270) @[dec_tlu_ctl.scala 505:263] + node _T_272 = bits(mtdata1_t[0], 6, 6) @[dec_tlu_ctl.scala 505:311] + node _T_273 = bits(mstatus, 0, 0) @[dec_tlu_ctl.scala 505:337] + node _T_274 = or(_T_272, _T_273) @[dec_tlu_ctl.scala 505:328] + node _T_275 = bits(mtdata1_t[0], 3, 3) @[dec_tlu_ctl.scala 505:366] + node _T_276 = and(_T_274, _T_275) @[dec_tlu_ctl.scala 505:352] + node _T_277 = cat(_T_271, _T_276) @[Cat.scala 29:58] + node _T_278 = cat(_T_261, _T_266) @[Cat.scala 29:58] + node trigger_enabled = cat(_T_278, _T_277) @[Cat.scala 29:58] + node _T_279 = and(trigger_execute, trigger_data) @[dec_tlu_ctl.scala 508:57] + node _T_280 = bits(inst_acc_r_raw, 0, 0) @[Bitwise.scala 72:15] + node _T_281 = mux(_T_280, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_282 = and(_T_279, _T_281) @[dec_tlu_ctl.scala 508:72] + node _T_283 = or(io.tlu_exu.exu_i0_br_error_r, io.tlu_exu.exu_i0_br_start_error_r) @[dec_tlu_ctl.scala 508:137] + node _T_284 = bits(_T_283, 0, 0) @[Bitwise.scala 72:15] + node _T_285 = mux(_T_284, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_286 = or(_T_282, _T_285) @[dec_tlu_ctl.scala 508:98] + node i0_iside_trigger_has_pri_r = not(_T_286) @[dec_tlu_ctl.scala 508:38] + node _T_287 = and(trigger_store, trigger_data) @[dec_tlu_ctl.scala 511:51] + node _T_288 = bits(lsu_i0_exc_r_raw, 0, 0) @[Bitwise.scala 72:15] + node _T_289 = mux(_T_288, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_290 = and(_T_287, _T_289) @[dec_tlu_ctl.scala 511:66] + node i0_lsu_trigger_has_pri_r = not(_T_290) @[dec_tlu_ctl.scala 511:35] + node _T_291 = bits(io.dec_tlu_i0_valid_r, 0, 0) @[Bitwise.scala 72:15] + node _T_292 = mux(_T_291, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_293 = bits(io.dec_tlu_packet_r.i0trigger, 3, 0) @[dec_tlu_ctl.scala 516:84] + node _T_294 = and(_T_292, _T_293) @[dec_tlu_ctl.scala 516:53] + node _T_295 = and(_T_294, i0_iside_trigger_has_pri_r) @[dec_tlu_ctl.scala 516:90] + node _T_296 = and(_T_295, i0_lsu_trigger_has_pri_r) @[dec_tlu_ctl.scala 516:119] + node i0trigger_qual_r = and(_T_296, trigger_enabled) @[dec_tlu_ctl.scala 516:146] + node _T_297 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_dbg_halted) @[dec_tlu_ctl.scala 518:58] + node _T_298 = bits(_T_297, 0, 0) @[Bitwise.scala 72:15] + node _T_299 = mux(_T_298, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_300 = not(_T_299) @[dec_tlu_ctl.scala 518:23] + node i0_trigger_r = and(_T_300, i0trigger_qual_r) @[dec_tlu_ctl.scala 518:84] + node _T_301 = bits(i0_trigger_r, 3, 3) @[dec_tlu_ctl.scala 521:53] + node _T_302 = bits(mtdata1_t[2], 5, 5) @[dec_tlu_ctl.scala 521:73] + node _T_303 = not(_T_302) @[dec_tlu_ctl.scala 521:60] + node _T_304 = bits(i0_trigger_r, 2, 2) @[dec_tlu_ctl.scala 521:103] + node _T_305 = or(_T_303, _T_304) @[dec_tlu_ctl.scala 521:89] + node _T_306 = and(_T_301, _T_305) @[dec_tlu_ctl.scala 521:57] + node _T_307 = bits(i0_trigger_r, 2, 2) @[dec_tlu_ctl.scala 521:121] + node _T_308 = bits(mtdata1_t[2], 5, 5) @[dec_tlu_ctl.scala 521:141] + node _T_309 = not(_T_308) @[dec_tlu_ctl.scala 521:128] + node _T_310 = bits(i0_trigger_r, 3, 3) @[dec_tlu_ctl.scala 521:171] + node _T_311 = or(_T_309, _T_310) @[dec_tlu_ctl.scala 521:157] + node _T_312 = and(_T_307, _T_311) @[dec_tlu_ctl.scala 521:125] + node _T_313 = bits(i0_trigger_r, 1, 1) @[dec_tlu_ctl.scala 521:189] + node _T_314 = bits(mtdata1_t[0], 5, 5) @[dec_tlu_ctl.scala 521:209] + node _T_315 = not(_T_314) @[dec_tlu_ctl.scala 521:196] + node _T_316 = bits(i0_trigger_r, 0, 0) @[dec_tlu_ctl.scala 521:239] + node _T_317 = or(_T_315, _T_316) @[dec_tlu_ctl.scala 521:225] + node _T_318 = and(_T_313, _T_317) @[dec_tlu_ctl.scala 521:193] + node _T_319 = bits(i0_trigger_r, 0, 0) @[dec_tlu_ctl.scala 521:257] + node _T_320 = bits(mtdata1_t[0], 5, 5) @[dec_tlu_ctl.scala 521:277] + node _T_321 = not(_T_320) @[dec_tlu_ctl.scala 521:264] + node _T_322 = bits(i0_trigger_r, 1, 1) @[dec_tlu_ctl.scala 521:307] + node _T_323 = or(_T_321, _T_322) @[dec_tlu_ctl.scala 521:293] + node _T_324 = and(_T_319, _T_323) @[dec_tlu_ctl.scala 521:261] + node _T_325 = cat(_T_318, _T_324) @[Cat.scala 29:58] + node _T_326 = cat(_T_306, _T_312) @[Cat.scala 29:58] + node i0_trigger_chain_masked_r = cat(_T_326, _T_325) @[Cat.scala 29:58] + node i0_trigger_hit_raw_r = orr(i0_trigger_chain_masked_r) @[dec_tlu_ctl.scala 524:57] + i0_trigger_hit_r <= i0_trigger_hit_raw_r @[dec_tlu_ctl.scala 526:25] + node _T_327 = bits(mtdata1_t[3], 6, 6) @[dec_tlu_ctl.scala 530:44] + node _T_328 = bits(mtdata1_t[3], 9, 9) @[dec_tlu_ctl.scala 530:75] + node _T_329 = and(_T_327, _T_328) @[dec_tlu_ctl.scala 530:61] + node _T_330 = bits(mtdata1_t[2], 6, 6) @[dec_tlu_ctl.scala 530:104] + node _T_331 = bits(mtdata1_t[2], 9, 9) @[dec_tlu_ctl.scala 530:135] + node _T_332 = and(_T_330, _T_331) @[dec_tlu_ctl.scala 530:121] + node _T_333 = bits(mtdata1_t[1], 6, 6) @[dec_tlu_ctl.scala 530:164] + node _T_334 = bits(mtdata1_t[1], 9, 9) @[dec_tlu_ctl.scala 530:195] + node _T_335 = and(_T_333, _T_334) @[dec_tlu_ctl.scala 530:181] + node _T_336 = bits(mtdata1_t[0], 6, 6) @[dec_tlu_ctl.scala 530:224] + node _T_337 = bits(mtdata1_t[0], 9, 9) @[dec_tlu_ctl.scala 530:255] + node _T_338 = and(_T_336, _T_337) @[dec_tlu_ctl.scala 530:241] + node _T_339 = cat(_T_335, _T_338) @[Cat.scala 29:58] + node _T_340 = cat(_T_329, _T_332) @[Cat.scala 29:58] + node trigger_action = cat(_T_340, _T_339) @[Cat.scala 29:58] + node _T_341 = bits(i0_trigger_hit_r, 0, 0) @[Bitwise.scala 72:15] + node _T_342 = mux(_T_341, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node update_hit_bit_r = and(_T_342, i0_trigger_chain_masked_r) @[dec_tlu_ctl.scala 533:56] + node _T_343 = and(i0_trigger_chain_masked_r, trigger_action) @[dec_tlu_ctl.scala 536:57] + node i0_trigger_action_r = orr(_T_343) @[dec_tlu_ctl.scala 536:75] + node _T_344 = and(i0_trigger_hit_r, i0_trigger_action_r) @[dec_tlu_ctl.scala 538:45] + trigger_hit_dmode_r <= _T_344 @[dec_tlu_ctl.scala 538:24] + node _T_345 = not(trigger_hit_dmode_r) @[dec_tlu_ctl.scala 540:55] + node mepc_trigger_hit_sel_pc_r = and(i0_trigger_hit_r, _T_345) @[dec_tlu_ctl.scala 540:53] + node _T_346 = not(io.dec_tlu_debug_mode) @[dec_tlu_ctl.scala 567:62] + node _T_347 = and(i_cpu_halt_req_sync, _T_346) @[dec_tlu_ctl.scala 567:60] + node _T_348 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 567:87] + node i_cpu_halt_req_sync_qual = and(_T_347, _T_348) @[dec_tlu_ctl.scala 567:85] + node _T_349 = not(io.dec_tlu_debug_mode) @[dec_tlu_ctl.scala 568:60] + node _T_350 = and(i_cpu_run_req_sync, _T_349) @[dec_tlu_ctl.scala 568:58] + node _T_351 = and(_T_350, pmu_fw_tlu_halted_f) @[dec_tlu_ctl.scala 568:83] + node _T_352 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 568:107] + node i_cpu_run_req_sync_qual = and(_T_351, _T_352) @[dec_tlu_ctl.scala 568:105] + reg i_cpu_halt_req_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 570:80] + i_cpu_halt_req_d1 <= i_cpu_halt_req_sync_qual @[dec_tlu_ctl.scala 570:80] + reg i_cpu_run_req_d1_raw : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 571:80] + i_cpu_run_req_d1_raw <= i_cpu_run_req_sync_qual @[dec_tlu_ctl.scala 571:80] + reg _T_353 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 572:81] + _T_353 <= cpu_halt_status @[dec_tlu_ctl.scala 572:81] + io.o_cpu_halt_status <= _T_353 @[dec_tlu_ctl.scala 572:49] + reg _T_354 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 573:81] + _T_354 <= cpu_halt_ack @[dec_tlu_ctl.scala 573:81] + io.o_cpu_halt_ack <= _T_354 @[dec_tlu_ctl.scala 573:49] + reg _T_355 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 574:81] + _T_355 <= cpu_run_ack @[dec_tlu_ctl.scala 574:81] + io.o_cpu_run_ack <= _T_355 @[dec_tlu_ctl.scala 574:49] + reg internal_pmu_fw_halt_mode_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 575:68] + internal_pmu_fw_halt_mode_f <= internal_pmu_fw_halt_mode @[dec_tlu_ctl.scala 575:68] + reg _T_356 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 576:73] + _T_356 <= pmu_fw_halt_req_ns @[dec_tlu_ctl.scala 576:73] + pmu_fw_halt_req_f <= _T_356 @[dec_tlu_ctl.scala 576:41] + reg _T_357 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 577:73] + _T_357 <= pmu_fw_tlu_halted @[dec_tlu_ctl.scala 577:73] + pmu_fw_tlu_halted_f <= _T_357 @[dec_tlu_ctl.scala 577:41] + reg _T_358 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 578:73] + _T_358 <= int_timer0_int_hold @[dec_tlu_ctl.scala 578:73] + int_timer0_int_hold_f <= _T_358 @[dec_tlu_ctl.scala 578:41] + reg _T_359 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 579:73] + _T_359 <= int_timer1_int_hold @[dec_tlu_ctl.scala 579:73] + int_timer1_int_hold_f <= _T_359 @[dec_tlu_ctl.scala 579:41] + node _T_360 = not(i_cpu_halt_req_d1) @[dec_tlu_ctl.scala 583:52] + node ext_halt_pulse = and(i_cpu_halt_req_sync_qual, _T_360) @[dec_tlu_ctl.scala 583:50] + node enter_pmu_fw_halt_req = or(ext_halt_pulse, fw_halt_req) @[dec_tlu_ctl.scala 584:48] + node _T_361 = not(pmu_fw_tlu_halted) @[dec_tlu_ctl.scala 585:72] + node _T_362 = and(pmu_fw_halt_req_f, _T_361) @[dec_tlu_ctl.scala 585:70] + node _T_363 = or(enter_pmu_fw_halt_req, _T_362) @[dec_tlu_ctl.scala 585:49] + node _T_364 = not(debug_halt_req_f) @[dec_tlu_ctl.scala 585:95] + node _T_365 = and(_T_363, _T_364) @[dec_tlu_ctl.scala 585:93] + pmu_fw_halt_req_ns <= _T_365 @[dec_tlu_ctl.scala 585:23] + node _T_366 = not(i_cpu_run_req_d1) @[dec_tlu_ctl.scala 586:85] + node _T_367 = and(internal_pmu_fw_halt_mode_f, _T_366) @[dec_tlu_ctl.scala 586:83] + node _T_368 = not(debug_halt_req_f) @[dec_tlu_ctl.scala 586:105] + node _T_369 = and(_T_367, _T_368) @[dec_tlu_ctl.scala 586:103] + node _T_370 = or(pmu_fw_halt_req_ns, _T_369) @[dec_tlu_ctl.scala 586:52] + internal_pmu_fw_halt_mode <= _T_370 @[dec_tlu_ctl.scala 586:30] + node _T_371 = and(pmu_fw_halt_req_f, core_empty) @[dec_tlu_ctl.scala 589:45] + node _T_372 = and(_T_371, halt_taken) @[dec_tlu_ctl.scala 589:58] + node _T_373 = not(enter_debug_halt_req) @[dec_tlu_ctl.scala 589:73] + node _T_374 = and(_T_372, _T_373) @[dec_tlu_ctl.scala 589:71] + node _T_375 = not(i_cpu_run_req_d1) @[dec_tlu_ctl.scala 589:121] + node _T_376 = and(pmu_fw_tlu_halted_f, _T_375) @[dec_tlu_ctl.scala 589:119] + node _T_377 = or(_T_374, _T_376) @[dec_tlu_ctl.scala 589:96] + node _T_378 = not(debug_halt_req_f) @[dec_tlu_ctl.scala 589:143] + node _T_379 = and(_T_377, _T_378) @[dec_tlu_ctl.scala 589:141] + pmu_fw_tlu_halted <= _T_379 @[dec_tlu_ctl.scala 589:22] + node _T_380 = and(i_cpu_halt_req_d1, pmu_fw_tlu_halted_f) @[dec_tlu_ctl.scala 591:38] + cpu_halt_ack <= _T_380 @[dec_tlu_ctl.scala 591:17] + node _T_381 = not(i_cpu_run_req_d1) @[dec_tlu_ctl.scala 592:46] + node _T_382 = and(pmu_fw_tlu_halted_f, _T_381) @[dec_tlu_ctl.scala 592:44] + node _T_383 = not(i_cpu_run_req_d1) @[dec_tlu_ctl.scala 592:91] + node _T_384 = and(io.o_cpu_halt_status, _T_383) @[dec_tlu_ctl.scala 592:89] + node _T_385 = not(debug_mode_status) @[dec_tlu_ctl.scala 592:111] + node _T_386 = and(_T_384, _T_385) @[dec_tlu_ctl.scala 592:109] + node _T_387 = or(_T_382, _T_386) @[dec_tlu_ctl.scala 592:65] + cpu_halt_status <= _T_387 @[dec_tlu_ctl.scala 592:20] + node _T_388 = and(io.o_cpu_halt_status, i_cpu_run_req_sync_qual) @[dec_tlu_ctl.scala 593:41] + node _T_389 = and(io.o_cpu_run_ack, i_cpu_run_req_sync_qual) @[dec_tlu_ctl.scala 593:88] + node _T_390 = or(_T_388, _T_389) @[dec_tlu_ctl.scala 593:68] + cpu_run_ack <= _T_390 @[dec_tlu_ctl.scala 593:16] + io.o_debug_mode_status <= debug_mode_status @[dec_tlu_ctl.scala 595:27] + node _T_391 = or(nmi_int_detected, timer_int_ready) @[dec_tlu_ctl.scala 598:66] + node _T_392 = or(_T_391, soft_int_ready) @[dec_tlu_ctl.scala 598:84] + node _T_393 = or(_T_392, int_timer0_int_hold_f) @[dec_tlu_ctl.scala 598:101] + node _T_394 = or(_T_393, int_timer1_int_hold_f) @[dec_tlu_ctl.scala 598:125] + node _T_395 = and(io.dec_pic.mhwakeup, mhwakeup_ready) @[dec_tlu_ctl.scala 598:172] + node _T_396 = or(_T_394, _T_395) @[dec_tlu_ctl.scala 598:149] + node _T_397 = and(_T_396, io.o_cpu_halt_status) @[dec_tlu_ctl.scala 598:191] + node _T_398 = not(i_cpu_halt_req_d1) @[dec_tlu_ctl.scala 598:216] + node _T_399 = and(_T_397, _T_398) @[dec_tlu_ctl.scala 598:214] + node _T_400 = or(i_cpu_run_req_d1_raw, _T_399) @[dec_tlu_ctl.scala 598:45] + i_cpu_run_req_d1 <= _T_400 @[dec_tlu_ctl.scala 598:21] + reg _T_401 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 604:89] + _T_401 <= mdseac_locked_ns @[dec_tlu_ctl.scala 604:89] + mdseac_locked_f <= _T_401 @[dec_tlu_ctl.scala 604:57] + reg lsu_single_ecc_error_r_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 605:72] + lsu_single_ecc_error_r_d1 <= io.lsu_single_ecc_error_incr @[dec_tlu_ctl.scala 605:72] + node _T_402 = not(io.dec_tlu_flush_lower_wb) @[dec_tlu_ctl.scala 607:57] + node lsu_exc_valid_r_raw = and(io.lsu_error_pkt_r.valid, _T_402) @[dec_tlu_ctl.scala 607:55] + lsu_i0_exc_r_raw <= io.lsu_error_pkt_r.valid @[dec_tlu_ctl.scala 608:21] + node _T_403 = and(lsu_i0_exc_r_raw, lsu_exc_valid_r_raw) @[dec_tlu_ctl.scala 609:40] + node _T_404 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 609:64] + node _T_405 = and(_T_403, _T_404) @[dec_tlu_ctl.scala 609:62] + node _T_406 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 609:84] + node lsu_exc_valid_r = and(_T_405, _T_406) @[dec_tlu_ctl.scala 609:82] + reg _T_407 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 611:74] + _T_407 <= lsu_exc_valid_r @[dec_tlu_ctl.scala 611:74] + lsu_exc_valid_r_d1 <= _T_407 @[dec_tlu_ctl.scala 611:41] + reg lsu_i0_exc_r_d1 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 612:73] + lsu_i0_exc_r_d1 <= lsu_exc_valid_r @[dec_tlu_ctl.scala 612:73] + node _T_408 = not(io.lsu_error_pkt_r.bits.exc_type) @[dec_tlu_ctl.scala 613:40] + node lsu_exc_ma_r = and(lsu_exc_valid_r, _T_408) @[dec_tlu_ctl.scala 613:38] + node lsu_exc_acc_r = and(lsu_exc_valid_r, io.lsu_error_pkt_r.bits.exc_type) @[dec_tlu_ctl.scala 614:38] + node lsu_exc_st_r = and(lsu_exc_valid_r, io.lsu_error_pkt_r.bits.inst_type) @[dec_tlu_ctl.scala 615:38] + node _T_409 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 619:49] + node _T_410 = and(io.dec_tlu_i0_valid_r, _T_409) @[dec_tlu_ctl.scala 619:47] + node _T_411 = not(io.lsu_error_pkt_r.bits.inst_type) @[dec_tlu_ctl.scala 619:70] + node _T_412 = and(_T_411, io.lsu_error_pkt_r.bits.single_ecc_error) @[dec_tlu_ctl.scala 619:105] + node lsu_i0_rfnpc_r = and(_T_410, _T_412) @[dec_tlu_ctl.scala 619:67] + node _T_413 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 622:52] + node _T_414 = and(io.dec_tlu_i0_valid_r, _T_413) @[dec_tlu_ctl.scala 622:50] + node _T_415 = not(lsu_exc_valid_r) @[dec_tlu_ctl.scala 622:65] + node _T_416 = and(_T_414, _T_415) @[dec_tlu_ctl.scala 622:63] + node _T_417 = not(inst_acc_r) @[dec_tlu_ctl.scala 622:82] + node _T_418 = and(_T_416, _T_417) @[dec_tlu_ctl.scala 622:79] + node _T_419 = not(io.dec_tlu_dbg_halted) @[dec_tlu_ctl.scala 622:96] + node _T_420 = and(_T_418, _T_419) @[dec_tlu_ctl.scala 622:94] + node _T_421 = not(request_debug_mode_r_d1) @[dec_tlu_ctl.scala 622:121] + node _T_422 = and(_T_420, _T_421) @[dec_tlu_ctl.scala 622:119] + node _T_423 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 622:148] + node tlu_i0_commit_cmt = and(_T_422, _T_423) @[dec_tlu_ctl.scala 622:146] + node _T_424 = or(rfpc_i0_r, lsu_exc_valid_r) @[dec_tlu_ctl.scala 625:38] + node _T_425 = or(_T_424, inst_acc_r) @[dec_tlu_ctl.scala 625:53] + node _T_426 = and(illegal_r, io.dec_tlu_dbg_halted) @[dec_tlu_ctl.scala 625:79] + node _T_427 = or(_T_425, _T_426) @[dec_tlu_ctl.scala 625:66] + node _T_428 = or(_T_427, i0_trigger_hit_r) @[dec_tlu_ctl.scala 625:104] + tlu_i0_kill_writeb_r <= _T_428 @[dec_tlu_ctl.scala 625:25] + io.tlu_mem.dec_tlu_i0_commit_cmt <= tlu_i0_commit_cmt @[dec_tlu_ctl.scala 626:37] + node _T_429 = not(tlu_flush_lower_r_d1) @[dec_tlu_ctl.scala 631:44] + node _T_430 = and(io.dec_tlu_i0_valid_r, _T_429) @[dec_tlu_ctl.scala 631:42] + node _T_431 = or(io.tlu_exu.exu_i0_br_error_r, io.tlu_exu.exu_i0_br_start_error_r) @[dec_tlu_ctl.scala 631:98] + node _T_432 = and(_T_430, _T_431) @[dec_tlu_ctl.scala 631:66] + node _T_433 = or(ic_perr_r_d1, iccm_sbecc_r_d1) @[dec_tlu_ctl.scala 631:154] + node _T_434 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 631:175] + node _T_435 = and(_T_433, _T_434) @[dec_tlu_ctl.scala 631:173] + node _T_436 = or(_T_432, _T_435) @[dec_tlu_ctl.scala 631:137] + node _T_437 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 631:199] + node _T_438 = and(_T_436, _T_437) @[dec_tlu_ctl.scala 631:196] + node _T_439 = not(lsu_i0_rfnpc_r) @[dec_tlu_ctl.scala 631:220] + node _T_440 = and(_T_438, _T_439) @[dec_tlu_ctl.scala 631:217] + rfpc_i0_r <= _T_440 @[dec_tlu_ctl.scala 631:14] + node _T_441 = not(io.tlu_exu.dec_tlu_flush_lower_r) @[dec_tlu_ctl.scala 634:70] + node _T_442 = and(iccm_repair_state_d1, _T_441) @[dec_tlu_ctl.scala 634:68] + node _T_443 = or(iccm_sbecc_r_d1, _T_442) @[dec_tlu_ctl.scala 634:44] + iccm_repair_state_ns <= _T_443 @[dec_tlu_ctl.scala 634:25] + node _T_444 = and(tlu_i0_commit_cmt, iccm_repair_state_d1) @[dec_tlu_ctl.scala 640:52] + node _T_445 = or(ebreak_r, ecall_r) @[dec_tlu_ctl.scala 640:88] + node _T_446 = or(_T_445, mret_r) @[dec_tlu_ctl.scala 640:98] + node _T_447 = or(_T_446, take_reset) @[dec_tlu_ctl.scala 640:107] + node _T_448 = or(_T_447, illegal_r) @[dec_tlu_ctl.scala 640:120] + node _T_449 = eq(io.dec_csr_wraddr_r, UInt<12>("h07c2")) @[dec_tlu_ctl.scala 640:176] + node _T_450 = and(dec_csr_wen_r_mod, _T_449) @[dec_tlu_ctl.scala 640:153] + node _T_451 = or(_T_448, _T_450) @[dec_tlu_ctl.scala 640:132] + node _T_452 = not(_T_451) @[dec_tlu_ctl.scala 640:77] + node iccm_repair_state_rfnpc = and(_T_444, _T_452) @[dec_tlu_ctl.scala 640:75] + node _T_453 = and(io.tlu_exu.exu_i0_br_error_r, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 643:59] + node _T_454 = not(tlu_flush_lower_r_d1) @[dec_tlu_ctl.scala 643:85] + node dec_tlu_br0_error_r = and(_T_453, _T_454) @[dec_tlu_ctl.scala 643:83] + node _T_455 = and(io.tlu_exu.exu_i0_br_start_error_r, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 644:71] + node _T_456 = not(tlu_flush_lower_r_d1) @[dec_tlu_ctl.scala 644:97] + node dec_tlu_br0_start_error_r = and(_T_455, _T_456) @[dec_tlu_ctl.scala 644:95] + node _T_457 = and(io.tlu_exu.exu_i0_br_valid_r, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 645:55] + node _T_458 = not(tlu_flush_lower_r_d1) @[dec_tlu_ctl.scala 645:81] + node _T_459 = and(_T_457, _T_458) @[dec_tlu_ctl.scala 645:79] + node _T_460 = not(io.tlu_exu.exu_i0_br_mp_r) @[dec_tlu_ctl.scala 645:106] + node _T_461 = not(io.tlu_exu.exu_pmu_i0_br_ataken) @[dec_tlu_ctl.scala 645:135] + node _T_462 = or(_T_460, _T_461) @[dec_tlu_ctl.scala 645:133] + node dec_tlu_br0_v_r = and(_T_459, _T_462) @[dec_tlu_ctl.scala 645:103] + io.tlu_bp.dec_tlu_br0_r_pkt.bits.hist <= io.tlu_exu.exu_i0_br_hist_r @[dec_tlu_ctl.scala 648:65] + io.tlu_bp.dec_tlu_br0_r_pkt.bits.br_error <= dec_tlu_br0_error_r @[dec_tlu_ctl.scala 649:57] + io.tlu_bp.dec_tlu_br0_r_pkt.bits.br_start_error <= dec_tlu_br0_start_error_r @[dec_tlu_ctl.scala 650:57] + io.tlu_bp.dec_tlu_br0_r_pkt.valid <= dec_tlu_br0_v_r @[dec_tlu_ctl.scala 651:57] + io.tlu_bp.dec_tlu_br0_r_pkt.bits.way <= io.exu_i0_br_way_r @[dec_tlu_ctl.scala 652:65] + io.tlu_bp.dec_tlu_br0_r_pkt.bits.middle <= io.tlu_exu.exu_i0_br_middle_r @[dec_tlu_ctl.scala 653:65] + node _T_463 = eq(io.dec_tlu_packet_r.pmu_i0_itype, UInt<4>("h08")) @[dec_tlu_ctl.scala 656:51] + node _T_464 = and(_T_463, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 656:64] + node _T_465 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 656:90] + node _T_466 = and(_T_464, _T_465) @[dec_tlu_ctl.scala 656:88] + node _T_467 = bits(dcsr, 15, 15) @[dec_tlu_ctl.scala 656:115] + node _T_468 = not(_T_467) @[dec_tlu_ctl.scala 656:110] + node _T_469 = and(_T_466, _T_468) @[dec_tlu_ctl.scala 656:108] + node _T_470 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 656:132] + node _T_471 = and(_T_469, _T_470) @[dec_tlu_ctl.scala 656:130] + ebreak_r <= _T_471 @[dec_tlu_ctl.scala 656:13] + node _T_472 = eq(io.dec_tlu_packet_r.pmu_i0_itype, UInt<4>("h09")) @[dec_tlu_ctl.scala 657:51] + node _T_473 = and(_T_472, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 657:64] + node _T_474 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 657:90] + node _T_475 = and(_T_473, _T_474) @[dec_tlu_ctl.scala 657:88] + node _T_476 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 657:110] + node _T_477 = and(_T_475, _T_476) @[dec_tlu_ctl.scala 657:108] + ecall_r <= _T_477 @[dec_tlu_ctl.scala 657:13] + node _T_478 = not(io.dec_tlu_packet_r.legal) @[dec_tlu_ctl.scala 658:17] + node _T_479 = and(_T_478, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 658:46] + node _T_480 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 658:72] + node _T_481 = and(_T_479, _T_480) @[dec_tlu_ctl.scala 658:70] + node _T_482 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 658:92] + node _T_483 = and(_T_481, _T_482) @[dec_tlu_ctl.scala 658:90] + illegal_r <= _T_483 @[dec_tlu_ctl.scala 658:13] + node _T_484 = eq(io.dec_tlu_packet_r.pmu_i0_itype, UInt<4>("h0c")) @[dec_tlu_ctl.scala 659:51] + node _T_485 = and(_T_484, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 659:64] + node _T_486 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 659:90] + node _T_487 = and(_T_485, _T_486) @[dec_tlu_ctl.scala 659:88] + node _T_488 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 659:110] + node _T_489 = and(_T_487, _T_488) @[dec_tlu_ctl.scala 659:108] + mret_r <= _T_489 @[dec_tlu_ctl.scala 659:13] + node _T_490 = and(io.dec_tlu_packet_r.fence_i, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 661:50] + node _T_491 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 661:76] + node _T_492 = and(_T_490, _T_491) @[dec_tlu_ctl.scala 661:74] + node _T_493 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 661:97] + node _T_494 = and(_T_492, _T_493) @[dec_tlu_ctl.scala 661:95] + fence_i_r <= _T_494 @[dec_tlu_ctl.scala 661:17] + node _T_495 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 662:53] + node _T_496 = and(io.tlu_mem.ifu_ic_error_start, _T_495) @[dec_tlu_ctl.scala 662:51] + node _T_497 = not(debug_mode_status) @[dec_tlu_ctl.scala 662:75] + node _T_498 = or(_T_497, dcsr_single_step_running) @[dec_tlu_ctl.scala 662:101] + node _T_499 = and(_T_496, _T_498) @[dec_tlu_ctl.scala 662:72] + node _T_500 = not(internal_pmu_fw_halt_mode_f) @[dec_tlu_ctl.scala 662:131] + node _T_501 = and(_T_499, _T_500) @[dec_tlu_ctl.scala 662:129] + ic_perr_r <= _T_501 @[dec_tlu_ctl.scala 662:17] + node _T_502 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 663:61] + node _T_503 = and(io.tlu_mem.ifu_iccm_rd_ecc_single_err, _T_502) @[dec_tlu_ctl.scala 663:59] + node _T_504 = not(debug_mode_status) @[dec_tlu_ctl.scala 663:83] + node _T_505 = or(_T_504, dcsr_single_step_running) @[dec_tlu_ctl.scala 663:109] + node _T_506 = and(_T_503, _T_505) @[dec_tlu_ctl.scala 663:80] + node _T_507 = not(internal_pmu_fw_halt_mode_f) @[dec_tlu_ctl.scala 663:139] + node _T_508 = and(_T_506, _T_507) @[dec_tlu_ctl.scala 663:137] + iccm_sbecc_r <= _T_508 @[dec_tlu_ctl.scala 663:17] + node _T_509 = and(io.dec_tlu_packet_r.icaf, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 664:49] + inst_acc_r_raw <= _T_509 @[dec_tlu_ctl.scala 664:20] + node _T_510 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 665:35] + node _T_511 = and(inst_acc_r_raw, _T_510) @[dec_tlu_ctl.scala 665:33] + node _T_512 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 665:48] + node _T_513 = and(_T_511, _T_512) @[dec_tlu_ctl.scala 665:46] + inst_acc_r <= _T_513 @[dec_tlu_ctl.scala 665:15] + node _T_514 = eq(io.dec_tlu_packet_r.pmu_i0_itype, UInt<4>("h08")) @[dec_tlu_ctl.scala 668:64] + node _T_515 = and(_T_514, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 668:77] + node _T_516 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 668:103] + node _T_517 = and(_T_515, _T_516) @[dec_tlu_ctl.scala 668:101] + node _T_518 = bits(dcsr, 15, 15) @[dec_tlu_ctl.scala 668:127] + node _T_519 = and(_T_517, _T_518) @[dec_tlu_ctl.scala 668:121] + node _T_520 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 668:144] + node _T_521 = and(_T_519, _T_520) @[dec_tlu_ctl.scala 668:142] + ebreak_to_debug_mode_r <= _T_521 @[dec_tlu_ctl.scala 668:27] + reg _T_522 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 670:64] + _T_522 <= ebreak_to_debug_mode_r @[dec_tlu_ctl.scala 670:64] + ebreak_to_debug_mode_r_d1 <= _T_522 @[dec_tlu_ctl.scala 670:34] + io.tlu_mem.dec_tlu_fence_i_wb <= fence_i_r @[dec_tlu_ctl.scala 671:39] + node _T_523 = or(ebreak_r, ecall_r) @[dec_tlu_ctl.scala 684:41] + node _T_524 = or(_T_523, illegal_r) @[dec_tlu_ctl.scala 684:51] + node _T_525 = or(_T_524, inst_acc_r) @[dec_tlu_ctl.scala 684:63] + node _T_526 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 684:79] + node _T_527 = and(_T_525, _T_526) @[dec_tlu_ctl.scala 684:77] + node _T_528 = not(io.dec_tlu_dbg_halted) @[dec_tlu_ctl.scala 684:92] + node i0_exception_valid_r = and(_T_527, _T_528) @[dec_tlu_ctl.scala 684:90] + node _T_529 = not(take_nmi) @[dec_tlu_ctl.scala 693:33] + node _T_530 = and(take_ext_int, _T_529) @[dec_tlu_ctl.scala 693:31] + node _T_531 = bits(_T_530, 0, 0) @[dec_tlu_ctl.scala 693:44] + node _T_532 = not(take_nmi) @[dec_tlu_ctl.scala 694:27] + node _T_533 = and(take_timer_int, _T_532) @[dec_tlu_ctl.scala 694:25] + node _T_534 = bits(_T_533, 0, 0) @[dec_tlu_ctl.scala 694:38] + node _T_535 = not(take_nmi) @[dec_tlu_ctl.scala 695:26] + node _T_536 = and(take_soft_int, _T_535) @[dec_tlu_ctl.scala 695:24] + node _T_537 = bits(_T_536, 0, 0) @[dec_tlu_ctl.scala 695:37] + node _T_538 = not(take_nmi) @[dec_tlu_ctl.scala 696:32] + node _T_539 = and(take_int_timer0_int, _T_538) @[dec_tlu_ctl.scala 696:30] + node _T_540 = bits(_T_539, 0, 0) @[dec_tlu_ctl.scala 696:43] + node _T_541 = not(take_nmi) @[dec_tlu_ctl.scala 697:32] + node _T_542 = and(take_int_timer1_int, _T_541) @[dec_tlu_ctl.scala 697:30] + node _T_543 = bits(_T_542, 0, 0) @[dec_tlu_ctl.scala 697:43] + node _T_544 = not(take_nmi) @[dec_tlu_ctl.scala 698:24] + node _T_545 = and(take_ce_int, _T_544) @[dec_tlu_ctl.scala 698:22] + node _T_546 = bits(_T_545, 0, 0) @[dec_tlu_ctl.scala 698:35] + node _T_547 = not(take_nmi) @[dec_tlu_ctl.scala 699:22] + node _T_548 = and(illegal_r, _T_547) @[dec_tlu_ctl.scala 699:20] + node _T_549 = bits(_T_548, 0, 0) @[dec_tlu_ctl.scala 699:33] + node _T_550 = not(take_nmi) @[dec_tlu_ctl.scala 700:21] + node _T_551 = and(ecall_r, _T_550) @[dec_tlu_ctl.scala 700:19] + node _T_552 = bits(_T_551, 0, 0) @[dec_tlu_ctl.scala 700:32] + node _T_553 = not(take_nmi) @[dec_tlu_ctl.scala 701:24] + node _T_554 = and(inst_acc_r, _T_553) @[dec_tlu_ctl.scala 701:22] + node _T_555 = bits(_T_554, 0, 0) @[dec_tlu_ctl.scala 701:35] + node _T_556 = or(ebreak_r, i0_trigger_hit_r) @[dec_tlu_ctl.scala 702:20] + node _T_557 = not(take_nmi) @[dec_tlu_ctl.scala 702:42] + node _T_558 = and(_T_556, _T_557) @[dec_tlu_ctl.scala 702:40] + node _T_559 = bits(_T_558, 0, 0) @[dec_tlu_ctl.scala 702:53] + node _T_560 = not(lsu_exc_st_r) @[dec_tlu_ctl.scala 703:25] + node _T_561 = and(lsu_exc_ma_r, _T_560) @[dec_tlu_ctl.scala 703:23] + node _T_562 = not(take_nmi) @[dec_tlu_ctl.scala 703:41] + node _T_563 = and(_T_561, _T_562) @[dec_tlu_ctl.scala 703:39] + node _T_564 = bits(_T_563, 0, 0) @[dec_tlu_ctl.scala 703:52] + node _T_565 = not(lsu_exc_st_r) @[dec_tlu_ctl.scala 704:26] + node _T_566 = and(lsu_exc_acc_r, _T_565) @[dec_tlu_ctl.scala 704:24] + node _T_567 = not(take_nmi) @[dec_tlu_ctl.scala 704:42] + node _T_568 = and(_T_566, _T_567) @[dec_tlu_ctl.scala 704:40] + node _T_569 = bits(_T_568, 0, 0) @[dec_tlu_ctl.scala 704:53] + node _T_570 = and(lsu_exc_ma_r, lsu_exc_st_r) @[dec_tlu_ctl.scala 705:23] + node _T_571 = not(take_nmi) @[dec_tlu_ctl.scala 705:40] + node _T_572 = and(_T_570, _T_571) @[dec_tlu_ctl.scala 705:38] + node _T_573 = bits(_T_572, 0, 0) @[dec_tlu_ctl.scala 705:51] + node _T_574 = and(lsu_exc_acc_r, lsu_exc_st_r) @[dec_tlu_ctl.scala 706:24] + node _T_575 = not(take_nmi) @[dec_tlu_ctl.scala 706:41] + node _T_576 = and(_T_574, _T_575) @[dec_tlu_ctl.scala 706:39] + node _T_577 = bits(_T_576, 0, 0) @[dec_tlu_ctl.scala 706:52] + node _T_578 = mux(_T_531, UInt<5>("h0b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_579 = mux(_T_534, UInt<5>("h07"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_580 = mux(_T_537, UInt<5>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_581 = mux(_T_540, UInt<5>("h01d"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_582 = mux(_T_543, UInt<5>("h01c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_583 = mux(_T_546, UInt<5>("h01e"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_584 = mux(_T_549, UInt<5>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_585 = mux(_T_552, UInt<5>("h0b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_586 = mux(_T_555, UInt<5>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_587 = mux(_T_559, UInt<5>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_588 = mux(_T_564, UInt<5>("h04"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_589 = mux(_T_569, UInt<5>("h05"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_590 = mux(_T_573, UInt<5>("h06"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_591 = mux(_T_577, UInt<5>("h07"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_592 = or(_T_578, _T_579) @[Mux.scala 27:72] + node _T_593 = or(_T_592, _T_580) @[Mux.scala 27:72] + node _T_594 = or(_T_593, _T_581) @[Mux.scala 27:72] + node _T_595 = or(_T_594, _T_582) @[Mux.scala 27:72] + node _T_596 = or(_T_595, _T_583) @[Mux.scala 27:72] + node _T_597 = or(_T_596, _T_584) @[Mux.scala 27:72] + node _T_598 = or(_T_597, _T_585) @[Mux.scala 27:72] + node _T_599 = or(_T_598, _T_586) @[Mux.scala 27:72] + node _T_600 = or(_T_599, _T_587) @[Mux.scala 27:72] + node _T_601 = or(_T_600, _T_588) @[Mux.scala 27:72] + node _T_602 = or(_T_601, _T_589) @[Mux.scala 27:72] + node _T_603 = or(_T_602, _T_590) @[Mux.scala 27:72] + node _T_604 = or(_T_603, _T_591) @[Mux.scala 27:72] + wire exc_cause_r : UInt<5> @[Mux.scala 27:72] + exc_cause_r <= _T_604 @[Mux.scala 27:72] + node _T_605 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 717:24] + node _T_606 = and(_T_605, mstatus_mie_ns) @[dec_tlu_ctl.scala 717:49] + node _T_607 = bits(mip, 2, 2) @[dec_tlu_ctl.scala 717:71] + node _T_608 = and(_T_606, _T_607) @[dec_tlu_ctl.scala 717:66] + node _T_609 = bits(mie_ns, 2, 2) @[dec_tlu_ctl.scala 717:92] + node _T_610 = and(_T_608, _T_609) @[dec_tlu_ctl.scala 717:84] + mhwakeup_ready <= _T_610 @[dec_tlu_ctl.scala 717:20] + node _T_611 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 718:23] + node _T_612 = and(_T_611, mstatus_mie_ns) @[dec_tlu_ctl.scala 718:48] + node _T_613 = bits(mip, 2, 2) @[dec_tlu_ctl.scala 718:70] + node _T_614 = and(_T_612, _T_613) @[dec_tlu_ctl.scala 718:65] + node _T_615 = bits(mie_ns, 2, 2) @[dec_tlu_ctl.scala 718:91] + node _T_616 = and(_T_614, _T_615) @[dec_tlu_ctl.scala 718:83] + node _T_617 = not(ignore_ext_int_due_to_lsu_stall) @[dec_tlu_ctl.scala 718:104] + node _T_618 = and(_T_616, _T_617) @[dec_tlu_ctl.scala 718:102] + ext_int_ready <= _T_618 @[dec_tlu_ctl.scala 718:20] + node _T_619 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 719:23] + node _T_620 = and(_T_619, mstatus_mie_ns) @[dec_tlu_ctl.scala 719:48] + node _T_621 = bits(mip, 5, 5) @[dec_tlu_ctl.scala 719:70] + node _T_622 = and(_T_620, _T_621) @[dec_tlu_ctl.scala 719:65] + node _T_623 = bits(mie_ns, 5, 5) @[dec_tlu_ctl.scala 719:91] + node _T_624 = and(_T_622, _T_623) @[dec_tlu_ctl.scala 719:83] + ce_int_ready <= _T_624 @[dec_tlu_ctl.scala 719:20] + node _T_625 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 720:23] + node _T_626 = and(_T_625, mstatus_mie_ns) @[dec_tlu_ctl.scala 720:48] + node _T_627 = bits(mip, 0, 0) @[dec_tlu_ctl.scala 720:70] + node _T_628 = and(_T_626, _T_627) @[dec_tlu_ctl.scala 720:65] + node _T_629 = bits(mie_ns, 0, 0) @[dec_tlu_ctl.scala 720:91] + node _T_630 = and(_T_628, _T_629) @[dec_tlu_ctl.scala 720:83] + soft_int_ready <= _T_630 @[dec_tlu_ctl.scala 720:20] + node _T_631 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 721:23] + node _T_632 = and(_T_631, mstatus_mie_ns) @[dec_tlu_ctl.scala 721:48] + node _T_633 = bits(mip, 1, 1) @[dec_tlu_ctl.scala 721:70] + node _T_634 = and(_T_632, _T_633) @[dec_tlu_ctl.scala 721:65] + node _T_635 = bits(mie_ns, 1, 1) @[dec_tlu_ctl.scala 721:91] + node _T_636 = and(_T_634, _T_635) @[dec_tlu_ctl.scala 721:83] + timer_int_ready <= _T_636 @[dec_tlu_ctl.scala 721:20] + node _T_637 = bits(mie_ns, 4, 4) @[dec_tlu_ctl.scala 724:57] + node int_timer0_int_possible = and(mstatus_mie_ns, _T_637) @[dec_tlu_ctl.scala 724:49] + node _T_638 = bits(mip, 4, 4) @[dec_tlu_ctl.scala 725:34] + node int_timer0_int_ready = and(_T_638, int_timer0_int_possible) @[dec_tlu_ctl.scala 725:47] + node _T_639 = bits(mie_ns, 3, 3) @[dec_tlu_ctl.scala 726:57] + node int_timer1_int_possible = and(mstatus_mie_ns, _T_639) @[dec_tlu_ctl.scala 726:49] + node _T_640 = bits(mip, 3, 3) @[dec_tlu_ctl.scala 727:34] + node int_timer1_int_ready = and(_T_640, int_timer1_int_possible) @[dec_tlu_ctl.scala 727:47] + node _T_641 = or(io.dec_csr_stall_int_ff, synchronous_flush_r) @[dec_tlu_ctl.scala 731:52] + node _T_642 = or(_T_641, exc_or_int_valid_r_d1) @[dec_tlu_ctl.scala 731:74] + node int_timer_stalled = or(_T_642, mret_r) @[dec_tlu_ctl.scala 731:98] + node _T_643 = or(pmu_fw_tlu_halted_f, int_timer_stalled) @[dec_tlu_ctl.scala 733:72] + node _T_644 = and(int_timer0_int_ready, _T_643) @[dec_tlu_ctl.scala 733:49] + node _T_645 = and(int_timer0_int_possible, int_timer0_int_hold_f) @[dec_tlu_ctl.scala 733:121] + node _T_646 = not(interrupt_valid_r) @[dec_tlu_ctl.scala 733:147] + node _T_647 = and(_T_645, _T_646) @[dec_tlu_ctl.scala 733:145] + node _T_648 = not(take_ext_int_start) @[dec_tlu_ctl.scala 733:168] + node _T_649 = and(_T_647, _T_648) @[dec_tlu_ctl.scala 733:166] + node _T_650 = not(debug_mode_status) @[dec_tlu_ctl.scala 733:190] + node _T_651 = and(_T_649, _T_650) @[dec_tlu_ctl.scala 733:188] + node _T_652 = or(_T_644, _T_651) @[dec_tlu_ctl.scala 733:94] + int_timer0_int_hold <= _T_652 @[dec_tlu_ctl.scala 733:24] + node _T_653 = or(pmu_fw_tlu_halted_f, int_timer_stalled) @[dec_tlu_ctl.scala 734:72] + node _T_654 = and(int_timer1_int_ready, _T_653) @[dec_tlu_ctl.scala 734:49] + node _T_655 = and(int_timer1_int_possible, int_timer1_int_hold_f) @[dec_tlu_ctl.scala 734:121] + node _T_656 = not(interrupt_valid_r) @[dec_tlu_ctl.scala 734:147] + node _T_657 = and(_T_655, _T_656) @[dec_tlu_ctl.scala 734:145] + node _T_658 = not(take_ext_int_start) @[dec_tlu_ctl.scala 734:168] + node _T_659 = and(_T_657, _T_658) @[dec_tlu_ctl.scala 734:166] + node _T_660 = not(debug_mode_status) @[dec_tlu_ctl.scala 734:190] + node _T_661 = and(_T_659, _T_660) @[dec_tlu_ctl.scala 734:188] + node _T_662 = or(_T_654, _T_661) @[dec_tlu_ctl.scala 734:94] + int_timer1_int_hold <= _T_662 @[dec_tlu_ctl.scala 734:24] + node _T_663 = not(dcsr_single_step_running) @[dec_tlu_ctl.scala 736:59] + node _T_664 = and(debug_mode_status, _T_663) @[dec_tlu_ctl.scala 736:57] + internal_dbg_halt_timers <= _T_664 @[dec_tlu_ctl.scala 736:29] + node _T_665 = not(dcsr_single_step_running) @[dec_tlu_ctl.scala 738:55] + node _T_666 = or(_T_665, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 738:81] + node _T_667 = and(internal_dbg_halt_mode, _T_666) @[dec_tlu_ctl.scala 738:52] + node _T_668 = or(_T_667, internal_pmu_fw_halt_mode) @[dec_tlu_ctl.scala 738:107] + node _T_669 = or(_T_668, i_cpu_halt_req_d1) @[dec_tlu_ctl.scala 738:135] + node _T_670 = or(_T_669, take_nmi) @[dec_tlu_ctl.scala 738:155] + node _T_671 = or(_T_670, ebreak_to_debug_mode_r) @[dec_tlu_ctl.scala 738:166] + node _T_672 = or(_T_671, synchronous_flush_r) @[dec_tlu_ctl.scala 738:191] + node _T_673 = or(_T_672, exc_or_int_valid_r_d1) @[dec_tlu_ctl.scala 738:214] + node _T_674 = or(_T_673, mret_r) @[dec_tlu_ctl.scala 738:238] + node block_interrupts = or(_T_674, ext_int_freeze_d1) @[dec_tlu_ctl.scala 738:247] + reg _T_675 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 742:62] + _T_675 <= take_ext_int_start @[dec_tlu_ctl.scala 742:62] + take_ext_int_start_d1 <= _T_675 @[dec_tlu_ctl.scala 742:30] + reg _T_676 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 743:62] + _T_676 <= take_ext_int_start_d1 @[dec_tlu_ctl.scala 743:62] + take_ext_int_start_d2 <= _T_676 @[dec_tlu_ctl.scala 743:30] + reg _T_677 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 744:62] + _T_677 <= take_ext_int_start_d2 @[dec_tlu_ctl.scala 744:62] + take_ext_int_start_d3 <= _T_677 @[dec_tlu_ctl.scala 744:30] + reg _T_678 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 745:66] + _T_678 <= ext_int_freeze @[dec_tlu_ctl.scala 745:66] + ext_int_freeze_d1 <= _T_678 @[dec_tlu_ctl.scala 745:34] + node _T_679 = not(block_interrupts) @[dec_tlu_ctl.scala 746:47] + node _T_680 = and(ext_int_ready, _T_679) @[dec_tlu_ctl.scala 746:45] + take_ext_int_start <= _T_680 @[dec_tlu_ctl.scala 746:28] + node _T_681 = or(take_ext_int_start, take_ext_int_start_d1) @[dec_tlu_ctl.scala 748:46] + node _T_682 = or(_T_681, take_ext_int_start_d2) @[dec_tlu_ctl.scala 748:70] + node _T_683 = or(_T_682, take_ext_int_start_d3) @[dec_tlu_ctl.scala 748:94] + ext_int_freeze <= _T_683 @[dec_tlu_ctl.scala 748:24] + node _T_684 = orr(io.lsu_fir_error) @[dec_tlu_ctl.scala 749:67] + node _T_685 = not(_T_684) @[dec_tlu_ctl.scala 749:49] + node _T_686 = and(take_ext_int_start_d3, _T_685) @[dec_tlu_ctl.scala 749:47] + take_ext_int <= _T_686 @[dec_tlu_ctl.scala 749:22] + node _T_687 = and(csr_pkt.csr_meicpct, io.dec_csr_any_unq_d) @[dec_tlu_ctl.scala 750:49] + fast_int_meicpct <= _T_687 @[dec_tlu_ctl.scala 750:26] + ignore_ext_int_due_to_lsu_stall <= io.lsu_fastint_stall_any @[dec_tlu_ctl.scala 751:41] + node _T_688 = not(ext_int_ready) @[dec_tlu_ctl.scala 764:35] + node _T_689 = and(ce_int_ready, _T_688) @[dec_tlu_ctl.scala 764:33] + node _T_690 = not(block_interrupts) @[dec_tlu_ctl.scala 764:52] + node _T_691 = and(_T_689, _T_690) @[dec_tlu_ctl.scala 764:50] + take_ce_int <= _T_691 @[dec_tlu_ctl.scala 764:17] + node _T_692 = not(ext_int_ready) @[dec_tlu_ctl.scala 765:38] + node _T_693 = and(soft_int_ready, _T_692) @[dec_tlu_ctl.scala 765:36] + node _T_694 = not(ce_int_ready) @[dec_tlu_ctl.scala 765:55] + node _T_695 = and(_T_693, _T_694) @[dec_tlu_ctl.scala 765:53] + node _T_696 = not(block_interrupts) @[dec_tlu_ctl.scala 765:71] + node _T_697 = and(_T_695, _T_696) @[dec_tlu_ctl.scala 765:69] + take_soft_int <= _T_697 @[dec_tlu_ctl.scala 765:18] + node _T_698 = not(soft_int_ready) @[dec_tlu_ctl.scala 766:40] + node _T_699 = and(timer_int_ready, _T_698) @[dec_tlu_ctl.scala 766:38] + node _T_700 = not(ext_int_ready) @[dec_tlu_ctl.scala 766:58] + node _T_701 = and(_T_699, _T_700) @[dec_tlu_ctl.scala 766:56] + node _T_702 = not(ce_int_ready) @[dec_tlu_ctl.scala 766:75] + node _T_703 = and(_T_701, _T_702) @[dec_tlu_ctl.scala 766:73] + node _T_704 = not(block_interrupts) @[dec_tlu_ctl.scala 766:91] + node _T_705 = and(_T_703, _T_704) @[dec_tlu_ctl.scala 766:89] + take_timer_int <= _T_705 @[dec_tlu_ctl.scala 766:19] + node _T_706 = or(int_timer0_int_ready, int_timer0_int_hold_f) @[dec_tlu_ctl.scala 767:49] + node _T_707 = and(_T_706, int_timer0_int_possible) @[dec_tlu_ctl.scala 767:74] + node _T_708 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 767:102] + node _T_709 = and(_T_707, _T_708) @[dec_tlu_ctl.scala 767:100] + node _T_710 = not(timer_int_ready) @[dec_tlu_ctl.scala 767:129] + node _T_711 = and(_T_709, _T_710) @[dec_tlu_ctl.scala 767:127] + node _T_712 = not(soft_int_ready) @[dec_tlu_ctl.scala 767:148] + node _T_713 = and(_T_711, _T_712) @[dec_tlu_ctl.scala 767:146] + node _T_714 = not(ext_int_ready) @[dec_tlu_ctl.scala 767:166] + node _T_715 = and(_T_713, _T_714) @[dec_tlu_ctl.scala 767:164] + node _T_716 = not(ce_int_ready) @[dec_tlu_ctl.scala 767:183] + node _T_717 = and(_T_715, _T_716) @[dec_tlu_ctl.scala 767:181] + node _T_718 = not(block_interrupts) @[dec_tlu_ctl.scala 767:199] + node _T_719 = and(_T_717, _T_718) @[dec_tlu_ctl.scala 767:197] + take_int_timer0_int <= _T_719 @[dec_tlu_ctl.scala 767:24] + node _T_720 = or(int_timer1_int_ready, int_timer1_int_hold_f) @[dec_tlu_ctl.scala 768:49] + node _T_721 = and(_T_720, int_timer1_int_possible) @[dec_tlu_ctl.scala 768:74] + node _T_722 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 768:102] + node _T_723 = and(_T_721, _T_722) @[dec_tlu_ctl.scala 768:100] + node _T_724 = or(int_timer0_int_ready, int_timer0_int_hold_f) @[dec_tlu_ctl.scala 768:152] + node _T_725 = not(_T_724) @[dec_tlu_ctl.scala 768:129] + node _T_726 = and(_T_723, _T_725) @[dec_tlu_ctl.scala 768:127] + node _T_727 = not(timer_int_ready) @[dec_tlu_ctl.scala 768:179] + node _T_728 = and(_T_726, _T_727) @[dec_tlu_ctl.scala 768:177] + node _T_729 = not(soft_int_ready) @[dec_tlu_ctl.scala 768:198] + node _T_730 = and(_T_728, _T_729) @[dec_tlu_ctl.scala 768:196] + node _T_731 = not(ext_int_ready) @[dec_tlu_ctl.scala 768:216] + node _T_732 = and(_T_730, _T_731) @[dec_tlu_ctl.scala 768:214] + node _T_733 = not(ce_int_ready) @[dec_tlu_ctl.scala 768:233] + node _T_734 = and(_T_732, _T_733) @[dec_tlu_ctl.scala 768:231] + node _T_735 = not(block_interrupts) @[dec_tlu_ctl.scala 768:249] + node _T_736 = and(_T_734, _T_735) @[dec_tlu_ctl.scala 768:247] + take_int_timer1_int <= _T_736 @[dec_tlu_ctl.scala 768:24] + node _T_737 = and(reset_delayed, io.mpc_reset_run_req) @[dec_tlu_ctl.scala 769:32] + take_reset <= _T_737 @[dec_tlu_ctl.scala 769:15] + node _T_738 = not(internal_pmu_fw_halt_mode) @[dec_tlu_ctl.scala 770:35] + node _T_739 = and(nmi_int_detected, _T_738) @[dec_tlu_ctl.scala 770:33] + node _T_740 = not(internal_dbg_halt_mode) @[dec_tlu_ctl.scala 770:65] + node _T_741 = bits(dcsr, 11, 11) @[dec_tlu_ctl.scala 770:125] + node _T_742 = and(dcsr_single_step_running_f, _T_741) @[dec_tlu_ctl.scala 770:119] + node _T_743 = not(io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 770:141] + node _T_744 = and(_T_742, _T_743) @[dec_tlu_ctl.scala 770:139] + node _T_745 = not(dcsr_single_step_done_f) @[dec_tlu_ctl.scala 770:166] + node _T_746 = and(_T_744, _T_745) @[dec_tlu_ctl.scala 770:164] + node _T_747 = or(_T_740, _T_746) @[dec_tlu_ctl.scala 770:89] + node _T_748 = and(_T_739, _T_747) @[dec_tlu_ctl.scala 770:62] + node _T_749 = not(synchronous_flush_r) @[dec_tlu_ctl.scala 770:195] + node _T_750 = and(_T_748, _T_749) @[dec_tlu_ctl.scala 770:193] + node _T_751 = not(mret_r) @[dec_tlu_ctl.scala 770:218] + node _T_752 = and(_T_750, _T_751) @[dec_tlu_ctl.scala 770:216] + node _T_753 = not(take_reset) @[dec_tlu_ctl.scala 770:228] + node _T_754 = and(_T_752, _T_753) @[dec_tlu_ctl.scala 770:226] + node _T_755 = not(ebreak_to_debug_mode_r) @[dec_tlu_ctl.scala 770:242] + node _T_756 = and(_T_754, _T_755) @[dec_tlu_ctl.scala 770:240] + node _T_757 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 770:269] + node _T_758 = orr(io.lsu_fir_error) @[dec_tlu_ctl.scala 770:332] + node _T_759 = and(take_ext_int_start_d3, _T_758) @[dec_tlu_ctl.scala 770:313] + node _T_760 = or(_T_757, _T_759) @[dec_tlu_ctl.scala 770:288] + node _T_761 = and(_T_756, _T_760) @[dec_tlu_ctl.scala 770:266] + take_nmi <= _T_761 @[dec_tlu_ctl.scala 770:13] + node _T_762 = or(take_ext_int, take_timer_int) @[dec_tlu_ctl.scala 773:38] + node _T_763 = or(_T_762, take_soft_int) @[dec_tlu_ctl.scala 773:55] + node _T_764 = or(_T_763, take_nmi) @[dec_tlu_ctl.scala 773:71] + node _T_765 = or(_T_764, take_ce_int) @[dec_tlu_ctl.scala 773:82] + node _T_766 = or(_T_765, take_int_timer0_int) @[dec_tlu_ctl.scala 773:96] + node _T_767 = or(_T_766, take_int_timer1_int) @[dec_tlu_ctl.scala 773:118] + interrupt_valid_r <= _T_767 @[dec_tlu_ctl.scala 773:22] + node _T_768 = bits(mtvec, 30, 1) @[dec_tlu_ctl.scala 778:34] + node _T_769 = cat(_T_768, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_770 = cat(UInt<25>("h00"), exc_cause_r) @[Cat.scala 29:58] + node _T_771 = cat(_T_770, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_772 = add(_T_769, _T_771) @[dec_tlu_ctl.scala 778:51] + node vectored_path = tail(_T_772, 1) @[dec_tlu_ctl.scala 778:51] + node _T_773 = bits(take_nmi, 0, 0) @[dec_tlu_ctl.scala 779:38] + node _T_774 = bits(mtvec, 0, 0) @[dec_tlu_ctl.scala 779:67] + node _T_775 = eq(_T_774, UInt<1>("h01")) @[dec_tlu_ctl.scala 779:71] + node _T_776 = bits(mtvec, 30, 1) @[dec_tlu_ctl.scala 779:104] + node _T_777 = cat(_T_776, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_778 = mux(_T_775, vectored_path, _T_777) @[dec_tlu_ctl.scala 779:61] + node interrupt_path = mux(_T_773, io.nmi_vec, _T_778) @[dec_tlu_ctl.scala 779:28] + node _T_779 = or(lsu_i0_rfnpc_r, fence_i_r) @[dec_tlu_ctl.scala 780:36] + node _T_780 = or(_T_779, iccm_repair_state_rfnpc) @[dec_tlu_ctl.scala 780:48] + node _T_781 = not(interrupt_valid_r) @[dec_tlu_ctl.scala 780:96] + node _T_782 = and(i_cpu_run_req_d1, _T_781) @[dec_tlu_ctl.scala 780:94] + node _T_783 = or(_T_780, _T_782) @[dec_tlu_ctl.scala 780:74] + node _T_784 = not(io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 780:131] + node _T_785 = and(rfpc_i0_r, _T_784) @[dec_tlu_ctl.scala 780:129] + node sel_npc_r = or(_T_783, _T_785) @[dec_tlu_ctl.scala 780:116] + node _T_786 = and(i_cpu_run_req_d1, pmu_fw_tlu_halted_f) @[dec_tlu_ctl.scala 781:43] + node sel_npc_resume = or(_T_786, pause_expired_r) @[dec_tlu_ctl.scala 781:66] + node _T_787 = orr(io.lsu_fir_error) @[dec_tlu_ctl.scala 782:65] + node _T_788 = not(_T_787) @[dec_tlu_ctl.scala 782:47] + node sel_fir_addr = and(take_ext_int_start_d3, _T_788) @[dec_tlu_ctl.scala 782:45] + node _T_789 = or(i0_exception_valid_r, rfpc_i0_r) @[dec_tlu_ctl.scala 783:49] + node _T_790 = or(_T_789, lsu_exc_valid_r) @[dec_tlu_ctl.scala 783:61] + node _T_791 = or(_T_790, fence_i_r) @[dec_tlu_ctl.scala 783:79] + node _T_792 = or(_T_791, lsu_i0_rfnpc_r) @[dec_tlu_ctl.scala 783:91] + node _T_793 = or(_T_792, iccm_repair_state_rfnpc) @[dec_tlu_ctl.scala 783:108] + node _T_794 = or(_T_793, debug_resume_req_f) @[dec_tlu_ctl.scala 783:135] + node _T_795 = or(_T_794, sel_npc_resume) @[dec_tlu_ctl.scala 783:157] + node _T_796 = or(_T_795, dec_tlu_wr_pause_r_d1) @[dec_tlu_ctl.scala 783:175] + node _T_797 = or(_T_796, i0_trigger_hit_r) @[dec_tlu_ctl.scala 783:201] + synchronous_flush_r <= _T_797 @[dec_tlu_ctl.scala 783:25] + node _T_798 = or(interrupt_valid_r, mret_r) @[dec_tlu_ctl.scala 784:43] + node _T_799 = or(_T_798, synchronous_flush_r) @[dec_tlu_ctl.scala 784:52] + node _T_800 = or(_T_799, take_halt) @[dec_tlu_ctl.scala 784:74] + node _T_801 = or(_T_800, take_reset) @[dec_tlu_ctl.scala 784:86] + node _T_802 = or(_T_801, take_ext_int_start) @[dec_tlu_ctl.scala 784:99] + tlu_flush_lower_r <= _T_802 @[dec_tlu_ctl.scala 784:22] + node _T_803 = bits(take_reset, 0, 0) @[dec_tlu_ctl.scala 786:42] + node _T_804 = bits(sel_fir_addr, 0, 0) @[dec_tlu_ctl.scala 787:72] + node _T_805 = eq(take_nmi, UInt<1>("h00")) @[dec_tlu_ctl.scala 788:66] + node _T_806 = eq(sel_npc_r, UInt<1>("h01")) @[dec_tlu_ctl.scala 788:84] + node _T_807 = and(_T_805, _T_806) @[dec_tlu_ctl.scala 788:73] + node _T_808 = eq(take_nmi, UInt<1>("h00")) @[dec_tlu_ctl.scala 789:66] + node _T_809 = eq(rfpc_i0_r, UInt<1>("h01")) @[dec_tlu_ctl.scala 789:84] + node _T_810 = and(_T_808, _T_809) @[dec_tlu_ctl.scala 789:73] + node _T_811 = eq(io.dec_tlu_i0_valid_r, UInt<1>("h01")) @[dec_tlu_ctl.scala 789:114] + node _T_812 = and(_T_810, _T_811) @[dec_tlu_ctl.scala 789:91] + node _T_813 = eq(sel_npc_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 789:132] + node _T_814 = and(_T_812, _T_813) @[dec_tlu_ctl.scala 789:121] + node _T_815 = eq(interrupt_valid_r, UInt<1>("h01")) @[dec_tlu_ctl.scala 790:75] + node _T_816 = eq(sel_fir_addr, UInt<1>("h00")) @[dec_tlu_ctl.scala 790:96] + node _T_817 = and(_T_815, _T_816) @[dec_tlu_ctl.scala 790:82] + node _T_818 = or(i0_exception_valid_r, lsu_exc_valid_r) @[dec_tlu_ctl.scala 791:80] + node _T_819 = not(trigger_hit_dmode_r) @[dec_tlu_ctl.scala 791:120] + node _T_820 = and(i0_trigger_hit_r, _T_819) @[dec_tlu_ctl.scala 791:118] + node _T_821 = or(_T_818, _T_820) @[dec_tlu_ctl.scala 791:98] + node _T_822 = not(interrupt_valid_r) @[dec_tlu_ctl.scala 791:145] + node _T_823 = and(_T_821, _T_822) @[dec_tlu_ctl.scala 791:143] + node _T_824 = not(sel_fir_addr) @[dec_tlu_ctl.scala 791:166] + node _T_825 = and(_T_823, _T_824) @[dec_tlu_ctl.scala 791:164] + node _T_826 = bits(_T_825, 0, 0) @[dec_tlu_ctl.scala 791:181] + node _T_827 = bits(mtvec, 30, 1) @[dec_tlu_ctl.scala 791:205] + node _T_828 = cat(_T_827, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_829 = not(take_nmi) @[dec_tlu_ctl.scala 792:58] + node _T_830 = and(_T_829, mret_r) @[dec_tlu_ctl.scala 792:68] + node _T_831 = bits(_T_830, 0, 0) @[dec_tlu_ctl.scala 792:78] + node _T_832 = not(take_nmi) @[dec_tlu_ctl.scala 793:58] + node _T_833 = and(_T_832, debug_resume_req_f) @[dec_tlu_ctl.scala 793:68] + node _T_834 = bits(_T_833, 0, 0) @[dec_tlu_ctl.scala 793:90] + node _T_835 = not(take_nmi) @[dec_tlu_ctl.scala 794:58] + node _T_836 = and(_T_835, sel_npc_resume) @[dec_tlu_ctl.scala 794:68] + node _T_837 = bits(_T_836, 0, 0) @[dec_tlu_ctl.scala 794:86] + node _T_838 = mux(_T_804, io.lsu_fir_addr, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_839 = mux(_T_807, npc_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_840 = mux(_T_814, io.dec_tlu_i0_pc_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_841 = mux(_T_817, interrupt_path, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_842 = mux(_T_826, _T_828, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_843 = mux(_T_831, mepc, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_844 = mux(_T_834, dpc, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_845 = mux(_T_837, npc_r_d1, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_846 = or(_T_838, _T_839) @[Mux.scala 27:72] + node _T_847 = or(_T_846, _T_840) @[Mux.scala 27:72] + node _T_848 = or(_T_847, _T_841) @[Mux.scala 27:72] + node _T_849 = or(_T_848, _T_842) @[Mux.scala 27:72] + node _T_850 = or(_T_849, _T_843) @[Mux.scala 27:72] + node _T_851 = or(_T_850, _T_844) @[Mux.scala 27:72] + node _T_852 = or(_T_851, _T_845) @[Mux.scala 27:72] + wire _T_853 : UInt<31> @[Mux.scala 27:72] + _T_853 <= _T_852 @[Mux.scala 27:72] + node tlu_flush_path_r = mux(_T_803, io.rst_vec, _T_853) @[dec_tlu_ctl.scala 786:30] + reg tlu_flush_path_r_d1 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 797:64] + tlu_flush_path_r_d1 <= tlu_flush_path_r @[dec_tlu_ctl.scala 797:64] + io.dec_tlu_flush_lower_wb <= tlu_flush_lower_r_d1 @[dec_tlu_ctl.scala 799:41] + io.tlu_exu.dec_tlu_flush_lower_r <= tlu_flush_lower_r @[dec_tlu_ctl.scala 801:49] + io.tlu_exu.dec_tlu_flush_path_r <= tlu_flush_path_r @[dec_tlu_ctl.scala 802:49] + node _T_854 = or(lsu_exc_valid_r, i0_exception_valid_r) @[dec_tlu_ctl.scala 805:45] + node _T_855 = or(_T_854, interrupt_valid_r) @[dec_tlu_ctl.scala 805:68] + node _T_856 = not(trigger_hit_dmode_r) @[dec_tlu_ctl.scala 805:110] + node _T_857 = and(i0_trigger_hit_r, _T_856) @[dec_tlu_ctl.scala 805:108] + node exc_or_int_valid_r = or(_T_855, _T_857) @[dec_tlu_ctl.scala 805:88] + reg _T_858 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 807:90] + _T_858 <= interrupt_valid_r @[dec_tlu_ctl.scala 807:90] + interrupt_valid_r_d1 <= _T_858 @[dec_tlu_ctl.scala 807:57] + reg i0_exception_valid_r_d1 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 808:89] + i0_exception_valid_r_d1 <= i0_exception_valid_r @[dec_tlu_ctl.scala 808:89] + reg _T_859 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 809:90] + _T_859 <= exc_or_int_valid_r @[dec_tlu_ctl.scala 809:90] + exc_or_int_valid_r_d1 <= _T_859 @[dec_tlu_ctl.scala 809:57] + reg exc_cause_wb : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 810:89] + exc_cause_wb <= exc_cause_r @[dec_tlu_ctl.scala 810:89] + node _T_860 = not(illegal_r) @[dec_tlu_ctl.scala 811:119] + node _T_861 = and(tlu_i0_commit_cmt, _T_860) @[dec_tlu_ctl.scala 811:117] + reg i0_valid_wb : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 811:97] + i0_valid_wb <= _T_861 @[dec_tlu_ctl.scala 811:97] + reg trigger_hit_r_d1 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 812:89] + trigger_hit_r_d1 <= i0_trigger_hit_r @[dec_tlu_ctl.scala 812:89] + reg _T_862 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 813:98] + _T_862 <= take_nmi @[dec_tlu_ctl.scala 813:98] + take_nmi_r_d1 <= _T_862 @[dec_tlu_ctl.scala 813:65] + reg _T_863 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 814:90] + _T_863 <= pause_expired_r @[dec_tlu_ctl.scala 814:90] + pause_expired_wb <= _T_863 @[dec_tlu_ctl.scala 814:57] + inst csr of csr_tlu @[dec_tlu_ctl.scala 816:15] + csr.clock <= clock + csr.reset <= reset + csr.io.free_clk <= io.free_clk @[dec_tlu_ctl.scala 817:44] + csr.io.active_clk <= io.active_clk @[dec_tlu_ctl.scala 818:44] + csr.io.scan_mode <= io.scan_mode @[dec_tlu_ctl.scala 819:44] + csr.io.dec_csr_wrdata_r <= io.dec_csr_wrdata_r @[dec_tlu_ctl.scala 820:44] + csr.io.dec_csr_wraddr_r <= io.dec_csr_wraddr_r @[dec_tlu_ctl.scala 821:44] + csr.io.dec_csr_rdaddr_d <= io.dec_csr_rdaddr_d @[dec_tlu_ctl.scala 822:44] + csr.io.dec_csr_wen_unq_d <= io.dec_csr_wen_unq_d @[dec_tlu_ctl.scala 823:44] + csr.io.dec_i0_decode_d <= io.dec_i0_decode_d @[dec_tlu_ctl.scala 824:44] + csr.io.ifu_ic_debug_rd_data_valid <= io.tlu_mem.ifu_ic_debug_rd_data_valid @[dec_tlu_ctl.scala 825:44] + csr.io.ifu_pmu_bus_trxn <= io.tlu_mem.ifu_pmu_bus_trxn @[dec_tlu_ctl.scala 826:44] + csr.io.dma_iccm_stall_any <= io.tlu_dma.dma_iccm_stall_any @[dec_tlu_ctl.scala 827:44] + csr.io.dma_dccm_stall_any <= io.tlu_dma.dma_dccm_stall_any @[dec_tlu_ctl.scala 828:44] + csr.io.lsu_store_stall_any <= io.lsu_store_stall_any @[dec_tlu_ctl.scala 829:44] + csr.io.dec_pmu_presync_stall <= io.dec_pmu_presync_stall @[dec_tlu_ctl.scala 830:44] + csr.io.dec_pmu_postsync_stall <= io.dec_pmu_postsync_stall @[dec_tlu_ctl.scala 831:44] + csr.io.dec_pmu_decode_stall <= io.dec_pmu_decode_stall @[dec_tlu_ctl.scala 832:44] + csr.io.ifu_pmu_fetch_stall <= io.tlu_ifc.ifu_pmu_fetch_stall @[dec_tlu_ctl.scala 833:44] + csr.io.dec_tlu_packet_r.pmu_lsu_misaligned <= io.dec_tlu_packet_r.pmu_lsu_misaligned @[dec_tlu_ctl.scala 834:44] + csr.io.dec_tlu_packet_r.pmu_divide <= io.dec_tlu_packet_r.pmu_divide @[dec_tlu_ctl.scala 834:44] + csr.io.dec_tlu_packet_r.pmu_i0_br_unpred <= io.dec_tlu_packet_r.pmu_i0_br_unpred @[dec_tlu_ctl.scala 834:44] + csr.io.dec_tlu_packet_r.pmu_i0_itype <= io.dec_tlu_packet_r.pmu_i0_itype @[dec_tlu_ctl.scala 834:44] + csr.io.dec_tlu_packet_r.i0trigger <= io.dec_tlu_packet_r.i0trigger @[dec_tlu_ctl.scala 834:44] + csr.io.dec_tlu_packet_r.fence_i <= io.dec_tlu_packet_r.fence_i @[dec_tlu_ctl.scala 834:44] + csr.io.dec_tlu_packet_r.icaf_type <= io.dec_tlu_packet_r.icaf_type @[dec_tlu_ctl.scala 834:44] + csr.io.dec_tlu_packet_r.icaf_f1 <= io.dec_tlu_packet_r.icaf_f1 @[dec_tlu_ctl.scala 834:44] + csr.io.dec_tlu_packet_r.icaf <= io.dec_tlu_packet_r.icaf @[dec_tlu_ctl.scala 834:44] + csr.io.dec_tlu_packet_r.legal <= io.dec_tlu_packet_r.legal @[dec_tlu_ctl.scala 834:44] + csr.io.exu_pmu_i0_br_ataken <= io.tlu_exu.exu_pmu_i0_br_ataken @[dec_tlu_ctl.scala 835:44] + csr.io.exu_pmu_i0_br_misp <= io.tlu_exu.exu_pmu_i0_br_misp @[dec_tlu_ctl.scala 836:44] + csr.io.dec_pmu_instr_decoded <= io.dec_pmu_instr_decoded @[dec_tlu_ctl.scala 837:44] + csr.io.ifu_pmu_instr_aligned <= io.ifu_pmu_instr_aligned @[dec_tlu_ctl.scala 838:44] + csr.io.exu_pmu_i0_pc4 <= io.tlu_exu.exu_pmu_i0_pc4 @[dec_tlu_ctl.scala 839:44] + csr.io.ifu_pmu_ic_miss <= io.tlu_mem.ifu_pmu_ic_miss @[dec_tlu_ctl.scala 840:44] + csr.io.ifu_pmu_ic_hit <= io.tlu_mem.ifu_pmu_ic_hit @[dec_tlu_ctl.scala 841:44] + csr.io.dec_csr_wen_r <= io.dec_csr_wen_r @[dec_tlu_ctl.scala 842:44] + csr.io.dec_tlu_dbg_halted <= io.dec_tlu_dbg_halted @[dec_tlu_ctl.scala 843:44] + csr.io.dma_pmu_dccm_write <= io.tlu_dma.dma_pmu_dccm_write @[dec_tlu_ctl.scala 844:44] + csr.io.dma_pmu_dccm_read <= io.tlu_dma.dma_pmu_dccm_read @[dec_tlu_ctl.scala 845:44] + csr.io.dma_pmu_any_write <= io.tlu_dma.dma_pmu_any_write @[dec_tlu_ctl.scala 846:44] + csr.io.dma_pmu_any_read <= io.tlu_dma.dma_pmu_any_read @[dec_tlu_ctl.scala 847:44] + csr.io.lsu_pmu_bus_busy <= io.tlu_busbuff.lsu_pmu_bus_busy @[dec_tlu_ctl.scala 848:44] + csr.io.dec_tlu_i0_pc_r <= io.dec_tlu_i0_pc_r @[dec_tlu_ctl.scala 849:44] + csr.io.dec_tlu_i0_valid_r <= io.dec_tlu_i0_valid_r @[dec_tlu_ctl.scala 850:44] + csr.io.dec_csr_stall_int_ff <= io.dec_csr_stall_int_ff @[dec_tlu_ctl.scala 851:44] + csr.io.dec_csr_any_unq_d <= io.dec_csr_any_unq_d @[dec_tlu_ctl.scala 852:44] + csr.io.ifu_pmu_bus_busy <= io.tlu_mem.ifu_pmu_bus_busy @[dec_tlu_ctl.scala 853:44] + csr.io.lsu_pmu_bus_error <= io.tlu_busbuff.lsu_pmu_bus_error @[dec_tlu_ctl.scala 854:44] + csr.io.ifu_pmu_bus_error <= io.tlu_mem.ifu_pmu_bus_error @[dec_tlu_ctl.scala 855:44] + csr.io.lsu_pmu_bus_misaligned <= io.tlu_busbuff.lsu_pmu_bus_misaligned @[dec_tlu_ctl.scala 856:44] + csr.io.lsu_pmu_bus_trxn <= io.tlu_busbuff.lsu_pmu_bus_trxn @[dec_tlu_ctl.scala 857:44] + csr.io.ifu_ic_debug_rd_data <= io.tlu_mem.ifu_ic_debug_rd_data @[dec_tlu_ctl.scala 858:44] + csr.io.pic_pl <= io.dec_pic.pic_pl @[dec_tlu_ctl.scala 859:44] + csr.io.pic_claimid <= io.dec_pic.pic_claimid @[dec_tlu_ctl.scala 860:44] + csr.io.iccm_dma_sb_error <= io.iccm_dma_sb_error @[dec_tlu_ctl.scala 861:44] + csr.io.lsu_imprecise_error_addr_any <= io.tlu_busbuff.lsu_imprecise_error_addr_any @[dec_tlu_ctl.scala 862:44] + csr.io.lsu_imprecise_error_load_any <= io.tlu_busbuff.lsu_imprecise_error_load_any @[dec_tlu_ctl.scala 863:44] + csr.io.lsu_imprecise_error_store_any <= io.tlu_busbuff.lsu_imprecise_error_store_any @[dec_tlu_ctl.scala 864:44] + csr.io.dec_illegal_inst <= io.dec_illegal_inst @[dec_tlu_ctl.scala 865:44] + csr.io.lsu_error_pkt_r.bits.addr <= io.lsu_error_pkt_r.bits.addr @[dec_tlu_ctl.scala 866:44] + csr.io.lsu_error_pkt_r.bits.mscause <= io.lsu_error_pkt_r.bits.mscause @[dec_tlu_ctl.scala 866:44] + csr.io.lsu_error_pkt_r.bits.exc_type <= io.lsu_error_pkt_r.bits.exc_type @[dec_tlu_ctl.scala 866:44] + csr.io.lsu_error_pkt_r.bits.inst_type <= io.lsu_error_pkt_r.bits.inst_type @[dec_tlu_ctl.scala 866:44] + csr.io.lsu_error_pkt_r.bits.single_ecc_error <= io.lsu_error_pkt_r.bits.single_ecc_error @[dec_tlu_ctl.scala 866:44] + csr.io.lsu_error_pkt_r.valid <= io.lsu_error_pkt_r.valid @[dec_tlu_ctl.scala 866:44] + csr.io.mexintpend <= io.dec_pic.mexintpend @[dec_tlu_ctl.scala 867:44] + csr.io.exu_npc_r <= io.tlu_exu.exu_npc_r @[dec_tlu_ctl.scala 868:44] + csr.io.mpc_reset_run_req <= io.mpc_reset_run_req @[dec_tlu_ctl.scala 869:44] + csr.io.rst_vec <= io.rst_vec @[dec_tlu_ctl.scala 870:44] + csr.io.core_id <= io.core_id @[dec_tlu_ctl.scala 871:44] + csr.io.dec_timer_rddata_d <= int_timers.io.dec_timer_rddata_d @[dec_tlu_ctl.scala 872:44] + csr.io.dec_timer_read_d <= int_timers.io.dec_timer_read_d @[dec_tlu_ctl.scala 873:44] + io.dec_pic.dec_tlu_meicurpl <= csr.io.dec_tlu_meicurpl @[dec_tlu_ctl.scala 874:52] + io.tlu_exu.dec_tlu_meihap <= csr.io.dec_tlu_meihap @[dec_tlu_ctl.scala 875:52] + io.dec_pic.dec_tlu_meipt <= csr.io.dec_tlu_meipt @[dec_tlu_ctl.scala 876:52] + io.dec_tlu_int_valid_wb1 <= csr.io.dec_tlu_int_valid_wb1 @[dec_tlu_ctl.scala 877:44] + io.dec_tlu_i0_exc_valid_wb1 <= csr.io.dec_tlu_i0_exc_valid_wb1 @[dec_tlu_ctl.scala 878:44] + io.dec_tlu_i0_valid_wb1 <= csr.io.dec_tlu_i0_valid_wb1 @[dec_tlu_ctl.scala 879:44] + io.tlu_mem.dec_tlu_ic_diag_pkt.icache_wr_valid <= csr.io.dec_tlu_ic_diag_pkt.icache_wr_valid @[dec_tlu_ctl.scala 880:52] + io.tlu_mem.dec_tlu_ic_diag_pkt.icache_rd_valid <= csr.io.dec_tlu_ic_diag_pkt.icache_rd_valid @[dec_tlu_ctl.scala 880:52] + io.tlu_mem.dec_tlu_ic_diag_pkt.icache_dicawics <= csr.io.dec_tlu_ic_diag_pkt.icache_dicawics @[dec_tlu_ctl.scala 880:52] + io.tlu_mem.dec_tlu_ic_diag_pkt.icache_wrdata <= csr.io.dec_tlu_ic_diag_pkt.icache_wrdata @[dec_tlu_ctl.scala 880:52] + io.trigger_pkt_any[0].tdata2 <= csr.io.trigger_pkt_any[0].tdata2 @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[0].m <= csr.io.trigger_pkt_any[0].m @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[0].execute <= csr.io.trigger_pkt_any[0].execute @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[0].load <= csr.io.trigger_pkt_any[0].load @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[0].store <= csr.io.trigger_pkt_any[0].store @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[0].match_pkt <= csr.io.trigger_pkt_any[0].match_pkt @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[0].select <= csr.io.trigger_pkt_any[0].select @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[1].tdata2 <= csr.io.trigger_pkt_any[1].tdata2 @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[1].m <= csr.io.trigger_pkt_any[1].m @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[1].execute <= csr.io.trigger_pkt_any[1].execute @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[1].load <= csr.io.trigger_pkt_any[1].load @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[1].store <= csr.io.trigger_pkt_any[1].store @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[1].match_pkt <= csr.io.trigger_pkt_any[1].match_pkt @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[1].select <= csr.io.trigger_pkt_any[1].select @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[2].tdata2 <= csr.io.trigger_pkt_any[2].tdata2 @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[2].m <= csr.io.trigger_pkt_any[2].m @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[2].execute <= csr.io.trigger_pkt_any[2].execute @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[2].load <= csr.io.trigger_pkt_any[2].load @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[2].store <= csr.io.trigger_pkt_any[2].store @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[2].match_pkt <= csr.io.trigger_pkt_any[2].match_pkt @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[2].select <= csr.io.trigger_pkt_any[2].select @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[3].tdata2 <= csr.io.trigger_pkt_any[3].tdata2 @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[3].m <= csr.io.trigger_pkt_any[3].m @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[3].execute <= csr.io.trigger_pkt_any[3].execute @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[3].load <= csr.io.trigger_pkt_any[3].load @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[3].store <= csr.io.trigger_pkt_any[3].store @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[3].match_pkt <= csr.io.trigger_pkt_any[3].match_pkt @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[3].select <= csr.io.trigger_pkt_any[3].select @[dec_tlu_ctl.scala 881:40] + io.dec_tlu_mtval_wb1 <= csr.io.dec_tlu_mtval_wb1 @[dec_tlu_ctl.scala 882:40] + io.dec_tlu_exc_cause_wb1 <= csr.io.dec_tlu_exc_cause_wb1 @[dec_tlu_ctl.scala 883:40] + io.dec_tlu_perfcnt0 <= csr.io.dec_tlu_perfcnt0 @[dec_tlu_ctl.scala 884:40] + io.dec_tlu_perfcnt1 <= csr.io.dec_tlu_perfcnt1 @[dec_tlu_ctl.scala 885:40] + io.dec_tlu_perfcnt2 <= csr.io.dec_tlu_perfcnt2 @[dec_tlu_ctl.scala 886:40] + io.dec_tlu_perfcnt3 <= csr.io.dec_tlu_perfcnt3 @[dec_tlu_ctl.scala 887:40] + io.dec_tlu_misc_clk_override <= csr.io.dec_tlu_misc_clk_override @[dec_tlu_ctl.scala 888:40] + io.dec_tlu_dec_clk_override <= csr.io.dec_tlu_dec_clk_override @[dec_tlu_ctl.scala 889:40] + io.dec_tlu_ifu_clk_override <= csr.io.dec_tlu_ifu_clk_override @[dec_tlu_ctl.scala 890:40] + io.dec_tlu_lsu_clk_override <= csr.io.dec_tlu_lsu_clk_override @[dec_tlu_ctl.scala 891:40] + io.dec_tlu_bus_clk_override <= csr.io.dec_tlu_bus_clk_override @[dec_tlu_ctl.scala 892:40] + io.dec_tlu_pic_clk_override <= csr.io.dec_tlu_pic_clk_override @[dec_tlu_ctl.scala 893:40] + io.dec_tlu_dccm_clk_override <= csr.io.dec_tlu_dccm_clk_override @[dec_tlu_ctl.scala 894:40] + io.dec_tlu_icm_clk_override <= csr.io.dec_tlu_icm_clk_override @[dec_tlu_ctl.scala 895:40] + io.dec_csr_rddata_d <= csr.io.dec_csr_rddata_d @[dec_tlu_ctl.scala 896:40] + io.dec_tlu_pipelining_disable <= csr.io.dec_tlu_pipelining_disable @[dec_tlu_ctl.scala 897:40] + io.dec_tlu_wr_pause_r <= csr.io.dec_tlu_wr_pause_r @[dec_tlu_ctl.scala 898:40] + io.tlu_ifc.dec_tlu_mrac_ff <= csr.io.dec_tlu_mrac_ff @[dec_tlu_ctl.scala 899:48] + io.tlu_busbuff.dec_tlu_wb_coalescing_disable <= csr.io.dec_tlu_wb_coalescing_disable @[dec_tlu_ctl.scala 900:52] + io.tlu_bp.dec_tlu_bpred_disable <= csr.io.dec_tlu_bpred_disable @[dec_tlu_ctl.scala 901:47] + io.tlu_busbuff.dec_tlu_sideeffect_posted_disable <= csr.io.dec_tlu_sideeffect_posted_disable @[dec_tlu_ctl.scala 902:52] + io.tlu_mem.dec_tlu_core_ecc_disable <= csr.io.dec_tlu_core_ecc_disable @[dec_tlu_ctl.scala 903:48] + io.tlu_busbuff.dec_tlu_external_ldfwd_disable <= csr.io.dec_tlu_external_ldfwd_disable @[dec_tlu_ctl.scala 904:52] + io.tlu_dma.dec_tlu_dma_qos_prty <= csr.io.dec_tlu_dma_qos_prty @[dec_tlu_ctl.scala 905:48] + csr.io.dec_illegal_inst <= io.dec_illegal_inst @[dec_tlu_ctl.scala 906:44] + csr.io.lsu_error_pkt_r.bits.addr <= io.lsu_error_pkt_r.bits.addr @[dec_tlu_ctl.scala 907:44] + csr.io.lsu_error_pkt_r.bits.mscause <= io.lsu_error_pkt_r.bits.mscause @[dec_tlu_ctl.scala 907:44] + csr.io.lsu_error_pkt_r.bits.exc_type <= io.lsu_error_pkt_r.bits.exc_type @[dec_tlu_ctl.scala 907:44] + csr.io.lsu_error_pkt_r.bits.inst_type <= io.lsu_error_pkt_r.bits.inst_type @[dec_tlu_ctl.scala 907:44] + csr.io.lsu_error_pkt_r.bits.single_ecc_error <= io.lsu_error_pkt_r.bits.single_ecc_error @[dec_tlu_ctl.scala 907:44] + csr.io.lsu_error_pkt_r.valid <= io.lsu_error_pkt_r.valid @[dec_tlu_ctl.scala 907:44] + csr.io.mexintpend <= io.dec_pic.mexintpend @[dec_tlu_ctl.scala 908:44] + csr.io.exu_npc_r <= io.tlu_exu.exu_npc_r @[dec_tlu_ctl.scala 909:44] + csr.io.mpc_reset_run_req <= io.mpc_reset_run_req @[dec_tlu_ctl.scala 910:44] + csr.io.rst_vec <= io.rst_vec @[dec_tlu_ctl.scala 911:44] + csr.io.core_id <= io.core_id @[dec_tlu_ctl.scala 912:44] + csr.io.dec_timer_rddata_d <= int_timers.io.dec_timer_rddata_d @[dec_tlu_ctl.scala 913:44] + csr.io.dec_timer_read_d <= int_timers.io.dec_timer_read_d @[dec_tlu_ctl.scala 914:44] + csr.io.rfpc_i0_r <= rfpc_i0_r @[dec_tlu_ctl.scala 917:39] + csr.io.i0_trigger_hit_r <= i0_trigger_hit_r @[dec_tlu_ctl.scala 918:39] + csr.io.exc_or_int_valid_r <= exc_or_int_valid_r @[dec_tlu_ctl.scala 919:39] + csr.io.mret_r <= mret_r @[dec_tlu_ctl.scala 920:39] + csr.io.dcsr_single_step_running_f <= dcsr_single_step_running_f @[dec_tlu_ctl.scala 921:39] + csr.io.dec_timer_t0_pulse <= int_timers.io.dec_timer_t0_pulse @[dec_tlu_ctl.scala 922:39] + csr.io.dec_timer_t1_pulse <= int_timers.io.dec_timer_t1_pulse @[dec_tlu_ctl.scala 923:39] + csr.io.timer_int_sync <= timer_int_sync @[dec_tlu_ctl.scala 924:39] + csr.io.soft_int_sync <= soft_int_sync @[dec_tlu_ctl.scala 925:39] + csr.io.csr_wr_clk <= rvclkhdr.io.l1clk @[dec_tlu_ctl.scala 926:39] + csr.io.ebreak_to_debug_mode_r <= ebreak_to_debug_mode_r @[dec_tlu_ctl.scala 927:39] + csr.io.dec_tlu_pmu_fw_halted <= dec_tlu_pmu_fw_halted @[dec_tlu_ctl.scala 928:39] + csr.io.lsu_fir_error <= io.lsu_fir_error @[dec_tlu_ctl.scala 929:39] + csr.io.tlu_flush_lower_r_d1 <= tlu_flush_lower_r_d1 @[dec_tlu_ctl.scala 930:39] + csr.io.dec_tlu_flush_noredir_r_d1 <= dec_tlu_flush_noredir_r_d1 @[dec_tlu_ctl.scala 931:39] + csr.io.tlu_flush_path_r_d1 <= tlu_flush_path_r_d1 @[dec_tlu_ctl.scala 932:39] + csr.io.reset_delayed <= reset_delayed @[dec_tlu_ctl.scala 933:39] + csr.io.interrupt_valid_r <= interrupt_valid_r @[dec_tlu_ctl.scala 934:39] + csr.io.i0_exception_valid_r <= i0_exception_valid_r @[dec_tlu_ctl.scala 935:39] + csr.io.lsu_exc_valid_r <= lsu_exc_valid_r @[dec_tlu_ctl.scala 936:39] + csr.io.mepc_trigger_hit_sel_pc_r <= mepc_trigger_hit_sel_pc_r @[dec_tlu_ctl.scala 937:39] + csr.io.e4e5_int_clk <= rvclkhdr_3.io.l1clk @[dec_tlu_ctl.scala 938:39] + csr.io.lsu_i0_exc_r <= lsu_exc_valid_r @[dec_tlu_ctl.scala 939:39] + csr.io.inst_acc_r <= inst_acc_r @[dec_tlu_ctl.scala 940:39] + csr.io.inst_acc_second_r <= io.dec_tlu_packet_r.icaf_f1 @[dec_tlu_ctl.scala 941:39] + csr.io.take_nmi <= take_nmi @[dec_tlu_ctl.scala 942:39] + csr.io.lsu_error_pkt_addr_r <= io.lsu_error_pkt_r.bits.addr @[dec_tlu_ctl.scala 943:39] + csr.io.exc_cause_r <= exc_cause_r @[dec_tlu_ctl.scala 944:39] + csr.io.i0_valid_wb <= i0_valid_wb @[dec_tlu_ctl.scala 945:39] + csr.io.exc_or_int_valid_r_d1 <= exc_or_int_valid_r_d1 @[dec_tlu_ctl.scala 946:39] + csr.io.interrupt_valid_r_d1 <= interrupt_valid_r_d1 @[dec_tlu_ctl.scala 947:39] + csr.io.clk_override <= io.dec_tlu_dec_clk_override @[dec_tlu_ctl.scala 948:39] + csr.io.i0_exception_valid_r_d1 <= i0_exception_valid_r_d1 @[dec_tlu_ctl.scala 949:39] + csr.io.lsu_i0_exc_r_d1 <= lsu_i0_exc_r_d1 @[dec_tlu_ctl.scala 950:39] + csr.io.exc_cause_wb <= exc_cause_wb @[dec_tlu_ctl.scala 951:39] + csr.io.nmi_lsu_store_type <= nmi_lsu_store_type @[dec_tlu_ctl.scala 952:39] + csr.io.nmi_lsu_load_type <= nmi_lsu_load_type @[dec_tlu_ctl.scala 953:39] + csr.io.tlu_i0_commit_cmt <= tlu_i0_commit_cmt @[dec_tlu_ctl.scala 954:39] + csr.io.ebreak_r <= ebreak_r @[dec_tlu_ctl.scala 955:39] + csr.io.ecall_r <= ecall_r @[dec_tlu_ctl.scala 956:39] + csr.io.illegal_r <= illegal_r @[dec_tlu_ctl.scala 957:39] + csr.io.mdseac_locked_f <= mdseac_locked_f @[dec_tlu_ctl.scala 958:39] + csr.io.nmi_int_detected_f <= nmi_int_detected_f @[dec_tlu_ctl.scala 959:39] + csr.io.internal_dbg_halt_mode_f2 <= internal_dbg_halt_mode_f2 @[dec_tlu_ctl.scala 960:39] + csr.io.ext_int_freeze_d1 <= ext_int_freeze_d1 @[dec_tlu_ctl.scala 961:39] + csr.io.ic_perr_r_d1 <= ic_perr_r_d1 @[dec_tlu_ctl.scala 962:39] + csr.io.iccm_sbecc_r_d1 <= iccm_sbecc_r_d1 @[dec_tlu_ctl.scala 963:39] + csr.io.lsu_single_ecc_error_r_d1 <= lsu_single_ecc_error_r_d1 @[dec_tlu_ctl.scala 964:39] + csr.io.ifu_miss_state_idle_f <= ifu_miss_state_idle_f @[dec_tlu_ctl.scala 965:39] + csr.io.lsu_idle_any_f <= lsu_idle_any_f @[dec_tlu_ctl.scala 966:39] + csr.io.dbg_tlu_halted_f <= dbg_tlu_halted_f @[dec_tlu_ctl.scala 967:39] + csr.io.dbg_tlu_halted <= dbg_tlu_halted @[dec_tlu_ctl.scala 968:39] + csr.io.debug_halt_req_f <= debug_halt_req_f @[dec_tlu_ctl.scala 969:51] + csr.io.take_ext_int_start <= take_ext_int_start @[dec_tlu_ctl.scala 970:47] + csr.io.trigger_hit_dmode_r_d1 <= trigger_hit_dmode_r_d1 @[dec_tlu_ctl.scala 971:43] + csr.io.trigger_hit_r_d1 <= trigger_hit_r_d1 @[dec_tlu_ctl.scala 972:43] + csr.io.dcsr_single_step_done_f <= dcsr_single_step_done_f @[dec_tlu_ctl.scala 973:43] + csr.io.ebreak_to_debug_mode_r_d1 <= ebreak_to_debug_mode_r_d1 @[dec_tlu_ctl.scala 974:39] + csr.io.debug_halt_req <= debug_halt_req @[dec_tlu_ctl.scala 975:51] + csr.io.allow_dbg_halt_csr_write <= allow_dbg_halt_csr_write @[dec_tlu_ctl.scala 976:39] + csr.io.internal_dbg_halt_mode_f <= debug_mode_status @[dec_tlu_ctl.scala 977:39] + csr.io.enter_debug_halt_req <= enter_debug_halt_req @[dec_tlu_ctl.scala 978:39] + csr.io.internal_dbg_halt_mode <= internal_dbg_halt_mode @[dec_tlu_ctl.scala 979:39] + csr.io.request_debug_mode_done <= request_debug_mode_done @[dec_tlu_ctl.scala 980:39] + csr.io.request_debug_mode_r <= request_debug_mode_r @[dec_tlu_ctl.scala 981:39] + csr.io.update_hit_bit_r <= update_hit_bit_r @[dec_tlu_ctl.scala 982:39] + csr.io.take_timer_int <= take_timer_int @[dec_tlu_ctl.scala 983:39] + csr.io.take_int_timer0_int <= take_int_timer0_int @[dec_tlu_ctl.scala 984:39] + csr.io.take_int_timer1_int <= take_int_timer1_int @[dec_tlu_ctl.scala 985:39] + csr.io.take_ext_int <= take_ext_int @[dec_tlu_ctl.scala 986:39] + csr.io.tlu_flush_lower_r <= tlu_flush_lower_r @[dec_tlu_ctl.scala 987:39] + csr.io.dec_tlu_br0_error_r <= dec_tlu_br0_error_r @[dec_tlu_ctl.scala 988:39] + csr.io.dec_tlu_br0_start_error_r <= dec_tlu_br0_start_error_r @[dec_tlu_ctl.scala 989:39] + csr.io.lsu_pmu_load_external_r <= lsu_pmu_load_external_r @[dec_tlu_ctl.scala 990:39] + csr.io.lsu_pmu_store_external_r <= lsu_pmu_store_external_r @[dec_tlu_ctl.scala 991:39] + csr.io.csr_pkt.legal <= csr_pkt.legal @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.postsync <= csr_pkt.postsync @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.presync <= csr_pkt.presync @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_dicago <= csr_pkt.csr_dicago @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_dicad1 <= csr_pkt.csr_dicad1 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_dicad0 <= csr_pkt.csr_dicad0 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_dicad0h <= csr_pkt.csr_dicad0h @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_dicawics <= csr_pkt.csr_dicawics @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mfdhs <= csr_pkt.csr_mfdhs @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mfdht <= csr_pkt.csr_mfdht @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mdccmect <= csr_pkt.csr_mdccmect @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_miccmect <= csr_pkt.csr_miccmect @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_micect <= csr_pkt.csr_micect @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mdeau <= csr_pkt.csr_mdeau @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_meicpct <= csr_pkt.csr_meicpct @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mcpc <= csr_pkt.csr_mcpc @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mpmc <= csr_pkt.csr_mpmc @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mitcnt1 <= csr_pkt.csr_mitcnt1 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mitcnt0 <= csr_pkt.csr_mitcnt0 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mitb1 <= csr_pkt.csr_mitb1 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mitb0 <= csr_pkt.csr_mitb0 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mitctl1 <= csr_pkt.csr_mitctl1 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mitctl0 <= csr_pkt.csr_mitctl0 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mcountinhibit <= csr_pkt.csr_mcountinhibit @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mhpme6 <= csr_pkt.csr_mhpme6 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mhpme5 <= csr_pkt.csr_mhpme5 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mhpme4 <= csr_pkt.csr_mhpme4 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mhpme3 <= csr_pkt.csr_mhpme3 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mhpmc6h <= csr_pkt.csr_mhpmc6h @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mhpmc5h <= csr_pkt.csr_mhpmc5h @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mhpmc4h <= csr_pkt.csr_mhpmc4h @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mhpmc3h <= csr_pkt.csr_mhpmc3h @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mhpmc6 <= csr_pkt.csr_mhpmc6 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mhpmc5 <= csr_pkt.csr_mhpmc5 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mhpmc4 <= csr_pkt.csr_mhpmc4 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mhpmc3 <= csr_pkt.csr_mhpmc3 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mtdata2 <= csr_pkt.csr_mtdata2 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mtdata1 <= csr_pkt.csr_mtdata1 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mtsel <= csr_pkt.csr_mtsel @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_dpc <= csr_pkt.csr_dpc @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mfdc <= csr_pkt.csr_mfdc @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mcgc <= csr_pkt.csr_mcgc @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_dcsr <= csr_pkt.csr_dcsr @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_meicidpl <= csr_pkt.csr_meicidpl @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_meicurpl <= csr_pkt.csr_meicurpl @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_meipt <= csr_pkt.csr_meipt @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_meivt <= csr_pkt.csr_meivt @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_meihap <= csr_pkt.csr_meihap @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mdseac <= csr_pkt.csr_mdseac @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_dmst <= csr_pkt.csr_dmst @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mrac <= csr_pkt.csr_mrac @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mtval <= csr_pkt.csr_mtval @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mscause <= csr_pkt.csr_mscause @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mcause <= csr_pkt.csr_mcause @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mepc <= csr_pkt.csr_mepc @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mscratch <= csr_pkt.csr_mscratch @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_minstreth <= csr_pkt.csr_minstreth @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_minstretl <= csr_pkt.csr_minstretl @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mcycleh <= csr_pkt.csr_mcycleh @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mcyclel <= csr_pkt.csr_mcyclel @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mie <= csr_pkt.csr_mie @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mip <= csr_pkt.csr_mip @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mtvec <= csr_pkt.csr_mtvec @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mstatus <= csr_pkt.csr_mstatus @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mhartid <= csr_pkt.csr_mhartid @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mimpid <= csr_pkt.csr_mimpid @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_marchid <= csr_pkt.csr_marchid @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mvendorid <= csr_pkt.csr_mvendorid @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_misa <= csr_pkt.csr_misa @[dec_tlu_ctl.scala 992:39] + npc_r <= csr.io.npc_r @[dec_tlu_ctl.scala 994:31] + npc_r_d1 <= csr.io.npc_r_d1 @[dec_tlu_ctl.scala 995:31] + mie_ns <= csr.io.mie_ns @[dec_tlu_ctl.scala 996:31] + mepc <= csr.io.mepc @[dec_tlu_ctl.scala 997:31] + mdseac_locked_ns <= csr.io.mdseac_locked_ns @[dec_tlu_ctl.scala 998:31] + force_halt <= csr.io.force_halt @[dec_tlu_ctl.scala 999:31] + dpc <= csr.io.dpc @[dec_tlu_ctl.scala 1000:31] + mstatus_mie_ns <= csr.io.mstatus_mie_ns @[dec_tlu_ctl.scala 1001:31] + dec_csr_wen_r_mod <= csr.io.dec_csr_wen_r_mod @[dec_tlu_ctl.scala 1002:31] + fw_halt_req <= csr.io.fw_halt_req @[dec_tlu_ctl.scala 1003:31] + mstatus <= csr.io.mstatus @[dec_tlu_ctl.scala 1004:31] + dcsr <= csr.io.dcsr @[dec_tlu_ctl.scala 1005:31] + mtvec <= csr.io.mtvec @[dec_tlu_ctl.scala 1006:31] + mip <= csr.io.mip @[dec_tlu_ctl.scala 1007:31] + mtdata1_t[0] <= csr.io.mtdata1_t[0] @[dec_tlu_ctl.scala 1008:33] + mtdata1_t[1] <= csr.io.mtdata1_t[1] @[dec_tlu_ctl.scala 1008:33] + mtdata1_t[2] <= csr.io.mtdata1_t[2] @[dec_tlu_ctl.scala 1008:33] + mtdata1_t[3] <= csr.io.mtdata1_t[3] @[dec_tlu_ctl.scala 1008:33] + inst csr_read of dec_decode_csr_read @[dec_tlu_ctl.scala 1009:22] + csr_read.clock <= clock + csr_read.reset <= reset + csr_read.io.dec_csr_rdaddr_d <= io.dec_csr_rdaddr_d @[dec_tlu_ctl.scala 1010:37] + csr_pkt.legal <= csr_read.io.csr_pkt.legal @[dec_tlu_ctl.scala 1011:16] + csr_pkt.postsync <= csr_read.io.csr_pkt.postsync @[dec_tlu_ctl.scala 1011:16] + csr_pkt.presync <= csr_read.io.csr_pkt.presync @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_dicago <= csr_read.io.csr_pkt.csr_dicago @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_dicad1 <= csr_read.io.csr_pkt.csr_dicad1 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_dicad0 <= csr_read.io.csr_pkt.csr_dicad0 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_dicad0h <= csr_read.io.csr_pkt.csr_dicad0h @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_dicawics <= csr_read.io.csr_pkt.csr_dicawics @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mfdhs <= csr_read.io.csr_pkt.csr_mfdhs @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mfdht <= csr_read.io.csr_pkt.csr_mfdht @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mdccmect <= csr_read.io.csr_pkt.csr_mdccmect @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_miccmect <= csr_read.io.csr_pkt.csr_miccmect @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_micect <= csr_read.io.csr_pkt.csr_micect @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mdeau <= csr_read.io.csr_pkt.csr_mdeau @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_meicpct <= csr_read.io.csr_pkt.csr_meicpct @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mcpc <= csr_read.io.csr_pkt.csr_mcpc @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mpmc <= csr_read.io.csr_pkt.csr_mpmc @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mitcnt1 <= csr_read.io.csr_pkt.csr_mitcnt1 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mitcnt0 <= csr_read.io.csr_pkt.csr_mitcnt0 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mitb1 <= csr_read.io.csr_pkt.csr_mitb1 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mitb0 <= csr_read.io.csr_pkt.csr_mitb0 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mitctl1 <= csr_read.io.csr_pkt.csr_mitctl1 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mitctl0 <= csr_read.io.csr_pkt.csr_mitctl0 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mcountinhibit <= csr_read.io.csr_pkt.csr_mcountinhibit @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mhpme6 <= csr_read.io.csr_pkt.csr_mhpme6 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mhpme5 <= csr_read.io.csr_pkt.csr_mhpme5 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mhpme4 <= csr_read.io.csr_pkt.csr_mhpme4 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mhpme3 <= csr_read.io.csr_pkt.csr_mhpme3 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mhpmc6h <= csr_read.io.csr_pkt.csr_mhpmc6h @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mhpmc5h <= csr_read.io.csr_pkt.csr_mhpmc5h @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mhpmc4h <= csr_read.io.csr_pkt.csr_mhpmc4h @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mhpmc3h <= csr_read.io.csr_pkt.csr_mhpmc3h @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mhpmc6 <= csr_read.io.csr_pkt.csr_mhpmc6 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mhpmc5 <= csr_read.io.csr_pkt.csr_mhpmc5 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mhpmc4 <= csr_read.io.csr_pkt.csr_mhpmc4 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mhpmc3 <= csr_read.io.csr_pkt.csr_mhpmc3 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mtdata2 <= csr_read.io.csr_pkt.csr_mtdata2 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mtdata1 <= csr_read.io.csr_pkt.csr_mtdata1 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mtsel <= csr_read.io.csr_pkt.csr_mtsel @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_dpc <= csr_read.io.csr_pkt.csr_dpc @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mfdc <= csr_read.io.csr_pkt.csr_mfdc @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mcgc <= csr_read.io.csr_pkt.csr_mcgc @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_dcsr <= csr_read.io.csr_pkt.csr_dcsr @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_meicidpl <= csr_read.io.csr_pkt.csr_meicidpl @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_meicurpl <= csr_read.io.csr_pkt.csr_meicurpl @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_meipt <= csr_read.io.csr_pkt.csr_meipt @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_meivt <= csr_read.io.csr_pkt.csr_meivt @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_meihap <= csr_read.io.csr_pkt.csr_meihap @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mdseac <= csr_read.io.csr_pkt.csr_mdseac @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_dmst <= csr_read.io.csr_pkt.csr_dmst @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mrac <= csr_read.io.csr_pkt.csr_mrac @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mtval <= csr_read.io.csr_pkt.csr_mtval @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mscause <= csr_read.io.csr_pkt.csr_mscause @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mcause <= csr_read.io.csr_pkt.csr_mcause @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mepc <= csr_read.io.csr_pkt.csr_mepc @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mscratch <= csr_read.io.csr_pkt.csr_mscratch @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_minstreth <= csr_read.io.csr_pkt.csr_minstreth @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_minstretl <= csr_read.io.csr_pkt.csr_minstretl @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mcycleh <= csr_read.io.csr_pkt.csr_mcycleh @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mcyclel <= csr_read.io.csr_pkt.csr_mcyclel @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mie <= csr_read.io.csr_pkt.csr_mie @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mip <= csr_read.io.csr_pkt.csr_mip @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mtvec <= csr_read.io.csr_pkt.csr_mtvec @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mstatus <= csr_read.io.csr_pkt.csr_mstatus @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mhartid <= csr_read.io.csr_pkt.csr_mhartid @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mimpid <= csr_read.io.csr_pkt.csr_mimpid @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_marchid <= csr_read.io.csr_pkt.csr_marchid @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mvendorid <= csr_read.io.csr_pkt.csr_mvendorid @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_misa <= csr_read.io.csr_pkt.csr_misa @[dec_tlu_ctl.scala 1011:16] + node _T_864 = and(csr_pkt.presync, io.dec_csr_any_unq_d) @[dec_tlu_ctl.scala 1013:42] + node _T_865 = not(io.dec_csr_wen_unq_d) @[dec_tlu_ctl.scala 1013:67] + node _T_866 = and(_T_864, _T_865) @[dec_tlu_ctl.scala 1013:65] + io.dec_tlu_presync_d <= _T_866 @[dec_tlu_ctl.scala 1013:23] + node _T_867 = and(csr_pkt.postsync, io.dec_csr_any_unq_d) @[dec_tlu_ctl.scala 1014:43] + io.dec_tlu_postsync_d <= _T_867 @[dec_tlu_ctl.scala 1014:23] + node _T_868 = or(csr_pkt.csr_mitcnt0, csr_pkt.csr_mitcnt1) @[dec_tlu_ctl.scala 1017:50] + node _T_869 = or(_T_868, csr_pkt.csr_mitb0) @[dec_tlu_ctl.scala 1017:72] + node _T_870 = or(_T_869, csr_pkt.csr_mitb1) @[dec_tlu_ctl.scala 1017:92] + node _T_871 = or(_T_870, csr_pkt.csr_mitctl0) @[dec_tlu_ctl.scala 1017:112] + node _T_872 = or(_T_871, csr_pkt.csr_mitctl1) @[dec_tlu_ctl.scala 1017:134] + node _T_873 = not(UInt<1>("h01")) @[dec_tlu_ctl.scala 1017:159] + node conditionally_illegal = and(_T_872, _T_873) @[dec_tlu_ctl.scala 1017:157] + node _T_874 = or(csr_pkt.csr_dcsr, csr_pkt.csr_dpc) @[dec_tlu_ctl.scala 1018:55] + node _T_875 = or(_T_874, csr_pkt.csr_dmst) @[dec_tlu_ctl.scala 1018:73] + node _T_876 = or(_T_875, csr_pkt.csr_dicawics) @[dec_tlu_ctl.scala 1018:92] + node _T_877 = or(_T_876, csr_pkt.csr_dicad0) @[dec_tlu_ctl.scala 1018:115] + node _T_878 = or(_T_877, csr_pkt.csr_dicad0h) @[dec_tlu_ctl.scala 1018:136] + node _T_879 = or(_T_878, csr_pkt.csr_dicad1) @[dec_tlu_ctl.scala 1018:158] + node _T_880 = or(_T_879, csr_pkt.csr_dicago) @[dec_tlu_ctl.scala 1018:179] + node _T_881 = not(_T_880) @[dec_tlu_ctl.scala 1018:36] + node _T_882 = or(_T_881, dbg_tlu_halted_f) @[dec_tlu_ctl.scala 1018:201] + node _T_883 = and(csr_pkt.legal, _T_882) @[dec_tlu_ctl.scala 1018:33] + node _T_884 = not(fast_int_meicpct) @[dec_tlu_ctl.scala 1018:223] + node _T_885 = and(_T_883, _T_884) @[dec_tlu_ctl.scala 1018:221] + node _T_886 = not(conditionally_illegal) @[dec_tlu_ctl.scala 1018:243] + node valid_csr = and(_T_885, _T_886) @[dec_tlu_ctl.scala 1018:241] + node _T_887 = and(io.dec_csr_any_unq_d, valid_csr) @[dec_tlu_ctl.scala 1020:46] + node _T_888 = or(csr_pkt.csr_mvendorid, csr_pkt.csr_marchid) @[dec_tlu_ctl.scala 1020:107] + node _T_889 = or(_T_888, csr_pkt.csr_mimpid) @[dec_tlu_ctl.scala 1020:129] + node _T_890 = or(_T_889, csr_pkt.csr_mhartid) @[dec_tlu_ctl.scala 1020:150] + node _T_891 = or(_T_890, csr_pkt.csr_mdseac) @[dec_tlu_ctl.scala 1020:172] + node _T_892 = or(_T_891, csr_pkt.csr_meihap) @[dec_tlu_ctl.scala 1020:193] + node _T_893 = and(io.dec_csr_wen_unq_d, _T_892) @[dec_tlu_ctl.scala 1020:82] + node _T_894 = not(_T_893) @[dec_tlu_ctl.scala 1020:59] + node _T_895 = and(_T_887, _T_894) @[dec_tlu_ctl.scala 1020:57] + io.dec_csr_legal_d <= _T_895 @[dec_tlu_ctl.scala 1020:20] + + module dec_trigger : + input clock : Clock + input reset : Reset + output io : {flip trigger_pkt_any : {select : UInt<1>, match_pkt : UInt<1>, store : UInt<1>, load : UInt<1>, execute : UInt<1>, m : UInt<1>, tdata2 : UInt<32>}[4], flip dec_i0_pc_d : UInt<31>, dec_i0_trigger_match_d : UInt<4>} + + node _T = eq(io.trigger_pkt_any[0].select, UInt<1>("h00")) @[dec_trigger.scala 14:63] + node _T_1 = and(_T, io.trigger_pkt_any[0].execute) @[dec_trigger.scala 14:93] + wire _T_2 : UInt<1>[32] @[lib.scala 12:48] + _T_2[0] <= _T_1 @[lib.scala 12:48] + _T_2[1] <= _T_1 @[lib.scala 12:48] + _T_2[2] <= _T_1 @[lib.scala 12:48] + _T_2[3] <= _T_1 @[lib.scala 12:48] + _T_2[4] <= _T_1 @[lib.scala 12:48] + _T_2[5] <= _T_1 @[lib.scala 12:48] + _T_2[6] <= _T_1 @[lib.scala 12:48] + _T_2[7] <= _T_1 @[lib.scala 12:48] + _T_2[8] <= _T_1 @[lib.scala 12:48] + _T_2[9] <= _T_1 @[lib.scala 12:48] + _T_2[10] <= _T_1 @[lib.scala 12:48] + _T_2[11] <= _T_1 @[lib.scala 12:48] + _T_2[12] <= _T_1 @[lib.scala 12:48] + _T_2[13] <= _T_1 @[lib.scala 12:48] + _T_2[14] <= _T_1 @[lib.scala 12:48] + _T_2[15] <= _T_1 @[lib.scala 12:48] + _T_2[16] <= _T_1 @[lib.scala 12:48] + _T_2[17] <= _T_1 @[lib.scala 12:48] + _T_2[18] <= _T_1 @[lib.scala 12:48] + _T_2[19] <= _T_1 @[lib.scala 12:48] + _T_2[20] <= _T_1 @[lib.scala 12:48] + _T_2[21] <= _T_1 @[lib.scala 12:48] + _T_2[22] <= _T_1 @[lib.scala 12:48] + _T_2[23] <= _T_1 @[lib.scala 12:48] + _T_2[24] <= _T_1 @[lib.scala 12:48] + _T_2[25] <= _T_1 @[lib.scala 12:48] + _T_2[26] <= _T_1 @[lib.scala 12:48] + _T_2[27] <= _T_1 @[lib.scala 12:48] + _T_2[28] <= _T_1 @[lib.scala 12:48] + _T_2[29] <= _T_1 @[lib.scala 12:48] + _T_2[30] <= _T_1 @[lib.scala 12:48] + _T_2[31] <= _T_1 @[lib.scala 12:48] + node _T_3 = cat(_T_2[0], _T_2[1]) @[Cat.scala 29:58] + node _T_4 = cat(_T_3, _T_2[2]) @[Cat.scala 29:58] + node _T_5 = cat(_T_4, _T_2[3]) @[Cat.scala 29:58] + node _T_6 = cat(_T_5, _T_2[4]) @[Cat.scala 29:58] + node _T_7 = cat(_T_6, _T_2[5]) @[Cat.scala 29:58] + node _T_8 = cat(_T_7, _T_2[6]) @[Cat.scala 29:58] + node _T_9 = cat(_T_8, _T_2[7]) @[Cat.scala 29:58] + node _T_10 = cat(_T_9, _T_2[8]) @[Cat.scala 29:58] + node _T_11 = cat(_T_10, _T_2[9]) @[Cat.scala 29:58] + node _T_12 = cat(_T_11, _T_2[10]) @[Cat.scala 29:58] + node _T_13 = cat(_T_12, _T_2[11]) @[Cat.scala 29:58] + node _T_14 = cat(_T_13, _T_2[12]) @[Cat.scala 29:58] + node _T_15 = cat(_T_14, _T_2[13]) @[Cat.scala 29:58] + node _T_16 = cat(_T_15, _T_2[14]) @[Cat.scala 29:58] + node _T_17 = cat(_T_16, _T_2[15]) @[Cat.scala 29:58] + node _T_18 = cat(_T_17, _T_2[16]) @[Cat.scala 29:58] + node _T_19 = cat(_T_18, _T_2[17]) @[Cat.scala 29:58] + node _T_20 = cat(_T_19, _T_2[18]) @[Cat.scala 29:58] + node _T_21 = cat(_T_20, _T_2[19]) @[Cat.scala 29:58] + node _T_22 = cat(_T_21, _T_2[20]) @[Cat.scala 29:58] + node _T_23 = cat(_T_22, _T_2[21]) @[Cat.scala 29:58] + node _T_24 = cat(_T_23, _T_2[22]) @[Cat.scala 29:58] + node _T_25 = cat(_T_24, _T_2[23]) @[Cat.scala 29:58] + node _T_26 = cat(_T_25, _T_2[24]) @[Cat.scala 29:58] + node _T_27 = cat(_T_26, _T_2[25]) @[Cat.scala 29:58] + node _T_28 = cat(_T_27, _T_2[26]) @[Cat.scala 29:58] + node _T_29 = cat(_T_28, _T_2[27]) @[Cat.scala 29:58] + node _T_30 = cat(_T_29, _T_2[28]) @[Cat.scala 29:58] + node _T_31 = cat(_T_30, _T_2[29]) @[Cat.scala 29:58] + node _T_32 = cat(_T_31, _T_2[30]) @[Cat.scala 29:58] + node _T_33 = cat(_T_32, _T_2[31]) @[Cat.scala 29:58] + node _T_34 = bits(io.trigger_pkt_any[0].tdata2, 0, 0) @[dec_trigger.scala 14:177] + node _T_35 = cat(io.dec_i0_pc_d, _T_34) @[Cat.scala 29:58] + node _T_36 = and(_T_33, _T_35) @[dec_trigger.scala 14:127] + node _T_37 = eq(io.trigger_pkt_any[1].select, UInt<1>("h00")) @[dec_trigger.scala 14:63] + node _T_38 = and(_T_37, io.trigger_pkt_any[1].execute) @[dec_trigger.scala 14:93] + wire _T_39 : UInt<1>[32] @[lib.scala 12:48] + _T_39[0] <= _T_38 @[lib.scala 12:48] + _T_39[1] <= _T_38 @[lib.scala 12:48] + _T_39[2] <= _T_38 @[lib.scala 12:48] + _T_39[3] <= _T_38 @[lib.scala 12:48] + _T_39[4] <= _T_38 @[lib.scala 12:48] + _T_39[5] <= _T_38 @[lib.scala 12:48] + _T_39[6] <= _T_38 @[lib.scala 12:48] + _T_39[7] <= _T_38 @[lib.scala 12:48] + _T_39[8] <= _T_38 @[lib.scala 12:48] + _T_39[9] <= _T_38 @[lib.scala 12:48] + _T_39[10] <= _T_38 @[lib.scala 12:48] + _T_39[11] <= _T_38 @[lib.scala 12:48] + _T_39[12] <= _T_38 @[lib.scala 12:48] + _T_39[13] <= _T_38 @[lib.scala 12:48] + _T_39[14] <= _T_38 @[lib.scala 12:48] + _T_39[15] <= _T_38 @[lib.scala 12:48] + _T_39[16] <= _T_38 @[lib.scala 12:48] + _T_39[17] <= _T_38 @[lib.scala 12:48] + _T_39[18] <= _T_38 @[lib.scala 12:48] + _T_39[19] <= _T_38 @[lib.scala 12:48] + _T_39[20] <= _T_38 @[lib.scala 12:48] + _T_39[21] <= _T_38 @[lib.scala 12:48] + _T_39[22] <= _T_38 @[lib.scala 12:48] + _T_39[23] <= _T_38 @[lib.scala 12:48] + _T_39[24] <= _T_38 @[lib.scala 12:48] + _T_39[25] <= _T_38 @[lib.scala 12:48] + _T_39[26] <= _T_38 @[lib.scala 12:48] + _T_39[27] <= _T_38 @[lib.scala 12:48] + _T_39[28] <= _T_38 @[lib.scala 12:48] + _T_39[29] <= _T_38 @[lib.scala 12:48] + _T_39[30] <= _T_38 @[lib.scala 12:48] + _T_39[31] <= _T_38 @[lib.scala 12:48] + node _T_40 = cat(_T_39[0], _T_39[1]) @[Cat.scala 29:58] + node _T_41 = cat(_T_40, _T_39[2]) @[Cat.scala 29:58] + node _T_42 = cat(_T_41, _T_39[3]) @[Cat.scala 29:58] + node _T_43 = cat(_T_42, _T_39[4]) @[Cat.scala 29:58] + node _T_44 = cat(_T_43, _T_39[5]) @[Cat.scala 29:58] + node _T_45 = cat(_T_44, _T_39[6]) @[Cat.scala 29:58] + node _T_46 = cat(_T_45, _T_39[7]) @[Cat.scala 29:58] + node _T_47 = cat(_T_46, _T_39[8]) @[Cat.scala 29:58] + node _T_48 = cat(_T_47, _T_39[9]) @[Cat.scala 29:58] + node _T_49 = cat(_T_48, _T_39[10]) @[Cat.scala 29:58] + node _T_50 = cat(_T_49, _T_39[11]) @[Cat.scala 29:58] + node _T_51 = cat(_T_50, _T_39[12]) @[Cat.scala 29:58] + node _T_52 = cat(_T_51, _T_39[13]) @[Cat.scala 29:58] + node _T_53 = cat(_T_52, _T_39[14]) @[Cat.scala 29:58] + node _T_54 = cat(_T_53, _T_39[15]) @[Cat.scala 29:58] + node _T_55 = cat(_T_54, _T_39[16]) @[Cat.scala 29:58] + node _T_56 = cat(_T_55, _T_39[17]) @[Cat.scala 29:58] + node _T_57 = cat(_T_56, _T_39[18]) @[Cat.scala 29:58] + node _T_58 = cat(_T_57, _T_39[19]) @[Cat.scala 29:58] + node _T_59 = cat(_T_58, _T_39[20]) @[Cat.scala 29:58] + node _T_60 = cat(_T_59, _T_39[21]) @[Cat.scala 29:58] + node _T_61 = cat(_T_60, _T_39[22]) @[Cat.scala 29:58] + node _T_62 = cat(_T_61, _T_39[23]) @[Cat.scala 29:58] + node _T_63 = cat(_T_62, _T_39[24]) @[Cat.scala 29:58] + node _T_64 = cat(_T_63, _T_39[25]) @[Cat.scala 29:58] + node _T_65 = cat(_T_64, _T_39[26]) @[Cat.scala 29:58] + node _T_66 = cat(_T_65, _T_39[27]) @[Cat.scala 29:58] + node _T_67 = cat(_T_66, _T_39[28]) @[Cat.scala 29:58] + node _T_68 = cat(_T_67, _T_39[29]) @[Cat.scala 29:58] + node _T_69 = cat(_T_68, _T_39[30]) @[Cat.scala 29:58] + node _T_70 = cat(_T_69, _T_39[31]) @[Cat.scala 29:58] + node _T_71 = bits(io.trigger_pkt_any[1].tdata2, 0, 0) @[dec_trigger.scala 14:177] + node _T_72 = cat(io.dec_i0_pc_d, _T_71) @[Cat.scala 29:58] + node _T_73 = and(_T_70, _T_72) @[dec_trigger.scala 14:127] + node _T_74 = eq(io.trigger_pkt_any[2].select, UInt<1>("h00")) @[dec_trigger.scala 14:63] + node _T_75 = and(_T_74, io.trigger_pkt_any[2].execute) @[dec_trigger.scala 14:93] + wire _T_76 : UInt<1>[32] @[lib.scala 12:48] + _T_76[0] <= _T_75 @[lib.scala 12:48] + _T_76[1] <= _T_75 @[lib.scala 12:48] + _T_76[2] <= _T_75 @[lib.scala 12:48] + _T_76[3] <= _T_75 @[lib.scala 12:48] + _T_76[4] <= _T_75 @[lib.scala 12:48] + _T_76[5] <= _T_75 @[lib.scala 12:48] + _T_76[6] <= _T_75 @[lib.scala 12:48] + _T_76[7] <= _T_75 @[lib.scala 12:48] + _T_76[8] <= _T_75 @[lib.scala 12:48] + _T_76[9] <= _T_75 @[lib.scala 12:48] + _T_76[10] <= _T_75 @[lib.scala 12:48] + _T_76[11] <= _T_75 @[lib.scala 12:48] + _T_76[12] <= _T_75 @[lib.scala 12:48] + _T_76[13] <= _T_75 @[lib.scala 12:48] + _T_76[14] <= _T_75 @[lib.scala 12:48] + _T_76[15] <= _T_75 @[lib.scala 12:48] + _T_76[16] <= _T_75 @[lib.scala 12:48] + _T_76[17] <= _T_75 @[lib.scala 12:48] + _T_76[18] <= _T_75 @[lib.scala 12:48] + _T_76[19] <= _T_75 @[lib.scala 12:48] + _T_76[20] <= _T_75 @[lib.scala 12:48] + _T_76[21] <= _T_75 @[lib.scala 12:48] + _T_76[22] <= _T_75 @[lib.scala 12:48] + _T_76[23] <= _T_75 @[lib.scala 12:48] + _T_76[24] <= _T_75 @[lib.scala 12:48] + _T_76[25] <= _T_75 @[lib.scala 12:48] + _T_76[26] <= _T_75 @[lib.scala 12:48] + _T_76[27] <= _T_75 @[lib.scala 12:48] + _T_76[28] <= _T_75 @[lib.scala 12:48] + _T_76[29] <= _T_75 @[lib.scala 12:48] + _T_76[30] <= _T_75 @[lib.scala 12:48] + _T_76[31] <= _T_75 @[lib.scala 12:48] + node _T_77 = cat(_T_76[0], _T_76[1]) @[Cat.scala 29:58] + node _T_78 = cat(_T_77, _T_76[2]) @[Cat.scala 29:58] + node _T_79 = cat(_T_78, _T_76[3]) @[Cat.scala 29:58] + node _T_80 = cat(_T_79, _T_76[4]) @[Cat.scala 29:58] + node _T_81 = cat(_T_80, _T_76[5]) @[Cat.scala 29:58] + node _T_82 = cat(_T_81, _T_76[6]) @[Cat.scala 29:58] + node _T_83 = cat(_T_82, _T_76[7]) @[Cat.scala 29:58] + node _T_84 = cat(_T_83, _T_76[8]) @[Cat.scala 29:58] + node _T_85 = cat(_T_84, _T_76[9]) @[Cat.scala 29:58] + node _T_86 = cat(_T_85, _T_76[10]) @[Cat.scala 29:58] + node _T_87 = cat(_T_86, _T_76[11]) @[Cat.scala 29:58] + node _T_88 = cat(_T_87, _T_76[12]) @[Cat.scala 29:58] + node _T_89 = cat(_T_88, _T_76[13]) @[Cat.scala 29:58] + node _T_90 = cat(_T_89, _T_76[14]) @[Cat.scala 29:58] + node _T_91 = cat(_T_90, _T_76[15]) @[Cat.scala 29:58] + node _T_92 = cat(_T_91, _T_76[16]) @[Cat.scala 29:58] + node _T_93 = cat(_T_92, _T_76[17]) @[Cat.scala 29:58] + node _T_94 = cat(_T_93, _T_76[18]) @[Cat.scala 29:58] + node _T_95 = cat(_T_94, _T_76[19]) @[Cat.scala 29:58] + node _T_96 = cat(_T_95, _T_76[20]) @[Cat.scala 29:58] + node _T_97 = cat(_T_96, _T_76[21]) @[Cat.scala 29:58] + node _T_98 = cat(_T_97, _T_76[22]) @[Cat.scala 29:58] + node _T_99 = cat(_T_98, _T_76[23]) @[Cat.scala 29:58] + node _T_100 = cat(_T_99, _T_76[24]) @[Cat.scala 29:58] + node _T_101 = cat(_T_100, _T_76[25]) @[Cat.scala 29:58] + node _T_102 = cat(_T_101, _T_76[26]) @[Cat.scala 29:58] + node _T_103 = cat(_T_102, _T_76[27]) @[Cat.scala 29:58] + node _T_104 = cat(_T_103, _T_76[28]) @[Cat.scala 29:58] + node _T_105 = cat(_T_104, _T_76[29]) @[Cat.scala 29:58] + node _T_106 = cat(_T_105, _T_76[30]) @[Cat.scala 29:58] + node _T_107 = cat(_T_106, _T_76[31]) @[Cat.scala 29:58] + node _T_108 = bits(io.trigger_pkt_any[2].tdata2, 0, 0) @[dec_trigger.scala 14:177] + node _T_109 = cat(io.dec_i0_pc_d, _T_108) @[Cat.scala 29:58] + node _T_110 = and(_T_107, _T_109) @[dec_trigger.scala 14:127] + node _T_111 = eq(io.trigger_pkt_any[3].select, UInt<1>("h00")) @[dec_trigger.scala 14:63] + node _T_112 = and(_T_111, io.trigger_pkt_any[3].execute) @[dec_trigger.scala 14:93] + wire _T_113 : UInt<1>[32] @[lib.scala 12:48] + _T_113[0] <= _T_112 @[lib.scala 12:48] + _T_113[1] <= _T_112 @[lib.scala 12:48] + _T_113[2] <= _T_112 @[lib.scala 12:48] + _T_113[3] <= _T_112 @[lib.scala 12:48] + _T_113[4] <= _T_112 @[lib.scala 12:48] + _T_113[5] <= _T_112 @[lib.scala 12:48] + _T_113[6] <= _T_112 @[lib.scala 12:48] + _T_113[7] <= _T_112 @[lib.scala 12:48] + _T_113[8] <= _T_112 @[lib.scala 12:48] + _T_113[9] <= _T_112 @[lib.scala 12:48] + _T_113[10] <= _T_112 @[lib.scala 12:48] + _T_113[11] <= _T_112 @[lib.scala 12:48] + _T_113[12] <= _T_112 @[lib.scala 12:48] + _T_113[13] <= _T_112 @[lib.scala 12:48] + _T_113[14] <= _T_112 @[lib.scala 12:48] + _T_113[15] <= _T_112 @[lib.scala 12:48] + _T_113[16] <= _T_112 @[lib.scala 12:48] + _T_113[17] <= _T_112 @[lib.scala 12:48] + _T_113[18] <= _T_112 @[lib.scala 12:48] + _T_113[19] <= _T_112 @[lib.scala 12:48] + _T_113[20] <= _T_112 @[lib.scala 12:48] + _T_113[21] <= _T_112 @[lib.scala 12:48] + _T_113[22] <= _T_112 @[lib.scala 12:48] + _T_113[23] <= _T_112 @[lib.scala 12:48] + _T_113[24] <= _T_112 @[lib.scala 12:48] + _T_113[25] <= _T_112 @[lib.scala 12:48] + _T_113[26] <= _T_112 @[lib.scala 12:48] + _T_113[27] <= _T_112 @[lib.scala 12:48] + _T_113[28] <= _T_112 @[lib.scala 12:48] + _T_113[29] <= _T_112 @[lib.scala 12:48] + _T_113[30] <= _T_112 @[lib.scala 12:48] + _T_113[31] <= _T_112 @[lib.scala 12:48] + node _T_114 = cat(_T_113[0], _T_113[1]) @[Cat.scala 29:58] + node _T_115 = cat(_T_114, _T_113[2]) @[Cat.scala 29:58] + node _T_116 = cat(_T_115, _T_113[3]) @[Cat.scala 29:58] + node _T_117 = cat(_T_116, _T_113[4]) @[Cat.scala 29:58] + node _T_118 = cat(_T_117, _T_113[5]) @[Cat.scala 29:58] + node _T_119 = cat(_T_118, _T_113[6]) @[Cat.scala 29:58] + node _T_120 = cat(_T_119, _T_113[7]) @[Cat.scala 29:58] + node _T_121 = cat(_T_120, _T_113[8]) @[Cat.scala 29:58] + node _T_122 = cat(_T_121, _T_113[9]) @[Cat.scala 29:58] + node _T_123 = cat(_T_122, _T_113[10]) @[Cat.scala 29:58] + node _T_124 = cat(_T_123, _T_113[11]) @[Cat.scala 29:58] + node _T_125 = cat(_T_124, _T_113[12]) @[Cat.scala 29:58] + node _T_126 = cat(_T_125, _T_113[13]) @[Cat.scala 29:58] + node _T_127 = cat(_T_126, _T_113[14]) @[Cat.scala 29:58] + node _T_128 = cat(_T_127, _T_113[15]) @[Cat.scala 29:58] + node _T_129 = cat(_T_128, _T_113[16]) @[Cat.scala 29:58] + node _T_130 = cat(_T_129, _T_113[17]) @[Cat.scala 29:58] + node _T_131 = cat(_T_130, _T_113[18]) @[Cat.scala 29:58] + node _T_132 = cat(_T_131, _T_113[19]) @[Cat.scala 29:58] + node _T_133 = cat(_T_132, _T_113[20]) @[Cat.scala 29:58] + node _T_134 = cat(_T_133, _T_113[21]) @[Cat.scala 29:58] + node _T_135 = cat(_T_134, _T_113[22]) @[Cat.scala 29:58] + node _T_136 = cat(_T_135, _T_113[23]) @[Cat.scala 29:58] + node _T_137 = cat(_T_136, _T_113[24]) @[Cat.scala 29:58] + node _T_138 = cat(_T_137, _T_113[25]) @[Cat.scala 29:58] + node _T_139 = cat(_T_138, _T_113[26]) @[Cat.scala 29:58] + node _T_140 = cat(_T_139, _T_113[27]) @[Cat.scala 29:58] + node _T_141 = cat(_T_140, _T_113[28]) @[Cat.scala 29:58] + node _T_142 = cat(_T_141, _T_113[29]) @[Cat.scala 29:58] + node _T_143 = cat(_T_142, _T_113[30]) @[Cat.scala 29:58] + node _T_144 = cat(_T_143, _T_113[31]) @[Cat.scala 29:58] + node _T_145 = bits(io.trigger_pkt_any[3].tdata2, 0, 0) @[dec_trigger.scala 14:177] + node _T_146 = cat(io.dec_i0_pc_d, _T_145) @[Cat.scala 29:58] + node _T_147 = and(_T_144, _T_146) @[dec_trigger.scala 14:127] + wire dec_i0_match_data : UInt<32>[4] @[dec_trigger.scala 14:46] + dec_i0_match_data[0] <= _T_36 @[dec_trigger.scala 14:46] + dec_i0_match_data[1] <= _T_73 @[dec_trigger.scala 14:46] + dec_i0_match_data[2] <= _T_110 @[dec_trigger.scala 14:46] + dec_i0_match_data[3] <= _T_147 @[dec_trigger.scala 14:46] + node _T_148 = and(io.trigger_pkt_any[0].execute, io.trigger_pkt_any[0].m) @[dec_trigger.scala 15:83] + node _T_149 = bits(io.trigger_pkt_any[0].match_pkt, 0, 0) @[dec_trigger.scala 15:216] + wire _T_150 : UInt<1>[32] @[lib.scala 100:24] + node _T_151 = andr(io.trigger_pkt_any[0].tdata2) @[lib.scala 101:45] + node _T_152 = not(_T_151) @[lib.scala 101:39] + node _T_153 = and(_T_149, _T_152) @[lib.scala 101:37] + node _T_154 = bits(io.trigger_pkt_any[0].tdata2, 0, 0) @[lib.scala 102:48] + node _T_155 = bits(dec_i0_match_data[0], 0, 0) @[lib.scala 102:60] + node _T_156 = eq(_T_154, _T_155) @[lib.scala 102:52] + node _T_157 = or(_T_153, _T_156) @[lib.scala 102:41] + _T_150[0] <= _T_157 @[lib.scala 102:18] + node _T_158 = bits(io.trigger_pkt_any[0].tdata2, 0, 0) @[lib.scala 104:28] + node _T_159 = andr(_T_158) @[lib.scala 104:36] + node _T_160 = and(_T_159, _T_153) @[lib.scala 104:41] + node _T_161 = bits(io.trigger_pkt_any[0].tdata2, 1, 1) @[lib.scala 104:74] + node _T_162 = bits(dec_i0_match_data[0], 1, 1) @[lib.scala 104:86] + node _T_163 = eq(_T_161, _T_162) @[lib.scala 104:78] + node _T_164 = mux(_T_160, UInt<1>("h01"), _T_163) @[lib.scala 104:23] + _T_150[1] <= _T_164 @[lib.scala 104:17] + node _T_165 = bits(io.trigger_pkt_any[0].tdata2, 1, 0) @[lib.scala 104:28] + node _T_166 = andr(_T_165) @[lib.scala 104:36] + node _T_167 = and(_T_166, _T_153) @[lib.scala 104:41] + node _T_168 = bits(io.trigger_pkt_any[0].tdata2, 2, 2) @[lib.scala 104:74] + node _T_169 = bits(dec_i0_match_data[0], 2, 2) @[lib.scala 104:86] + node _T_170 = eq(_T_168, _T_169) @[lib.scala 104:78] + node _T_171 = mux(_T_167, UInt<1>("h01"), _T_170) @[lib.scala 104:23] + _T_150[2] <= _T_171 @[lib.scala 104:17] + node _T_172 = bits(io.trigger_pkt_any[0].tdata2, 2, 0) @[lib.scala 104:28] + node _T_173 = andr(_T_172) @[lib.scala 104:36] + node _T_174 = and(_T_173, _T_153) @[lib.scala 104:41] + node _T_175 = bits(io.trigger_pkt_any[0].tdata2, 3, 3) @[lib.scala 104:74] + node _T_176 = bits(dec_i0_match_data[0], 3, 3) @[lib.scala 104:86] + node _T_177 = eq(_T_175, _T_176) @[lib.scala 104:78] + node _T_178 = mux(_T_174, UInt<1>("h01"), _T_177) @[lib.scala 104:23] + _T_150[3] <= _T_178 @[lib.scala 104:17] + node _T_179 = bits(io.trigger_pkt_any[0].tdata2, 3, 0) @[lib.scala 104:28] + node _T_180 = andr(_T_179) @[lib.scala 104:36] + node _T_181 = and(_T_180, _T_153) @[lib.scala 104:41] + node _T_182 = bits(io.trigger_pkt_any[0].tdata2, 4, 4) @[lib.scala 104:74] + node _T_183 = bits(dec_i0_match_data[0], 4, 4) @[lib.scala 104:86] + node _T_184 = eq(_T_182, _T_183) @[lib.scala 104:78] + node _T_185 = mux(_T_181, UInt<1>("h01"), _T_184) @[lib.scala 104:23] + _T_150[4] <= _T_185 @[lib.scala 104:17] + node _T_186 = bits(io.trigger_pkt_any[0].tdata2, 4, 0) @[lib.scala 104:28] + node _T_187 = andr(_T_186) @[lib.scala 104:36] + node _T_188 = and(_T_187, _T_153) @[lib.scala 104:41] + node _T_189 = bits(io.trigger_pkt_any[0].tdata2, 5, 5) @[lib.scala 104:74] + node _T_190 = bits(dec_i0_match_data[0], 5, 5) @[lib.scala 104:86] + node _T_191 = eq(_T_189, _T_190) @[lib.scala 104:78] + node _T_192 = mux(_T_188, UInt<1>("h01"), _T_191) @[lib.scala 104:23] + _T_150[5] <= _T_192 @[lib.scala 104:17] + node _T_193 = bits(io.trigger_pkt_any[0].tdata2, 5, 0) @[lib.scala 104:28] + node _T_194 = andr(_T_193) @[lib.scala 104:36] + node _T_195 = and(_T_194, _T_153) @[lib.scala 104:41] + node _T_196 = bits(io.trigger_pkt_any[0].tdata2, 6, 6) @[lib.scala 104:74] + node _T_197 = bits(dec_i0_match_data[0], 6, 6) @[lib.scala 104:86] + node _T_198 = eq(_T_196, _T_197) @[lib.scala 104:78] + node _T_199 = mux(_T_195, UInt<1>("h01"), _T_198) @[lib.scala 104:23] + _T_150[6] <= _T_199 @[lib.scala 104:17] + node _T_200 = bits(io.trigger_pkt_any[0].tdata2, 6, 0) @[lib.scala 104:28] + node _T_201 = andr(_T_200) @[lib.scala 104:36] + node _T_202 = and(_T_201, _T_153) @[lib.scala 104:41] + node _T_203 = bits(io.trigger_pkt_any[0].tdata2, 7, 7) @[lib.scala 104:74] + node _T_204 = bits(dec_i0_match_data[0], 7, 7) @[lib.scala 104:86] + node _T_205 = eq(_T_203, _T_204) @[lib.scala 104:78] + node _T_206 = mux(_T_202, UInt<1>("h01"), _T_205) @[lib.scala 104:23] + _T_150[7] <= _T_206 @[lib.scala 104:17] + node _T_207 = bits(io.trigger_pkt_any[0].tdata2, 7, 0) @[lib.scala 104:28] + node _T_208 = andr(_T_207) @[lib.scala 104:36] + node _T_209 = and(_T_208, _T_153) @[lib.scala 104:41] + node _T_210 = bits(io.trigger_pkt_any[0].tdata2, 8, 8) @[lib.scala 104:74] + node _T_211 = bits(dec_i0_match_data[0], 8, 8) @[lib.scala 104:86] + node _T_212 = eq(_T_210, _T_211) @[lib.scala 104:78] + node _T_213 = mux(_T_209, UInt<1>("h01"), _T_212) @[lib.scala 104:23] + _T_150[8] <= _T_213 @[lib.scala 104:17] + node _T_214 = bits(io.trigger_pkt_any[0].tdata2, 8, 0) @[lib.scala 104:28] + node _T_215 = andr(_T_214) @[lib.scala 104:36] + node _T_216 = and(_T_215, _T_153) @[lib.scala 104:41] + node _T_217 = bits(io.trigger_pkt_any[0].tdata2, 9, 9) @[lib.scala 104:74] + node _T_218 = bits(dec_i0_match_data[0], 9, 9) @[lib.scala 104:86] + node _T_219 = eq(_T_217, _T_218) @[lib.scala 104:78] + node _T_220 = mux(_T_216, UInt<1>("h01"), _T_219) @[lib.scala 104:23] + _T_150[9] <= _T_220 @[lib.scala 104:17] + node _T_221 = bits(io.trigger_pkt_any[0].tdata2, 9, 0) @[lib.scala 104:28] + node _T_222 = andr(_T_221) @[lib.scala 104:36] + node _T_223 = and(_T_222, _T_153) @[lib.scala 104:41] + node _T_224 = bits(io.trigger_pkt_any[0].tdata2, 10, 10) @[lib.scala 104:74] + node _T_225 = bits(dec_i0_match_data[0], 10, 10) @[lib.scala 104:86] + node _T_226 = eq(_T_224, _T_225) @[lib.scala 104:78] + node _T_227 = mux(_T_223, UInt<1>("h01"), _T_226) @[lib.scala 104:23] + _T_150[10] <= _T_227 @[lib.scala 104:17] + node _T_228 = bits(io.trigger_pkt_any[0].tdata2, 10, 0) @[lib.scala 104:28] + node _T_229 = andr(_T_228) @[lib.scala 104:36] + node _T_230 = and(_T_229, _T_153) @[lib.scala 104:41] + node _T_231 = bits(io.trigger_pkt_any[0].tdata2, 11, 11) @[lib.scala 104:74] + node _T_232 = bits(dec_i0_match_data[0], 11, 11) @[lib.scala 104:86] + node _T_233 = eq(_T_231, _T_232) @[lib.scala 104:78] + node _T_234 = mux(_T_230, UInt<1>("h01"), _T_233) @[lib.scala 104:23] + _T_150[11] <= _T_234 @[lib.scala 104:17] + node _T_235 = bits(io.trigger_pkt_any[0].tdata2, 11, 0) @[lib.scala 104:28] + node _T_236 = andr(_T_235) @[lib.scala 104:36] + node _T_237 = and(_T_236, _T_153) @[lib.scala 104:41] + node _T_238 = bits(io.trigger_pkt_any[0].tdata2, 12, 12) @[lib.scala 104:74] + node _T_239 = bits(dec_i0_match_data[0], 12, 12) @[lib.scala 104:86] + node _T_240 = eq(_T_238, _T_239) @[lib.scala 104:78] + node _T_241 = mux(_T_237, UInt<1>("h01"), _T_240) @[lib.scala 104:23] + _T_150[12] <= _T_241 @[lib.scala 104:17] + node _T_242 = bits(io.trigger_pkt_any[0].tdata2, 12, 0) @[lib.scala 104:28] + node _T_243 = andr(_T_242) @[lib.scala 104:36] + node _T_244 = and(_T_243, _T_153) @[lib.scala 104:41] + node _T_245 = bits(io.trigger_pkt_any[0].tdata2, 13, 13) @[lib.scala 104:74] + node _T_246 = bits(dec_i0_match_data[0], 13, 13) @[lib.scala 104:86] + node _T_247 = eq(_T_245, _T_246) @[lib.scala 104:78] + node _T_248 = mux(_T_244, UInt<1>("h01"), _T_247) @[lib.scala 104:23] + _T_150[13] <= _T_248 @[lib.scala 104:17] + node _T_249 = bits(io.trigger_pkt_any[0].tdata2, 13, 0) @[lib.scala 104:28] + node _T_250 = andr(_T_249) @[lib.scala 104:36] + node _T_251 = and(_T_250, _T_153) @[lib.scala 104:41] + node _T_252 = bits(io.trigger_pkt_any[0].tdata2, 14, 14) @[lib.scala 104:74] + node _T_253 = bits(dec_i0_match_data[0], 14, 14) @[lib.scala 104:86] + node _T_254 = eq(_T_252, _T_253) @[lib.scala 104:78] + node _T_255 = mux(_T_251, UInt<1>("h01"), _T_254) @[lib.scala 104:23] + _T_150[14] <= _T_255 @[lib.scala 104:17] + node _T_256 = bits(io.trigger_pkt_any[0].tdata2, 14, 0) @[lib.scala 104:28] + node _T_257 = andr(_T_256) @[lib.scala 104:36] + node _T_258 = and(_T_257, _T_153) @[lib.scala 104:41] + node _T_259 = bits(io.trigger_pkt_any[0].tdata2, 15, 15) @[lib.scala 104:74] + node _T_260 = bits(dec_i0_match_data[0], 15, 15) @[lib.scala 104:86] + node _T_261 = eq(_T_259, _T_260) @[lib.scala 104:78] + node _T_262 = mux(_T_258, UInt<1>("h01"), _T_261) @[lib.scala 104:23] + _T_150[15] <= _T_262 @[lib.scala 104:17] + node _T_263 = bits(io.trigger_pkt_any[0].tdata2, 15, 0) @[lib.scala 104:28] + node _T_264 = andr(_T_263) @[lib.scala 104:36] + node _T_265 = and(_T_264, _T_153) @[lib.scala 104:41] + node _T_266 = bits(io.trigger_pkt_any[0].tdata2, 16, 16) @[lib.scala 104:74] + node _T_267 = bits(dec_i0_match_data[0], 16, 16) @[lib.scala 104:86] + node _T_268 = eq(_T_266, _T_267) @[lib.scala 104:78] + node _T_269 = mux(_T_265, UInt<1>("h01"), _T_268) @[lib.scala 104:23] + _T_150[16] <= _T_269 @[lib.scala 104:17] + node _T_270 = bits(io.trigger_pkt_any[0].tdata2, 16, 0) @[lib.scala 104:28] + node _T_271 = andr(_T_270) @[lib.scala 104:36] + node _T_272 = and(_T_271, _T_153) @[lib.scala 104:41] + node _T_273 = bits(io.trigger_pkt_any[0].tdata2, 17, 17) @[lib.scala 104:74] + node _T_274 = bits(dec_i0_match_data[0], 17, 17) @[lib.scala 104:86] + node _T_275 = eq(_T_273, _T_274) @[lib.scala 104:78] + node _T_276 = mux(_T_272, UInt<1>("h01"), _T_275) @[lib.scala 104:23] + _T_150[17] <= _T_276 @[lib.scala 104:17] + node _T_277 = bits(io.trigger_pkt_any[0].tdata2, 17, 0) @[lib.scala 104:28] + node _T_278 = andr(_T_277) @[lib.scala 104:36] + node _T_279 = and(_T_278, _T_153) @[lib.scala 104:41] + node _T_280 = bits(io.trigger_pkt_any[0].tdata2, 18, 18) @[lib.scala 104:74] + node _T_281 = bits(dec_i0_match_data[0], 18, 18) @[lib.scala 104:86] + node _T_282 = eq(_T_280, _T_281) @[lib.scala 104:78] + node _T_283 = mux(_T_279, UInt<1>("h01"), _T_282) @[lib.scala 104:23] + _T_150[18] <= _T_283 @[lib.scala 104:17] + node _T_284 = bits(io.trigger_pkt_any[0].tdata2, 18, 0) @[lib.scala 104:28] + node _T_285 = andr(_T_284) @[lib.scala 104:36] + node _T_286 = and(_T_285, _T_153) @[lib.scala 104:41] + node _T_287 = bits(io.trigger_pkt_any[0].tdata2, 19, 19) @[lib.scala 104:74] + node _T_288 = bits(dec_i0_match_data[0], 19, 19) @[lib.scala 104:86] + node _T_289 = eq(_T_287, _T_288) @[lib.scala 104:78] + node _T_290 = mux(_T_286, UInt<1>("h01"), _T_289) @[lib.scala 104:23] + _T_150[19] <= _T_290 @[lib.scala 104:17] + node _T_291 = bits(io.trigger_pkt_any[0].tdata2, 19, 0) @[lib.scala 104:28] + node _T_292 = andr(_T_291) @[lib.scala 104:36] + node _T_293 = and(_T_292, _T_153) @[lib.scala 104:41] + node _T_294 = bits(io.trigger_pkt_any[0].tdata2, 20, 20) @[lib.scala 104:74] + node _T_295 = bits(dec_i0_match_data[0], 20, 20) @[lib.scala 104:86] + node _T_296 = eq(_T_294, _T_295) @[lib.scala 104:78] + node _T_297 = mux(_T_293, UInt<1>("h01"), _T_296) @[lib.scala 104:23] + _T_150[20] <= _T_297 @[lib.scala 104:17] + node _T_298 = bits(io.trigger_pkt_any[0].tdata2, 20, 0) @[lib.scala 104:28] + node _T_299 = andr(_T_298) @[lib.scala 104:36] + node _T_300 = and(_T_299, _T_153) @[lib.scala 104:41] + node _T_301 = bits(io.trigger_pkt_any[0].tdata2, 21, 21) @[lib.scala 104:74] + node _T_302 = bits(dec_i0_match_data[0], 21, 21) @[lib.scala 104:86] + node _T_303 = eq(_T_301, _T_302) @[lib.scala 104:78] + node _T_304 = mux(_T_300, UInt<1>("h01"), _T_303) @[lib.scala 104:23] + _T_150[21] <= _T_304 @[lib.scala 104:17] + node _T_305 = bits(io.trigger_pkt_any[0].tdata2, 21, 0) @[lib.scala 104:28] + node _T_306 = andr(_T_305) @[lib.scala 104:36] + node _T_307 = and(_T_306, _T_153) @[lib.scala 104:41] + node _T_308 = bits(io.trigger_pkt_any[0].tdata2, 22, 22) @[lib.scala 104:74] + node _T_309 = bits(dec_i0_match_data[0], 22, 22) @[lib.scala 104:86] + node _T_310 = eq(_T_308, _T_309) @[lib.scala 104:78] + node _T_311 = mux(_T_307, UInt<1>("h01"), _T_310) @[lib.scala 104:23] + _T_150[22] <= _T_311 @[lib.scala 104:17] + node _T_312 = bits(io.trigger_pkt_any[0].tdata2, 22, 0) @[lib.scala 104:28] + node _T_313 = andr(_T_312) @[lib.scala 104:36] + node _T_314 = and(_T_313, _T_153) @[lib.scala 104:41] + node _T_315 = bits(io.trigger_pkt_any[0].tdata2, 23, 23) @[lib.scala 104:74] + node _T_316 = bits(dec_i0_match_data[0], 23, 23) @[lib.scala 104:86] + node _T_317 = eq(_T_315, _T_316) @[lib.scala 104:78] + node _T_318 = mux(_T_314, UInt<1>("h01"), _T_317) @[lib.scala 104:23] + _T_150[23] <= _T_318 @[lib.scala 104:17] + node _T_319 = bits(io.trigger_pkt_any[0].tdata2, 23, 0) @[lib.scala 104:28] + node _T_320 = andr(_T_319) @[lib.scala 104:36] + node _T_321 = and(_T_320, _T_153) @[lib.scala 104:41] + node _T_322 = bits(io.trigger_pkt_any[0].tdata2, 24, 24) @[lib.scala 104:74] + node _T_323 = bits(dec_i0_match_data[0], 24, 24) @[lib.scala 104:86] + node _T_324 = eq(_T_322, _T_323) @[lib.scala 104:78] + node _T_325 = mux(_T_321, UInt<1>("h01"), _T_324) @[lib.scala 104:23] + _T_150[24] <= _T_325 @[lib.scala 104:17] + node _T_326 = bits(io.trigger_pkt_any[0].tdata2, 24, 0) @[lib.scala 104:28] + node _T_327 = andr(_T_326) @[lib.scala 104:36] + node _T_328 = and(_T_327, _T_153) @[lib.scala 104:41] + node _T_329 = bits(io.trigger_pkt_any[0].tdata2, 25, 25) @[lib.scala 104:74] + node _T_330 = bits(dec_i0_match_data[0], 25, 25) @[lib.scala 104:86] + node _T_331 = eq(_T_329, _T_330) @[lib.scala 104:78] + node _T_332 = mux(_T_328, UInt<1>("h01"), _T_331) @[lib.scala 104:23] + _T_150[25] <= _T_332 @[lib.scala 104:17] + node _T_333 = bits(io.trigger_pkt_any[0].tdata2, 25, 0) @[lib.scala 104:28] + node _T_334 = andr(_T_333) @[lib.scala 104:36] + node _T_335 = and(_T_334, _T_153) @[lib.scala 104:41] + node _T_336 = bits(io.trigger_pkt_any[0].tdata2, 26, 26) @[lib.scala 104:74] + node _T_337 = bits(dec_i0_match_data[0], 26, 26) @[lib.scala 104:86] + node _T_338 = eq(_T_336, _T_337) @[lib.scala 104:78] + node _T_339 = mux(_T_335, UInt<1>("h01"), _T_338) @[lib.scala 104:23] + _T_150[26] <= _T_339 @[lib.scala 104:17] + node _T_340 = bits(io.trigger_pkt_any[0].tdata2, 26, 0) @[lib.scala 104:28] + node _T_341 = andr(_T_340) @[lib.scala 104:36] + node _T_342 = and(_T_341, _T_153) @[lib.scala 104:41] + node _T_343 = bits(io.trigger_pkt_any[0].tdata2, 27, 27) @[lib.scala 104:74] + node _T_344 = bits(dec_i0_match_data[0], 27, 27) @[lib.scala 104:86] + node _T_345 = eq(_T_343, _T_344) @[lib.scala 104:78] + node _T_346 = mux(_T_342, UInt<1>("h01"), _T_345) @[lib.scala 104:23] + _T_150[27] <= _T_346 @[lib.scala 104:17] + node _T_347 = bits(io.trigger_pkt_any[0].tdata2, 27, 0) @[lib.scala 104:28] + node _T_348 = andr(_T_347) @[lib.scala 104:36] + node _T_349 = and(_T_348, _T_153) @[lib.scala 104:41] + node _T_350 = bits(io.trigger_pkt_any[0].tdata2, 28, 28) @[lib.scala 104:74] + node _T_351 = bits(dec_i0_match_data[0], 28, 28) @[lib.scala 104:86] + node _T_352 = eq(_T_350, _T_351) @[lib.scala 104:78] + node _T_353 = mux(_T_349, UInt<1>("h01"), _T_352) @[lib.scala 104:23] + _T_150[28] <= _T_353 @[lib.scala 104:17] + node _T_354 = bits(io.trigger_pkt_any[0].tdata2, 28, 0) @[lib.scala 104:28] + node _T_355 = andr(_T_354) @[lib.scala 104:36] + node _T_356 = and(_T_355, _T_153) @[lib.scala 104:41] + node _T_357 = bits(io.trigger_pkt_any[0].tdata2, 29, 29) @[lib.scala 104:74] + node _T_358 = bits(dec_i0_match_data[0], 29, 29) @[lib.scala 104:86] + node _T_359 = eq(_T_357, _T_358) @[lib.scala 104:78] + node _T_360 = mux(_T_356, UInt<1>("h01"), _T_359) @[lib.scala 104:23] + _T_150[29] <= _T_360 @[lib.scala 104:17] + node _T_361 = bits(io.trigger_pkt_any[0].tdata2, 29, 0) @[lib.scala 104:28] + node _T_362 = andr(_T_361) @[lib.scala 104:36] + node _T_363 = and(_T_362, _T_153) @[lib.scala 104:41] + node _T_364 = bits(io.trigger_pkt_any[0].tdata2, 30, 30) @[lib.scala 104:74] + node _T_365 = bits(dec_i0_match_data[0], 30, 30) @[lib.scala 104:86] + node _T_366 = eq(_T_364, _T_365) @[lib.scala 104:78] + node _T_367 = mux(_T_363, UInt<1>("h01"), _T_366) @[lib.scala 104:23] + _T_150[30] <= _T_367 @[lib.scala 104:17] + node _T_368 = bits(io.trigger_pkt_any[0].tdata2, 30, 0) @[lib.scala 104:28] + node _T_369 = andr(_T_368) @[lib.scala 104:36] + node _T_370 = and(_T_369, _T_153) @[lib.scala 104:41] + node _T_371 = bits(io.trigger_pkt_any[0].tdata2, 31, 31) @[lib.scala 104:74] + node _T_372 = bits(dec_i0_match_data[0], 31, 31) @[lib.scala 104:86] + node _T_373 = eq(_T_371, _T_372) @[lib.scala 104:78] + node _T_374 = mux(_T_370, UInt<1>("h01"), _T_373) @[lib.scala 104:23] + _T_150[31] <= _T_374 @[lib.scala 104:17] + node _T_375 = cat(_T_150[1], _T_150[0]) @[lib.scala 105:14] + node _T_376 = cat(_T_150[3], _T_150[2]) @[lib.scala 105:14] + node _T_377 = cat(_T_376, _T_375) @[lib.scala 105:14] + node _T_378 = cat(_T_150[5], _T_150[4]) @[lib.scala 105:14] + node _T_379 = cat(_T_150[7], _T_150[6]) @[lib.scala 105:14] + node _T_380 = cat(_T_379, _T_378) @[lib.scala 105:14] + node _T_381 = cat(_T_380, _T_377) @[lib.scala 105:14] + node _T_382 = cat(_T_150[9], _T_150[8]) @[lib.scala 105:14] + node _T_383 = cat(_T_150[11], _T_150[10]) @[lib.scala 105:14] + node _T_384 = cat(_T_383, _T_382) @[lib.scala 105:14] + node _T_385 = cat(_T_150[13], _T_150[12]) @[lib.scala 105:14] + node _T_386 = cat(_T_150[15], _T_150[14]) @[lib.scala 105:14] + node _T_387 = cat(_T_386, _T_385) @[lib.scala 105:14] + node _T_388 = cat(_T_387, _T_384) @[lib.scala 105:14] + node _T_389 = cat(_T_388, _T_381) @[lib.scala 105:14] + node _T_390 = cat(_T_150[17], _T_150[16]) @[lib.scala 105:14] + node _T_391 = cat(_T_150[19], _T_150[18]) @[lib.scala 105:14] + node _T_392 = cat(_T_391, _T_390) @[lib.scala 105:14] + node _T_393 = cat(_T_150[21], _T_150[20]) @[lib.scala 105:14] + node _T_394 = cat(_T_150[23], _T_150[22]) @[lib.scala 105:14] + node _T_395 = cat(_T_394, _T_393) @[lib.scala 105:14] + node _T_396 = cat(_T_395, _T_392) @[lib.scala 105:14] + node _T_397 = cat(_T_150[25], _T_150[24]) @[lib.scala 105:14] + node _T_398 = cat(_T_150[27], _T_150[26]) @[lib.scala 105:14] + node _T_399 = cat(_T_398, _T_397) @[lib.scala 105:14] + node _T_400 = cat(_T_150[29], _T_150[28]) @[lib.scala 105:14] + node _T_401 = cat(_T_150[31], _T_150[30]) @[lib.scala 105:14] + node _T_402 = cat(_T_401, _T_400) @[lib.scala 105:14] + node _T_403 = cat(_T_402, _T_399) @[lib.scala 105:14] + node _T_404 = cat(_T_403, _T_396) @[lib.scala 105:14] + node _T_405 = cat(_T_404, _T_389) @[lib.scala 105:14] + node _T_406 = andr(_T_405) @[lib.scala 105:25] + node _T_407 = and(_T_148, _T_406) @[dec_trigger.scala 15:109] + node _T_408 = and(io.trigger_pkt_any[1].execute, io.trigger_pkt_any[1].m) @[dec_trigger.scala 15:83] + node _T_409 = bits(io.trigger_pkt_any[1].match_pkt, 0, 0) @[dec_trigger.scala 15:216] + wire _T_410 : UInt<1>[32] @[lib.scala 100:24] + node _T_411 = andr(io.trigger_pkt_any[1].tdata2) @[lib.scala 101:45] + node _T_412 = not(_T_411) @[lib.scala 101:39] + node _T_413 = and(_T_409, _T_412) @[lib.scala 101:37] + node _T_414 = bits(io.trigger_pkt_any[1].tdata2, 0, 0) @[lib.scala 102:48] + node _T_415 = bits(dec_i0_match_data[1], 0, 0) @[lib.scala 102:60] + node _T_416 = eq(_T_414, _T_415) @[lib.scala 102:52] + node _T_417 = or(_T_413, _T_416) @[lib.scala 102:41] + _T_410[0] <= _T_417 @[lib.scala 102:18] + node _T_418 = bits(io.trigger_pkt_any[1].tdata2, 0, 0) @[lib.scala 104:28] + node _T_419 = andr(_T_418) @[lib.scala 104:36] + node _T_420 = and(_T_419, _T_413) @[lib.scala 104:41] + node _T_421 = bits(io.trigger_pkt_any[1].tdata2, 1, 1) @[lib.scala 104:74] + node _T_422 = bits(dec_i0_match_data[1], 1, 1) @[lib.scala 104:86] + node _T_423 = eq(_T_421, _T_422) @[lib.scala 104:78] + node _T_424 = mux(_T_420, UInt<1>("h01"), _T_423) @[lib.scala 104:23] + _T_410[1] <= _T_424 @[lib.scala 104:17] + node _T_425 = bits(io.trigger_pkt_any[1].tdata2, 1, 0) @[lib.scala 104:28] + node _T_426 = andr(_T_425) @[lib.scala 104:36] + node _T_427 = and(_T_426, _T_413) @[lib.scala 104:41] + node _T_428 = bits(io.trigger_pkt_any[1].tdata2, 2, 2) @[lib.scala 104:74] + node _T_429 = bits(dec_i0_match_data[1], 2, 2) @[lib.scala 104:86] + node _T_430 = eq(_T_428, _T_429) @[lib.scala 104:78] + node _T_431 = mux(_T_427, UInt<1>("h01"), _T_430) @[lib.scala 104:23] + _T_410[2] <= _T_431 @[lib.scala 104:17] + node _T_432 = bits(io.trigger_pkt_any[1].tdata2, 2, 0) @[lib.scala 104:28] + node _T_433 = andr(_T_432) @[lib.scala 104:36] + node _T_434 = and(_T_433, _T_413) @[lib.scala 104:41] + node _T_435 = bits(io.trigger_pkt_any[1].tdata2, 3, 3) @[lib.scala 104:74] + node _T_436 = bits(dec_i0_match_data[1], 3, 3) @[lib.scala 104:86] + node _T_437 = eq(_T_435, _T_436) @[lib.scala 104:78] + node _T_438 = mux(_T_434, UInt<1>("h01"), _T_437) @[lib.scala 104:23] + _T_410[3] <= _T_438 @[lib.scala 104:17] + node _T_439 = bits(io.trigger_pkt_any[1].tdata2, 3, 0) @[lib.scala 104:28] + node _T_440 = andr(_T_439) @[lib.scala 104:36] + node _T_441 = and(_T_440, _T_413) @[lib.scala 104:41] + node _T_442 = bits(io.trigger_pkt_any[1].tdata2, 4, 4) @[lib.scala 104:74] + node _T_443 = bits(dec_i0_match_data[1], 4, 4) @[lib.scala 104:86] + node _T_444 = eq(_T_442, _T_443) @[lib.scala 104:78] + node _T_445 = mux(_T_441, UInt<1>("h01"), _T_444) @[lib.scala 104:23] + _T_410[4] <= _T_445 @[lib.scala 104:17] + node _T_446 = bits(io.trigger_pkt_any[1].tdata2, 4, 0) @[lib.scala 104:28] + node _T_447 = andr(_T_446) @[lib.scala 104:36] + node _T_448 = and(_T_447, _T_413) @[lib.scala 104:41] + node _T_449 = bits(io.trigger_pkt_any[1].tdata2, 5, 5) @[lib.scala 104:74] + node _T_450 = bits(dec_i0_match_data[1], 5, 5) @[lib.scala 104:86] + node _T_451 = eq(_T_449, _T_450) @[lib.scala 104:78] + node _T_452 = mux(_T_448, UInt<1>("h01"), _T_451) @[lib.scala 104:23] + _T_410[5] <= _T_452 @[lib.scala 104:17] + node _T_453 = bits(io.trigger_pkt_any[1].tdata2, 5, 0) @[lib.scala 104:28] + node _T_454 = andr(_T_453) @[lib.scala 104:36] + node _T_455 = and(_T_454, _T_413) @[lib.scala 104:41] + node _T_456 = bits(io.trigger_pkt_any[1].tdata2, 6, 6) @[lib.scala 104:74] + node _T_457 = bits(dec_i0_match_data[1], 6, 6) @[lib.scala 104:86] + node _T_458 = eq(_T_456, _T_457) @[lib.scala 104:78] + node _T_459 = mux(_T_455, UInt<1>("h01"), _T_458) @[lib.scala 104:23] + _T_410[6] <= _T_459 @[lib.scala 104:17] + node _T_460 = bits(io.trigger_pkt_any[1].tdata2, 6, 0) @[lib.scala 104:28] + node _T_461 = andr(_T_460) @[lib.scala 104:36] + node _T_462 = and(_T_461, _T_413) @[lib.scala 104:41] + node _T_463 = bits(io.trigger_pkt_any[1].tdata2, 7, 7) @[lib.scala 104:74] + node _T_464 = bits(dec_i0_match_data[1], 7, 7) @[lib.scala 104:86] + node _T_465 = eq(_T_463, _T_464) @[lib.scala 104:78] + node _T_466 = mux(_T_462, UInt<1>("h01"), _T_465) @[lib.scala 104:23] + _T_410[7] <= _T_466 @[lib.scala 104:17] + node _T_467 = bits(io.trigger_pkt_any[1].tdata2, 7, 0) @[lib.scala 104:28] + node _T_468 = andr(_T_467) @[lib.scala 104:36] + node _T_469 = and(_T_468, _T_413) @[lib.scala 104:41] + node _T_470 = bits(io.trigger_pkt_any[1].tdata2, 8, 8) @[lib.scala 104:74] + node _T_471 = bits(dec_i0_match_data[1], 8, 8) @[lib.scala 104:86] + node _T_472 = eq(_T_470, _T_471) @[lib.scala 104:78] + node _T_473 = mux(_T_469, UInt<1>("h01"), _T_472) @[lib.scala 104:23] + _T_410[8] <= _T_473 @[lib.scala 104:17] + node _T_474 = bits(io.trigger_pkt_any[1].tdata2, 8, 0) @[lib.scala 104:28] + node _T_475 = andr(_T_474) @[lib.scala 104:36] + node _T_476 = and(_T_475, _T_413) @[lib.scala 104:41] + node _T_477 = bits(io.trigger_pkt_any[1].tdata2, 9, 9) @[lib.scala 104:74] + node _T_478 = bits(dec_i0_match_data[1], 9, 9) @[lib.scala 104:86] + node _T_479 = eq(_T_477, _T_478) @[lib.scala 104:78] + node _T_480 = mux(_T_476, UInt<1>("h01"), _T_479) @[lib.scala 104:23] + _T_410[9] <= _T_480 @[lib.scala 104:17] + node _T_481 = bits(io.trigger_pkt_any[1].tdata2, 9, 0) @[lib.scala 104:28] + node _T_482 = andr(_T_481) @[lib.scala 104:36] + node _T_483 = and(_T_482, _T_413) @[lib.scala 104:41] + node _T_484 = bits(io.trigger_pkt_any[1].tdata2, 10, 10) @[lib.scala 104:74] + node _T_485 = bits(dec_i0_match_data[1], 10, 10) @[lib.scala 104:86] + node _T_486 = eq(_T_484, _T_485) @[lib.scala 104:78] + node _T_487 = mux(_T_483, UInt<1>("h01"), _T_486) @[lib.scala 104:23] + _T_410[10] <= _T_487 @[lib.scala 104:17] + node _T_488 = bits(io.trigger_pkt_any[1].tdata2, 10, 0) @[lib.scala 104:28] + node _T_489 = andr(_T_488) @[lib.scala 104:36] + node _T_490 = and(_T_489, _T_413) @[lib.scala 104:41] + node _T_491 = bits(io.trigger_pkt_any[1].tdata2, 11, 11) @[lib.scala 104:74] + node _T_492 = bits(dec_i0_match_data[1], 11, 11) @[lib.scala 104:86] + node _T_493 = eq(_T_491, _T_492) @[lib.scala 104:78] + node _T_494 = mux(_T_490, UInt<1>("h01"), _T_493) @[lib.scala 104:23] + _T_410[11] <= _T_494 @[lib.scala 104:17] + node _T_495 = bits(io.trigger_pkt_any[1].tdata2, 11, 0) @[lib.scala 104:28] + node _T_496 = andr(_T_495) @[lib.scala 104:36] + node _T_497 = and(_T_496, _T_413) @[lib.scala 104:41] + node _T_498 = bits(io.trigger_pkt_any[1].tdata2, 12, 12) @[lib.scala 104:74] + node _T_499 = bits(dec_i0_match_data[1], 12, 12) @[lib.scala 104:86] + node _T_500 = eq(_T_498, _T_499) @[lib.scala 104:78] + node _T_501 = mux(_T_497, UInt<1>("h01"), _T_500) @[lib.scala 104:23] + _T_410[12] <= _T_501 @[lib.scala 104:17] + node _T_502 = bits(io.trigger_pkt_any[1].tdata2, 12, 0) @[lib.scala 104:28] + node _T_503 = andr(_T_502) @[lib.scala 104:36] + node _T_504 = and(_T_503, _T_413) @[lib.scala 104:41] + node _T_505 = bits(io.trigger_pkt_any[1].tdata2, 13, 13) @[lib.scala 104:74] + node _T_506 = bits(dec_i0_match_data[1], 13, 13) @[lib.scala 104:86] + node _T_507 = eq(_T_505, _T_506) @[lib.scala 104:78] + node _T_508 = mux(_T_504, UInt<1>("h01"), _T_507) @[lib.scala 104:23] + _T_410[13] <= _T_508 @[lib.scala 104:17] + node _T_509 = bits(io.trigger_pkt_any[1].tdata2, 13, 0) @[lib.scala 104:28] + node _T_510 = andr(_T_509) @[lib.scala 104:36] + node _T_511 = and(_T_510, _T_413) @[lib.scala 104:41] + node _T_512 = bits(io.trigger_pkt_any[1].tdata2, 14, 14) @[lib.scala 104:74] + node _T_513 = bits(dec_i0_match_data[1], 14, 14) @[lib.scala 104:86] + node _T_514 = eq(_T_512, _T_513) @[lib.scala 104:78] + node _T_515 = mux(_T_511, UInt<1>("h01"), _T_514) @[lib.scala 104:23] + _T_410[14] <= _T_515 @[lib.scala 104:17] + node _T_516 = bits(io.trigger_pkt_any[1].tdata2, 14, 0) @[lib.scala 104:28] + node _T_517 = andr(_T_516) @[lib.scala 104:36] + node _T_518 = and(_T_517, _T_413) @[lib.scala 104:41] + node _T_519 = bits(io.trigger_pkt_any[1].tdata2, 15, 15) @[lib.scala 104:74] + node _T_520 = bits(dec_i0_match_data[1], 15, 15) @[lib.scala 104:86] + node _T_521 = eq(_T_519, _T_520) @[lib.scala 104:78] + node _T_522 = mux(_T_518, UInt<1>("h01"), _T_521) @[lib.scala 104:23] + _T_410[15] <= _T_522 @[lib.scala 104:17] + node _T_523 = bits(io.trigger_pkt_any[1].tdata2, 15, 0) @[lib.scala 104:28] + node _T_524 = andr(_T_523) @[lib.scala 104:36] + node _T_525 = and(_T_524, _T_413) @[lib.scala 104:41] + node _T_526 = bits(io.trigger_pkt_any[1].tdata2, 16, 16) @[lib.scala 104:74] + node _T_527 = bits(dec_i0_match_data[1], 16, 16) @[lib.scala 104:86] + node _T_528 = eq(_T_526, _T_527) @[lib.scala 104:78] + node _T_529 = mux(_T_525, UInt<1>("h01"), _T_528) @[lib.scala 104:23] + _T_410[16] <= _T_529 @[lib.scala 104:17] + node _T_530 = bits(io.trigger_pkt_any[1].tdata2, 16, 0) @[lib.scala 104:28] + node _T_531 = andr(_T_530) @[lib.scala 104:36] + node _T_532 = and(_T_531, _T_413) @[lib.scala 104:41] + node _T_533 = bits(io.trigger_pkt_any[1].tdata2, 17, 17) @[lib.scala 104:74] + node _T_534 = bits(dec_i0_match_data[1], 17, 17) @[lib.scala 104:86] + node _T_535 = eq(_T_533, _T_534) @[lib.scala 104:78] + node _T_536 = mux(_T_532, UInt<1>("h01"), _T_535) @[lib.scala 104:23] + _T_410[17] <= _T_536 @[lib.scala 104:17] + node _T_537 = bits(io.trigger_pkt_any[1].tdata2, 17, 0) @[lib.scala 104:28] + node _T_538 = andr(_T_537) @[lib.scala 104:36] + node _T_539 = and(_T_538, _T_413) @[lib.scala 104:41] + node _T_540 = bits(io.trigger_pkt_any[1].tdata2, 18, 18) @[lib.scala 104:74] + node _T_541 = bits(dec_i0_match_data[1], 18, 18) @[lib.scala 104:86] + node _T_542 = eq(_T_540, _T_541) @[lib.scala 104:78] + node _T_543 = mux(_T_539, UInt<1>("h01"), _T_542) @[lib.scala 104:23] + _T_410[18] <= _T_543 @[lib.scala 104:17] + node _T_544 = bits(io.trigger_pkt_any[1].tdata2, 18, 0) @[lib.scala 104:28] + node _T_545 = andr(_T_544) @[lib.scala 104:36] + node _T_546 = and(_T_545, _T_413) @[lib.scala 104:41] + node _T_547 = bits(io.trigger_pkt_any[1].tdata2, 19, 19) @[lib.scala 104:74] + node _T_548 = bits(dec_i0_match_data[1], 19, 19) @[lib.scala 104:86] + node _T_549 = eq(_T_547, _T_548) @[lib.scala 104:78] + node _T_550 = mux(_T_546, UInt<1>("h01"), _T_549) @[lib.scala 104:23] + _T_410[19] <= _T_550 @[lib.scala 104:17] + node _T_551 = bits(io.trigger_pkt_any[1].tdata2, 19, 0) @[lib.scala 104:28] + node _T_552 = andr(_T_551) @[lib.scala 104:36] + node _T_553 = and(_T_552, _T_413) @[lib.scala 104:41] + node _T_554 = bits(io.trigger_pkt_any[1].tdata2, 20, 20) @[lib.scala 104:74] + node _T_555 = bits(dec_i0_match_data[1], 20, 20) @[lib.scala 104:86] + node _T_556 = eq(_T_554, _T_555) @[lib.scala 104:78] + node _T_557 = mux(_T_553, UInt<1>("h01"), _T_556) @[lib.scala 104:23] + _T_410[20] <= _T_557 @[lib.scala 104:17] + node _T_558 = bits(io.trigger_pkt_any[1].tdata2, 20, 0) @[lib.scala 104:28] + node _T_559 = andr(_T_558) @[lib.scala 104:36] + node _T_560 = and(_T_559, _T_413) @[lib.scala 104:41] + node _T_561 = bits(io.trigger_pkt_any[1].tdata2, 21, 21) @[lib.scala 104:74] + node _T_562 = bits(dec_i0_match_data[1], 21, 21) @[lib.scala 104:86] + node _T_563 = eq(_T_561, _T_562) @[lib.scala 104:78] + node _T_564 = mux(_T_560, UInt<1>("h01"), _T_563) @[lib.scala 104:23] + _T_410[21] <= _T_564 @[lib.scala 104:17] + node _T_565 = bits(io.trigger_pkt_any[1].tdata2, 21, 0) @[lib.scala 104:28] + node _T_566 = andr(_T_565) @[lib.scala 104:36] + node _T_567 = and(_T_566, _T_413) @[lib.scala 104:41] + node _T_568 = bits(io.trigger_pkt_any[1].tdata2, 22, 22) @[lib.scala 104:74] + node _T_569 = bits(dec_i0_match_data[1], 22, 22) @[lib.scala 104:86] + node _T_570 = eq(_T_568, _T_569) @[lib.scala 104:78] + node _T_571 = mux(_T_567, UInt<1>("h01"), _T_570) @[lib.scala 104:23] + _T_410[22] <= _T_571 @[lib.scala 104:17] + node _T_572 = bits(io.trigger_pkt_any[1].tdata2, 22, 0) @[lib.scala 104:28] + node _T_573 = andr(_T_572) @[lib.scala 104:36] + node _T_574 = and(_T_573, _T_413) @[lib.scala 104:41] + node _T_575 = bits(io.trigger_pkt_any[1].tdata2, 23, 23) @[lib.scala 104:74] + node _T_576 = bits(dec_i0_match_data[1], 23, 23) @[lib.scala 104:86] + node _T_577 = eq(_T_575, _T_576) @[lib.scala 104:78] + node _T_578 = mux(_T_574, UInt<1>("h01"), _T_577) @[lib.scala 104:23] + _T_410[23] <= _T_578 @[lib.scala 104:17] + node _T_579 = bits(io.trigger_pkt_any[1].tdata2, 23, 0) @[lib.scala 104:28] + node _T_580 = andr(_T_579) @[lib.scala 104:36] + node _T_581 = and(_T_580, _T_413) @[lib.scala 104:41] + node _T_582 = bits(io.trigger_pkt_any[1].tdata2, 24, 24) @[lib.scala 104:74] + node _T_583 = bits(dec_i0_match_data[1], 24, 24) @[lib.scala 104:86] + node _T_584 = eq(_T_582, _T_583) @[lib.scala 104:78] + node _T_585 = mux(_T_581, UInt<1>("h01"), _T_584) @[lib.scala 104:23] + _T_410[24] <= _T_585 @[lib.scala 104:17] + node _T_586 = bits(io.trigger_pkt_any[1].tdata2, 24, 0) @[lib.scala 104:28] + node _T_587 = andr(_T_586) @[lib.scala 104:36] + node _T_588 = and(_T_587, _T_413) @[lib.scala 104:41] + node _T_589 = bits(io.trigger_pkt_any[1].tdata2, 25, 25) @[lib.scala 104:74] + node _T_590 = bits(dec_i0_match_data[1], 25, 25) @[lib.scala 104:86] + node _T_591 = eq(_T_589, _T_590) @[lib.scala 104:78] + node _T_592 = mux(_T_588, UInt<1>("h01"), _T_591) @[lib.scala 104:23] + _T_410[25] <= _T_592 @[lib.scala 104:17] + node _T_593 = bits(io.trigger_pkt_any[1].tdata2, 25, 0) @[lib.scala 104:28] + node _T_594 = andr(_T_593) @[lib.scala 104:36] + node _T_595 = and(_T_594, _T_413) @[lib.scala 104:41] + node _T_596 = bits(io.trigger_pkt_any[1].tdata2, 26, 26) @[lib.scala 104:74] + node _T_597 = bits(dec_i0_match_data[1], 26, 26) @[lib.scala 104:86] + node _T_598 = eq(_T_596, _T_597) @[lib.scala 104:78] + node _T_599 = mux(_T_595, UInt<1>("h01"), _T_598) @[lib.scala 104:23] + _T_410[26] <= _T_599 @[lib.scala 104:17] + node _T_600 = bits(io.trigger_pkt_any[1].tdata2, 26, 0) @[lib.scala 104:28] + node _T_601 = andr(_T_600) @[lib.scala 104:36] + node _T_602 = and(_T_601, _T_413) @[lib.scala 104:41] + node _T_603 = bits(io.trigger_pkt_any[1].tdata2, 27, 27) @[lib.scala 104:74] + node _T_604 = bits(dec_i0_match_data[1], 27, 27) @[lib.scala 104:86] + node _T_605 = eq(_T_603, _T_604) @[lib.scala 104:78] + node _T_606 = mux(_T_602, UInt<1>("h01"), _T_605) @[lib.scala 104:23] + _T_410[27] <= _T_606 @[lib.scala 104:17] + node _T_607 = bits(io.trigger_pkt_any[1].tdata2, 27, 0) @[lib.scala 104:28] + node _T_608 = andr(_T_607) @[lib.scala 104:36] + node _T_609 = and(_T_608, _T_413) @[lib.scala 104:41] + node _T_610 = bits(io.trigger_pkt_any[1].tdata2, 28, 28) @[lib.scala 104:74] + node _T_611 = bits(dec_i0_match_data[1], 28, 28) @[lib.scala 104:86] + node _T_612 = eq(_T_610, _T_611) @[lib.scala 104:78] + node _T_613 = mux(_T_609, UInt<1>("h01"), _T_612) @[lib.scala 104:23] + _T_410[28] <= _T_613 @[lib.scala 104:17] + node _T_614 = bits(io.trigger_pkt_any[1].tdata2, 28, 0) @[lib.scala 104:28] + node _T_615 = andr(_T_614) @[lib.scala 104:36] + node _T_616 = and(_T_615, _T_413) @[lib.scala 104:41] + node _T_617 = bits(io.trigger_pkt_any[1].tdata2, 29, 29) @[lib.scala 104:74] + node _T_618 = bits(dec_i0_match_data[1], 29, 29) @[lib.scala 104:86] + node _T_619 = eq(_T_617, _T_618) @[lib.scala 104:78] + node _T_620 = mux(_T_616, UInt<1>("h01"), _T_619) @[lib.scala 104:23] + _T_410[29] <= _T_620 @[lib.scala 104:17] + node _T_621 = bits(io.trigger_pkt_any[1].tdata2, 29, 0) @[lib.scala 104:28] + node _T_622 = andr(_T_621) @[lib.scala 104:36] + node _T_623 = and(_T_622, _T_413) @[lib.scala 104:41] + node _T_624 = bits(io.trigger_pkt_any[1].tdata2, 30, 30) @[lib.scala 104:74] + node _T_625 = bits(dec_i0_match_data[1], 30, 30) @[lib.scala 104:86] + node _T_626 = eq(_T_624, _T_625) @[lib.scala 104:78] + node _T_627 = mux(_T_623, UInt<1>("h01"), _T_626) @[lib.scala 104:23] + _T_410[30] <= _T_627 @[lib.scala 104:17] + node _T_628 = bits(io.trigger_pkt_any[1].tdata2, 30, 0) @[lib.scala 104:28] + node _T_629 = andr(_T_628) @[lib.scala 104:36] + node _T_630 = and(_T_629, _T_413) @[lib.scala 104:41] + node _T_631 = bits(io.trigger_pkt_any[1].tdata2, 31, 31) @[lib.scala 104:74] + node _T_632 = bits(dec_i0_match_data[1], 31, 31) @[lib.scala 104:86] + node _T_633 = eq(_T_631, _T_632) @[lib.scala 104:78] + node _T_634 = mux(_T_630, UInt<1>("h01"), _T_633) @[lib.scala 104:23] + _T_410[31] <= _T_634 @[lib.scala 104:17] + node _T_635 = cat(_T_410[1], _T_410[0]) @[lib.scala 105:14] + node _T_636 = cat(_T_410[3], _T_410[2]) @[lib.scala 105:14] + node _T_637 = cat(_T_636, _T_635) @[lib.scala 105:14] + node _T_638 = cat(_T_410[5], _T_410[4]) @[lib.scala 105:14] + node _T_639 = cat(_T_410[7], _T_410[6]) @[lib.scala 105:14] + node _T_640 = cat(_T_639, _T_638) @[lib.scala 105:14] + node _T_641 = cat(_T_640, _T_637) @[lib.scala 105:14] + node _T_642 = cat(_T_410[9], _T_410[8]) @[lib.scala 105:14] + node _T_643 = cat(_T_410[11], _T_410[10]) @[lib.scala 105:14] + node _T_644 = cat(_T_643, _T_642) @[lib.scala 105:14] + node _T_645 = cat(_T_410[13], _T_410[12]) @[lib.scala 105:14] + node _T_646 = cat(_T_410[15], _T_410[14]) @[lib.scala 105:14] + node _T_647 = cat(_T_646, _T_645) @[lib.scala 105:14] + node _T_648 = cat(_T_647, _T_644) @[lib.scala 105:14] + node _T_649 = cat(_T_648, _T_641) @[lib.scala 105:14] + node _T_650 = cat(_T_410[17], _T_410[16]) @[lib.scala 105:14] + node _T_651 = cat(_T_410[19], _T_410[18]) @[lib.scala 105:14] + node _T_652 = cat(_T_651, _T_650) @[lib.scala 105:14] + node _T_653 = cat(_T_410[21], _T_410[20]) @[lib.scala 105:14] + node _T_654 = cat(_T_410[23], _T_410[22]) @[lib.scala 105:14] + node _T_655 = cat(_T_654, _T_653) @[lib.scala 105:14] + node _T_656 = cat(_T_655, _T_652) @[lib.scala 105:14] + node _T_657 = cat(_T_410[25], _T_410[24]) @[lib.scala 105:14] + node _T_658 = cat(_T_410[27], _T_410[26]) @[lib.scala 105:14] + node _T_659 = cat(_T_658, _T_657) @[lib.scala 105:14] + node _T_660 = cat(_T_410[29], _T_410[28]) @[lib.scala 105:14] + node _T_661 = cat(_T_410[31], _T_410[30]) @[lib.scala 105:14] + node _T_662 = cat(_T_661, _T_660) @[lib.scala 105:14] + node _T_663 = cat(_T_662, _T_659) @[lib.scala 105:14] + node _T_664 = cat(_T_663, _T_656) @[lib.scala 105:14] + node _T_665 = cat(_T_664, _T_649) @[lib.scala 105:14] + node _T_666 = andr(_T_665) @[lib.scala 105:25] + node _T_667 = and(_T_408, _T_666) @[dec_trigger.scala 15:109] + node _T_668 = and(io.trigger_pkt_any[2].execute, io.trigger_pkt_any[2].m) @[dec_trigger.scala 15:83] + node _T_669 = bits(io.trigger_pkt_any[2].match_pkt, 0, 0) @[dec_trigger.scala 15:216] + wire _T_670 : UInt<1>[32] @[lib.scala 100:24] + node _T_671 = andr(io.trigger_pkt_any[2].tdata2) @[lib.scala 101:45] + node _T_672 = not(_T_671) @[lib.scala 101:39] + node _T_673 = and(_T_669, _T_672) @[lib.scala 101:37] + node _T_674 = bits(io.trigger_pkt_any[2].tdata2, 0, 0) @[lib.scala 102:48] + node _T_675 = bits(dec_i0_match_data[2], 0, 0) @[lib.scala 102:60] + node _T_676 = eq(_T_674, _T_675) @[lib.scala 102:52] + node _T_677 = or(_T_673, _T_676) @[lib.scala 102:41] + _T_670[0] <= _T_677 @[lib.scala 102:18] + node _T_678 = bits(io.trigger_pkt_any[2].tdata2, 0, 0) @[lib.scala 104:28] + node _T_679 = andr(_T_678) @[lib.scala 104:36] + node _T_680 = and(_T_679, _T_673) @[lib.scala 104:41] + node _T_681 = bits(io.trigger_pkt_any[2].tdata2, 1, 1) @[lib.scala 104:74] + node _T_682 = bits(dec_i0_match_data[2], 1, 1) @[lib.scala 104:86] + node _T_683 = eq(_T_681, _T_682) @[lib.scala 104:78] + node _T_684 = mux(_T_680, UInt<1>("h01"), _T_683) @[lib.scala 104:23] + _T_670[1] <= _T_684 @[lib.scala 104:17] + node _T_685 = bits(io.trigger_pkt_any[2].tdata2, 1, 0) @[lib.scala 104:28] + node _T_686 = andr(_T_685) @[lib.scala 104:36] + node _T_687 = and(_T_686, _T_673) @[lib.scala 104:41] + node _T_688 = bits(io.trigger_pkt_any[2].tdata2, 2, 2) @[lib.scala 104:74] + node _T_689 = bits(dec_i0_match_data[2], 2, 2) @[lib.scala 104:86] + node _T_690 = eq(_T_688, _T_689) @[lib.scala 104:78] + node _T_691 = mux(_T_687, UInt<1>("h01"), _T_690) @[lib.scala 104:23] + _T_670[2] <= _T_691 @[lib.scala 104:17] + node _T_692 = bits(io.trigger_pkt_any[2].tdata2, 2, 0) @[lib.scala 104:28] + node _T_693 = andr(_T_692) @[lib.scala 104:36] + node _T_694 = and(_T_693, _T_673) @[lib.scala 104:41] + node _T_695 = bits(io.trigger_pkt_any[2].tdata2, 3, 3) @[lib.scala 104:74] + node _T_696 = bits(dec_i0_match_data[2], 3, 3) @[lib.scala 104:86] + node _T_697 = eq(_T_695, _T_696) @[lib.scala 104:78] + node _T_698 = mux(_T_694, UInt<1>("h01"), _T_697) @[lib.scala 104:23] + _T_670[3] <= _T_698 @[lib.scala 104:17] + node _T_699 = bits(io.trigger_pkt_any[2].tdata2, 3, 0) @[lib.scala 104:28] + node _T_700 = andr(_T_699) @[lib.scala 104:36] + node _T_701 = and(_T_700, _T_673) @[lib.scala 104:41] + node _T_702 = bits(io.trigger_pkt_any[2].tdata2, 4, 4) @[lib.scala 104:74] + node _T_703 = bits(dec_i0_match_data[2], 4, 4) @[lib.scala 104:86] + node _T_704 = eq(_T_702, _T_703) @[lib.scala 104:78] + node _T_705 = mux(_T_701, UInt<1>("h01"), _T_704) @[lib.scala 104:23] + _T_670[4] <= _T_705 @[lib.scala 104:17] + node _T_706 = bits(io.trigger_pkt_any[2].tdata2, 4, 0) @[lib.scala 104:28] + node _T_707 = andr(_T_706) @[lib.scala 104:36] + node _T_708 = and(_T_707, _T_673) @[lib.scala 104:41] + node _T_709 = bits(io.trigger_pkt_any[2].tdata2, 5, 5) @[lib.scala 104:74] + node _T_710 = bits(dec_i0_match_data[2], 5, 5) @[lib.scala 104:86] + node _T_711 = eq(_T_709, _T_710) @[lib.scala 104:78] + node _T_712 = mux(_T_708, UInt<1>("h01"), _T_711) @[lib.scala 104:23] + _T_670[5] <= _T_712 @[lib.scala 104:17] + node _T_713 = bits(io.trigger_pkt_any[2].tdata2, 5, 0) @[lib.scala 104:28] + node _T_714 = andr(_T_713) @[lib.scala 104:36] + node _T_715 = and(_T_714, _T_673) @[lib.scala 104:41] + node _T_716 = bits(io.trigger_pkt_any[2].tdata2, 6, 6) @[lib.scala 104:74] + node _T_717 = bits(dec_i0_match_data[2], 6, 6) @[lib.scala 104:86] + node _T_718 = eq(_T_716, _T_717) @[lib.scala 104:78] + node _T_719 = mux(_T_715, UInt<1>("h01"), _T_718) @[lib.scala 104:23] + _T_670[6] <= _T_719 @[lib.scala 104:17] + node _T_720 = bits(io.trigger_pkt_any[2].tdata2, 6, 0) @[lib.scala 104:28] + node _T_721 = andr(_T_720) @[lib.scala 104:36] + node _T_722 = and(_T_721, _T_673) @[lib.scala 104:41] + node _T_723 = bits(io.trigger_pkt_any[2].tdata2, 7, 7) @[lib.scala 104:74] + node _T_724 = bits(dec_i0_match_data[2], 7, 7) @[lib.scala 104:86] + node _T_725 = eq(_T_723, _T_724) @[lib.scala 104:78] + node _T_726 = mux(_T_722, UInt<1>("h01"), _T_725) @[lib.scala 104:23] + _T_670[7] <= _T_726 @[lib.scala 104:17] + node _T_727 = bits(io.trigger_pkt_any[2].tdata2, 7, 0) @[lib.scala 104:28] + node _T_728 = andr(_T_727) @[lib.scala 104:36] + node _T_729 = and(_T_728, _T_673) @[lib.scala 104:41] + node _T_730 = bits(io.trigger_pkt_any[2].tdata2, 8, 8) @[lib.scala 104:74] + node _T_731 = bits(dec_i0_match_data[2], 8, 8) @[lib.scala 104:86] + node _T_732 = eq(_T_730, _T_731) @[lib.scala 104:78] + node _T_733 = mux(_T_729, UInt<1>("h01"), _T_732) @[lib.scala 104:23] + _T_670[8] <= _T_733 @[lib.scala 104:17] + node _T_734 = bits(io.trigger_pkt_any[2].tdata2, 8, 0) @[lib.scala 104:28] + node _T_735 = andr(_T_734) @[lib.scala 104:36] + node _T_736 = and(_T_735, _T_673) @[lib.scala 104:41] + node _T_737 = bits(io.trigger_pkt_any[2].tdata2, 9, 9) @[lib.scala 104:74] + node _T_738 = bits(dec_i0_match_data[2], 9, 9) @[lib.scala 104:86] + node _T_739 = eq(_T_737, _T_738) @[lib.scala 104:78] + node _T_740 = mux(_T_736, UInt<1>("h01"), _T_739) @[lib.scala 104:23] + _T_670[9] <= _T_740 @[lib.scala 104:17] + node _T_741 = bits(io.trigger_pkt_any[2].tdata2, 9, 0) @[lib.scala 104:28] + node _T_742 = andr(_T_741) @[lib.scala 104:36] + node _T_743 = and(_T_742, _T_673) @[lib.scala 104:41] + node _T_744 = bits(io.trigger_pkt_any[2].tdata2, 10, 10) @[lib.scala 104:74] + node _T_745 = bits(dec_i0_match_data[2], 10, 10) @[lib.scala 104:86] + node _T_746 = eq(_T_744, _T_745) @[lib.scala 104:78] + node _T_747 = mux(_T_743, UInt<1>("h01"), _T_746) @[lib.scala 104:23] + _T_670[10] <= _T_747 @[lib.scala 104:17] + node _T_748 = bits(io.trigger_pkt_any[2].tdata2, 10, 0) @[lib.scala 104:28] + node _T_749 = andr(_T_748) @[lib.scala 104:36] + node _T_750 = and(_T_749, _T_673) @[lib.scala 104:41] + node _T_751 = bits(io.trigger_pkt_any[2].tdata2, 11, 11) @[lib.scala 104:74] + node _T_752 = bits(dec_i0_match_data[2], 11, 11) @[lib.scala 104:86] + node _T_753 = eq(_T_751, _T_752) @[lib.scala 104:78] + node _T_754 = mux(_T_750, UInt<1>("h01"), _T_753) @[lib.scala 104:23] + _T_670[11] <= _T_754 @[lib.scala 104:17] + node _T_755 = bits(io.trigger_pkt_any[2].tdata2, 11, 0) @[lib.scala 104:28] + node _T_756 = andr(_T_755) @[lib.scala 104:36] + node _T_757 = and(_T_756, _T_673) @[lib.scala 104:41] + node _T_758 = bits(io.trigger_pkt_any[2].tdata2, 12, 12) @[lib.scala 104:74] + node _T_759 = bits(dec_i0_match_data[2], 12, 12) @[lib.scala 104:86] + node _T_760 = eq(_T_758, _T_759) @[lib.scala 104:78] + node _T_761 = mux(_T_757, UInt<1>("h01"), _T_760) @[lib.scala 104:23] + _T_670[12] <= _T_761 @[lib.scala 104:17] + node _T_762 = bits(io.trigger_pkt_any[2].tdata2, 12, 0) @[lib.scala 104:28] + node _T_763 = andr(_T_762) @[lib.scala 104:36] + node _T_764 = and(_T_763, _T_673) @[lib.scala 104:41] + node _T_765 = bits(io.trigger_pkt_any[2].tdata2, 13, 13) @[lib.scala 104:74] + node _T_766 = bits(dec_i0_match_data[2], 13, 13) @[lib.scala 104:86] + node _T_767 = eq(_T_765, _T_766) @[lib.scala 104:78] + node _T_768 = mux(_T_764, UInt<1>("h01"), _T_767) @[lib.scala 104:23] + _T_670[13] <= _T_768 @[lib.scala 104:17] + node _T_769 = bits(io.trigger_pkt_any[2].tdata2, 13, 0) @[lib.scala 104:28] + node _T_770 = andr(_T_769) @[lib.scala 104:36] + node _T_771 = and(_T_770, _T_673) @[lib.scala 104:41] + node _T_772 = bits(io.trigger_pkt_any[2].tdata2, 14, 14) @[lib.scala 104:74] + node _T_773 = bits(dec_i0_match_data[2], 14, 14) @[lib.scala 104:86] + node _T_774 = eq(_T_772, _T_773) @[lib.scala 104:78] + node _T_775 = mux(_T_771, UInt<1>("h01"), _T_774) @[lib.scala 104:23] + _T_670[14] <= _T_775 @[lib.scala 104:17] + node _T_776 = bits(io.trigger_pkt_any[2].tdata2, 14, 0) @[lib.scala 104:28] + node _T_777 = andr(_T_776) @[lib.scala 104:36] + node _T_778 = and(_T_777, _T_673) @[lib.scala 104:41] + node _T_779 = bits(io.trigger_pkt_any[2].tdata2, 15, 15) @[lib.scala 104:74] + node _T_780 = bits(dec_i0_match_data[2], 15, 15) @[lib.scala 104:86] + node _T_781 = eq(_T_779, _T_780) @[lib.scala 104:78] + node _T_782 = mux(_T_778, UInt<1>("h01"), _T_781) @[lib.scala 104:23] + _T_670[15] <= _T_782 @[lib.scala 104:17] + node _T_783 = bits(io.trigger_pkt_any[2].tdata2, 15, 0) @[lib.scala 104:28] + node _T_784 = andr(_T_783) @[lib.scala 104:36] + node _T_785 = and(_T_784, _T_673) @[lib.scala 104:41] + node _T_786 = bits(io.trigger_pkt_any[2].tdata2, 16, 16) @[lib.scala 104:74] + node _T_787 = bits(dec_i0_match_data[2], 16, 16) @[lib.scala 104:86] + node _T_788 = eq(_T_786, _T_787) @[lib.scala 104:78] + node _T_789 = mux(_T_785, UInt<1>("h01"), _T_788) @[lib.scala 104:23] + _T_670[16] <= _T_789 @[lib.scala 104:17] + node _T_790 = bits(io.trigger_pkt_any[2].tdata2, 16, 0) @[lib.scala 104:28] + node _T_791 = andr(_T_790) @[lib.scala 104:36] + node _T_792 = and(_T_791, _T_673) @[lib.scala 104:41] + node _T_793 = bits(io.trigger_pkt_any[2].tdata2, 17, 17) @[lib.scala 104:74] + node _T_794 = bits(dec_i0_match_data[2], 17, 17) @[lib.scala 104:86] + node _T_795 = eq(_T_793, _T_794) @[lib.scala 104:78] + node _T_796 = mux(_T_792, UInt<1>("h01"), _T_795) @[lib.scala 104:23] + _T_670[17] <= _T_796 @[lib.scala 104:17] + node _T_797 = bits(io.trigger_pkt_any[2].tdata2, 17, 0) @[lib.scala 104:28] + node _T_798 = andr(_T_797) @[lib.scala 104:36] + node _T_799 = and(_T_798, _T_673) @[lib.scala 104:41] + node _T_800 = bits(io.trigger_pkt_any[2].tdata2, 18, 18) @[lib.scala 104:74] + node _T_801 = bits(dec_i0_match_data[2], 18, 18) @[lib.scala 104:86] + node _T_802 = eq(_T_800, _T_801) @[lib.scala 104:78] + node _T_803 = mux(_T_799, UInt<1>("h01"), _T_802) @[lib.scala 104:23] + _T_670[18] <= _T_803 @[lib.scala 104:17] + node _T_804 = bits(io.trigger_pkt_any[2].tdata2, 18, 0) @[lib.scala 104:28] + node _T_805 = andr(_T_804) @[lib.scala 104:36] + node _T_806 = and(_T_805, _T_673) @[lib.scala 104:41] + node _T_807 = bits(io.trigger_pkt_any[2].tdata2, 19, 19) @[lib.scala 104:74] + node _T_808 = bits(dec_i0_match_data[2], 19, 19) @[lib.scala 104:86] + node _T_809 = eq(_T_807, _T_808) @[lib.scala 104:78] + node _T_810 = mux(_T_806, UInt<1>("h01"), _T_809) @[lib.scala 104:23] + _T_670[19] <= _T_810 @[lib.scala 104:17] + node _T_811 = bits(io.trigger_pkt_any[2].tdata2, 19, 0) @[lib.scala 104:28] + node _T_812 = andr(_T_811) @[lib.scala 104:36] + node _T_813 = and(_T_812, _T_673) @[lib.scala 104:41] + node _T_814 = bits(io.trigger_pkt_any[2].tdata2, 20, 20) @[lib.scala 104:74] + node _T_815 = bits(dec_i0_match_data[2], 20, 20) @[lib.scala 104:86] + node _T_816 = eq(_T_814, _T_815) @[lib.scala 104:78] + node _T_817 = mux(_T_813, UInt<1>("h01"), _T_816) @[lib.scala 104:23] + _T_670[20] <= _T_817 @[lib.scala 104:17] + node _T_818 = bits(io.trigger_pkt_any[2].tdata2, 20, 0) @[lib.scala 104:28] + node _T_819 = andr(_T_818) @[lib.scala 104:36] + node _T_820 = and(_T_819, _T_673) @[lib.scala 104:41] + node _T_821 = bits(io.trigger_pkt_any[2].tdata2, 21, 21) @[lib.scala 104:74] + node _T_822 = bits(dec_i0_match_data[2], 21, 21) @[lib.scala 104:86] + node _T_823 = eq(_T_821, _T_822) @[lib.scala 104:78] + node _T_824 = mux(_T_820, UInt<1>("h01"), _T_823) @[lib.scala 104:23] + _T_670[21] <= _T_824 @[lib.scala 104:17] + node _T_825 = bits(io.trigger_pkt_any[2].tdata2, 21, 0) @[lib.scala 104:28] + node _T_826 = andr(_T_825) @[lib.scala 104:36] + node _T_827 = and(_T_826, _T_673) @[lib.scala 104:41] + node _T_828 = bits(io.trigger_pkt_any[2].tdata2, 22, 22) @[lib.scala 104:74] + node _T_829 = bits(dec_i0_match_data[2], 22, 22) @[lib.scala 104:86] + node _T_830 = eq(_T_828, _T_829) @[lib.scala 104:78] + node _T_831 = mux(_T_827, UInt<1>("h01"), _T_830) @[lib.scala 104:23] + _T_670[22] <= _T_831 @[lib.scala 104:17] + node _T_832 = bits(io.trigger_pkt_any[2].tdata2, 22, 0) @[lib.scala 104:28] + node _T_833 = andr(_T_832) @[lib.scala 104:36] + node _T_834 = and(_T_833, _T_673) @[lib.scala 104:41] + node _T_835 = bits(io.trigger_pkt_any[2].tdata2, 23, 23) @[lib.scala 104:74] + node _T_836 = bits(dec_i0_match_data[2], 23, 23) @[lib.scala 104:86] + node _T_837 = eq(_T_835, _T_836) @[lib.scala 104:78] + node _T_838 = mux(_T_834, UInt<1>("h01"), _T_837) @[lib.scala 104:23] + _T_670[23] <= _T_838 @[lib.scala 104:17] + node _T_839 = bits(io.trigger_pkt_any[2].tdata2, 23, 0) @[lib.scala 104:28] + node _T_840 = andr(_T_839) @[lib.scala 104:36] + node _T_841 = and(_T_840, _T_673) @[lib.scala 104:41] + node _T_842 = bits(io.trigger_pkt_any[2].tdata2, 24, 24) @[lib.scala 104:74] + node _T_843 = bits(dec_i0_match_data[2], 24, 24) @[lib.scala 104:86] + node _T_844 = eq(_T_842, _T_843) @[lib.scala 104:78] + node _T_845 = mux(_T_841, UInt<1>("h01"), _T_844) @[lib.scala 104:23] + _T_670[24] <= _T_845 @[lib.scala 104:17] + node _T_846 = bits(io.trigger_pkt_any[2].tdata2, 24, 0) @[lib.scala 104:28] + node _T_847 = andr(_T_846) @[lib.scala 104:36] + node _T_848 = and(_T_847, _T_673) @[lib.scala 104:41] + node _T_849 = bits(io.trigger_pkt_any[2].tdata2, 25, 25) @[lib.scala 104:74] + node _T_850 = bits(dec_i0_match_data[2], 25, 25) @[lib.scala 104:86] + node _T_851 = eq(_T_849, _T_850) @[lib.scala 104:78] + node _T_852 = mux(_T_848, UInt<1>("h01"), _T_851) @[lib.scala 104:23] + _T_670[25] <= _T_852 @[lib.scala 104:17] + node _T_853 = bits(io.trigger_pkt_any[2].tdata2, 25, 0) @[lib.scala 104:28] + node _T_854 = andr(_T_853) @[lib.scala 104:36] + node _T_855 = and(_T_854, _T_673) @[lib.scala 104:41] + node _T_856 = bits(io.trigger_pkt_any[2].tdata2, 26, 26) @[lib.scala 104:74] + node _T_857 = bits(dec_i0_match_data[2], 26, 26) @[lib.scala 104:86] + node _T_858 = eq(_T_856, _T_857) @[lib.scala 104:78] + node _T_859 = mux(_T_855, UInt<1>("h01"), _T_858) @[lib.scala 104:23] + _T_670[26] <= _T_859 @[lib.scala 104:17] + node _T_860 = bits(io.trigger_pkt_any[2].tdata2, 26, 0) @[lib.scala 104:28] + node _T_861 = andr(_T_860) @[lib.scala 104:36] + node _T_862 = and(_T_861, _T_673) @[lib.scala 104:41] + node _T_863 = bits(io.trigger_pkt_any[2].tdata2, 27, 27) @[lib.scala 104:74] + node _T_864 = bits(dec_i0_match_data[2], 27, 27) @[lib.scala 104:86] + node _T_865 = eq(_T_863, _T_864) @[lib.scala 104:78] + node _T_866 = mux(_T_862, UInt<1>("h01"), _T_865) @[lib.scala 104:23] + _T_670[27] <= _T_866 @[lib.scala 104:17] + node _T_867 = bits(io.trigger_pkt_any[2].tdata2, 27, 0) @[lib.scala 104:28] + node _T_868 = andr(_T_867) @[lib.scala 104:36] + node _T_869 = and(_T_868, _T_673) @[lib.scala 104:41] + node _T_870 = bits(io.trigger_pkt_any[2].tdata2, 28, 28) @[lib.scala 104:74] + node _T_871 = bits(dec_i0_match_data[2], 28, 28) @[lib.scala 104:86] + node _T_872 = eq(_T_870, _T_871) @[lib.scala 104:78] + node _T_873 = mux(_T_869, UInt<1>("h01"), _T_872) @[lib.scala 104:23] + _T_670[28] <= _T_873 @[lib.scala 104:17] + node _T_874 = bits(io.trigger_pkt_any[2].tdata2, 28, 0) @[lib.scala 104:28] + node _T_875 = andr(_T_874) @[lib.scala 104:36] + node _T_876 = and(_T_875, _T_673) @[lib.scala 104:41] + node _T_877 = bits(io.trigger_pkt_any[2].tdata2, 29, 29) @[lib.scala 104:74] + node _T_878 = bits(dec_i0_match_data[2], 29, 29) @[lib.scala 104:86] + node _T_879 = eq(_T_877, _T_878) @[lib.scala 104:78] + node _T_880 = mux(_T_876, UInt<1>("h01"), _T_879) @[lib.scala 104:23] + _T_670[29] <= _T_880 @[lib.scala 104:17] + node _T_881 = bits(io.trigger_pkt_any[2].tdata2, 29, 0) @[lib.scala 104:28] + node _T_882 = andr(_T_881) @[lib.scala 104:36] + node _T_883 = and(_T_882, _T_673) @[lib.scala 104:41] + node _T_884 = bits(io.trigger_pkt_any[2].tdata2, 30, 30) @[lib.scala 104:74] + node _T_885 = bits(dec_i0_match_data[2], 30, 30) @[lib.scala 104:86] + node _T_886 = eq(_T_884, _T_885) @[lib.scala 104:78] + node _T_887 = mux(_T_883, UInt<1>("h01"), _T_886) @[lib.scala 104:23] + _T_670[30] <= _T_887 @[lib.scala 104:17] + node _T_888 = bits(io.trigger_pkt_any[2].tdata2, 30, 0) @[lib.scala 104:28] + node _T_889 = andr(_T_888) @[lib.scala 104:36] + node _T_890 = and(_T_889, _T_673) @[lib.scala 104:41] + node _T_891 = bits(io.trigger_pkt_any[2].tdata2, 31, 31) @[lib.scala 104:74] + node _T_892 = bits(dec_i0_match_data[2], 31, 31) @[lib.scala 104:86] + node _T_893 = eq(_T_891, _T_892) @[lib.scala 104:78] + node _T_894 = mux(_T_890, UInt<1>("h01"), _T_893) @[lib.scala 104:23] + _T_670[31] <= _T_894 @[lib.scala 104:17] + node _T_895 = cat(_T_670[1], _T_670[0]) @[lib.scala 105:14] + node _T_896 = cat(_T_670[3], _T_670[2]) @[lib.scala 105:14] + node _T_897 = cat(_T_896, _T_895) @[lib.scala 105:14] + node _T_898 = cat(_T_670[5], _T_670[4]) @[lib.scala 105:14] + node _T_899 = cat(_T_670[7], _T_670[6]) @[lib.scala 105:14] + node _T_900 = cat(_T_899, _T_898) @[lib.scala 105:14] + node _T_901 = cat(_T_900, _T_897) @[lib.scala 105:14] + node _T_902 = cat(_T_670[9], _T_670[8]) @[lib.scala 105:14] + node _T_903 = cat(_T_670[11], _T_670[10]) @[lib.scala 105:14] + node _T_904 = cat(_T_903, _T_902) @[lib.scala 105:14] + node _T_905 = cat(_T_670[13], _T_670[12]) @[lib.scala 105:14] + node _T_906 = cat(_T_670[15], _T_670[14]) @[lib.scala 105:14] + node _T_907 = cat(_T_906, _T_905) @[lib.scala 105:14] + node _T_908 = cat(_T_907, _T_904) @[lib.scala 105:14] + node _T_909 = cat(_T_908, _T_901) @[lib.scala 105:14] + node _T_910 = cat(_T_670[17], _T_670[16]) @[lib.scala 105:14] + node _T_911 = cat(_T_670[19], _T_670[18]) @[lib.scala 105:14] + node _T_912 = cat(_T_911, _T_910) @[lib.scala 105:14] + node _T_913 = cat(_T_670[21], _T_670[20]) @[lib.scala 105:14] + node _T_914 = cat(_T_670[23], _T_670[22]) @[lib.scala 105:14] + node _T_915 = cat(_T_914, _T_913) @[lib.scala 105:14] + node _T_916 = cat(_T_915, _T_912) @[lib.scala 105:14] + node _T_917 = cat(_T_670[25], _T_670[24]) @[lib.scala 105:14] + node _T_918 = cat(_T_670[27], _T_670[26]) @[lib.scala 105:14] + node _T_919 = cat(_T_918, _T_917) @[lib.scala 105:14] + node _T_920 = cat(_T_670[29], _T_670[28]) @[lib.scala 105:14] + node _T_921 = cat(_T_670[31], _T_670[30]) @[lib.scala 105:14] + node _T_922 = cat(_T_921, _T_920) @[lib.scala 105:14] + node _T_923 = cat(_T_922, _T_919) @[lib.scala 105:14] + node _T_924 = cat(_T_923, _T_916) @[lib.scala 105:14] + node _T_925 = cat(_T_924, _T_909) @[lib.scala 105:14] + node _T_926 = andr(_T_925) @[lib.scala 105:25] + node _T_927 = and(_T_668, _T_926) @[dec_trigger.scala 15:109] + node _T_928 = and(io.trigger_pkt_any[3].execute, io.trigger_pkt_any[3].m) @[dec_trigger.scala 15:83] + node _T_929 = bits(io.trigger_pkt_any[3].match_pkt, 0, 0) @[dec_trigger.scala 15:216] + wire _T_930 : UInt<1>[32] @[lib.scala 100:24] + node _T_931 = andr(io.trigger_pkt_any[3].tdata2) @[lib.scala 101:45] + node _T_932 = not(_T_931) @[lib.scala 101:39] + node _T_933 = and(_T_929, _T_932) @[lib.scala 101:37] + node _T_934 = bits(io.trigger_pkt_any[3].tdata2, 0, 0) @[lib.scala 102:48] + node _T_935 = bits(dec_i0_match_data[3], 0, 0) @[lib.scala 102:60] + node _T_936 = eq(_T_934, _T_935) @[lib.scala 102:52] + node _T_937 = or(_T_933, _T_936) @[lib.scala 102:41] + _T_930[0] <= _T_937 @[lib.scala 102:18] + node _T_938 = bits(io.trigger_pkt_any[3].tdata2, 0, 0) @[lib.scala 104:28] + node _T_939 = andr(_T_938) @[lib.scala 104:36] + node _T_940 = and(_T_939, _T_933) @[lib.scala 104:41] + node _T_941 = bits(io.trigger_pkt_any[3].tdata2, 1, 1) @[lib.scala 104:74] + node _T_942 = bits(dec_i0_match_data[3], 1, 1) @[lib.scala 104:86] + node _T_943 = eq(_T_941, _T_942) @[lib.scala 104:78] + node _T_944 = mux(_T_940, UInt<1>("h01"), _T_943) @[lib.scala 104:23] + _T_930[1] <= _T_944 @[lib.scala 104:17] + node _T_945 = bits(io.trigger_pkt_any[3].tdata2, 1, 0) @[lib.scala 104:28] + node _T_946 = andr(_T_945) @[lib.scala 104:36] + node _T_947 = and(_T_946, _T_933) @[lib.scala 104:41] + node _T_948 = bits(io.trigger_pkt_any[3].tdata2, 2, 2) @[lib.scala 104:74] + node _T_949 = bits(dec_i0_match_data[3], 2, 2) @[lib.scala 104:86] + node _T_950 = eq(_T_948, _T_949) @[lib.scala 104:78] + node _T_951 = mux(_T_947, UInt<1>("h01"), _T_950) @[lib.scala 104:23] + _T_930[2] <= _T_951 @[lib.scala 104:17] + node _T_952 = bits(io.trigger_pkt_any[3].tdata2, 2, 0) @[lib.scala 104:28] + node _T_953 = andr(_T_952) @[lib.scala 104:36] + node _T_954 = and(_T_953, _T_933) @[lib.scala 104:41] + node _T_955 = bits(io.trigger_pkt_any[3].tdata2, 3, 3) @[lib.scala 104:74] + node _T_956 = bits(dec_i0_match_data[3], 3, 3) @[lib.scala 104:86] + node _T_957 = eq(_T_955, _T_956) @[lib.scala 104:78] + node _T_958 = mux(_T_954, UInt<1>("h01"), _T_957) @[lib.scala 104:23] + _T_930[3] <= _T_958 @[lib.scala 104:17] + node _T_959 = bits(io.trigger_pkt_any[3].tdata2, 3, 0) @[lib.scala 104:28] + node _T_960 = andr(_T_959) @[lib.scala 104:36] + node _T_961 = and(_T_960, _T_933) @[lib.scala 104:41] + node _T_962 = bits(io.trigger_pkt_any[3].tdata2, 4, 4) @[lib.scala 104:74] + node _T_963 = bits(dec_i0_match_data[3], 4, 4) @[lib.scala 104:86] + node _T_964 = eq(_T_962, _T_963) @[lib.scala 104:78] + node _T_965 = mux(_T_961, UInt<1>("h01"), _T_964) @[lib.scala 104:23] + _T_930[4] <= _T_965 @[lib.scala 104:17] + node _T_966 = bits(io.trigger_pkt_any[3].tdata2, 4, 0) @[lib.scala 104:28] + node _T_967 = andr(_T_966) @[lib.scala 104:36] + node _T_968 = and(_T_967, _T_933) @[lib.scala 104:41] + node _T_969 = bits(io.trigger_pkt_any[3].tdata2, 5, 5) @[lib.scala 104:74] + node _T_970 = bits(dec_i0_match_data[3], 5, 5) @[lib.scala 104:86] + node _T_971 = eq(_T_969, _T_970) @[lib.scala 104:78] + node _T_972 = mux(_T_968, UInt<1>("h01"), _T_971) @[lib.scala 104:23] + _T_930[5] <= _T_972 @[lib.scala 104:17] + node _T_973 = bits(io.trigger_pkt_any[3].tdata2, 5, 0) @[lib.scala 104:28] + node _T_974 = andr(_T_973) @[lib.scala 104:36] + node _T_975 = and(_T_974, _T_933) @[lib.scala 104:41] + node _T_976 = bits(io.trigger_pkt_any[3].tdata2, 6, 6) @[lib.scala 104:74] + node _T_977 = bits(dec_i0_match_data[3], 6, 6) @[lib.scala 104:86] + node _T_978 = eq(_T_976, _T_977) @[lib.scala 104:78] + node _T_979 = mux(_T_975, UInt<1>("h01"), _T_978) @[lib.scala 104:23] + _T_930[6] <= _T_979 @[lib.scala 104:17] + node _T_980 = bits(io.trigger_pkt_any[3].tdata2, 6, 0) @[lib.scala 104:28] + node _T_981 = andr(_T_980) @[lib.scala 104:36] + node _T_982 = and(_T_981, _T_933) @[lib.scala 104:41] + node _T_983 = bits(io.trigger_pkt_any[3].tdata2, 7, 7) @[lib.scala 104:74] + node _T_984 = bits(dec_i0_match_data[3], 7, 7) @[lib.scala 104:86] + node _T_985 = eq(_T_983, _T_984) @[lib.scala 104:78] + node _T_986 = mux(_T_982, UInt<1>("h01"), _T_985) @[lib.scala 104:23] + _T_930[7] <= _T_986 @[lib.scala 104:17] + node _T_987 = bits(io.trigger_pkt_any[3].tdata2, 7, 0) @[lib.scala 104:28] + node _T_988 = andr(_T_987) @[lib.scala 104:36] + node _T_989 = and(_T_988, _T_933) @[lib.scala 104:41] + node _T_990 = bits(io.trigger_pkt_any[3].tdata2, 8, 8) @[lib.scala 104:74] + node _T_991 = bits(dec_i0_match_data[3], 8, 8) @[lib.scala 104:86] + node _T_992 = eq(_T_990, _T_991) @[lib.scala 104:78] + node _T_993 = mux(_T_989, UInt<1>("h01"), _T_992) @[lib.scala 104:23] + _T_930[8] <= _T_993 @[lib.scala 104:17] + node _T_994 = bits(io.trigger_pkt_any[3].tdata2, 8, 0) @[lib.scala 104:28] + node _T_995 = andr(_T_994) @[lib.scala 104:36] + node _T_996 = and(_T_995, _T_933) @[lib.scala 104:41] + node _T_997 = bits(io.trigger_pkt_any[3].tdata2, 9, 9) @[lib.scala 104:74] + node _T_998 = bits(dec_i0_match_data[3], 9, 9) @[lib.scala 104:86] + node _T_999 = eq(_T_997, _T_998) @[lib.scala 104:78] + node _T_1000 = mux(_T_996, UInt<1>("h01"), _T_999) @[lib.scala 104:23] + _T_930[9] <= _T_1000 @[lib.scala 104:17] + node _T_1001 = bits(io.trigger_pkt_any[3].tdata2, 9, 0) @[lib.scala 104:28] + node _T_1002 = andr(_T_1001) @[lib.scala 104:36] + node _T_1003 = and(_T_1002, _T_933) @[lib.scala 104:41] + node _T_1004 = bits(io.trigger_pkt_any[3].tdata2, 10, 10) @[lib.scala 104:74] + node _T_1005 = bits(dec_i0_match_data[3], 10, 10) @[lib.scala 104:86] + node _T_1006 = eq(_T_1004, _T_1005) @[lib.scala 104:78] + node _T_1007 = mux(_T_1003, UInt<1>("h01"), _T_1006) @[lib.scala 104:23] + _T_930[10] <= _T_1007 @[lib.scala 104:17] + node _T_1008 = bits(io.trigger_pkt_any[3].tdata2, 10, 0) @[lib.scala 104:28] + node _T_1009 = andr(_T_1008) @[lib.scala 104:36] + node _T_1010 = and(_T_1009, _T_933) @[lib.scala 104:41] + node _T_1011 = bits(io.trigger_pkt_any[3].tdata2, 11, 11) @[lib.scala 104:74] + node _T_1012 = bits(dec_i0_match_data[3], 11, 11) @[lib.scala 104:86] + node _T_1013 = eq(_T_1011, _T_1012) @[lib.scala 104:78] + node _T_1014 = mux(_T_1010, UInt<1>("h01"), _T_1013) @[lib.scala 104:23] + _T_930[11] <= _T_1014 @[lib.scala 104:17] + node _T_1015 = bits(io.trigger_pkt_any[3].tdata2, 11, 0) @[lib.scala 104:28] + node _T_1016 = andr(_T_1015) @[lib.scala 104:36] + node _T_1017 = and(_T_1016, _T_933) @[lib.scala 104:41] + node _T_1018 = bits(io.trigger_pkt_any[3].tdata2, 12, 12) @[lib.scala 104:74] + node _T_1019 = bits(dec_i0_match_data[3], 12, 12) @[lib.scala 104:86] + node _T_1020 = eq(_T_1018, _T_1019) @[lib.scala 104:78] + node _T_1021 = mux(_T_1017, UInt<1>("h01"), _T_1020) @[lib.scala 104:23] + _T_930[12] <= _T_1021 @[lib.scala 104:17] + node _T_1022 = bits(io.trigger_pkt_any[3].tdata2, 12, 0) @[lib.scala 104:28] + node _T_1023 = andr(_T_1022) @[lib.scala 104:36] + node _T_1024 = and(_T_1023, _T_933) @[lib.scala 104:41] + node _T_1025 = bits(io.trigger_pkt_any[3].tdata2, 13, 13) @[lib.scala 104:74] + node _T_1026 = bits(dec_i0_match_data[3], 13, 13) @[lib.scala 104:86] + node _T_1027 = eq(_T_1025, _T_1026) @[lib.scala 104:78] + node _T_1028 = mux(_T_1024, UInt<1>("h01"), _T_1027) @[lib.scala 104:23] + _T_930[13] <= _T_1028 @[lib.scala 104:17] + node _T_1029 = bits(io.trigger_pkt_any[3].tdata2, 13, 0) @[lib.scala 104:28] + node _T_1030 = andr(_T_1029) @[lib.scala 104:36] + node _T_1031 = and(_T_1030, _T_933) @[lib.scala 104:41] + node _T_1032 = bits(io.trigger_pkt_any[3].tdata2, 14, 14) @[lib.scala 104:74] + node _T_1033 = bits(dec_i0_match_data[3], 14, 14) @[lib.scala 104:86] + node _T_1034 = eq(_T_1032, _T_1033) @[lib.scala 104:78] + node _T_1035 = mux(_T_1031, UInt<1>("h01"), _T_1034) @[lib.scala 104:23] + _T_930[14] <= _T_1035 @[lib.scala 104:17] + node _T_1036 = bits(io.trigger_pkt_any[3].tdata2, 14, 0) @[lib.scala 104:28] + node _T_1037 = andr(_T_1036) @[lib.scala 104:36] + node _T_1038 = and(_T_1037, _T_933) @[lib.scala 104:41] + node _T_1039 = bits(io.trigger_pkt_any[3].tdata2, 15, 15) @[lib.scala 104:74] + node _T_1040 = bits(dec_i0_match_data[3], 15, 15) @[lib.scala 104:86] + node _T_1041 = eq(_T_1039, _T_1040) @[lib.scala 104:78] + node _T_1042 = mux(_T_1038, UInt<1>("h01"), _T_1041) @[lib.scala 104:23] + _T_930[15] <= _T_1042 @[lib.scala 104:17] + node _T_1043 = bits(io.trigger_pkt_any[3].tdata2, 15, 0) @[lib.scala 104:28] + node _T_1044 = andr(_T_1043) @[lib.scala 104:36] + node _T_1045 = and(_T_1044, _T_933) @[lib.scala 104:41] + node _T_1046 = bits(io.trigger_pkt_any[3].tdata2, 16, 16) @[lib.scala 104:74] + node _T_1047 = bits(dec_i0_match_data[3], 16, 16) @[lib.scala 104:86] + node _T_1048 = eq(_T_1046, _T_1047) @[lib.scala 104:78] + node _T_1049 = mux(_T_1045, UInt<1>("h01"), _T_1048) @[lib.scala 104:23] + _T_930[16] <= _T_1049 @[lib.scala 104:17] + node _T_1050 = bits(io.trigger_pkt_any[3].tdata2, 16, 0) @[lib.scala 104:28] + node _T_1051 = andr(_T_1050) @[lib.scala 104:36] + node _T_1052 = and(_T_1051, _T_933) @[lib.scala 104:41] + node _T_1053 = bits(io.trigger_pkt_any[3].tdata2, 17, 17) @[lib.scala 104:74] + node _T_1054 = bits(dec_i0_match_data[3], 17, 17) @[lib.scala 104:86] + node _T_1055 = eq(_T_1053, _T_1054) @[lib.scala 104:78] + node _T_1056 = mux(_T_1052, UInt<1>("h01"), _T_1055) @[lib.scala 104:23] + _T_930[17] <= _T_1056 @[lib.scala 104:17] + node _T_1057 = bits(io.trigger_pkt_any[3].tdata2, 17, 0) @[lib.scala 104:28] + node _T_1058 = andr(_T_1057) @[lib.scala 104:36] + node _T_1059 = and(_T_1058, _T_933) @[lib.scala 104:41] + node _T_1060 = bits(io.trigger_pkt_any[3].tdata2, 18, 18) @[lib.scala 104:74] + node _T_1061 = bits(dec_i0_match_data[3], 18, 18) @[lib.scala 104:86] + node _T_1062 = eq(_T_1060, _T_1061) @[lib.scala 104:78] + node _T_1063 = mux(_T_1059, UInt<1>("h01"), _T_1062) @[lib.scala 104:23] + _T_930[18] <= _T_1063 @[lib.scala 104:17] + node _T_1064 = bits(io.trigger_pkt_any[3].tdata2, 18, 0) @[lib.scala 104:28] + node _T_1065 = andr(_T_1064) @[lib.scala 104:36] + node _T_1066 = and(_T_1065, _T_933) @[lib.scala 104:41] + node _T_1067 = bits(io.trigger_pkt_any[3].tdata2, 19, 19) @[lib.scala 104:74] + node _T_1068 = bits(dec_i0_match_data[3], 19, 19) @[lib.scala 104:86] + node _T_1069 = eq(_T_1067, _T_1068) @[lib.scala 104:78] + node _T_1070 = mux(_T_1066, UInt<1>("h01"), _T_1069) @[lib.scala 104:23] + _T_930[19] <= _T_1070 @[lib.scala 104:17] + node _T_1071 = bits(io.trigger_pkt_any[3].tdata2, 19, 0) @[lib.scala 104:28] + node _T_1072 = andr(_T_1071) @[lib.scala 104:36] + node _T_1073 = and(_T_1072, _T_933) @[lib.scala 104:41] + node _T_1074 = bits(io.trigger_pkt_any[3].tdata2, 20, 20) @[lib.scala 104:74] + node _T_1075 = bits(dec_i0_match_data[3], 20, 20) @[lib.scala 104:86] + node _T_1076 = eq(_T_1074, _T_1075) @[lib.scala 104:78] + node _T_1077 = mux(_T_1073, UInt<1>("h01"), _T_1076) @[lib.scala 104:23] + _T_930[20] <= _T_1077 @[lib.scala 104:17] + node _T_1078 = bits(io.trigger_pkt_any[3].tdata2, 20, 0) @[lib.scala 104:28] + node _T_1079 = andr(_T_1078) @[lib.scala 104:36] + node _T_1080 = and(_T_1079, _T_933) @[lib.scala 104:41] + node _T_1081 = bits(io.trigger_pkt_any[3].tdata2, 21, 21) @[lib.scala 104:74] + node _T_1082 = bits(dec_i0_match_data[3], 21, 21) @[lib.scala 104:86] + node _T_1083 = eq(_T_1081, _T_1082) @[lib.scala 104:78] + node _T_1084 = mux(_T_1080, UInt<1>("h01"), _T_1083) @[lib.scala 104:23] + _T_930[21] <= _T_1084 @[lib.scala 104:17] + node _T_1085 = bits(io.trigger_pkt_any[3].tdata2, 21, 0) @[lib.scala 104:28] + node _T_1086 = andr(_T_1085) @[lib.scala 104:36] + node _T_1087 = and(_T_1086, _T_933) @[lib.scala 104:41] + node _T_1088 = bits(io.trigger_pkt_any[3].tdata2, 22, 22) @[lib.scala 104:74] + node _T_1089 = bits(dec_i0_match_data[3], 22, 22) @[lib.scala 104:86] + node _T_1090 = eq(_T_1088, _T_1089) @[lib.scala 104:78] + node _T_1091 = mux(_T_1087, UInt<1>("h01"), _T_1090) @[lib.scala 104:23] + _T_930[22] <= _T_1091 @[lib.scala 104:17] + node _T_1092 = bits(io.trigger_pkt_any[3].tdata2, 22, 0) @[lib.scala 104:28] + node _T_1093 = andr(_T_1092) @[lib.scala 104:36] + node _T_1094 = and(_T_1093, _T_933) @[lib.scala 104:41] + node _T_1095 = bits(io.trigger_pkt_any[3].tdata2, 23, 23) @[lib.scala 104:74] + node _T_1096 = bits(dec_i0_match_data[3], 23, 23) @[lib.scala 104:86] + node _T_1097 = eq(_T_1095, _T_1096) @[lib.scala 104:78] + node _T_1098 = mux(_T_1094, UInt<1>("h01"), _T_1097) @[lib.scala 104:23] + _T_930[23] <= _T_1098 @[lib.scala 104:17] + node _T_1099 = bits(io.trigger_pkt_any[3].tdata2, 23, 0) @[lib.scala 104:28] + node _T_1100 = andr(_T_1099) @[lib.scala 104:36] + node _T_1101 = and(_T_1100, _T_933) @[lib.scala 104:41] + node _T_1102 = bits(io.trigger_pkt_any[3].tdata2, 24, 24) @[lib.scala 104:74] + node _T_1103 = bits(dec_i0_match_data[3], 24, 24) @[lib.scala 104:86] + node _T_1104 = eq(_T_1102, _T_1103) @[lib.scala 104:78] + node _T_1105 = mux(_T_1101, UInt<1>("h01"), _T_1104) @[lib.scala 104:23] + _T_930[24] <= _T_1105 @[lib.scala 104:17] + node _T_1106 = bits(io.trigger_pkt_any[3].tdata2, 24, 0) @[lib.scala 104:28] + node _T_1107 = andr(_T_1106) @[lib.scala 104:36] + node _T_1108 = and(_T_1107, _T_933) @[lib.scala 104:41] + node _T_1109 = bits(io.trigger_pkt_any[3].tdata2, 25, 25) @[lib.scala 104:74] + node _T_1110 = bits(dec_i0_match_data[3], 25, 25) @[lib.scala 104:86] + node _T_1111 = eq(_T_1109, _T_1110) @[lib.scala 104:78] + node _T_1112 = mux(_T_1108, UInt<1>("h01"), _T_1111) @[lib.scala 104:23] + _T_930[25] <= _T_1112 @[lib.scala 104:17] + node _T_1113 = bits(io.trigger_pkt_any[3].tdata2, 25, 0) @[lib.scala 104:28] + node _T_1114 = andr(_T_1113) @[lib.scala 104:36] + node _T_1115 = and(_T_1114, _T_933) @[lib.scala 104:41] + node _T_1116 = bits(io.trigger_pkt_any[3].tdata2, 26, 26) @[lib.scala 104:74] + node _T_1117 = bits(dec_i0_match_data[3], 26, 26) @[lib.scala 104:86] + node _T_1118 = eq(_T_1116, _T_1117) @[lib.scala 104:78] + node _T_1119 = mux(_T_1115, UInt<1>("h01"), _T_1118) @[lib.scala 104:23] + _T_930[26] <= _T_1119 @[lib.scala 104:17] + node _T_1120 = bits(io.trigger_pkt_any[3].tdata2, 26, 0) @[lib.scala 104:28] + node _T_1121 = andr(_T_1120) @[lib.scala 104:36] + node _T_1122 = and(_T_1121, _T_933) @[lib.scala 104:41] + node _T_1123 = bits(io.trigger_pkt_any[3].tdata2, 27, 27) @[lib.scala 104:74] + node _T_1124 = bits(dec_i0_match_data[3], 27, 27) @[lib.scala 104:86] + node _T_1125 = eq(_T_1123, _T_1124) @[lib.scala 104:78] + node _T_1126 = mux(_T_1122, UInt<1>("h01"), _T_1125) @[lib.scala 104:23] + _T_930[27] <= _T_1126 @[lib.scala 104:17] + node _T_1127 = bits(io.trigger_pkt_any[3].tdata2, 27, 0) @[lib.scala 104:28] + node _T_1128 = andr(_T_1127) @[lib.scala 104:36] + node _T_1129 = and(_T_1128, _T_933) @[lib.scala 104:41] + node _T_1130 = bits(io.trigger_pkt_any[3].tdata2, 28, 28) @[lib.scala 104:74] + node _T_1131 = bits(dec_i0_match_data[3], 28, 28) @[lib.scala 104:86] + node _T_1132 = eq(_T_1130, _T_1131) @[lib.scala 104:78] + node _T_1133 = mux(_T_1129, UInt<1>("h01"), _T_1132) @[lib.scala 104:23] + _T_930[28] <= _T_1133 @[lib.scala 104:17] + node _T_1134 = bits(io.trigger_pkt_any[3].tdata2, 28, 0) @[lib.scala 104:28] + node _T_1135 = andr(_T_1134) @[lib.scala 104:36] + node _T_1136 = and(_T_1135, _T_933) @[lib.scala 104:41] + node _T_1137 = bits(io.trigger_pkt_any[3].tdata2, 29, 29) @[lib.scala 104:74] + node _T_1138 = bits(dec_i0_match_data[3], 29, 29) @[lib.scala 104:86] + node _T_1139 = eq(_T_1137, _T_1138) @[lib.scala 104:78] + node _T_1140 = mux(_T_1136, UInt<1>("h01"), _T_1139) @[lib.scala 104:23] + _T_930[29] <= _T_1140 @[lib.scala 104:17] + node _T_1141 = bits(io.trigger_pkt_any[3].tdata2, 29, 0) @[lib.scala 104:28] + node _T_1142 = andr(_T_1141) @[lib.scala 104:36] + node _T_1143 = and(_T_1142, _T_933) @[lib.scala 104:41] + node _T_1144 = bits(io.trigger_pkt_any[3].tdata2, 30, 30) @[lib.scala 104:74] + node _T_1145 = bits(dec_i0_match_data[3], 30, 30) @[lib.scala 104:86] + node _T_1146 = eq(_T_1144, _T_1145) @[lib.scala 104:78] + node _T_1147 = mux(_T_1143, UInt<1>("h01"), _T_1146) @[lib.scala 104:23] + _T_930[30] <= _T_1147 @[lib.scala 104:17] + node _T_1148 = bits(io.trigger_pkt_any[3].tdata2, 30, 0) @[lib.scala 104:28] + node _T_1149 = andr(_T_1148) @[lib.scala 104:36] + node _T_1150 = and(_T_1149, _T_933) @[lib.scala 104:41] + node _T_1151 = bits(io.trigger_pkt_any[3].tdata2, 31, 31) @[lib.scala 104:74] + node _T_1152 = bits(dec_i0_match_data[3], 31, 31) @[lib.scala 104:86] + node _T_1153 = eq(_T_1151, _T_1152) @[lib.scala 104:78] + node _T_1154 = mux(_T_1150, UInt<1>("h01"), _T_1153) @[lib.scala 104:23] + _T_930[31] <= _T_1154 @[lib.scala 104:17] + node _T_1155 = cat(_T_930[1], _T_930[0]) @[lib.scala 105:14] + node _T_1156 = cat(_T_930[3], _T_930[2]) @[lib.scala 105:14] + node _T_1157 = cat(_T_1156, _T_1155) @[lib.scala 105:14] + node _T_1158 = cat(_T_930[5], _T_930[4]) @[lib.scala 105:14] + node _T_1159 = cat(_T_930[7], _T_930[6]) @[lib.scala 105:14] + node _T_1160 = cat(_T_1159, _T_1158) @[lib.scala 105:14] + node _T_1161 = cat(_T_1160, _T_1157) @[lib.scala 105:14] + node _T_1162 = cat(_T_930[9], _T_930[8]) @[lib.scala 105:14] + node _T_1163 = cat(_T_930[11], _T_930[10]) @[lib.scala 105:14] + node _T_1164 = cat(_T_1163, _T_1162) @[lib.scala 105:14] + node _T_1165 = cat(_T_930[13], _T_930[12]) @[lib.scala 105:14] + node _T_1166 = cat(_T_930[15], _T_930[14]) @[lib.scala 105:14] + node _T_1167 = cat(_T_1166, _T_1165) @[lib.scala 105:14] + node _T_1168 = cat(_T_1167, _T_1164) @[lib.scala 105:14] + node _T_1169 = cat(_T_1168, _T_1161) @[lib.scala 105:14] + node _T_1170 = cat(_T_930[17], _T_930[16]) @[lib.scala 105:14] + node _T_1171 = cat(_T_930[19], _T_930[18]) @[lib.scala 105:14] + node _T_1172 = cat(_T_1171, _T_1170) @[lib.scala 105:14] + node _T_1173 = cat(_T_930[21], _T_930[20]) @[lib.scala 105:14] + node _T_1174 = cat(_T_930[23], _T_930[22]) @[lib.scala 105:14] + node _T_1175 = cat(_T_1174, _T_1173) @[lib.scala 105:14] + node _T_1176 = cat(_T_1175, _T_1172) @[lib.scala 105:14] + node _T_1177 = cat(_T_930[25], _T_930[24]) @[lib.scala 105:14] + node _T_1178 = cat(_T_930[27], _T_930[26]) @[lib.scala 105:14] + node _T_1179 = cat(_T_1178, _T_1177) @[lib.scala 105:14] + node _T_1180 = cat(_T_930[29], _T_930[28]) @[lib.scala 105:14] + node _T_1181 = cat(_T_930[31], _T_930[30]) @[lib.scala 105:14] + node _T_1182 = cat(_T_1181, _T_1180) @[lib.scala 105:14] + node _T_1183 = cat(_T_1182, _T_1179) @[lib.scala 105:14] + node _T_1184 = cat(_T_1183, _T_1176) @[lib.scala 105:14] + node _T_1185 = cat(_T_1184, _T_1169) @[lib.scala 105:14] + node _T_1186 = andr(_T_1185) @[lib.scala 105:25] + node _T_1187 = and(_T_928, _T_1186) @[dec_trigger.scala 15:109] + node _T_1188 = cat(_T_1187, _T_927) @[Cat.scala 29:58] + node _T_1189 = cat(_T_1188, _T_667) @[Cat.scala 29:58] + node _T_1190 = cat(_T_1189, _T_407) @[Cat.scala 29:58] + io.dec_i0_trigger_match_d <= _T_1190 @[dec_trigger.scala 15:29] + + module dec : + input clock : Clock + input reset : AsyncReset + output io : {flip free_clk : Clock, flip active_clk : Clock, flip lsu_fastint_stall_any : UInt<1>, dec_pause_state_cg : UInt<1>, flip rst_vec : UInt<31>, flip nmi_int : UInt<1>, flip nmi_vec : UInt<31>, flip i_cpu_halt_req : UInt<1>, flip i_cpu_run_req : UInt<1>, o_cpu_halt_status : UInt<1>, o_cpu_halt_ack : UInt<1>, o_cpu_run_ack : UInt<1>, o_debug_mode_status : UInt<1>, flip core_id : UInt<28>, flip mpc_debug_halt_req : UInt<1>, flip mpc_debug_run_req : UInt<1>, flip mpc_reset_run_req : UInt<1>, mpc_debug_halt_ack : UInt<1>, mpc_debug_run_ack : UInt<1>, debug_brkpt_status : UInt<1>, flip lsu_pmu_misaligned_m : UInt<1>, flip lsu_fir_addr : UInt<31>, flip lsu_fir_error : UInt<2>, flip lsu_trigger_match_m : UInt<4>, flip lsu_idle_any : UInt<1>, flip lsu_error_pkt_r : {valid : UInt<1>, bits : {single_ecc_error : UInt<1>, inst_type : UInt<1>, exc_type : UInt<1>, mscause : UInt<4>, addr : UInt<32>}}, flip lsu_single_ecc_error_incr : UInt<1>, flip exu_div_result : UInt<32>, flip exu_div_wren : UInt<1>, flip lsu_result_m : UInt<32>, flip lsu_result_corr_r : UInt<32>, flip lsu_load_stall_any : UInt<1>, flip lsu_store_stall_any : UInt<1>, flip iccm_dma_sb_error : UInt<1>, flip exu_flush_final : UInt<1>, flip timer_int : UInt<1>, flip soft_int : UInt<1>, flip dbg_halt_req : UInt<1>, flip dbg_resume_req : UInt<1>, dec_tlu_dbg_halted : UInt<1>, dec_tlu_debug_mode : UInt<1>, dec_tlu_resume_ack : UInt<1>, dec_tlu_mpc_halted_only : UInt<1>, dec_dbg_rddata : UInt<32>, dec_dbg_cmd_done : UInt<1>, dec_dbg_cmd_fail : UInt<1>, trigger_pkt_any : {select : UInt<1>, match_pkt : UInt<1>, store : UInt<1>, load : UInt<1>, execute : UInt<1>, m : UInt<1>, tdata2 : UInt<32>}[4], flip exu_i0_br_way_r : UInt<1>, lsu_p : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, dec_lsu_offset_d : UInt<12>, dec_tlu_i0_kill_writeb_r : UInt<1>, dec_tlu_perfcnt0 : UInt<1>, dec_tlu_perfcnt1 : UInt<1>, dec_tlu_perfcnt2 : UInt<1>, dec_tlu_perfcnt3 : UInt<1>, dec_lsu_valid_raw_d : UInt<1>, rv_trace_pkt : {rv_i_valid_ip : UInt<2>, rv_i_insn_ip : UInt<32>, rv_i_address_ip : UInt<32>, rv_i_exception_ip : UInt<2>, rv_i_ecause_ip : UInt<5>, rv_i_interrupt_ip : UInt<2>, rv_i_tval_ip : UInt<32>}, dec_tlu_misc_clk_override : UInt<1>, dec_tlu_ifu_clk_override : UInt<1>, dec_tlu_lsu_clk_override : UInt<1>, dec_tlu_bus_clk_override : UInt<1>, dec_tlu_pic_clk_override : UInt<1>, dec_tlu_dccm_clk_override : UInt<1>, dec_tlu_icm_clk_override : UInt<1>, flip scan_mode : UInt<1>, flip ifu_dec : {dec_aln : {aln_dec : {flip dec_i0_decode_d : UInt<1>, ifu_i0_cinst : UInt<16>}, aln_ib : {ifu_i0_icaf : UInt<1>, ifu_i0_icaf_type : UInt<2>, ifu_i0_icaf_f1 : UInt<1>, ifu_i0_dbecc : UInt<1>, ifu_i0_bp_index : UInt<8>, ifu_i0_bp_fghr : UInt<8>, ifu_i0_bp_btag : UInt<5>, ifu_i0_valid : UInt<1>, ifu_i0_instr : UInt<32>, ifu_i0_pc : UInt<31>, ifu_i0_pc4 : UInt<1>, i0_brp : {valid : UInt<1>, bits : {toffset : UInt<12>, hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, bank : UInt<1>, prett : UInt<31>, way : UInt<1>, ret : UInt<1>}}}, ifu_pmu_instr_aligned : UInt<1>}, dec_mem_ctrl : {flip dec_tlu_flush_err_wb : UInt<1>, flip dec_tlu_i0_commit_cmt : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip dec_tlu_fence_i_wb : UInt<1>, flip dec_tlu_ic_diag_pkt : {icache_wrdata : UInt<71>, icache_dicawics : UInt<17>, icache_rd_valid : UInt<1>, icache_wr_valid : UInt<1>}, flip dec_tlu_core_ecc_disable : UInt<1>, ifu_pmu_ic_miss : UInt<1>, ifu_pmu_ic_hit : UInt<1>, ifu_pmu_bus_error : UInt<1>, ifu_pmu_bus_busy : UInt<1>, ifu_pmu_bus_trxn : UInt<1>, ifu_ic_error_start : UInt<1>, ifu_iccm_rd_ecc_single_err : UInt<1>, ifu_ic_debug_rd_data : UInt<71>, ifu_ic_debug_rd_data_valid : UInt<1>, ifu_miss_state_idle : UInt<1>}, dec_ifc : {flip dec_tlu_flush_noredir_wb : UInt<1>, flip dec_tlu_mrac_ff : UInt<32>, ifu_pmu_fetch_stall : UInt<1>}, dec_bp : {flip dec_tlu_br0_r_pkt : {valid : UInt<1>, bits : {hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, way : UInt<1>, middle : UInt<1>}}, flip dec_tlu_flush_leak_one_wb : UInt<1>, flip dec_tlu_bpred_disable : UInt<1>}}, flip dec_exu : {dec_alu : {flip dec_i0_alu_decode_d : UInt<1>, flip dec_csr_ren_d : UInt<1>, flip dec_i0_br_immed_d : UInt<12>, exu_i0_pc_x : UInt<31>}, dec_div : {flip div_p : {valid : UInt<1>, bits : {unsign : UInt<1>, rem : UInt<1>}}, flip dec_div_cancel : UInt<1>}, decode_exu : {flip dec_data_en : UInt<2>, flip dec_ctl_en : UInt<2>, flip i0_ap : {land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, srl : UInt<1>, sra : UInt<1>, beq : UInt<1>, bne : UInt<1>, blt : UInt<1>, bge : UInt<1>, add : UInt<1>, sub : UInt<1>, slt : UInt<1>, unsign : UInt<1>, jal : UInt<1>, predict_t : UInt<1>, predict_nt : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>}, flip dec_i0_predict_p_d : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<31>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}}, flip i0_predict_fghr_d : UInt<8>, flip i0_predict_index_d : UInt<8>, flip i0_predict_btag_d : UInt<5>, flip dec_i0_rs1_en_d : UInt<1>, flip dec_i0_rs2_en_d : UInt<1>, flip dec_i0_immed_d : UInt<32>, flip dec_i0_rs1_bypass_data_d : UInt<32>, flip dec_i0_rs2_bypass_data_d : UInt<32>, flip dec_i0_select_pc_d : UInt<1>, flip dec_i0_rs1_bypass_en_d : UInt<2>, flip dec_i0_rs2_bypass_en_d : UInt<2>, flip mul_p : {valid : UInt<1>, bits : {rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, bext : UInt<1>, bdep : UInt<1>, clmul : UInt<1>, clmulh : UInt<1>, clmulr : UInt<1>, grev : UInt<1>, shfl : UInt<1>, unshfl : UInt<1>, crc32_b : UInt<1>, crc32_h : UInt<1>, crc32_w : UInt<1>, crc32c_b : UInt<1>, crc32c_h : UInt<1>, crc32c_w : UInt<1>, bfp : UInt<1>}}, flip pred_correct_npc_x : UInt<31>, flip dec_extint_stall : UInt<1>, exu_i0_result_x : UInt<32>, exu_csr_rs1_x : UInt<32>}, tlu_exu : {flip dec_tlu_meihap : UInt<30>, flip dec_tlu_flush_lower_r : UInt<1>, flip dec_tlu_flush_path_r : UInt<31>, exu_i0_br_hist_r : UInt<2>, exu_i0_br_error_r : UInt<1>, exu_i0_br_start_error_r : UInt<1>, exu_i0_br_index_r : UInt<8>, exu_i0_br_valid_r : UInt<1>, exu_i0_br_mp_r : UInt<1>, exu_i0_br_middle_r : UInt<1>, exu_pmu_i0_br_misp : UInt<1>, exu_pmu_i0_br_ataken : UInt<1>, exu_pmu_i0_pc4 : UInt<1>, exu_npc_r : UInt<31>}, ib_exu : {flip dec_i0_pc_d : UInt<31>, flip dec_debug_wdata_rs1_d : UInt<1>}, gpr_exu : {flip gpr_i0_rs1_d : UInt<32>, flip gpr_i0_rs2_d : UInt<32>}}, flip lsu_dec : {tlu_busbuff : {lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>}, dctl_busbuff : {lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>}}, flip lsu_tlu : {lsu_pmu_load_external_m : UInt<1>, lsu_pmu_store_external_m : UInt<1>}, dec_dbg : {dbg_ib : {flip dbg_cmd_valid : UInt<1>, flip dbg_cmd_write : UInt<1>, flip dbg_cmd_type : UInt<2>, flip dbg_cmd_addr : UInt<32>}, dbg_dctl : {flip dbg_cmd_wrdata : UInt<32>}}, dec_dma : {dctl_dma : {flip dma_dccm_stall_any : UInt<1>}, tlu_dma : {flip dma_pmu_dccm_read : UInt<1>, flip dma_pmu_dccm_write : UInt<1>, flip dma_pmu_any_read : UInt<1>, flip dma_pmu_any_write : UInt<1>, dec_tlu_dma_qos_prty : UInt<3>, flip dma_dccm_stall_any : UInt<1>, flip dma_iccm_stall_any : UInt<1>}}, dec_pic : {flip pic_claimid : UInt<8>, flip pic_pl : UInt<4>, flip mhwakeup : UInt<1>, dec_tlu_meicurpl : UInt<4>, dec_tlu_meipt : UInt<4>, flip mexintpend : UInt<1>}} + + wire dec_i0_inst_wb1 : UInt<32> + dec_i0_inst_wb1 <= UInt<1>("h00") + wire dec_i0_pc_wb1 : UInt<32> + dec_i0_pc_wb1 <= UInt<1>("h00") + wire dec_tlu_i0_valid_wb1 : UInt<1> + dec_tlu_i0_valid_wb1 <= UInt<1>("h00") + wire dec_tlu_int_valid_wb1 : UInt<1> + dec_tlu_int_valid_wb1 <= UInt<1>("h00") + wire dec_tlu_exc_cause_wb1 : UInt<5> + dec_tlu_exc_cause_wb1 <= UInt<1>("h00") + wire dec_tlu_mtval_wb1 : UInt<32> + dec_tlu_mtval_wb1 <= UInt<1>("h00") + wire dec_tlu_i0_exc_valid_wb1 : UInt<1> + dec_tlu_i0_exc_valid_wb1 <= UInt<1>("h00") + inst instbuff of dec_ib_ctl @[dec.scala 117:24] + instbuff.clock <= clock + instbuff.reset <= reset + inst decode of dec_decode_ctl @[dec.scala 118:22] + decode.clock <= clock + decode.reset <= reset + inst gpr of dec_gpr_ctl @[dec.scala 119:19] + gpr.clock <= clock + gpr.reset <= reset + inst tlu of dec_tlu_ctl @[dec.scala 120:19] + tlu.clock <= clock + tlu.reset <= reset + inst dec_trigger of dec_trigger @[dec.scala 121:27] + dec_trigger.clock <= clock + dec_trigger.reset <= reset + instbuff.io.ifu_ib.i0_brp.bits.ret <= io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.ret @[dec.scala 125:22] + instbuff.io.ifu_ib.i0_brp.bits.way <= io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.way @[dec.scala 125:22] + instbuff.io.ifu_ib.i0_brp.bits.prett <= io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.prett @[dec.scala 125:22] + instbuff.io.ifu_ib.i0_brp.bits.bank <= io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.bank @[dec.scala 125:22] + instbuff.io.ifu_ib.i0_brp.bits.br_start_error <= io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.br_start_error @[dec.scala 125:22] + instbuff.io.ifu_ib.i0_brp.bits.br_error <= io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.br_error @[dec.scala 125:22] + instbuff.io.ifu_ib.i0_brp.bits.hist <= io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.hist @[dec.scala 125:22] + instbuff.io.ifu_ib.i0_brp.bits.toffset <= io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.toffset @[dec.scala 125:22] + instbuff.io.ifu_ib.i0_brp.valid <= io.ifu_dec.dec_aln.aln_ib.i0_brp.valid @[dec.scala 125:22] + instbuff.io.ifu_ib.ifu_i0_pc4 <= io.ifu_dec.dec_aln.aln_ib.ifu_i0_pc4 @[dec.scala 125:22] + instbuff.io.ifu_ib.ifu_i0_pc <= io.ifu_dec.dec_aln.aln_ib.ifu_i0_pc @[dec.scala 125:22] + instbuff.io.ifu_ib.ifu_i0_instr <= io.ifu_dec.dec_aln.aln_ib.ifu_i0_instr @[dec.scala 125:22] + instbuff.io.ifu_ib.ifu_i0_valid <= io.ifu_dec.dec_aln.aln_ib.ifu_i0_valid @[dec.scala 125:22] + instbuff.io.ifu_ib.ifu_i0_bp_btag <= io.ifu_dec.dec_aln.aln_ib.ifu_i0_bp_btag @[dec.scala 125:22] + instbuff.io.ifu_ib.ifu_i0_bp_fghr <= io.ifu_dec.dec_aln.aln_ib.ifu_i0_bp_fghr @[dec.scala 125:22] + instbuff.io.ifu_ib.ifu_i0_bp_index <= io.ifu_dec.dec_aln.aln_ib.ifu_i0_bp_index @[dec.scala 125:22] + instbuff.io.ifu_ib.ifu_i0_dbecc <= io.ifu_dec.dec_aln.aln_ib.ifu_i0_dbecc @[dec.scala 125:22] + instbuff.io.ifu_ib.ifu_i0_icaf_f1 <= io.ifu_dec.dec_aln.aln_ib.ifu_i0_icaf_f1 @[dec.scala 125:22] + instbuff.io.ifu_ib.ifu_i0_icaf_type <= io.ifu_dec.dec_aln.aln_ib.ifu_i0_icaf_type @[dec.scala 125:22] + instbuff.io.ifu_ib.ifu_i0_icaf <= io.ifu_dec.dec_aln.aln_ib.ifu_i0_icaf @[dec.scala 125:22] + io.dec_exu.ib_exu.dec_debug_wdata_rs1_d <= instbuff.io.ib_exu.dec_debug_wdata_rs1_d @[dec.scala 126:22] + io.dec_exu.ib_exu.dec_i0_pc_d <= instbuff.io.ib_exu.dec_i0_pc_d @[dec.scala 126:22] + instbuff.io.dbg_ib.dbg_cmd_addr <= io.dec_dbg.dbg_ib.dbg_cmd_addr @[dec.scala 127:22] + instbuff.io.dbg_ib.dbg_cmd_type <= io.dec_dbg.dbg_ib.dbg_cmd_type @[dec.scala 127:22] + instbuff.io.dbg_ib.dbg_cmd_write <= io.dec_dbg.dbg_ib.dbg_cmd_write @[dec.scala 127:22] + instbuff.io.dbg_ib.dbg_cmd_valid <= io.dec_dbg.dbg_ib.dbg_cmd_valid @[dec.scala 127:22] + dec_trigger.io.dec_i0_pc_d <= instbuff.io.ib_exu.dec_i0_pc_d @[dec.scala 128:30] + dec_trigger.io.trigger_pkt_any[0].tdata2 <= tlu.io.trigger_pkt_any[0].tdata2 @[dec.scala 129:34] + dec_trigger.io.trigger_pkt_any[0].m <= tlu.io.trigger_pkt_any[0].m @[dec.scala 129:34] + dec_trigger.io.trigger_pkt_any[0].execute <= tlu.io.trigger_pkt_any[0].execute @[dec.scala 129:34] + dec_trigger.io.trigger_pkt_any[0].load <= tlu.io.trigger_pkt_any[0].load @[dec.scala 129:34] + dec_trigger.io.trigger_pkt_any[0].store <= tlu.io.trigger_pkt_any[0].store @[dec.scala 129:34] + dec_trigger.io.trigger_pkt_any[0].match_pkt <= tlu.io.trigger_pkt_any[0].match_pkt @[dec.scala 129:34] + dec_trigger.io.trigger_pkt_any[0].select <= tlu.io.trigger_pkt_any[0].select @[dec.scala 129:34] + dec_trigger.io.trigger_pkt_any[1].tdata2 <= tlu.io.trigger_pkt_any[1].tdata2 @[dec.scala 129:34] + dec_trigger.io.trigger_pkt_any[1].m <= tlu.io.trigger_pkt_any[1].m @[dec.scala 129:34] + dec_trigger.io.trigger_pkt_any[1].execute <= tlu.io.trigger_pkt_any[1].execute @[dec.scala 129:34] + dec_trigger.io.trigger_pkt_any[1].load <= tlu.io.trigger_pkt_any[1].load @[dec.scala 129:34] + dec_trigger.io.trigger_pkt_any[1].store <= tlu.io.trigger_pkt_any[1].store @[dec.scala 129:34] + dec_trigger.io.trigger_pkt_any[1].match_pkt <= tlu.io.trigger_pkt_any[1].match_pkt @[dec.scala 129:34] + dec_trigger.io.trigger_pkt_any[1].select <= tlu.io.trigger_pkt_any[1].select @[dec.scala 129:34] + dec_trigger.io.trigger_pkt_any[2].tdata2 <= tlu.io.trigger_pkt_any[2].tdata2 @[dec.scala 129:34] + dec_trigger.io.trigger_pkt_any[2].m <= tlu.io.trigger_pkt_any[2].m @[dec.scala 129:34] + dec_trigger.io.trigger_pkt_any[2].execute <= tlu.io.trigger_pkt_any[2].execute @[dec.scala 129:34] + dec_trigger.io.trigger_pkt_any[2].load <= tlu.io.trigger_pkt_any[2].load @[dec.scala 129:34] + dec_trigger.io.trigger_pkt_any[2].store <= tlu.io.trigger_pkt_any[2].store @[dec.scala 129:34] + dec_trigger.io.trigger_pkt_any[2].match_pkt <= tlu.io.trigger_pkt_any[2].match_pkt @[dec.scala 129:34] + dec_trigger.io.trigger_pkt_any[2].select <= tlu.io.trigger_pkt_any[2].select @[dec.scala 129:34] + dec_trigger.io.trigger_pkt_any[3].tdata2 <= tlu.io.trigger_pkt_any[3].tdata2 @[dec.scala 129:34] + dec_trigger.io.trigger_pkt_any[3].m <= tlu.io.trigger_pkt_any[3].m @[dec.scala 129:34] + dec_trigger.io.trigger_pkt_any[3].execute <= tlu.io.trigger_pkt_any[3].execute @[dec.scala 129:34] + dec_trigger.io.trigger_pkt_any[3].load <= tlu.io.trigger_pkt_any[3].load @[dec.scala 129:34] + dec_trigger.io.trigger_pkt_any[3].store <= tlu.io.trigger_pkt_any[3].store @[dec.scala 129:34] + dec_trigger.io.trigger_pkt_any[3].match_pkt <= tlu.io.trigger_pkt_any[3].match_pkt @[dec.scala 129:34] + dec_trigger.io.trigger_pkt_any[3].select <= tlu.io.trigger_pkt_any[3].select @[dec.scala 129:34] + decode.io.dec_aln.ifu_i0_cinst <= io.ifu_dec.dec_aln.aln_dec.ifu_i0_cinst @[dec.scala 133:21] + io.ifu_dec.dec_aln.aln_dec.dec_i0_decode_d <= decode.io.dec_aln.dec_i0_decode_d @[dec.scala 133:21] + decode.io.decode_exu.exu_csr_rs1_x <= io.dec_exu.decode_exu.exu_csr_rs1_x @[dec.scala 135:23] + decode.io.decode_exu.exu_i0_result_x <= io.dec_exu.decode_exu.exu_i0_result_x @[dec.scala 135:23] + io.dec_exu.decode_exu.dec_extint_stall <= decode.io.decode_exu.dec_extint_stall @[dec.scala 135:23] + io.dec_exu.decode_exu.pred_correct_npc_x <= decode.io.decode_exu.pred_correct_npc_x @[dec.scala 135:23] + io.dec_exu.decode_exu.mul_p.bits.bfp <= decode.io.decode_exu.mul_p.bits.bfp @[dec.scala 135:23] + io.dec_exu.decode_exu.mul_p.bits.crc32c_w <= decode.io.decode_exu.mul_p.bits.crc32c_w @[dec.scala 135:23] + io.dec_exu.decode_exu.mul_p.bits.crc32c_h <= decode.io.decode_exu.mul_p.bits.crc32c_h @[dec.scala 135:23] + io.dec_exu.decode_exu.mul_p.bits.crc32c_b <= decode.io.decode_exu.mul_p.bits.crc32c_b @[dec.scala 135:23] + io.dec_exu.decode_exu.mul_p.bits.crc32_w <= decode.io.decode_exu.mul_p.bits.crc32_w @[dec.scala 135:23] + io.dec_exu.decode_exu.mul_p.bits.crc32_h <= decode.io.decode_exu.mul_p.bits.crc32_h @[dec.scala 135:23] + io.dec_exu.decode_exu.mul_p.bits.crc32_b <= decode.io.decode_exu.mul_p.bits.crc32_b @[dec.scala 135:23] + io.dec_exu.decode_exu.mul_p.bits.unshfl <= decode.io.decode_exu.mul_p.bits.unshfl @[dec.scala 135:23] + io.dec_exu.decode_exu.mul_p.bits.shfl <= decode.io.decode_exu.mul_p.bits.shfl @[dec.scala 135:23] + io.dec_exu.decode_exu.mul_p.bits.grev <= decode.io.decode_exu.mul_p.bits.grev @[dec.scala 135:23] + io.dec_exu.decode_exu.mul_p.bits.clmulr <= decode.io.decode_exu.mul_p.bits.clmulr @[dec.scala 135:23] + io.dec_exu.decode_exu.mul_p.bits.clmulh <= decode.io.decode_exu.mul_p.bits.clmulh @[dec.scala 135:23] + io.dec_exu.decode_exu.mul_p.bits.clmul <= decode.io.decode_exu.mul_p.bits.clmul @[dec.scala 135:23] + io.dec_exu.decode_exu.mul_p.bits.bdep <= decode.io.decode_exu.mul_p.bits.bdep @[dec.scala 135:23] + io.dec_exu.decode_exu.mul_p.bits.bext <= decode.io.decode_exu.mul_p.bits.bext @[dec.scala 135:23] + io.dec_exu.decode_exu.mul_p.bits.low <= decode.io.decode_exu.mul_p.bits.low @[dec.scala 135:23] + io.dec_exu.decode_exu.mul_p.bits.rs2_sign <= decode.io.decode_exu.mul_p.bits.rs2_sign @[dec.scala 135:23] + io.dec_exu.decode_exu.mul_p.bits.rs1_sign <= decode.io.decode_exu.mul_p.bits.rs1_sign @[dec.scala 135:23] + io.dec_exu.decode_exu.mul_p.valid <= decode.io.decode_exu.mul_p.valid @[dec.scala 135:23] + io.dec_exu.decode_exu.dec_i0_rs2_bypass_en_d <= decode.io.decode_exu.dec_i0_rs2_bypass_en_d @[dec.scala 135:23] + io.dec_exu.decode_exu.dec_i0_rs1_bypass_en_d <= decode.io.decode_exu.dec_i0_rs1_bypass_en_d @[dec.scala 135:23] + io.dec_exu.decode_exu.dec_i0_select_pc_d <= decode.io.decode_exu.dec_i0_select_pc_d @[dec.scala 135:23] + io.dec_exu.decode_exu.dec_i0_rs2_bypass_data_d <= decode.io.decode_exu.dec_i0_rs2_bypass_data_d @[dec.scala 135:23] + io.dec_exu.decode_exu.dec_i0_rs1_bypass_data_d <= decode.io.decode_exu.dec_i0_rs1_bypass_data_d @[dec.scala 135:23] + io.dec_exu.decode_exu.dec_i0_immed_d <= decode.io.decode_exu.dec_i0_immed_d @[dec.scala 135:23] + io.dec_exu.decode_exu.dec_i0_rs2_en_d <= decode.io.decode_exu.dec_i0_rs2_en_d @[dec.scala 135:23] + io.dec_exu.decode_exu.dec_i0_rs1_en_d <= decode.io.decode_exu.dec_i0_rs1_en_d @[dec.scala 135:23] + io.dec_exu.decode_exu.i0_predict_btag_d <= decode.io.decode_exu.i0_predict_btag_d @[dec.scala 135:23] + io.dec_exu.decode_exu.i0_predict_index_d <= decode.io.decode_exu.i0_predict_index_d @[dec.scala 135:23] + io.dec_exu.decode_exu.i0_predict_fghr_d <= decode.io.decode_exu.i0_predict_fghr_d @[dec.scala 135:23] + io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.way <= decode.io.decode_exu.dec_i0_predict_p_d.bits.way @[dec.scala 135:23] + io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pja <= decode.io.decode_exu.dec_i0_predict_p_d.bits.pja @[dec.scala 135:23] + io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pret <= decode.io.decode_exu.dec_i0_predict_p_d.bits.pret @[dec.scala 135:23] + io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pcall <= decode.io.decode_exu.dec_i0_predict_p_d.bits.pcall @[dec.scala 135:23] + io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.prett <= decode.io.decode_exu.dec_i0_predict_p_d.bits.prett @[dec.scala 135:23] + io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.br_start_error <= decode.io.decode_exu.dec_i0_predict_p_d.bits.br_start_error @[dec.scala 135:23] + io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.br_error <= decode.io.decode_exu.dec_i0_predict_p_d.bits.br_error @[dec.scala 135:23] + io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.toffset <= decode.io.decode_exu.dec_i0_predict_p_d.bits.toffset @[dec.scala 135:23] + io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.hist <= decode.io.decode_exu.dec_i0_predict_p_d.bits.hist @[dec.scala 135:23] + io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pc4 <= decode.io.decode_exu.dec_i0_predict_p_d.bits.pc4 @[dec.scala 135:23] + io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.boffset <= decode.io.decode_exu.dec_i0_predict_p_d.bits.boffset @[dec.scala 135:23] + io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.ataken <= decode.io.decode_exu.dec_i0_predict_p_d.bits.ataken @[dec.scala 135:23] + io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.misp <= decode.io.decode_exu.dec_i0_predict_p_d.bits.misp @[dec.scala 135:23] + io.dec_exu.decode_exu.dec_i0_predict_p_d.valid <= decode.io.decode_exu.dec_i0_predict_p_d.valid @[dec.scala 135:23] + io.dec_exu.decode_exu.i0_ap.csr_imm <= decode.io.decode_exu.i0_ap.csr_imm @[dec.scala 135:23] + io.dec_exu.decode_exu.i0_ap.csr_write <= decode.io.decode_exu.i0_ap.csr_write @[dec.scala 135:23] + io.dec_exu.decode_exu.i0_ap.predict_nt <= decode.io.decode_exu.i0_ap.predict_nt @[dec.scala 135:23] + io.dec_exu.decode_exu.i0_ap.predict_t <= decode.io.decode_exu.i0_ap.predict_t @[dec.scala 135:23] + io.dec_exu.decode_exu.i0_ap.jal <= decode.io.decode_exu.i0_ap.jal @[dec.scala 135:23] + io.dec_exu.decode_exu.i0_ap.unsign <= decode.io.decode_exu.i0_ap.unsign @[dec.scala 135:23] + io.dec_exu.decode_exu.i0_ap.slt <= decode.io.decode_exu.i0_ap.slt @[dec.scala 135:23] + io.dec_exu.decode_exu.i0_ap.sub <= decode.io.decode_exu.i0_ap.sub @[dec.scala 135:23] + io.dec_exu.decode_exu.i0_ap.add <= decode.io.decode_exu.i0_ap.add @[dec.scala 135:23] + io.dec_exu.decode_exu.i0_ap.bge <= decode.io.decode_exu.i0_ap.bge @[dec.scala 135:23] + io.dec_exu.decode_exu.i0_ap.blt <= decode.io.decode_exu.i0_ap.blt @[dec.scala 135:23] + io.dec_exu.decode_exu.i0_ap.bne <= decode.io.decode_exu.i0_ap.bne @[dec.scala 135:23] + io.dec_exu.decode_exu.i0_ap.beq <= decode.io.decode_exu.i0_ap.beq @[dec.scala 135:23] + io.dec_exu.decode_exu.i0_ap.sra <= decode.io.decode_exu.i0_ap.sra @[dec.scala 135:23] + io.dec_exu.decode_exu.i0_ap.srl <= decode.io.decode_exu.i0_ap.srl @[dec.scala 135:23] + io.dec_exu.decode_exu.i0_ap.sll <= decode.io.decode_exu.i0_ap.sll @[dec.scala 135:23] + io.dec_exu.decode_exu.i0_ap.lxor <= decode.io.decode_exu.i0_ap.lxor @[dec.scala 135:23] + io.dec_exu.decode_exu.i0_ap.lor <= decode.io.decode_exu.i0_ap.lor @[dec.scala 135:23] + io.dec_exu.decode_exu.i0_ap.land <= decode.io.decode_exu.i0_ap.land @[dec.scala 135:23] + io.dec_exu.decode_exu.dec_ctl_en <= decode.io.decode_exu.dec_ctl_en @[dec.scala 135:23] + io.dec_exu.decode_exu.dec_data_en <= decode.io.decode_exu.dec_data_en @[dec.scala 135:23] + decode.io.dec_alu.exu_i0_pc_x <= io.dec_exu.dec_alu.exu_i0_pc_x @[dec.scala 136:20] + io.dec_exu.dec_alu.dec_i0_br_immed_d <= decode.io.dec_alu.dec_i0_br_immed_d @[dec.scala 136:20] + io.dec_exu.dec_alu.dec_csr_ren_d <= decode.io.dec_alu.dec_csr_ren_d @[dec.scala 136:20] + io.dec_exu.dec_alu.dec_i0_alu_decode_d <= decode.io.dec_alu.dec_i0_alu_decode_d @[dec.scala 136:20] + io.dec_exu.dec_div.dec_div_cancel <= decode.io.dec_div.dec_div_cancel @[dec.scala 137:20] + io.dec_exu.dec_div.div_p.bits.rem <= decode.io.dec_div.div_p.bits.rem @[dec.scala 137:20] + io.dec_exu.dec_div.div_p.bits.unsign <= decode.io.dec_div.div_p.bits.unsign @[dec.scala 137:20] + io.dec_exu.dec_div.div_p.valid <= decode.io.dec_div.div_p.valid @[dec.scala 137:20] + decode.io.dctl_dma.dma_dccm_stall_any <= io.dec_dma.dctl_dma.dma_dccm_stall_any @[dec.scala 138:22] + decode.io.dec_tlu_flush_extint <= tlu.io.dec_tlu_flush_extint @[dec.scala 139:48] + decode.io.dec_tlu_force_halt <= tlu.io.tlu_mem.dec_tlu_force_halt @[dec.scala 140:48] + decode.io.dctl_busbuff.lsu_nonblock_load_data <= io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data @[dec.scala 141:26] + decode.io.dctl_busbuff.lsu_nonblock_load_data_tag <= io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_tag @[dec.scala 141:26] + decode.io.dctl_busbuff.lsu_nonblock_load_data_error <= io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_error @[dec.scala 141:26] + decode.io.dctl_busbuff.lsu_nonblock_load_data_valid <= io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_valid @[dec.scala 141:26] + decode.io.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= io.lsu_dec.dctl_busbuff.lsu_nonblock_load_inv_tag_r @[dec.scala 141:26] + decode.io.dctl_busbuff.lsu_nonblock_load_inv_r <= io.lsu_dec.dctl_busbuff.lsu_nonblock_load_inv_r @[dec.scala 141:26] + decode.io.dctl_busbuff.lsu_nonblock_load_tag_m <= io.lsu_dec.dctl_busbuff.lsu_nonblock_load_tag_m @[dec.scala 141:26] + decode.io.dctl_busbuff.lsu_nonblock_load_valid_m <= io.lsu_dec.dctl_busbuff.lsu_nonblock_load_valid_m @[dec.scala 141:26] + decode.io.dec_i0_trigger_match_d <= dec_trigger.io.dec_i0_trigger_match_d @[dec.scala 142:48] + decode.io.dec_tlu_wr_pause_r <= tlu.io.dec_tlu_wr_pause_r @[dec.scala 143:48] + decode.io.dec_tlu_pipelining_disable <= tlu.io.dec_tlu_pipelining_disable @[dec.scala 144:48] + decode.io.lsu_trigger_match_m <= io.lsu_trigger_match_m @[dec.scala 145:48] + decode.io.lsu_pmu_misaligned_m <= io.lsu_pmu_misaligned_m @[dec.scala 146:48] + decode.io.dec_tlu_debug_stall <= tlu.io.dec_tlu_debug_stall @[dec.scala 147:48] + decode.io.dec_tlu_flush_leak_one_r <= tlu.io.tlu_bp.dec_tlu_flush_leak_one_wb @[dec.scala 148:48] + decode.io.dec_debug_fence_d <= instbuff.io.dec_debug_fence_d @[dec.scala 149:48] + decode.io.dbg_dctl.dbg_cmd_wrdata <= io.dec_dbg.dbg_dctl.dbg_cmd_wrdata @[dec.scala 150:22] + decode.io.dec_i0_icaf_d <= instbuff.io.dec_i0_icaf_d @[dec.scala 151:48] + decode.io.dec_i0_icaf_f1_d <= instbuff.io.dec_i0_icaf_f1_d @[dec.scala 152:48] + decode.io.dec_i0_icaf_type_d <= instbuff.io.dec_i0_icaf_type_d @[dec.scala 153:48] + decode.io.dec_i0_dbecc_d <= instbuff.io.dec_i0_dbecc_d @[dec.scala 154:48] + decode.io.dec_i0_brp.bits.ret <= instbuff.io.dec_i0_brp.bits.ret @[dec.scala 155:48] + decode.io.dec_i0_brp.bits.way <= instbuff.io.dec_i0_brp.bits.way @[dec.scala 155:48] + decode.io.dec_i0_brp.bits.prett <= instbuff.io.dec_i0_brp.bits.prett @[dec.scala 155:48] + decode.io.dec_i0_brp.bits.bank <= instbuff.io.dec_i0_brp.bits.bank @[dec.scala 155:48] + decode.io.dec_i0_brp.bits.br_start_error <= instbuff.io.dec_i0_brp.bits.br_start_error @[dec.scala 155:48] + decode.io.dec_i0_brp.bits.br_error <= instbuff.io.dec_i0_brp.bits.br_error @[dec.scala 155:48] + decode.io.dec_i0_brp.bits.hist <= instbuff.io.dec_i0_brp.bits.hist @[dec.scala 155:48] + decode.io.dec_i0_brp.bits.toffset <= instbuff.io.dec_i0_brp.bits.toffset @[dec.scala 155:48] + decode.io.dec_i0_brp.valid <= instbuff.io.dec_i0_brp.valid @[dec.scala 155:48] + decode.io.dec_i0_bp_index <= instbuff.io.dec_i0_bp_index @[dec.scala 156:48] + decode.io.dec_i0_bp_fghr <= instbuff.io.dec_i0_bp_fghr @[dec.scala 157:48] + decode.io.dec_i0_bp_btag <= instbuff.io.dec_i0_bp_btag @[dec.scala 158:48] + decode.io.dec_i0_pc_d <= instbuff.io.ib_exu.dec_i0_pc_d @[dec.scala 159:48] + decode.io.lsu_idle_any <= io.lsu_idle_any @[dec.scala 160:48] + decode.io.lsu_load_stall_any <= io.lsu_load_stall_any @[dec.scala 161:48] + decode.io.lsu_store_stall_any <= io.lsu_store_stall_any @[dec.scala 162:48] + decode.io.exu_div_wren <= io.exu_div_wren @[dec.scala 163:48] + decode.io.dec_tlu_i0_kill_writeb_wb <= tlu.io.dec_tlu_i0_kill_writeb_wb @[dec.scala 164:48] + decode.io.dec_tlu_flush_lower_wb <= tlu.io.dec_tlu_flush_lower_wb @[dec.scala 165:48] + decode.io.dec_tlu_i0_kill_writeb_r <= tlu.io.dec_tlu_i0_kill_writeb_r @[dec.scala 166:48] + decode.io.dec_tlu_flush_lower_r <= tlu.io.tlu_exu.dec_tlu_flush_lower_r @[dec.scala 167:48] + decode.io.dec_tlu_flush_pause_r <= tlu.io.dec_tlu_flush_pause_r @[dec.scala 168:48] + decode.io.dec_tlu_presync_d <= tlu.io.dec_tlu_presync_d @[dec.scala 169:48] + decode.io.dec_tlu_postsync_d <= tlu.io.dec_tlu_postsync_d @[dec.scala 170:48] + decode.io.dec_i0_pc4_d <= instbuff.io.dec_i0_pc4_d @[dec.scala 171:48] + decode.io.dec_csr_rddata_d <= tlu.io.dec_csr_rddata_d @[dec.scala 172:48] + decode.io.dec_csr_legal_d <= tlu.io.dec_csr_legal_d @[dec.scala 173:48] + decode.io.lsu_result_m <= io.lsu_result_m @[dec.scala 174:48] + decode.io.lsu_result_corr_r <= io.lsu_result_corr_r @[dec.scala 175:48] + decode.io.exu_flush_final <= io.exu_flush_final @[dec.scala 176:48] + decode.io.dec_i0_instr_d <= instbuff.io.dec_i0_instr_d @[dec.scala 177:48] + decode.io.dec_ib0_valid_d <= instbuff.io.dec_ib0_valid_d @[dec.scala 178:48] + decode.io.free_clk <= io.free_clk @[dec.scala 179:48] + decode.io.active_clk <= io.active_clk @[dec.scala 180:48] + decode.io.clk_override <= tlu.io.dec_tlu_dec_clk_override @[dec.scala 181:48] + decode.io.scan_mode <= io.scan_mode @[dec.scala 182:48] + dec_i0_inst_wb1 <= decode.io.dec_i0_inst_wb1 @[dec.scala 183:40] + dec_i0_pc_wb1 <= decode.io.dec_i0_pc_wb1 @[dec.scala 184:40] + io.lsu_p.bits.store_data_bypass_m <= decode.io.lsu_p.bits.store_data_bypass_m @[dec.scala 185:40] + io.lsu_p.bits.load_ldst_bypass_d <= decode.io.lsu_p.bits.load_ldst_bypass_d @[dec.scala 185:40] + io.lsu_p.bits.store_data_bypass_d <= decode.io.lsu_p.bits.store_data_bypass_d @[dec.scala 185:40] + io.lsu_p.bits.dma <= decode.io.lsu_p.bits.dma @[dec.scala 185:40] + io.lsu_p.bits.unsign <= decode.io.lsu_p.bits.unsign @[dec.scala 185:40] + io.lsu_p.bits.store <= decode.io.lsu_p.bits.store @[dec.scala 185:40] + io.lsu_p.bits.load <= decode.io.lsu_p.bits.load @[dec.scala 185:40] + io.lsu_p.bits.dword <= decode.io.lsu_p.bits.dword @[dec.scala 185:40] + io.lsu_p.bits.word <= decode.io.lsu_p.bits.word @[dec.scala 185:40] + io.lsu_p.bits.half <= decode.io.lsu_p.bits.half @[dec.scala 185:40] + io.lsu_p.bits.by <= decode.io.lsu_p.bits.by @[dec.scala 185:40] + io.lsu_p.bits.fast_int <= decode.io.lsu_p.bits.fast_int @[dec.scala 185:40] + io.lsu_p.valid <= decode.io.lsu_p.valid @[dec.scala 185:40] + io.dec_lsu_valid_raw_d <= decode.io.dec_lsu_valid_raw_d @[dec.scala 186:40] + io.dec_lsu_offset_d <= decode.io.dec_lsu_offset_d @[dec.scala 187:40] + io.dec_pause_state_cg <= decode.io.dec_pause_state_cg @[dec.scala 188:40] + gpr.io.raddr0 <= decode.io.dec_i0_rs1_d @[dec.scala 189:23] + gpr.io.raddr1 <= decode.io.dec_i0_rs2_d @[dec.scala 190:23] + gpr.io.wen0 <= decode.io.dec_i0_wen_r @[dec.scala 191:23] + gpr.io.waddr0 <= decode.io.dec_i0_waddr_r @[dec.scala 192:23] + gpr.io.wd0 <= decode.io.dec_i0_wdata_r @[dec.scala 193:23] + gpr.io.wen1 <= decode.io.dec_nonblock_load_wen @[dec.scala 194:23] + gpr.io.waddr1 <= decode.io.dec_nonblock_load_waddr @[dec.scala 195:23] + gpr.io.wd1 <= io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data @[dec.scala 196:23] + gpr.io.wen2 <= io.exu_div_wren @[dec.scala 197:23] + gpr.io.waddr2 <= decode.io.div_waddr_wb @[dec.scala 198:23] + gpr.io.wd2 <= io.exu_div_result @[dec.scala 199:23] + gpr.io.scan_mode <= io.scan_mode @[dec.scala 200:23] + io.dec_exu.gpr_exu.gpr_i0_rs2_d <= gpr.io.gpr_exu.gpr_i0_rs2_d @[dec.scala 201:22] + io.dec_exu.gpr_exu.gpr_i0_rs1_d <= gpr.io.gpr_exu.gpr_i0_rs1_d @[dec.scala 201:22] + tlu.io.tlu_mem.ifu_miss_state_idle <= io.ifu_dec.dec_mem_ctrl.ifu_miss_state_idle @[dec.scala 202:18] + tlu.io.tlu_mem.ifu_ic_debug_rd_data_valid <= io.ifu_dec.dec_mem_ctrl.ifu_ic_debug_rd_data_valid @[dec.scala 202:18] + tlu.io.tlu_mem.ifu_ic_debug_rd_data <= io.ifu_dec.dec_mem_ctrl.ifu_ic_debug_rd_data @[dec.scala 202:18] + tlu.io.tlu_mem.ifu_iccm_rd_ecc_single_err <= io.ifu_dec.dec_mem_ctrl.ifu_iccm_rd_ecc_single_err @[dec.scala 202:18] + tlu.io.tlu_mem.ifu_ic_error_start <= io.ifu_dec.dec_mem_ctrl.ifu_ic_error_start @[dec.scala 202:18] + tlu.io.tlu_mem.ifu_pmu_bus_trxn <= io.ifu_dec.dec_mem_ctrl.ifu_pmu_bus_trxn @[dec.scala 202:18] + tlu.io.tlu_mem.ifu_pmu_bus_busy <= io.ifu_dec.dec_mem_ctrl.ifu_pmu_bus_busy @[dec.scala 202:18] + tlu.io.tlu_mem.ifu_pmu_bus_error <= io.ifu_dec.dec_mem_ctrl.ifu_pmu_bus_error @[dec.scala 202:18] + tlu.io.tlu_mem.ifu_pmu_ic_hit <= io.ifu_dec.dec_mem_ctrl.ifu_pmu_ic_hit @[dec.scala 202:18] + tlu.io.tlu_mem.ifu_pmu_ic_miss <= io.ifu_dec.dec_mem_ctrl.ifu_pmu_ic_miss @[dec.scala 202:18] + io.ifu_dec.dec_mem_ctrl.dec_tlu_core_ecc_disable <= tlu.io.tlu_mem.dec_tlu_core_ecc_disable @[dec.scala 202:18] + io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wr_valid <= tlu.io.tlu_mem.dec_tlu_ic_diag_pkt.icache_wr_valid @[dec.scala 202:18] + io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_rd_valid <= tlu.io.tlu_mem.dec_tlu_ic_diag_pkt.icache_rd_valid @[dec.scala 202:18] + io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_dicawics <= tlu.io.tlu_mem.dec_tlu_ic_diag_pkt.icache_dicawics @[dec.scala 202:18] + io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wrdata <= tlu.io.tlu_mem.dec_tlu_ic_diag_pkt.icache_wrdata @[dec.scala 202:18] + io.ifu_dec.dec_mem_ctrl.dec_tlu_fence_i_wb <= tlu.io.tlu_mem.dec_tlu_fence_i_wb @[dec.scala 202:18] + io.ifu_dec.dec_mem_ctrl.dec_tlu_force_halt <= tlu.io.tlu_mem.dec_tlu_force_halt @[dec.scala 202:18] + io.ifu_dec.dec_mem_ctrl.dec_tlu_i0_commit_cmt <= tlu.io.tlu_mem.dec_tlu_i0_commit_cmt @[dec.scala 202:18] + io.ifu_dec.dec_mem_ctrl.dec_tlu_flush_err_wb <= tlu.io.tlu_mem.dec_tlu_flush_err_wb @[dec.scala 202:18] + tlu.io.tlu_ifc.ifu_pmu_fetch_stall <= io.ifu_dec.dec_ifc.ifu_pmu_fetch_stall @[dec.scala 203:18] + io.ifu_dec.dec_ifc.dec_tlu_mrac_ff <= tlu.io.tlu_ifc.dec_tlu_mrac_ff @[dec.scala 203:18] + io.ifu_dec.dec_ifc.dec_tlu_flush_noredir_wb <= tlu.io.tlu_ifc.dec_tlu_flush_noredir_wb @[dec.scala 203:18] + io.ifu_dec.dec_bp.dec_tlu_bpred_disable <= tlu.io.tlu_bp.dec_tlu_bpred_disable @[dec.scala 204:18] + io.ifu_dec.dec_bp.dec_tlu_flush_leak_one_wb <= tlu.io.tlu_bp.dec_tlu_flush_leak_one_wb @[dec.scala 204:18] + io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.middle <= tlu.io.tlu_bp.dec_tlu_br0_r_pkt.bits.middle @[dec.scala 204:18] + io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.way <= tlu.io.tlu_bp.dec_tlu_br0_r_pkt.bits.way @[dec.scala 204:18] + io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.br_start_error <= tlu.io.tlu_bp.dec_tlu_br0_r_pkt.bits.br_start_error @[dec.scala 204:18] + io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.br_error <= tlu.io.tlu_bp.dec_tlu_br0_r_pkt.bits.br_error @[dec.scala 204:18] + io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.hist <= tlu.io.tlu_bp.dec_tlu_br0_r_pkt.bits.hist @[dec.scala 204:18] + io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.valid <= tlu.io.tlu_bp.dec_tlu_br0_r_pkt.valid @[dec.scala 204:18] + tlu.io.tlu_exu.exu_npc_r <= io.dec_exu.tlu_exu.exu_npc_r @[dec.scala 205:18] + tlu.io.tlu_exu.exu_pmu_i0_pc4 <= io.dec_exu.tlu_exu.exu_pmu_i0_pc4 @[dec.scala 205:18] + tlu.io.tlu_exu.exu_pmu_i0_br_ataken <= io.dec_exu.tlu_exu.exu_pmu_i0_br_ataken @[dec.scala 205:18] + tlu.io.tlu_exu.exu_pmu_i0_br_misp <= io.dec_exu.tlu_exu.exu_pmu_i0_br_misp @[dec.scala 205:18] + tlu.io.tlu_exu.exu_i0_br_middle_r <= io.dec_exu.tlu_exu.exu_i0_br_middle_r @[dec.scala 205:18] + tlu.io.tlu_exu.exu_i0_br_mp_r <= io.dec_exu.tlu_exu.exu_i0_br_mp_r @[dec.scala 205:18] + tlu.io.tlu_exu.exu_i0_br_valid_r <= io.dec_exu.tlu_exu.exu_i0_br_valid_r @[dec.scala 205:18] + tlu.io.tlu_exu.exu_i0_br_index_r <= io.dec_exu.tlu_exu.exu_i0_br_index_r @[dec.scala 205:18] + tlu.io.tlu_exu.exu_i0_br_start_error_r <= io.dec_exu.tlu_exu.exu_i0_br_start_error_r @[dec.scala 205:18] + tlu.io.tlu_exu.exu_i0_br_error_r <= io.dec_exu.tlu_exu.exu_i0_br_error_r @[dec.scala 205:18] + tlu.io.tlu_exu.exu_i0_br_hist_r <= io.dec_exu.tlu_exu.exu_i0_br_hist_r @[dec.scala 205:18] + io.dec_exu.tlu_exu.dec_tlu_flush_path_r <= tlu.io.tlu_exu.dec_tlu_flush_path_r @[dec.scala 205:18] + io.dec_exu.tlu_exu.dec_tlu_flush_lower_r <= tlu.io.tlu_exu.dec_tlu_flush_lower_r @[dec.scala 205:18] + io.dec_exu.tlu_exu.dec_tlu_meihap <= tlu.io.tlu_exu.dec_tlu_meihap @[dec.scala 205:18] + tlu.io.tlu_dma.dma_iccm_stall_any <= io.dec_dma.tlu_dma.dma_iccm_stall_any @[dec.scala 206:18] + tlu.io.tlu_dma.dma_dccm_stall_any <= io.dec_dma.tlu_dma.dma_dccm_stall_any @[dec.scala 206:18] + io.dec_dma.tlu_dma.dec_tlu_dma_qos_prty <= tlu.io.tlu_dma.dec_tlu_dma_qos_prty @[dec.scala 206:18] + tlu.io.tlu_dma.dma_pmu_any_write <= io.dec_dma.tlu_dma.dma_pmu_any_write @[dec.scala 206:18] + tlu.io.tlu_dma.dma_pmu_any_read <= io.dec_dma.tlu_dma.dma_pmu_any_read @[dec.scala 206:18] + tlu.io.tlu_dma.dma_pmu_dccm_write <= io.dec_dma.tlu_dma.dma_pmu_dccm_write @[dec.scala 206:18] + tlu.io.tlu_dma.dma_pmu_dccm_read <= io.dec_dma.tlu_dma.dma_pmu_dccm_read @[dec.scala 206:18] + tlu.io.active_clk <= io.active_clk @[dec.scala 207:45] + tlu.io.free_clk <= io.free_clk @[dec.scala 208:45] + tlu.io.scan_mode <= io.scan_mode @[dec.scala 209:45] + tlu.io.rst_vec <= io.rst_vec @[dec.scala 210:45] + tlu.io.nmi_int <= io.nmi_int @[dec.scala 211:45] + tlu.io.nmi_vec <= io.nmi_vec @[dec.scala 212:45] + tlu.io.i_cpu_halt_req <= io.i_cpu_halt_req @[dec.scala 213:45] + tlu.io.i_cpu_run_req <= io.i_cpu_run_req @[dec.scala 214:45] + tlu.io.lsu_fastint_stall_any <= io.lsu_fastint_stall_any @[dec.scala 215:45] + tlu.io.ifu_pmu_instr_aligned <= io.ifu_dec.dec_aln.ifu_pmu_instr_aligned @[dec.scala 216:45] + tlu.io.dec_pmu_instr_decoded <= decode.io.dec_pmu_instr_decoded @[dec.scala 217:45] + tlu.io.dec_pmu_decode_stall <= decode.io.dec_pmu_decode_stall @[dec.scala 218:45] + tlu.io.dec_pmu_presync_stall <= decode.io.dec_pmu_presync_stall @[dec.scala 219:45] + tlu.io.dec_pmu_postsync_stall <= decode.io.dec_pmu_postsync_stall @[dec.scala 220:45] + tlu.io.lsu_store_stall_any <= io.lsu_store_stall_any @[dec.scala 221:45] + tlu.io.tlu_busbuff.lsu_imprecise_error_addr_any <= io.lsu_dec.tlu_busbuff.lsu_imprecise_error_addr_any @[dec.scala 222:26] + tlu.io.tlu_busbuff.lsu_imprecise_error_store_any <= io.lsu_dec.tlu_busbuff.lsu_imprecise_error_store_any @[dec.scala 222:26] + tlu.io.tlu_busbuff.lsu_imprecise_error_load_any <= io.lsu_dec.tlu_busbuff.lsu_imprecise_error_load_any @[dec.scala 222:26] + io.lsu_dec.tlu_busbuff.dec_tlu_sideeffect_posted_disable <= tlu.io.tlu_busbuff.dec_tlu_sideeffect_posted_disable @[dec.scala 222:26] + io.lsu_dec.tlu_busbuff.dec_tlu_wb_coalescing_disable <= tlu.io.tlu_busbuff.dec_tlu_wb_coalescing_disable @[dec.scala 222:26] + io.lsu_dec.tlu_busbuff.dec_tlu_external_ldfwd_disable <= tlu.io.tlu_busbuff.dec_tlu_external_ldfwd_disable @[dec.scala 222:26] + tlu.io.tlu_busbuff.lsu_pmu_bus_busy <= io.lsu_dec.tlu_busbuff.lsu_pmu_bus_busy @[dec.scala 222:26] + tlu.io.tlu_busbuff.lsu_pmu_bus_error <= io.lsu_dec.tlu_busbuff.lsu_pmu_bus_error @[dec.scala 222:26] + tlu.io.tlu_busbuff.lsu_pmu_bus_misaligned <= io.lsu_dec.tlu_busbuff.lsu_pmu_bus_misaligned @[dec.scala 222:26] + tlu.io.tlu_busbuff.lsu_pmu_bus_trxn <= io.lsu_dec.tlu_busbuff.lsu_pmu_bus_trxn @[dec.scala 222:26] + tlu.io.lsu_tlu.lsu_pmu_store_external_m <= io.lsu_tlu.lsu_pmu_store_external_m @[dec.scala 223:14] + tlu.io.lsu_tlu.lsu_pmu_load_external_m <= io.lsu_tlu.lsu_pmu_load_external_m @[dec.scala 223:14] + tlu.io.dec_pic.mexintpend <= io.dec_pic.mexintpend @[dec.scala 224:14] + io.dec_pic.dec_tlu_meipt <= tlu.io.dec_pic.dec_tlu_meipt @[dec.scala 224:14] + io.dec_pic.dec_tlu_meicurpl <= tlu.io.dec_pic.dec_tlu_meicurpl @[dec.scala 224:14] + tlu.io.dec_pic.mhwakeup <= io.dec_pic.mhwakeup @[dec.scala 224:14] + tlu.io.dec_pic.pic_pl <= io.dec_pic.pic_pl @[dec.scala 224:14] + tlu.io.dec_pic.pic_claimid <= io.dec_pic.pic_claimid @[dec.scala 224:14] + tlu.io.lsu_fir_addr <= io.lsu_fir_addr @[dec.scala 225:45] + tlu.io.lsu_fir_error <= io.lsu_fir_error @[dec.scala 226:45] + tlu.io.iccm_dma_sb_error <= io.iccm_dma_sb_error @[dec.scala 227:45] + tlu.io.lsu_error_pkt_r.bits.addr <= io.lsu_error_pkt_r.bits.addr @[dec.scala 228:45] + tlu.io.lsu_error_pkt_r.bits.mscause <= io.lsu_error_pkt_r.bits.mscause @[dec.scala 228:45] + tlu.io.lsu_error_pkt_r.bits.exc_type <= io.lsu_error_pkt_r.bits.exc_type @[dec.scala 228:45] + tlu.io.lsu_error_pkt_r.bits.inst_type <= io.lsu_error_pkt_r.bits.inst_type @[dec.scala 228:45] + tlu.io.lsu_error_pkt_r.bits.single_ecc_error <= io.lsu_error_pkt_r.bits.single_ecc_error @[dec.scala 228:45] + tlu.io.lsu_error_pkt_r.valid <= io.lsu_error_pkt_r.valid @[dec.scala 228:45] + tlu.io.lsu_single_ecc_error_incr <= io.lsu_single_ecc_error_incr @[dec.scala 229:45] + tlu.io.dec_pause_state <= decode.io.dec_pause_state @[dec.scala 230:45] + tlu.io.dec_csr_wen_unq_d <= decode.io.dec_csr_wen_unq_d @[dec.scala 231:45] + tlu.io.dec_csr_any_unq_d <= decode.io.dec_csr_any_unq_d @[dec.scala 232:45] + tlu.io.dec_csr_rdaddr_d <= decode.io.dec_csr_rdaddr_d @[dec.scala 233:45] + tlu.io.dec_csr_wen_r <= decode.io.dec_csr_wen_r @[dec.scala 234:45] + tlu.io.dec_csr_wraddr_r <= decode.io.dec_csr_wraddr_r @[dec.scala 235:45] + tlu.io.dec_csr_wrdata_r <= decode.io.dec_csr_wrdata_r @[dec.scala 236:45] + tlu.io.dec_csr_stall_int_ff <= decode.io.dec_csr_stall_int_ff @[dec.scala 237:45] + tlu.io.dec_tlu_i0_valid_r <= decode.io.dec_tlu_i0_valid_r @[dec.scala 238:45] + tlu.io.dec_tlu_i0_pc_r <= decode.io.dec_tlu_i0_pc_r @[dec.scala 239:45] + tlu.io.dec_tlu_packet_r.pmu_lsu_misaligned <= decode.io.dec_tlu_packet_r.pmu_lsu_misaligned @[dec.scala 240:45] + tlu.io.dec_tlu_packet_r.pmu_divide <= decode.io.dec_tlu_packet_r.pmu_divide @[dec.scala 240:45] + tlu.io.dec_tlu_packet_r.pmu_i0_br_unpred <= decode.io.dec_tlu_packet_r.pmu_i0_br_unpred @[dec.scala 240:45] + tlu.io.dec_tlu_packet_r.pmu_i0_itype <= decode.io.dec_tlu_packet_r.pmu_i0_itype @[dec.scala 240:45] + tlu.io.dec_tlu_packet_r.i0trigger <= decode.io.dec_tlu_packet_r.i0trigger @[dec.scala 240:45] + tlu.io.dec_tlu_packet_r.fence_i <= decode.io.dec_tlu_packet_r.fence_i @[dec.scala 240:45] + tlu.io.dec_tlu_packet_r.icaf_type <= decode.io.dec_tlu_packet_r.icaf_type @[dec.scala 240:45] + tlu.io.dec_tlu_packet_r.icaf_f1 <= decode.io.dec_tlu_packet_r.icaf_f1 @[dec.scala 240:45] + tlu.io.dec_tlu_packet_r.icaf <= decode.io.dec_tlu_packet_r.icaf @[dec.scala 240:45] + tlu.io.dec_tlu_packet_r.legal <= decode.io.dec_tlu_packet_r.legal @[dec.scala 240:45] + tlu.io.dec_illegal_inst <= decode.io.dec_illegal_inst @[dec.scala 241:45] + tlu.io.dec_i0_decode_d <= decode.io.dec_aln.dec_i0_decode_d @[dec.scala 242:45] + tlu.io.exu_i0_br_way_r <= io.exu_i0_br_way_r @[dec.scala 243:45] + tlu.io.dbg_halt_req <= io.dbg_halt_req @[dec.scala 244:45] + tlu.io.dbg_resume_req <= io.dbg_resume_req @[dec.scala 245:45] + tlu.io.lsu_idle_any <= io.lsu_idle_any @[dec.scala 246:45] + tlu.io.dec_div_active <= decode.io.dec_div_active @[dec.scala 247:45] + tlu.io.timer_int <= io.timer_int @[dec.scala 252:45] + tlu.io.soft_int <= io.soft_int @[dec.scala 253:45] + tlu.io.core_id <= io.core_id @[dec.scala 254:45] + tlu.io.mpc_debug_halt_req <= io.mpc_debug_halt_req @[dec.scala 255:45] + tlu.io.mpc_debug_run_req <= io.mpc_debug_run_req @[dec.scala 256:45] + tlu.io.mpc_reset_run_req <= io.mpc_reset_run_req @[dec.scala 257:45] + io.dec_dbg_cmd_done <= tlu.io.dec_dbg_cmd_done @[dec.scala 258:28] + io.dec_dbg_cmd_fail <= tlu.io.dec_dbg_cmd_fail @[dec.scala 259:28] + io.dec_tlu_dbg_halted <= tlu.io.dec_tlu_dbg_halted @[dec.scala 260:28] + io.dec_tlu_debug_mode <= tlu.io.dec_tlu_debug_mode @[dec.scala 261:28] + io.dec_tlu_resume_ack <= tlu.io.dec_tlu_resume_ack @[dec.scala 262:28] + io.dec_tlu_mpc_halted_only <= tlu.io.dec_tlu_mpc_halted_only @[dec.scala 263:51] + io.trigger_pkt_any[0].tdata2 <= tlu.io.trigger_pkt_any[0].tdata2 @[dec.scala 264:29] + io.trigger_pkt_any[0].m <= tlu.io.trigger_pkt_any[0].m @[dec.scala 264:29] + io.trigger_pkt_any[0].execute <= tlu.io.trigger_pkt_any[0].execute @[dec.scala 264:29] + io.trigger_pkt_any[0].load <= tlu.io.trigger_pkt_any[0].load @[dec.scala 264:29] + io.trigger_pkt_any[0].store <= tlu.io.trigger_pkt_any[0].store @[dec.scala 264:29] + io.trigger_pkt_any[0].match_pkt <= tlu.io.trigger_pkt_any[0].match_pkt @[dec.scala 264:29] + io.trigger_pkt_any[0].select <= tlu.io.trigger_pkt_any[0].select @[dec.scala 264:29] + io.trigger_pkt_any[1].tdata2 <= tlu.io.trigger_pkt_any[1].tdata2 @[dec.scala 264:29] + io.trigger_pkt_any[1].m <= tlu.io.trigger_pkt_any[1].m @[dec.scala 264:29] + io.trigger_pkt_any[1].execute <= tlu.io.trigger_pkt_any[1].execute @[dec.scala 264:29] + io.trigger_pkt_any[1].load <= tlu.io.trigger_pkt_any[1].load @[dec.scala 264:29] + io.trigger_pkt_any[1].store <= tlu.io.trigger_pkt_any[1].store @[dec.scala 264:29] + io.trigger_pkt_any[1].match_pkt <= tlu.io.trigger_pkt_any[1].match_pkt @[dec.scala 264:29] + io.trigger_pkt_any[1].select <= tlu.io.trigger_pkt_any[1].select @[dec.scala 264:29] + io.trigger_pkt_any[2].tdata2 <= tlu.io.trigger_pkt_any[2].tdata2 @[dec.scala 264:29] + io.trigger_pkt_any[2].m <= tlu.io.trigger_pkt_any[2].m @[dec.scala 264:29] + io.trigger_pkt_any[2].execute <= tlu.io.trigger_pkt_any[2].execute @[dec.scala 264:29] + io.trigger_pkt_any[2].load <= tlu.io.trigger_pkt_any[2].load @[dec.scala 264:29] + io.trigger_pkt_any[2].store <= tlu.io.trigger_pkt_any[2].store @[dec.scala 264:29] + io.trigger_pkt_any[2].match_pkt <= tlu.io.trigger_pkt_any[2].match_pkt @[dec.scala 264:29] + io.trigger_pkt_any[2].select <= tlu.io.trigger_pkt_any[2].select @[dec.scala 264:29] + io.trigger_pkt_any[3].tdata2 <= tlu.io.trigger_pkt_any[3].tdata2 @[dec.scala 264:29] + io.trigger_pkt_any[3].m <= tlu.io.trigger_pkt_any[3].m @[dec.scala 264:29] + io.trigger_pkt_any[3].execute <= tlu.io.trigger_pkt_any[3].execute @[dec.scala 264:29] + io.trigger_pkt_any[3].load <= tlu.io.trigger_pkt_any[3].load @[dec.scala 264:29] + io.trigger_pkt_any[3].store <= tlu.io.trigger_pkt_any[3].store @[dec.scala 264:29] + io.trigger_pkt_any[3].match_pkt <= tlu.io.trigger_pkt_any[3].match_pkt @[dec.scala 264:29] + io.trigger_pkt_any[3].select <= tlu.io.trigger_pkt_any[3].select @[dec.scala 264:29] + io.o_cpu_halt_status <= tlu.io.o_cpu_halt_status @[dec.scala 265:29] + io.o_cpu_halt_ack <= tlu.io.o_cpu_halt_ack @[dec.scala 266:29] + io.o_cpu_run_ack <= tlu.io.o_cpu_run_ack @[dec.scala 267:29] + io.o_debug_mode_status <= tlu.io.o_debug_mode_status @[dec.scala 268:29] + io.mpc_debug_halt_ack <= tlu.io.mpc_debug_halt_ack @[dec.scala 269:29] + io.mpc_debug_run_ack <= tlu.io.mpc_debug_run_ack @[dec.scala 270:29] + io.debug_brkpt_status <= tlu.io.debug_brkpt_status @[dec.scala 271:29] + io.dec_tlu_i0_kill_writeb_r <= tlu.io.dec_tlu_i0_kill_writeb_r @[dec.scala 274:34] + io.dec_tlu_perfcnt0 <= tlu.io.dec_tlu_perfcnt0 @[dec.scala 275:29] + io.dec_tlu_perfcnt1 <= tlu.io.dec_tlu_perfcnt1 @[dec.scala 276:29] + io.dec_tlu_perfcnt2 <= tlu.io.dec_tlu_perfcnt2 @[dec.scala 277:29] + io.dec_tlu_perfcnt3 <= tlu.io.dec_tlu_perfcnt3 @[dec.scala 278:29] + dec_tlu_i0_exc_valid_wb1 <= tlu.io.dec_tlu_i0_exc_valid_wb1 @[dec.scala 279:32] + dec_tlu_i0_valid_wb1 <= tlu.io.dec_tlu_i0_valid_wb1 @[dec.scala 280:32] + dec_tlu_int_valid_wb1 <= tlu.io.dec_tlu_int_valid_wb1 @[dec.scala 281:32] + dec_tlu_exc_cause_wb1 <= tlu.io.dec_tlu_exc_cause_wb1 @[dec.scala 282:32] + dec_tlu_mtval_wb1 <= tlu.io.dec_tlu_mtval_wb1 @[dec.scala 283:32] + io.dec_tlu_misc_clk_override <= tlu.io.dec_tlu_misc_clk_override @[dec.scala 284:35] + io.dec_tlu_ifu_clk_override <= tlu.io.dec_tlu_ifu_clk_override @[dec.scala 285:36] + io.dec_tlu_lsu_clk_override <= tlu.io.dec_tlu_lsu_clk_override @[dec.scala 286:36] + io.dec_tlu_bus_clk_override <= tlu.io.dec_tlu_bus_clk_override @[dec.scala 287:36] + io.dec_tlu_pic_clk_override <= tlu.io.dec_tlu_pic_clk_override @[dec.scala 288:36] + io.dec_tlu_dccm_clk_override <= tlu.io.dec_tlu_dccm_clk_override @[dec.scala 289:36] + io.dec_tlu_icm_clk_override <= tlu.io.dec_tlu_icm_clk_override @[dec.scala 290:36] + io.rv_trace_pkt.rv_i_insn_ip <= decode.io.dec_i0_inst_wb1 @[dec.scala 294:32] + node _T = cat(decode.io.dec_i0_pc_wb1, UInt<1>("h00")) @[Cat.scala 29:58] + io.rv_trace_pkt.rv_i_address_ip <= _T @[dec.scala 295:35] + node _T_1 = or(tlu.io.dec_tlu_i0_valid_wb1, tlu.io.dec_tlu_i0_exc_valid_wb1) @[dec.scala 296:98] + node _T_2 = cat(tlu.io.dec_tlu_int_valid_wb1, _T_1) @[Cat.scala 29:58] + io.rv_trace_pkt.rv_i_valid_ip <= _T_2 @[dec.scala 296:33] + node _T_3 = cat(tlu.io.dec_tlu_int_valid_wb1, tlu.io.dec_tlu_i0_exc_valid_wb1) @[Cat.scala 29:58] + io.rv_trace_pkt.rv_i_exception_ip <= _T_3 @[dec.scala 297:37] + node _T_4 = bits(tlu.io.dec_tlu_exc_cause_wb1, 4, 0) @[dec.scala 298:65] + io.rv_trace_pkt.rv_i_ecause_ip <= _T_4 @[dec.scala 298:34] + node _T_5 = cat(tlu.io.dec_tlu_int_valid_wb1, UInt<1>("h00")) @[Cat.scala 29:58] + io.rv_trace_pkt.rv_i_interrupt_ip <= _T_5 @[dec.scala 299:37] + io.rv_trace_pkt.rv_i_tval_ip <= tlu.io.dec_tlu_mtval_wb1 @[dec.scala 300:32] + io.dec_dbg_rddata <= decode.io.dec_i0_wdata_r @[dec.scala 304:21] + diff --git a/dec.v b/dec.v new file mode 100644 index 00000000..99785ed7 --- /dev/null +++ b/dec.v @@ -0,0 +1,14320 @@ +module dec_ib_ctl( + input io_ifu_ib_ifu_i0_icaf, + input [1:0] io_ifu_ib_ifu_i0_icaf_type, + input io_ifu_ib_ifu_i0_icaf_f1, + input io_ifu_ib_ifu_i0_dbecc, + input [7:0] io_ifu_ib_ifu_i0_bp_index, + input [7:0] io_ifu_ib_ifu_i0_bp_fghr, + input [4:0] io_ifu_ib_ifu_i0_bp_btag, + input io_ifu_ib_ifu_i0_valid, + input [31:0] io_ifu_ib_ifu_i0_instr, + input [30:0] io_ifu_ib_ifu_i0_pc, + input io_ifu_ib_ifu_i0_pc4, + input io_ifu_ib_i0_brp_valid, + input [11:0] io_ifu_ib_i0_brp_bits_toffset, + input [1:0] io_ifu_ib_i0_brp_bits_hist, + input io_ifu_ib_i0_brp_bits_br_error, + input io_ifu_ib_i0_brp_bits_br_start_error, + input [30:0] io_ifu_ib_i0_brp_bits_prett, + input io_ifu_ib_i0_brp_bits_way, + input io_ifu_ib_i0_brp_bits_ret, + output [30:0] io_ib_exu_dec_i0_pc_d, + output io_ib_exu_dec_debug_wdata_rs1_d, + input io_dbg_ib_dbg_cmd_valid, + input io_dbg_ib_dbg_cmd_write, + input [1:0] io_dbg_ib_dbg_cmd_type, + input [31:0] io_dbg_ib_dbg_cmd_addr, + output io_dec_ib0_valid_d, + output [1:0] io_dec_i0_icaf_type_d, + output [31:0] io_dec_i0_instr_d, + output io_dec_i0_pc4_d, + output io_dec_i0_brp_valid, + output [11:0] io_dec_i0_brp_bits_toffset, + output [1:0] io_dec_i0_brp_bits_hist, + output io_dec_i0_brp_bits_br_error, + output io_dec_i0_brp_bits_br_start_error, + output [30:0] io_dec_i0_brp_bits_prett, + output io_dec_i0_brp_bits_way, + output io_dec_i0_brp_bits_ret, + output [7:0] io_dec_i0_bp_index, + output [7:0] io_dec_i0_bp_fghr, + output [4:0] io_dec_i0_bp_btag, + output io_dec_i0_icaf_d, + output io_dec_i0_icaf_f1_d, + output io_dec_i0_dbecc_d, + output io_dec_debug_fence_d +); + wire _T = io_dbg_ib_dbg_cmd_type != 2'h2; // @[dec_ib_ctl.scala 52:74] + wire debug_valid = io_dbg_ib_dbg_cmd_valid & _T; // @[dec_ib_ctl.scala 52:48] + wire _T_1 = ~io_dbg_ib_dbg_cmd_write; // @[dec_ib_ctl.scala 53:38] + wire debug_read = debug_valid & _T_1; // @[dec_ib_ctl.scala 53:36] + wire debug_write = debug_valid & io_dbg_ib_dbg_cmd_write; // @[dec_ib_ctl.scala 54:36] + wire _T_2 = io_dbg_ib_dbg_cmd_type == 2'h0; // @[dec_ib_ctl.scala 56:62] + wire debug_read_gpr = debug_read & _T_2; // @[dec_ib_ctl.scala 56:37] + wire debug_write_gpr = debug_write & _T_2; // @[dec_ib_ctl.scala 57:37] + wire _T_4 = io_dbg_ib_dbg_cmd_type == 2'h1; // @[dec_ib_ctl.scala 58:62] + wire debug_read_csr = debug_read & _T_4; // @[dec_ib_ctl.scala 58:37] + wire debug_write_csr = debug_write & _T_4; // @[dec_ib_ctl.scala 59:37] + wire [4:0] dreg = io_dbg_ib_dbg_cmd_addr[4:0]; // @[dec_ib_ctl.scala 61:47] + wire [11:0] dcsr = io_dbg_ib_dbg_cmd_addr[11:0]; // @[dec_ib_ctl.scala 62:47] + wire [31:0] _T_9 = {12'h0,dreg,15'h6033}; // @[Cat.scala 29:58] + wire [31:0] _T_12 = {20'h6,dreg,7'h33}; // @[Cat.scala 29:58] + wire [31:0] _T_14 = {dcsr,20'h2073}; // @[Cat.scala 29:58] + wire [31:0] _T_16 = {dcsr,20'h1073}; // @[Cat.scala 29:58] + wire [31:0] _T_17 = debug_read_gpr ? _T_9 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_18 = debug_write_gpr ? _T_12 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_19 = debug_read_csr ? _T_14 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_20 = debug_write_csr ? _T_16 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_21 = _T_17 | _T_18; // @[Mux.scala 27:72] + wire [31:0] _T_22 = _T_21 | _T_19; // @[Mux.scala 27:72] + wire [31:0] ib0_debug_in = _T_22 | _T_20; // @[Mux.scala 27:72] + wire _T_25 = dcsr == 12'h7c4; // @[dec_ib_ctl.scala 75:51] + assign io_ib_exu_dec_i0_pc_d = io_ifu_ib_ifu_i0_pc; // @[dec_ib_ctl.scala 32:31] + assign io_ib_exu_dec_debug_wdata_rs1_d = debug_write_gpr | debug_write_csr; // @[dec_ib_ctl.scala 72:35] + assign io_dec_ib0_valid_d = io_ifu_ib_ifu_i0_valid | debug_valid; // @[dec_ib_ctl.scala 77:22] + assign io_dec_i0_icaf_type_d = io_ifu_ib_ifu_i0_icaf_type; // @[dec_ib_ctl.scala 34:31] + assign io_dec_i0_instr_d = debug_valid ? ib0_debug_in : io_ifu_ib_ifu_i0_instr; // @[dec_ib_ctl.scala 78:22] + assign io_dec_i0_pc4_d = io_ifu_ib_ifu_i0_pc4; // @[dec_ib_ctl.scala 33:31] + assign io_dec_i0_brp_valid = io_ifu_ib_i0_brp_valid; // @[dec_ib_ctl.scala 35:31] + assign io_dec_i0_brp_bits_toffset = io_ifu_ib_i0_brp_bits_toffset; // @[dec_ib_ctl.scala 35:31] + assign io_dec_i0_brp_bits_hist = io_ifu_ib_i0_brp_bits_hist; // @[dec_ib_ctl.scala 35:31] + assign io_dec_i0_brp_bits_br_error = io_ifu_ib_i0_brp_bits_br_error; // @[dec_ib_ctl.scala 35:31] + assign io_dec_i0_brp_bits_br_start_error = io_ifu_ib_i0_brp_bits_br_start_error; // @[dec_ib_ctl.scala 35:31] + assign io_dec_i0_brp_bits_prett = io_ifu_ib_i0_brp_bits_prett; // @[dec_ib_ctl.scala 35:31] + assign io_dec_i0_brp_bits_way = io_ifu_ib_i0_brp_bits_way; // @[dec_ib_ctl.scala 35:31] + assign io_dec_i0_brp_bits_ret = io_ifu_ib_i0_brp_bits_ret; // @[dec_ib_ctl.scala 35:31] + assign io_dec_i0_bp_index = io_ifu_ib_ifu_i0_bp_index; // @[dec_ib_ctl.scala 36:31] + assign io_dec_i0_bp_fghr = io_ifu_ib_ifu_i0_bp_fghr; // @[dec_ib_ctl.scala 37:31] + assign io_dec_i0_bp_btag = io_ifu_ib_ifu_i0_bp_btag; // @[dec_ib_ctl.scala 38:31] + assign io_dec_i0_icaf_d = io_ifu_ib_ifu_i0_icaf; // @[dec_ib_ctl.scala 31:31] + assign io_dec_i0_icaf_f1_d = io_ifu_ib_ifu_i0_icaf_f1; // @[dec_ib_ctl.scala 29:31] + assign io_dec_i0_dbecc_d = io_ifu_ib_ifu_i0_dbecc; // @[dec_ib_ctl.scala 30:31] + assign io_dec_debug_fence_d = debug_write_csr & _T_25; // @[dec_ib_ctl.scala 75:24] +endmodule +module rvclkhdr( + output io_l1clk, + input io_clk, + input io_en, + input io_scan_mode +); + wire clkhdr_Q; // @[lib.scala 334:26] + wire clkhdr_CK; // @[lib.scala 334:26] + wire clkhdr_EN; // @[lib.scala 334:26] + wire clkhdr_SE; // @[lib.scala 334:26] + gated_latch clkhdr ( // @[lib.scala 334:26] + .Q(clkhdr_Q), + .CK(clkhdr_CK), + .EN(clkhdr_EN), + .SE(clkhdr_SE) + ); + assign io_l1clk = clkhdr_Q; // @[lib.scala 335:14] + assign clkhdr_CK = io_clk; // @[lib.scala 336:18] + assign clkhdr_EN = io_en; // @[lib.scala 337:18] + assign clkhdr_SE = io_scan_mode; // @[lib.scala 338:18] +endmodule +module dec_dec_ctl( + input [31:0] io_ins, + output io_out_alu, + output io_out_rs1, + output io_out_rs2, + output io_out_imm12, + output io_out_rd, + output io_out_shimm5, + output io_out_imm20, + output io_out_pc, + output io_out_load, + output io_out_store, + output io_out_lsu, + output io_out_add, + output io_out_sub, + output io_out_land, + output io_out_lor, + output io_out_lxor, + output io_out_sll, + output io_out_sra, + output io_out_srl, + output io_out_slt, + output io_out_unsign, + output io_out_condbr, + output io_out_beq, + output io_out_bne, + output io_out_bge, + output io_out_blt, + output io_out_jal, + output io_out_by, + output io_out_half, + output io_out_word, + output io_out_csr_read, + output io_out_csr_clr, + output io_out_csr_set, + output io_out_csr_write, + output io_out_csr_imm, + output io_out_presync, + output io_out_postsync, + output io_out_ebreak, + output io_out_ecall, + output io_out_mret, + output io_out_mul, + output io_out_rs1_sign, + output io_out_rs2_sign, + output io_out_low, + output io_out_div, + output io_out_rem, + output io_out_fence, + output io_out_fence_i, + output io_out_pm_alu, + output io_out_legal +); + wire _T_2 = io_ins[2] | io_ins[6]; // @[dec_dec_ctl.scala 20:27] + wire _T_4 = ~io_ins[25]; // @[dec_dec_ctl.scala 20:42] + wire _T_6 = _T_4 & io_ins[4]; // @[dec_dec_ctl.scala 20:53] + wire _T_7 = _T_2 | _T_6; // @[dec_dec_ctl.scala 20:39] + wire _T_9 = ~io_ins[5]; // @[dec_dec_ctl.scala 20:68] + wire _T_11 = _T_9 & io_ins[4]; // @[dec_dec_ctl.scala 20:78] + wire _T_14 = ~io_ins[14]; // @[dec_dec_ctl.scala 15:46] + wire _T_16 = ~io_ins[13]; // @[dec_dec_ctl.scala 15:46] + wire _T_18 = ~io_ins[2]; // @[dec_dec_ctl.scala 15:46] + wire _T_19 = _T_14 & _T_16; // @[dec_dec_ctl.scala 17:17] + wire _T_20 = _T_19 & _T_18; // @[dec_dec_ctl.scala 17:17] + wire _T_26 = _T_16 & io_ins[11]; // @[dec_dec_ctl.scala 17:17] + wire _T_27 = _T_26 & _T_18; // @[dec_dec_ctl.scala 17:17] + wire _T_28 = _T_20 | _T_27; // @[dec_dec_ctl.scala 21:43] + wire _T_33 = io_ins[19] & io_ins[13]; // @[dec_dec_ctl.scala 17:17] + wire _T_34 = _T_33 & _T_18; // @[dec_dec_ctl.scala 17:17] + wire _T_35 = _T_28 | _T_34; // @[dec_dec_ctl.scala 21:70] + wire _T_41 = _T_16 & io_ins[10]; // @[dec_dec_ctl.scala 17:17] + wire _T_42 = _T_41 & _T_18; // @[dec_dec_ctl.scala 17:17] + wire _T_43 = _T_35 | _T_42; // @[dec_dec_ctl.scala 22:29] + wire _T_48 = io_ins[18] & io_ins[13]; // @[dec_dec_ctl.scala 17:17] + wire _T_49 = _T_48 & _T_18; // @[dec_dec_ctl.scala 17:17] + wire _T_50 = _T_43 | _T_49; // @[dec_dec_ctl.scala 22:56] + wire _T_56 = _T_16 & io_ins[9]; // @[dec_dec_ctl.scala 17:17] + wire _T_57 = _T_56 & _T_18; // @[dec_dec_ctl.scala 17:17] + wire _T_58 = _T_50 | _T_57; // @[dec_dec_ctl.scala 23:29] + wire _T_63 = io_ins[17] & io_ins[13]; // @[dec_dec_ctl.scala 17:17] + wire _T_64 = _T_63 & _T_18; // @[dec_dec_ctl.scala 17:17] + wire _T_65 = _T_58 | _T_64; // @[dec_dec_ctl.scala 23:55] + wire _T_71 = _T_16 & io_ins[8]; // @[dec_dec_ctl.scala 17:17] + wire _T_72 = _T_71 & _T_18; // @[dec_dec_ctl.scala 17:17] + wire _T_73 = _T_65 | _T_72; // @[dec_dec_ctl.scala 24:29] + wire _T_78 = io_ins[16] & io_ins[13]; // @[dec_dec_ctl.scala 17:17] + wire _T_79 = _T_78 & _T_18; // @[dec_dec_ctl.scala 17:17] + wire _T_80 = _T_73 | _T_79; // @[dec_dec_ctl.scala 24:55] + wire _T_86 = _T_16 & io_ins[7]; // @[dec_dec_ctl.scala 17:17] + wire _T_87 = _T_86 & _T_18; // @[dec_dec_ctl.scala 17:17] + wire _T_88 = _T_80 | _T_87; // @[dec_dec_ctl.scala 25:29] + wire _T_93 = io_ins[15] & io_ins[13]; // @[dec_dec_ctl.scala 17:17] + wire _T_94 = _T_93 & _T_18; // @[dec_dec_ctl.scala 17:17] + wire _T_95 = _T_88 | _T_94; // @[dec_dec_ctl.scala 25:55] + wire _T_97 = ~io_ins[4]; // @[dec_dec_ctl.scala 15:46] + wire _T_99 = ~io_ins[3]; // @[dec_dec_ctl.scala 15:46] + wire _T_100 = _T_97 & _T_99; // @[dec_dec_ctl.scala 17:17] + wire _T_101 = _T_95 | _T_100; // @[dec_dec_ctl.scala 26:29] + wire _T_103 = ~io_ins[6]; // @[dec_dec_ctl.scala 15:46] + wire _T_106 = _T_103 & _T_18; // @[dec_dec_ctl.scala 17:17] + wire _T_113 = io_ins[5] & _T_97; // @[dec_dec_ctl.scala 17:17] + wire _T_114 = _T_113 & _T_18; // @[dec_dec_ctl.scala 17:17] + wire _T_120 = _T_103 & io_ins[5]; // @[dec_dec_ctl.scala 17:17] + wire _T_121 = _T_120 & _T_18; // @[dec_dec_ctl.scala 17:17] + wire _T_129 = _T_100 & io_ins[2]; // @[dec_dec_ctl.scala 17:17] + wire _T_136 = io_ins[13] & _T_9; // @[dec_dec_ctl.scala 17:17] + wire _T_137 = _T_136 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_138 = _T_137 & _T_18; // @[dec_dec_ctl.scala 17:17] + wire _T_139 = _T_129 | _T_138; // @[dec_dec_ctl.scala 28:42] + wire _T_143 = ~io_ins[12]; // @[dec_dec_ctl.scala 15:46] + wire _T_146 = _T_16 & _T_143; // @[dec_dec_ctl.scala 17:17] + wire _T_147 = _T_146 & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_148 = _T_147 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_149 = _T_139 | _T_148; // @[dec_dec_ctl.scala 28:70] + wire _T_157 = _T_143 & _T_9; // @[dec_dec_ctl.scala 17:17] + wire _T_158 = _T_157 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_159 = _T_158 & _T_18; // @[dec_dec_ctl.scala 17:17] + wire _T_165 = _T_9 & _T_18; // @[dec_dec_ctl.scala 30:28] + wire _T_168 = io_ins[5] & io_ins[2]; // @[dec_dec_ctl.scala 30:55] + wire _T_169 = _T_165 | _T_168; // @[dec_dec_ctl.scala 30:42] + wire _T_180 = _T_16 & io_ins[12]; // @[dec_dec_ctl.scala 17:17] + wire _T_181 = _T_180 & _T_9; // @[dec_dec_ctl.scala 17:17] + wire _T_182 = _T_181 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_186 = io_ins[5] & io_ins[3]; // @[dec_dec_ctl.scala 32:29] + wire _T_189 = io_ins[4] & io_ins[2]; // @[dec_dec_ctl.scala 32:53] + wire _T_195 = _T_9 & _T_99; // @[dec_dec_ctl.scala 33:28] + wire _T_197 = _T_195 & io_ins[2]; // @[dec_dec_ctl.scala 33:41] + wire _T_208 = _T_9 & _T_97; // @[dec_dec_ctl.scala 17:17] + wire _T_223 = _T_103 & _T_97; // @[dec_dec_ctl.scala 17:17] + wire _T_235 = _T_19 & _T_143; // @[dec_dec_ctl.scala 17:17] + wire _T_236 = _T_235 & _T_9; // @[dec_dec_ctl.scala 17:17] + wire _T_237 = _T_236 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_245 = _T_237 | _T_197; // @[dec_dec_ctl.scala 37:49] + wire _T_247 = ~io_ins[30]; // @[dec_dec_ctl.scala 15:46] + wire _T_261 = _T_247 & _T_4; // @[dec_dec_ctl.scala 17:17] + wire _T_262 = _T_261 & _T_14; // @[dec_dec_ctl.scala 17:17] + wire _T_263 = _T_262 & _T_16; // @[dec_dec_ctl.scala 17:17] + wire _T_264 = _T_263 & _T_143; // @[dec_dec_ctl.scala 17:17] + wire _T_265 = _T_264 & _T_103; // @[dec_dec_ctl.scala 17:17] + wire _T_266 = _T_265 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_267 = _T_266 & _T_18; // @[dec_dec_ctl.scala 17:17] + wire _T_278 = io_ins[30] & _T_143; // @[dec_dec_ctl.scala 17:17] + wire _T_279 = _T_278 & _T_103; // @[dec_dec_ctl.scala 17:17] + wire _T_280 = _T_279 & io_ins[5]; // @[dec_dec_ctl.scala 17:17] + wire _T_281 = _T_280 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_282 = _T_281 & _T_18; // @[dec_dec_ctl.scala 17:17] + wire _T_293 = _T_4 & _T_14; // @[dec_dec_ctl.scala 17:17] + wire _T_294 = _T_293 & io_ins[13]; // @[dec_dec_ctl.scala 17:17] + wire _T_295 = _T_294 & _T_103; // @[dec_dec_ctl.scala 17:17] + wire _T_296 = _T_295 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_297 = _T_296 & _T_18; // @[dec_dec_ctl.scala 17:17] + wire _T_298 = _T_282 | _T_297; // @[dec_dec_ctl.scala 39:49] + wire _T_307 = _T_14 & io_ins[13]; // @[dec_dec_ctl.scala 17:17] + wire _T_308 = _T_307 & _T_9; // @[dec_dec_ctl.scala 17:17] + wire _T_309 = _T_308 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_310 = _T_309 & _T_18; // @[dec_dec_ctl.scala 17:17] + wire _T_311 = _T_298 | _T_310; // @[dec_dec_ctl.scala 39:85] + wire _T_317 = io_ins[6] & _T_97; // @[dec_dec_ctl.scala 17:17] + wire _T_318 = _T_317 & _T_18; // @[dec_dec_ctl.scala 17:17] + wire _T_327 = io_ins[14] & io_ins[13]; // @[dec_dec_ctl.scala 17:17] + wire _T_328 = _T_327 & io_ins[12]; // @[dec_dec_ctl.scala 17:17] + wire _T_329 = _T_328 & _T_9; // @[dec_dec_ctl.scala 17:17] + wire _T_330 = _T_329 & _T_18; // @[dec_dec_ctl.scala 17:17] + wire _T_340 = _T_4 & io_ins[14]; // @[dec_dec_ctl.scala 17:17] + wire _T_341 = _T_340 & io_ins[13]; // @[dec_dec_ctl.scala 17:17] + wire _T_342 = _T_341 & io_ins[12]; // @[dec_dec_ctl.scala 17:17] + wire _T_343 = _T_342 & _T_103; // @[dec_dec_ctl.scala 17:17] + wire _T_344 = _T_343 & _T_18; // @[dec_dec_ctl.scala 17:17] + wire _T_349 = _T_103 & io_ins[3]; // @[dec_dec_ctl.scala 17:17] + wire _T_362 = _T_341 & _T_143; // @[dec_dec_ctl.scala 17:17] + wire _T_363 = _T_362 & _T_103; // @[dec_dec_ctl.scala 17:17] + wire _T_364 = _T_363 & _T_18; // @[dec_dec_ctl.scala 17:17] + wire _T_365 = _T_349 | _T_364; // @[dec_dec_ctl.scala 42:37] + wire _T_369 = io_ins[5] & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_370 = _T_369 & io_ins[2]; // @[dec_dec_ctl.scala 17:17] + wire _T_371 = _T_365 | _T_370; // @[dec_dec_ctl.scala 42:74] + wire _T_381 = _T_371 | _T_148; // @[dec_dec_ctl.scala 43:26] + wire _T_391 = _T_327 & _T_143; // @[dec_dec_ctl.scala 17:17] + wire _T_392 = _T_391 & _T_9; // @[dec_dec_ctl.scala 17:17] + wire _T_393 = _T_392 & _T_18; // @[dec_dec_ctl.scala 17:17] + wire _T_406 = _T_340 & _T_16; // @[dec_dec_ctl.scala 17:17] + wire _T_407 = _T_406 & _T_143; // @[dec_dec_ctl.scala 17:17] + wire _T_408 = _T_407 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_409 = _T_408 & _T_18; // @[dec_dec_ctl.scala 17:17] + wire _T_420 = io_ins[14] & _T_16; // @[dec_dec_ctl.scala 17:17] + wire _T_421 = _T_420 & _T_143; // @[dec_dec_ctl.scala 17:17] + wire _T_422 = _T_421 & _T_9; // @[dec_dec_ctl.scala 17:17] + wire _T_423 = _T_422 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_424 = _T_423 & _T_18; // @[dec_dec_ctl.scala 17:17] + wire _T_439 = _T_293 & _T_16; // @[dec_dec_ctl.scala 17:17] + wire _T_440 = _T_439 & io_ins[12]; // @[dec_dec_ctl.scala 17:17] + wire _T_441 = _T_440 & _T_103; // @[dec_dec_ctl.scala 17:17] + wire _T_442 = _T_441 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_453 = io_ins[30] & _T_16; // @[dec_dec_ctl.scala 17:17] + wire _T_454 = _T_453 & io_ins[12]; // @[dec_dec_ctl.scala 17:17] + wire _T_455 = _T_454 & _T_103; // @[dec_dec_ctl.scala 17:17] + wire _T_456 = _T_455 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_472 = _T_261 & io_ins[14]; // @[dec_dec_ctl.scala 17:17] + wire _T_473 = _T_472 & _T_16; // @[dec_dec_ctl.scala 17:17] + wire _T_474 = _T_473 & io_ins[12]; // @[dec_dec_ctl.scala 17:17] + wire _T_475 = _T_474 & _T_103; // @[dec_dec_ctl.scala 17:17] + wire _T_476 = _T_475 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_515 = _T_307 & io_ins[12]; // @[dec_dec_ctl.scala 17:17] + wire _T_516 = _T_515 & _T_9; // @[dec_dec_ctl.scala 17:17] + wire _T_517 = _T_516 & _T_18; // @[dec_dec_ctl.scala 17:17] + wire _T_524 = io_ins[13] & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_525 = _T_524 & _T_97; // @[dec_dec_ctl.scala 17:17] + wire _T_526 = _T_525 & _T_18; // @[dec_dec_ctl.scala 17:17] + wire _T_527 = _T_517 | _T_526; // @[dec_dec_ctl.scala 50:51] + wire _T_533 = io_ins[14] & _T_9; // @[dec_dec_ctl.scala 17:17] + wire _T_534 = _T_533 & _T_97; // @[dec_dec_ctl.scala 17:17] + wire _T_535 = _T_527 | _T_534; // @[dec_dec_ctl.scala 50:79] + wire _T_548 = _T_294 & io_ins[12]; // @[dec_dec_ctl.scala 17:17] + wire _T_549 = _T_548 & _T_103; // @[dec_dec_ctl.scala 17:17] + wire _T_550 = _T_549 & _T_18; // @[dec_dec_ctl.scala 17:17] + wire _T_551 = _T_535 | _T_550; // @[dec_dec_ctl.scala 51:29] + wire _T_560 = io_ins[25] & io_ins[14]; // @[dec_dec_ctl.scala 17:17] + wire _T_561 = _T_560 & io_ins[12]; // @[dec_dec_ctl.scala 17:17] + wire _T_562 = _T_561 & _T_103; // @[dec_dec_ctl.scala 17:17] + wire _T_563 = _T_562 & io_ins[5]; // @[dec_dec_ctl.scala 17:17] + wire _T_564 = _T_563 & _T_18; // @[dec_dec_ctl.scala 17:17] + wire _T_582 = _T_14 & _T_143; // @[dec_dec_ctl.scala 17:17] + wire _T_583 = _T_582 & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_584 = _T_583 & _T_97; // @[dec_dec_ctl.scala 17:17] + wire _T_594 = _T_14 & io_ins[12]; // @[dec_dec_ctl.scala 17:17] + wire _T_595 = _T_594 & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_596 = _T_595 & _T_97; // @[dec_dec_ctl.scala 17:17] + wire _T_605 = io_ins[14] & io_ins[12]; // @[dec_dec_ctl.scala 17:17] + wire _T_606 = _T_605 & io_ins[5]; // @[dec_dec_ctl.scala 17:17] + wire _T_607 = _T_606 & _T_97; // @[dec_dec_ctl.scala 17:17] + wire _T_617 = io_ins[14] & _T_143; // @[dec_dec_ctl.scala 17:17] + wire _T_618 = _T_617 & io_ins[5]; // @[dec_dec_ctl.scala 17:17] + wire _T_619 = _T_618 & _T_97; // @[dec_dec_ctl.scala 17:17] + wire _T_635 = _T_146 & _T_103; // @[dec_dec_ctl.scala 17:17] + wire _T_636 = _T_635 & _T_97; // @[dec_dec_ctl.scala 17:17] + wire _T_645 = io_ins[12] & _T_103; // @[dec_dec_ctl.scala 17:17] + wire _T_646 = _T_645 & _T_97; // @[dec_dec_ctl.scala 17:17] + wire _T_653 = io_ins[13] & _T_103; // @[dec_dec_ctl.scala 17:17] + wire _T_659 = _T_524 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_663 = io_ins[7] & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_664 = _T_663 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_665 = _T_659 | _T_664; // @[dec_dec_ctl.scala 62:44] + wire _T_669 = io_ins[8] & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_670 = _T_669 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_671 = _T_665 | _T_670; // @[dec_dec_ctl.scala 62:67] + wire _T_675 = io_ins[9] & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_676 = _T_675 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_677 = _T_671 | _T_676; // @[dec_dec_ctl.scala 63:26] + wire _T_681 = io_ins[10] & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_682 = _T_681 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_683 = _T_677 | _T_682; // @[dec_dec_ctl.scala 63:49] + wire _T_687 = io_ins[11] & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_688 = _T_687 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_696 = _T_93 & io_ins[12]; // @[dec_dec_ctl.scala 17:17] + wire _T_697 = _T_696 & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_698 = _T_697 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_705 = _T_78 & io_ins[12]; // @[dec_dec_ctl.scala 17:17] + wire _T_706 = _T_705 & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_707 = _T_706 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_708 = _T_698 | _T_707; // @[dec_dec_ctl.scala 65:49] + wire _T_715 = _T_63 & io_ins[12]; // @[dec_dec_ctl.scala 17:17] + wire _T_716 = _T_715 & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_717 = _T_716 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_718 = _T_708 | _T_717; // @[dec_dec_ctl.scala 65:79] + wire _T_725 = _T_48 & io_ins[12]; // @[dec_dec_ctl.scala 17:17] + wire _T_726 = _T_725 & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_727 = _T_726 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_728 = _T_718 | _T_727; // @[dec_dec_ctl.scala 66:33] + wire _T_735 = _T_33 & io_ins[12]; // @[dec_dec_ctl.scala 17:17] + wire _T_736 = _T_735 & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_737 = _T_736 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_745 = _T_180 & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_753 = _T_420 & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_754 = _T_753 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_759 = io_ins[15] & io_ins[14]; // @[dec_dec_ctl.scala 17:17] + wire _T_760 = _T_759 & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_761 = _T_760 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_762 = _T_754 | _T_761; // @[dec_dec_ctl.scala 69:47] + wire _T_767 = io_ins[16] & io_ins[14]; // @[dec_dec_ctl.scala 17:17] + wire _T_768 = _T_767 & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_769 = _T_768 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_770 = _T_762 | _T_769; // @[dec_dec_ctl.scala 69:74] + wire _T_775 = io_ins[17] & io_ins[14]; // @[dec_dec_ctl.scala 17:17] + wire _T_776 = _T_775 & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_777 = _T_776 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_778 = _T_770 | _T_777; // @[dec_dec_ctl.scala 70:30] + wire _T_783 = io_ins[18] & io_ins[14]; // @[dec_dec_ctl.scala 17:17] + wire _T_784 = _T_783 & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_785 = _T_784 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_786 = _T_778 | _T_785; // @[dec_dec_ctl.scala 70:57] + wire _T_791 = io_ins[19] & io_ins[14]; // @[dec_dec_ctl.scala 17:17] + wire _T_792 = _T_791 & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_793 = _T_792 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_800 = io_ins[15] & _T_143; // @[dec_dec_ctl.scala 17:17] + wire _T_801 = _T_800 & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_802 = _T_801 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_808 = io_ins[16] & _T_143; // @[dec_dec_ctl.scala 17:17] + wire _T_809 = _T_808 & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_810 = _T_809 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_811 = _T_802 | _T_810; // @[dec_dec_ctl.scala 72:47] + wire _T_817 = io_ins[17] & _T_143; // @[dec_dec_ctl.scala 17:17] + wire _T_818 = _T_817 & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_819 = _T_818 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_820 = _T_811 | _T_819; // @[dec_dec_ctl.scala 72:75] + wire _T_826 = io_ins[18] & _T_143; // @[dec_dec_ctl.scala 17:17] + wire _T_827 = _T_826 & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_828 = _T_827 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_829 = _T_820 | _T_828; // @[dec_dec_ctl.scala 73:31] + wire _T_835 = io_ins[19] & _T_143; // @[dec_dec_ctl.scala 17:17] + wire _T_836 = _T_835 & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_837 = _T_836 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_840 = ~io_ins[22]; // @[dec_dec_ctl.scala 15:46] + wire _T_848 = _T_840 & io_ins[20]; // @[dec_dec_ctl.scala 17:17] + wire _T_849 = _T_848 & _T_16; // @[dec_dec_ctl.scala 17:17] + wire _T_850 = _T_849 & _T_143; // @[dec_dec_ctl.scala 17:17] + wire _T_851 = _T_850 & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_854 = ~io_ins[21]; // @[dec_dec_ctl.scala 15:46] + wire _T_856 = ~io_ins[20]; // @[dec_dec_ctl.scala 15:46] + wire _T_863 = _T_854 & _T_856; // @[dec_dec_ctl.scala 17:17] + wire _T_864 = _T_863 & _T_16; // @[dec_dec_ctl.scala 17:17] + wire _T_865 = _T_864 & _T_143; // @[dec_dec_ctl.scala 17:17] + wire _T_866 = _T_865 & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_875 = io_ins[29] & _T_16; // @[dec_dec_ctl.scala 17:17] + wire _T_876 = _T_875 & _T_143; // @[dec_dec_ctl.scala 17:17] + wire _T_877 = _T_876 & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_888 = io_ins[25] & _T_14; // @[dec_dec_ctl.scala 17:17] + wire _T_889 = _T_888 & _T_103; // @[dec_dec_ctl.scala 17:17] + wire _T_890 = _T_889 & io_ins[5]; // @[dec_dec_ctl.scala 17:17] + wire _T_891 = _T_890 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_906 = _T_888 & io_ins[13]; // @[dec_dec_ctl.scala 17:17] + wire _T_907 = _T_906 & _T_143; // @[dec_dec_ctl.scala 17:17] + wire _T_908 = _T_907 & _T_103; // @[dec_dec_ctl.scala 17:17] + wire _T_909 = _T_908 & io_ins[5]; // @[dec_dec_ctl.scala 17:17] + wire _T_910 = _T_909 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_911 = _T_910 & _T_18; // @[dec_dec_ctl.scala 17:17] + wire _T_924 = _T_888 & _T_16; // @[dec_dec_ctl.scala 17:17] + wire _T_925 = _T_924 & io_ins[12]; // @[dec_dec_ctl.scala 17:17] + wire _T_926 = _T_925 & _T_103; // @[dec_dec_ctl.scala 17:17] + wire _T_927 = _T_926 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_928 = _T_927 & _T_18; // @[dec_dec_ctl.scala 17:17] + wire _T_960 = _T_924 & _T_143; // @[dec_dec_ctl.scala 17:17] + wire _T_961 = _T_960 & io_ins[5]; // @[dec_dec_ctl.scala 17:17] + wire _T_962 = _T_961 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_972 = _T_560 & _T_103; // @[dec_dec_ctl.scala 17:17] + wire _T_973 = _T_972 & io_ins[5]; // @[dec_dec_ctl.scala 17:17] + wire _T_984 = _T_560 & io_ins[13]; // @[dec_dec_ctl.scala 17:17] + wire _T_985 = _T_984 & _T_103; // @[dec_dec_ctl.scala 17:17] + wire _T_986 = _T_985 & io_ins[5]; // @[dec_dec_ctl.scala 17:17] + wire _T_991 = _T_9 & io_ins[3]; // @[dec_dec_ctl.scala 17:17] + wire _T_996 = io_ins[12] & _T_9; // @[dec_dec_ctl.scala 17:17] + wire _T_997 = _T_996 & io_ins[3]; // @[dec_dec_ctl.scala 17:17] + wire _T_1005 = io_ins[28] & io_ins[22]; // @[dec_dec_ctl.scala 17:17] + wire _T_1006 = _T_1005 & _T_16; // @[dec_dec_ctl.scala 17:17] + wire _T_1007 = _T_1006 & _T_143; // @[dec_dec_ctl.scala 17:17] + wire _T_1008 = _T_1007 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_1012 = _T_1008 | _T_189; // @[dec_dec_ctl.scala 87:51] + wire _T_1018 = _T_4 & _T_103; // @[dec_dec_ctl.scala 17:17] + wire _T_1019 = _T_1018 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_1020 = _T_1012 | _T_1019; // @[dec_dec_ctl.scala 87:72] + wire _T_1036 = _T_86 & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_1037 = _T_1036 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_1038 = _T_991 | _T_1037; // @[dec_dec_ctl.scala 89:41] + wire _T_1045 = _T_71 & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_1046 = _T_1045 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_1047 = _T_1038 | _T_1046; // @[dec_dec_ctl.scala 89:68] + wire _T_1054 = _T_56 & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_1055 = _T_1054 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_1056 = _T_1047 | _T_1055; // @[dec_dec_ctl.scala 90:30] + wire _T_1063 = _T_41 & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_1064 = _T_1063 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_1065 = _T_1056 | _T_1064; // @[dec_dec_ctl.scala 90:57] + wire _T_1072 = _T_26 & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_1073 = _T_1072 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_1074 = _T_1065 | _T_1073; // @[dec_dec_ctl.scala 91:31] + wire _T_1080 = _T_93 & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_1081 = _T_1080 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_1082 = _T_1074 | _T_1081; // @[dec_dec_ctl.scala 91:59] + wire _T_1088 = _T_78 & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_1089 = _T_1088 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_1090 = _T_1082 | _T_1089; // @[dec_dec_ctl.scala 92:30] + wire _T_1096 = _T_63 & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_1097 = _T_1096 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_1098 = _T_1090 | _T_1097; // @[dec_dec_ctl.scala 92:57] + wire _T_1104 = _T_48 & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_1105 = _T_1104 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_1106 = _T_1098 | _T_1105; // @[dec_dec_ctl.scala 93:30] + wire _T_1112 = _T_33 & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_1113 = _T_1112 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_1129 = _T_840 & _T_16; // @[dec_dec_ctl.scala 17:17] + wire _T_1130 = _T_1129 & _T_143; // @[dec_dec_ctl.scala 17:17] + wire _T_1131 = _T_1130 & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_1132 = _T_1131 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_1133 = _T_997 | _T_1132; // @[dec_dec_ctl.scala 95:45] + wire _T_1142 = _T_1133 | _T_1037; // @[dec_dec_ctl.scala 95:78] + wire _T_1151 = _T_1142 | _T_1046; // @[dec_dec_ctl.scala 96:30] + wire _T_1160 = _T_1151 | _T_1055; // @[dec_dec_ctl.scala 96:57] + wire _T_1169 = _T_1160 | _T_1064; // @[dec_dec_ctl.scala 97:30] + wire _T_1178 = _T_1169 | _T_1073; // @[dec_dec_ctl.scala 97:58] + wire _T_1186 = _T_1178 | _T_1081; // @[dec_dec_ctl.scala 98:31] + wire _T_1194 = _T_1186 | _T_1089; // @[dec_dec_ctl.scala 98:58] + wire _T_1202 = _T_1194 | _T_1097; // @[dec_dec_ctl.scala 99:30] + wire _T_1210 = _T_1202 | _T_1105; // @[dec_dec_ctl.scala 99:57] + wire _T_1220 = ~io_ins[31]; // @[dec_dec_ctl.scala 15:46] + wire _T_1226 = ~io_ins[27]; // @[dec_dec_ctl.scala 15:46] + wire _T_1228 = ~io_ins[26]; // @[dec_dec_ctl.scala 15:46] + wire _T_1232 = ~io_ins[24]; // @[dec_dec_ctl.scala 15:46] + wire _T_1234 = ~io_ins[23]; // @[dec_dec_ctl.scala 15:46] + wire _T_1241 = ~io_ins[19]; // @[dec_dec_ctl.scala 15:46] + wire _T_1243 = ~io_ins[18]; // @[dec_dec_ctl.scala 15:46] + wire _T_1245 = ~io_ins[17]; // @[dec_dec_ctl.scala 15:46] + wire _T_1247 = ~io_ins[16]; // @[dec_dec_ctl.scala 15:46] + wire _T_1249 = ~io_ins[15]; // @[dec_dec_ctl.scala 15:46] + wire _T_1253 = ~io_ins[11]; // @[dec_dec_ctl.scala 15:46] + wire _T_1255 = ~io_ins[10]; // @[dec_dec_ctl.scala 15:46] + wire _T_1257 = ~io_ins[9]; // @[dec_dec_ctl.scala 15:46] + wire _T_1259 = ~io_ins[8]; // @[dec_dec_ctl.scala 15:46] + wire _T_1261 = ~io_ins[7]; // @[dec_dec_ctl.scala 15:46] + wire _T_1271 = _T_1220 & _T_247; // @[dec_dec_ctl.scala 17:17] + wire _T_1272 = _T_1271 & io_ins[29]; // @[dec_dec_ctl.scala 17:17] + wire _T_1273 = _T_1272 & io_ins[28]; // @[dec_dec_ctl.scala 17:17] + wire _T_1274 = _T_1273 & _T_1226; // @[dec_dec_ctl.scala 17:17] + wire _T_1275 = _T_1274 & _T_1228; // @[dec_dec_ctl.scala 17:17] + wire _T_1276 = _T_1275 & _T_4; // @[dec_dec_ctl.scala 17:17] + wire _T_1277 = _T_1276 & _T_1232; // @[dec_dec_ctl.scala 17:17] + wire _T_1278 = _T_1277 & _T_1234; // @[dec_dec_ctl.scala 17:17] + wire _T_1279 = _T_1278 & _T_840; // @[dec_dec_ctl.scala 17:17] + wire _T_1280 = _T_1279 & io_ins[21]; // @[dec_dec_ctl.scala 17:17] + wire _T_1281 = _T_1280 & _T_856; // @[dec_dec_ctl.scala 17:17] + wire _T_1282 = _T_1281 & _T_1241; // @[dec_dec_ctl.scala 17:17] + wire _T_1283 = _T_1282 & _T_1243; // @[dec_dec_ctl.scala 17:17] + wire _T_1284 = _T_1283 & _T_1245; // @[dec_dec_ctl.scala 17:17] + wire _T_1285 = _T_1284 & _T_1247; // @[dec_dec_ctl.scala 17:17] + wire _T_1286 = _T_1285 & _T_1249; // @[dec_dec_ctl.scala 17:17] + wire _T_1287 = _T_1286 & _T_14; // @[dec_dec_ctl.scala 17:17] + wire _T_1288 = _T_1287 & _T_1253; // @[dec_dec_ctl.scala 17:17] + wire _T_1289 = _T_1288 & _T_1255; // @[dec_dec_ctl.scala 17:17] + wire _T_1290 = _T_1289 & _T_1257; // @[dec_dec_ctl.scala 17:17] + wire _T_1291 = _T_1290 & _T_1259; // @[dec_dec_ctl.scala 17:17] + wire _T_1292 = _T_1291 & _T_1261; // @[dec_dec_ctl.scala 17:17] + wire _T_1293 = _T_1292 & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_1294 = _T_1293 & io_ins[5]; // @[dec_dec_ctl.scala 17:17] + wire _T_1295 = _T_1294 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_1296 = _T_1295 & _T_99; // @[dec_dec_ctl.scala 17:17] + wire _T_1297 = _T_1296 & _T_18; // @[dec_dec_ctl.scala 17:17] + wire _T_1298 = _T_1297 & io_ins[1]; // @[dec_dec_ctl.scala 17:17] + wire _T_1299 = _T_1298 & io_ins[0]; // @[dec_dec_ctl.scala 17:17] + wire _T_1305 = ~io_ins[29]; // @[dec_dec_ctl.scala 15:46] + wire _T_1353 = _T_1271 & _T_1305; // @[dec_dec_ctl.scala 17:17] + wire _T_1354 = _T_1353 & io_ins[28]; // @[dec_dec_ctl.scala 17:17] + wire _T_1355 = _T_1354 & _T_1226; // @[dec_dec_ctl.scala 17:17] + wire _T_1356 = _T_1355 & _T_1228; // @[dec_dec_ctl.scala 17:17] + wire _T_1357 = _T_1356 & _T_4; // @[dec_dec_ctl.scala 17:17] + wire _T_1358 = _T_1357 & _T_1232; // @[dec_dec_ctl.scala 17:17] + wire _T_1359 = _T_1358 & _T_1234; // @[dec_dec_ctl.scala 17:17] + wire _T_1360 = _T_1359 & io_ins[22]; // @[dec_dec_ctl.scala 17:17] + wire _T_1361 = _T_1360 & _T_854; // @[dec_dec_ctl.scala 17:17] + wire _T_1362 = _T_1361 & io_ins[20]; // @[dec_dec_ctl.scala 17:17] + wire _T_1363 = _T_1362 & _T_1241; // @[dec_dec_ctl.scala 17:17] + wire _T_1364 = _T_1363 & _T_1243; // @[dec_dec_ctl.scala 17:17] + wire _T_1365 = _T_1364 & _T_1245; // @[dec_dec_ctl.scala 17:17] + wire _T_1366 = _T_1365 & _T_1247; // @[dec_dec_ctl.scala 17:17] + wire _T_1367 = _T_1366 & _T_1249; // @[dec_dec_ctl.scala 17:17] + wire _T_1368 = _T_1367 & _T_14; // @[dec_dec_ctl.scala 17:17] + wire _T_1369 = _T_1368 & _T_1253; // @[dec_dec_ctl.scala 17:17] + wire _T_1370 = _T_1369 & _T_1255; // @[dec_dec_ctl.scala 17:17] + wire _T_1371 = _T_1370 & _T_1257; // @[dec_dec_ctl.scala 17:17] + wire _T_1372 = _T_1371 & _T_1259; // @[dec_dec_ctl.scala 17:17] + wire _T_1373 = _T_1372 & _T_1261; // @[dec_dec_ctl.scala 17:17] + wire _T_1374 = _T_1373 & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_1375 = _T_1374 & io_ins[5]; // @[dec_dec_ctl.scala 17:17] + wire _T_1376 = _T_1375 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_1377 = _T_1376 & _T_99; // @[dec_dec_ctl.scala 17:17] + wire _T_1378 = _T_1377 & _T_18; // @[dec_dec_ctl.scala 17:17] + wire _T_1379 = _T_1378 & io_ins[1]; // @[dec_dec_ctl.scala 17:17] + wire _T_1380 = _T_1379 & io_ins[0]; // @[dec_dec_ctl.scala 17:17] + wire _T_1381 = _T_1299 | _T_1380; // @[dec_dec_ctl.scala 101:136] + wire _T_1389 = ~io_ins[28]; // @[dec_dec_ctl.scala 15:46] + wire _T_1436 = _T_1353 & _T_1389; // @[dec_dec_ctl.scala 17:17] + wire _T_1437 = _T_1436 & _T_1226; // @[dec_dec_ctl.scala 17:17] + wire _T_1438 = _T_1437 & _T_1228; // @[dec_dec_ctl.scala 17:17] + wire _T_1439 = _T_1438 & _T_4; // @[dec_dec_ctl.scala 17:17] + wire _T_1440 = _T_1439 & _T_1232; // @[dec_dec_ctl.scala 17:17] + wire _T_1441 = _T_1440 & _T_1234; // @[dec_dec_ctl.scala 17:17] + wire _T_1442 = _T_1441 & _T_840; // @[dec_dec_ctl.scala 17:17] + wire _T_1443 = _T_1442 & _T_854; // @[dec_dec_ctl.scala 17:17] + wire _T_1444 = _T_1443 & _T_1241; // @[dec_dec_ctl.scala 17:17] + wire _T_1445 = _T_1444 & _T_1243; // @[dec_dec_ctl.scala 17:17] + wire _T_1446 = _T_1445 & _T_1245; // @[dec_dec_ctl.scala 17:17] + wire _T_1447 = _T_1446 & _T_1247; // @[dec_dec_ctl.scala 17:17] + wire _T_1448 = _T_1447 & _T_1249; // @[dec_dec_ctl.scala 17:17] + wire _T_1449 = _T_1448 & _T_14; // @[dec_dec_ctl.scala 17:17] + wire _T_1450 = _T_1449 & _T_1253; // @[dec_dec_ctl.scala 17:17] + wire _T_1451 = _T_1450 & _T_1255; // @[dec_dec_ctl.scala 17:17] + wire _T_1452 = _T_1451 & _T_1257; // @[dec_dec_ctl.scala 17:17] + wire _T_1453 = _T_1452 & _T_1259; // @[dec_dec_ctl.scala 17:17] + wire _T_1454 = _T_1453 & _T_1261; // @[dec_dec_ctl.scala 17:17] + wire _T_1455 = _T_1454 & io_ins[5]; // @[dec_dec_ctl.scala 17:17] + wire _T_1456 = _T_1455 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_1457 = _T_1456 & _T_99; // @[dec_dec_ctl.scala 17:17] + wire _T_1458 = _T_1457 & _T_18; // @[dec_dec_ctl.scala 17:17] + wire _T_1459 = _T_1458 & io_ins[1]; // @[dec_dec_ctl.scala 17:17] + wire _T_1460 = _T_1459 & io_ins[0]; // @[dec_dec_ctl.scala 17:17] + wire _T_1461 = _T_1381 | _T_1460; // @[dec_dec_ctl.scala 102:122] + wire _T_1489 = _T_1439 & _T_103; // @[dec_dec_ctl.scala 17:17] + wire _T_1490 = _T_1489 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_1491 = _T_1490 & _T_99; // @[dec_dec_ctl.scala 17:17] + wire _T_1492 = _T_1491 & io_ins[1]; // @[dec_dec_ctl.scala 17:17] + wire _T_1493 = _T_1492 & io_ins[0]; // @[dec_dec_ctl.scala 17:17] + wire _T_1494 = _T_1461 | _T_1493; // @[dec_dec_ctl.scala 103:119] + wire _T_1521 = _T_1220 & _T_1305; // @[dec_dec_ctl.scala 17:17] + wire _T_1522 = _T_1521 & _T_1389; // @[dec_dec_ctl.scala 17:17] + wire _T_1523 = _T_1522 & _T_1226; // @[dec_dec_ctl.scala 17:17] + wire _T_1524 = _T_1523 & _T_1228; // @[dec_dec_ctl.scala 17:17] + wire _T_1525 = _T_1524 & _T_4; // @[dec_dec_ctl.scala 17:17] + wire _T_1526 = _T_1525 & _T_14; // @[dec_dec_ctl.scala 17:17] + wire _T_1527 = _T_1526 & _T_16; // @[dec_dec_ctl.scala 17:17] + wire _T_1528 = _T_1527 & _T_143; // @[dec_dec_ctl.scala 17:17] + wire _T_1529 = _T_1528 & _T_103; // @[dec_dec_ctl.scala 17:17] + wire _T_1530 = _T_1529 & _T_99; // @[dec_dec_ctl.scala 17:17] + wire _T_1531 = _T_1530 & _T_18; // @[dec_dec_ctl.scala 17:17] + wire _T_1532 = _T_1531 & io_ins[1]; // @[dec_dec_ctl.scala 17:17] + wire _T_1533 = _T_1532 & io_ins[0]; // @[dec_dec_ctl.scala 17:17] + wire _T_1534 = _T_1494 | _T_1533; // @[dec_dec_ctl.scala 104:60] + wire _T_1563 = _T_1525 & io_ins[14]; // @[dec_dec_ctl.scala 17:17] + wire _T_1564 = _T_1563 & _T_16; // @[dec_dec_ctl.scala 17:17] + wire _T_1565 = _T_1564 & io_ins[12]; // @[dec_dec_ctl.scala 17:17] + wire _T_1566 = _T_1565 & _T_103; // @[dec_dec_ctl.scala 17:17] + wire _T_1567 = _T_1566 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_1568 = _T_1567 & _T_99; // @[dec_dec_ctl.scala 17:17] + wire _T_1569 = _T_1568 & io_ins[1]; // @[dec_dec_ctl.scala 17:17] + wire _T_1570 = _T_1569 & io_ins[0]; // @[dec_dec_ctl.scala 17:17] + wire _T_1571 = _T_1534 | _T_1570; // @[dec_dec_ctl.scala 105:69] + wire _T_1597 = _T_1438 & _T_103; // @[dec_dec_ctl.scala 17:17] + wire _T_1598 = _T_1597 & io_ins[5]; // @[dec_dec_ctl.scala 17:17] + wire _T_1599 = _T_1598 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_1600 = _T_1599 & _T_99; // @[dec_dec_ctl.scala 17:17] + wire _T_1601 = _T_1600 & io_ins[1]; // @[dec_dec_ctl.scala 17:17] + wire _T_1602 = _T_1601 & io_ins[0]; // @[dec_dec_ctl.scala 17:17] + wire _T_1603 = _T_1571 | _T_1602; // @[dec_dec_ctl.scala 106:66] + wire _T_1620 = _T_235 & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_1621 = _T_1620 & io_ins[5]; // @[dec_dec_ctl.scala 17:17] + wire _T_1622 = _T_1621 & _T_97; // @[dec_dec_ctl.scala 17:17] + wire _T_1623 = _T_1622 & _T_99; // @[dec_dec_ctl.scala 17:17] + wire _T_1624 = _T_1623 & io_ins[1]; // @[dec_dec_ctl.scala 17:17] + wire _T_1625 = _T_1624 & io_ins[0]; // @[dec_dec_ctl.scala 17:17] + wire _T_1626 = _T_1603 | _T_1625; // @[dec_dec_ctl.scala 107:58] + wire _T_1638 = io_ins[14] & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_1639 = _T_1638 & io_ins[5]; // @[dec_dec_ctl.scala 17:17] + wire _T_1640 = _T_1639 & _T_97; // @[dec_dec_ctl.scala 17:17] + wire _T_1641 = _T_1640 & _T_99; // @[dec_dec_ctl.scala 17:17] + wire _T_1642 = _T_1641 & _T_18; // @[dec_dec_ctl.scala 17:17] + wire _T_1643 = _T_1642 & io_ins[1]; // @[dec_dec_ctl.scala 17:17] + wire _T_1644 = _T_1643 & io_ins[0]; // @[dec_dec_ctl.scala 17:17] + wire _T_1645 = _T_1626 | _T_1644; // @[dec_dec_ctl.scala 108:46] + wire _T_1657 = _T_143 & _T_103; // @[dec_dec_ctl.scala 17:17] + wire _T_1658 = _T_1657 & _T_9; // @[dec_dec_ctl.scala 17:17] + wire _T_1659 = _T_1658 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_1660 = _T_1659 & _T_99; // @[dec_dec_ctl.scala 17:17] + wire _T_1661 = _T_1660 & io_ins[1]; // @[dec_dec_ctl.scala 17:17] + wire _T_1662 = _T_1661 & io_ins[0]; // @[dec_dec_ctl.scala 17:17] + wire _T_1663 = _T_1645 | _T_1662; // @[dec_dec_ctl.scala 109:40] + wire _T_1678 = _T_19 & io_ins[5]; // @[dec_dec_ctl.scala 17:17] + wire _T_1679 = _T_1678 & _T_97; // @[dec_dec_ctl.scala 17:17] + wire _T_1680 = _T_1679 & _T_99; // @[dec_dec_ctl.scala 17:17] + wire _T_1681 = _T_1680 & _T_18; // @[dec_dec_ctl.scala 17:17] + wire _T_1682 = _T_1681 & io_ins[1]; // @[dec_dec_ctl.scala 17:17] + wire _T_1683 = _T_1682 & io_ins[0]; // @[dec_dec_ctl.scala 17:17] + wire _T_1684 = _T_1663 | _T_1683; // @[dec_dec_ctl.scala 110:39] + wire _T_1695 = io_ins[12] & io_ins[6]; // @[dec_dec_ctl.scala 17:17] + wire _T_1696 = _T_1695 & io_ins[5]; // @[dec_dec_ctl.scala 17:17] + wire _T_1697 = _T_1696 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_1698 = _T_1697 & _T_99; // @[dec_dec_ctl.scala 17:17] + wire _T_1699 = _T_1698 & _T_18; // @[dec_dec_ctl.scala 17:17] + wire _T_1700 = _T_1699 & io_ins[1]; // @[dec_dec_ctl.scala 17:17] + wire _T_1701 = _T_1700 & io_ins[0]; // @[dec_dec_ctl.scala 17:17] + wire _T_1702 = _T_1684 | _T_1701; // @[dec_dec_ctl.scala 111:43] + wire _T_1771 = _T_1443 & _T_856; // @[dec_dec_ctl.scala 17:17] + wire _T_1772 = _T_1771 & _T_1241; // @[dec_dec_ctl.scala 17:17] + wire _T_1773 = _T_1772 & _T_1243; // @[dec_dec_ctl.scala 17:17] + wire _T_1774 = _T_1773 & _T_1245; // @[dec_dec_ctl.scala 17:17] + wire _T_1775 = _T_1774 & _T_1247; // @[dec_dec_ctl.scala 17:17] + wire _T_1776 = _T_1775 & _T_1249; // @[dec_dec_ctl.scala 17:17] + wire _T_1777 = _T_1776 & _T_14; // @[dec_dec_ctl.scala 17:17] + wire _T_1778 = _T_1777 & _T_16; // @[dec_dec_ctl.scala 17:17] + wire _T_1779 = _T_1778 & _T_1253; // @[dec_dec_ctl.scala 17:17] + wire _T_1780 = _T_1779 & _T_1255; // @[dec_dec_ctl.scala 17:17] + wire _T_1781 = _T_1780 & _T_1257; // @[dec_dec_ctl.scala 17:17] + wire _T_1782 = _T_1781 & _T_1259; // @[dec_dec_ctl.scala 17:17] + wire _T_1783 = _T_1782 & _T_1261; // @[dec_dec_ctl.scala 17:17] + wire _T_1784 = _T_1783 & _T_103; // @[dec_dec_ctl.scala 17:17] + wire _T_1785 = _T_1784 & _T_9; // @[dec_dec_ctl.scala 17:17] + wire _T_1786 = _T_1785 & _T_97; // @[dec_dec_ctl.scala 17:17] + wire _T_1787 = _T_1786 & io_ins[3]; // @[dec_dec_ctl.scala 17:17] + wire _T_1788 = _T_1787 & io_ins[2]; // @[dec_dec_ctl.scala 17:17] + wire _T_1789 = _T_1788 & io_ins[1]; // @[dec_dec_ctl.scala 17:17] + wire _T_1790 = _T_1789 & io_ins[0]; // @[dec_dec_ctl.scala 17:17] + wire _T_1791 = _T_1702 | _T_1790; // @[dec_dec_ctl.scala 112:39] + wire _T_1839 = _T_1436 & _T_1241; // @[dec_dec_ctl.scala 17:17] + wire _T_1840 = _T_1839 & _T_1243; // @[dec_dec_ctl.scala 17:17] + wire _T_1841 = _T_1840 & _T_1245; // @[dec_dec_ctl.scala 17:17] + wire _T_1842 = _T_1841 & _T_1247; // @[dec_dec_ctl.scala 17:17] + wire _T_1843 = _T_1842 & _T_1249; // @[dec_dec_ctl.scala 17:17] + wire _T_1844 = _T_1843 & _T_14; // @[dec_dec_ctl.scala 17:17] + wire _T_1845 = _T_1844 & _T_16; // @[dec_dec_ctl.scala 17:17] + wire _T_1846 = _T_1845 & _T_143; // @[dec_dec_ctl.scala 17:17] + wire _T_1847 = _T_1846 & _T_1253; // @[dec_dec_ctl.scala 17:17] + wire _T_1848 = _T_1847 & _T_1255; // @[dec_dec_ctl.scala 17:17] + wire _T_1849 = _T_1848 & _T_1257; // @[dec_dec_ctl.scala 17:17] + wire _T_1850 = _T_1849 & _T_1259; // @[dec_dec_ctl.scala 17:17] + wire _T_1851 = _T_1850 & _T_1261; // @[dec_dec_ctl.scala 17:17] + wire _T_1852 = _T_1851 & _T_103; // @[dec_dec_ctl.scala 17:17] + wire _T_1853 = _T_1852 & _T_9; // @[dec_dec_ctl.scala 17:17] + wire _T_1854 = _T_1853 & _T_97; // @[dec_dec_ctl.scala 17:17] + wire _T_1855 = _T_1854 & io_ins[3]; // @[dec_dec_ctl.scala 17:17] + wire _T_1856 = _T_1855 & io_ins[2]; // @[dec_dec_ctl.scala 17:17] + wire _T_1857 = _T_1856 & io_ins[1]; // @[dec_dec_ctl.scala 17:17] + wire _T_1858 = _T_1857 & io_ins[0]; // @[dec_dec_ctl.scala 17:17] + wire _T_1859 = _T_1791 | _T_1858; // @[dec_dec_ctl.scala 113:130] + wire _T_1871 = _T_524 & io_ins[5]; // @[dec_dec_ctl.scala 17:17] + wire _T_1872 = _T_1871 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_1873 = _T_1872 & _T_99; // @[dec_dec_ctl.scala 17:17] + wire _T_1874 = _T_1873 & _T_18; // @[dec_dec_ctl.scala 17:17] + wire _T_1875 = _T_1874 & io_ins[1]; // @[dec_dec_ctl.scala 17:17] + wire _T_1876 = _T_1875 & io_ins[0]; // @[dec_dec_ctl.scala 17:17] + wire _T_1877 = _T_1859 | _T_1876; // @[dec_dec_ctl.scala 114:102] + wire _T_1892 = _T_16 & _T_103; // @[dec_dec_ctl.scala 17:17] + wire _T_1893 = _T_1892 & _T_9; // @[dec_dec_ctl.scala 17:17] + wire _T_1894 = _T_1893 & _T_97; // @[dec_dec_ctl.scala 17:17] + wire _T_1895 = _T_1894 & _T_99; // @[dec_dec_ctl.scala 17:17] + wire _T_1896 = _T_1895 & _T_18; // @[dec_dec_ctl.scala 17:17] + wire _T_1897 = _T_1896 & io_ins[1]; // @[dec_dec_ctl.scala 17:17] + wire _T_1898 = _T_1897 & io_ins[0]; // @[dec_dec_ctl.scala 17:17] + wire _T_1899 = _T_1877 | _T_1898; // @[dec_dec_ctl.scala 115:39] + wire _T_1908 = io_ins[6] & io_ins[5]; // @[dec_dec_ctl.scala 17:17] + wire _T_1909 = _T_1908 & _T_97; // @[dec_dec_ctl.scala 17:17] + wire _T_1910 = _T_1909 & io_ins[3]; // @[dec_dec_ctl.scala 17:17] + wire _T_1911 = _T_1910 & io_ins[2]; // @[dec_dec_ctl.scala 17:17] + wire _T_1912 = _T_1911 & io_ins[1]; // @[dec_dec_ctl.scala 17:17] + wire _T_1913 = _T_1912 & io_ins[0]; // @[dec_dec_ctl.scala 17:17] + wire _T_1914 = _T_1899 | _T_1913; // @[dec_dec_ctl.scala 116:43] + wire _T_1926 = _T_653 & _T_9; // @[dec_dec_ctl.scala 17:17] + wire _T_1927 = _T_1926 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_1928 = _T_1927 & _T_99; // @[dec_dec_ctl.scala 17:17] + wire _T_1929 = _T_1928 & io_ins[1]; // @[dec_dec_ctl.scala 17:17] + wire _T_1930 = _T_1929 & io_ins[0]; // @[dec_dec_ctl.scala 17:17] + wire _T_1931 = _T_1914 | _T_1930; // @[dec_dec_ctl.scala 117:35] + wire _T_1947 = _T_582 & _T_103; // @[dec_dec_ctl.scala 17:17] + wire _T_1948 = _T_1947 & _T_97; // @[dec_dec_ctl.scala 17:17] + wire _T_1949 = _T_1948 & _T_99; // @[dec_dec_ctl.scala 17:17] + wire _T_1950 = _T_1949 & _T_18; // @[dec_dec_ctl.scala 17:17] + wire _T_1951 = _T_1950 & io_ins[1]; // @[dec_dec_ctl.scala 17:17] + wire _T_1952 = _T_1951 & io_ins[0]; // @[dec_dec_ctl.scala 17:17] + wire _T_1953 = _T_1931 | _T_1952; // @[dec_dec_ctl.scala 118:38] + wire _T_1962 = _T_103 & io_ins[4]; // @[dec_dec_ctl.scala 17:17] + wire _T_1963 = _T_1962 & _T_99; // @[dec_dec_ctl.scala 17:17] + wire _T_1964 = _T_1963 & io_ins[2]; // @[dec_dec_ctl.scala 17:17] + wire _T_1965 = _T_1964 & io_ins[1]; // @[dec_dec_ctl.scala 17:17] + wire _T_1966 = _T_1965 & io_ins[0]; // @[dec_dec_ctl.scala 17:17] + assign io_out_alu = _T_7 | _T_11; // @[dec_dec_ctl.scala 20:14] + assign io_out_rs1 = _T_101 | _T_106; // @[dec_dec_ctl.scala 21:14] + assign io_out_rs2 = _T_114 | _T_121; // @[dec_dec_ctl.scala 27:14] + assign io_out_imm12 = _T_149 | _T_159; // @[dec_dec_ctl.scala 28:16] + assign io_out_rd = _T_169 | io_ins[4]; // @[dec_dec_ctl.scala 30:13] + assign io_out_shimm5 = _T_182 & _T_18; // @[dec_dec_ctl.scala 31:17] + assign io_out_imm20 = _T_186 | _T_189; // @[dec_dec_ctl.scala 32:16] + assign io_out_pc = _T_197 | _T_186; // @[dec_dec_ctl.scala 33:13] + assign io_out_load = _T_208 & _T_18; // @[dec_dec_ctl.scala 34:15] + assign io_out_store = _T_120 & _T_97; // @[dec_dec_ctl.scala 35:16] + assign io_out_lsu = _T_223 & _T_18; // @[dec_dec_ctl.scala 36:14] + assign io_out_add = _T_245 | _T_267; // @[dec_dec_ctl.scala 37:14] + assign io_out_sub = _T_311 | _T_318; // @[dec_dec_ctl.scala 39:14] + assign io_out_land = _T_330 | _T_344; // @[dec_dec_ctl.scala 41:15] + assign io_out_lor = _T_381 | _T_393; // @[dec_dec_ctl.scala 42:14] + assign io_out_lxor = _T_409 | _T_424; // @[dec_dec_ctl.scala 45:15] + assign io_out_sll = _T_442 & _T_18; // @[dec_dec_ctl.scala 46:14] + assign io_out_sra = _T_456 & _T_18; // @[dec_dec_ctl.scala 47:14] + assign io_out_srl = _T_476 & _T_18; // @[dec_dec_ctl.scala 48:14] + assign io_out_slt = _T_297 | _T_310; // @[dec_dec_ctl.scala 49:14] + assign io_out_unsign = _T_551 | _T_564; // @[dec_dec_ctl.scala 50:17] + assign io_out_condbr = _T_317 & _T_18; // @[dec_dec_ctl.scala 53:17] + assign io_out_beq = _T_584 & _T_18; // @[dec_dec_ctl.scala 54:14] + assign io_out_bne = _T_596 & _T_18; // @[dec_dec_ctl.scala 55:14] + assign io_out_bge = _T_607 & _T_18; // @[dec_dec_ctl.scala 56:14] + assign io_out_blt = _T_619 & _T_18; // @[dec_dec_ctl.scala 57:14] + assign io_out_jal = io_ins[6] & io_ins[2]; // @[dec_dec_ctl.scala 58:14] + assign io_out_by = _T_636 & _T_18; // @[dec_dec_ctl.scala 59:13] + assign io_out_half = _T_646 & _T_18; // @[dec_dec_ctl.scala 60:15] + assign io_out_word = _T_653 & _T_97; // @[dec_dec_ctl.scala 61:15] + assign io_out_csr_read = _T_683 | _T_688; // @[dec_dec_ctl.scala 62:19] + assign io_out_csr_clr = _T_728 | _T_737; // @[dec_dec_ctl.scala 65:18] + assign io_out_csr_set = _T_829 | _T_837; // @[dec_dec_ctl.scala 72:18] + assign io_out_csr_write = _T_745 & io_ins[4]; // @[dec_dec_ctl.scala 68:20] + assign io_out_csr_imm = _T_786 | _T_793; // @[dec_dec_ctl.scala 69:18] + assign io_out_presync = _T_1106 | _T_1113; // @[dec_dec_ctl.scala 89:18] + assign io_out_postsync = _T_1210 | _T_1113; // @[dec_dec_ctl.scala 95:19] + assign io_out_ebreak = _T_851 & io_ins[4]; // @[dec_dec_ctl.scala 75:17] + assign io_out_ecall = _T_866 & io_ins[4]; // @[dec_dec_ctl.scala 76:16] + assign io_out_mret = _T_877 & io_ins[4]; // @[dec_dec_ctl.scala 77:15] + assign io_out_mul = _T_891 & _T_18; // @[dec_dec_ctl.scala 78:14] + assign io_out_rs1_sign = _T_911 | _T_928; // @[dec_dec_ctl.scala 79:19] + assign io_out_rs2_sign = _T_927 & _T_18; // @[dec_dec_ctl.scala 81:19] + assign io_out_low = _T_962 & _T_18; // @[dec_dec_ctl.scala 82:14] + assign io_out_div = _T_973 & _T_18; // @[dec_dec_ctl.scala 83:14] + assign io_out_rem = _T_986 & _T_18; // @[dec_dec_ctl.scala 84:14] + assign io_out_fence = _T_9 & io_ins[3]; // @[dec_dec_ctl.scala 85:16] + assign io_out_fence_i = _T_996 & io_ins[3]; // @[dec_dec_ctl.scala 86:18] + assign io_out_pm_alu = _T_1020 | _T_11; // @[dec_dec_ctl.scala 87:17] + assign io_out_legal = _T_1953 | _T_1966; // @[dec_dec_ctl.scala 101:16] +endmodule +module dec_decode_ctl( + input clock, + input reset, + output [1:0] io_decode_exu_dec_data_en, + output [1:0] io_decode_exu_dec_ctl_en, + output io_decode_exu_i0_ap_land, + output io_decode_exu_i0_ap_lor, + output io_decode_exu_i0_ap_lxor, + output io_decode_exu_i0_ap_sll, + output io_decode_exu_i0_ap_srl, + output io_decode_exu_i0_ap_sra, + output io_decode_exu_i0_ap_beq, + output io_decode_exu_i0_ap_bne, + output io_decode_exu_i0_ap_blt, + output io_decode_exu_i0_ap_bge, + output io_decode_exu_i0_ap_add, + output io_decode_exu_i0_ap_sub, + output io_decode_exu_i0_ap_slt, + output io_decode_exu_i0_ap_unsign, + output io_decode_exu_i0_ap_jal, + output io_decode_exu_i0_ap_predict_t, + output io_decode_exu_i0_ap_predict_nt, + output io_decode_exu_i0_ap_csr_write, + output io_decode_exu_i0_ap_csr_imm, + output io_decode_exu_dec_i0_predict_p_d_valid, + output io_decode_exu_dec_i0_predict_p_d_bits_pc4, + output [1:0] io_decode_exu_dec_i0_predict_p_d_bits_hist, + output [11:0] io_decode_exu_dec_i0_predict_p_d_bits_toffset, + output io_decode_exu_dec_i0_predict_p_d_bits_br_error, + output io_decode_exu_dec_i0_predict_p_d_bits_br_start_error, + output [30:0] io_decode_exu_dec_i0_predict_p_d_bits_prett, + output io_decode_exu_dec_i0_predict_p_d_bits_pcall, + output io_decode_exu_dec_i0_predict_p_d_bits_pret, + output io_decode_exu_dec_i0_predict_p_d_bits_pja, + output io_decode_exu_dec_i0_predict_p_d_bits_way, + output [7:0] io_decode_exu_i0_predict_fghr_d, + output [7:0] io_decode_exu_i0_predict_index_d, + output [4:0] io_decode_exu_i0_predict_btag_d, + output io_decode_exu_dec_i0_rs1_en_d, + output io_decode_exu_dec_i0_rs2_en_d, + output [31:0] io_decode_exu_dec_i0_immed_d, + output [31:0] io_decode_exu_dec_i0_rs1_bypass_data_d, + output [31:0] io_decode_exu_dec_i0_rs2_bypass_data_d, + output io_decode_exu_dec_i0_select_pc_d, + output [1:0] io_decode_exu_dec_i0_rs1_bypass_en_d, + output [1:0] io_decode_exu_dec_i0_rs2_bypass_en_d, + output io_decode_exu_mul_p_valid, + output io_decode_exu_mul_p_bits_rs1_sign, + output io_decode_exu_mul_p_bits_rs2_sign, + output io_decode_exu_mul_p_bits_low, + output [30:0] io_decode_exu_pred_correct_npc_x, + output io_decode_exu_dec_extint_stall, + input [31:0] io_decode_exu_exu_i0_result_x, + input [31:0] io_decode_exu_exu_csr_rs1_x, + output io_dec_alu_dec_i0_alu_decode_d, + output io_dec_alu_dec_csr_ren_d, + output [11:0] io_dec_alu_dec_i0_br_immed_d, + input [30:0] io_dec_alu_exu_i0_pc_x, + output io_dec_div_div_p_valid, + output io_dec_div_div_p_bits_unsign, + output io_dec_div_div_p_bits_rem, + output io_dec_div_dec_div_cancel, + input io_dctl_busbuff_lsu_nonblock_load_valid_m, + input [1:0] io_dctl_busbuff_lsu_nonblock_load_tag_m, + input io_dctl_busbuff_lsu_nonblock_load_inv_r, + input [1:0] io_dctl_busbuff_lsu_nonblock_load_inv_tag_r, + input io_dctl_busbuff_lsu_nonblock_load_data_valid, + input io_dctl_busbuff_lsu_nonblock_load_data_error, + input [1:0] io_dctl_busbuff_lsu_nonblock_load_data_tag, + input [31:0] io_dctl_busbuff_lsu_nonblock_load_data, + input io_dctl_dma_dma_dccm_stall_any, + output io_dec_aln_dec_i0_decode_d, + input [15:0] io_dec_aln_ifu_i0_cinst, + input [31:0] io_dbg_dctl_dbg_cmd_wrdata, + input io_dec_tlu_flush_extint, + input io_dec_tlu_force_halt, + output [31:0] io_dec_i0_inst_wb1, + output [30:0] io_dec_i0_pc_wb1, + input [3:0] io_dec_i0_trigger_match_d, + input io_dec_tlu_wr_pause_r, + input io_dec_tlu_pipelining_disable, + input [3:0] io_lsu_trigger_match_m, + input io_lsu_pmu_misaligned_m, + input io_dec_tlu_debug_stall, + input io_dec_tlu_flush_leak_one_r, + input io_dec_debug_fence_d, + input io_dec_i0_icaf_d, + input io_dec_i0_icaf_f1_d, + input [1:0] io_dec_i0_icaf_type_d, + input io_dec_i0_dbecc_d, + input io_dec_i0_brp_valid, + input [11:0] io_dec_i0_brp_bits_toffset, + input [1:0] io_dec_i0_brp_bits_hist, + input io_dec_i0_brp_bits_br_error, + input io_dec_i0_brp_bits_br_start_error, + input [30:0] io_dec_i0_brp_bits_prett, + input io_dec_i0_brp_bits_way, + input io_dec_i0_brp_bits_ret, + input [7:0] io_dec_i0_bp_index, + input [7:0] io_dec_i0_bp_fghr, + input [4:0] io_dec_i0_bp_btag, + input io_lsu_idle_any, + input io_lsu_load_stall_any, + input io_lsu_store_stall_any, + input io_exu_div_wren, + input io_dec_tlu_i0_kill_writeb_wb, + input io_dec_tlu_flush_lower_wb, + input io_dec_tlu_i0_kill_writeb_r, + input io_dec_tlu_flush_lower_r, + input io_dec_tlu_flush_pause_r, + input io_dec_tlu_presync_d, + input io_dec_tlu_postsync_d, + input io_dec_i0_pc4_d, + input [31:0] io_dec_csr_rddata_d, + input io_dec_csr_legal_d, + input [31:0] io_lsu_result_m, + input [31:0] io_lsu_result_corr_r, + input io_exu_flush_final, + input [31:0] io_dec_i0_instr_d, + input io_dec_ib0_valid_d, + input io_free_clk, + input io_active_clk, + input io_clk_override, + output [4:0] io_dec_i0_rs1_d, + output [4:0] io_dec_i0_rs2_d, + output [4:0] io_dec_i0_waddr_r, + output io_dec_i0_wen_r, + output [31:0] io_dec_i0_wdata_r, + output io_lsu_p_valid, + output io_lsu_p_bits_fast_int, + output io_lsu_p_bits_by, + output io_lsu_p_bits_half, + output io_lsu_p_bits_word, + output io_lsu_p_bits_load, + output io_lsu_p_bits_store, + output io_lsu_p_bits_unsign, + output io_lsu_p_bits_store_data_bypass_d, + output io_lsu_p_bits_load_ldst_bypass_d, + output [4:0] io_div_waddr_wb, + output io_dec_lsu_valid_raw_d, + output [11:0] io_dec_lsu_offset_d, + output io_dec_csr_wen_unq_d, + output io_dec_csr_any_unq_d, + output [11:0] io_dec_csr_rdaddr_d, + output io_dec_csr_wen_r, + output [11:0] io_dec_csr_wraddr_r, + output [31:0] io_dec_csr_wrdata_r, + output io_dec_csr_stall_int_ff, + output io_dec_tlu_i0_valid_r, + output io_dec_tlu_packet_r_legal, + output io_dec_tlu_packet_r_icaf, + output io_dec_tlu_packet_r_icaf_f1, + output [1:0] io_dec_tlu_packet_r_icaf_type, + output io_dec_tlu_packet_r_fence_i, + output [3:0] io_dec_tlu_packet_r_i0trigger, + output [3:0] io_dec_tlu_packet_r_pmu_i0_itype, + output io_dec_tlu_packet_r_pmu_i0_br_unpred, + output io_dec_tlu_packet_r_pmu_divide, + output io_dec_tlu_packet_r_pmu_lsu_misaligned, + output [30:0] io_dec_tlu_i0_pc_r, + output [31:0] io_dec_illegal_inst, + output io_dec_pmu_instr_decoded, + output io_dec_pmu_decode_stall, + output io_dec_pmu_presync_stall, + output io_dec_pmu_postsync_stall, + output io_dec_nonblock_load_wen, + output [4:0] io_dec_nonblock_load_waddr, + output io_dec_pause_state, + output io_dec_pause_state_cg, + output io_dec_div_active, + input io_scan_mode +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; + reg [31:0] _RAND_11; + reg [31:0] _RAND_12; + reg [31:0] _RAND_13; + reg [31:0] _RAND_14; + reg [31:0] _RAND_15; + reg [31:0] _RAND_16; + reg [31:0] _RAND_17; + reg [31:0] _RAND_18; + reg [31:0] _RAND_19; + reg [31:0] _RAND_20; + reg [31:0] _RAND_21; + reg [31:0] _RAND_22; + reg [31:0] _RAND_23; + reg [31:0] _RAND_24; + reg [31:0] _RAND_25; + reg [31:0] _RAND_26; + reg [31:0] _RAND_27; + reg [31:0] _RAND_28; + reg [31:0] _RAND_29; + reg [31:0] _RAND_30; + reg [31:0] _RAND_31; + reg [31:0] _RAND_32; + reg [31:0] _RAND_33; + reg [31:0] _RAND_34; + reg [31:0] _RAND_35; + reg [31:0] _RAND_36; + reg [31:0] _RAND_37; + reg [31:0] _RAND_38; + reg [31:0] _RAND_39; + reg [31:0] _RAND_40; + reg [31:0] _RAND_41; + reg [31:0] _RAND_42; + reg [31:0] _RAND_43; + reg [31:0] _RAND_44; + reg [31:0] _RAND_45; + reg [31:0] _RAND_46; + reg [31:0] _RAND_47; + reg [31:0] _RAND_48; + reg [31:0] _RAND_49; + reg [31:0] _RAND_50; + reg [31:0] _RAND_51; + reg [31:0] _RAND_52; + reg [31:0] _RAND_53; + reg [31:0] _RAND_54; + reg [31:0] _RAND_55; + reg [31:0] _RAND_56; + reg [31:0] _RAND_57; + reg [31:0] _RAND_58; + reg [31:0] _RAND_59; + reg [31:0] _RAND_60; + reg [31:0] _RAND_61; + reg [31:0] _RAND_62; + reg [31:0] _RAND_63; + reg [31:0] _RAND_64; + reg [31:0] _RAND_65; + reg [31:0] _RAND_66; + reg [31:0] _RAND_67; + reg [31:0] _RAND_68; + reg [31:0] _RAND_69; + reg [31:0] _RAND_70; + reg [31:0] _RAND_71; + reg [31:0] _RAND_72; + reg [31:0] _RAND_73; + reg [31:0] _RAND_74; + reg [31:0] _RAND_75; + reg [31:0] _RAND_76; + reg [31:0] _RAND_77; + reg [31:0] _RAND_78; + reg [31:0] _RAND_79; + reg [31:0] _RAND_80; + reg [31:0] _RAND_81; + reg [31:0] _RAND_82; + reg [31:0] _RAND_83; + reg [31:0] _RAND_84; + reg [31:0] _RAND_85; + reg [31:0] _RAND_86; + reg [31:0] _RAND_87; + reg [31:0] _RAND_88; + reg [31:0] _RAND_89; + reg [31:0] _RAND_90; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_io_en; // @[lib.scala 343:22] + wire rvclkhdr_io_scan_mode; // @[lib.scala 343:22] + wire [31:0] i0_dec_io_ins; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_alu; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_rs1; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_rs2; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_imm12; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_rd; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_shimm5; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_imm20; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_pc; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_load; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_store; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_lsu; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_add; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_sub; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_land; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_lor; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_lxor; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_sll; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_sra; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_srl; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_slt; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_unsign; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_condbr; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_beq; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_bne; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_bge; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_blt; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_jal; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_by; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_half; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_word; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_csr_read; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_csr_clr; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_csr_set; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_csr_write; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_csr_imm; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_presync; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_postsync; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_ebreak; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_ecall; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_mret; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_mul; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_rs1_sign; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_rs2_sign; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_low; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_div; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_rem; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_fence; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_fence_i; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_pm_alu; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_legal; // @[dec_decode_ctl.scala 356:22] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_en; // @[lib.scala 368:23] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_en; // @[lib.scala 368:23] + wire rvclkhdr_2_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_en; // @[lib.scala 368:23] + wire rvclkhdr_3_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_4_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_en; // @[lib.scala 368:23] + wire rvclkhdr_4_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_5_io_l1clk; // @[lib.scala 378:23] + wire rvclkhdr_5_io_clk; // @[lib.scala 378:23] + wire rvclkhdr_5_io_en; // @[lib.scala 378:23] + wire rvclkhdr_5_io_scan_mode; // @[lib.scala 378:23] + wire rvclkhdr_6_io_l1clk; // @[lib.scala 378:23] + wire rvclkhdr_6_io_clk; // @[lib.scala 378:23] + wire rvclkhdr_6_io_en; // @[lib.scala 378:23] + wire rvclkhdr_6_io_scan_mode; // @[lib.scala 378:23] + wire rvclkhdr_7_io_l1clk; // @[lib.scala 378:23] + wire rvclkhdr_7_io_clk; // @[lib.scala 378:23] + wire rvclkhdr_7_io_en; // @[lib.scala 378:23] + wire rvclkhdr_7_io_scan_mode; // @[lib.scala 378:23] + wire rvclkhdr_8_io_l1clk; // @[lib.scala 378:23] + wire rvclkhdr_8_io_clk; // @[lib.scala 378:23] + wire rvclkhdr_8_io_en; // @[lib.scala 378:23] + wire rvclkhdr_8_io_scan_mode; // @[lib.scala 378:23] + wire rvclkhdr_9_io_l1clk; // @[lib.scala 378:23] + wire rvclkhdr_9_io_clk; // @[lib.scala 378:23] + wire rvclkhdr_9_io_en; // @[lib.scala 378:23] + wire rvclkhdr_9_io_scan_mode; // @[lib.scala 378:23] + wire rvclkhdr_10_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_10_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_10_io_en; // @[lib.scala 368:23] + wire rvclkhdr_10_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_11_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_11_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_11_io_en; // @[lib.scala 368:23] + wire rvclkhdr_11_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_12_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_12_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_12_io_en; // @[lib.scala 368:23] + wire rvclkhdr_12_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_13_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_13_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_13_io_en; // @[lib.scala 368:23] + wire rvclkhdr_13_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_14_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_14_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_14_io_en; // @[lib.scala 368:23] + wire rvclkhdr_14_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_15_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_15_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_15_io_en; // @[lib.scala 368:23] + wire rvclkhdr_15_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_16_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_16_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_16_io_en; // @[lib.scala 368:23] + wire rvclkhdr_16_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_17_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_17_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_17_io_en; // @[lib.scala 368:23] + wire rvclkhdr_17_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_18_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_18_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_18_io_en; // @[lib.scala 368:23] + wire rvclkhdr_18_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_19_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_19_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_19_io_en; // @[lib.scala 368:23] + wire rvclkhdr_19_io_scan_mode; // @[lib.scala 368:23] + reg tlu_wr_pause_r1; // @[dec_decode_ctl.scala 463:55] + wire _T_1 = io_dec_tlu_wr_pause_r ^ tlu_wr_pause_r1; // @[dec_decode_ctl.scala 178:54] + reg tlu_wr_pause_r2; // @[dec_decode_ctl.scala 464:55] + wire _T_2 = tlu_wr_pause_r1 ^ tlu_wr_pause_r2; // @[dec_decode_ctl.scala 179:54] + wire _T_3 = _T_1 | _T_2; // @[dec_decode_ctl.scala 178:89] + wire _T_4 = io_dec_tlu_flush_extint ^ io_decode_exu_dec_extint_stall; // @[dec_decode_ctl.scala 180:54] + wire _T_5 = _T_3 | _T_4; // @[dec_decode_ctl.scala 179:89] + reg leak1_i1_stall; // @[dec_decode_ctl.scala 364:56] + wire _T_280 = ~io_dec_tlu_flush_lower_r; // @[dec_decode_ctl.scala 363:73] + wire _T_281 = leak1_i1_stall & _T_280; // @[dec_decode_ctl.scala 363:71] + wire leak1_i1_stall_in = io_dec_tlu_flush_leak_one_r | _T_281; // @[dec_decode_ctl.scala 363:53] + wire _T_6 = leak1_i1_stall_in ^ leak1_i1_stall; // @[dec_decode_ctl.scala 181:54] + wire _T_7 = _T_5 | _T_6; // @[dec_decode_ctl.scala 180:89] + wire _T_284 = io_dec_aln_dec_i0_decode_d & leak1_i1_stall; // @[dec_decode_ctl.scala 366:53] + reg leak1_i0_stall; // @[dec_decode_ctl.scala 367:56] + wire _T_286 = leak1_i0_stall & _T_280; // @[dec_decode_ctl.scala 366:89] + wire leak1_i0_stall_in = _T_284 | _T_286; // @[dec_decode_ctl.scala 366:71] + wire _T_8 = leak1_i0_stall_in ^ leak1_i0_stall; // @[dec_decode_ctl.scala 182:54] + wire _T_9 = _T_7 | _T_8; // @[dec_decode_ctl.scala 181:89] + reg pause_stall; // @[dec_decode_ctl.scala 461:50] + wire _T_415 = io_dec_tlu_wr_pause_r | pause_stall; // @[dec_decode_ctl.scala 460:44] + wire _T_408 = ~io_dec_tlu_flush_pause_r; // @[dec_decode_ctl.scala 459:49] + wire _T_409 = io_dec_tlu_flush_lower_r & _T_408; // @[dec_decode_ctl.scala 459:47] + reg [31:0] write_csr_data; // @[lib.scala 374:16] + wire [31:0] _T_412 = {31'h0,write_csr_data[0]}; // @[Cat.scala 29:58] + wire _T_413 = write_csr_data == _T_412; // @[dec_decode_ctl.scala 459:109] + wire _T_414 = pause_stall & _T_413; // @[dec_decode_ctl.scala 459:91] + wire clear_pause = _T_409 | _T_414; // @[dec_decode_ctl.scala 459:76] + wire _T_416 = ~clear_pause; // @[dec_decode_ctl.scala 460:61] + wire pause_state_in = _T_415 & _T_416; // @[dec_decode_ctl.scala 460:59] + wire _T_10 = pause_state_in ^ pause_stall; // @[dec_decode_ctl.scala 183:54] + wire _T_11 = _T_9 | _T_10; // @[dec_decode_ctl.scala 182:89] + wire _T_18 = ~leak1_i1_stall; // @[dec_decode_ctl.scala 192:80] + wire i0_brp_valid = io_dec_i0_brp_valid & _T_18; // @[dec_decode_ctl.scala 192:78] + wire i0_dp_raw_condbr = i0_dec_io_out_condbr; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_jal = i0_dec_io_out_jal; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire [19:0] i0_pcall_imm = {io_dec_i0_instr_d[31],io_dec_i0_instr_d[19:12],io_dec_i0_instr_d[20],io_dec_i0_instr_d[30:21]}; // @[Cat.scala 29:58] + wire _T_298 = i0_pcall_imm[19:12] == 8'hff; // @[dec_decode_ctl.scala 372:79] + wire _T_300 = i0_pcall_imm[19:12] == 8'h0; // @[dec_decode_ctl.scala 372:112] + wire i0_pcall_12b_offset = i0_pcall_imm[11] ? _T_298 : _T_300; // @[dec_decode_ctl.scala 372:33] + wire i0_dp_raw_imm20 = i0_dec_io_out_imm20; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire _T_301 = i0_pcall_12b_offset & i0_dp_raw_imm20; // @[dec_decode_ctl.scala 373:47] + wire [4:0] i0r_rd = io_dec_i0_instr_d[11:7]; // @[dec_decode_ctl.scala 586:16] + wire _T_302 = i0r_rd == 5'h1; // @[dec_decode_ctl.scala 373:76] + wire _T_303 = i0r_rd == 5'h5; // @[dec_decode_ctl.scala 373:98] + wire _T_304 = _T_302 | _T_303; // @[dec_decode_ctl.scala 373:89] + wire i0_pcall_case = _T_301 & _T_304; // @[dec_decode_ctl.scala 373:65] + wire i0_pcall_raw = i0_dp_raw_jal & i0_pcall_case; // @[dec_decode_ctl.scala 375:38] + wire _T_20 = i0_dp_raw_condbr | i0_pcall_raw; // @[dec_decode_ctl.scala 203:92] + wire _T_309 = ~_T_304; // @[dec_decode_ctl.scala 374:67] + wire i0_pja_case = _T_301 & _T_309; // @[dec_decode_ctl.scala 374:65] + wire i0_pja_raw = i0_dp_raw_jal & i0_pja_case; // @[dec_decode_ctl.scala 377:38] + wire _T_21 = _T_20 | i0_pja_raw; // @[dec_decode_ctl.scala 203:107] + wire i0_dp_raw_imm12 = i0_dec_io_out_imm12; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire _T_325 = i0_dp_raw_jal & i0_dp_raw_imm12; // @[dec_decode_ctl.scala 381:37] + wire _T_326 = i0r_rd == 5'h0; // @[dec_decode_ctl.scala 381:65] + wire _T_327 = _T_325 & _T_326; // @[dec_decode_ctl.scala 381:55] + wire [4:0] i0r_rs1 = io_dec_i0_instr_d[19:15]; // @[dec_decode_ctl.scala 584:16] + wire _T_328 = i0r_rs1 == 5'h1; // @[dec_decode_ctl.scala 381:89] + wire _T_329 = i0r_rs1 == 5'h5; // @[dec_decode_ctl.scala 381:111] + wire _T_330 = _T_328 | _T_329; // @[dec_decode_ctl.scala 381:101] + wire i0_pret_case = _T_327 & _T_330; // @[dec_decode_ctl.scala 381:79] + wire i0_pret_raw = i0_dp_raw_jal & i0_pret_case; // @[dec_decode_ctl.scala 382:32] + wire _T_22 = _T_21 | i0_pret_raw; // @[dec_decode_ctl.scala 203:120] + wire _T_23 = ~_T_22; // @[dec_decode_ctl.scala 203:73] + wire i0_notbr_error = i0_brp_valid & _T_23; // @[dec_decode_ctl.scala 203:71] + wire _T_31 = io_dec_i0_brp_bits_br_error | i0_notbr_error; // @[dec_decode_ctl.scala 208:87] + wire _T_25 = i0_brp_valid & io_dec_i0_brp_bits_hist[1]; // @[dec_decode_ctl.scala 206:72] + wire _T_314 = i0_pcall_raw | i0_pja_raw; // @[dec_decode_ctl.scala 379:41] + wire [11:0] _T_323 = {io_dec_i0_instr_d[31],io_dec_i0_instr_d[7],io_dec_i0_instr_d[30:25],io_dec_i0_instr_d[11:8]}; // @[Cat.scala 29:58] + wire [11:0] i0_br_offset = _T_314 ? i0_pcall_imm[11:0] : _T_323; // @[dec_decode_ctl.scala 379:26] + wire _T_26 = io_dec_i0_brp_bits_toffset != i0_br_offset; // @[dec_decode_ctl.scala 206:131] + wire _T_27 = _T_25 & _T_26; // @[dec_decode_ctl.scala 206:101] + wire _T_28 = ~i0_pret_raw; // @[dec_decode_ctl.scala 206:151] + wire i0_br_toffset_error = _T_27 & _T_28; // @[dec_decode_ctl.scala 206:149] + wire _T_32 = _T_31 | i0_br_toffset_error; // @[dec_decode_ctl.scala 208:104] + wire _T_29 = i0_brp_valid & io_dec_i0_brp_bits_ret; // @[dec_decode_ctl.scala 207:72] + wire i0_ret_error = _T_29 & _T_28; // @[dec_decode_ctl.scala 207:97] + wire i0_br_error = _T_32 | i0_ret_error; // @[dec_decode_ctl.scala 208:126] + wire _T_39 = i0_br_error | io_dec_i0_brp_bits_br_start_error; // @[dec_decode_ctl.scala 213:72] + wire i0_br_error_all = _T_39 & _T_18; // @[dec_decode_ctl.scala 213:109] + wire i0_icaf_d = io_dec_i0_icaf_d | io_dec_i0_dbecc_d; // @[dec_decode_ctl.scala 222:43] + wire _T_41 = i0_br_error_all | i0_icaf_d; // @[dec_decode_ctl.scala 225:25] + wire i0_dp_raw_postsync = i0_dec_io_out_postsync; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_postsync = _T_41 | i0_dp_raw_postsync; // @[dec_decode_ctl.scala 225:50] + wire _T_442 = i0_dp_postsync | io_dec_tlu_postsync_d; // @[dec_decode_ctl.scala 490:36] + wire debug_fence_i = io_dec_debug_fence_d & io_dbg_dctl_dbg_cmd_wrdata[0]; // @[dec_decode_ctl.scala 482:48] + wire _T_443 = _T_442 | debug_fence_i; // @[dec_decode_ctl.scala 490:60] + wire i0_dp_raw_csr_write = i0_dec_io_out_csr_write; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_csr_write = _T_41 ? 1'h0 : i0_dp_raw_csr_write; // @[dec_decode_ctl.scala 225:50] + wire _T_343 = ~io_dec_debug_fence_d; // @[dec_decode_ctl.scala 421:42] + wire i0_csr_write = i0_dp_csr_write & _T_343; // @[dec_decode_ctl.scala 421:40] + wire i0_dp_raw_csr_read = i0_dec_io_out_csr_read; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_csr_read = _T_41 ? 1'h0 : i0_dp_raw_csr_read; // @[dec_decode_ctl.scala 225:50] + wire _T_347 = ~i0_dp_csr_read; // @[dec_decode_ctl.scala 426:41] + wire i0_csr_write_only_d = i0_csr_write & _T_347; // @[dec_decode_ctl.scala 426:39] + wire _T_445 = io_dec_i0_instr_d[31:20] == 12'h7c2; // @[dec_decode_ctl.scala 490:112] + wire _T_446 = i0_csr_write_only_d & _T_445; // @[dec_decode_ctl.scala 490:99] + wire i0_postsync = _T_443 | _T_446; // @[dec_decode_ctl.scala 490:76] + wire i0_dp_raw_legal = i0_dec_io_out_legal; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_legal = _T_41 | i0_dp_raw_legal; // @[dec_decode_ctl.scala 225:50] + wire any_csr_d = i0_dp_csr_read | i0_csr_write; // @[dec_decode_ctl.scala 492:34] + wire _T_447 = ~any_csr_d; // @[dec_decode_ctl.scala 494:40] + wire _T_448 = _T_447 | io_dec_csr_legal_d; // @[dec_decode_ctl.scala 494:51] + wire i0_legal = i0_dp_legal & _T_448; // @[dec_decode_ctl.scala 494:37] + wire _T_507 = ~i0_legal; // @[dec_decode_ctl.scala 534:64] + wire _T_508 = i0_postsync | _T_507; // @[dec_decode_ctl.scala 534:62] + wire _T_509 = io_dec_aln_dec_i0_decode_d & _T_508; // @[dec_decode_ctl.scala 534:47] + reg postsync_stall; // @[dec_decode_ctl.scala 532:53] + reg x_d_valid; // @[lib.scala 384:16] + wire _T_510 = postsync_stall & x_d_valid; // @[dec_decode_ctl.scala 534:96] + wire ps_stall_in = _T_509 | _T_510; // @[dec_decode_ctl.scala 534:77] + wire _T_12 = ps_stall_in ^ postsync_stall; // @[dec_decode_ctl.scala 184:54] + wire _T_13 = _T_11 | _T_12; // @[dec_decode_ctl.scala 183:89] + reg flush_final_r; // @[dec_decode_ctl.scala 580:52] + wire _T_14 = io_exu_flush_final ^ flush_final_r; // @[dec_decode_ctl.scala 185:54] + wire _T_15 = _T_13 | _T_14; // @[dec_decode_ctl.scala 184:89] + wire shift_illegal = io_dec_aln_dec_i0_decode_d & _T_507; // @[dec_decode_ctl.scala 498:55] + reg illegal_lockout; // @[dec_decode_ctl.scala 502:54] + wire _T_469 = shift_illegal | illegal_lockout; // @[dec_decode_ctl.scala 501:40] + wire _T_470 = ~flush_final_r; // @[dec_decode_ctl.scala 501:61] + wire illegal_lockout_in = _T_469 & _T_470; // @[dec_decode_ctl.scala 501:59] + wire _T_16 = illegal_lockout_in ^ illegal_lockout; // @[dec_decode_ctl.scala 186:54] + wire i0_legal_decode_d = io_dec_aln_dec_i0_decode_d & i0_legal; // @[dec_decode_ctl.scala 608:54] + wire _T_33 = i0_br_error & i0_legal_decode_d; // @[dec_decode_ctl.scala 209:72] + wire _T_36 = io_dec_i0_brp_bits_br_start_error & i0_legal_decode_d; // @[dec_decode_ctl.scala 210:94] + wire i0_dp_raw_pm_alu = i0_dec_io_out_pm_alu; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_pm_alu = _T_41 ? 1'h0 : i0_dp_raw_pm_alu; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_fence_i = i0_dec_io_out_fence_i; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_fence_i = _T_41 ? 1'h0 : i0_dp_raw_fence_i; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_fence = i0_dec_io_out_fence; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_fence = _T_41 ? 1'h0 : i0_dp_raw_fence; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_rem = i0_dec_io_out_rem; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_div = i0_dec_io_out_div; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_div = _T_41 ? 1'h0 : i0_dp_raw_div; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_low = i0_dec_io_out_low; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_rs2_sign = i0_dec_io_out_rs2_sign; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_rs1_sign = i0_dec_io_out_rs1_sign; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_mul = i0_dec_io_out_mul; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_mul = _T_41 ? 1'h0 : i0_dp_raw_mul; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_mret = i0_dec_io_out_mret; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_mret = _T_41 ? 1'h0 : i0_dp_raw_mret; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_ecall = i0_dec_io_out_ecall; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_ecall = _T_41 ? 1'h0 : i0_dp_raw_ecall; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_ebreak = i0_dec_io_out_ebreak; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_ebreak = _T_41 ? 1'h0 : i0_dp_raw_ebreak; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_presync = i0_dec_io_out_presync; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_presync = _T_41 ? 1'h0 : i0_dp_raw_presync; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_csr_imm = i0_dec_io_out_csr_imm; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_csr_imm = _T_41 ? 1'h0 : i0_dp_raw_csr_imm; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_csr_set = i0_dec_io_out_csr_set; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_csr_set = _T_41 ? 1'h0 : i0_dp_raw_csr_set; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_csr_clr = i0_dec_io_out_csr_clr; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_csr_clr = _T_41 ? 1'h0 : i0_dp_raw_csr_clr; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_word = i0_dec_io_out_word; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_word = _T_41 ? 1'h0 : i0_dp_raw_word; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_half = i0_dec_io_out_half; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_half = _T_41 ? 1'h0 : i0_dp_raw_half; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_by = i0_dec_io_out_by; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_by = _T_41 ? 1'h0 : i0_dp_raw_by; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_jal = _T_41 ? 1'h0 : i0_dp_raw_jal; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_blt = i0_dec_io_out_blt; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_bge = i0_dec_io_out_bge; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_bne = i0_dec_io_out_bne; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_beq = i0_dec_io_out_beq; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_condbr = _T_41 ? 1'h0 : i0_dp_raw_condbr; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_unsign = i0_dec_io_out_unsign; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_unsign = _T_41 ? 1'h0 : i0_dp_raw_unsign; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_slt = i0_dec_io_out_slt; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_srl = i0_dec_io_out_srl; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_sra = i0_dec_io_out_sra; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_sll = i0_dec_io_out_sll; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_lxor = i0_dec_io_out_lxor; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_lor = i0_dec_io_out_lor; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_land = i0_dec_io_out_land; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_sub = i0_dec_io_out_sub; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_add = i0_dec_io_out_add; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_lsu = i0_dec_io_out_lsu; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_lsu = _T_41 ? 1'h0 : i0_dp_raw_lsu; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_store = i0_dec_io_out_store; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_store = _T_41 ? 1'h0 : i0_dp_raw_store; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_load = i0_dec_io_out_load; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_load = _T_41 ? 1'h0 : i0_dp_raw_load; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_pc = i0_dec_io_out_pc; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_imm20 = _T_41 ? 1'h0 : i0_dp_raw_imm20; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_shimm5 = i0_dec_io_out_shimm5; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_shimm5 = _T_41 ? 1'h0 : i0_dp_raw_shimm5; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_rd = i0_dec_io_out_rd; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_rd = _T_41 ? 1'h0 : i0_dp_raw_rd; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_imm12 = _T_41 ? 1'h0 : i0_dp_raw_imm12; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_rs2 = i0_dec_io_out_rs2; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_rs2 = _T_41 | i0_dp_raw_rs2; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_rs1 = i0_dec_io_out_rs1; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_rs1 = _T_41 | i0_dp_raw_rs1; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_alu = i0_dec_io_out_alu; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_alu = _T_41 | i0_dp_raw_alu; // @[dec_decode_ctl.scala 225:50] + wire i0_pcall = i0_dp_jal & i0_pcall_case; // @[dec_decode_ctl.scala 376:38] + wire _T_44 = i0_dp_condbr | i0_pcall; // @[dec_decode_ctl.scala 239:54] + wire i0_pja = i0_dp_jal & i0_pja_case; // @[dec_decode_ctl.scala 378:38] + wire _T_45 = _T_44 | i0_pja; // @[dec_decode_ctl.scala 239:65] + wire i0_pret = i0_dp_jal & i0_pret_case; // @[dec_decode_ctl.scala 383:32] + wire i0_predict_br = _T_45 | i0_pret; // @[dec_decode_ctl.scala 239:74] + wire _T_47 = io_dec_i0_brp_bits_hist[1] & i0_brp_valid; // @[dec_decode_ctl.scala 240:69] + wire _T_48 = ~_T_47; // @[dec_decode_ctl.scala 240:40] + wire i0_ap_pc2 = ~io_dec_i0_pc4_d; // @[dec_decode_ctl.scala 242:40] + wire cam_data_reset = io_dctl_busbuff_lsu_nonblock_load_data_valid | io_dctl_busbuff_lsu_nonblock_load_data_error; // @[dec_decode_ctl.scala 275:76] + reg [2:0] cam_raw_0_bits_tag; // @[dec_decode_ctl.scala 311:47] + wire [2:0] _GEN_123 = {{1'd0}, io_dctl_busbuff_lsu_nonblock_load_data_tag}; // @[dec_decode_ctl.scala 286:67] + wire _T_94 = _GEN_123 == cam_raw_0_bits_tag; // @[dec_decode_ctl.scala 286:67] + wire _T_95 = cam_data_reset & _T_94; // @[dec_decode_ctl.scala 286:45] + reg cam_raw_0_valid; // @[dec_decode_ctl.scala 311:47] + wire cam_data_reset_val_0 = _T_95 & cam_raw_0_valid; // @[dec_decode_ctl.scala 286:88] + wire cam_0_valid = cam_data_reset_val_0 ? 1'h0 : cam_raw_0_valid; // @[dec_decode_ctl.scala 290:39] + wire _T_51 = ~cam_0_valid; // @[dec_decode_ctl.scala 267:78] + reg [2:0] cam_raw_1_bits_tag; // @[dec_decode_ctl.scala 311:47] + wire _T_120 = _GEN_123 == cam_raw_1_bits_tag; // @[dec_decode_ctl.scala 286:67] + wire _T_121 = cam_data_reset & _T_120; // @[dec_decode_ctl.scala 286:45] + reg cam_raw_1_valid; // @[dec_decode_ctl.scala 311:47] + wire cam_data_reset_val_1 = _T_121 & cam_raw_1_valid; // @[dec_decode_ctl.scala 286:88] + wire cam_1_valid = cam_data_reset_val_1 ? 1'h0 : cam_raw_1_valid; // @[dec_decode_ctl.scala 290:39] + wire _T_54 = ~cam_1_valid; // @[dec_decode_ctl.scala 267:78] + wire _T_57 = cam_0_valid & _T_54; // @[dec_decode_ctl.scala 267:126] + wire [1:0] _T_59 = {io_dctl_busbuff_lsu_nonblock_load_valid_m, 1'h0}; // @[dec_decode_ctl.scala 267:158] + reg [2:0] cam_raw_2_bits_tag; // @[dec_decode_ctl.scala 311:47] + wire _T_146 = _GEN_123 == cam_raw_2_bits_tag; // @[dec_decode_ctl.scala 286:67] + wire _T_147 = cam_data_reset & _T_146; // @[dec_decode_ctl.scala 286:45] + reg cam_raw_2_valid; // @[dec_decode_ctl.scala 311:47] + wire cam_data_reset_val_2 = _T_147 & cam_raw_2_valid; // @[dec_decode_ctl.scala 286:88] + wire cam_2_valid = cam_data_reset_val_2 ? 1'h0 : cam_raw_2_valid; // @[dec_decode_ctl.scala 290:39] + wire _T_60 = ~cam_2_valid; // @[dec_decode_ctl.scala 267:78] + wire _T_63 = cam_0_valid & cam_1_valid; // @[dec_decode_ctl.scala 267:126] + wire _T_66 = _T_63 & _T_60; // @[dec_decode_ctl.scala 267:126] + wire [2:0] _T_68 = {io_dctl_busbuff_lsu_nonblock_load_valid_m, 2'h0}; // @[dec_decode_ctl.scala 267:158] + reg [2:0] cam_raw_3_bits_tag; // @[dec_decode_ctl.scala 311:47] + wire _T_172 = _GEN_123 == cam_raw_3_bits_tag; // @[dec_decode_ctl.scala 286:67] + wire _T_173 = cam_data_reset & _T_172; // @[dec_decode_ctl.scala 286:45] + reg cam_raw_3_valid; // @[dec_decode_ctl.scala 311:47] + wire cam_data_reset_val_3 = _T_173 & cam_raw_3_valid; // @[dec_decode_ctl.scala 286:88] + wire cam_3_valid = cam_data_reset_val_3 ? 1'h0 : cam_raw_3_valid; // @[dec_decode_ctl.scala 290:39] + wire _T_69 = ~cam_3_valid; // @[dec_decode_ctl.scala 267:78] + wire _T_75 = _T_63 & cam_2_valid; // @[dec_decode_ctl.scala 267:126] + wire _T_78 = _T_75 & _T_69; // @[dec_decode_ctl.scala 267:126] + wire [3:0] _T_80 = {io_dctl_busbuff_lsu_nonblock_load_valid_m, 3'h0}; // @[dec_decode_ctl.scala 267:158] + wire _T_81 = _T_51 & io_dctl_busbuff_lsu_nonblock_load_valid_m; // @[Mux.scala 27:72] + wire [1:0] _T_82 = _T_57 ? _T_59 : 2'h0; // @[Mux.scala 27:72] + wire [2:0] _T_83 = _T_66 ? _T_68 : 3'h0; // @[Mux.scala 27:72] + wire [3:0] _T_84 = _T_78 ? _T_80 : 4'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_127 = {{1'd0}, _T_81}; // @[Mux.scala 27:72] + wire [1:0] _T_85 = _GEN_127 | _T_82; // @[Mux.scala 27:72] + wire [2:0] _GEN_128 = {{1'd0}, _T_85}; // @[Mux.scala 27:72] + wire [2:0] _T_86 = _GEN_128 | _T_83; // @[Mux.scala 27:72] + wire [3:0] _GEN_129 = {{1'd0}, _T_86}; // @[Mux.scala 27:72] + wire [3:0] cam_wen = _GEN_129 | _T_84; // @[Mux.scala 27:72] + reg x_d_bits_i0load; // @[lib.scala 384:16] + reg [4:0] x_d_bits_i0rd; // @[lib.scala 384:16] + wire [4:0] nonblock_load_rd = x_d_bits_i0load ? x_d_bits_i0rd : 5'h0; // @[dec_decode_ctl.scala 278:31] + reg [2:0] _T_706; // @[dec_decode_ctl.scala 616:80] + wire [3:0] i0_pipe_en = {io_dec_aln_dec_i0_decode_d,_T_706}; // @[Cat.scala 29:58] + wire _T_712 = |i0_pipe_en[2:1]; // @[dec_decode_ctl.scala 619:49] + wire i0_r_ctl_en = _T_712 | io_clk_override; // @[dec_decode_ctl.scala 619:53] + reg nonblock_load_valid_m_delay; // @[Reg.scala 27:20] + reg r_d_bits_i0load; // @[lib.scala 384:16] + wire i0_load_kill_wen_r = nonblock_load_valid_m_delay & r_d_bits_i0load; // @[dec_decode_ctl.scala 283:56] + wire [2:0] _GEN_130 = {{1'd0}, io_dctl_busbuff_lsu_nonblock_load_inv_tag_r}; // @[dec_decode_ctl.scala 285:66] + wire _T_91 = _GEN_130 == cam_raw_0_bits_tag; // @[dec_decode_ctl.scala 285:66] + wire _T_92 = io_dctl_busbuff_lsu_nonblock_load_inv_r & _T_91; // @[dec_decode_ctl.scala 285:45] + wire cam_inv_reset_val_0 = _T_92 & cam_0_valid; // @[dec_decode_ctl.scala 285:87] + reg r_d_bits_i0v; // @[lib.scala 384:16] + wire _T_748 = ~io_dec_tlu_flush_lower_wb; // @[dec_decode_ctl.scala 651:51] + wire r_d_in_bits_i0v = r_d_bits_i0v & _T_748; // @[dec_decode_ctl.scala 651:49] + wire _T_759 = ~io_dec_tlu_i0_kill_writeb_r; // @[dec_decode_ctl.scala 659:47] + wire i0_wen_r = r_d_in_bits_i0v & _T_759; // @[dec_decode_ctl.scala 659:45] + reg [4:0] r_d_bits_i0rd; // @[lib.scala 384:16] + reg [4:0] cam_raw_0_bits_rd; // @[dec_decode_ctl.scala 311:47] + wire _T_103 = r_d_bits_i0rd == cam_raw_0_bits_rd; // @[dec_decode_ctl.scala 298:85] + wire _T_104 = i0_wen_r & _T_103; // @[dec_decode_ctl.scala 298:64] + reg cam_raw_0_bits_wb; // @[dec_decode_ctl.scala 311:47] + wire _T_106 = _T_104 & cam_raw_0_bits_wb; // @[dec_decode_ctl.scala 298:105] + wire _T_107 = cam_inv_reset_val_0 | _T_106; // @[dec_decode_ctl.scala 298:44] + wire _GEN_52 = _T_107 ? 1'h0 : cam_0_valid; // @[dec_decode_ctl.scala 298:131] + wire _GEN_55 = _T_107 ? 1'h0 : cam_raw_0_bits_wb; // @[dec_decode_ctl.scala 298:131] + wire _GEN_56 = cam_wen[0] | _GEN_52; // @[dec_decode_ctl.scala 293:28] + wire _GEN_57 = cam_wen[0] ? 1'h0 : _GEN_55; // @[dec_decode_ctl.scala 293:28] + wire _T_110 = nonblock_load_valid_m_delay & _T_91; // @[dec_decode_ctl.scala 303:44] + wire _T_112 = _T_110 & cam_0_valid; // @[dec_decode_ctl.scala 303:113] + wire nonblock_load_write_0 = _T_94 & cam_raw_0_valid; // @[dec_decode_ctl.scala 312:71] + wire _T_117 = _GEN_130 == cam_raw_1_bits_tag; // @[dec_decode_ctl.scala 285:66] + wire _T_118 = io_dctl_busbuff_lsu_nonblock_load_inv_r & _T_117; // @[dec_decode_ctl.scala 285:45] + wire cam_inv_reset_val_1 = _T_118 & cam_1_valid; // @[dec_decode_ctl.scala 285:87] + reg [4:0] cam_raw_1_bits_rd; // @[dec_decode_ctl.scala 311:47] + wire _T_129 = r_d_bits_i0rd == cam_raw_1_bits_rd; // @[dec_decode_ctl.scala 298:85] + wire _T_130 = i0_wen_r & _T_129; // @[dec_decode_ctl.scala 298:64] + reg cam_raw_1_bits_wb; // @[dec_decode_ctl.scala 311:47] + wire _T_132 = _T_130 & cam_raw_1_bits_wb; // @[dec_decode_ctl.scala 298:105] + wire _T_133 = cam_inv_reset_val_1 | _T_132; // @[dec_decode_ctl.scala 298:44] + wire _GEN_63 = _T_133 ? 1'h0 : cam_1_valid; // @[dec_decode_ctl.scala 298:131] + wire _GEN_66 = _T_133 ? 1'h0 : cam_raw_1_bits_wb; // @[dec_decode_ctl.scala 298:131] + wire _GEN_67 = cam_wen[1] | _GEN_63; // @[dec_decode_ctl.scala 293:28] + wire _GEN_68 = cam_wen[1] ? 1'h0 : _GEN_66; // @[dec_decode_ctl.scala 293:28] + wire _T_136 = nonblock_load_valid_m_delay & _T_117; // @[dec_decode_ctl.scala 303:44] + wire _T_138 = _T_136 & cam_1_valid; // @[dec_decode_ctl.scala 303:113] + wire nonblock_load_write_1 = _T_120 & cam_raw_1_valid; // @[dec_decode_ctl.scala 312:71] + wire _T_143 = _GEN_130 == cam_raw_2_bits_tag; // @[dec_decode_ctl.scala 285:66] + wire _T_144 = io_dctl_busbuff_lsu_nonblock_load_inv_r & _T_143; // @[dec_decode_ctl.scala 285:45] + wire cam_inv_reset_val_2 = _T_144 & cam_2_valid; // @[dec_decode_ctl.scala 285:87] + reg [4:0] cam_raw_2_bits_rd; // @[dec_decode_ctl.scala 311:47] + wire _T_155 = r_d_bits_i0rd == cam_raw_2_bits_rd; // @[dec_decode_ctl.scala 298:85] + wire _T_156 = i0_wen_r & _T_155; // @[dec_decode_ctl.scala 298:64] + reg cam_raw_2_bits_wb; // @[dec_decode_ctl.scala 311:47] + wire _T_158 = _T_156 & cam_raw_2_bits_wb; // @[dec_decode_ctl.scala 298:105] + wire _T_159 = cam_inv_reset_val_2 | _T_158; // @[dec_decode_ctl.scala 298:44] + wire _GEN_74 = _T_159 ? 1'h0 : cam_2_valid; // @[dec_decode_ctl.scala 298:131] + wire _GEN_77 = _T_159 ? 1'h0 : cam_raw_2_bits_wb; // @[dec_decode_ctl.scala 298:131] + wire _GEN_78 = cam_wen[2] | _GEN_74; // @[dec_decode_ctl.scala 293:28] + wire _GEN_79 = cam_wen[2] ? 1'h0 : _GEN_77; // @[dec_decode_ctl.scala 293:28] + wire _T_162 = nonblock_load_valid_m_delay & _T_143; // @[dec_decode_ctl.scala 303:44] + wire _T_164 = _T_162 & cam_2_valid; // @[dec_decode_ctl.scala 303:113] + wire nonblock_load_write_2 = _T_146 & cam_raw_2_valid; // @[dec_decode_ctl.scala 312:71] + wire _T_169 = _GEN_130 == cam_raw_3_bits_tag; // @[dec_decode_ctl.scala 285:66] + wire _T_170 = io_dctl_busbuff_lsu_nonblock_load_inv_r & _T_169; // @[dec_decode_ctl.scala 285:45] + wire cam_inv_reset_val_3 = _T_170 & cam_3_valid; // @[dec_decode_ctl.scala 285:87] + reg [4:0] cam_raw_3_bits_rd; // @[dec_decode_ctl.scala 311:47] + wire _T_181 = r_d_bits_i0rd == cam_raw_3_bits_rd; // @[dec_decode_ctl.scala 298:85] + wire _T_182 = i0_wen_r & _T_181; // @[dec_decode_ctl.scala 298:64] + reg cam_raw_3_bits_wb; // @[dec_decode_ctl.scala 311:47] + wire _T_184 = _T_182 & cam_raw_3_bits_wb; // @[dec_decode_ctl.scala 298:105] + wire _T_185 = cam_inv_reset_val_3 | _T_184; // @[dec_decode_ctl.scala 298:44] + wire _GEN_85 = _T_185 ? 1'h0 : cam_3_valid; // @[dec_decode_ctl.scala 298:131] + wire _GEN_88 = _T_185 ? 1'h0 : cam_raw_3_bits_wb; // @[dec_decode_ctl.scala 298:131] + wire _GEN_89 = cam_wen[3] | _GEN_85; // @[dec_decode_ctl.scala 293:28] + wire _GEN_90 = cam_wen[3] ? 1'h0 : _GEN_88; // @[dec_decode_ctl.scala 293:28] + wire _T_188 = nonblock_load_valid_m_delay & _T_169; // @[dec_decode_ctl.scala 303:44] + wire _T_190 = _T_188 & cam_3_valid; // @[dec_decode_ctl.scala 303:113] + wire nonblock_load_write_3 = _T_172 & cam_raw_3_valid; // @[dec_decode_ctl.scala 312:71] + wire _T_195 = r_d_bits_i0rd == io_dec_nonblock_load_waddr; // @[dec_decode_ctl.scala 317:49] + wire nonblock_load_cancel = _T_195 & i0_wen_r; // @[dec_decode_ctl.scala 317:81] + wire _T_196 = nonblock_load_write_0 | nonblock_load_write_1; // @[dec_decode_ctl.scala 318:108] + wire _T_197 = _T_196 | nonblock_load_write_2; // @[dec_decode_ctl.scala 318:108] + wire _T_198 = _T_197 | nonblock_load_write_3; // @[dec_decode_ctl.scala 318:108] + wire _T_200 = io_dctl_busbuff_lsu_nonblock_load_data_valid & _T_198; // @[dec_decode_ctl.scala 318:77] + wire _T_201 = ~nonblock_load_cancel; // @[dec_decode_ctl.scala 318:122] + wire _T_203 = nonblock_load_rd == i0r_rs1; // @[dec_decode_ctl.scala 319:54] + wire _T_204 = _T_203 & io_dctl_busbuff_lsu_nonblock_load_valid_m; // @[dec_decode_ctl.scala 319:66] + wire _T_205 = _T_204 & io_decode_exu_dec_i0_rs1_en_d; // @[dec_decode_ctl.scala 319:110] + wire [4:0] i0r_rs2 = io_dec_i0_instr_d[24:20]; // @[dec_decode_ctl.scala 585:16] + wire _T_206 = nonblock_load_rd == i0r_rs2; // @[dec_decode_ctl.scala 319:161] + wire _T_207 = _T_206 & io_dctl_busbuff_lsu_nonblock_load_valid_m; // @[dec_decode_ctl.scala 319:173] + wire _T_208 = _T_207 & io_decode_exu_dec_i0_rs2_en_d; // @[dec_decode_ctl.scala 319:217] + wire i0_nonblock_boundary_stall = _T_205 | _T_208; // @[dec_decode_ctl.scala 319:142] + wire [4:0] _T_210 = nonblock_load_write_0 ? 5'h1f : 5'h0; // @[Bitwise.scala 72:12] + wire [4:0] _T_211 = _T_210 & cam_raw_0_bits_rd; // @[dec_decode_ctl.scala 323:88] + wire _T_212 = io_decode_exu_dec_i0_rs1_en_d & cam_0_valid; // @[dec_decode_ctl.scala 323:137] + wire _T_213 = cam_raw_0_bits_rd == i0r_rs1; // @[dec_decode_ctl.scala 323:170] + wire _T_214 = _T_212 & _T_213; // @[dec_decode_ctl.scala 323:152] + wire _T_215 = io_decode_exu_dec_i0_rs2_en_d & cam_0_valid; // @[dec_decode_ctl.scala 323:214] + wire _T_216 = cam_raw_0_bits_rd == i0r_rs2; // @[dec_decode_ctl.scala 323:247] + wire _T_217 = _T_215 & _T_216; // @[dec_decode_ctl.scala 323:229] + wire [4:0] _T_219 = nonblock_load_write_1 ? 5'h1f : 5'h0; // @[Bitwise.scala 72:12] + wire [4:0] _T_220 = _T_219 & cam_raw_1_bits_rd; // @[dec_decode_ctl.scala 323:88] + wire _T_221 = io_decode_exu_dec_i0_rs1_en_d & cam_1_valid; // @[dec_decode_ctl.scala 323:137] + wire _T_222 = cam_raw_1_bits_rd == i0r_rs1; // @[dec_decode_ctl.scala 323:170] + wire _T_223 = _T_221 & _T_222; // @[dec_decode_ctl.scala 323:152] + wire _T_224 = io_decode_exu_dec_i0_rs2_en_d & cam_1_valid; // @[dec_decode_ctl.scala 323:214] + wire _T_225 = cam_raw_1_bits_rd == i0r_rs2; // @[dec_decode_ctl.scala 323:247] + wire _T_226 = _T_224 & _T_225; // @[dec_decode_ctl.scala 323:229] + wire [4:0] _T_228 = nonblock_load_write_2 ? 5'h1f : 5'h0; // @[Bitwise.scala 72:12] + wire [4:0] _T_229 = _T_228 & cam_raw_2_bits_rd; // @[dec_decode_ctl.scala 323:88] + wire _T_230 = io_decode_exu_dec_i0_rs1_en_d & cam_2_valid; // @[dec_decode_ctl.scala 323:137] + wire _T_231 = cam_raw_2_bits_rd == i0r_rs1; // @[dec_decode_ctl.scala 323:170] + wire _T_232 = _T_230 & _T_231; // @[dec_decode_ctl.scala 323:152] + wire _T_233 = io_decode_exu_dec_i0_rs2_en_d & cam_2_valid; // @[dec_decode_ctl.scala 323:214] + wire _T_234 = cam_raw_2_bits_rd == i0r_rs2; // @[dec_decode_ctl.scala 323:247] + wire _T_235 = _T_233 & _T_234; // @[dec_decode_ctl.scala 323:229] + wire [4:0] _T_237 = nonblock_load_write_3 ? 5'h1f : 5'h0; // @[Bitwise.scala 72:12] + wire [4:0] _T_238 = _T_237 & cam_raw_3_bits_rd; // @[dec_decode_ctl.scala 323:88] + wire _T_239 = io_decode_exu_dec_i0_rs1_en_d & cam_3_valid; // @[dec_decode_ctl.scala 323:137] + wire _T_240 = cam_raw_3_bits_rd == i0r_rs1; // @[dec_decode_ctl.scala 323:170] + wire _T_241 = _T_239 & _T_240; // @[dec_decode_ctl.scala 323:152] + wire _T_242 = io_decode_exu_dec_i0_rs2_en_d & cam_3_valid; // @[dec_decode_ctl.scala 323:214] + wire _T_243 = cam_raw_3_bits_rd == i0r_rs2; // @[dec_decode_ctl.scala 323:247] + wire _T_244 = _T_242 & _T_243; // @[dec_decode_ctl.scala 323:229] + wire [4:0] _T_245 = _T_211 | _T_220; // @[dec_decode_ctl.scala 324:69] + wire [4:0] _T_246 = _T_245 | _T_229; // @[dec_decode_ctl.scala 324:69] + wire _T_247 = _T_214 | _T_223; // @[dec_decode_ctl.scala 324:102] + wire _T_248 = _T_247 | _T_232; // @[dec_decode_ctl.scala 324:102] + wire ld_stall_1 = _T_248 | _T_241; // @[dec_decode_ctl.scala 324:102] + wire _T_249 = _T_217 | _T_226; // @[dec_decode_ctl.scala 324:134] + wire _T_250 = _T_249 | _T_235; // @[dec_decode_ctl.scala 324:134] + wire ld_stall_2 = _T_250 | _T_244; // @[dec_decode_ctl.scala 324:134] + wire _T_251 = ld_stall_1 | ld_stall_2; // @[dec_decode_ctl.scala 326:38] + wire i0_nonblock_load_stall = _T_251 | i0_nonblock_boundary_stall; // @[dec_decode_ctl.scala 326:51] + wire _T_253 = ~i0_predict_br; // @[dec_decode_ctl.scala 335:34] + wire [3:0] _T_255 = i0_legal_decode_d ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire csr_read = i0_dp_csr_read & i0_legal_decode_d; // @[dec_decode_ctl.scala 419:36] + wire _T_256 = csr_read & io_dec_csr_wen_unq_d; // @[dec_decode_ctl.scala 347:16] + wire _T_258 = ~csr_read; // @[dec_decode_ctl.scala 348:6] + wire _T_259 = _T_258 & io_dec_csr_wen_unq_d; // @[dec_decode_ctl.scala 348:16] + wire _T_261 = ~io_dec_csr_wen_unq_d; // @[dec_decode_ctl.scala 349:18] + wire _T_262 = csr_read & _T_261; // @[dec_decode_ctl.scala 349:16] + wire [3:0] _T_264 = i0_dp_mul ? 4'h1 : 4'h0; // @[Mux.scala 98:16] + wire [3:0] _T_265 = i0_dp_load ? 4'h2 : _T_264; // @[Mux.scala 98:16] + wire [3:0] _T_266 = i0_dp_store ? 4'h3 : _T_265; // @[Mux.scala 98:16] + wire [3:0] _T_267 = i0_dp_pm_alu ? 4'h4 : _T_266; // @[Mux.scala 98:16] + wire [3:0] _T_268 = _T_262 ? 4'h5 : _T_267; // @[Mux.scala 98:16] + wire [3:0] _T_269 = _T_259 ? 4'h6 : _T_268; // @[Mux.scala 98:16] + wire [3:0] _T_270 = _T_256 ? 4'h7 : _T_269; // @[Mux.scala 98:16] + wire [3:0] _T_271 = i0_dp_ebreak ? 4'h8 : _T_270; // @[Mux.scala 98:16] + wire [3:0] _T_272 = i0_dp_ecall ? 4'h9 : _T_271; // @[Mux.scala 98:16] + wire [3:0] _T_273 = i0_dp_fence ? 4'ha : _T_272; // @[Mux.scala 98:16] + wire [3:0] _T_274 = i0_dp_fence_i ? 4'hb : _T_273; // @[Mux.scala 98:16] + wire [3:0] _T_275 = i0_dp_mret ? 4'hc : _T_274; // @[Mux.scala 98:16] + wire [3:0] _T_276 = i0_dp_condbr ? 4'hd : _T_275; // @[Mux.scala 98:16] + wire [3:0] _T_277 = i0_dp_jal ? 4'he : _T_276; // @[Mux.scala 98:16] + reg lsu_idle; // @[dec_decode_ctl.scala 360:45] + wire _T_333 = ~i0_pcall_case; // @[dec_decode_ctl.scala 384:35] + wire _T_334 = i0_dp_jal & _T_333; // @[dec_decode_ctl.scala 384:32] + wire _T_335 = ~i0_pja_case; // @[dec_decode_ctl.scala 384:52] + wire _T_336 = _T_334 & _T_335; // @[dec_decode_ctl.scala 384:50] + wire _T_337 = ~i0_pret_case; // @[dec_decode_ctl.scala 384:67] + reg _T_339; // @[dec_decode_ctl.scala 396:69] + wire lsu_decode_d = i0_legal_decode_d & i0_dp_lsu; // @[dec_decode_ctl.scala 538:40] + wire _T_907 = i0_dp_load | i0_dp_store; // @[dec_decode_ctl.scala 752:43] + reg x_d_bits_i0v; // @[lib.scala 384:16] + wire _T_881 = io_decode_exu_dec_i0_rs1_en_d & x_d_bits_i0v; // @[dec_decode_ctl.scala 732:59] + wire _T_882 = x_d_bits_i0rd == i0r_rs1; // @[dec_decode_ctl.scala 732:91] + wire i0_rs1_depend_i0_x = _T_881 & _T_882; // @[dec_decode_ctl.scala 732:74] + wire _T_883 = io_decode_exu_dec_i0_rs1_en_d & r_d_bits_i0v; // @[dec_decode_ctl.scala 733:59] + wire _T_884 = r_d_bits_i0rd == i0r_rs1; // @[dec_decode_ctl.scala 733:91] + wire i0_rs1_depend_i0_r = _T_883 & _T_884; // @[dec_decode_ctl.scala 733:74] + wire [1:0] _T_896 = i0_rs1_depend_i0_r ? 2'h2 : 2'h0; // @[dec_decode_ctl.scala 739:63] + wire [1:0] i0_rs1_depth_d = i0_rs1_depend_i0_x ? 2'h1 : _T_896; // @[dec_decode_ctl.scala 739:24] + wire _T_909 = _T_907 & i0_rs1_depth_d[0]; // @[dec_decode_ctl.scala 752:58] + reg i0_x_c_load; // @[Reg.scala 27:20] + reg i0_r_c_load; // @[Reg.scala 27:20] + wire _T_892_load = i0_rs1_depend_i0_r & i0_r_c_load; // @[dec_decode_ctl.scala 738:61] + wire i0_rs1_class_d_load = i0_rs1_depend_i0_x ? i0_x_c_load : _T_892_load; // @[dec_decode_ctl.scala 738:24] + wire load_ldst_bypass_d = _T_909 & i0_rs1_class_d_load; // @[dec_decode_ctl.scala 752:78] + wire _T_885 = io_decode_exu_dec_i0_rs2_en_d & x_d_bits_i0v; // @[dec_decode_ctl.scala 735:59] + wire _T_886 = x_d_bits_i0rd == i0r_rs2; // @[dec_decode_ctl.scala 735:91] + wire i0_rs2_depend_i0_x = _T_885 & _T_886; // @[dec_decode_ctl.scala 735:74] + wire _T_887 = io_decode_exu_dec_i0_rs2_en_d & r_d_bits_i0v; // @[dec_decode_ctl.scala 736:59] + wire _T_888 = r_d_bits_i0rd == i0r_rs2; // @[dec_decode_ctl.scala 736:91] + wire i0_rs2_depend_i0_r = _T_887 & _T_888; // @[dec_decode_ctl.scala 736:74] + wire [1:0] _T_905 = i0_rs2_depend_i0_r ? 2'h2 : 2'h0; // @[dec_decode_ctl.scala 741:63] + wire [1:0] i0_rs2_depth_d = i0_rs2_depend_i0_x ? 2'h1 : _T_905; // @[dec_decode_ctl.scala 741:24] + wire _T_912 = i0_dp_store & i0_rs2_depth_d[0]; // @[dec_decode_ctl.scala 753:43] + wire _T_901_load = i0_rs2_depend_i0_r & i0_r_c_load; // @[dec_decode_ctl.scala 740:61] + wire i0_rs2_class_d_load = i0_rs2_depend_i0_x ? i0_x_c_load : _T_901_load; // @[dec_decode_ctl.scala 740:24] + wire store_data_bypass_d = _T_912 & i0_rs2_class_d_load; // @[dec_decode_ctl.scala 753:63] + wire _T_349 = i0_dp_csr_clr | i0_dp_csr_set; // @[dec_decode_ctl.scala 427:42] + reg r_d_bits_csrwen; // @[lib.scala 384:16] + reg r_d_valid; // @[lib.scala 384:16] + wire _T_352 = r_d_bits_csrwen & r_d_valid; // @[dec_decode_ctl.scala 435:39] + reg [11:0] r_d_bits_csrwaddr; // @[lib.scala 384:16] + wire _T_355 = r_d_bits_csrwaddr == 12'h300; // @[dec_decode_ctl.scala 438:50] + wire _T_356 = r_d_bits_csrwaddr == 12'h304; // @[dec_decode_ctl.scala 438:85] + wire _T_357 = _T_355 | _T_356; // @[dec_decode_ctl.scala 438:64] + wire _T_358 = _T_357 & r_d_bits_csrwen; // @[dec_decode_ctl.scala 438:100] + wire _T_359 = _T_358 & r_d_valid; // @[dec_decode_ctl.scala 438:118] + wire _T_360 = ~io_dec_tlu_i0_kill_writeb_wb; // @[dec_decode_ctl.scala 438:132] + reg csr_read_x; // @[dec_decode_ctl.scala 440:52] + reg csr_clr_x; // @[dec_decode_ctl.scala 441:51] + reg csr_set_x; // @[dec_decode_ctl.scala 442:51] + reg csr_write_x; // @[dec_decode_ctl.scala 443:53] + reg csr_imm_x; // @[dec_decode_ctl.scala 444:51] + wire i0_x_data_en = i0_pipe_en[3] | io_clk_override; // @[dec_decode_ctl.scala 621:50] + reg [4:0] csrimm_x; // @[lib.scala 374:16] + reg [31:0] csr_rddata_x; // @[lib.scala 374:16] + wire [31:0] _T_394 = {27'h0,csrimm_x}; // @[Cat.scala 29:58] + wire _T_396 = ~csr_imm_x; // @[dec_decode_ctl.scala 452:5] + wire [31:0] _T_397 = csr_imm_x ? _T_394 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_398 = _T_396 ? io_decode_exu_exu_csr_rs1_x : 32'h0; // @[Mux.scala 27:72] + wire [31:0] csr_mask_x = _T_397 | _T_398; // @[Mux.scala 27:72] + wire [31:0] _T_400 = ~csr_mask_x; // @[dec_decode_ctl.scala 455:38] + wire [31:0] _T_401 = csr_rddata_x & _T_400; // @[dec_decode_ctl.scala 455:35] + wire [31:0] _T_402 = csr_rddata_x | csr_mask_x; // @[dec_decode_ctl.scala 456:35] + wire [31:0] _T_403 = csr_clr_x ? _T_401 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_404 = csr_set_x ? _T_402 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_405 = csr_write_x ? csr_mask_x : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_406 = _T_403 | _T_404; // @[Mux.scala 27:72] + wire [31:0] write_csr_data_x = _T_406 | _T_405; // @[Mux.scala 27:72] + wire _T_421 = ~tlu_wr_pause_r1; // @[dec_decode_ctl.scala 466:44] + wire _T_422 = ~tlu_wr_pause_r2; // @[dec_decode_ctl.scala 466:64] + wire _T_423 = _T_421 & _T_422; // @[dec_decode_ctl.scala 466:61] + wire [31:0] _T_426 = write_csr_data - 32'h1; // @[dec_decode_ctl.scala 469:59] + wire _T_428 = csr_clr_x | csr_set_x; // @[dec_decode_ctl.scala 471:34] + wire _T_429 = _T_428 | csr_write_x; // @[dec_decode_ctl.scala 471:46] + wire _T_430 = _T_429 & csr_read_x; // @[dec_decode_ctl.scala 471:61] + wire _T_431 = _T_430 | io_dec_tlu_wr_pause_r; // @[dec_decode_ctl.scala 471:75] + reg r_d_bits_csrwonly; // @[lib.scala 384:16] + wire _T_769 = r_d_bits_i0v & r_d_bits_i0load; // @[dec_decode_ctl.scala 674:42] + reg [31:0] i0_result_r_raw; // @[lib.scala 374:16] + wire [31:0] i0_result_corr_r = _T_769 ? io_lsu_result_corr_r : i0_result_r_raw; // @[dec_decode_ctl.scala 674:27] + reg x_d_bits_csrwonly; // @[lib.scala 384:16] + wire _T_435 = x_d_bits_csrwonly | r_d_bits_csrwonly; // @[dec_decode_ctl.scala 480:43] + reg wbd_bits_csrwonly; // @[lib.scala 384:16] + wire prior_csr_write = _T_435 | wbd_bits_csrwonly; // @[dec_decode_ctl.scala 480:63] + wire debug_fence_raw = io_dec_debug_fence_d & io_dbg_dctl_dbg_cmd_wrdata[1]; // @[dec_decode_ctl.scala 483:48] + wire debug_fence = debug_fence_raw | debug_fence_i; // @[dec_decode_ctl.scala 484:40] + wire _T_439 = i0_dp_presync | io_dec_tlu_presync_d; // @[dec_decode_ctl.scala 487:34] + wire _T_440 = _T_439 | debug_fence_i; // @[dec_decode_ctl.scala 487:57] + wire _T_441 = _T_440 | debug_fence_raw; // @[dec_decode_ctl.scala 487:73] + wire i0_presync = _T_441 | io_dec_tlu_pipelining_disable; // @[dec_decode_ctl.scala 487:91] + wire [31:0] _T_465 = {16'h0,io_dec_aln_ifu_i0_cinst}; // @[Cat.scala 29:58] + wire _T_467 = ~illegal_lockout; // @[dec_decode_ctl.scala 499:44] + reg [31:0] _T_468; // @[lib.scala 374:16] + wire i0_div_prior_div_stall = i0_dp_div & io_dec_div_active; // @[dec_decode_ctl.scala 503:42] + wire _T_473 = i0_dp_csr_read & prior_csr_write; // @[dec_decode_ctl.scala 505:40] + wire _T_474 = _T_473 | io_decode_exu_dec_extint_stall; // @[dec_decode_ctl.scala 505:59] + wire _T_475 = _T_474 | pause_stall; // @[dec_decode_ctl.scala 505:92] + wire _T_476 = _T_475 | leak1_i0_stall; // @[dec_decode_ctl.scala 505:106] + wire _T_477 = _T_476 | io_dec_tlu_debug_stall; // @[dec_decode_ctl.scala 506:20] + wire _T_478 = _T_477 | postsync_stall; // @[dec_decode_ctl.scala 506:45] + wire prior_inflight = x_d_valid | r_d_valid; // @[dec_decode_ctl.scala 528:41] + wire prior_inflight_eff = i0_dp_div ? x_d_valid : prior_inflight; // @[dec_decode_ctl.scala 529:31] + wire presync_stall = i0_presync & prior_inflight_eff; // @[dec_decode_ctl.scala 531:37] + wire _T_479 = _T_478 | presync_stall; // @[dec_decode_ctl.scala 506:62] + wire _T_480 = i0_dp_fence | debug_fence; // @[dec_decode_ctl.scala 507:19] + wire _T_481 = ~lsu_idle; // @[dec_decode_ctl.scala 507:36] + wire _T_482 = _T_480 & _T_481; // @[dec_decode_ctl.scala 507:34] + wire _T_483 = _T_479 | _T_482; // @[dec_decode_ctl.scala 506:79] + wire _T_484 = _T_483 | i0_nonblock_load_stall; // @[dec_decode_ctl.scala 507:47] + wire _T_827 = io_decode_exu_dec_i0_rs1_en_d & io_dec_div_active; // @[dec_decode_ctl.scala 702:60] + wire _T_828 = io_div_waddr_wb == i0r_rs1; // @[dec_decode_ctl.scala 702:99] + wire _T_829 = _T_827 & _T_828; // @[dec_decode_ctl.scala 702:80] + wire _T_830 = io_decode_exu_dec_i0_rs2_en_d & io_dec_div_active; // @[dec_decode_ctl.scala 703:36] + wire _T_831 = io_div_waddr_wb == i0r_rs2; // @[dec_decode_ctl.scala 703:75] + wire _T_832 = _T_830 & _T_831; // @[dec_decode_ctl.scala 703:56] + wire i0_nonblock_div_stall = _T_829 | _T_832; // @[dec_decode_ctl.scala 702:113] + wire _T_486 = _T_484 | i0_nonblock_div_stall; // @[dec_decode_ctl.scala 508:21] + wire i0_block_raw_d = _T_486 | i0_div_prior_div_stall; // @[dec_decode_ctl.scala 508:45] + wire _T_487 = io_lsu_store_stall_any | io_dctl_dma_dma_dccm_stall_any; // @[dec_decode_ctl.scala 510:65] + wire i0_store_stall_d = i0_dp_store & _T_487; // @[dec_decode_ctl.scala 510:39] + wire _T_488 = io_lsu_load_stall_any | io_dctl_dma_dma_dccm_stall_any; // @[dec_decode_ctl.scala 511:63] + wire i0_load_stall_d = i0_dp_load & _T_488; // @[dec_decode_ctl.scala 511:38] + wire _T_489 = i0_block_raw_d | i0_store_stall_d; // @[dec_decode_ctl.scala 512:38] + wire i0_block_d = _T_489 | i0_load_stall_d; // @[dec_decode_ctl.scala 512:57] + wire _T_490 = ~i0_block_d; // @[dec_decode_ctl.scala 516:54] + wire _T_491 = io_dec_ib0_valid_d & _T_490; // @[dec_decode_ctl.scala 516:52] + wire _T_493 = _T_491 & _T_280; // @[dec_decode_ctl.scala 516:69] + wire _T_496 = ~i0_block_raw_d; // @[dec_decode_ctl.scala 517:46] + wire _T_497 = io_dec_ib0_valid_d & _T_496; // @[dec_decode_ctl.scala 517:44] + wire _T_499 = _T_497 & _T_280; // @[dec_decode_ctl.scala 517:61] + wire i0_exudecode_d = _T_499 & _T_470; // @[dec_decode_ctl.scala 517:89] + wire i0_exulegal_decode_d = i0_exudecode_d & i0_legal; // @[dec_decode_ctl.scala 518:46] + wire _T_501 = ~io_dec_aln_dec_i0_decode_d; // @[dec_decode_ctl.scala 522:51] + wire _T_520 = i0_dp_fence_i | debug_fence_i; // @[dec_decode_ctl.scala 550:44] + wire [3:0] _T_525 = {io_dec_aln_dec_i0_decode_d,io_dec_aln_dec_i0_decode_d,io_dec_aln_dec_i0_decode_d,io_dec_aln_dec_i0_decode_d}; // @[Cat.scala 29:58] + wire _T_709 = |i0_pipe_en[3:2]; // @[dec_decode_ctl.scala 618:49] + wire i0_x_ctl_en = _T_709 | io_clk_override; // @[dec_decode_ctl.scala 618:53] + reg x_t_legal; // @[lib.scala 384:16] + reg x_t_icaf; // @[lib.scala 384:16] + reg x_t_icaf_f1; // @[lib.scala 384:16] + reg [1:0] x_t_icaf_type; // @[lib.scala 384:16] + reg x_t_fence_i; // @[lib.scala 384:16] + reg [3:0] x_t_i0trigger; // @[lib.scala 384:16] + reg [3:0] x_t_pmu_i0_itype; // @[lib.scala 384:16] + reg x_t_pmu_i0_br_unpred; // @[lib.scala 384:16] + wire [3:0] _T_533 = {io_dec_tlu_flush_lower_wb,io_dec_tlu_flush_lower_wb,io_dec_tlu_flush_lower_wb,io_dec_tlu_flush_lower_wb}; // @[Cat.scala 29:58] + wire [3:0] _T_534 = ~_T_533; // @[dec_decode_ctl.scala 563:39] + reg r_t_legal; // @[lib.scala 384:16] + reg r_t_icaf; // @[lib.scala 384:16] + reg r_t_icaf_f1; // @[lib.scala 384:16] + reg [1:0] r_t_icaf_type; // @[lib.scala 384:16] + reg r_t_fence_i; // @[lib.scala 384:16] + reg [3:0] r_t_i0trigger; // @[lib.scala 384:16] + reg [3:0] r_t_pmu_i0_itype; // @[lib.scala 384:16] + reg r_t_pmu_i0_br_unpred; // @[lib.scala 384:16] + reg [3:0] lsu_trigger_match_r; // @[dec_decode_ctl.scala 566:36] + reg lsu_pmu_misaligned_r; // @[dec_decode_ctl.scala 567:37] + reg r_d_bits_i0store; // @[lib.scala 384:16] + wire _T_539 = r_d_bits_i0load | r_d_bits_i0store; // @[dec_decode_ctl.scala 571:61] + wire [3:0] _T_543 = {_T_539,_T_539,_T_539,_T_539}; // @[Cat.scala 29:58] + wire [3:0] _T_544 = _T_543 & lsu_trigger_match_r; // @[dec_decode_ctl.scala 571:82] + wire [3:0] _T_545 = _T_544 | r_t_i0trigger; // @[dec_decode_ctl.scala 571:105] + reg r_d_bits_i0div; // @[lib.scala 384:16] + wire _T_548 = r_d_bits_i0div & r_d_valid; // @[dec_decode_ctl.scala 577:58] + wire _T_559 = i0r_rs1 != 5'h0; // @[dec_decode_ctl.scala 588:60] + wire _T_561 = i0r_rs2 != 5'h0; // @[dec_decode_ctl.scala 589:60] + wire _T_563 = i0r_rd != 5'h0; // @[dec_decode_ctl.scala 590:48] + wire i0_rd_en_d = i0_dp_rd & _T_563; // @[dec_decode_ctl.scala 590:37] + wire i0_jalimm20 = i0_dp_jal & i0_dp_imm20; // @[dec_decode_ctl.scala 594:38] + wire _T_564 = ~i0_dp_jal; // @[dec_decode_ctl.scala 595:27] + wire i0_uiimm20 = _T_564 & i0_dp_imm20; // @[dec_decode_ctl.scala 595:38] + wire [31:0] _T_566 = i0_dp_csr_read ? io_dec_csr_rddata_d : 32'h0; // @[Mux.scala 27:72] + wire [9:0] _T_580 = {io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31]}; // @[Cat.scala 29:58] + wire [18:0] _T_589 = {_T_580,io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31]}; // @[Cat.scala 29:58] + wire [31:0] _T_592 = {_T_589,io_dec_i0_instr_d[31],io_dec_i0_instr_d[31:20]}; // @[Cat.scala 29:58] + wire [31:0] _T_687 = i0_dp_imm12 ? _T_592 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_621 = {27'h0,i0r_rs2}; // @[Cat.scala 29:58] + wire [31:0] _T_688 = i0_dp_shimm5 ? _T_621 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_692 = _T_687 | _T_688; // @[Mux.scala 27:72] + wire [31:0] _T_641 = {_T_580,io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[19:12],io_dec_i0_instr_d[20],io_dec_i0_instr_d[30:21],1'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_689 = i0_jalimm20 ? _T_641 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_693 = _T_692 | _T_689; // @[Mux.scala 27:72] + wire [31:0] _T_655 = {io_dec_i0_instr_d[31:12],12'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_690 = i0_uiimm20 ? _T_655 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_694 = _T_693 | _T_690; // @[Mux.scala 27:72] + wire _T_656 = i0_csr_write_only_d & i0_dp_csr_imm; // @[dec_decode_ctl.scala 606:26] + wire [31:0] _T_686 = {27'h0,i0r_rs1}; // @[Cat.scala 29:58] + wire [31:0] _T_691 = _T_656 ? _T_686 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] i0_immed_d = _T_694 | _T_691; // @[Mux.scala 27:72] + wire [31:0] _T_567 = _T_347 ? i0_immed_d : 32'h0; // @[Mux.scala 27:72] + wire i0_d_c_mul = i0_dp_mul & i0_legal_decode_d; // @[dec_decode_ctl.scala 610:44] + wire i0_d_c_load = i0_dp_load & i0_legal_decode_d; // @[dec_decode_ctl.scala 611:44] + wire i0_d_c_alu = i0_dp_alu & i0_legal_decode_d; // @[dec_decode_ctl.scala 612:44] + reg i0_x_c_mul; // @[Reg.scala 27:20] + reg i0_x_c_alu; // @[Reg.scala 27:20] + reg i0_r_c_mul; // @[Reg.scala 27:20] + reg i0_r_c_alu; // @[Reg.scala 27:20] + wire _T_715 = |i0_pipe_en[1:0]; // @[dec_decode_ctl.scala 620:49] + wire i0_r_data_en = i0_pipe_en[2] | io_clk_override; // @[dec_decode_ctl.scala 622:50] + reg x_d_bits_i0store; // @[lib.scala 384:16] + reg x_d_bits_i0div; // @[lib.scala 384:16] + reg x_d_bits_csrwen; // @[lib.scala 384:16] + reg [11:0] x_d_bits_csrwaddr; // @[lib.scala 384:16] + wire _T_738 = x_d_bits_i0v & _T_748; // @[dec_decode_ctl.scala 644:47] + wire _T_742 = x_d_valid & _T_748; // @[dec_decode_ctl.scala 645:33] + wire _T_761 = ~r_d_bits_i0div; // @[dec_decode_ctl.scala 660:49] + wire _T_762 = i0_wen_r & _T_761; // @[dec_decode_ctl.scala 660:47] + wire _T_763 = ~i0_load_kill_wen_r; // @[dec_decode_ctl.scala 660:70] + wire _T_766 = x_d_bits_i0v & x_d_bits_i0load; // @[dec_decode_ctl.scala 669:47] + wire _T_773 = io_decode_exu_i0_ap_predict_nt & _T_564; // @[dec_decode_ctl.scala 675:71] + wire [11:0] _T_786 = {10'h0,io_dec_i0_pc4_d,i0_ap_pc2}; // @[Cat.scala 29:58] + reg [11:0] last_br_immed_x; // @[lib.scala 374:16] + wire _T_804 = x_d_bits_i0div & x_d_valid; // @[dec_decode_ctl.scala 683:45] + wire div_e1_to_r = _T_804 | _T_548; // @[dec_decode_ctl.scala 683:58] + wire _T_807 = x_d_bits_i0rd == 5'h0; // @[dec_decode_ctl.scala 685:77] + wire _T_808 = _T_804 & _T_807; // @[dec_decode_ctl.scala 685:60] + wire _T_810 = _T_804 & io_dec_tlu_flush_lower_r; // @[dec_decode_ctl.scala 686:33] + wire _T_811 = _T_808 | _T_810; // @[dec_decode_ctl.scala 685:94] + wire _T_813 = _T_548 & io_dec_tlu_flush_lower_r; // @[dec_decode_ctl.scala 687:33] + wire _T_814 = _T_813 & io_dec_tlu_i0_kill_writeb_r; // @[dec_decode_ctl.scala 687:60] + wire div_flush = _T_811 | _T_814; // @[dec_decode_ctl.scala 686:62] + wire _T_815 = io_dec_div_active & div_flush; // @[dec_decode_ctl.scala 691:51] + wire _T_816 = ~div_e1_to_r; // @[dec_decode_ctl.scala 692:26] + wire _T_817 = io_dec_div_active & _T_816; // @[dec_decode_ctl.scala 692:24] + wire _T_818 = r_d_bits_i0rd == io_div_waddr_wb; // @[dec_decode_ctl.scala 692:56] + wire _T_819 = _T_817 & _T_818; // @[dec_decode_ctl.scala 692:39] + wire _T_820 = _T_819 & i0_wen_r; // @[dec_decode_ctl.scala 692:77] + wire nonblock_div_cancel = _T_815 | _T_820; // @[dec_decode_ctl.scala 691:65] + wire i0_div_decode_d = i0_legal_decode_d & i0_dp_div; // @[dec_decode_ctl.scala 695:55] + wire _T_822 = ~io_exu_div_wren; // @[dec_decode_ctl.scala 697:62] + wire _T_823 = io_dec_div_active & _T_822; // @[dec_decode_ctl.scala 697:60] + wire _T_824 = ~nonblock_div_cancel; // @[dec_decode_ctl.scala 697:81] + wire _T_825 = _T_823 & _T_824; // @[dec_decode_ctl.scala 697:79] + reg _T_826; // @[dec_decode_ctl.scala 699:54] + reg [4:0] _T_835; // @[Reg.scala 27:20] + reg [31:0] i0_inst_x; // @[lib.scala 374:16] + reg [31:0] i0_inst_r; // @[lib.scala 374:16] + reg [31:0] i0_inst_wb; // @[lib.scala 374:16] + reg [31:0] _T_842; // @[lib.scala 374:16] + reg [30:0] i0_pc_wb; // @[lib.scala 374:16] + reg [30:0] _T_845; // @[lib.scala 374:16] + reg [30:0] dec_i0_pc_r; // @[lib.scala 374:16] + wire [31:0] _T_847 = {io_dec_alu_exu_i0_pc_x,1'h0}; // @[Cat.scala 29:58] + wire [12:0] _T_848 = {last_br_immed_x,1'h0}; // @[Cat.scala 29:58] + wire [12:0] _T_851 = _T_847[12:1] + _T_848[12:1]; // @[lib.scala 68:31] + wire [18:0] _T_854 = _T_847[31:13] + 19'h1; // @[lib.scala 69:27] + wire [18:0] _T_857 = _T_847[31:13] - 19'h1; // @[lib.scala 70:27] + wire _T_860 = ~_T_851[12]; // @[lib.scala 72:28] + wire _T_861 = _T_848[12] ^ _T_860; // @[lib.scala 72:26] + wire _T_864 = ~_T_848[12]; // @[lib.scala 73:20] + wire _T_866 = _T_864 & _T_851[12]; // @[lib.scala 73:26] + wire _T_870 = _T_848[12] & _T_860; // @[lib.scala 74:26] + wire [18:0] _T_872 = _T_861 ? _T_847[31:13] : 19'h0; // @[Mux.scala 27:72] + wire [18:0] _T_873 = _T_866 ? _T_854 : 19'h0; // @[Mux.scala 27:72] + wire [18:0] _T_874 = _T_870 ? _T_857 : 19'h0; // @[Mux.scala 27:72] + wire [18:0] _T_875 = _T_872 | _T_873; // @[Mux.scala 27:72] + wire [18:0] _T_876 = _T_875 | _T_874; // @[Mux.scala 27:72] + wire [31:0] temp_pred_correct_npc_x = {_T_876,_T_851[11:0],1'h0}; // @[Cat.scala 29:58] + wire _T_892_mul = i0_rs1_depend_i0_r & i0_r_c_mul; // @[dec_decode_ctl.scala 738:61] + wire _T_892_alu = i0_rs1_depend_i0_r & i0_r_c_alu; // @[dec_decode_ctl.scala 738:61] + wire i0_rs1_class_d_mul = i0_rs1_depend_i0_x ? i0_x_c_mul : _T_892_mul; // @[dec_decode_ctl.scala 738:24] + wire i0_rs1_class_d_alu = i0_rs1_depend_i0_x ? i0_x_c_alu : _T_892_alu; // @[dec_decode_ctl.scala 738:24] + wire _T_901_mul = i0_rs2_depend_i0_r & i0_r_c_mul; // @[dec_decode_ctl.scala 740:61] + wire _T_901_alu = i0_rs2_depend_i0_r & i0_r_c_alu; // @[dec_decode_ctl.scala 740:61] + wire i0_rs2_class_d_mul = i0_rs2_depend_i0_x ? i0_x_c_mul : _T_901_mul; // @[dec_decode_ctl.scala 740:24] + wire i0_rs2_class_d_alu = i0_rs2_depend_i0_x ? i0_x_c_alu : _T_901_alu; // @[dec_decode_ctl.scala 740:24] + wire _T_914 = io_decode_exu_dec_i0_rs1_en_d & io_dec_nonblock_load_wen; // @[dec_decode_ctl.scala 758:73] + wire _T_915 = io_dec_nonblock_load_waddr == i0r_rs1; // @[dec_decode_ctl.scala 758:130] + wire i0_rs1_nonblock_load_bypass_en_d = _T_914 & _T_915; // @[dec_decode_ctl.scala 758:100] + wire _T_916 = io_decode_exu_dec_i0_rs2_en_d & io_dec_nonblock_load_wen; // @[dec_decode_ctl.scala 760:73] + wire _T_917 = io_dec_nonblock_load_waddr == i0r_rs2; // @[dec_decode_ctl.scala 760:130] + wire i0_rs2_nonblock_load_bypass_en_d = _T_916 & _T_917; // @[dec_decode_ctl.scala 760:100] + wire _T_919 = i0_rs1_class_d_alu | i0_rs1_class_d_mul; // @[dec_decode_ctl.scala 763:66] + wire _T_920 = i0_rs1_depth_d[0] & _T_919; // @[dec_decode_ctl.scala 763:45] + wire _T_922 = i0_rs1_depth_d[0] & i0_rs1_class_d_load; // @[dec_decode_ctl.scala 763:108] + wire _T_925 = _T_919 | i0_rs1_class_d_load; // @[dec_decode_ctl.scala 763:196] + wire _T_926 = i0_rs1_depth_d[1] & _T_925; // @[dec_decode_ctl.scala 763:153] + wire [2:0] i0_rs1bypass = {_T_920,_T_922,_T_926}; // @[Cat.scala 29:58] + wire _T_930 = i0_rs2_class_d_alu | i0_rs2_class_d_mul; // @[dec_decode_ctl.scala 765:67] + wire _T_931 = i0_rs2_depth_d[0] & _T_930; // @[dec_decode_ctl.scala 765:45] + wire _T_933 = i0_rs2_depth_d[0] & i0_rs2_class_d_load; // @[dec_decode_ctl.scala 765:109] + wire _T_936 = _T_930 | i0_rs2_class_d_load; // @[dec_decode_ctl.scala 765:196] + wire _T_937 = i0_rs2_depth_d[1] & _T_936; // @[dec_decode_ctl.scala 765:153] + wire [2:0] i0_rs2bypass = {_T_931,_T_933,_T_937}; // @[Cat.scala 29:58] + wire _T_943 = i0_rs1bypass[1] | i0_rs1bypass[0]; // @[dec_decode_ctl.scala 767:86] + wire _T_945 = ~i0_rs1bypass[2]; // @[dec_decode_ctl.scala 767:107] + wire _T_946 = _T_945 & i0_rs1_nonblock_load_bypass_en_d; // @[dec_decode_ctl.scala 767:124] + wire _T_947 = _T_943 | _T_946; // @[dec_decode_ctl.scala 767:104] + wire _T_952 = i0_rs2bypass[1] | i0_rs2bypass[0]; // @[dec_decode_ctl.scala 768:86] + wire _T_954 = ~i0_rs2bypass[2]; // @[dec_decode_ctl.scala 768:107] + wire _T_955 = _T_954 & i0_rs2_nonblock_load_bypass_en_d; // @[dec_decode_ctl.scala 768:124] + wire _T_956 = _T_952 | _T_955; // @[dec_decode_ctl.scala 768:104] + wire _T_963 = ~i0_rs1bypass[1]; // @[dec_decode_ctl.scala 774:6] + wire _T_965 = ~i0_rs1bypass[0]; // @[dec_decode_ctl.scala 774:25] + wire _T_966 = _T_963 & _T_965; // @[dec_decode_ctl.scala 774:23] + wire _T_967 = _T_966 & i0_rs1_nonblock_load_bypass_en_d; // @[dec_decode_ctl.scala 774:42] + wire [31:0] _T_969 = i0_rs1bypass[1] ? io_lsu_result_m : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_970 = i0_rs1bypass[0] ? i0_result_r_raw : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_971 = _T_967 ? io_dctl_busbuff_lsu_nonblock_load_data : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_972 = _T_969 | _T_970; // @[Mux.scala 27:72] + wire _T_980 = ~i0_rs2bypass[1]; // @[dec_decode_ctl.scala 779:6] + wire _T_982 = ~i0_rs2bypass[0]; // @[dec_decode_ctl.scala 779:25] + wire _T_983 = _T_980 & _T_982; // @[dec_decode_ctl.scala 779:23] + wire _T_984 = _T_983 & i0_rs2_nonblock_load_bypass_en_d; // @[dec_decode_ctl.scala 779:42] + wire [31:0] _T_986 = i0_rs2bypass[1] ? io_lsu_result_m : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_987 = i0_rs2bypass[0] ? i0_result_r_raw : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_988 = _T_984 ? io_dctl_busbuff_lsu_nonblock_load_data : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_989 = _T_986 | _T_987; // @[Mux.scala 27:72] + wire _T_992 = i0_dp_raw_load | i0_dp_raw_store; // @[dec_decode_ctl.scala 781:68] + wire _T_993 = io_dec_ib0_valid_d & _T_992; // @[dec_decode_ctl.scala 781:50] + wire _T_994 = ~io_dctl_dma_dma_dccm_stall_any; // @[dec_decode_ctl.scala 781:89] + wire _T_995 = _T_993 & _T_994; // @[dec_decode_ctl.scala 781:87] + wire _T_997 = _T_995 & _T_496; // @[dec_decode_ctl.scala 781:121] + wire _T_999 = ~io_decode_exu_dec_extint_stall; // @[dec_decode_ctl.scala 783:6] + wire _T_1000 = _T_999 & i0_dp_lsu; // @[dec_decode_ctl.scala 783:38] + wire _T_1001 = _T_1000 & i0_dp_load; // @[dec_decode_ctl.scala 783:50] + wire _T_1006 = _T_1000 & i0_dp_store; // @[dec_decode_ctl.scala 784:50] + wire [11:0] _T_1010 = {io_dec_i0_instr_d[31:25],i0r_rd}; // @[Cat.scala 29:58] + wire [11:0] _T_1011 = _T_1001 ? io_dec_i0_instr_d[31:20] : 12'h0; // @[Mux.scala 27:72] + wire [11:0] _T_1012 = _T_1006 ? _T_1010 : 12'h0; // @[Mux.scala 27:72] + rvclkhdr rvclkhdr ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + dec_dec_ctl i0_dec ( // @[dec_decode_ctl.scala 356:22] + .io_ins(i0_dec_io_ins), + .io_out_alu(i0_dec_io_out_alu), + .io_out_rs1(i0_dec_io_out_rs1), + .io_out_rs2(i0_dec_io_out_rs2), + .io_out_imm12(i0_dec_io_out_imm12), + .io_out_rd(i0_dec_io_out_rd), + .io_out_shimm5(i0_dec_io_out_shimm5), + .io_out_imm20(i0_dec_io_out_imm20), + .io_out_pc(i0_dec_io_out_pc), + .io_out_load(i0_dec_io_out_load), + .io_out_store(i0_dec_io_out_store), + .io_out_lsu(i0_dec_io_out_lsu), + .io_out_add(i0_dec_io_out_add), + .io_out_sub(i0_dec_io_out_sub), + .io_out_land(i0_dec_io_out_land), + .io_out_lor(i0_dec_io_out_lor), + .io_out_lxor(i0_dec_io_out_lxor), + .io_out_sll(i0_dec_io_out_sll), + .io_out_sra(i0_dec_io_out_sra), + .io_out_srl(i0_dec_io_out_srl), + .io_out_slt(i0_dec_io_out_slt), + .io_out_unsign(i0_dec_io_out_unsign), + .io_out_condbr(i0_dec_io_out_condbr), + .io_out_beq(i0_dec_io_out_beq), + .io_out_bne(i0_dec_io_out_bne), + .io_out_bge(i0_dec_io_out_bge), + .io_out_blt(i0_dec_io_out_blt), + .io_out_jal(i0_dec_io_out_jal), + .io_out_by(i0_dec_io_out_by), + .io_out_half(i0_dec_io_out_half), + .io_out_word(i0_dec_io_out_word), + .io_out_csr_read(i0_dec_io_out_csr_read), + .io_out_csr_clr(i0_dec_io_out_csr_clr), + .io_out_csr_set(i0_dec_io_out_csr_set), + .io_out_csr_write(i0_dec_io_out_csr_write), + .io_out_csr_imm(i0_dec_io_out_csr_imm), + .io_out_presync(i0_dec_io_out_presync), + .io_out_postsync(i0_dec_io_out_postsync), + .io_out_ebreak(i0_dec_io_out_ebreak), + .io_out_ecall(i0_dec_io_out_ecall), + .io_out_mret(i0_dec_io_out_mret), + .io_out_mul(i0_dec_io_out_mul), + .io_out_rs1_sign(i0_dec_io_out_rs1_sign), + .io_out_rs2_sign(i0_dec_io_out_rs2_sign), + .io_out_low(i0_dec_io_out_low), + .io_out_div(i0_dec_io_out_div), + .io_out_rem(i0_dec_io_out_rem), + .io_out_fence(i0_dec_io_out_fence), + .io_out_fence_i(i0_dec_io_out_fence_i), + .io_out_pm_alu(i0_dec_io_out_pm_alu), + .io_out_legal(i0_dec_io_out_legal) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + rvclkhdr rvclkhdr_4 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_4_io_l1clk), + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en), + .io_scan_mode(rvclkhdr_4_io_scan_mode) + ); + rvclkhdr rvclkhdr_5 ( // @[lib.scala 378:23] + .io_l1clk(rvclkhdr_5_io_l1clk), + .io_clk(rvclkhdr_5_io_clk), + .io_en(rvclkhdr_5_io_en), + .io_scan_mode(rvclkhdr_5_io_scan_mode) + ); + rvclkhdr rvclkhdr_6 ( // @[lib.scala 378:23] + .io_l1clk(rvclkhdr_6_io_l1clk), + .io_clk(rvclkhdr_6_io_clk), + .io_en(rvclkhdr_6_io_en), + .io_scan_mode(rvclkhdr_6_io_scan_mode) + ); + rvclkhdr rvclkhdr_7 ( // @[lib.scala 378:23] + .io_l1clk(rvclkhdr_7_io_l1clk), + .io_clk(rvclkhdr_7_io_clk), + .io_en(rvclkhdr_7_io_en), + .io_scan_mode(rvclkhdr_7_io_scan_mode) + ); + rvclkhdr rvclkhdr_8 ( // @[lib.scala 378:23] + .io_l1clk(rvclkhdr_8_io_l1clk), + .io_clk(rvclkhdr_8_io_clk), + .io_en(rvclkhdr_8_io_en), + .io_scan_mode(rvclkhdr_8_io_scan_mode) + ); + rvclkhdr rvclkhdr_9 ( // @[lib.scala 378:23] + .io_l1clk(rvclkhdr_9_io_l1clk), + .io_clk(rvclkhdr_9_io_clk), + .io_en(rvclkhdr_9_io_en), + .io_scan_mode(rvclkhdr_9_io_scan_mode) + ); + rvclkhdr rvclkhdr_10 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_10_io_l1clk), + .io_clk(rvclkhdr_10_io_clk), + .io_en(rvclkhdr_10_io_en), + .io_scan_mode(rvclkhdr_10_io_scan_mode) + ); + rvclkhdr rvclkhdr_11 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_11_io_l1clk), + .io_clk(rvclkhdr_11_io_clk), + .io_en(rvclkhdr_11_io_en), + .io_scan_mode(rvclkhdr_11_io_scan_mode) + ); + rvclkhdr rvclkhdr_12 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_12_io_l1clk), + .io_clk(rvclkhdr_12_io_clk), + .io_en(rvclkhdr_12_io_en), + .io_scan_mode(rvclkhdr_12_io_scan_mode) + ); + rvclkhdr rvclkhdr_13 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_13_io_l1clk), + .io_clk(rvclkhdr_13_io_clk), + .io_en(rvclkhdr_13_io_en), + .io_scan_mode(rvclkhdr_13_io_scan_mode) + ); + rvclkhdr rvclkhdr_14 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_14_io_l1clk), + .io_clk(rvclkhdr_14_io_clk), + .io_en(rvclkhdr_14_io_en), + .io_scan_mode(rvclkhdr_14_io_scan_mode) + ); + rvclkhdr rvclkhdr_15 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_15_io_l1clk), + .io_clk(rvclkhdr_15_io_clk), + .io_en(rvclkhdr_15_io_en), + .io_scan_mode(rvclkhdr_15_io_scan_mode) + ); + rvclkhdr rvclkhdr_16 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_16_io_l1clk), + .io_clk(rvclkhdr_16_io_clk), + .io_en(rvclkhdr_16_io_en), + .io_scan_mode(rvclkhdr_16_io_scan_mode) + ); + rvclkhdr rvclkhdr_17 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_17_io_l1clk), + .io_clk(rvclkhdr_17_io_clk), + .io_en(rvclkhdr_17_io_en), + .io_scan_mode(rvclkhdr_17_io_scan_mode) + ); + rvclkhdr rvclkhdr_18 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_18_io_l1clk), + .io_clk(rvclkhdr_18_io_clk), + .io_en(rvclkhdr_18_io_en), + .io_scan_mode(rvclkhdr_18_io_scan_mode) + ); + rvclkhdr rvclkhdr_19 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_19_io_l1clk), + .io_clk(rvclkhdr_19_io_clk), + .io_en(rvclkhdr_19_io_en), + .io_scan_mode(rvclkhdr_19_io_scan_mode) + ); + assign io_decode_exu_dec_data_en = {i0_x_data_en,i0_r_data_en}; // @[dec_decode_ctl.scala 626:38] + assign io_decode_exu_dec_ctl_en = {i0_x_ctl_en,i0_r_ctl_en}; // @[dec_decode_ctl.scala 627:38] + assign io_decode_exu_i0_ap_land = _T_41 ? 1'h0 : i0_dp_raw_land; // @[dec_decode_ctl.scala 249:37] + assign io_decode_exu_i0_ap_lor = _T_41 | i0_dp_raw_lor; // @[dec_decode_ctl.scala 250:37] + assign io_decode_exu_i0_ap_lxor = _T_41 ? 1'h0 : i0_dp_raw_lxor; // @[dec_decode_ctl.scala 251:37] + assign io_decode_exu_i0_ap_sll = _T_41 ? 1'h0 : i0_dp_raw_sll; // @[dec_decode_ctl.scala 252:37] + assign io_decode_exu_i0_ap_srl = _T_41 ? 1'h0 : i0_dp_raw_srl; // @[dec_decode_ctl.scala 253:37] + assign io_decode_exu_i0_ap_sra = _T_41 ? 1'h0 : i0_dp_raw_sra; // @[dec_decode_ctl.scala 254:37] + assign io_decode_exu_i0_ap_beq = _T_41 ? 1'h0 : i0_dp_raw_beq; // @[dec_decode_ctl.scala 257:37] + assign io_decode_exu_i0_ap_bne = _T_41 ? 1'h0 : i0_dp_raw_bne; // @[dec_decode_ctl.scala 258:37] + assign io_decode_exu_i0_ap_blt = _T_41 ? 1'h0 : i0_dp_raw_blt; // @[dec_decode_ctl.scala 259:37] + assign io_decode_exu_i0_ap_bge = _T_41 ? 1'h0 : i0_dp_raw_bge; // @[dec_decode_ctl.scala 260:37] + assign io_decode_exu_i0_ap_add = _T_41 ? 1'h0 : i0_dp_raw_add; // @[dec_decode_ctl.scala 247:37] + assign io_decode_exu_i0_ap_sub = _T_41 ? 1'h0 : i0_dp_raw_sub; // @[dec_decode_ctl.scala 248:37] + assign io_decode_exu_i0_ap_slt = _T_41 ? 1'h0 : i0_dp_raw_slt; // @[dec_decode_ctl.scala 255:37] + assign io_decode_exu_i0_ap_unsign = _T_41 ? 1'h0 : i0_dp_raw_unsign; // @[dec_decode_ctl.scala 256:37] + assign io_decode_exu_i0_ap_jal = _T_336 & _T_337; // @[dec_decode_ctl.scala 263:37] + assign io_decode_exu_i0_ap_predict_t = _T_47 & i0_predict_br; // @[dec_decode_ctl.scala 245:37] + assign io_decode_exu_i0_ap_predict_nt = _T_48 & i0_predict_br; // @[dec_decode_ctl.scala 244:37] + assign io_decode_exu_i0_ap_csr_write = i0_csr_write & _T_347; // @[dec_decode_ctl.scala 261:37] + assign io_decode_exu_i0_ap_csr_imm = _T_41 ? 1'h0 : i0_dp_raw_csr_imm; // @[dec_decode_ctl.scala 262:37] + assign io_decode_exu_dec_i0_predict_p_d_valid = i0_brp_valid & i0_legal_decode_d; // @[dec_decode_ctl.scala 202:55] + assign io_decode_exu_dec_i0_predict_p_d_bits_pc4 = io_dec_i0_pc4_d; // @[dec_decode_ctl.scala 200:55] + assign io_decode_exu_dec_i0_predict_p_d_bits_hist = io_dec_i0_brp_bits_hist; // @[dec_decode_ctl.scala 201:55] + assign io_decode_exu_dec_i0_predict_p_d_bits_toffset = _T_314 ? i0_pcall_imm[11:0] : _T_323; // @[dec_decode_ctl.scala 214:56] + assign io_decode_exu_dec_i0_predict_p_d_bits_br_error = _T_33 & _T_18; // @[dec_decode_ctl.scala 209:56] + assign io_decode_exu_dec_i0_predict_p_d_bits_br_start_error = _T_36 & _T_18; // @[dec_decode_ctl.scala 210:56] + assign io_decode_exu_dec_i0_predict_p_d_bits_prett = io_dec_i0_brp_bits_prett; // @[dec_decode_ctl.scala 199:55] + assign io_decode_exu_dec_i0_predict_p_d_bits_pcall = i0_dp_jal & i0_pcall_case; // @[dec_decode_ctl.scala 196:55] + assign io_decode_exu_dec_i0_predict_p_d_bits_pret = i0_dp_jal & i0_pret_case; // @[dec_decode_ctl.scala 198:55] + assign io_decode_exu_dec_i0_predict_p_d_bits_pja = i0_dp_jal & i0_pja_case; // @[dec_decode_ctl.scala 197:55] + assign io_decode_exu_dec_i0_predict_p_d_bits_way = io_dec_i0_brp_bits_way; // @[dec_decode_ctl.scala 216:56] + assign io_decode_exu_i0_predict_fghr_d = io_dec_i0_bp_fghr; // @[dec_decode_ctl.scala 215:56] + assign io_decode_exu_i0_predict_index_d = io_dec_i0_bp_index; // @[dec_decode_ctl.scala 211:56] + assign io_decode_exu_i0_predict_btag_d = io_dec_i0_bp_btag; // @[dec_decode_ctl.scala 212:56] + assign io_decode_exu_dec_i0_rs1_en_d = i0_dp_rs1 & _T_559; // @[dec_decode_ctl.scala 588:35] + assign io_decode_exu_dec_i0_rs2_en_d = i0_dp_rs2 & _T_561; // @[dec_decode_ctl.scala 589:35] + assign io_decode_exu_dec_i0_immed_d = _T_566 | _T_567; // @[dec_decode_ctl.scala 597:32] + assign io_decode_exu_dec_i0_rs1_bypass_data_d = _T_972 | _T_971; // @[dec_decode_ctl.scala 771:42] + assign io_decode_exu_dec_i0_rs2_bypass_data_d = _T_989 | _T_988; // @[dec_decode_ctl.scala 776:42] + assign io_decode_exu_dec_i0_select_pc_d = _T_41 ? 1'h0 : i0_dp_raw_pc; // @[dec_decode_ctl.scala 236:36] + assign io_decode_exu_dec_i0_rs1_bypass_en_d = {i0_rs1bypass[2],_T_947}; // @[dec_decode_ctl.scala 767:45] + assign io_decode_exu_dec_i0_rs2_bypass_en_d = {i0_rs2bypass[2],_T_956}; // @[dec_decode_ctl.scala 768:45] + assign io_decode_exu_mul_p_valid = i0_exulegal_decode_d & i0_dp_mul; // @[dec_decode_ctl.scala 95:25 dec_decode_ctl.scala 391:32] + assign io_decode_exu_mul_p_bits_rs1_sign = _T_41 ? 1'h0 : i0_dp_raw_rs1_sign; // @[dec_decode_ctl.scala 95:25 dec_decode_ctl.scala 392:37] + assign io_decode_exu_mul_p_bits_rs2_sign = _T_41 ? 1'h0 : i0_dp_raw_rs2_sign; // @[dec_decode_ctl.scala 95:25 dec_decode_ctl.scala 393:37] + assign io_decode_exu_mul_p_bits_low = _T_41 ? 1'h0 : i0_dp_raw_low; // @[dec_decode_ctl.scala 95:25 dec_decode_ctl.scala 394:37] + assign io_decode_exu_pred_correct_npc_x = temp_pred_correct_npc_x[31:1]; // @[dec_decode_ctl.scala 728:36] + assign io_decode_exu_dec_extint_stall = _T_339; // @[dec_decode_ctl.scala 396:34] + assign io_dec_alu_dec_i0_alu_decode_d = i0_exulegal_decode_d & i0_dp_alu; // @[dec_decode_ctl.scala 536:34] + assign io_dec_alu_dec_csr_ren_d = _T_41 ? 1'h0 : i0_dp_raw_csr_read; // @[dec_decode_ctl.scala 418:29] + assign io_dec_alu_dec_i0_br_immed_d = _T_773 ? i0_br_offset : _T_786; // @[dec_decode_ctl.scala 675:32] + assign io_dec_div_div_p_valid = i0_exulegal_decode_d & i0_dp_div; // @[dec_decode_ctl.scala 387:29] + assign io_dec_div_div_p_bits_unsign = _T_41 ? 1'h0 : i0_dp_raw_unsign; // @[dec_decode_ctl.scala 388:34] + assign io_dec_div_div_p_bits_rem = _T_41 ? 1'h0 : i0_dp_raw_rem; // @[dec_decode_ctl.scala 389:34] + assign io_dec_div_dec_div_cancel = _T_815 | _T_820; // @[dec_decode_ctl.scala 694:37] + assign io_dec_aln_dec_i0_decode_d = _T_493 & _T_470; // @[dec_decode_ctl.scala 516:30 dec_decode_ctl.scala 582:30] + assign io_dec_i0_inst_wb1 = _T_842; // @[dec_decode_ctl.scala 717:22] + assign io_dec_i0_pc_wb1 = _T_845; // @[dec_decode_ctl.scala 720:20] + assign io_dec_i0_rs1_d = io_dec_i0_instr_d[19:15]; // @[dec_decode_ctl.scala 591:19] + assign io_dec_i0_rs2_d = io_dec_i0_instr_d[24:20]; // @[dec_decode_ctl.scala 592:19] + assign io_dec_i0_waddr_r = r_d_bits_i0rd; // @[dec_decode_ctl.scala 658:27] + assign io_dec_i0_wen_r = _T_762 & _T_763; // @[dec_decode_ctl.scala 660:32] + assign io_dec_i0_wdata_r = _T_769 ? io_lsu_result_corr_r : i0_result_r_raw; // @[dec_decode_ctl.scala 661:26] + assign io_lsu_p_valid = io_decode_exu_dec_extint_stall | lsu_decode_d; // @[dec_decode_ctl.scala 398:12 dec_decode_ctl.scala 403:24 dec_decode_ctl.scala 405:35] + assign io_lsu_p_bits_fast_int = io_decode_exu_dec_extint_stall; // @[dec_decode_ctl.scala 398:12 dec_decode_ctl.scala 402:29] + assign io_lsu_p_bits_by = io_decode_exu_dec_extint_stall ? 1'h0 : i0_dp_by; // @[dec_decode_ctl.scala 398:12 dec_decode_ctl.scala 408:40] + assign io_lsu_p_bits_half = io_decode_exu_dec_extint_stall ? 1'h0 : i0_dp_half; // @[dec_decode_ctl.scala 398:12 dec_decode_ctl.scala 409:40] + assign io_lsu_p_bits_word = io_decode_exu_dec_extint_stall | i0_dp_word; // @[dec_decode_ctl.scala 398:12 dec_decode_ctl.scala 401:29 dec_decode_ctl.scala 410:40] + assign io_lsu_p_bits_load = io_decode_exu_dec_extint_stall | i0_dp_load; // @[dec_decode_ctl.scala 398:12 dec_decode_ctl.scala 400:29 dec_decode_ctl.scala 406:40] + assign io_lsu_p_bits_store = io_decode_exu_dec_extint_stall ? 1'h0 : i0_dp_store; // @[dec_decode_ctl.scala 398:12 dec_decode_ctl.scala 407:40] + assign io_lsu_p_bits_unsign = io_decode_exu_dec_extint_stall ? 1'h0 : i0_dp_unsign; // @[dec_decode_ctl.scala 398:12 dec_decode_ctl.scala 414:40] + assign io_lsu_p_bits_store_data_bypass_d = io_decode_exu_dec_extint_stall ? 1'h0 : store_data_bypass_d; // @[dec_decode_ctl.scala 398:12 dec_decode_ctl.scala 412:40] + assign io_lsu_p_bits_load_ldst_bypass_d = io_decode_exu_dec_extint_stall ? 1'h0 : load_ldst_bypass_d; // @[dec_decode_ctl.scala 398:12 dec_decode_ctl.scala 411:40] + assign io_div_waddr_wb = _T_835; // @[dec_decode_ctl.scala 705:19] + assign io_dec_lsu_valid_raw_d = _T_997 | io_decode_exu_dec_extint_stall; // @[dec_decode_ctl.scala 781:26] + assign io_dec_lsu_offset_d = _T_1011 | _T_1012; // @[dec_decode_ctl.scala 782:23] + assign io_dec_csr_wen_unq_d = _T_349 | i0_csr_write; // @[dec_decode_ctl.scala 427:24] + assign io_dec_csr_any_unq_d = i0_dp_csr_read | i0_csr_write; // @[dec_decode_ctl.scala 493:24] + assign io_dec_csr_rdaddr_d = io_dec_i0_instr_d[31:20]; // @[dec_decode_ctl.scala 430:24] + assign io_dec_csr_wen_r = _T_352 & _T_759; // @[dec_decode_ctl.scala 435:20] + assign io_dec_csr_wraddr_r = r_d_bits_csrwaddr; // @[dec_decode_ctl.scala 431:23] + assign io_dec_csr_wrdata_r = r_d_bits_csrwonly ? i0_result_corr_r : write_csr_data; // @[dec_decode_ctl.scala 478:24] + assign io_dec_csr_stall_int_ff = _T_359 & _T_360; // @[dec_decode_ctl.scala 438:27] + assign io_dec_tlu_i0_valid_r = r_d_valid & _T_748; // @[dec_decode_ctl.scala 542:29] + assign io_dec_tlu_packet_r_legal = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_legal; // @[dec_decode_ctl.scala 576:39] + assign io_dec_tlu_packet_r_icaf = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_icaf; // @[dec_decode_ctl.scala 576:39] + assign io_dec_tlu_packet_r_icaf_f1 = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_icaf_f1; // @[dec_decode_ctl.scala 576:39] + assign io_dec_tlu_packet_r_icaf_type = io_dec_tlu_flush_lower_wb ? 2'h0 : r_t_icaf_type; // @[dec_decode_ctl.scala 576:39] + assign io_dec_tlu_packet_r_fence_i = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_fence_i; // @[dec_decode_ctl.scala 576:39] + assign io_dec_tlu_packet_r_i0trigger = io_dec_tlu_flush_lower_wb ? 4'h0 : _T_545; // @[dec_decode_ctl.scala 576:39] + assign io_dec_tlu_packet_r_pmu_i0_itype = io_dec_tlu_flush_lower_wb ? 4'h0 : r_t_pmu_i0_itype; // @[dec_decode_ctl.scala 576:39] + assign io_dec_tlu_packet_r_pmu_i0_br_unpred = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_pmu_i0_br_unpred; // @[dec_decode_ctl.scala 576:39] + assign io_dec_tlu_packet_r_pmu_divide = r_d_bits_i0div & r_d_valid; // @[dec_decode_ctl.scala 576:39 dec_decode_ctl.scala 577:39] + assign io_dec_tlu_packet_r_pmu_lsu_misaligned = io_dec_tlu_flush_lower_wb ? 1'h0 : lsu_pmu_misaligned_r; // @[dec_decode_ctl.scala 576:39] + assign io_dec_tlu_i0_pc_r = dec_i0_pc_r; // @[dec_decode_ctl.scala 723:27] + assign io_dec_illegal_inst = _T_468; // @[dec_decode_ctl.scala 500:23] + assign io_dec_pmu_instr_decoded = io_dec_aln_dec_i0_decode_d; // @[dec_decode_ctl.scala 521:28] + assign io_dec_pmu_decode_stall = io_dec_ib0_valid_d & _T_501; // @[dec_decode_ctl.scala 522:27] + assign io_dec_pmu_presync_stall = i0_presync & prior_inflight_eff; // @[dec_decode_ctl.scala 524:29] + assign io_dec_pmu_postsync_stall = postsync_stall; // @[dec_decode_ctl.scala 523:29] + assign io_dec_nonblock_load_wen = _T_200 & _T_201; // @[dec_decode_ctl.scala 318:28] + assign io_dec_nonblock_load_waddr = _T_246 | _T_238; // @[dec_decode_ctl.scala 315:29 dec_decode_ctl.scala 325:29] + assign io_dec_pause_state = pause_stall; // @[dec_decode_ctl.scala 462:22] + assign io_dec_pause_state_cg = pause_stall & _T_423; // @[dec_decode_ctl.scala 466:25] + assign io_dec_div_active = _T_826; // @[dec_decode_ctl.scala 699:21] + assign rvclkhdr_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_io_en = _T_15 | _T_16; // @[lib.scala 345:16] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign i0_dec_io_ins = io_dec_i0_instr_d; // @[dec_decode_ctl.scala 357:16] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_1_io_en = i0_pipe_en[3] | io_clk_override; // @[lib.scala 371:17] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_2_io_en = i0_pipe_en[3] | io_clk_override; // @[lib.scala 371:17] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_3_io_en = _T_431 | pause_stall; // @[lib.scala 371:17] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_4_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_4_io_en = shift_illegal & _T_467; // @[lib.scala 371:17] + assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_5_io_clk = clock; // @[lib.scala 380:18] + assign rvclkhdr_5_io_en = _T_709 | io_clk_override; // @[lib.scala 381:17] + assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[lib.scala 382:24] + assign rvclkhdr_6_io_clk = clock; // @[lib.scala 380:18] + assign rvclkhdr_6_io_en = _T_709 | io_clk_override; // @[lib.scala 381:17] + assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[lib.scala 382:24] + assign rvclkhdr_7_io_clk = clock; // @[lib.scala 380:18] + assign rvclkhdr_7_io_en = _T_709 | io_clk_override; // @[lib.scala 381:17] + assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[lib.scala 382:24] + assign rvclkhdr_8_io_clk = clock; // @[lib.scala 380:18] + assign rvclkhdr_8_io_en = _T_712 | io_clk_override; // @[lib.scala 381:17] + assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[lib.scala 382:24] + assign rvclkhdr_9_io_clk = clock; // @[lib.scala 380:18] + assign rvclkhdr_9_io_en = _T_715 | io_clk_override; // @[lib.scala 381:17] + assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[lib.scala 382:24] + assign rvclkhdr_10_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_10_io_en = i0_pipe_en[2] | io_clk_override; // @[lib.scala 371:17] + assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_11_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_11_io_en = i0_pipe_en[3] | io_clk_override; // @[lib.scala 371:17] + assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_12_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_12_io_en = i0_legal_decode_d & i0_dp_div; // @[lib.scala 371:17] + assign rvclkhdr_12_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_13_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_13_io_en = i0_pipe_en[3] | io_clk_override; // @[lib.scala 371:17] + assign rvclkhdr_13_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_14_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_14_io_en = i0_pipe_en[2] | io_clk_override; // @[lib.scala 371:17] + assign rvclkhdr_14_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_15_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_15_io_en = i0_pipe_en[1] | io_clk_override; // @[lib.scala 371:17] + assign rvclkhdr_15_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_16_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_16_io_en = i0_pipe_en[0] | io_clk_override; // @[lib.scala 371:17] + assign rvclkhdr_16_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_17_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_17_io_en = i0_pipe_en[1] | io_clk_override; // @[lib.scala 371:17] + assign rvclkhdr_17_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_18_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_18_io_en = i0_pipe_en[0] | io_clk_override; // @[lib.scala 371:17] + assign rvclkhdr_18_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_19_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_19_io_en = i0_pipe_en[2] | io_clk_override; // @[lib.scala 371:17] + assign rvclkhdr_19_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + tlu_wr_pause_r1 = _RAND_0[0:0]; + _RAND_1 = {1{`RANDOM}}; + tlu_wr_pause_r2 = _RAND_1[0:0]; + _RAND_2 = {1{`RANDOM}}; + leak1_i1_stall = _RAND_2[0:0]; + _RAND_3 = {1{`RANDOM}}; + leak1_i0_stall = _RAND_3[0:0]; + _RAND_4 = {1{`RANDOM}}; + pause_stall = _RAND_4[0:0]; + _RAND_5 = {1{`RANDOM}}; + write_csr_data = _RAND_5[31:0]; + _RAND_6 = {1{`RANDOM}}; + postsync_stall = _RAND_6[0:0]; + _RAND_7 = {1{`RANDOM}}; + x_d_valid = _RAND_7[0:0]; + _RAND_8 = {1{`RANDOM}}; + flush_final_r = _RAND_8[0:0]; + _RAND_9 = {1{`RANDOM}}; + illegal_lockout = _RAND_9[0:0]; + _RAND_10 = {1{`RANDOM}}; + cam_raw_0_bits_tag = _RAND_10[2:0]; + _RAND_11 = {1{`RANDOM}}; + cam_raw_0_valid = _RAND_11[0:0]; + _RAND_12 = {1{`RANDOM}}; + cam_raw_1_bits_tag = _RAND_12[2:0]; + _RAND_13 = {1{`RANDOM}}; + cam_raw_1_valid = _RAND_13[0:0]; + _RAND_14 = {1{`RANDOM}}; + cam_raw_2_bits_tag = _RAND_14[2:0]; + _RAND_15 = {1{`RANDOM}}; + cam_raw_2_valid = _RAND_15[0:0]; + _RAND_16 = {1{`RANDOM}}; + cam_raw_3_bits_tag = _RAND_16[2:0]; + _RAND_17 = {1{`RANDOM}}; + cam_raw_3_valid = _RAND_17[0:0]; + _RAND_18 = {1{`RANDOM}}; + x_d_bits_i0load = _RAND_18[0:0]; + _RAND_19 = {1{`RANDOM}}; + x_d_bits_i0rd = _RAND_19[4:0]; + _RAND_20 = {1{`RANDOM}}; + _T_706 = _RAND_20[2:0]; + _RAND_21 = {1{`RANDOM}}; + nonblock_load_valid_m_delay = _RAND_21[0:0]; + _RAND_22 = {1{`RANDOM}}; + r_d_bits_i0load = _RAND_22[0:0]; + _RAND_23 = {1{`RANDOM}}; + r_d_bits_i0v = _RAND_23[0:0]; + _RAND_24 = {1{`RANDOM}}; + r_d_bits_i0rd = _RAND_24[4:0]; + _RAND_25 = {1{`RANDOM}}; + cam_raw_0_bits_rd = _RAND_25[4:0]; + _RAND_26 = {1{`RANDOM}}; + cam_raw_0_bits_wb = _RAND_26[0:0]; + _RAND_27 = {1{`RANDOM}}; + cam_raw_1_bits_rd = _RAND_27[4:0]; + _RAND_28 = {1{`RANDOM}}; + cam_raw_1_bits_wb = _RAND_28[0:0]; + _RAND_29 = {1{`RANDOM}}; + cam_raw_2_bits_rd = _RAND_29[4:0]; + _RAND_30 = {1{`RANDOM}}; + cam_raw_2_bits_wb = _RAND_30[0:0]; + _RAND_31 = {1{`RANDOM}}; + cam_raw_3_bits_rd = _RAND_31[4:0]; + _RAND_32 = {1{`RANDOM}}; + cam_raw_3_bits_wb = _RAND_32[0:0]; + _RAND_33 = {1{`RANDOM}}; + lsu_idle = _RAND_33[0:0]; + _RAND_34 = {1{`RANDOM}}; + _T_339 = _RAND_34[0:0]; + _RAND_35 = {1{`RANDOM}}; + x_d_bits_i0v = _RAND_35[0:0]; + _RAND_36 = {1{`RANDOM}}; + i0_x_c_load = _RAND_36[0:0]; + _RAND_37 = {1{`RANDOM}}; + i0_r_c_load = _RAND_37[0:0]; + _RAND_38 = {1{`RANDOM}}; + r_d_bits_csrwen = _RAND_38[0:0]; + _RAND_39 = {1{`RANDOM}}; + r_d_valid = _RAND_39[0:0]; + _RAND_40 = {1{`RANDOM}}; + r_d_bits_csrwaddr = _RAND_40[11:0]; + _RAND_41 = {1{`RANDOM}}; + csr_read_x = _RAND_41[0:0]; + _RAND_42 = {1{`RANDOM}}; + csr_clr_x = _RAND_42[0:0]; + _RAND_43 = {1{`RANDOM}}; + csr_set_x = _RAND_43[0:0]; + _RAND_44 = {1{`RANDOM}}; + csr_write_x = _RAND_44[0:0]; + _RAND_45 = {1{`RANDOM}}; + csr_imm_x = _RAND_45[0:0]; + _RAND_46 = {1{`RANDOM}}; + csrimm_x = _RAND_46[4:0]; + _RAND_47 = {1{`RANDOM}}; + csr_rddata_x = _RAND_47[31:0]; + _RAND_48 = {1{`RANDOM}}; + r_d_bits_csrwonly = _RAND_48[0:0]; + _RAND_49 = {1{`RANDOM}}; + i0_result_r_raw = _RAND_49[31:0]; + _RAND_50 = {1{`RANDOM}}; + x_d_bits_csrwonly = _RAND_50[0:0]; + _RAND_51 = {1{`RANDOM}}; + wbd_bits_csrwonly = _RAND_51[0:0]; + _RAND_52 = {1{`RANDOM}}; + _T_468 = _RAND_52[31:0]; + _RAND_53 = {1{`RANDOM}}; + x_t_legal = _RAND_53[0:0]; + _RAND_54 = {1{`RANDOM}}; + x_t_icaf = _RAND_54[0:0]; + _RAND_55 = {1{`RANDOM}}; + x_t_icaf_f1 = _RAND_55[0:0]; + _RAND_56 = {1{`RANDOM}}; + x_t_icaf_type = _RAND_56[1:0]; + _RAND_57 = {1{`RANDOM}}; + x_t_fence_i = _RAND_57[0:0]; + _RAND_58 = {1{`RANDOM}}; + x_t_i0trigger = _RAND_58[3:0]; + _RAND_59 = {1{`RANDOM}}; + x_t_pmu_i0_itype = _RAND_59[3:0]; + _RAND_60 = {1{`RANDOM}}; + x_t_pmu_i0_br_unpred = _RAND_60[0:0]; + _RAND_61 = {1{`RANDOM}}; + r_t_legal = _RAND_61[0:0]; + _RAND_62 = {1{`RANDOM}}; + r_t_icaf = _RAND_62[0:0]; + _RAND_63 = {1{`RANDOM}}; + r_t_icaf_f1 = _RAND_63[0:0]; + _RAND_64 = {1{`RANDOM}}; + r_t_icaf_type = _RAND_64[1:0]; + _RAND_65 = {1{`RANDOM}}; + r_t_fence_i = _RAND_65[0:0]; + _RAND_66 = {1{`RANDOM}}; + r_t_i0trigger = _RAND_66[3:0]; + _RAND_67 = {1{`RANDOM}}; + r_t_pmu_i0_itype = _RAND_67[3:0]; + _RAND_68 = {1{`RANDOM}}; + r_t_pmu_i0_br_unpred = _RAND_68[0:0]; + _RAND_69 = {1{`RANDOM}}; + lsu_trigger_match_r = _RAND_69[3:0]; + _RAND_70 = {1{`RANDOM}}; + lsu_pmu_misaligned_r = _RAND_70[0:0]; + _RAND_71 = {1{`RANDOM}}; + r_d_bits_i0store = _RAND_71[0:0]; + _RAND_72 = {1{`RANDOM}}; + r_d_bits_i0div = _RAND_72[0:0]; + _RAND_73 = {1{`RANDOM}}; + i0_x_c_mul = _RAND_73[0:0]; + _RAND_74 = {1{`RANDOM}}; + i0_x_c_alu = _RAND_74[0:0]; + _RAND_75 = {1{`RANDOM}}; + i0_r_c_mul = _RAND_75[0:0]; + _RAND_76 = {1{`RANDOM}}; + i0_r_c_alu = _RAND_76[0:0]; + _RAND_77 = {1{`RANDOM}}; + x_d_bits_i0store = _RAND_77[0:0]; + _RAND_78 = {1{`RANDOM}}; + x_d_bits_i0div = _RAND_78[0:0]; + _RAND_79 = {1{`RANDOM}}; + x_d_bits_csrwen = _RAND_79[0:0]; + _RAND_80 = {1{`RANDOM}}; + x_d_bits_csrwaddr = _RAND_80[11:0]; + _RAND_81 = {1{`RANDOM}}; + last_br_immed_x = _RAND_81[11:0]; + _RAND_82 = {1{`RANDOM}}; + _T_826 = _RAND_82[0:0]; + _RAND_83 = {1{`RANDOM}}; + _T_835 = _RAND_83[4:0]; + _RAND_84 = {1{`RANDOM}}; + i0_inst_x = _RAND_84[31:0]; + _RAND_85 = {1{`RANDOM}}; + i0_inst_r = _RAND_85[31:0]; + _RAND_86 = {1{`RANDOM}}; + i0_inst_wb = _RAND_86[31:0]; + _RAND_87 = {1{`RANDOM}}; + _T_842 = _RAND_87[31:0]; + _RAND_88 = {1{`RANDOM}}; + i0_pc_wb = _RAND_88[30:0]; + _RAND_89 = {1{`RANDOM}}; + _T_845 = _RAND_89[30:0]; + _RAND_90 = {1{`RANDOM}}; + dec_i0_pc_r = _RAND_90[30:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + tlu_wr_pause_r1 = 1'h0; + end + if (reset) begin + tlu_wr_pause_r2 = 1'h0; + end + if (reset) begin + leak1_i1_stall = 1'h0; + end + if (reset) begin + leak1_i0_stall = 1'h0; + end + if (reset) begin + pause_stall = 1'h0; + end + if (reset) begin + write_csr_data = 32'h0; + end + if (reset) begin + postsync_stall = 1'h0; + end + if (reset) begin + x_d_valid = 1'h0; + end + if (reset) begin + flush_final_r = 1'h0; + end + if (reset) begin + illegal_lockout = 1'h0; + end + if (reset) begin + cam_raw_0_bits_tag = 3'h0; + end + if (reset) begin + cam_raw_0_valid = 1'h0; + end + if (reset) begin + cam_raw_1_bits_tag = 3'h0; + end + if (reset) begin + cam_raw_1_valid = 1'h0; + end + if (reset) begin + cam_raw_2_bits_tag = 3'h0; + end + if (reset) begin + cam_raw_2_valid = 1'h0; + end + if (reset) begin + cam_raw_3_bits_tag = 3'h0; + end + if (reset) begin + cam_raw_3_valid = 1'h0; + end + if (reset) begin + x_d_bits_i0load = 1'h0; + end + if (reset) begin + x_d_bits_i0rd = 5'h0; + end + if (reset) begin + _T_706 = 3'h0; + end + if (reset) begin + nonblock_load_valid_m_delay = 1'h0; + end + if (reset) begin + r_d_bits_i0load = 1'h0; + end + if (reset) begin + r_d_bits_i0v = 1'h0; + end + if (reset) begin + r_d_bits_i0rd = 5'h0; + end + if (reset) begin + cam_raw_0_bits_rd = 5'h0; + end + if (reset) begin + cam_raw_0_bits_wb = 1'h0; + end + if (reset) begin + cam_raw_1_bits_rd = 5'h0; + end + if (reset) begin + cam_raw_1_bits_wb = 1'h0; + end + if (reset) begin + cam_raw_2_bits_rd = 5'h0; + end + if (reset) begin + cam_raw_2_bits_wb = 1'h0; + end + if (reset) begin + cam_raw_3_bits_rd = 5'h0; + end + if (reset) begin + cam_raw_3_bits_wb = 1'h0; + end + if (reset) begin + lsu_idle = 1'h0; + end + if (reset) begin + _T_339 = 1'h0; + end + if (reset) begin + x_d_bits_i0v = 1'h0; + end + if (reset) begin + i0_x_c_load = 1'h0; + end + if (reset) begin + i0_r_c_load = 1'h0; + end + if (reset) begin + r_d_bits_csrwen = 1'h0; + end + if (reset) begin + r_d_valid = 1'h0; + end + if (reset) begin + r_d_bits_csrwaddr = 12'h0; + end + if (reset) begin + csr_read_x = 1'h0; + end + if (reset) begin + csr_clr_x = 1'h0; + end + if (reset) begin + csr_set_x = 1'h0; + end + if (reset) begin + csr_write_x = 1'h0; + end + if (reset) begin + csr_imm_x = 1'h0; + end + if (reset) begin + csrimm_x = 5'h0; + end + if (reset) begin + csr_rddata_x = 32'h0; + end + if (reset) begin + r_d_bits_csrwonly = 1'h0; + end + if (reset) begin + i0_result_r_raw = 32'h0; + end + if (reset) begin + x_d_bits_csrwonly = 1'h0; + end + if (reset) begin + wbd_bits_csrwonly = 1'h0; + end + if (reset) begin + _T_468 = 32'h0; + end + if (reset) begin + x_t_legal = 1'h0; + end + if (reset) begin + x_t_icaf = 1'h0; + end + if (reset) begin + x_t_icaf_f1 = 1'h0; + end + if (reset) begin + x_t_icaf_type = 2'h0; + end + if (reset) begin + x_t_fence_i = 1'h0; + end + if (reset) begin + x_t_i0trigger = 4'h0; + end + if (reset) begin + x_t_pmu_i0_itype = 4'h0; + end + if (reset) begin + x_t_pmu_i0_br_unpred = 1'h0; + end + if (reset) begin + r_t_legal = 1'h0; + end + if (reset) begin + r_t_icaf = 1'h0; + end + if (reset) begin + r_t_icaf_f1 = 1'h0; + end + if (reset) begin + r_t_icaf_type = 2'h0; + end + if (reset) begin + r_t_fence_i = 1'h0; + end + if (reset) begin + r_t_i0trigger = 4'h0; + end + if (reset) begin + r_t_pmu_i0_itype = 4'h0; + end + if (reset) begin + r_t_pmu_i0_br_unpred = 1'h0; + end + if (reset) begin + lsu_trigger_match_r = 4'h0; + end + if (reset) begin + lsu_pmu_misaligned_r = 1'h0; + end + if (reset) begin + r_d_bits_i0store = 1'h0; + end + if (reset) begin + r_d_bits_i0div = 1'h0; + end + if (reset) begin + i0_x_c_mul = 1'h0; + end + if (reset) begin + i0_x_c_alu = 1'h0; + end + if (reset) begin + i0_r_c_mul = 1'h0; + end + if (reset) begin + i0_r_c_alu = 1'h0; + end + if (reset) begin + x_d_bits_i0store = 1'h0; + end + if (reset) begin + x_d_bits_i0div = 1'h0; + end + if (reset) begin + x_d_bits_csrwen = 1'h0; + end + if (reset) begin + x_d_bits_csrwaddr = 12'h0; + end + if (reset) begin + last_br_immed_x = 12'h0; + end + if (reset) begin + _T_826 = 1'h0; + end + if (reset) begin + _T_835 = 5'h0; + end + if (reset) begin + i0_inst_x = 32'h0; + end + if (reset) begin + i0_inst_r = 32'h0; + end + if (reset) begin + i0_inst_wb = 32'h0; + end + if (reset) begin + _T_842 = 32'h0; + end + if (reset) begin + i0_pc_wb = 31'h0; + end + if (reset) begin + _T_845 = 31'h0; + end + if (reset) begin + dec_i0_pc_r = 31'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + tlu_wr_pause_r1 <= 1'h0; + end else begin + tlu_wr_pause_r1 <= io_dec_tlu_wr_pause_r; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + tlu_wr_pause_r2 <= 1'h0; + end else begin + tlu_wr_pause_r2 <= tlu_wr_pause_r1; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + leak1_i1_stall <= 1'h0; + end else begin + leak1_i1_stall <= io_dec_tlu_flush_leak_one_r | _T_281; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + leak1_i0_stall <= 1'h0; + end else begin + leak1_i0_stall <= _T_284 | _T_286; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + pause_stall <= 1'h0; + end else begin + pause_stall <= _T_415 & _T_416; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + write_csr_data <= 32'h0; + end else if (pause_stall) begin + write_csr_data <= _T_426; + end else if (io_dec_tlu_wr_pause_r) begin + write_csr_data <= io_dec_csr_wrdata_r; + end else begin + write_csr_data <= write_csr_data_x; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + postsync_stall <= 1'h0; + end else begin + postsync_stall <= _T_509 | _T_510; + end + end + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + if (reset) begin + x_d_valid <= 1'h0; + end else begin + x_d_valid <= io_dec_aln_dec_i0_decode_d; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + flush_final_r <= 1'h0; + end else begin + flush_final_r <= io_exu_flush_final; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + illegal_lockout <= 1'h0; + end else begin + illegal_lockout <= _T_469 & _T_470; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + cam_raw_0_bits_tag <= 3'h0; + end else if (cam_wen[0]) begin + cam_raw_0_bits_tag <= {{1'd0}, io_dctl_busbuff_lsu_nonblock_load_tag_m}; + end else if (_T_107) begin + cam_raw_0_bits_tag <= 3'h0; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + cam_raw_0_valid <= 1'h0; + end else if (io_dec_tlu_force_halt) begin + cam_raw_0_valid <= 1'h0; + end else begin + cam_raw_0_valid <= _GEN_56; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + cam_raw_1_bits_tag <= 3'h0; + end else if (cam_wen[1]) begin + cam_raw_1_bits_tag <= {{1'd0}, io_dctl_busbuff_lsu_nonblock_load_tag_m}; + end else if (_T_133) begin + cam_raw_1_bits_tag <= 3'h0; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + cam_raw_1_valid <= 1'h0; + end else if (io_dec_tlu_force_halt) begin + cam_raw_1_valid <= 1'h0; + end else begin + cam_raw_1_valid <= _GEN_67; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + cam_raw_2_bits_tag <= 3'h0; + end else if (cam_wen[2]) begin + cam_raw_2_bits_tag <= {{1'd0}, io_dctl_busbuff_lsu_nonblock_load_tag_m}; + end else if (_T_159) begin + cam_raw_2_bits_tag <= 3'h0; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + cam_raw_2_valid <= 1'h0; + end else if (io_dec_tlu_force_halt) begin + cam_raw_2_valid <= 1'h0; + end else begin + cam_raw_2_valid <= _GEN_78; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + cam_raw_3_bits_tag <= 3'h0; + end else if (cam_wen[3]) begin + cam_raw_3_bits_tag <= {{1'd0}, io_dctl_busbuff_lsu_nonblock_load_tag_m}; + end else if (_T_185) begin + cam_raw_3_bits_tag <= 3'h0; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + cam_raw_3_valid <= 1'h0; + end else if (io_dec_tlu_force_halt) begin + cam_raw_3_valid <= 1'h0; + end else begin + cam_raw_3_valid <= _GEN_89; + end + end + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + if (reset) begin + x_d_bits_i0load <= 1'h0; + end else begin + x_d_bits_i0load <= i0_dp_load & i0_legal_decode_d; + end + end + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + if (reset) begin + x_d_bits_i0rd <= 5'h0; + end else begin + x_d_bits_i0rd <= io_dec_i0_instr_d[11:7]; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + _T_706 <= 3'h0; + end else begin + _T_706 <= i0_pipe_en[3:1]; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + nonblock_load_valid_m_delay <= 1'h0; + end else if (i0_r_ctl_en) begin + nonblock_load_valid_m_delay <= io_dctl_busbuff_lsu_nonblock_load_valid_m; + end + end + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin + if (reset) begin + r_d_bits_i0load <= 1'h0; + end else begin + r_d_bits_i0load <= x_d_bits_i0load; + end + end + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin + if (reset) begin + r_d_bits_i0v <= 1'h0; + end else begin + r_d_bits_i0v <= _T_738 & _T_280; + end + end + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin + if (reset) begin + r_d_bits_i0rd <= 5'h0; + end else begin + r_d_bits_i0rd <= x_d_bits_i0rd; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + cam_raw_0_bits_rd <= 5'h0; + end else if (cam_wen[0]) begin + if (x_d_bits_i0load) begin + cam_raw_0_bits_rd <= x_d_bits_i0rd; + end else begin + cam_raw_0_bits_rd <= 5'h0; + end + end else if (_T_107) begin + cam_raw_0_bits_rd <= 5'h0; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + cam_raw_0_bits_wb <= 1'h0; + end else begin + cam_raw_0_bits_wb <= _T_112 | _GEN_57; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + cam_raw_1_bits_rd <= 5'h0; + end else if (cam_wen[1]) begin + if (x_d_bits_i0load) begin + cam_raw_1_bits_rd <= x_d_bits_i0rd; + end else begin + cam_raw_1_bits_rd <= 5'h0; + end + end else if (_T_133) begin + cam_raw_1_bits_rd <= 5'h0; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + cam_raw_1_bits_wb <= 1'h0; + end else begin + cam_raw_1_bits_wb <= _T_138 | _GEN_68; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + cam_raw_2_bits_rd <= 5'h0; + end else if (cam_wen[2]) begin + if (x_d_bits_i0load) begin + cam_raw_2_bits_rd <= x_d_bits_i0rd; + end else begin + cam_raw_2_bits_rd <= 5'h0; + end + end else if (_T_159) begin + cam_raw_2_bits_rd <= 5'h0; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + cam_raw_2_bits_wb <= 1'h0; + end else begin + cam_raw_2_bits_wb <= _T_164 | _GEN_79; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + cam_raw_3_bits_rd <= 5'h0; + end else if (cam_wen[3]) begin + if (x_d_bits_i0load) begin + cam_raw_3_bits_rd <= x_d_bits_i0rd; + end else begin + cam_raw_3_bits_rd <= 5'h0; + end + end else if (_T_185) begin + cam_raw_3_bits_rd <= 5'h0; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + cam_raw_3_bits_wb <= 1'h0; + end else begin + cam_raw_3_bits_wb <= _T_190 | _GEN_90; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + lsu_idle <= 1'h0; + end else begin + lsu_idle <= io_lsu_idle_any; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + _T_339 <= 1'h0; + end else begin + _T_339 <= io_dec_tlu_flush_extint; + end + end + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + if (reset) begin + x_d_bits_i0v <= 1'h0; + end else begin + x_d_bits_i0v <= i0_rd_en_d & i0_legal_decode_d; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + i0_x_c_load <= 1'h0; + end else if (i0_x_ctl_en) begin + i0_x_c_load <= i0_d_c_load; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + i0_r_c_load <= 1'h0; + end else if (i0_r_ctl_en) begin + i0_r_c_load <= i0_x_c_load; + end + end + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin + if (reset) begin + r_d_bits_csrwen <= 1'h0; + end else begin + r_d_bits_csrwen <= x_d_bits_csrwen; + end + end + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin + if (reset) begin + r_d_valid <= 1'h0; + end else begin + r_d_valid <= _T_742 & _T_280; + end + end + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin + if (reset) begin + r_d_bits_csrwaddr <= 12'h0; + end else begin + r_d_bits_csrwaddr <= x_d_bits_csrwaddr; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + csr_read_x <= 1'h0; + end else begin + csr_read_x <= i0_dp_csr_read & i0_legal_decode_d; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + csr_clr_x <= 1'h0; + end else begin + csr_clr_x <= i0_dp_csr_clr & i0_legal_decode_d; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + csr_set_x <= 1'h0; + end else begin + csr_set_x <= i0_dp_csr_set & i0_legal_decode_d; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + csr_write_x <= 1'h0; + end else begin + csr_write_x <= i0_csr_write & i0_legal_decode_d; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + csr_imm_x <= 1'h0; + end else if (_T_41) begin + csr_imm_x <= 1'h0; + end else begin + csr_imm_x <= i0_dp_raw_csr_imm; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + csrimm_x <= 5'h0; + end else begin + csrimm_x <= io_dec_i0_instr_d[19:15]; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + csr_rddata_x <= 32'h0; + end else begin + csr_rddata_x <= io_dec_csr_rddata_d; + end + end + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin + if (reset) begin + r_d_bits_csrwonly <= 1'h0; + end else begin + r_d_bits_csrwonly <= x_d_bits_csrwonly; + end + end + always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin + if (reset) begin + i0_result_r_raw <= 32'h0; + end else if (_T_766) begin + i0_result_r_raw <= io_lsu_result_m; + end else begin + i0_result_r_raw <= io_decode_exu_exu_i0_result_x; + end + end + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + if (reset) begin + x_d_bits_csrwonly <= 1'h0; + end else begin + x_d_bits_csrwonly <= i0_csr_write_only_d & io_dec_aln_dec_i0_decode_d; + end + end + always @(posedge rvclkhdr_9_io_l1clk or posedge reset) begin + if (reset) begin + wbd_bits_csrwonly <= 1'h0; + end else begin + wbd_bits_csrwonly <= r_d_bits_csrwonly; + end + end + always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + if (reset) begin + _T_468 <= 32'h0; + end else if (io_dec_i0_pc4_d) begin + _T_468 <= io_dec_i0_instr_d; + end else begin + _T_468 <= _T_465; + end + end + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + if (reset) begin + x_t_legal <= 1'h0; + end else begin + x_t_legal <= io_dec_aln_dec_i0_decode_d & i0_legal; + end + end + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + if (reset) begin + x_t_icaf <= 1'h0; + end else begin + x_t_icaf <= i0_icaf_d & i0_legal_decode_d; + end + end + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + if (reset) begin + x_t_icaf_f1 <= 1'h0; + end else begin + x_t_icaf_f1 <= io_dec_i0_icaf_f1_d & i0_legal_decode_d; + end + end + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + if (reset) begin + x_t_icaf_type <= 2'h0; + end else begin + x_t_icaf_type <= io_dec_i0_icaf_type_d; + end + end + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + if (reset) begin + x_t_fence_i <= 1'h0; + end else begin + x_t_fence_i <= _T_520 & i0_legal_decode_d; + end + end + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + if (reset) begin + x_t_i0trigger <= 4'h0; + end else begin + x_t_i0trigger <= io_dec_i0_trigger_match_d & _T_525; + end + end + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + if (reset) begin + x_t_pmu_i0_itype <= 4'h0; + end else begin + x_t_pmu_i0_itype <= _T_255 & _T_277; + end + end + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + if (reset) begin + x_t_pmu_i0_br_unpred <= 1'h0; + end else begin + x_t_pmu_i0_br_unpred <= i0_dp_jal & _T_253; + end + end + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + if (reset) begin + r_t_legal <= 1'h0; + end else begin + r_t_legal <= x_t_legal; + end + end + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + if (reset) begin + r_t_icaf <= 1'h0; + end else begin + r_t_icaf <= x_t_icaf; + end + end + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + if (reset) begin + r_t_icaf_f1 <= 1'h0; + end else begin + r_t_icaf_f1 <= x_t_icaf_f1; + end + end + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + if (reset) begin + r_t_icaf_type <= 2'h0; + end else begin + r_t_icaf_type <= x_t_icaf_type; + end + end + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + if (reset) begin + r_t_fence_i <= 1'h0; + end else begin + r_t_fence_i <= x_t_fence_i; + end + end + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + if (reset) begin + r_t_i0trigger <= 4'h0; + end else begin + r_t_i0trigger <= x_t_i0trigger & _T_534; + end + end + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + if (reset) begin + r_t_pmu_i0_itype <= 4'h0; + end else begin + r_t_pmu_i0_itype <= x_t_pmu_i0_itype; + end + end + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + if (reset) begin + r_t_pmu_i0_br_unpred <= 1'h0; + end else begin + r_t_pmu_i0_br_unpred <= x_t_pmu_i0_br_unpred; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + lsu_trigger_match_r <= 4'h0; + end else begin + lsu_trigger_match_r <= io_lsu_trigger_match_m; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + lsu_pmu_misaligned_r <= 1'h0; + end else begin + lsu_pmu_misaligned_r <= io_lsu_pmu_misaligned_m; + end + end + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin + if (reset) begin + r_d_bits_i0store <= 1'h0; + end else begin + r_d_bits_i0store <= x_d_bits_i0store; + end + end + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin + if (reset) begin + r_d_bits_i0div <= 1'h0; + end else begin + r_d_bits_i0div <= x_d_bits_i0div; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + i0_x_c_mul <= 1'h0; + end else if (i0_x_ctl_en) begin + i0_x_c_mul <= i0_d_c_mul; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + i0_x_c_alu <= 1'h0; + end else if (i0_x_ctl_en) begin + i0_x_c_alu <= i0_d_c_alu; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + i0_r_c_mul <= 1'h0; + end else if (i0_r_ctl_en) begin + i0_r_c_mul <= i0_x_c_mul; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + i0_r_c_alu <= 1'h0; + end else if (i0_r_ctl_en) begin + i0_r_c_alu <= i0_x_c_alu; + end + end + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + if (reset) begin + x_d_bits_i0store <= 1'h0; + end else begin + x_d_bits_i0store <= i0_dp_store & i0_legal_decode_d; + end + end + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + if (reset) begin + x_d_bits_i0div <= 1'h0; + end else begin + x_d_bits_i0div <= i0_dp_div & i0_legal_decode_d; + end + end + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + if (reset) begin + x_d_bits_csrwen <= 1'h0; + end else begin + x_d_bits_csrwen <= io_dec_csr_wen_unq_d & i0_legal_decode_d; + end + end + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + if (reset) begin + x_d_bits_csrwaddr <= 12'h0; + end else begin + x_d_bits_csrwaddr <= io_dec_i0_instr_d[31:20]; + end + end + always @(posedge rvclkhdr_11_io_l1clk or posedge reset) begin + if (reset) begin + last_br_immed_x <= 12'h0; + end else if (io_decode_exu_i0_ap_predict_nt) begin + last_br_immed_x <= _T_786; + end else if (_T_314) begin + last_br_immed_x <= i0_pcall_imm[11:0]; + end else begin + last_br_immed_x <= _T_323; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_826 <= 1'h0; + end else begin + _T_826 <= i0_div_decode_d | _T_825; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + _T_835 <= 5'h0; + end else if (i0_div_decode_d) begin + _T_835 <= i0r_rd; + end + end + always @(posedge rvclkhdr_13_io_l1clk or posedge reset) begin + if (reset) begin + i0_inst_x <= 32'h0; + end else if (io_dec_i0_pc4_d) begin + i0_inst_x <= io_dec_i0_instr_d; + end else begin + i0_inst_x <= _T_465; + end + end + always @(posedge rvclkhdr_14_io_l1clk or posedge reset) begin + if (reset) begin + i0_inst_r <= 32'h0; + end else begin + i0_inst_r <= i0_inst_x; + end + end + always @(posedge rvclkhdr_15_io_l1clk or posedge reset) begin + if (reset) begin + i0_inst_wb <= 32'h0; + end else begin + i0_inst_wb <= i0_inst_r; + end + end + always @(posedge rvclkhdr_16_io_l1clk or posedge reset) begin + if (reset) begin + _T_842 <= 32'h0; + end else begin + _T_842 <= i0_inst_wb; + end + end + always @(posedge rvclkhdr_17_io_l1clk or posedge reset) begin + if (reset) begin + i0_pc_wb <= 31'h0; + end else begin + i0_pc_wb <= io_dec_tlu_i0_pc_r; + end + end + always @(posedge rvclkhdr_18_io_l1clk or posedge reset) begin + if (reset) begin + _T_845 <= 31'h0; + end else begin + _T_845 <= i0_pc_wb; + end + end + always @(posedge rvclkhdr_19_io_l1clk or posedge reset) begin + if (reset) begin + dec_i0_pc_r <= 31'h0; + end else begin + dec_i0_pc_r <= io_dec_alu_exu_i0_pc_x; + end + end +endmodule +module dec_gpr_ctl( + input clock, + input reset, + input [4:0] io_raddr0, + input [4:0] io_raddr1, + input io_wen0, + input [4:0] io_waddr0, + input [31:0] io_wd0, + input io_wen1, + input [4:0] io_waddr1, + input [31:0] io_wd1, + input io_wen2, + input [4:0] io_waddr2, + input [31:0] io_wd2, + input io_scan_mode, + output [31:0] io_gpr_exu_gpr_i0_rs1_d, + output [31:0] io_gpr_exu_gpr_i0_rs2_d +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; + reg [31:0] _RAND_11; + reg [31:0] _RAND_12; + reg [31:0] _RAND_13; + reg [31:0] _RAND_14; + reg [31:0] _RAND_15; + reg [31:0] _RAND_16; + reg [31:0] _RAND_17; + reg [31:0] _RAND_18; + reg [31:0] _RAND_19; + reg [31:0] _RAND_20; + reg [31:0] _RAND_21; + reg [31:0] _RAND_22; + reg [31:0] _RAND_23; + reg [31:0] _RAND_24; + reg [31:0] _RAND_25; + reg [31:0] _RAND_26; + reg [31:0] _RAND_27; + reg [31:0] _RAND_28; + reg [31:0] _RAND_29; + reg [31:0] _RAND_30; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_io_en; // @[lib.scala 368:23] + wire rvclkhdr_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_en; // @[lib.scala 368:23] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_en; // @[lib.scala 368:23] + wire rvclkhdr_2_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_en; // @[lib.scala 368:23] + wire rvclkhdr_3_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_4_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_en; // @[lib.scala 368:23] + wire rvclkhdr_4_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_5_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_en; // @[lib.scala 368:23] + wire rvclkhdr_5_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_6_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_6_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_6_io_en; // @[lib.scala 368:23] + wire rvclkhdr_6_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_7_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_7_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_7_io_en; // @[lib.scala 368:23] + wire rvclkhdr_7_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_8_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_8_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_8_io_en; // @[lib.scala 368:23] + wire rvclkhdr_8_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_9_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_9_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_9_io_en; // @[lib.scala 368:23] + wire rvclkhdr_9_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_10_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_10_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_10_io_en; // @[lib.scala 368:23] + wire rvclkhdr_10_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_11_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_11_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_11_io_en; // @[lib.scala 368:23] + wire rvclkhdr_11_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_12_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_12_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_12_io_en; // @[lib.scala 368:23] + wire rvclkhdr_12_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_13_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_13_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_13_io_en; // @[lib.scala 368:23] + wire rvclkhdr_13_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_14_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_14_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_14_io_en; // @[lib.scala 368:23] + wire rvclkhdr_14_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_15_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_15_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_15_io_en; // @[lib.scala 368:23] + wire rvclkhdr_15_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_16_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_16_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_16_io_en; // @[lib.scala 368:23] + wire rvclkhdr_16_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_17_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_17_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_17_io_en; // @[lib.scala 368:23] + wire rvclkhdr_17_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_18_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_18_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_18_io_en; // @[lib.scala 368:23] + wire rvclkhdr_18_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_19_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_19_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_19_io_en; // @[lib.scala 368:23] + wire rvclkhdr_19_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_20_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_20_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_20_io_en; // @[lib.scala 368:23] + wire rvclkhdr_20_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_21_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_21_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_21_io_en; // @[lib.scala 368:23] + wire rvclkhdr_21_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_22_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_22_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_22_io_en; // @[lib.scala 368:23] + wire rvclkhdr_22_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_23_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_23_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_23_io_en; // @[lib.scala 368:23] + wire rvclkhdr_23_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_24_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_24_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_24_io_en; // @[lib.scala 368:23] + wire rvclkhdr_24_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_25_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_25_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_25_io_en; // @[lib.scala 368:23] + wire rvclkhdr_25_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_26_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_26_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_26_io_en; // @[lib.scala 368:23] + wire rvclkhdr_26_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_27_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_27_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_27_io_en; // @[lib.scala 368:23] + wire rvclkhdr_27_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_28_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_28_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_28_io_en; // @[lib.scala 368:23] + wire rvclkhdr_28_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_29_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_29_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_29_io_en; // @[lib.scala 368:23] + wire rvclkhdr_29_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_30_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_30_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_30_io_en; // @[lib.scala 368:23] + wire rvclkhdr_30_io_scan_mode; // @[lib.scala 368:23] + wire _T = io_waddr0 == 5'h1; // @[dec_gpr_ctl.scala 52:45] + wire w0v_1 = io_wen0 & _T; // @[dec_gpr_ctl.scala 52:33] + wire _T_2 = io_waddr1 == 5'h1; // @[dec_gpr_ctl.scala 53:45] + wire w1v_1 = io_wen1 & _T_2; // @[dec_gpr_ctl.scala 53:33] + wire _T_4 = io_waddr2 == 5'h1; // @[dec_gpr_ctl.scala 54:45] + wire w2v_1 = io_wen2 & _T_4; // @[dec_gpr_ctl.scala 54:33] + wire [31:0] _T_7 = w0v_1 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_8 = _T_7 & io_wd0; // @[dec_gpr_ctl.scala 55:42] + wire [31:0] _T_10 = w1v_1 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_11 = _T_10 & io_wd1; // @[dec_gpr_ctl.scala 55:71] + wire [31:0] _T_12 = _T_8 | _T_11; // @[dec_gpr_ctl.scala 55:52] + wire [31:0] _T_14 = w2v_1 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_15 = _T_14 & io_wd2; // @[dec_gpr_ctl.scala 55:100] + wire _T_17 = io_waddr0 == 5'h2; // @[dec_gpr_ctl.scala 52:45] + wire w0v_2 = io_wen0 & _T_17; // @[dec_gpr_ctl.scala 52:33] + wire _T_19 = io_waddr1 == 5'h2; // @[dec_gpr_ctl.scala 53:45] + wire w1v_2 = io_wen1 & _T_19; // @[dec_gpr_ctl.scala 53:33] + wire _T_21 = io_waddr2 == 5'h2; // @[dec_gpr_ctl.scala 54:45] + wire w2v_2 = io_wen2 & _T_21; // @[dec_gpr_ctl.scala 54:33] + wire [31:0] _T_24 = w0v_2 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_25 = _T_24 & io_wd0; // @[dec_gpr_ctl.scala 55:42] + wire [31:0] _T_27 = w1v_2 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_28 = _T_27 & io_wd1; // @[dec_gpr_ctl.scala 55:71] + wire [31:0] _T_29 = _T_25 | _T_28; // @[dec_gpr_ctl.scala 55:52] + wire [31:0] _T_31 = w2v_2 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_32 = _T_31 & io_wd2; // @[dec_gpr_ctl.scala 55:100] + wire _T_34 = io_waddr0 == 5'h3; // @[dec_gpr_ctl.scala 52:45] + wire w0v_3 = io_wen0 & _T_34; // @[dec_gpr_ctl.scala 52:33] + wire _T_36 = io_waddr1 == 5'h3; // @[dec_gpr_ctl.scala 53:45] + wire w1v_3 = io_wen1 & _T_36; // @[dec_gpr_ctl.scala 53:33] + wire _T_38 = io_waddr2 == 5'h3; // @[dec_gpr_ctl.scala 54:45] + wire w2v_3 = io_wen2 & _T_38; // @[dec_gpr_ctl.scala 54:33] + wire [31:0] _T_41 = w0v_3 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_42 = _T_41 & io_wd0; // @[dec_gpr_ctl.scala 55:42] + wire [31:0] _T_44 = w1v_3 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_45 = _T_44 & io_wd1; // @[dec_gpr_ctl.scala 55:71] + wire [31:0] _T_46 = _T_42 | _T_45; // @[dec_gpr_ctl.scala 55:52] + wire [31:0] _T_48 = w2v_3 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_49 = _T_48 & io_wd2; // @[dec_gpr_ctl.scala 55:100] + wire _T_51 = io_waddr0 == 5'h4; // @[dec_gpr_ctl.scala 52:45] + wire w0v_4 = io_wen0 & _T_51; // @[dec_gpr_ctl.scala 52:33] + wire _T_53 = io_waddr1 == 5'h4; // @[dec_gpr_ctl.scala 53:45] + wire w1v_4 = io_wen1 & _T_53; // @[dec_gpr_ctl.scala 53:33] + wire _T_55 = io_waddr2 == 5'h4; // @[dec_gpr_ctl.scala 54:45] + wire w2v_4 = io_wen2 & _T_55; // @[dec_gpr_ctl.scala 54:33] + wire [31:0] _T_58 = w0v_4 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_59 = _T_58 & io_wd0; // @[dec_gpr_ctl.scala 55:42] + wire [31:0] _T_61 = w1v_4 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_62 = _T_61 & io_wd1; // @[dec_gpr_ctl.scala 55:71] + wire [31:0] _T_63 = _T_59 | _T_62; // @[dec_gpr_ctl.scala 55:52] + wire [31:0] _T_65 = w2v_4 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_66 = _T_65 & io_wd2; // @[dec_gpr_ctl.scala 55:100] + wire _T_68 = io_waddr0 == 5'h5; // @[dec_gpr_ctl.scala 52:45] + wire w0v_5 = io_wen0 & _T_68; // @[dec_gpr_ctl.scala 52:33] + wire _T_70 = io_waddr1 == 5'h5; // @[dec_gpr_ctl.scala 53:45] + wire w1v_5 = io_wen1 & _T_70; // @[dec_gpr_ctl.scala 53:33] + wire _T_72 = io_waddr2 == 5'h5; // @[dec_gpr_ctl.scala 54:45] + wire w2v_5 = io_wen2 & _T_72; // @[dec_gpr_ctl.scala 54:33] + wire [31:0] _T_75 = w0v_5 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_76 = _T_75 & io_wd0; // @[dec_gpr_ctl.scala 55:42] + wire [31:0] _T_78 = w1v_5 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_79 = _T_78 & io_wd1; // @[dec_gpr_ctl.scala 55:71] + wire [31:0] _T_80 = _T_76 | _T_79; // @[dec_gpr_ctl.scala 55:52] + wire [31:0] _T_82 = w2v_5 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_83 = _T_82 & io_wd2; // @[dec_gpr_ctl.scala 55:100] + wire _T_85 = io_waddr0 == 5'h6; // @[dec_gpr_ctl.scala 52:45] + wire w0v_6 = io_wen0 & _T_85; // @[dec_gpr_ctl.scala 52:33] + wire _T_87 = io_waddr1 == 5'h6; // @[dec_gpr_ctl.scala 53:45] + wire w1v_6 = io_wen1 & _T_87; // @[dec_gpr_ctl.scala 53:33] + wire _T_89 = io_waddr2 == 5'h6; // @[dec_gpr_ctl.scala 54:45] + wire w2v_6 = io_wen2 & _T_89; // @[dec_gpr_ctl.scala 54:33] + wire [31:0] _T_92 = w0v_6 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_93 = _T_92 & io_wd0; // @[dec_gpr_ctl.scala 55:42] + wire [31:0] _T_95 = w1v_6 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_96 = _T_95 & io_wd1; // @[dec_gpr_ctl.scala 55:71] + wire [31:0] _T_97 = _T_93 | _T_96; // @[dec_gpr_ctl.scala 55:52] + wire [31:0] _T_99 = w2v_6 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_100 = _T_99 & io_wd2; // @[dec_gpr_ctl.scala 55:100] + wire _T_102 = io_waddr0 == 5'h7; // @[dec_gpr_ctl.scala 52:45] + wire w0v_7 = io_wen0 & _T_102; // @[dec_gpr_ctl.scala 52:33] + wire _T_104 = io_waddr1 == 5'h7; // @[dec_gpr_ctl.scala 53:45] + wire w1v_7 = io_wen1 & _T_104; // @[dec_gpr_ctl.scala 53:33] + wire _T_106 = io_waddr2 == 5'h7; // @[dec_gpr_ctl.scala 54:45] + wire w2v_7 = io_wen2 & _T_106; // @[dec_gpr_ctl.scala 54:33] + wire [31:0] _T_109 = w0v_7 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_110 = _T_109 & io_wd0; // @[dec_gpr_ctl.scala 55:42] + wire [31:0] _T_112 = w1v_7 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_113 = _T_112 & io_wd1; // @[dec_gpr_ctl.scala 55:71] + wire [31:0] _T_114 = _T_110 | _T_113; // @[dec_gpr_ctl.scala 55:52] + wire [31:0] _T_116 = w2v_7 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_117 = _T_116 & io_wd2; // @[dec_gpr_ctl.scala 55:100] + wire _T_119 = io_waddr0 == 5'h8; // @[dec_gpr_ctl.scala 52:45] + wire w0v_8 = io_wen0 & _T_119; // @[dec_gpr_ctl.scala 52:33] + wire _T_121 = io_waddr1 == 5'h8; // @[dec_gpr_ctl.scala 53:45] + wire w1v_8 = io_wen1 & _T_121; // @[dec_gpr_ctl.scala 53:33] + wire _T_123 = io_waddr2 == 5'h8; // @[dec_gpr_ctl.scala 54:45] + wire w2v_8 = io_wen2 & _T_123; // @[dec_gpr_ctl.scala 54:33] + wire [31:0] _T_126 = w0v_8 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_127 = _T_126 & io_wd0; // @[dec_gpr_ctl.scala 55:42] + wire [31:0] _T_129 = w1v_8 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_130 = _T_129 & io_wd1; // @[dec_gpr_ctl.scala 55:71] + wire [31:0] _T_131 = _T_127 | _T_130; // @[dec_gpr_ctl.scala 55:52] + wire [31:0] _T_133 = w2v_8 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_134 = _T_133 & io_wd2; // @[dec_gpr_ctl.scala 55:100] + wire _T_136 = io_waddr0 == 5'h9; // @[dec_gpr_ctl.scala 52:45] + wire w0v_9 = io_wen0 & _T_136; // @[dec_gpr_ctl.scala 52:33] + wire _T_138 = io_waddr1 == 5'h9; // @[dec_gpr_ctl.scala 53:45] + wire w1v_9 = io_wen1 & _T_138; // @[dec_gpr_ctl.scala 53:33] + wire _T_140 = io_waddr2 == 5'h9; // @[dec_gpr_ctl.scala 54:45] + wire w2v_9 = io_wen2 & _T_140; // @[dec_gpr_ctl.scala 54:33] + wire [31:0] _T_143 = w0v_9 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_144 = _T_143 & io_wd0; // @[dec_gpr_ctl.scala 55:42] + wire [31:0] _T_146 = w1v_9 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_147 = _T_146 & io_wd1; // @[dec_gpr_ctl.scala 55:71] + wire [31:0] _T_148 = _T_144 | _T_147; // @[dec_gpr_ctl.scala 55:52] + wire [31:0] _T_150 = w2v_9 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_151 = _T_150 & io_wd2; // @[dec_gpr_ctl.scala 55:100] + wire _T_153 = io_waddr0 == 5'ha; // @[dec_gpr_ctl.scala 52:45] + wire w0v_10 = io_wen0 & _T_153; // @[dec_gpr_ctl.scala 52:33] + wire _T_155 = io_waddr1 == 5'ha; // @[dec_gpr_ctl.scala 53:45] + wire w1v_10 = io_wen1 & _T_155; // @[dec_gpr_ctl.scala 53:33] + wire _T_157 = io_waddr2 == 5'ha; // @[dec_gpr_ctl.scala 54:45] + wire w2v_10 = io_wen2 & _T_157; // @[dec_gpr_ctl.scala 54:33] + wire [31:0] _T_160 = w0v_10 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_161 = _T_160 & io_wd0; // @[dec_gpr_ctl.scala 55:42] + wire [31:0] _T_163 = w1v_10 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_164 = _T_163 & io_wd1; // @[dec_gpr_ctl.scala 55:71] + wire [31:0] _T_165 = _T_161 | _T_164; // @[dec_gpr_ctl.scala 55:52] + wire [31:0] _T_167 = w2v_10 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_168 = _T_167 & io_wd2; // @[dec_gpr_ctl.scala 55:100] + wire _T_170 = io_waddr0 == 5'hb; // @[dec_gpr_ctl.scala 52:45] + wire w0v_11 = io_wen0 & _T_170; // @[dec_gpr_ctl.scala 52:33] + wire _T_172 = io_waddr1 == 5'hb; // @[dec_gpr_ctl.scala 53:45] + wire w1v_11 = io_wen1 & _T_172; // @[dec_gpr_ctl.scala 53:33] + wire _T_174 = io_waddr2 == 5'hb; // @[dec_gpr_ctl.scala 54:45] + wire w2v_11 = io_wen2 & _T_174; // @[dec_gpr_ctl.scala 54:33] + wire [31:0] _T_177 = w0v_11 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_178 = _T_177 & io_wd0; // @[dec_gpr_ctl.scala 55:42] + wire [31:0] _T_180 = w1v_11 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_181 = _T_180 & io_wd1; // @[dec_gpr_ctl.scala 55:71] + wire [31:0] _T_182 = _T_178 | _T_181; // @[dec_gpr_ctl.scala 55:52] + wire [31:0] _T_184 = w2v_11 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_185 = _T_184 & io_wd2; // @[dec_gpr_ctl.scala 55:100] + wire _T_187 = io_waddr0 == 5'hc; // @[dec_gpr_ctl.scala 52:45] + wire w0v_12 = io_wen0 & _T_187; // @[dec_gpr_ctl.scala 52:33] + wire _T_189 = io_waddr1 == 5'hc; // @[dec_gpr_ctl.scala 53:45] + wire w1v_12 = io_wen1 & _T_189; // @[dec_gpr_ctl.scala 53:33] + wire _T_191 = io_waddr2 == 5'hc; // @[dec_gpr_ctl.scala 54:45] + wire w2v_12 = io_wen2 & _T_191; // @[dec_gpr_ctl.scala 54:33] + wire [31:0] _T_194 = w0v_12 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_195 = _T_194 & io_wd0; // @[dec_gpr_ctl.scala 55:42] + wire [31:0] _T_197 = w1v_12 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_198 = _T_197 & io_wd1; // @[dec_gpr_ctl.scala 55:71] + wire [31:0] _T_199 = _T_195 | _T_198; // @[dec_gpr_ctl.scala 55:52] + wire [31:0] _T_201 = w2v_12 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_202 = _T_201 & io_wd2; // @[dec_gpr_ctl.scala 55:100] + wire _T_204 = io_waddr0 == 5'hd; // @[dec_gpr_ctl.scala 52:45] + wire w0v_13 = io_wen0 & _T_204; // @[dec_gpr_ctl.scala 52:33] + wire _T_206 = io_waddr1 == 5'hd; // @[dec_gpr_ctl.scala 53:45] + wire w1v_13 = io_wen1 & _T_206; // @[dec_gpr_ctl.scala 53:33] + wire _T_208 = io_waddr2 == 5'hd; // @[dec_gpr_ctl.scala 54:45] + wire w2v_13 = io_wen2 & _T_208; // @[dec_gpr_ctl.scala 54:33] + wire [31:0] _T_211 = w0v_13 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_212 = _T_211 & io_wd0; // @[dec_gpr_ctl.scala 55:42] + wire [31:0] _T_214 = w1v_13 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_215 = _T_214 & io_wd1; // @[dec_gpr_ctl.scala 55:71] + wire [31:0] _T_216 = _T_212 | _T_215; // @[dec_gpr_ctl.scala 55:52] + wire [31:0] _T_218 = w2v_13 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_219 = _T_218 & io_wd2; // @[dec_gpr_ctl.scala 55:100] + wire _T_221 = io_waddr0 == 5'he; // @[dec_gpr_ctl.scala 52:45] + wire w0v_14 = io_wen0 & _T_221; // @[dec_gpr_ctl.scala 52:33] + wire _T_223 = io_waddr1 == 5'he; // @[dec_gpr_ctl.scala 53:45] + wire w1v_14 = io_wen1 & _T_223; // @[dec_gpr_ctl.scala 53:33] + wire _T_225 = io_waddr2 == 5'he; // @[dec_gpr_ctl.scala 54:45] + wire w2v_14 = io_wen2 & _T_225; // @[dec_gpr_ctl.scala 54:33] + wire [31:0] _T_228 = w0v_14 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_229 = _T_228 & io_wd0; // @[dec_gpr_ctl.scala 55:42] + wire [31:0] _T_231 = w1v_14 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_232 = _T_231 & io_wd1; // @[dec_gpr_ctl.scala 55:71] + wire [31:0] _T_233 = _T_229 | _T_232; // @[dec_gpr_ctl.scala 55:52] + wire [31:0] _T_235 = w2v_14 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_236 = _T_235 & io_wd2; // @[dec_gpr_ctl.scala 55:100] + wire _T_238 = io_waddr0 == 5'hf; // @[dec_gpr_ctl.scala 52:45] + wire w0v_15 = io_wen0 & _T_238; // @[dec_gpr_ctl.scala 52:33] + wire _T_240 = io_waddr1 == 5'hf; // @[dec_gpr_ctl.scala 53:45] + wire w1v_15 = io_wen1 & _T_240; // @[dec_gpr_ctl.scala 53:33] + wire _T_242 = io_waddr2 == 5'hf; // @[dec_gpr_ctl.scala 54:45] + wire w2v_15 = io_wen2 & _T_242; // @[dec_gpr_ctl.scala 54:33] + wire [31:0] _T_245 = w0v_15 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_246 = _T_245 & io_wd0; // @[dec_gpr_ctl.scala 55:42] + wire [31:0] _T_248 = w1v_15 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_249 = _T_248 & io_wd1; // @[dec_gpr_ctl.scala 55:71] + wire [31:0] _T_250 = _T_246 | _T_249; // @[dec_gpr_ctl.scala 55:52] + wire [31:0] _T_252 = w2v_15 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_253 = _T_252 & io_wd2; // @[dec_gpr_ctl.scala 55:100] + wire _T_255 = io_waddr0 == 5'h10; // @[dec_gpr_ctl.scala 52:45] + wire w0v_16 = io_wen0 & _T_255; // @[dec_gpr_ctl.scala 52:33] + wire _T_257 = io_waddr1 == 5'h10; // @[dec_gpr_ctl.scala 53:45] + wire w1v_16 = io_wen1 & _T_257; // @[dec_gpr_ctl.scala 53:33] + wire _T_259 = io_waddr2 == 5'h10; // @[dec_gpr_ctl.scala 54:45] + wire w2v_16 = io_wen2 & _T_259; // @[dec_gpr_ctl.scala 54:33] + wire [31:0] _T_262 = w0v_16 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_263 = _T_262 & io_wd0; // @[dec_gpr_ctl.scala 55:42] + wire [31:0] _T_265 = w1v_16 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_266 = _T_265 & io_wd1; // @[dec_gpr_ctl.scala 55:71] + wire [31:0] _T_267 = _T_263 | _T_266; // @[dec_gpr_ctl.scala 55:52] + wire [31:0] _T_269 = w2v_16 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_270 = _T_269 & io_wd2; // @[dec_gpr_ctl.scala 55:100] + wire _T_272 = io_waddr0 == 5'h11; // @[dec_gpr_ctl.scala 52:45] + wire w0v_17 = io_wen0 & _T_272; // @[dec_gpr_ctl.scala 52:33] + wire _T_274 = io_waddr1 == 5'h11; // @[dec_gpr_ctl.scala 53:45] + wire w1v_17 = io_wen1 & _T_274; // @[dec_gpr_ctl.scala 53:33] + wire _T_276 = io_waddr2 == 5'h11; // @[dec_gpr_ctl.scala 54:45] + wire w2v_17 = io_wen2 & _T_276; // @[dec_gpr_ctl.scala 54:33] + wire [31:0] _T_279 = w0v_17 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_280 = _T_279 & io_wd0; // @[dec_gpr_ctl.scala 55:42] + wire [31:0] _T_282 = w1v_17 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_283 = _T_282 & io_wd1; // @[dec_gpr_ctl.scala 55:71] + wire [31:0] _T_284 = _T_280 | _T_283; // @[dec_gpr_ctl.scala 55:52] + wire [31:0] _T_286 = w2v_17 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_287 = _T_286 & io_wd2; // @[dec_gpr_ctl.scala 55:100] + wire _T_289 = io_waddr0 == 5'h12; // @[dec_gpr_ctl.scala 52:45] + wire w0v_18 = io_wen0 & _T_289; // @[dec_gpr_ctl.scala 52:33] + wire _T_291 = io_waddr1 == 5'h12; // @[dec_gpr_ctl.scala 53:45] + wire w1v_18 = io_wen1 & _T_291; // @[dec_gpr_ctl.scala 53:33] + wire _T_293 = io_waddr2 == 5'h12; // @[dec_gpr_ctl.scala 54:45] + wire w2v_18 = io_wen2 & _T_293; // @[dec_gpr_ctl.scala 54:33] + wire [31:0] _T_296 = w0v_18 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_297 = _T_296 & io_wd0; // @[dec_gpr_ctl.scala 55:42] + wire [31:0] _T_299 = w1v_18 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_300 = _T_299 & io_wd1; // @[dec_gpr_ctl.scala 55:71] + wire [31:0] _T_301 = _T_297 | _T_300; // @[dec_gpr_ctl.scala 55:52] + wire [31:0] _T_303 = w2v_18 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_304 = _T_303 & io_wd2; // @[dec_gpr_ctl.scala 55:100] + wire _T_306 = io_waddr0 == 5'h13; // @[dec_gpr_ctl.scala 52:45] + wire w0v_19 = io_wen0 & _T_306; // @[dec_gpr_ctl.scala 52:33] + wire _T_308 = io_waddr1 == 5'h13; // @[dec_gpr_ctl.scala 53:45] + wire w1v_19 = io_wen1 & _T_308; // @[dec_gpr_ctl.scala 53:33] + wire _T_310 = io_waddr2 == 5'h13; // @[dec_gpr_ctl.scala 54:45] + wire w2v_19 = io_wen2 & _T_310; // @[dec_gpr_ctl.scala 54:33] + wire [31:0] _T_313 = w0v_19 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_314 = _T_313 & io_wd0; // @[dec_gpr_ctl.scala 55:42] + wire [31:0] _T_316 = w1v_19 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_317 = _T_316 & io_wd1; // @[dec_gpr_ctl.scala 55:71] + wire [31:0] _T_318 = _T_314 | _T_317; // @[dec_gpr_ctl.scala 55:52] + wire [31:0] _T_320 = w2v_19 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_321 = _T_320 & io_wd2; // @[dec_gpr_ctl.scala 55:100] + wire _T_323 = io_waddr0 == 5'h14; // @[dec_gpr_ctl.scala 52:45] + wire w0v_20 = io_wen0 & _T_323; // @[dec_gpr_ctl.scala 52:33] + wire _T_325 = io_waddr1 == 5'h14; // @[dec_gpr_ctl.scala 53:45] + wire w1v_20 = io_wen1 & _T_325; // @[dec_gpr_ctl.scala 53:33] + wire _T_327 = io_waddr2 == 5'h14; // @[dec_gpr_ctl.scala 54:45] + wire w2v_20 = io_wen2 & _T_327; // @[dec_gpr_ctl.scala 54:33] + wire [31:0] _T_330 = w0v_20 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_331 = _T_330 & io_wd0; // @[dec_gpr_ctl.scala 55:42] + wire [31:0] _T_333 = w1v_20 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_334 = _T_333 & io_wd1; // @[dec_gpr_ctl.scala 55:71] + wire [31:0] _T_335 = _T_331 | _T_334; // @[dec_gpr_ctl.scala 55:52] + wire [31:0] _T_337 = w2v_20 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_338 = _T_337 & io_wd2; // @[dec_gpr_ctl.scala 55:100] + wire _T_340 = io_waddr0 == 5'h15; // @[dec_gpr_ctl.scala 52:45] + wire w0v_21 = io_wen0 & _T_340; // @[dec_gpr_ctl.scala 52:33] + wire _T_342 = io_waddr1 == 5'h15; // @[dec_gpr_ctl.scala 53:45] + wire w1v_21 = io_wen1 & _T_342; // @[dec_gpr_ctl.scala 53:33] + wire _T_344 = io_waddr2 == 5'h15; // @[dec_gpr_ctl.scala 54:45] + wire w2v_21 = io_wen2 & _T_344; // @[dec_gpr_ctl.scala 54:33] + wire [31:0] _T_347 = w0v_21 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_348 = _T_347 & io_wd0; // @[dec_gpr_ctl.scala 55:42] + wire [31:0] _T_350 = w1v_21 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_351 = _T_350 & io_wd1; // @[dec_gpr_ctl.scala 55:71] + wire [31:0] _T_352 = _T_348 | _T_351; // @[dec_gpr_ctl.scala 55:52] + wire [31:0] _T_354 = w2v_21 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_355 = _T_354 & io_wd2; // @[dec_gpr_ctl.scala 55:100] + wire _T_357 = io_waddr0 == 5'h16; // @[dec_gpr_ctl.scala 52:45] + wire w0v_22 = io_wen0 & _T_357; // @[dec_gpr_ctl.scala 52:33] + wire _T_359 = io_waddr1 == 5'h16; // @[dec_gpr_ctl.scala 53:45] + wire w1v_22 = io_wen1 & _T_359; // @[dec_gpr_ctl.scala 53:33] + wire _T_361 = io_waddr2 == 5'h16; // @[dec_gpr_ctl.scala 54:45] + wire w2v_22 = io_wen2 & _T_361; // @[dec_gpr_ctl.scala 54:33] + wire [31:0] _T_364 = w0v_22 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_365 = _T_364 & io_wd0; // @[dec_gpr_ctl.scala 55:42] + wire [31:0] _T_367 = w1v_22 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_368 = _T_367 & io_wd1; // @[dec_gpr_ctl.scala 55:71] + wire [31:0] _T_369 = _T_365 | _T_368; // @[dec_gpr_ctl.scala 55:52] + wire [31:0] _T_371 = w2v_22 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_372 = _T_371 & io_wd2; // @[dec_gpr_ctl.scala 55:100] + wire _T_374 = io_waddr0 == 5'h17; // @[dec_gpr_ctl.scala 52:45] + wire w0v_23 = io_wen0 & _T_374; // @[dec_gpr_ctl.scala 52:33] + wire _T_376 = io_waddr1 == 5'h17; // @[dec_gpr_ctl.scala 53:45] + wire w1v_23 = io_wen1 & _T_376; // @[dec_gpr_ctl.scala 53:33] + wire _T_378 = io_waddr2 == 5'h17; // @[dec_gpr_ctl.scala 54:45] + wire w2v_23 = io_wen2 & _T_378; // @[dec_gpr_ctl.scala 54:33] + wire [31:0] _T_381 = w0v_23 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_382 = _T_381 & io_wd0; // @[dec_gpr_ctl.scala 55:42] + wire [31:0] _T_384 = w1v_23 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_385 = _T_384 & io_wd1; // @[dec_gpr_ctl.scala 55:71] + wire [31:0] _T_386 = _T_382 | _T_385; // @[dec_gpr_ctl.scala 55:52] + wire [31:0] _T_388 = w2v_23 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_389 = _T_388 & io_wd2; // @[dec_gpr_ctl.scala 55:100] + wire _T_391 = io_waddr0 == 5'h18; // @[dec_gpr_ctl.scala 52:45] + wire w0v_24 = io_wen0 & _T_391; // @[dec_gpr_ctl.scala 52:33] + wire _T_393 = io_waddr1 == 5'h18; // @[dec_gpr_ctl.scala 53:45] + wire w1v_24 = io_wen1 & _T_393; // @[dec_gpr_ctl.scala 53:33] + wire _T_395 = io_waddr2 == 5'h18; // @[dec_gpr_ctl.scala 54:45] + wire w2v_24 = io_wen2 & _T_395; // @[dec_gpr_ctl.scala 54:33] + wire [31:0] _T_398 = w0v_24 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_399 = _T_398 & io_wd0; // @[dec_gpr_ctl.scala 55:42] + wire [31:0] _T_401 = w1v_24 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_402 = _T_401 & io_wd1; // @[dec_gpr_ctl.scala 55:71] + wire [31:0] _T_403 = _T_399 | _T_402; // @[dec_gpr_ctl.scala 55:52] + wire [31:0] _T_405 = w2v_24 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_406 = _T_405 & io_wd2; // @[dec_gpr_ctl.scala 55:100] + wire _T_408 = io_waddr0 == 5'h19; // @[dec_gpr_ctl.scala 52:45] + wire w0v_25 = io_wen0 & _T_408; // @[dec_gpr_ctl.scala 52:33] + wire _T_410 = io_waddr1 == 5'h19; // @[dec_gpr_ctl.scala 53:45] + wire w1v_25 = io_wen1 & _T_410; // @[dec_gpr_ctl.scala 53:33] + wire _T_412 = io_waddr2 == 5'h19; // @[dec_gpr_ctl.scala 54:45] + wire w2v_25 = io_wen2 & _T_412; // @[dec_gpr_ctl.scala 54:33] + wire [31:0] _T_415 = w0v_25 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_416 = _T_415 & io_wd0; // @[dec_gpr_ctl.scala 55:42] + wire [31:0] _T_418 = w1v_25 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_419 = _T_418 & io_wd1; // @[dec_gpr_ctl.scala 55:71] + wire [31:0] _T_420 = _T_416 | _T_419; // @[dec_gpr_ctl.scala 55:52] + wire [31:0] _T_422 = w2v_25 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_423 = _T_422 & io_wd2; // @[dec_gpr_ctl.scala 55:100] + wire _T_425 = io_waddr0 == 5'h1a; // @[dec_gpr_ctl.scala 52:45] + wire w0v_26 = io_wen0 & _T_425; // @[dec_gpr_ctl.scala 52:33] + wire _T_427 = io_waddr1 == 5'h1a; // @[dec_gpr_ctl.scala 53:45] + wire w1v_26 = io_wen1 & _T_427; // @[dec_gpr_ctl.scala 53:33] + wire _T_429 = io_waddr2 == 5'h1a; // @[dec_gpr_ctl.scala 54:45] + wire w2v_26 = io_wen2 & _T_429; // @[dec_gpr_ctl.scala 54:33] + wire [31:0] _T_432 = w0v_26 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_433 = _T_432 & io_wd0; // @[dec_gpr_ctl.scala 55:42] + wire [31:0] _T_435 = w1v_26 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_436 = _T_435 & io_wd1; // @[dec_gpr_ctl.scala 55:71] + wire [31:0] _T_437 = _T_433 | _T_436; // @[dec_gpr_ctl.scala 55:52] + wire [31:0] _T_439 = w2v_26 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_440 = _T_439 & io_wd2; // @[dec_gpr_ctl.scala 55:100] + wire _T_442 = io_waddr0 == 5'h1b; // @[dec_gpr_ctl.scala 52:45] + wire w0v_27 = io_wen0 & _T_442; // @[dec_gpr_ctl.scala 52:33] + wire _T_444 = io_waddr1 == 5'h1b; // @[dec_gpr_ctl.scala 53:45] + wire w1v_27 = io_wen1 & _T_444; // @[dec_gpr_ctl.scala 53:33] + wire _T_446 = io_waddr2 == 5'h1b; // @[dec_gpr_ctl.scala 54:45] + wire w2v_27 = io_wen2 & _T_446; // @[dec_gpr_ctl.scala 54:33] + wire [31:0] _T_449 = w0v_27 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_450 = _T_449 & io_wd0; // @[dec_gpr_ctl.scala 55:42] + wire [31:0] _T_452 = w1v_27 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_453 = _T_452 & io_wd1; // @[dec_gpr_ctl.scala 55:71] + wire [31:0] _T_454 = _T_450 | _T_453; // @[dec_gpr_ctl.scala 55:52] + wire [31:0] _T_456 = w2v_27 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_457 = _T_456 & io_wd2; // @[dec_gpr_ctl.scala 55:100] + wire _T_459 = io_waddr0 == 5'h1c; // @[dec_gpr_ctl.scala 52:45] + wire w0v_28 = io_wen0 & _T_459; // @[dec_gpr_ctl.scala 52:33] + wire _T_461 = io_waddr1 == 5'h1c; // @[dec_gpr_ctl.scala 53:45] + wire w1v_28 = io_wen1 & _T_461; // @[dec_gpr_ctl.scala 53:33] + wire _T_463 = io_waddr2 == 5'h1c; // @[dec_gpr_ctl.scala 54:45] + wire w2v_28 = io_wen2 & _T_463; // @[dec_gpr_ctl.scala 54:33] + wire [31:0] _T_466 = w0v_28 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_467 = _T_466 & io_wd0; // @[dec_gpr_ctl.scala 55:42] + wire [31:0] _T_469 = w1v_28 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_470 = _T_469 & io_wd1; // @[dec_gpr_ctl.scala 55:71] + wire [31:0] _T_471 = _T_467 | _T_470; // @[dec_gpr_ctl.scala 55:52] + wire [31:0] _T_473 = w2v_28 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_474 = _T_473 & io_wd2; // @[dec_gpr_ctl.scala 55:100] + wire _T_476 = io_waddr0 == 5'h1d; // @[dec_gpr_ctl.scala 52:45] + wire w0v_29 = io_wen0 & _T_476; // @[dec_gpr_ctl.scala 52:33] + wire _T_478 = io_waddr1 == 5'h1d; // @[dec_gpr_ctl.scala 53:45] + wire w1v_29 = io_wen1 & _T_478; // @[dec_gpr_ctl.scala 53:33] + wire _T_480 = io_waddr2 == 5'h1d; // @[dec_gpr_ctl.scala 54:45] + wire w2v_29 = io_wen2 & _T_480; // @[dec_gpr_ctl.scala 54:33] + wire [31:0] _T_483 = w0v_29 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_484 = _T_483 & io_wd0; // @[dec_gpr_ctl.scala 55:42] + wire [31:0] _T_486 = w1v_29 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_487 = _T_486 & io_wd1; // @[dec_gpr_ctl.scala 55:71] + wire [31:0] _T_488 = _T_484 | _T_487; // @[dec_gpr_ctl.scala 55:52] + wire [31:0] _T_490 = w2v_29 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_491 = _T_490 & io_wd2; // @[dec_gpr_ctl.scala 55:100] + wire _T_493 = io_waddr0 == 5'h1e; // @[dec_gpr_ctl.scala 52:45] + wire w0v_30 = io_wen0 & _T_493; // @[dec_gpr_ctl.scala 52:33] + wire _T_495 = io_waddr1 == 5'h1e; // @[dec_gpr_ctl.scala 53:45] + wire w1v_30 = io_wen1 & _T_495; // @[dec_gpr_ctl.scala 53:33] + wire _T_497 = io_waddr2 == 5'h1e; // @[dec_gpr_ctl.scala 54:45] + wire w2v_30 = io_wen2 & _T_497; // @[dec_gpr_ctl.scala 54:33] + wire [31:0] _T_500 = w0v_30 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_501 = _T_500 & io_wd0; // @[dec_gpr_ctl.scala 55:42] + wire [31:0] _T_503 = w1v_30 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_504 = _T_503 & io_wd1; // @[dec_gpr_ctl.scala 55:71] + wire [31:0] _T_505 = _T_501 | _T_504; // @[dec_gpr_ctl.scala 55:52] + wire [31:0] _T_507 = w2v_30 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_508 = _T_507 & io_wd2; // @[dec_gpr_ctl.scala 55:100] + wire _T_510 = io_waddr0 == 5'h1f; // @[dec_gpr_ctl.scala 52:45] + wire w0v_31 = io_wen0 & _T_510; // @[dec_gpr_ctl.scala 52:33] + wire _T_512 = io_waddr1 == 5'h1f; // @[dec_gpr_ctl.scala 53:45] + wire w1v_31 = io_wen1 & _T_512; // @[dec_gpr_ctl.scala 53:33] + wire _T_514 = io_waddr2 == 5'h1f; // @[dec_gpr_ctl.scala 54:45] + wire w2v_31 = io_wen2 & _T_514; // @[dec_gpr_ctl.scala 54:33] + wire [31:0] _T_517 = w0v_31 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_518 = _T_517 & io_wd0; // @[dec_gpr_ctl.scala 55:42] + wire [31:0] _T_520 = w1v_31 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_521 = _T_520 & io_wd1; // @[dec_gpr_ctl.scala 55:71] + wire [31:0] _T_522 = _T_518 | _T_521; // @[dec_gpr_ctl.scala 55:52] + wire [31:0] _T_524 = w2v_31 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_525 = _T_524 & io_wd2; // @[dec_gpr_ctl.scala 55:100] + wire [9:0] _T_535 = {w0v_9,w0v_8,w0v_7,w0v_6,w0v_5,w0v_4,w0v_3,w0v_2,w0v_1,1'h0}; // @[Cat.scala 29:58] + wire [18:0] _T_544 = {w0v_18,w0v_17,w0v_16,w0v_15,w0v_14,w0v_13,w0v_12,w0v_11,w0v_10,_T_535}; // @[Cat.scala 29:58] + wire [27:0] _T_553 = {w0v_27,w0v_26,w0v_25,w0v_24,w0v_23,w0v_22,w0v_21,w0v_20,w0v_19,_T_544}; // @[Cat.scala 29:58] + wire [31:0] _T_557 = {w0v_31,w0v_30,w0v_29,w0v_28,_T_553}; // @[Cat.scala 29:58] + wire [9:0] _T_566 = {w1v_9,w1v_8,w1v_7,w1v_6,w1v_5,w1v_4,w1v_3,w1v_2,w1v_1,1'h0}; // @[Cat.scala 29:58] + wire [18:0] _T_575 = {w1v_18,w1v_17,w1v_16,w1v_15,w1v_14,w1v_13,w1v_12,w1v_11,w1v_10,_T_566}; // @[Cat.scala 29:58] + wire [27:0] _T_584 = {w1v_27,w1v_26,w1v_25,w1v_24,w1v_23,w1v_22,w1v_21,w1v_20,w1v_19,_T_575}; // @[Cat.scala 29:58] + wire [31:0] _T_588 = {w1v_31,w1v_30,w1v_29,w1v_28,_T_584}; // @[Cat.scala 29:58] + wire [31:0] _T_589 = _T_557 | _T_588; // @[dec_gpr_ctl.scala 57:57] + wire [9:0] _T_598 = {w2v_9,w2v_8,w2v_7,w2v_6,w2v_5,w2v_4,w2v_3,w2v_2,w2v_1,1'h0}; // @[Cat.scala 29:58] + wire [18:0] _T_607 = {w2v_18,w2v_17,w2v_16,w2v_15,w2v_14,w2v_13,w2v_12,w2v_11,w2v_10,_T_598}; // @[Cat.scala 29:58] + wire [27:0] _T_616 = {w2v_27,w2v_26,w2v_25,w2v_24,w2v_23,w2v_22,w2v_21,w2v_20,w2v_19,_T_607}; // @[Cat.scala 29:58] + wire [31:0] _T_620 = {w2v_31,w2v_30,w2v_29,w2v_28,_T_616}; // @[Cat.scala 29:58] + wire [31:0] gpr_wr_en = _T_589 | _T_620; // @[dec_gpr_ctl.scala 57:95] + reg [31:0] gpr_out_1; // @[lib.scala 374:16] + reg [31:0] gpr_out_2; // @[lib.scala 374:16] + reg [31:0] gpr_out_3; // @[lib.scala 374:16] + reg [31:0] gpr_out_4; // @[lib.scala 374:16] + reg [31:0] gpr_out_5; // @[lib.scala 374:16] + reg [31:0] gpr_out_6; // @[lib.scala 374:16] + reg [31:0] gpr_out_7; // @[lib.scala 374:16] + reg [31:0] gpr_out_8; // @[lib.scala 374:16] + reg [31:0] gpr_out_9; // @[lib.scala 374:16] + reg [31:0] gpr_out_10; // @[lib.scala 374:16] + reg [31:0] gpr_out_11; // @[lib.scala 374:16] + reg [31:0] gpr_out_12; // @[lib.scala 374:16] + reg [31:0] gpr_out_13; // @[lib.scala 374:16] + reg [31:0] gpr_out_14; // @[lib.scala 374:16] + reg [31:0] gpr_out_15; // @[lib.scala 374:16] + reg [31:0] gpr_out_16; // @[lib.scala 374:16] + reg [31:0] gpr_out_17; // @[lib.scala 374:16] + reg [31:0] gpr_out_18; // @[lib.scala 374:16] + reg [31:0] gpr_out_19; // @[lib.scala 374:16] + reg [31:0] gpr_out_20; // @[lib.scala 374:16] + reg [31:0] gpr_out_21; // @[lib.scala 374:16] + reg [31:0] gpr_out_22; // @[lib.scala 374:16] + reg [31:0] gpr_out_23; // @[lib.scala 374:16] + reg [31:0] gpr_out_24; // @[lib.scala 374:16] + reg [31:0] gpr_out_25; // @[lib.scala 374:16] + reg [31:0] gpr_out_26; // @[lib.scala 374:16] + reg [31:0] gpr_out_27; // @[lib.scala 374:16] + reg [31:0] gpr_out_28; // @[lib.scala 374:16] + reg [31:0] gpr_out_29; // @[lib.scala 374:16] + reg [31:0] gpr_out_30; // @[lib.scala 374:16] + reg [31:0] gpr_out_31; // @[lib.scala 374:16] + wire _T_684 = io_raddr0 == 5'h1; // @[dec_gpr_ctl.scala 64:72] + wire _T_686 = io_raddr0 == 5'h2; // @[dec_gpr_ctl.scala 64:72] + wire _T_688 = io_raddr0 == 5'h3; // @[dec_gpr_ctl.scala 64:72] + wire _T_690 = io_raddr0 == 5'h4; // @[dec_gpr_ctl.scala 64:72] + wire _T_692 = io_raddr0 == 5'h5; // @[dec_gpr_ctl.scala 64:72] + wire _T_694 = io_raddr0 == 5'h6; // @[dec_gpr_ctl.scala 64:72] + wire _T_696 = io_raddr0 == 5'h7; // @[dec_gpr_ctl.scala 64:72] + wire _T_698 = io_raddr0 == 5'h8; // @[dec_gpr_ctl.scala 64:72] + wire _T_700 = io_raddr0 == 5'h9; // @[dec_gpr_ctl.scala 64:72] + wire _T_702 = io_raddr0 == 5'ha; // @[dec_gpr_ctl.scala 64:72] + wire _T_704 = io_raddr0 == 5'hb; // @[dec_gpr_ctl.scala 64:72] + wire _T_706 = io_raddr0 == 5'hc; // @[dec_gpr_ctl.scala 64:72] + wire _T_708 = io_raddr0 == 5'hd; // @[dec_gpr_ctl.scala 64:72] + wire _T_710 = io_raddr0 == 5'he; // @[dec_gpr_ctl.scala 64:72] + wire _T_712 = io_raddr0 == 5'hf; // @[dec_gpr_ctl.scala 64:72] + wire _T_714 = io_raddr0 == 5'h10; // @[dec_gpr_ctl.scala 64:72] + wire _T_716 = io_raddr0 == 5'h11; // @[dec_gpr_ctl.scala 64:72] + wire _T_718 = io_raddr0 == 5'h12; // @[dec_gpr_ctl.scala 64:72] + wire _T_720 = io_raddr0 == 5'h13; // @[dec_gpr_ctl.scala 64:72] + wire _T_722 = io_raddr0 == 5'h14; // @[dec_gpr_ctl.scala 64:72] + wire _T_724 = io_raddr0 == 5'h15; // @[dec_gpr_ctl.scala 64:72] + wire _T_726 = io_raddr0 == 5'h16; // @[dec_gpr_ctl.scala 64:72] + wire _T_728 = io_raddr0 == 5'h17; // @[dec_gpr_ctl.scala 64:72] + wire _T_730 = io_raddr0 == 5'h18; // @[dec_gpr_ctl.scala 64:72] + wire _T_732 = io_raddr0 == 5'h19; // @[dec_gpr_ctl.scala 64:72] + wire _T_734 = io_raddr0 == 5'h1a; // @[dec_gpr_ctl.scala 64:72] + wire _T_736 = io_raddr0 == 5'h1b; // @[dec_gpr_ctl.scala 64:72] + wire _T_738 = io_raddr0 == 5'h1c; // @[dec_gpr_ctl.scala 64:72] + wire _T_740 = io_raddr0 == 5'h1d; // @[dec_gpr_ctl.scala 64:72] + wire _T_742 = io_raddr0 == 5'h1e; // @[dec_gpr_ctl.scala 64:72] + wire _T_744 = io_raddr0 == 5'h1f; // @[dec_gpr_ctl.scala 64:72] + wire [31:0] _T_746 = _T_684 ? gpr_out_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_747 = _T_686 ? gpr_out_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_748 = _T_688 ? gpr_out_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_749 = _T_690 ? gpr_out_4 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_750 = _T_692 ? gpr_out_5 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_751 = _T_694 ? gpr_out_6 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_752 = _T_696 ? gpr_out_7 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_753 = _T_698 ? gpr_out_8 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_754 = _T_700 ? gpr_out_9 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_755 = _T_702 ? gpr_out_10 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_756 = _T_704 ? gpr_out_11 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_757 = _T_706 ? gpr_out_12 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_758 = _T_708 ? gpr_out_13 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_759 = _T_710 ? gpr_out_14 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_760 = _T_712 ? gpr_out_15 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_761 = _T_714 ? gpr_out_16 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_762 = _T_716 ? gpr_out_17 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_763 = _T_718 ? gpr_out_18 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_764 = _T_720 ? gpr_out_19 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_765 = _T_722 ? gpr_out_20 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_766 = _T_724 ? gpr_out_21 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_767 = _T_726 ? gpr_out_22 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_768 = _T_728 ? gpr_out_23 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_769 = _T_730 ? gpr_out_24 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_770 = _T_732 ? gpr_out_25 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_771 = _T_734 ? gpr_out_26 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_772 = _T_736 ? gpr_out_27 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_773 = _T_738 ? gpr_out_28 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_774 = _T_740 ? gpr_out_29 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_775 = _T_742 ? gpr_out_30 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_776 = _T_744 ? gpr_out_31 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_777 = _T_746 | _T_747; // @[Mux.scala 27:72] + wire [31:0] _T_778 = _T_777 | _T_748; // @[Mux.scala 27:72] + wire [31:0] _T_779 = _T_778 | _T_749; // @[Mux.scala 27:72] + wire [31:0] _T_780 = _T_779 | _T_750; // @[Mux.scala 27:72] + wire [31:0] _T_781 = _T_780 | _T_751; // @[Mux.scala 27:72] + wire [31:0] _T_782 = _T_781 | _T_752; // @[Mux.scala 27:72] + wire [31:0] _T_783 = _T_782 | _T_753; // @[Mux.scala 27:72] + wire [31:0] _T_784 = _T_783 | _T_754; // @[Mux.scala 27:72] + wire [31:0] _T_785 = _T_784 | _T_755; // @[Mux.scala 27:72] + wire [31:0] _T_786 = _T_785 | _T_756; // @[Mux.scala 27:72] + wire [31:0] _T_787 = _T_786 | _T_757; // @[Mux.scala 27:72] + wire [31:0] _T_788 = _T_787 | _T_758; // @[Mux.scala 27:72] + wire [31:0] _T_789 = _T_788 | _T_759; // @[Mux.scala 27:72] + wire [31:0] _T_790 = _T_789 | _T_760; // @[Mux.scala 27:72] + wire [31:0] _T_791 = _T_790 | _T_761; // @[Mux.scala 27:72] + wire [31:0] _T_792 = _T_791 | _T_762; // @[Mux.scala 27:72] + wire [31:0] _T_793 = _T_792 | _T_763; // @[Mux.scala 27:72] + wire [31:0] _T_794 = _T_793 | _T_764; // @[Mux.scala 27:72] + wire [31:0] _T_795 = _T_794 | _T_765; // @[Mux.scala 27:72] + wire [31:0] _T_796 = _T_795 | _T_766; // @[Mux.scala 27:72] + wire [31:0] _T_797 = _T_796 | _T_767; // @[Mux.scala 27:72] + wire [31:0] _T_798 = _T_797 | _T_768; // @[Mux.scala 27:72] + wire [31:0] _T_799 = _T_798 | _T_769; // @[Mux.scala 27:72] + wire [31:0] _T_800 = _T_799 | _T_770; // @[Mux.scala 27:72] + wire [31:0] _T_801 = _T_800 | _T_771; // @[Mux.scala 27:72] + wire [31:0] _T_802 = _T_801 | _T_772; // @[Mux.scala 27:72] + wire [31:0] _T_803 = _T_802 | _T_773; // @[Mux.scala 27:72] + wire [31:0] _T_804 = _T_803 | _T_774; // @[Mux.scala 27:72] + wire [31:0] _T_805 = _T_804 | _T_775; // @[Mux.scala 27:72] + wire _T_808 = io_raddr1 == 5'h1; // @[dec_gpr_ctl.scala 65:72] + wire _T_810 = io_raddr1 == 5'h2; // @[dec_gpr_ctl.scala 65:72] + wire _T_812 = io_raddr1 == 5'h3; // @[dec_gpr_ctl.scala 65:72] + wire _T_814 = io_raddr1 == 5'h4; // @[dec_gpr_ctl.scala 65:72] + wire _T_816 = io_raddr1 == 5'h5; // @[dec_gpr_ctl.scala 65:72] + wire _T_818 = io_raddr1 == 5'h6; // @[dec_gpr_ctl.scala 65:72] + wire _T_820 = io_raddr1 == 5'h7; // @[dec_gpr_ctl.scala 65:72] + wire _T_822 = io_raddr1 == 5'h8; // @[dec_gpr_ctl.scala 65:72] + wire _T_824 = io_raddr1 == 5'h9; // @[dec_gpr_ctl.scala 65:72] + wire _T_826 = io_raddr1 == 5'ha; // @[dec_gpr_ctl.scala 65:72] + wire _T_828 = io_raddr1 == 5'hb; // @[dec_gpr_ctl.scala 65:72] + wire _T_830 = io_raddr1 == 5'hc; // @[dec_gpr_ctl.scala 65:72] + wire _T_832 = io_raddr1 == 5'hd; // @[dec_gpr_ctl.scala 65:72] + wire _T_834 = io_raddr1 == 5'he; // @[dec_gpr_ctl.scala 65:72] + wire _T_836 = io_raddr1 == 5'hf; // @[dec_gpr_ctl.scala 65:72] + wire _T_838 = io_raddr1 == 5'h10; // @[dec_gpr_ctl.scala 65:72] + wire _T_840 = io_raddr1 == 5'h11; // @[dec_gpr_ctl.scala 65:72] + wire _T_842 = io_raddr1 == 5'h12; // @[dec_gpr_ctl.scala 65:72] + wire _T_844 = io_raddr1 == 5'h13; // @[dec_gpr_ctl.scala 65:72] + wire _T_846 = io_raddr1 == 5'h14; // @[dec_gpr_ctl.scala 65:72] + wire _T_848 = io_raddr1 == 5'h15; // @[dec_gpr_ctl.scala 65:72] + wire _T_850 = io_raddr1 == 5'h16; // @[dec_gpr_ctl.scala 65:72] + wire _T_852 = io_raddr1 == 5'h17; // @[dec_gpr_ctl.scala 65:72] + wire _T_854 = io_raddr1 == 5'h18; // @[dec_gpr_ctl.scala 65:72] + wire _T_856 = io_raddr1 == 5'h19; // @[dec_gpr_ctl.scala 65:72] + wire _T_858 = io_raddr1 == 5'h1a; // @[dec_gpr_ctl.scala 65:72] + wire _T_860 = io_raddr1 == 5'h1b; // @[dec_gpr_ctl.scala 65:72] + wire _T_862 = io_raddr1 == 5'h1c; // @[dec_gpr_ctl.scala 65:72] + wire _T_864 = io_raddr1 == 5'h1d; // @[dec_gpr_ctl.scala 65:72] + wire _T_866 = io_raddr1 == 5'h1e; // @[dec_gpr_ctl.scala 65:72] + wire _T_868 = io_raddr1 == 5'h1f; // @[dec_gpr_ctl.scala 65:72] + wire [31:0] _T_870 = _T_808 ? gpr_out_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_871 = _T_810 ? gpr_out_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_872 = _T_812 ? gpr_out_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_873 = _T_814 ? gpr_out_4 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_874 = _T_816 ? gpr_out_5 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_875 = _T_818 ? gpr_out_6 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_876 = _T_820 ? gpr_out_7 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_877 = _T_822 ? gpr_out_8 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_878 = _T_824 ? gpr_out_9 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_879 = _T_826 ? gpr_out_10 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_880 = _T_828 ? gpr_out_11 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_881 = _T_830 ? gpr_out_12 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_882 = _T_832 ? gpr_out_13 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_883 = _T_834 ? gpr_out_14 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_884 = _T_836 ? gpr_out_15 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_885 = _T_838 ? gpr_out_16 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_886 = _T_840 ? gpr_out_17 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_887 = _T_842 ? gpr_out_18 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_888 = _T_844 ? gpr_out_19 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_889 = _T_846 ? gpr_out_20 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_890 = _T_848 ? gpr_out_21 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_891 = _T_850 ? gpr_out_22 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_892 = _T_852 ? gpr_out_23 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_893 = _T_854 ? gpr_out_24 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_894 = _T_856 ? gpr_out_25 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_895 = _T_858 ? gpr_out_26 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_896 = _T_860 ? gpr_out_27 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_897 = _T_862 ? gpr_out_28 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_898 = _T_864 ? gpr_out_29 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_899 = _T_866 ? gpr_out_30 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_900 = _T_868 ? gpr_out_31 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_901 = _T_870 | _T_871; // @[Mux.scala 27:72] + wire [31:0] _T_902 = _T_901 | _T_872; // @[Mux.scala 27:72] + wire [31:0] _T_903 = _T_902 | _T_873; // @[Mux.scala 27:72] + wire [31:0] _T_904 = _T_903 | _T_874; // @[Mux.scala 27:72] + wire [31:0] _T_905 = _T_904 | _T_875; // @[Mux.scala 27:72] + wire [31:0] _T_906 = _T_905 | _T_876; // @[Mux.scala 27:72] + wire [31:0] _T_907 = _T_906 | _T_877; // @[Mux.scala 27:72] + wire [31:0] _T_908 = _T_907 | _T_878; // @[Mux.scala 27:72] + wire [31:0] _T_909 = _T_908 | _T_879; // @[Mux.scala 27:72] + wire [31:0] _T_910 = _T_909 | _T_880; // @[Mux.scala 27:72] + wire [31:0] _T_911 = _T_910 | _T_881; // @[Mux.scala 27:72] + wire [31:0] _T_912 = _T_911 | _T_882; // @[Mux.scala 27:72] + wire [31:0] _T_913 = _T_912 | _T_883; // @[Mux.scala 27:72] + wire [31:0] _T_914 = _T_913 | _T_884; // @[Mux.scala 27:72] + wire [31:0] _T_915 = _T_914 | _T_885; // @[Mux.scala 27:72] + wire [31:0] _T_916 = _T_915 | _T_886; // @[Mux.scala 27:72] + wire [31:0] _T_917 = _T_916 | _T_887; // @[Mux.scala 27:72] + wire [31:0] _T_918 = _T_917 | _T_888; // @[Mux.scala 27:72] + wire [31:0] _T_919 = _T_918 | _T_889; // @[Mux.scala 27:72] + wire [31:0] _T_920 = _T_919 | _T_890; // @[Mux.scala 27:72] + wire [31:0] _T_921 = _T_920 | _T_891; // @[Mux.scala 27:72] + wire [31:0] _T_922 = _T_921 | _T_892; // @[Mux.scala 27:72] + wire [31:0] _T_923 = _T_922 | _T_893; // @[Mux.scala 27:72] + wire [31:0] _T_924 = _T_923 | _T_894; // @[Mux.scala 27:72] + wire [31:0] _T_925 = _T_924 | _T_895; // @[Mux.scala 27:72] + wire [31:0] _T_926 = _T_925 | _T_896; // @[Mux.scala 27:72] + wire [31:0] _T_927 = _T_926 | _T_897; // @[Mux.scala 27:72] + wire [31:0] _T_928 = _T_927 | _T_898; // @[Mux.scala 27:72] + wire [31:0] _T_929 = _T_928 | _T_899; // @[Mux.scala 27:72] + rvclkhdr rvclkhdr ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + rvclkhdr rvclkhdr_4 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_4_io_l1clk), + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en), + .io_scan_mode(rvclkhdr_4_io_scan_mode) + ); + rvclkhdr rvclkhdr_5 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_5_io_l1clk), + .io_clk(rvclkhdr_5_io_clk), + .io_en(rvclkhdr_5_io_en), + .io_scan_mode(rvclkhdr_5_io_scan_mode) + ); + rvclkhdr rvclkhdr_6 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_6_io_l1clk), + .io_clk(rvclkhdr_6_io_clk), + .io_en(rvclkhdr_6_io_en), + .io_scan_mode(rvclkhdr_6_io_scan_mode) + ); + rvclkhdr rvclkhdr_7 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_7_io_l1clk), + .io_clk(rvclkhdr_7_io_clk), + .io_en(rvclkhdr_7_io_en), + .io_scan_mode(rvclkhdr_7_io_scan_mode) + ); + rvclkhdr rvclkhdr_8 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_8_io_l1clk), + .io_clk(rvclkhdr_8_io_clk), + .io_en(rvclkhdr_8_io_en), + .io_scan_mode(rvclkhdr_8_io_scan_mode) + ); + rvclkhdr rvclkhdr_9 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_9_io_l1clk), + .io_clk(rvclkhdr_9_io_clk), + .io_en(rvclkhdr_9_io_en), + .io_scan_mode(rvclkhdr_9_io_scan_mode) + ); + rvclkhdr rvclkhdr_10 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_10_io_l1clk), + .io_clk(rvclkhdr_10_io_clk), + .io_en(rvclkhdr_10_io_en), + .io_scan_mode(rvclkhdr_10_io_scan_mode) + ); + rvclkhdr rvclkhdr_11 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_11_io_l1clk), + .io_clk(rvclkhdr_11_io_clk), + .io_en(rvclkhdr_11_io_en), + .io_scan_mode(rvclkhdr_11_io_scan_mode) + ); + rvclkhdr rvclkhdr_12 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_12_io_l1clk), + .io_clk(rvclkhdr_12_io_clk), + .io_en(rvclkhdr_12_io_en), + .io_scan_mode(rvclkhdr_12_io_scan_mode) + ); + rvclkhdr rvclkhdr_13 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_13_io_l1clk), + .io_clk(rvclkhdr_13_io_clk), + .io_en(rvclkhdr_13_io_en), + .io_scan_mode(rvclkhdr_13_io_scan_mode) + ); + rvclkhdr rvclkhdr_14 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_14_io_l1clk), + .io_clk(rvclkhdr_14_io_clk), + .io_en(rvclkhdr_14_io_en), + .io_scan_mode(rvclkhdr_14_io_scan_mode) + ); + rvclkhdr rvclkhdr_15 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_15_io_l1clk), + .io_clk(rvclkhdr_15_io_clk), + .io_en(rvclkhdr_15_io_en), + .io_scan_mode(rvclkhdr_15_io_scan_mode) + ); + rvclkhdr rvclkhdr_16 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_16_io_l1clk), + .io_clk(rvclkhdr_16_io_clk), + .io_en(rvclkhdr_16_io_en), + .io_scan_mode(rvclkhdr_16_io_scan_mode) + ); + rvclkhdr rvclkhdr_17 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_17_io_l1clk), + .io_clk(rvclkhdr_17_io_clk), + .io_en(rvclkhdr_17_io_en), + .io_scan_mode(rvclkhdr_17_io_scan_mode) + ); + rvclkhdr rvclkhdr_18 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_18_io_l1clk), + .io_clk(rvclkhdr_18_io_clk), + .io_en(rvclkhdr_18_io_en), + .io_scan_mode(rvclkhdr_18_io_scan_mode) + ); + rvclkhdr rvclkhdr_19 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_19_io_l1clk), + .io_clk(rvclkhdr_19_io_clk), + .io_en(rvclkhdr_19_io_en), + .io_scan_mode(rvclkhdr_19_io_scan_mode) + ); + rvclkhdr rvclkhdr_20 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_20_io_l1clk), + .io_clk(rvclkhdr_20_io_clk), + .io_en(rvclkhdr_20_io_en), + .io_scan_mode(rvclkhdr_20_io_scan_mode) + ); + rvclkhdr rvclkhdr_21 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_21_io_l1clk), + .io_clk(rvclkhdr_21_io_clk), + .io_en(rvclkhdr_21_io_en), + .io_scan_mode(rvclkhdr_21_io_scan_mode) + ); + rvclkhdr rvclkhdr_22 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_22_io_l1clk), + .io_clk(rvclkhdr_22_io_clk), + .io_en(rvclkhdr_22_io_en), + .io_scan_mode(rvclkhdr_22_io_scan_mode) + ); + rvclkhdr rvclkhdr_23 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_23_io_l1clk), + .io_clk(rvclkhdr_23_io_clk), + .io_en(rvclkhdr_23_io_en), + .io_scan_mode(rvclkhdr_23_io_scan_mode) + ); + rvclkhdr rvclkhdr_24 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_24_io_l1clk), + .io_clk(rvclkhdr_24_io_clk), + .io_en(rvclkhdr_24_io_en), + .io_scan_mode(rvclkhdr_24_io_scan_mode) + ); + rvclkhdr rvclkhdr_25 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_25_io_l1clk), + .io_clk(rvclkhdr_25_io_clk), + .io_en(rvclkhdr_25_io_en), + .io_scan_mode(rvclkhdr_25_io_scan_mode) + ); + rvclkhdr rvclkhdr_26 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_26_io_l1clk), + .io_clk(rvclkhdr_26_io_clk), + .io_en(rvclkhdr_26_io_en), + .io_scan_mode(rvclkhdr_26_io_scan_mode) + ); + rvclkhdr rvclkhdr_27 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_27_io_l1clk), + .io_clk(rvclkhdr_27_io_clk), + .io_en(rvclkhdr_27_io_en), + .io_scan_mode(rvclkhdr_27_io_scan_mode) + ); + rvclkhdr rvclkhdr_28 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_28_io_l1clk), + .io_clk(rvclkhdr_28_io_clk), + .io_en(rvclkhdr_28_io_en), + .io_scan_mode(rvclkhdr_28_io_scan_mode) + ); + rvclkhdr rvclkhdr_29 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_29_io_l1clk), + .io_clk(rvclkhdr_29_io_clk), + .io_en(rvclkhdr_29_io_en), + .io_scan_mode(rvclkhdr_29_io_scan_mode) + ); + rvclkhdr rvclkhdr_30 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_30_io_l1clk), + .io_clk(rvclkhdr_30_io_clk), + .io_en(rvclkhdr_30_io_en), + .io_scan_mode(rvclkhdr_30_io_scan_mode) + ); + assign io_gpr_exu_gpr_i0_rs1_d = _T_805 | _T_776; // @[dec_gpr_ctl.scala 48:32 dec_gpr_ctl.scala 64:32] + assign io_gpr_exu_gpr_i0_rs2_d = _T_929 | _T_900; // @[dec_gpr_ctl.scala 49:32 dec_gpr_ctl.scala 65:32] + assign rvclkhdr_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_io_en = gpr_wr_en[1]; // @[lib.scala 371:17] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_1_io_en = gpr_wr_en[2]; // @[lib.scala 371:17] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_2_io_en = gpr_wr_en[3]; // @[lib.scala 371:17] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_3_io_en = gpr_wr_en[4]; // @[lib.scala 371:17] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_4_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_4_io_en = gpr_wr_en[5]; // @[lib.scala 371:17] + assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_5_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_5_io_en = gpr_wr_en[6]; // @[lib.scala 371:17] + assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_6_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_6_io_en = gpr_wr_en[7]; // @[lib.scala 371:17] + assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_7_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_7_io_en = gpr_wr_en[8]; // @[lib.scala 371:17] + assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_8_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_8_io_en = gpr_wr_en[9]; // @[lib.scala 371:17] + assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_9_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_9_io_en = gpr_wr_en[10]; // @[lib.scala 371:17] + assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_10_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_10_io_en = gpr_wr_en[11]; // @[lib.scala 371:17] + assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_11_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_11_io_en = gpr_wr_en[12]; // @[lib.scala 371:17] + assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_12_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_12_io_en = gpr_wr_en[13]; // @[lib.scala 371:17] + assign rvclkhdr_12_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_13_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_13_io_en = gpr_wr_en[14]; // @[lib.scala 371:17] + assign rvclkhdr_13_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_14_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_14_io_en = gpr_wr_en[15]; // @[lib.scala 371:17] + assign rvclkhdr_14_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_15_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_15_io_en = gpr_wr_en[16]; // @[lib.scala 371:17] + assign rvclkhdr_15_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_16_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_16_io_en = gpr_wr_en[17]; // @[lib.scala 371:17] + assign rvclkhdr_16_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_17_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_17_io_en = gpr_wr_en[18]; // @[lib.scala 371:17] + assign rvclkhdr_17_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_18_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_18_io_en = gpr_wr_en[19]; // @[lib.scala 371:17] + assign rvclkhdr_18_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_19_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_19_io_en = gpr_wr_en[20]; // @[lib.scala 371:17] + assign rvclkhdr_19_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_20_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_20_io_en = gpr_wr_en[21]; // @[lib.scala 371:17] + assign rvclkhdr_20_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_21_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_21_io_en = gpr_wr_en[22]; // @[lib.scala 371:17] + assign rvclkhdr_21_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_22_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_22_io_en = gpr_wr_en[23]; // @[lib.scala 371:17] + assign rvclkhdr_22_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_23_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_23_io_en = gpr_wr_en[24]; // @[lib.scala 371:17] + assign rvclkhdr_23_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_24_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_24_io_en = gpr_wr_en[25]; // @[lib.scala 371:17] + assign rvclkhdr_24_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_25_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_25_io_en = gpr_wr_en[26]; // @[lib.scala 371:17] + assign rvclkhdr_25_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_26_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_26_io_en = gpr_wr_en[27]; // @[lib.scala 371:17] + assign rvclkhdr_26_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_27_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_27_io_en = gpr_wr_en[28]; // @[lib.scala 371:17] + assign rvclkhdr_27_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_28_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_28_io_en = gpr_wr_en[29]; // @[lib.scala 371:17] + assign rvclkhdr_28_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_29_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_29_io_en = gpr_wr_en[30]; // @[lib.scala 371:17] + assign rvclkhdr_29_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_30_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_30_io_en = gpr_wr_en[31]; // @[lib.scala 371:17] + assign rvclkhdr_30_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + gpr_out_1 = _RAND_0[31:0]; + _RAND_1 = {1{`RANDOM}}; + gpr_out_2 = _RAND_1[31:0]; + _RAND_2 = {1{`RANDOM}}; + gpr_out_3 = _RAND_2[31:0]; + _RAND_3 = {1{`RANDOM}}; + gpr_out_4 = _RAND_3[31:0]; + _RAND_4 = {1{`RANDOM}}; + gpr_out_5 = _RAND_4[31:0]; + _RAND_5 = {1{`RANDOM}}; + gpr_out_6 = _RAND_5[31:0]; + _RAND_6 = {1{`RANDOM}}; + gpr_out_7 = _RAND_6[31:0]; + _RAND_7 = {1{`RANDOM}}; + gpr_out_8 = _RAND_7[31:0]; + _RAND_8 = {1{`RANDOM}}; + gpr_out_9 = _RAND_8[31:0]; + _RAND_9 = {1{`RANDOM}}; + gpr_out_10 = _RAND_9[31:0]; + _RAND_10 = {1{`RANDOM}}; + gpr_out_11 = _RAND_10[31:0]; + _RAND_11 = {1{`RANDOM}}; + gpr_out_12 = _RAND_11[31:0]; + _RAND_12 = {1{`RANDOM}}; + gpr_out_13 = _RAND_12[31:0]; + _RAND_13 = {1{`RANDOM}}; + gpr_out_14 = _RAND_13[31:0]; + _RAND_14 = {1{`RANDOM}}; + gpr_out_15 = _RAND_14[31:0]; + _RAND_15 = {1{`RANDOM}}; + gpr_out_16 = _RAND_15[31:0]; + _RAND_16 = {1{`RANDOM}}; + gpr_out_17 = _RAND_16[31:0]; + _RAND_17 = {1{`RANDOM}}; + gpr_out_18 = _RAND_17[31:0]; + _RAND_18 = {1{`RANDOM}}; + gpr_out_19 = _RAND_18[31:0]; + _RAND_19 = {1{`RANDOM}}; + gpr_out_20 = _RAND_19[31:0]; + _RAND_20 = {1{`RANDOM}}; + gpr_out_21 = _RAND_20[31:0]; + _RAND_21 = {1{`RANDOM}}; + gpr_out_22 = _RAND_21[31:0]; + _RAND_22 = {1{`RANDOM}}; + gpr_out_23 = _RAND_22[31:0]; + _RAND_23 = {1{`RANDOM}}; + gpr_out_24 = _RAND_23[31:0]; + _RAND_24 = {1{`RANDOM}}; + gpr_out_25 = _RAND_24[31:0]; + _RAND_25 = {1{`RANDOM}}; + gpr_out_26 = _RAND_25[31:0]; + _RAND_26 = {1{`RANDOM}}; + gpr_out_27 = _RAND_26[31:0]; + _RAND_27 = {1{`RANDOM}}; + gpr_out_28 = _RAND_27[31:0]; + _RAND_28 = {1{`RANDOM}}; + gpr_out_29 = _RAND_28[31:0]; + _RAND_29 = {1{`RANDOM}}; + gpr_out_30 = _RAND_29[31:0]; + _RAND_30 = {1{`RANDOM}}; + gpr_out_31 = _RAND_30[31:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + gpr_out_1 = 32'h0; + end + if (reset) begin + gpr_out_2 = 32'h0; + end + if (reset) begin + gpr_out_3 = 32'h0; + end + if (reset) begin + gpr_out_4 = 32'h0; + end + if (reset) begin + gpr_out_5 = 32'h0; + end + if (reset) begin + gpr_out_6 = 32'h0; + end + if (reset) begin + gpr_out_7 = 32'h0; + end + if (reset) begin + gpr_out_8 = 32'h0; + end + if (reset) begin + gpr_out_9 = 32'h0; + end + if (reset) begin + gpr_out_10 = 32'h0; + end + if (reset) begin + gpr_out_11 = 32'h0; + end + if (reset) begin + gpr_out_12 = 32'h0; + end + if (reset) begin + gpr_out_13 = 32'h0; + end + if (reset) begin + gpr_out_14 = 32'h0; + end + if (reset) begin + gpr_out_15 = 32'h0; + end + if (reset) begin + gpr_out_16 = 32'h0; + end + if (reset) begin + gpr_out_17 = 32'h0; + end + if (reset) begin + gpr_out_18 = 32'h0; + end + if (reset) begin + gpr_out_19 = 32'h0; + end + if (reset) begin + gpr_out_20 = 32'h0; + end + if (reset) begin + gpr_out_21 = 32'h0; + end + if (reset) begin + gpr_out_22 = 32'h0; + end + if (reset) begin + gpr_out_23 = 32'h0; + end + if (reset) begin + gpr_out_24 = 32'h0; + end + if (reset) begin + gpr_out_25 = 32'h0; + end + if (reset) begin + gpr_out_26 = 32'h0; + end + if (reset) begin + gpr_out_27 = 32'h0; + end + if (reset) begin + gpr_out_28 = 32'h0; + end + if (reset) begin + gpr_out_29 = 32'h0; + end + if (reset) begin + gpr_out_30 = 32'h0; + end + if (reset) begin + gpr_out_31 = 32'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_1 <= 32'h0; + end else begin + gpr_out_1 <= _T_12 | _T_15; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_2 <= 32'h0; + end else begin + gpr_out_2 <= _T_29 | _T_32; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_3 <= 32'h0; + end else begin + gpr_out_3 <= _T_46 | _T_49; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_4 <= 32'h0; + end else begin + gpr_out_4 <= _T_63 | _T_66; + end + end + always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_5 <= 32'h0; + end else begin + gpr_out_5 <= _T_80 | _T_83; + end + end + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_6 <= 32'h0; + end else begin + gpr_out_6 <= _T_97 | _T_100; + end + end + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_7 <= 32'h0; + end else begin + gpr_out_7 <= _T_114 | _T_117; + end + end + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_8 <= 32'h0; + end else begin + gpr_out_8 <= _T_131 | _T_134; + end + end + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_9 <= 32'h0; + end else begin + gpr_out_9 <= _T_148 | _T_151; + end + end + always @(posedge rvclkhdr_9_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_10 <= 32'h0; + end else begin + gpr_out_10 <= _T_165 | _T_168; + end + end + always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_11 <= 32'h0; + end else begin + gpr_out_11 <= _T_182 | _T_185; + end + end + always @(posedge rvclkhdr_11_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_12 <= 32'h0; + end else begin + gpr_out_12 <= _T_199 | _T_202; + end + end + always @(posedge rvclkhdr_12_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_13 <= 32'h0; + end else begin + gpr_out_13 <= _T_216 | _T_219; + end + end + always @(posedge rvclkhdr_13_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_14 <= 32'h0; + end else begin + gpr_out_14 <= _T_233 | _T_236; + end + end + always @(posedge rvclkhdr_14_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_15 <= 32'h0; + end else begin + gpr_out_15 <= _T_250 | _T_253; + end + end + always @(posedge rvclkhdr_15_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_16 <= 32'h0; + end else begin + gpr_out_16 <= _T_267 | _T_270; + end + end + always @(posedge rvclkhdr_16_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_17 <= 32'h0; + end else begin + gpr_out_17 <= _T_284 | _T_287; + end + end + always @(posedge rvclkhdr_17_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_18 <= 32'h0; + end else begin + gpr_out_18 <= _T_301 | _T_304; + end + end + always @(posedge rvclkhdr_18_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_19 <= 32'h0; + end else begin + gpr_out_19 <= _T_318 | _T_321; + end + end + always @(posedge rvclkhdr_19_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_20 <= 32'h0; + end else begin + gpr_out_20 <= _T_335 | _T_338; + end + end + always @(posedge rvclkhdr_20_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_21 <= 32'h0; + end else begin + gpr_out_21 <= _T_352 | _T_355; + end + end + always @(posedge rvclkhdr_21_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_22 <= 32'h0; + end else begin + gpr_out_22 <= _T_369 | _T_372; + end + end + always @(posedge rvclkhdr_22_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_23 <= 32'h0; + end else begin + gpr_out_23 <= _T_386 | _T_389; + end + end + always @(posedge rvclkhdr_23_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_24 <= 32'h0; + end else begin + gpr_out_24 <= _T_403 | _T_406; + end + end + always @(posedge rvclkhdr_24_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_25 <= 32'h0; + end else begin + gpr_out_25 <= _T_420 | _T_423; + end + end + always @(posedge rvclkhdr_25_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_26 <= 32'h0; + end else begin + gpr_out_26 <= _T_437 | _T_440; + end + end + always @(posedge rvclkhdr_26_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_27 <= 32'h0; + end else begin + gpr_out_27 <= _T_454 | _T_457; + end + end + always @(posedge rvclkhdr_27_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_28 <= 32'h0; + end else begin + gpr_out_28 <= _T_471 | _T_474; + end + end + always @(posedge rvclkhdr_28_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_29 <= 32'h0; + end else begin + gpr_out_29 <= _T_488 | _T_491; + end + end + always @(posedge rvclkhdr_29_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_30 <= 32'h0; + end else begin + gpr_out_30 <= _T_505 | _T_508; + end + end + always @(posedge rvclkhdr_30_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_31 <= 32'h0; + end else begin + gpr_out_31 <= _T_522 | _T_525; + end + end +endmodule +module dec_timer_ctl( + input clock, + input reset, + input io_free_clk, + input io_scan_mode, + input io_dec_csr_wen_r_mod, + input [11:0] io_dec_csr_wraddr_r, + input [31:0] io_dec_csr_wrdata_r, + input io_csr_mitctl0, + input io_csr_mitctl1, + input io_csr_mitb0, + input io_csr_mitb1, + input io_csr_mitcnt0, + input io_csr_mitcnt1, + input io_dec_pause_state, + input io_dec_tlu_pmu_fw_halted, + input io_internal_dbg_halt_timers, + output [31:0] io_dec_timer_rddata_d, + output io_dec_timer_read_d, + output io_dec_timer_t0_pulse, + output io_dec_timer_t1_pulse +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_io_en; // @[lib.scala 368:23] + wire rvclkhdr_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_en; // @[lib.scala 368:23] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_en; // @[lib.scala 368:23] + wire rvclkhdr_2_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_en; // @[lib.scala 368:23] + wire rvclkhdr_3_io_scan_mode; // @[lib.scala 368:23] + reg [31:0] mitcnt0; // @[lib.scala 374:16] + reg [31:0] mitb0_b; // @[lib.scala 374:16] + wire [31:0] mitb0 = ~mitb0_b; // @[dec_tlu_ctl.scala 2711:22] + wire mit0_match_ns = mitcnt0 >= mitb0; // @[dec_tlu_ctl.scala 2672:36] + reg [31:0] mitcnt1; // @[lib.scala 374:16] + reg [31:0] mitb1_b; // @[lib.scala 374:16] + wire [31:0] mitb1 = ~mitb1_b; // @[dec_tlu_ctl.scala 2720:18] + wire mit1_match_ns = mitcnt1 >= mitb1; // @[dec_tlu_ctl.scala 2673:36] + wire _T = io_dec_csr_wraddr_r == 12'h7d2; // @[dec_tlu_ctl.scala 2683:72] + wire wr_mitcnt0_r = io_dec_csr_wen_r_mod & _T; // @[dec_tlu_ctl.scala 2683:49] + reg [1:0] _T_57; // @[dec_tlu_ctl.scala 2736:67] + reg mitctl0_0_b; // @[dec_tlu_ctl.scala 2735:60] + wire _T_58 = ~mitctl0_0_b; // @[dec_tlu_ctl.scala 2736:90] + wire [2:0] mitctl0 = {_T_57,_T_58}; // @[Cat.scala 29:58] + wire _T_2 = ~io_dec_pause_state; // @[dec_tlu_ctl.scala 2685:56] + wire _T_4 = _T_2 | mitctl0[2]; // @[dec_tlu_ctl.scala 2685:76] + wire _T_5 = mitctl0[0] & _T_4; // @[dec_tlu_ctl.scala 2685:53] + wire _T_6 = ~io_dec_tlu_pmu_fw_halted; // @[dec_tlu_ctl.scala 2685:112] + wire _T_8 = _T_6 | mitctl0[1]; // @[dec_tlu_ctl.scala 2685:138] + wire _T_9 = _T_5 & _T_8; // @[dec_tlu_ctl.scala 2685:109] + wire _T_10 = ~io_internal_dbg_halt_timers; // @[dec_tlu_ctl.scala 2685:173] + wire mitcnt0_inc_ok = _T_9 & _T_10; // @[dec_tlu_ctl.scala 2685:171] + wire [31:0] mitcnt0_inc = mitcnt0 + 32'h1; // @[dec_tlu_ctl.scala 2686:35] + wire _T_15 = wr_mitcnt0_r | mitcnt0_inc_ok; // @[dec_tlu_ctl.scala 2688:59] + wire _T_19 = io_dec_csr_wraddr_r == 12'h7d5; // @[dec_tlu_ctl.scala 2695:72] + wire wr_mitcnt1_r = io_dec_csr_wen_r_mod & _T_19; // @[dec_tlu_ctl.scala 2695:49] + reg [2:0] _T_66; // @[dec_tlu_ctl.scala 2750:52] + reg mitctl1_0_b; // @[dec_tlu_ctl.scala 2749:55] + wire _T_67 = ~mitctl1_0_b; // @[dec_tlu_ctl.scala 2750:75] + wire [3:0] mitctl1 = {_T_66,_T_67}; // @[Cat.scala 29:58] + wire _T_23 = _T_2 | mitctl1[2]; // @[dec_tlu_ctl.scala 2697:76] + wire _T_24 = mitctl1[0] & _T_23; // @[dec_tlu_ctl.scala 2697:53] + wire _T_27 = _T_6 | mitctl1[1]; // @[dec_tlu_ctl.scala 2697:138] + wire _T_28 = _T_24 & _T_27; // @[dec_tlu_ctl.scala 2697:109] + wire mitcnt1_inc_ok = _T_28 & _T_10; // @[dec_tlu_ctl.scala 2697:171] + wire _T_32 = ~mitctl1[3]; // @[dec_tlu_ctl.scala 2700:60] + wire _T_33 = _T_32 | mit0_match_ns; // @[dec_tlu_ctl.scala 2700:72] + wire [31:0] _T_34 = {31'h0,_T_33}; // @[Cat.scala 29:58] + wire [31:0] mitcnt1_inc = mitcnt1 + _T_34; // @[dec_tlu_ctl.scala 2700:35] + wire _T_39 = wr_mitcnt1_r | mitcnt1_inc_ok; // @[dec_tlu_ctl.scala 2702:60] + wire _T_43 = io_dec_csr_wraddr_r == 12'h7d3; // @[dec_tlu_ctl.scala 2709:70] + wire _T_47 = io_dec_csr_wraddr_r == 12'h7d6; // @[dec_tlu_ctl.scala 2718:69] + wire _T_51 = io_dec_csr_wraddr_r == 12'h7d4; // @[dec_tlu_ctl.scala 2731:72] + wire wr_mitctl0_r = io_dec_csr_wen_r_mod & _T_51; // @[dec_tlu_ctl.scala 2731:49] + wire [2:0] mitctl0_ns = wr_mitctl0_r ? io_dec_csr_wrdata_r[2:0] : mitctl0; // @[dec_tlu_ctl.scala 2732:31] + wire _T_60 = io_dec_csr_wraddr_r == 12'h7d7; // @[dec_tlu_ctl.scala 2746:71] + wire wr_mitctl1_r = io_dec_csr_wen_r_mod & _T_60; // @[dec_tlu_ctl.scala 2746:49] + wire [3:0] mitctl1_ns = wr_mitctl1_r ? io_dec_csr_wrdata_r[3:0] : mitctl1; // @[dec_tlu_ctl.scala 2747:31] + wire _T_69 = io_csr_mitcnt1 | io_csr_mitcnt0; // @[dec_tlu_ctl.scala 2752:51] + wire _T_70 = _T_69 | io_csr_mitb1; // @[dec_tlu_ctl.scala 2752:68] + wire _T_71 = _T_70 | io_csr_mitb0; // @[dec_tlu_ctl.scala 2752:83] + wire _T_72 = _T_71 | io_csr_mitctl0; // @[dec_tlu_ctl.scala 2752:98] + wire [31:0] _T_81 = {29'h0,_T_57,_T_58}; // @[Cat.scala 29:58] + wire [31:0] _T_84 = {28'h0,_T_66,_T_67}; // @[Cat.scala 29:58] + wire [31:0] _T_85 = io_csr_mitcnt0 ? mitcnt0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_86 = io_csr_mitcnt1 ? mitcnt1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_87 = io_csr_mitb0 ? mitb0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_88 = io_csr_mitb1 ? mitb1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_89 = io_csr_mitctl0 ? _T_81 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_90 = io_csr_mitctl1 ? _T_84 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_91 = _T_85 | _T_86; // @[Mux.scala 27:72] + wire [31:0] _T_92 = _T_91 | _T_87; // @[Mux.scala 27:72] + wire [31:0] _T_93 = _T_92 | _T_88; // @[Mux.scala 27:72] + wire [31:0] _T_94 = _T_93 | _T_89; // @[Mux.scala 27:72] + rvclkhdr rvclkhdr ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + assign io_dec_timer_rddata_d = _T_94 | _T_90; // @[dec_tlu_ctl.scala 2753:33] + assign io_dec_timer_read_d = _T_72 | io_csr_mitctl1; // @[dec_tlu_ctl.scala 2752:33] + assign io_dec_timer_t0_pulse = mitcnt0 >= mitb0; // @[dec_tlu_ctl.scala 2675:31] + assign io_dec_timer_t1_pulse = mitcnt1 >= mitb1; // @[dec_tlu_ctl.scala 2676:31] + assign rvclkhdr_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_io_en = _T_15 | mit0_match_ns; // @[lib.scala 371:17] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_1_io_en = _T_39 | mit1_match_ns; // @[lib.scala 371:17] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_2_io_en = io_dec_csr_wen_r_mod & _T_43; // @[lib.scala 371:17] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_3_io_en = io_dec_csr_wen_r_mod & _T_47; // @[lib.scala 371:17] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + mitcnt0 = _RAND_0[31:0]; + _RAND_1 = {1{`RANDOM}}; + mitb0_b = _RAND_1[31:0]; + _RAND_2 = {1{`RANDOM}}; + mitcnt1 = _RAND_2[31:0]; + _RAND_3 = {1{`RANDOM}}; + mitb1_b = _RAND_3[31:0]; + _RAND_4 = {1{`RANDOM}}; + _T_57 = _RAND_4[1:0]; + _RAND_5 = {1{`RANDOM}}; + mitctl0_0_b = _RAND_5[0:0]; + _RAND_6 = {1{`RANDOM}}; + _T_66 = _RAND_6[2:0]; + _RAND_7 = {1{`RANDOM}}; + mitctl1_0_b = _RAND_7[0:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + mitcnt0 = 32'h0; + end + if (reset) begin + mitb0_b = 32'h0; + end + if (reset) begin + mitcnt1 = 32'h0; + end + if (reset) begin + mitb1_b = 32'h0; + end + if (reset) begin + _T_57 = 2'h0; + end + if (reset) begin + mitctl0_0_b = 1'h0; + end + if (reset) begin + _T_66 = 3'h0; + end + if (reset) begin + mitctl1_0_b = 1'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + mitcnt0 <= 32'h0; + end else if (mit0_match_ns) begin + mitcnt0 <= 32'h0; + end else if (wr_mitcnt0_r) begin + mitcnt0 <= io_dec_csr_wrdata_r; + end else begin + mitcnt0 <= mitcnt0_inc; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + mitb0_b <= 32'h0; + end else begin + mitb0_b <= ~io_dec_csr_wrdata_r; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + mitcnt1 <= 32'h0; + end else if (mit1_match_ns) begin + mitcnt1 <= 32'h0; + end else if (wr_mitcnt1_r) begin + mitcnt1 <= io_dec_csr_wrdata_r; + end else begin + mitcnt1 <= mitcnt1_inc; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + mitb1_b <= 32'h0; + end else begin + mitb1_b <= ~io_dec_csr_wrdata_r; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_57 <= 2'h0; + end else begin + _T_57 <= mitctl0_ns[2:1]; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + mitctl0_0_b <= 1'h0; + end else begin + mitctl0_0_b <= ~mitctl0_ns[0]; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_66 <= 3'h0; + end else begin + _T_66 <= mitctl1_ns[3:1]; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + mitctl1_0_b <= 1'h0; + end else begin + mitctl1_0_b <= ~mitctl1_ns[0]; + end + end +endmodule +module csr_tlu( + input clock, + input reset, + input io_free_clk, + input io_active_clk, + input io_scan_mode, + input [31:0] io_dec_csr_wrdata_r, + input [11:0] io_dec_csr_wraddr_r, + input [11:0] io_dec_csr_rdaddr_d, + input io_dec_csr_wen_unq_d, + input io_dec_i0_decode_d, + output [70:0] io_dec_tlu_ic_diag_pkt_icache_wrdata, + output [16:0] io_dec_tlu_ic_diag_pkt_icache_dicawics, + output io_dec_tlu_ic_diag_pkt_icache_rd_valid, + output io_dec_tlu_ic_diag_pkt_icache_wr_valid, + input io_ifu_ic_debug_rd_data_valid, + output io_trigger_pkt_any_0_select, + output io_trigger_pkt_any_0_match_pkt, + output io_trigger_pkt_any_0_store, + output io_trigger_pkt_any_0_load, + output io_trigger_pkt_any_0_execute, + output io_trigger_pkt_any_0_m, + output [31:0] io_trigger_pkt_any_0_tdata2, + output io_trigger_pkt_any_1_select, + output io_trigger_pkt_any_1_match_pkt, + output io_trigger_pkt_any_1_store, + output io_trigger_pkt_any_1_load, + output io_trigger_pkt_any_1_execute, + output io_trigger_pkt_any_1_m, + output [31:0] io_trigger_pkt_any_1_tdata2, + output io_trigger_pkt_any_2_select, + output io_trigger_pkt_any_2_match_pkt, + output io_trigger_pkt_any_2_store, + output io_trigger_pkt_any_2_load, + output io_trigger_pkt_any_2_execute, + output io_trigger_pkt_any_2_m, + output [31:0] io_trigger_pkt_any_2_tdata2, + output io_trigger_pkt_any_3_select, + output io_trigger_pkt_any_3_match_pkt, + output io_trigger_pkt_any_3_store, + output io_trigger_pkt_any_3_load, + output io_trigger_pkt_any_3_execute, + output io_trigger_pkt_any_3_m, + output [31:0] io_trigger_pkt_any_3_tdata2, + input io_ifu_pmu_bus_trxn, + input io_dma_iccm_stall_any, + input io_dma_dccm_stall_any, + input io_lsu_store_stall_any, + input io_dec_pmu_presync_stall, + input io_dec_pmu_postsync_stall, + input io_dec_pmu_decode_stall, + input io_ifu_pmu_fetch_stall, + input [1:0] io_dec_tlu_packet_r_icaf_type, + input [3:0] io_dec_tlu_packet_r_pmu_i0_itype, + input io_dec_tlu_packet_r_pmu_i0_br_unpred, + input io_dec_tlu_packet_r_pmu_divide, + input io_dec_tlu_packet_r_pmu_lsu_misaligned, + input io_exu_pmu_i0_br_ataken, + input io_exu_pmu_i0_br_misp, + input io_dec_pmu_instr_decoded, + input io_ifu_pmu_instr_aligned, + input io_exu_pmu_i0_pc4, + input io_ifu_pmu_ic_miss, + input io_ifu_pmu_ic_hit, + output io_dec_tlu_int_valid_wb1, + output io_dec_tlu_i0_exc_valid_wb1, + output io_dec_tlu_i0_valid_wb1, + input io_dec_csr_wen_r, + output [31:0] io_dec_tlu_mtval_wb1, + output [4:0] io_dec_tlu_exc_cause_wb1, + output io_dec_tlu_perfcnt0, + output io_dec_tlu_perfcnt1, + output io_dec_tlu_perfcnt2, + output io_dec_tlu_perfcnt3, + input io_dec_tlu_dbg_halted, + input io_dma_pmu_dccm_write, + input io_dma_pmu_dccm_read, + input io_dma_pmu_any_write, + input io_dma_pmu_any_read, + input io_lsu_pmu_bus_busy, + input [30:0] io_dec_tlu_i0_pc_r, + input io_dec_tlu_i0_valid_r, + input io_dec_csr_any_unq_d, + output io_dec_tlu_misc_clk_override, + output io_dec_tlu_dec_clk_override, + output io_dec_tlu_ifu_clk_override, + output io_dec_tlu_lsu_clk_override, + output io_dec_tlu_bus_clk_override, + output io_dec_tlu_pic_clk_override, + output io_dec_tlu_dccm_clk_override, + output io_dec_tlu_icm_clk_override, + output [31:0] io_dec_csr_rddata_d, + output io_dec_tlu_pipelining_disable, + output io_dec_tlu_wr_pause_r, + input io_ifu_pmu_bus_busy, + input io_lsu_pmu_bus_error, + input io_ifu_pmu_bus_error, + input io_lsu_pmu_bus_misaligned, + input io_lsu_pmu_bus_trxn, + input [70:0] io_ifu_ic_debug_rd_data, + output [3:0] io_dec_tlu_meipt, + input [3:0] io_pic_pl, + output [3:0] io_dec_tlu_meicurpl, + output [29:0] io_dec_tlu_meihap, + input [7:0] io_pic_claimid, + input io_iccm_dma_sb_error, + input [31:0] io_lsu_imprecise_error_addr_any, + input io_lsu_imprecise_error_load_any, + input io_lsu_imprecise_error_store_any, + output [31:0] io_dec_tlu_mrac_ff, + output io_dec_tlu_wb_coalescing_disable, + output io_dec_tlu_bpred_disable, + output io_dec_tlu_sideeffect_posted_disable, + output io_dec_tlu_core_ecc_disable, + output io_dec_tlu_external_ldfwd_disable, + output [2:0] io_dec_tlu_dma_qos_prty, + input [31:0] io_dec_illegal_inst, + input [3:0] io_lsu_error_pkt_r_bits_mscause, + input io_mexintpend, + input [30:0] io_exu_npc_r, + input io_mpc_reset_run_req, + input [30:0] io_rst_vec, + input [27:0] io_core_id, + input [31:0] io_dec_timer_rddata_d, + input io_dec_timer_read_d, + output io_dec_csr_wen_r_mod, + input io_rfpc_i0_r, + input io_i0_trigger_hit_r, + output io_fw_halt_req, + output [1:0] io_mstatus, + input io_exc_or_int_valid_r, + input io_mret_r, + output io_mstatus_mie_ns, + input io_dcsr_single_step_running_f, + output [15:0] io_dcsr, + output [30:0] io_mtvec, + output [5:0] io_mip, + input io_dec_timer_t0_pulse, + input io_dec_timer_t1_pulse, + input io_timer_int_sync, + input io_soft_int_sync, + output [5:0] io_mie_ns, + input io_csr_wr_clk, + input io_ebreak_to_debug_mode_r, + input io_dec_tlu_pmu_fw_halted, + input [1:0] io_lsu_fir_error, + output [30:0] io_npc_r, + input io_tlu_flush_lower_r_d1, + input io_dec_tlu_flush_noredir_r_d1, + input [30:0] io_tlu_flush_path_r_d1, + output [30:0] io_npc_r_d1, + input io_reset_delayed, + output [30:0] io_mepc, + input io_interrupt_valid_r, + input io_i0_exception_valid_r, + input io_lsu_exc_valid_r, + input io_mepc_trigger_hit_sel_pc_r, + input io_e4e5_int_clk, + input io_lsu_i0_exc_r, + input io_inst_acc_r, + input io_inst_acc_second_r, + input io_take_nmi, + input [31:0] io_lsu_error_pkt_addr_r, + input [4:0] io_exc_cause_r, + input io_i0_valid_wb, + input io_exc_or_int_valid_r_d1, + input io_interrupt_valid_r_d1, + input io_clk_override, + input io_i0_exception_valid_r_d1, + input io_lsu_i0_exc_r_d1, + input [4:0] io_exc_cause_wb, + input io_nmi_lsu_store_type, + input io_nmi_lsu_load_type, + input io_tlu_i0_commit_cmt, + input io_ebreak_r, + input io_ecall_r, + input io_illegal_r, + output io_mdseac_locked_ns, + input io_mdseac_locked_f, + input io_nmi_int_detected_f, + input io_internal_dbg_halt_mode_f2, + input io_ext_int_freeze_d1, + input io_ic_perr_r_d1, + input io_iccm_sbecc_r_d1, + input io_lsu_single_ecc_error_r_d1, + input io_ifu_miss_state_idle_f, + input io_lsu_idle_any_f, + input io_dbg_tlu_halted_f, + input io_dbg_tlu_halted, + input io_debug_halt_req_f, + output io_force_halt, + input io_take_ext_int_start, + input io_trigger_hit_dmode_r_d1, + input io_trigger_hit_r_d1, + input io_dcsr_single_step_done_f, + input io_ebreak_to_debug_mode_r_d1, + input io_debug_halt_req, + input io_allow_dbg_halt_csr_write, + input io_internal_dbg_halt_mode_f, + input io_enter_debug_halt_req, + input io_internal_dbg_halt_mode, + input io_request_debug_mode_done, + input io_request_debug_mode_r, + output [30:0] io_dpc, + input [3:0] io_update_hit_bit_r, + input io_take_timer_int, + input io_take_int_timer0_int, + input io_take_int_timer1_int, + input io_take_ext_int, + input io_tlu_flush_lower_r, + input io_dec_tlu_br0_error_r, + input io_dec_tlu_br0_start_error_r, + input io_lsu_pmu_load_external_r, + input io_lsu_pmu_store_external_r, + input io_csr_pkt_csr_misa, + input io_csr_pkt_csr_mvendorid, + input io_csr_pkt_csr_marchid, + input io_csr_pkt_csr_mimpid, + input io_csr_pkt_csr_mhartid, + input io_csr_pkt_csr_mstatus, + input io_csr_pkt_csr_mtvec, + input io_csr_pkt_csr_mip, + input io_csr_pkt_csr_mie, + input io_csr_pkt_csr_mcyclel, + input io_csr_pkt_csr_mcycleh, + input io_csr_pkt_csr_minstretl, + input io_csr_pkt_csr_minstreth, + input io_csr_pkt_csr_mscratch, + input io_csr_pkt_csr_mepc, + input io_csr_pkt_csr_mcause, + input io_csr_pkt_csr_mscause, + input io_csr_pkt_csr_mtval, + input io_csr_pkt_csr_mrac, + input io_csr_pkt_csr_mdseac, + input io_csr_pkt_csr_meihap, + input io_csr_pkt_csr_meivt, + input io_csr_pkt_csr_meipt, + input io_csr_pkt_csr_meicurpl, + input io_csr_pkt_csr_meicidpl, + input io_csr_pkt_csr_dcsr, + input io_csr_pkt_csr_mcgc, + input io_csr_pkt_csr_mfdc, + input io_csr_pkt_csr_dpc, + input io_csr_pkt_csr_mtsel, + input io_csr_pkt_csr_mtdata1, + input io_csr_pkt_csr_mtdata2, + input io_csr_pkt_csr_mhpmc3, + input io_csr_pkt_csr_mhpmc4, + input io_csr_pkt_csr_mhpmc5, + input io_csr_pkt_csr_mhpmc6, + input io_csr_pkt_csr_mhpmc3h, + input io_csr_pkt_csr_mhpmc4h, + input io_csr_pkt_csr_mhpmc5h, + input io_csr_pkt_csr_mhpmc6h, + input io_csr_pkt_csr_mhpme3, + input io_csr_pkt_csr_mhpme4, + input io_csr_pkt_csr_mhpme5, + input io_csr_pkt_csr_mhpme6, + input io_csr_pkt_csr_mcountinhibit, + input io_csr_pkt_csr_mpmc, + input io_csr_pkt_csr_micect, + input io_csr_pkt_csr_miccmect, + input io_csr_pkt_csr_mdccmect, + input io_csr_pkt_csr_mfdht, + input io_csr_pkt_csr_mfdhs, + input io_csr_pkt_csr_dicawics, + input io_csr_pkt_csr_dicad0h, + input io_csr_pkt_csr_dicad0, + input io_csr_pkt_csr_dicad1, + output [9:0] io_mtdata1_t_0, + output [9:0] io_mtdata1_t_1, + output [9:0] io_mtdata1_t_2, + output [9:0] io_mtdata1_t_3 +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; + reg [31:0] _RAND_11; + reg [31:0] _RAND_12; + reg [31:0] _RAND_13; + reg [31:0] _RAND_14; + reg [31:0] _RAND_15; + reg [31:0] _RAND_16; + reg [31:0] _RAND_17; + reg [31:0] _RAND_18; + reg [31:0] _RAND_19; + reg [31:0] _RAND_20; + reg [31:0] _RAND_21; + reg [31:0] _RAND_22; + reg [31:0] _RAND_23; + reg [31:0] _RAND_24; + reg [31:0] _RAND_25; + reg [31:0] _RAND_26; + reg [31:0] _RAND_27; + reg [31:0] _RAND_28; + reg [31:0] _RAND_29; + reg [31:0] _RAND_30; + reg [31:0] _RAND_31; + reg [31:0] _RAND_32; + reg [31:0] _RAND_33; + reg [31:0] _RAND_34; + reg [31:0] _RAND_35; + reg [31:0] _RAND_36; + reg [31:0] _RAND_37; + reg [31:0] _RAND_38; + reg [95:0] _RAND_39; + reg [31:0] _RAND_40; + reg [31:0] _RAND_41; + reg [31:0] _RAND_42; + reg [31:0] _RAND_43; + reg [31:0] _RAND_44; + reg [31:0] _RAND_45; + reg [31:0] _RAND_46; + reg [31:0] _RAND_47; + reg [31:0] _RAND_48; + reg [31:0] _RAND_49; + reg [31:0] _RAND_50; + reg [31:0] _RAND_51; + reg [31:0] _RAND_52; + reg [31:0] _RAND_53; + reg [31:0] _RAND_54; + reg [31:0] _RAND_55; + reg [31:0] _RAND_56; + reg [31:0] _RAND_57; + reg [31:0] _RAND_58; + reg [31:0] _RAND_59; + reg [31:0] _RAND_60; + reg [31:0] _RAND_61; + reg [31:0] _RAND_62; + reg [31:0] _RAND_63; + reg [31:0] _RAND_64; + reg [31:0] _RAND_65; + reg [31:0] _RAND_66; + reg [31:0] _RAND_67; + reg [31:0] _RAND_68; + reg [31:0] _RAND_69; + reg [31:0] _RAND_70; + reg [31:0] _RAND_71; + reg [31:0] _RAND_72; + reg [31:0] _RAND_73; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_io_en; // @[lib.scala 368:23] + wire rvclkhdr_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_en; // @[lib.scala 368:23] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_en; // @[lib.scala 368:23] + wire rvclkhdr_2_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_en; // @[lib.scala 368:23] + wire rvclkhdr_3_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_4_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_en; // @[lib.scala 368:23] + wire rvclkhdr_4_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_5_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_en; // @[lib.scala 368:23] + wire rvclkhdr_5_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_6_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_6_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_6_io_en; // @[lib.scala 368:23] + wire rvclkhdr_6_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_7_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_7_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_7_io_en; // @[lib.scala 368:23] + wire rvclkhdr_7_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_8_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_8_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_8_io_en; // @[lib.scala 368:23] + wire rvclkhdr_8_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_9_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_9_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_9_io_en; // @[lib.scala 368:23] + wire rvclkhdr_9_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_10_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_10_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_10_io_en; // @[lib.scala 368:23] + wire rvclkhdr_10_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_11_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_11_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_11_io_en; // @[lib.scala 368:23] + wire rvclkhdr_11_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_12_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_12_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_12_io_en; // @[lib.scala 368:23] + wire rvclkhdr_12_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_13_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_13_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_13_io_en; // @[lib.scala 368:23] + wire rvclkhdr_13_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_14_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_14_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_14_io_en; // @[lib.scala 368:23] + wire rvclkhdr_14_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_15_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_15_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_15_io_en; // @[lib.scala 368:23] + wire rvclkhdr_15_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_16_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_16_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_16_io_en; // @[lib.scala 368:23] + wire rvclkhdr_16_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_17_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_17_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_17_io_en; // @[lib.scala 368:23] + wire rvclkhdr_17_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_18_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_18_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_18_io_en; // @[lib.scala 368:23] + wire rvclkhdr_18_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_19_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_19_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_19_io_en; // @[lib.scala 368:23] + wire rvclkhdr_19_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_20_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_20_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_20_io_en; // @[lib.scala 368:23] + wire rvclkhdr_20_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_21_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_21_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_21_io_en; // @[lib.scala 368:23] + wire rvclkhdr_21_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_22_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_22_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_22_io_en; // @[lib.scala 368:23] + wire rvclkhdr_22_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_23_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_23_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_23_io_en; // @[lib.scala 368:23] + wire rvclkhdr_23_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_24_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_24_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_24_io_en; // @[lib.scala 368:23] + wire rvclkhdr_24_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_25_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_25_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_25_io_en; // @[lib.scala 368:23] + wire rvclkhdr_25_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_26_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_26_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_26_io_en; // @[lib.scala 368:23] + wire rvclkhdr_26_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_27_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_27_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_27_io_en; // @[lib.scala 368:23] + wire rvclkhdr_27_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_28_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_28_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_28_io_en; // @[lib.scala 368:23] + wire rvclkhdr_28_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_29_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_29_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_29_io_en; // @[lib.scala 368:23] + wire rvclkhdr_29_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_30_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_30_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_30_io_en; // @[lib.scala 368:23] + wire rvclkhdr_30_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_31_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_31_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_31_io_en; // @[lib.scala 368:23] + wire rvclkhdr_31_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_32_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_32_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_32_io_en; // @[lib.scala 368:23] + wire rvclkhdr_32_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_33_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_33_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_33_io_en; // @[lib.scala 368:23] + wire rvclkhdr_33_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_34_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_34_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_34_io_en; // @[lib.scala 343:22] + wire rvclkhdr_34_io_scan_mode; // @[lib.scala 343:22] + wire _T = ~io_i0_trigger_hit_r; // @[dec_tlu_ctl.scala 1449:45] + wire _T_1 = io_dec_csr_wen_r & _T; // @[dec_tlu_ctl.scala 1449:43] + wire _T_2 = ~io_rfpc_i0_r; // @[dec_tlu_ctl.scala 1449:68] + wire _T_5 = io_dec_csr_wraddr_r == 12'h300; // @[dec_tlu_ctl.scala 1450:71] + wire wr_mstatus_r = io_dec_csr_wen_r_mod & _T_5; // @[dec_tlu_ctl.scala 1450:42] + wire _T_498 = io_dec_csr_wraddr_r == 12'h7c6; // @[dec_tlu_ctl.scala 1836:68] + wire wr_mpmc_r = io_dec_csr_wen_r_mod & _T_498; // @[dec_tlu_ctl.scala 1836:39] + wire _T_510 = ~io_dec_csr_wrdata_r[1]; // @[dec_tlu_ctl.scala 1844:37] + reg mpmc_b; // @[dec_tlu_ctl.scala 1846:44] + wire mpmc = ~mpmc_b; // @[dec_tlu_ctl.scala 1849:10] + wire _T_511 = ~mpmc; // @[dec_tlu_ctl.scala 1844:62] + wire mpmc_b_ns = wr_mpmc_r ? _T_510 : _T_511; // @[dec_tlu_ctl.scala 1844:18] + wire _T_6 = ~mpmc_b_ns; // @[dec_tlu_ctl.scala 1453:28] + wire set_mie_pmu_fw_halt = _T_6 & io_fw_halt_req; // @[dec_tlu_ctl.scala 1453:39] + wire _T_7 = ~wr_mstatus_r; // @[dec_tlu_ctl.scala 1456:5] + wire _T_8 = _T_7 & io_exc_or_int_valid_r; // @[dec_tlu_ctl.scala 1456:19] + wire [1:0] _T_12 = {io_mstatus[0],1'h0}; // @[Cat.scala 29:58] + wire _T_13 = wr_mstatus_r & io_exc_or_int_valid_r; // @[dec_tlu_ctl.scala 1457:18] + wire [1:0] _T_16 = {io_dec_csr_wrdata_r[3],1'h0}; // @[Cat.scala 29:58] + wire _T_17 = ~io_exc_or_int_valid_r; // @[dec_tlu_ctl.scala 1458:17] + wire _T_18 = io_mret_r & _T_17; // @[dec_tlu_ctl.scala 1458:15] + wire [1:0] _T_21 = {1'h1,io_mstatus[1]}; // @[Cat.scala 29:58] + wire [1:0] _T_24 = {io_mstatus[1],1'h1}; // @[Cat.scala 29:58] + wire _T_26 = wr_mstatus_r & _T_17; // @[dec_tlu_ctl.scala 1460:18] + wire [1:0] _T_30 = {io_dec_csr_wrdata_r[7],io_dec_csr_wrdata_r[3]}; // @[Cat.scala 29:58] + wire _T_33 = _T_7 & _T_17; // @[dec_tlu_ctl.scala 1461:19] + wire _T_34 = ~io_mret_r; // @[dec_tlu_ctl.scala 1461:46] + wire _T_35 = _T_33 & _T_34; // @[dec_tlu_ctl.scala 1461:44] + wire _T_36 = ~set_mie_pmu_fw_halt; // @[dec_tlu_ctl.scala 1461:59] + wire _T_37 = _T_35 & _T_36; // @[dec_tlu_ctl.scala 1461:57] + wire [1:0] _T_39 = _T_8 ? _T_12 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_40 = _T_13 ? _T_16 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_41 = _T_18 ? _T_21 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_42 = set_mie_pmu_fw_halt ? _T_24 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_43 = _T_26 ? _T_30 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_44 = _T_37 ? io_mstatus : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_45 = _T_39 | _T_40; // @[Mux.scala 27:72] + wire [1:0] _T_46 = _T_45 | _T_41; // @[Mux.scala 27:72] + wire [1:0] _T_47 = _T_46 | _T_42; // @[Mux.scala 27:72] + wire [1:0] _T_48 = _T_47 | _T_43; // @[Mux.scala 27:72] + wire _T_52 = ~io_dcsr_single_step_running_f; // @[dec_tlu_ctl.scala 1464:50] + wire _T_54 = _T_52 | io_dcsr[11]; // @[dec_tlu_ctl.scala 1464:81] + reg [1:0] _T_56; // @[dec_tlu_ctl.scala 1466:11] + wire _T_58 = io_dec_csr_wraddr_r == 12'h305; // @[dec_tlu_ctl.scala 1475:69] + reg [30:0] _T_62; // @[lib.scala 374:16] + reg [31:0] mdccmect; // @[lib.scala 374:16] + wire [62:0] _T_574 = 63'hffffffff << mdccmect[31:27]; // @[dec_tlu_ctl.scala 1896:41] + wire [31:0] _T_576 = {5'h0,mdccmect[26:0]}; // @[Cat.scala 29:58] + wire [62:0] _GEN_9 = {{31'd0}, _T_576}; // @[dec_tlu_ctl.scala 1896:61] + wire [62:0] _T_577 = _T_574 & _GEN_9; // @[dec_tlu_ctl.scala 1896:61] + wire mdccme_ce_req = |_T_577; // @[dec_tlu_ctl.scala 1896:94] + reg [31:0] miccmect; // @[lib.scala 374:16] + wire [62:0] _T_554 = 63'hffffffff << miccmect[31:27]; // @[dec_tlu_ctl.scala 1881:40] + wire [31:0] _T_556 = {5'h0,miccmect[26:0]}; // @[Cat.scala 29:58] + wire [62:0] _GEN_10 = {{31'd0}, _T_556}; // @[dec_tlu_ctl.scala 1881:60] + wire [62:0] _T_557 = _T_554 & _GEN_10; // @[dec_tlu_ctl.scala 1881:60] + wire miccme_ce_req = |_T_557; // @[dec_tlu_ctl.scala 1881:93] + wire _T_63 = mdccme_ce_req | miccme_ce_req; // @[dec_tlu_ctl.scala 1489:30] + reg [31:0] micect; // @[lib.scala 374:16] + wire [62:0] _T_532 = 63'hffffffff << micect[31:27]; // @[dec_tlu_ctl.scala 1866:39] + wire [31:0] _T_534 = {5'h0,micect[26:0]}; // @[Cat.scala 29:58] + wire [62:0] _GEN_11 = {{31'd0}, _T_534}; // @[dec_tlu_ctl.scala 1866:57] + wire [62:0] _T_535 = _T_532 & _GEN_11; // @[dec_tlu_ctl.scala 1866:57] + wire mice_ce_req = |_T_535; // @[dec_tlu_ctl.scala 1866:88] + wire ce_int = _T_63 | mice_ce_req; // @[dec_tlu_ctl.scala 1489:46] + wire [2:0] _T_65 = {io_mexintpend,io_timer_int_sync,io_soft_int_sync}; // @[Cat.scala 29:58] + wire [2:0] _T_67 = {ce_int,io_dec_timer_t0_pulse,io_dec_timer_t1_pulse}; // @[Cat.scala 29:58] + reg [5:0] _T_68; // @[dec_tlu_ctl.scala 1493:11] + wire _T_70 = io_dec_csr_wraddr_r == 12'h304; // @[dec_tlu_ctl.scala 1505:67] + wire wr_mie_r = io_dec_csr_wen_r_mod & _T_70; // @[dec_tlu_ctl.scala 1505:38] + wire [5:0] _T_78 = {io_dec_csr_wrdata_r[30:28],io_dec_csr_wrdata_r[11],io_dec_csr_wrdata_r[7],io_dec_csr_wrdata_r[3]}; // @[Cat.scala 29:58] + reg [5:0] mie; // @[dec_tlu_ctl.scala 1508:11] + wire kill_ebreak_count_r = io_ebreak_to_debug_mode_r & io_dcsr[10]; // @[dec_tlu_ctl.scala 1515:54] + wire _T_83 = io_dec_csr_wraddr_r == 12'hb00; // @[dec_tlu_ctl.scala 1517:71] + wire wr_mcyclel_r = io_dec_csr_wen_r_mod & _T_83; // @[dec_tlu_ctl.scala 1517:42] + wire _T_85 = io_dec_tlu_dbg_halted & io_dcsr[10]; // @[dec_tlu_ctl.scala 1519:71] + wire _T_86 = kill_ebreak_count_r | _T_85; // @[dec_tlu_ctl.scala 1519:46] + wire _T_87 = _T_86 | io_dec_tlu_pmu_fw_halted; // @[dec_tlu_ctl.scala 1519:94] + reg [4:0] temp_ncount6_2; // @[Reg.scala 27:20] + reg temp_ncount0; // @[Reg.scala 27:20] + wire [6:0] mcountinhibit = {temp_ncount6_2,1'h0,temp_ncount0}; // @[Cat.scala 29:58] + wire _T_89 = _T_87 | mcountinhibit[0]; // @[dec_tlu_ctl.scala 1519:121] + wire mcyclel_cout_in = ~_T_89; // @[dec_tlu_ctl.scala 1519:24] + wire [31:0] _T_90 = {31'h0,mcyclel_cout_in}; // @[Cat.scala 29:58] + reg [31:0] mcyclel; // @[lib.scala 374:16] + wire [32:0] mcyclel_inc = mcyclel + _T_90; // @[dec_tlu_ctl.scala 1523:25] + wire mcyclel_cout = mcyclel_inc[32]; // @[dec_tlu_ctl.scala 1525:32] + wire _T_101 = io_dec_csr_wraddr_r == 12'hb80; // @[dec_tlu_ctl.scala 1533:68] + wire wr_mcycleh_r = io_dec_csr_wen_r_mod & _T_101; // @[dec_tlu_ctl.scala 1533:39] + wire _T_98 = ~wr_mcycleh_r; // @[dec_tlu_ctl.scala 1527:71] + reg mcyclel_cout_f; // @[dec_tlu_ctl.scala 1527:54] + wire [31:0] _T_103 = {31'h0,mcyclel_cout_f}; // @[Cat.scala 29:58] + reg [31:0] mcycleh; // @[lib.scala 374:16] + wire [31:0] mcycleh_inc = mcycleh + _T_103; // @[dec_tlu_ctl.scala 1535:28] + wire _T_109 = io_ebreak_r | io_ecall_r; // @[dec_tlu_ctl.scala 1552:72] + wire _T_110 = _T_109 | io_ebreak_to_debug_mode_r; // @[dec_tlu_ctl.scala 1552:85] + wire _T_111 = _T_110 | io_illegal_r; // @[dec_tlu_ctl.scala 1552:113] + wire _T_113 = _T_111 | mcountinhibit[2]; // @[dec_tlu_ctl.scala 1552:128] + wire _T_115 = ~_T_113; // @[dec_tlu_ctl.scala 1552:58] + wire i0_valid_no_ebreak_ecall_r = io_tlu_i0_commit_cmt & _T_115; // @[dec_tlu_ctl.scala 1552:56] + wire _T_117 = io_dec_csr_wraddr_r == 12'hb02; // @[dec_tlu_ctl.scala 1554:73] + wire wr_minstretl_r = io_dec_csr_wen_r_mod & _T_117; // @[dec_tlu_ctl.scala 1554:44] + wire [31:0] _T_118 = {31'h0,i0_valid_no_ebreak_ecall_r}; // @[Cat.scala 29:58] + reg [31:0] minstretl; // @[lib.scala 374:16] + wire [32:0] minstretl_inc = minstretl + _T_118; // @[dec_tlu_ctl.scala 1556:29] + wire minstretl_cout = minstretl_inc[32]; // @[dec_tlu_ctl.scala 1557:36] + reg minstret_enable_f; // @[dec_tlu_ctl.scala 1562:56] + wire _T_128 = io_dec_csr_wraddr_r == 12'hb82; // @[dec_tlu_ctl.scala 1571:71] + wire wr_minstreth_r = io_dec_csr_wen_r_mod & _T_128; // @[dec_tlu_ctl.scala 1571:42] + wire _T_125 = ~wr_minstreth_r; // @[dec_tlu_ctl.scala 1563:75] + reg minstretl_cout_f; // @[dec_tlu_ctl.scala 1563:56] + wire [31:0] _T_131 = {31'h0,minstretl_cout_f}; // @[Cat.scala 29:58] + reg [31:0] minstreth; // @[lib.scala 374:16] + wire [31:0] minstreth_inc = minstreth + _T_131; // @[dec_tlu_ctl.scala 1574:29] + wire _T_139 = io_dec_csr_wraddr_r == 12'h340; // @[dec_tlu_ctl.scala 1585:72] + reg [31:0] mscratch; // @[lib.scala 374:16] + wire _T_142 = ~io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 1596:22] + wire _T_143 = ~io_tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 1596:47] + wire _T_144 = _T_142 & _T_143; // @[dec_tlu_ctl.scala 1596:45] + wire sel_exu_npc_r = _T_144 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 1596:72] + wire _T_146 = _T_142 & io_tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 1597:47] + wire _T_147 = ~io_dec_tlu_flush_noredir_r_d1; // @[dec_tlu_ctl.scala 1597:75] + wire sel_flush_npc_r = _T_146 & _T_147; // @[dec_tlu_ctl.scala 1597:73] + wire _T_148 = ~sel_exu_npc_r; // @[dec_tlu_ctl.scala 1598:23] + wire _T_149 = ~sel_flush_npc_r; // @[dec_tlu_ctl.scala 1598:40] + wire sel_hold_npc_r = _T_148 & _T_149; // @[dec_tlu_ctl.scala 1598:38] + wire _T_151 = ~io_mpc_reset_run_req; // @[dec_tlu_ctl.scala 1602:13] + wire _T_152 = _T_151 & io_reset_delayed; // @[dec_tlu_ctl.scala 1602:35] + wire [30:0] _T_156 = sel_exu_npc_r ? io_exu_npc_r : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_157 = _T_152 ? io_rst_vec : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_158 = sel_flush_npc_r ? io_tlu_flush_path_r_d1 : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_159 = sel_hold_npc_r ? io_npc_r_d1 : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_160 = _T_156 | _T_157; // @[Mux.scala 27:72] + wire [30:0] _T_161 = _T_160 | _T_158; // @[Mux.scala 27:72] + wire _T_164 = sel_exu_npc_r | sel_flush_npc_r; // @[dec_tlu_ctl.scala 1606:48] + reg [30:0] _T_167; // @[lib.scala 374:16] + wire pc0_valid_r = _T_142 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 1609:44] + wire _T_170 = ~pc0_valid_r; // @[dec_tlu_ctl.scala 1613:22] + wire [30:0] _T_171 = pc0_valid_r ? io_dec_tlu_i0_pc_r : 31'h0; // @[Mux.scala 27:72] + reg [30:0] pc_r_d1; // @[lib.scala 374:16] + wire [30:0] _T_172 = _T_170 ? pc_r_d1 : 31'h0; // @[Mux.scala 27:72] + wire [30:0] pc_r = _T_171 | _T_172; // @[Mux.scala 27:72] + wire _T_176 = io_dec_csr_wraddr_r == 12'h341; // @[dec_tlu_ctl.scala 1617:68] + wire wr_mepc_r = io_dec_csr_wen_r_mod & _T_176; // @[dec_tlu_ctl.scala 1617:39] + wire _T_177 = io_i0_exception_valid_r | io_lsu_exc_valid_r; // @[dec_tlu_ctl.scala 1620:27] + wire _T_178 = _T_177 | io_mepc_trigger_hit_sel_pc_r; // @[dec_tlu_ctl.scala 1620:48] + wire _T_182 = wr_mepc_r & _T_17; // @[dec_tlu_ctl.scala 1622:13] + wire _T_185 = ~wr_mepc_r; // @[dec_tlu_ctl.scala 1623:3] + wire _T_187 = _T_185 & _T_17; // @[dec_tlu_ctl.scala 1623:14] + wire [30:0] _T_189 = _T_178 ? pc_r : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_190 = io_interrupt_valid_r ? io_npc_r : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_191 = _T_182 ? io_dec_csr_wrdata_r[31:1] : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_192 = _T_187 ? io_mepc : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_193 = _T_189 | _T_190; // @[Mux.scala 27:72] + wire [30:0] _T_194 = _T_193 | _T_191; // @[Mux.scala 27:72] + reg [30:0] _T_196; // @[dec_tlu_ctl.scala 1625:47] + wire _T_198 = io_dec_csr_wraddr_r == 12'h342; // @[dec_tlu_ctl.scala 1632:72] + wire wr_mcause_r = io_dec_csr_wen_r_mod & _T_198; // @[dec_tlu_ctl.scala 1632:43] + wire _T_199 = io_exc_or_int_valid_r & io_take_nmi; // @[dec_tlu_ctl.scala 1633:53] + wire mcause_sel_nmi_store = _T_199 & io_nmi_lsu_store_type; // @[dec_tlu_ctl.scala 1633:67] + wire mcause_sel_nmi_load = _T_199 & io_nmi_lsu_load_type; // @[dec_tlu_ctl.scala 1634:66] + wire _T_202 = |io_lsu_fir_error; // @[dec_tlu_ctl.scala 1635:84] + wire mcause_sel_nmi_ext = _T_199 & _T_202; // @[dec_tlu_ctl.scala 1635:65] + wire _T_203 = &io_lsu_fir_error; // @[dec_tlu_ctl.scala 1641:53] + wire _T_206 = ~io_lsu_fir_error[0]; // @[dec_tlu_ctl.scala 1641:82] + wire _T_207 = io_lsu_fir_error[1] & _T_206; // @[dec_tlu_ctl.scala 1641:80] + wire [31:0] _T_212 = {30'h3c000400,_T_203,_T_207}; // @[Cat.scala 29:58] + wire _T_213 = ~io_take_nmi; // @[dec_tlu_ctl.scala 1647:56] + wire _T_214 = io_exc_or_int_valid_r & _T_213; // @[dec_tlu_ctl.scala 1647:54] + wire [31:0] _T_217 = {io_interrupt_valid_r,26'h0,io_exc_cause_r}; // @[Cat.scala 29:58] + wire _T_219 = wr_mcause_r & _T_17; // @[dec_tlu_ctl.scala 1648:44] + wire _T_221 = ~wr_mcause_r; // @[dec_tlu_ctl.scala 1649:32] + wire _T_223 = _T_221 & _T_17; // @[dec_tlu_ctl.scala 1649:45] + wire [31:0] _T_225 = mcause_sel_nmi_store ? 32'hf0000000 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_226 = mcause_sel_nmi_load ? 32'hf0000001 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_227 = mcause_sel_nmi_ext ? _T_212 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_228 = _T_214 ? _T_217 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_229 = _T_219 ? io_dec_csr_wrdata_r : 32'h0; // @[Mux.scala 27:72] + reg [31:0] mcause; // @[dec_tlu_ctl.scala 1651:49] + wire [31:0] _T_230 = _T_223 ? mcause : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_231 = _T_225 | _T_226; // @[Mux.scala 27:72] + wire [31:0] _T_232 = _T_231 | _T_227; // @[Mux.scala 27:72] + wire [31:0] _T_233 = _T_232 | _T_228; // @[Mux.scala 27:72] + wire [31:0] _T_234 = _T_233 | _T_229; // @[Mux.scala 27:72] + wire _T_238 = io_dec_csr_wraddr_r == 12'h7ff; // @[dec_tlu_ctl.scala 1658:71] + wire wr_mscause_r = io_dec_csr_wen_r_mod & _T_238; // @[dec_tlu_ctl.scala 1658:42] + wire _T_239 = io_dec_tlu_packet_r_icaf_type == 2'h0; // @[dec_tlu_ctl.scala 1660:56] + wire [3:0] _T_240 = {2'h0,io_dec_tlu_packet_r_icaf_type}; // @[Cat.scala 29:58] + wire [3:0] ifu_mscause = _T_239 ? 4'h9 : _T_240; // @[dec_tlu_ctl.scala 1660:24] + wire [3:0] _T_245 = io_lsu_i0_exc_r ? io_lsu_error_pkt_r_bits_mscause : 4'h0; // @[Mux.scala 27:72] + wire [1:0] _T_247 = io_ebreak_r ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [3:0] _T_248 = io_inst_acc_r ? ifu_mscause : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _GEN_12 = {{3'd0}, io_i0_trigger_hit_r}; // @[Mux.scala 27:72] + wire [3:0] _T_249 = _T_245 | _GEN_12; // @[Mux.scala 27:72] + wire [3:0] _GEN_13 = {{2'd0}, _T_247}; // @[Mux.scala 27:72] + wire [3:0] _T_250 = _T_249 | _GEN_13; // @[Mux.scala 27:72] + wire [3:0] mscause_type = _T_250 | _T_248; // @[Mux.scala 27:72] + wire _T_254 = wr_mscause_r & _T_17; // @[dec_tlu_ctl.scala 1671:38] + wire _T_257 = ~wr_mscause_r; // @[dec_tlu_ctl.scala 1672:25] + wire _T_259 = _T_257 & _T_17; // @[dec_tlu_ctl.scala 1672:39] + wire [3:0] _T_261 = io_exc_or_int_valid_r ? mscause_type : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_262 = _T_254 ? io_dec_csr_wrdata_r[3:0] : 4'h0; // @[Mux.scala 27:72] + reg [3:0] mscause; // @[dec_tlu_ctl.scala 1674:47] + wire [3:0] _T_263 = _T_259 ? mscause : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_264 = _T_261 | _T_262; // @[Mux.scala 27:72] + wire _T_268 = io_dec_csr_wraddr_r == 12'h343; // @[dec_tlu_ctl.scala 1681:69] + wire wr_mtval_r = io_dec_csr_wen_r_mod & _T_268; // @[dec_tlu_ctl.scala 1681:40] + wire _T_269 = ~io_inst_acc_second_r; // @[dec_tlu_ctl.scala 1682:83] + wire _T_270 = io_inst_acc_r & _T_269; // @[dec_tlu_ctl.scala 1682:81] + wire _T_271 = io_ebreak_r | _T_270; // @[dec_tlu_ctl.scala 1682:64] + wire _T_272 = _T_271 | io_mepc_trigger_hit_sel_pc_r; // @[dec_tlu_ctl.scala 1682:106] + wire _T_273 = io_exc_or_int_valid_r & _T_272; // @[dec_tlu_ctl.scala 1682:49] + wire mtval_capture_pc_r = _T_273 & _T_213; // @[dec_tlu_ctl.scala 1682:138] + wire _T_275 = io_inst_acc_r & io_inst_acc_second_r; // @[dec_tlu_ctl.scala 1683:72] + wire _T_276 = io_exc_or_int_valid_r & _T_275; // @[dec_tlu_ctl.scala 1683:55] + wire mtval_capture_pc_plus2_r = _T_276 & _T_213; // @[dec_tlu_ctl.scala 1683:96] + wire _T_278 = io_exc_or_int_valid_r & io_illegal_r; // @[dec_tlu_ctl.scala 1684:51] + wire mtval_capture_inst_r = _T_278 & _T_213; // @[dec_tlu_ctl.scala 1684:66] + wire _T_280 = io_exc_or_int_valid_r & io_lsu_exc_valid_r; // @[dec_tlu_ctl.scala 1685:50] + wire mtval_capture_lsu_r = _T_280 & _T_213; // @[dec_tlu_ctl.scala 1685:71] + wire _T_282 = ~mtval_capture_pc_r; // @[dec_tlu_ctl.scala 1686:46] + wire _T_283 = io_exc_or_int_valid_r & _T_282; // @[dec_tlu_ctl.scala 1686:44] + wire _T_284 = ~mtval_capture_inst_r; // @[dec_tlu_ctl.scala 1686:68] + wire _T_285 = _T_283 & _T_284; // @[dec_tlu_ctl.scala 1686:66] + wire _T_286 = ~mtval_capture_lsu_r; // @[dec_tlu_ctl.scala 1686:92] + wire _T_287 = _T_285 & _T_286; // @[dec_tlu_ctl.scala 1686:90] + wire _T_288 = ~io_mepc_trigger_hit_sel_pc_r; // @[dec_tlu_ctl.scala 1686:115] + wire mtval_clear_r = _T_287 & _T_288; // @[dec_tlu_ctl.scala 1686:113] + wire [31:0] _T_290 = {pc_r,1'h0}; // @[Cat.scala 29:58] + wire [30:0] _T_293 = pc_r + 31'h1; // @[dec_tlu_ctl.scala 1691:83] + wire [31:0] _T_294 = {_T_293,1'h0}; // @[Cat.scala 29:58] + wire _T_297 = ~io_interrupt_valid_r; // @[dec_tlu_ctl.scala 1694:18] + wire _T_298 = wr_mtval_r & _T_297; // @[dec_tlu_ctl.scala 1694:16] + wire _T_301 = ~wr_mtval_r; // @[dec_tlu_ctl.scala 1695:20] + wire _T_302 = _T_213 & _T_301; // @[dec_tlu_ctl.scala 1695:18] + wire _T_304 = _T_302 & _T_282; // @[dec_tlu_ctl.scala 1695:32] + wire _T_306 = _T_304 & _T_284; // @[dec_tlu_ctl.scala 1695:54] + wire _T_307 = ~mtval_clear_r; // @[dec_tlu_ctl.scala 1695:80] + wire _T_308 = _T_306 & _T_307; // @[dec_tlu_ctl.scala 1695:78] + wire _T_310 = _T_308 & _T_286; // @[dec_tlu_ctl.scala 1695:95] + wire [31:0] _T_312 = mtval_capture_pc_r ? _T_290 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_313 = mtval_capture_pc_plus2_r ? _T_294 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_314 = mtval_capture_inst_r ? io_dec_illegal_inst : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_315 = mtval_capture_lsu_r ? io_lsu_error_pkt_addr_r : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_316 = _T_298 ? io_dec_csr_wrdata_r : 32'h0; // @[Mux.scala 27:72] + reg [31:0] mtval; // @[dec_tlu_ctl.scala 1697:46] + wire [31:0] _T_317 = _T_310 ? mtval : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_318 = _T_312 | _T_313; // @[Mux.scala 27:72] + wire [31:0] _T_319 = _T_318 | _T_314; // @[Mux.scala 27:72] + wire [31:0] _T_320 = _T_319 | _T_315; // @[Mux.scala 27:72] + wire [31:0] _T_321 = _T_320 | _T_316; // @[Mux.scala 27:72] + wire _T_325 = io_dec_csr_wraddr_r == 12'h7f8; // @[dec_tlu_ctl.scala 1712:68] + reg [8:0] mcgc; // @[lib.scala 374:16] + wire _T_337 = io_dec_csr_wraddr_r == 12'h7f9; // @[dec_tlu_ctl.scala 1742:68] + reg [14:0] mfdc_int; // @[lib.scala 374:16] + wire [2:0] _T_341 = ~io_dec_csr_wrdata_r[18:16]; // @[dec_tlu_ctl.scala 1751:20] + wire _T_344 = ~io_dec_csr_wrdata_r[6]; // @[dec_tlu_ctl.scala 1751:75] + wire [6:0] _T_346 = {_T_344,io_dec_csr_wrdata_r[5:0]}; // @[Cat.scala 29:58] + wire [7:0] _T_347 = {_T_341,io_dec_csr_wrdata_r[11:7]}; // @[Cat.scala 29:58] + wire [2:0] _T_350 = ~mfdc_int[14:12]; // @[dec_tlu_ctl.scala 1752:20] + wire _T_353 = ~mfdc_int[6]; // @[dec_tlu_ctl.scala 1752:63] + wire [18:0] mfdc = {_T_350,4'h0,mfdc_int[11:7],_T_353,mfdc_int[5:0]}; // @[Cat.scala 29:58] + wire _T_367 = io_dec_csr_wraddr_r == 12'h7c2; // @[dec_tlu_ctl.scala 1775:77] + wire _T_368 = io_dec_csr_wen_r_mod & _T_367; // @[dec_tlu_ctl.scala 1775:48] + wire _T_370 = _T_368 & _T_297; // @[dec_tlu_ctl.scala 1775:87] + wire _T_371 = ~io_take_ext_int_start; // @[dec_tlu_ctl.scala 1775:113] + wire _T_374 = io_dec_csr_wraddr_r == 12'h7c0; // @[dec_tlu_ctl.scala 1782:68] + wire _T_378 = ~io_dec_csr_wrdata_r[31]; // @[dec_tlu_ctl.scala 1785:71] + wire _T_379 = io_dec_csr_wrdata_r[30] & _T_378; // @[dec_tlu_ctl.scala 1785:69] + wire _T_383 = ~io_dec_csr_wrdata_r[29]; // @[dec_tlu_ctl.scala 1786:73] + wire _T_384 = io_dec_csr_wrdata_r[28] & _T_383; // @[dec_tlu_ctl.scala 1786:71] + wire _T_388 = ~io_dec_csr_wrdata_r[27]; // @[dec_tlu_ctl.scala 1787:73] + wire _T_389 = io_dec_csr_wrdata_r[26] & _T_388; // @[dec_tlu_ctl.scala 1787:71] + wire _T_393 = ~io_dec_csr_wrdata_r[25]; // @[dec_tlu_ctl.scala 1788:73] + wire _T_394 = io_dec_csr_wrdata_r[24] & _T_393; // @[dec_tlu_ctl.scala 1788:71] + wire _T_398 = ~io_dec_csr_wrdata_r[23]; // @[dec_tlu_ctl.scala 1789:73] + wire _T_399 = io_dec_csr_wrdata_r[22] & _T_398; // @[dec_tlu_ctl.scala 1789:71] + wire _T_403 = ~io_dec_csr_wrdata_r[21]; // @[dec_tlu_ctl.scala 1790:73] + wire _T_404 = io_dec_csr_wrdata_r[20] & _T_403; // @[dec_tlu_ctl.scala 1790:71] + wire _T_408 = ~io_dec_csr_wrdata_r[19]; // @[dec_tlu_ctl.scala 1791:73] + wire _T_409 = io_dec_csr_wrdata_r[18] & _T_408; // @[dec_tlu_ctl.scala 1791:71] + wire _T_413 = ~io_dec_csr_wrdata_r[17]; // @[dec_tlu_ctl.scala 1792:73] + wire _T_414 = io_dec_csr_wrdata_r[16] & _T_413; // @[dec_tlu_ctl.scala 1792:71] + wire _T_418 = ~io_dec_csr_wrdata_r[15]; // @[dec_tlu_ctl.scala 1793:73] + wire _T_419 = io_dec_csr_wrdata_r[14] & _T_418; // @[dec_tlu_ctl.scala 1793:71] + wire _T_423 = ~io_dec_csr_wrdata_r[13]; // @[dec_tlu_ctl.scala 1794:73] + wire _T_424 = io_dec_csr_wrdata_r[12] & _T_423; // @[dec_tlu_ctl.scala 1794:71] + wire _T_428 = ~io_dec_csr_wrdata_r[11]; // @[dec_tlu_ctl.scala 1795:73] + wire _T_429 = io_dec_csr_wrdata_r[10] & _T_428; // @[dec_tlu_ctl.scala 1795:71] + wire _T_433 = ~io_dec_csr_wrdata_r[9]; // @[dec_tlu_ctl.scala 1796:73] + wire _T_434 = io_dec_csr_wrdata_r[8] & _T_433; // @[dec_tlu_ctl.scala 1796:70] + wire _T_438 = ~io_dec_csr_wrdata_r[7]; // @[dec_tlu_ctl.scala 1797:73] + wire _T_439 = io_dec_csr_wrdata_r[6] & _T_438; // @[dec_tlu_ctl.scala 1797:70] + wire _T_443 = ~io_dec_csr_wrdata_r[5]; // @[dec_tlu_ctl.scala 1798:73] + wire _T_444 = io_dec_csr_wrdata_r[4] & _T_443; // @[dec_tlu_ctl.scala 1798:70] + wire _T_448 = ~io_dec_csr_wrdata_r[3]; // @[dec_tlu_ctl.scala 1799:73] + wire _T_449 = io_dec_csr_wrdata_r[2] & _T_448; // @[dec_tlu_ctl.scala 1799:70] + wire _T_454 = io_dec_csr_wrdata_r[0] & _T_510; // @[dec_tlu_ctl.scala 1800:70] + wire [7:0] _T_461 = {io_dec_csr_wrdata_r[7],_T_439,io_dec_csr_wrdata_r[5],_T_444,io_dec_csr_wrdata_r[3],_T_449,io_dec_csr_wrdata_r[1],_T_454}; // @[Cat.scala 29:58] + wire [15:0] _T_469 = {io_dec_csr_wrdata_r[15],_T_419,io_dec_csr_wrdata_r[13],_T_424,io_dec_csr_wrdata_r[11],_T_429,io_dec_csr_wrdata_r[9],_T_434,_T_461}; // @[Cat.scala 29:58] + wire [7:0] _T_476 = {io_dec_csr_wrdata_r[23],_T_399,io_dec_csr_wrdata_r[21],_T_404,io_dec_csr_wrdata_r[19],_T_409,io_dec_csr_wrdata_r[17],_T_414}; // @[Cat.scala 29:58] + wire [15:0] _T_484 = {io_dec_csr_wrdata_r[31],_T_379,io_dec_csr_wrdata_r[29],_T_384,io_dec_csr_wrdata_r[27],_T_389,io_dec_csr_wrdata_r[25],_T_394,_T_476}; // @[Cat.scala 29:58] + reg [31:0] mrac; // @[lib.scala 374:16] + wire _T_487 = io_dec_csr_wraddr_r == 12'hbc0; // @[dec_tlu_ctl.scala 1813:69] + wire wr_mdeau_r = io_dec_csr_wen_r_mod & _T_487; // @[dec_tlu_ctl.scala 1813:40] + wire _T_488 = ~wr_mdeau_r; // @[dec_tlu_ctl.scala 1823:59] + wire _T_489 = io_mdseac_locked_f & _T_488; // @[dec_tlu_ctl.scala 1823:57] + wire _T_491 = io_lsu_imprecise_error_store_any | io_lsu_imprecise_error_load_any; // @[dec_tlu_ctl.scala 1825:49] + wire _T_492 = ~io_nmi_int_detected_f; // @[dec_tlu_ctl.scala 1825:86] + wire _T_493 = _T_491 & _T_492; // @[dec_tlu_ctl.scala 1825:84] + wire _T_494 = ~io_mdseac_locked_f; // @[dec_tlu_ctl.scala 1825:111] + wire mdseac_en = _T_493 & _T_494; // @[dec_tlu_ctl.scala 1825:109] + reg [31:0] mdseac; // @[lib.scala 374:16] + wire _T_500 = wr_mpmc_r & io_dec_csr_wrdata_r[0]; // @[dec_tlu_ctl.scala 1840:30] + wire _T_501 = ~io_internal_dbg_halt_mode_f2; // @[dec_tlu_ctl.scala 1840:57] + wire _T_502 = _T_500 & _T_501; // @[dec_tlu_ctl.scala 1840:55] + wire _T_503 = ~io_ext_int_freeze_d1; // @[dec_tlu_ctl.scala 1840:89] + wire _T_516 = io_dec_csr_wrdata_r[31:27] > 5'h1a; // @[dec_tlu_ctl.scala 1858:48] + wire [4:0] csr_sat = _T_516 ? 5'h1a : io_dec_csr_wrdata_r[31:27]; // @[dec_tlu_ctl.scala 1858:19] + wire _T_519 = io_dec_csr_wraddr_r == 12'h7f0; // @[dec_tlu_ctl.scala 1860:70] + wire wr_micect_r = io_dec_csr_wen_r_mod & _T_519; // @[dec_tlu_ctl.scala 1860:41] + wire [26:0] _T_520 = {26'h0,io_ic_perr_r_d1}; // @[Cat.scala 29:58] + wire [31:0] _GEN_14 = {{5'd0}, _T_520}; // @[dec_tlu_ctl.scala 1861:23] + wire [31:0] _T_522 = micect + _GEN_14; // @[dec_tlu_ctl.scala 1861:23] + wire [31:0] _T_525 = {csr_sat,io_dec_csr_wrdata_r[26:0]}; // @[Cat.scala 29:58] + wire [26:0] micect_inc = _T_522[26:0]; // @[dec_tlu_ctl.scala 1861:13] + wire [31:0] _T_527 = {micect[31:27],micect_inc}; // @[Cat.scala 29:58] + wire _T_538 = io_dec_csr_wraddr_r == 12'h7f1; // @[dec_tlu_ctl.scala 1875:76] + wire wr_miccmect_r = io_dec_csr_wen_r_mod & _T_538; // @[dec_tlu_ctl.scala 1875:47] + wire _T_540 = io_iccm_sbecc_r_d1 | io_iccm_dma_sb_error; // @[dec_tlu_ctl.scala 1876:70] + wire [26:0] _T_541 = {26'h0,_T_540}; // @[Cat.scala 29:58] + wire [26:0] miccmect_inc = miccmect[26:0] + _T_541; // @[dec_tlu_ctl.scala 1876:33] + wire [31:0] _T_548 = {miccmect[31:27],miccmect_inc}; // @[Cat.scala 29:58] + wire _T_549 = wr_miccmect_r | io_iccm_sbecc_r_d1; // @[dec_tlu_ctl.scala 1879:48] + wire _T_560 = io_dec_csr_wraddr_r == 12'h7f2; // @[dec_tlu_ctl.scala 1890:76] + wire wr_mdccmect_r = io_dec_csr_wen_r_mod & _T_560; // @[dec_tlu_ctl.scala 1890:47] + wire [26:0] _T_562 = {26'h0,io_lsu_single_ecc_error_r_d1}; // @[Cat.scala 29:58] + wire [26:0] mdccmect_inc = mdccmect[26:0] + _T_562; // @[dec_tlu_ctl.scala 1891:33] + wire [31:0] _T_569 = {mdccmect[31:27],mdccmect_inc}; // @[Cat.scala 29:58] + wire _T_580 = io_dec_csr_wraddr_r == 12'h7ce; // @[dec_tlu_ctl.scala 1906:69] + wire wr_mfdht_r = io_dec_csr_wen_r_mod & _T_580; // @[dec_tlu_ctl.scala 1906:40] + reg [5:0] mfdht; // @[dec_tlu_ctl.scala 1910:43] + wire _T_585 = io_dec_csr_wraddr_r == 12'h7cf; // @[dec_tlu_ctl.scala 1919:69] + wire wr_mfdhs_r = io_dec_csr_wen_r_mod & _T_585; // @[dec_tlu_ctl.scala 1919:40] + wire _T_588 = ~io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 1922:43] + wire _T_589 = io_dbg_tlu_halted & _T_588; // @[dec_tlu_ctl.scala 1922:41] + wire _T_591 = ~io_lsu_idle_any_f; // @[dec_tlu_ctl.scala 1922:78] + wire _T_592 = ~io_ifu_miss_state_idle_f; // @[dec_tlu_ctl.scala 1922:98] + wire [1:0] _T_593 = {_T_591,_T_592}; // @[Cat.scala 29:58] + reg [1:0] mfdhs; // @[Reg.scala 27:20] + wire _T_595 = wr_mfdhs_r | io_dbg_tlu_halted; // @[dec_tlu_ctl.scala 1924:71] + reg [31:0] force_halt_ctr_f; // @[Reg.scala 27:20] + wire [31:0] _T_600 = force_halt_ctr_f + 32'h1; // @[dec_tlu_ctl.scala 1926:74] + wire [62:0] _T_607 = 63'hffffffff << mfdht[5:1]; // @[dec_tlu_ctl.scala 1931:71] + wire [62:0] _GEN_15 = {{31'd0}, force_halt_ctr_f}; // @[dec_tlu_ctl.scala 1931:48] + wire [62:0] _T_608 = _GEN_15 & _T_607; // @[dec_tlu_ctl.scala 1931:48] + wire _T_609 = |_T_608; // @[dec_tlu_ctl.scala 1931:87] + wire _T_612 = io_dec_csr_wraddr_r == 12'hbc8; // @[dec_tlu_ctl.scala 1939:69] + reg [21:0] meivt; // @[lib.scala 374:16] + wire _T_631 = io_dec_csr_wraddr_r == 12'hbca; // @[dec_tlu_ctl.scala 1990:69] + wire _T_632 = io_dec_csr_wen_r_mod & _T_631; // @[dec_tlu_ctl.scala 1990:40] + wire wr_meicpct_r = _T_632 | io_take_ext_int_start; // @[dec_tlu_ctl.scala 1990:83] + reg [7:0] meihap; // @[lib.scala 374:16] + wire _T_618 = io_dec_csr_wraddr_r == 12'hbcc; // @[dec_tlu_ctl.scala 1963:72] + wire wr_meicurpl_r = io_dec_csr_wen_r_mod & _T_618; // @[dec_tlu_ctl.scala 1963:43] + reg [3:0] meicurpl; // @[dec_tlu_ctl.scala 1966:46] + wire _T_623 = io_dec_csr_wraddr_r == 12'hbcb; // @[dec_tlu_ctl.scala 1978:73] + wire _T_624 = io_dec_csr_wen_r_mod & _T_623; // @[dec_tlu_ctl.scala 1978:44] + wire wr_meicidpl_r = _T_624 | io_take_ext_int_start; // @[dec_tlu_ctl.scala 1978:88] + reg [3:0] meicidpl; // @[dec_tlu_ctl.scala 1983:44] + wire _T_635 = io_dec_csr_wraddr_r == 12'hbc9; // @[dec_tlu_ctl.scala 1999:69] + wire wr_meipt_r = io_dec_csr_wen_r_mod & _T_635; // @[dec_tlu_ctl.scala 1999:40] + reg [3:0] meipt; // @[dec_tlu_ctl.scala 2002:43] + wire _T_639 = io_trigger_hit_r_d1 & io_dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 2030:89] + wire trigger_hit_for_dscr_cause_r_d1 = io_trigger_hit_dmode_r_d1 | _T_639; // @[dec_tlu_ctl.scala 2030:66] + wire _T_640 = ~io_ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 2033:31] + wire _T_641 = io_dcsr_single_step_done_f & _T_640; // @[dec_tlu_ctl.scala 2033:29] + wire _T_642 = ~trigger_hit_for_dscr_cause_r_d1; // @[dec_tlu_ctl.scala 2033:63] + wire _T_643 = _T_641 & _T_642; // @[dec_tlu_ctl.scala 2033:61] + wire _T_644 = ~io_debug_halt_req; // @[dec_tlu_ctl.scala 2033:98] + wire _T_645 = _T_643 & _T_644; // @[dec_tlu_ctl.scala 2033:96] + wire _T_648 = io_debug_halt_req & _T_640; // @[dec_tlu_ctl.scala 2034:46] + wire _T_650 = _T_648 & _T_642; // @[dec_tlu_ctl.scala 2034:78] + wire _T_653 = io_ebreak_to_debug_mode_r_d1 & _T_642; // @[dec_tlu_ctl.scala 2035:75] + wire [2:0] _T_656 = _T_645 ? 3'h4 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_657 = _T_650 ? 3'h3 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_658 = _T_653 ? 3'h1 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_659 = trigger_hit_for_dscr_cause_r_d1 ? 3'h2 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_660 = _T_656 | _T_657; // @[Mux.scala 27:72] + wire [2:0] _T_661 = _T_660 | _T_658; // @[Mux.scala 27:72] + wire [2:0] dcsr_cause = _T_661 | _T_659; // @[Mux.scala 27:72] + wire _T_663 = io_allow_dbg_halt_csr_write & io_dec_csr_wen_r_mod; // @[dec_tlu_ctl.scala 2038:46] + wire _T_665 = io_dec_csr_wraddr_r == 12'h7b0; // @[dec_tlu_ctl.scala 2038:98] + wire wr_dcsr_r = _T_663 & _T_665; // @[dec_tlu_ctl.scala 2038:69] + wire _T_667 = io_dcsr[8:6] == 3'h3; // @[dec_tlu_ctl.scala 2044:75] + wire dcsr_cause_upgradeable = io_internal_dbg_halt_mode_f & _T_667; // @[dec_tlu_ctl.scala 2044:59] + wire _T_668 = ~io_dbg_tlu_halted; // @[dec_tlu_ctl.scala 2045:59] + wire _T_669 = _T_668 | dcsr_cause_upgradeable; // @[dec_tlu_ctl.scala 2045:78] + wire enter_debug_halt_req_le = io_enter_debug_halt_req & _T_669; // @[dec_tlu_ctl.scala 2045:56] + wire nmi_in_debug_mode = io_nmi_int_detected_f & io_internal_dbg_halt_mode_f; // @[dec_tlu_ctl.scala 2047:48] + wire [15:0] _T_675 = {io_dcsr[15:9],dcsr_cause,io_dcsr[5:2],2'h3}; // @[Cat.scala 29:58] + wire _T_681 = nmi_in_debug_mode | io_dcsr[3]; // @[dec_tlu_ctl.scala 2049:145] + wire [15:0] _T_690 = {io_dec_csr_wrdata_r[15],3'h0,io_dec_csr_wrdata_r[11:10],1'h0,io_dcsr[8:6],2'h0,_T_681,io_dec_csr_wrdata_r[2],2'h3}; // @[Cat.scala 29:58] + wire [15:0] _T_695 = {io_dcsr[15:4],nmi_in_debug_mode,io_dcsr[2],2'h3}; // @[Cat.scala 29:58] + wire _T_697 = enter_debug_halt_req_le | wr_dcsr_r; // @[dec_tlu_ctl.scala 2051:54] + wire _T_698 = _T_697 | io_internal_dbg_halt_mode; // @[dec_tlu_ctl.scala 2051:66] + reg [15:0] _T_701; // @[lib.scala 374:16] + wire _T_704 = io_dec_csr_wraddr_r == 12'h7b1; // @[dec_tlu_ctl.scala 2059:97] + wire wr_dpc_r = _T_663 & _T_704; // @[dec_tlu_ctl.scala 2059:68] + wire _T_707 = ~io_request_debug_mode_done; // @[dec_tlu_ctl.scala 2060:67] + wire dpc_capture_npc = _T_589 & _T_707; // @[dec_tlu_ctl.scala 2060:65] + wire _T_708 = ~io_request_debug_mode_r; // @[dec_tlu_ctl.scala 2064:21] + wire _T_709 = ~dpc_capture_npc; // @[dec_tlu_ctl.scala 2064:39] + wire _T_710 = _T_708 & _T_709; // @[dec_tlu_ctl.scala 2064:37] + wire _T_711 = _T_710 & wr_dpc_r; // @[dec_tlu_ctl.scala 2064:56] + wire _T_716 = _T_708 & dpc_capture_npc; // @[dec_tlu_ctl.scala 2066:49] + wire [30:0] _T_718 = _T_711 ? io_dec_csr_wrdata_r[31:1] : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_719 = io_request_debug_mode_r ? pc_r : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_720 = _T_716 ? io_npc_r : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_721 = _T_718 | _T_719; // @[Mux.scala 27:72] + wire _T_723 = wr_dpc_r | io_request_debug_mode_r; // @[dec_tlu_ctl.scala 2068:36] + reg [30:0] _T_726; // @[lib.scala 374:16] + wire [2:0] _T_730 = {io_dec_csr_wrdata_r[24],io_dec_csr_wrdata_r[21:20]}; // @[Cat.scala 29:58] + wire _T_733 = io_dec_csr_wraddr_r == 12'h7c8; // @[dec_tlu_ctl.scala 2083:102] + reg [16:0] dicawics; // @[lib.scala 374:16] + wire _T_737 = io_dec_csr_wraddr_r == 12'h7c9; // @[dec_tlu_ctl.scala 2101:100] + wire wr_dicad0_r = _T_663 & _T_737; // @[dec_tlu_ctl.scala 2101:71] + reg [70:0] dicad0; // @[lib.scala 374:16] + wire _T_743 = io_dec_csr_wraddr_r == 12'h7cc; // @[dec_tlu_ctl.scala 2114:101] + wire wr_dicad0h_r = _T_663 & _T_743; // @[dec_tlu_ctl.scala 2114:72] + reg [31:0] dicad0h; // @[lib.scala 374:16] + wire _T_751 = io_dec_csr_wraddr_r == 12'h7ca; // @[dec_tlu_ctl.scala 2126:100] + wire _T_752 = _T_663 & _T_751; // @[dec_tlu_ctl.scala 2126:71] + wire _T_757 = _T_752 | io_ifu_ic_debug_rd_data_valid; // @[dec_tlu_ctl.scala 2130:78] + reg [6:0] _T_759; // @[Reg.scala 27:20] + wire [31:0] dicad1 = {25'h0,_T_759}; // @[Cat.scala 29:58] + wire [38:0] _T_764 = {dicad1[6:0],dicad0h}; // @[Cat.scala 29:58] + wire _T_766 = io_allow_dbg_halt_csr_write & io_dec_csr_any_unq_d; // @[dec_tlu_ctl.scala 2158:52] + wire _T_767 = _T_766 & io_dec_i0_decode_d; // @[dec_tlu_ctl.scala 2158:75] + wire _T_768 = ~io_dec_csr_wen_unq_d; // @[dec_tlu_ctl.scala 2158:98] + wire _T_769 = _T_767 & _T_768; // @[dec_tlu_ctl.scala 2158:96] + wire _T_771 = io_dec_csr_rdaddr_d == 12'h7cb; // @[dec_tlu_ctl.scala 2158:149] + wire _T_774 = io_dec_csr_wraddr_r == 12'h7cb; // @[dec_tlu_ctl.scala 2159:104] + reg icache_rd_valid_f; // @[dec_tlu_ctl.scala 2161:58] + reg icache_wr_valid_f; // @[dec_tlu_ctl.scala 2162:58] + wire _T_776 = io_dec_csr_wraddr_r == 12'h7a0; // @[dec_tlu_ctl.scala 2173:69] + wire wr_mtsel_r = io_dec_csr_wen_r_mod & _T_776; // @[dec_tlu_ctl.scala 2173:40] + reg [1:0] mtsel; // @[dec_tlu_ctl.scala 2176:43] + wire tdata_load = io_dec_csr_wrdata_r[0] & _T_408; // @[dec_tlu_ctl.scala 2211:42] + wire tdata_opcode = io_dec_csr_wrdata_r[2] & _T_408; // @[dec_tlu_ctl.scala 2213:44] + wire _T_787 = io_dec_csr_wrdata_r[27] & io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 2215:46] + wire tdata_action = _T_787 & io_dec_csr_wrdata_r[12]; // @[dec_tlu_ctl.scala 2215:69] + wire [9:0] tdata_wrdata_r = {_T_787,io_dec_csr_wrdata_r[20:19],tdata_action,io_dec_csr_wrdata_r[11],io_dec_csr_wrdata_r[7:6],tdata_opcode,io_dec_csr_wrdata_r[1],tdata_load}; // @[Cat.scala 29:58] + wire _T_802 = io_dec_csr_wraddr_r == 12'h7a1; // @[dec_tlu_ctl.scala 2221:99] + wire _T_803 = io_dec_csr_wen_r_mod & _T_802; // @[dec_tlu_ctl.scala 2221:70] + wire _T_804 = mtsel == 2'h0; // @[dec_tlu_ctl.scala 2221:121] + wire _T_805 = _T_803 & _T_804; // @[dec_tlu_ctl.scala 2221:112] + wire _T_807 = ~io_mtdata1_t_0[9]; // @[dec_tlu_ctl.scala 2221:138] + wire _T_808 = _T_807 | io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 2221:170] + wire wr_mtdata1_t_r_0 = _T_805 & _T_808; // @[dec_tlu_ctl.scala 2221:135] + wire _T_813 = mtsel == 2'h1; // @[dec_tlu_ctl.scala 2221:121] + wire _T_814 = _T_803 & _T_813; // @[dec_tlu_ctl.scala 2221:112] + wire _T_816 = ~io_mtdata1_t_1[9]; // @[dec_tlu_ctl.scala 2221:138] + wire _T_817 = _T_816 | io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 2221:170] + wire wr_mtdata1_t_r_1 = _T_814 & _T_817; // @[dec_tlu_ctl.scala 2221:135] + wire _T_822 = mtsel == 2'h2; // @[dec_tlu_ctl.scala 2221:121] + wire _T_823 = _T_803 & _T_822; // @[dec_tlu_ctl.scala 2221:112] + wire _T_825 = ~io_mtdata1_t_2[9]; // @[dec_tlu_ctl.scala 2221:138] + wire _T_826 = _T_825 | io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 2221:170] + wire wr_mtdata1_t_r_2 = _T_823 & _T_826; // @[dec_tlu_ctl.scala 2221:135] + wire _T_831 = mtsel == 2'h3; // @[dec_tlu_ctl.scala 2221:121] + wire _T_832 = _T_803 & _T_831; // @[dec_tlu_ctl.scala 2221:112] + wire _T_834 = ~io_mtdata1_t_3[9]; // @[dec_tlu_ctl.scala 2221:138] + wire _T_835 = _T_834 | io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 2221:170] + wire wr_mtdata1_t_r_3 = _T_832 & _T_835; // @[dec_tlu_ctl.scala 2221:135] + wire _T_841 = io_update_hit_bit_r[0] | io_mtdata1_t_0[8]; // @[dec_tlu_ctl.scala 2222:139] + wire [9:0] _T_844 = {io_mtdata1_t_0[9],_T_841,io_mtdata1_t_0[7:0]}; // @[Cat.scala 29:58] + wire _T_850 = io_update_hit_bit_r[1] | io_mtdata1_t_1[8]; // @[dec_tlu_ctl.scala 2222:139] + wire [9:0] _T_853 = {io_mtdata1_t_1[9],_T_850,io_mtdata1_t_1[7:0]}; // @[Cat.scala 29:58] + wire _T_859 = io_update_hit_bit_r[2] | io_mtdata1_t_2[8]; // @[dec_tlu_ctl.scala 2222:139] + wire [9:0] _T_862 = {io_mtdata1_t_2[9],_T_859,io_mtdata1_t_2[7:0]}; // @[Cat.scala 29:58] + wire _T_868 = io_update_hit_bit_r[3] | io_mtdata1_t_3[8]; // @[dec_tlu_ctl.scala 2222:139] + wire [9:0] _T_871 = {io_mtdata1_t_3[9],_T_868,io_mtdata1_t_3[7:0]}; // @[Cat.scala 29:58] + reg [9:0] _T_873; // @[dec_tlu_ctl.scala 2224:74] + reg [9:0] _T_874; // @[dec_tlu_ctl.scala 2224:74] + reg [9:0] _T_875; // @[dec_tlu_ctl.scala 2224:74] + reg [9:0] _T_876; // @[dec_tlu_ctl.scala 2224:74] + wire [31:0] _T_891 = {4'h2,io_mtdata1_t_0[9],6'h1f,io_mtdata1_t_0[8:7],6'h0,io_mtdata1_t_0[6:5],3'h0,io_mtdata1_t_0[4:3],3'h0,io_mtdata1_t_0[2:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_906 = {4'h2,io_mtdata1_t_1[9],6'h1f,io_mtdata1_t_1[8:7],6'h0,io_mtdata1_t_1[6:5],3'h0,io_mtdata1_t_1[4:3],3'h0,io_mtdata1_t_1[2:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_921 = {4'h2,io_mtdata1_t_2[9],6'h1f,io_mtdata1_t_2[8:7],6'h0,io_mtdata1_t_2[6:5],3'h0,io_mtdata1_t_2[4:3],3'h0,io_mtdata1_t_2[2:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_936 = {4'h2,io_mtdata1_t_3[9],6'h1f,io_mtdata1_t_3[8:7],6'h0,io_mtdata1_t_3[6:5],3'h0,io_mtdata1_t_3[4:3],3'h0,io_mtdata1_t_3[2:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_937 = _T_804 ? _T_891 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_938 = _T_813 ? _T_906 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_939 = _T_822 ? _T_921 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_940 = _T_831 ? _T_936 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_941 = _T_937 | _T_938; // @[Mux.scala 27:72] + wire [31:0] _T_942 = _T_941 | _T_939; // @[Mux.scala 27:72] + wire [31:0] mtdata1_tsel_out = _T_942 | _T_940; // @[Mux.scala 27:72] + wire _T_969 = io_dec_csr_wraddr_r == 12'h7a2; // @[dec_tlu_ctl.scala 2241:98] + wire _T_970 = io_dec_csr_wen_r_mod & _T_969; // @[dec_tlu_ctl.scala 2241:69] + wire _T_972 = _T_970 & _T_804; // @[dec_tlu_ctl.scala 2241:111] + wire _T_981 = _T_970 & _T_813; // @[dec_tlu_ctl.scala 2241:111] + wire _T_990 = _T_970 & _T_822; // @[dec_tlu_ctl.scala 2241:111] + wire _T_999 = _T_970 & _T_831; // @[dec_tlu_ctl.scala 2241:111] + reg [31:0] mtdata2_t_0; // @[lib.scala 374:16] + reg [31:0] mtdata2_t_1; // @[lib.scala 374:16] + reg [31:0] mtdata2_t_2; // @[lib.scala 374:16] + reg [31:0] mtdata2_t_3; // @[lib.scala 374:16] + wire [31:0] _T_1016 = _T_804 ? mtdata2_t_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1017 = _T_813 ? mtdata2_t_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1018 = _T_822 ? mtdata2_t_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1019 = _T_831 ? mtdata2_t_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1020 = _T_1016 | _T_1017; // @[Mux.scala 27:72] + wire [31:0] _T_1021 = _T_1020 | _T_1018; // @[Mux.scala 27:72] + wire [31:0] mtdata2_tsel_out = _T_1021 | _T_1019; // @[Mux.scala 27:72] + wire [3:0] _T_1024 = io_tlu_i0_commit_cmt ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] pmu_i0_itype_qual = io_dec_tlu_packet_r_pmu_i0_itype & _T_1024; // @[dec_tlu_ctl.scala 2266:59] + wire _T_1026 = ~mcountinhibit[3]; // @[dec_tlu_ctl.scala 2272:24] + reg [9:0] mhpme3; // @[Reg.scala 27:20] + wire _T_1027 = mhpme3 == 10'h1; // @[dec_tlu_ctl.scala 2273:34] + wire _T_1029 = mhpme3 == 10'h2; // @[dec_tlu_ctl.scala 2274:34] + wire _T_1031 = mhpme3 == 10'h3; // @[dec_tlu_ctl.scala 2275:34] + wire _T_1033 = mhpme3 == 10'h4; // @[dec_tlu_ctl.scala 2276:34] + wire _T_1035 = ~io_illegal_r; // @[dec_tlu_ctl.scala 2276:96] + wire _T_1036 = io_tlu_i0_commit_cmt & _T_1035; // @[dec_tlu_ctl.scala 2276:94] + wire _T_1037 = mhpme3 == 10'h5; // @[dec_tlu_ctl.scala 2277:34] + wire _T_1039 = ~io_exu_pmu_i0_pc4; // @[dec_tlu_ctl.scala 2277:96] + wire _T_1040 = io_tlu_i0_commit_cmt & _T_1039; // @[dec_tlu_ctl.scala 2277:94] + wire _T_1042 = _T_1040 & _T_1035; // @[dec_tlu_ctl.scala 2277:115] + wire _T_1043 = mhpme3 == 10'h6; // @[dec_tlu_ctl.scala 2278:34] + wire _T_1045 = io_tlu_i0_commit_cmt & io_exu_pmu_i0_pc4; // @[dec_tlu_ctl.scala 2278:94] + wire _T_1047 = _T_1045 & _T_1035; // @[dec_tlu_ctl.scala 2278:115] + wire _T_1048 = mhpme3 == 10'h7; // @[dec_tlu_ctl.scala 2279:34] + wire _T_1050 = mhpme3 == 10'h8; // @[dec_tlu_ctl.scala 2280:34] + wire _T_1052 = mhpme3 == 10'h1e; // @[dec_tlu_ctl.scala 2281:34] + wire _T_1054 = mhpme3 == 10'h9; // @[dec_tlu_ctl.scala 2282:34] + wire _T_1056 = pmu_i0_itype_qual == 4'h1; // @[dec_tlu_ctl.scala 2282:91] + wire _T_1057 = mhpme3 == 10'ha; // @[dec_tlu_ctl.scala 2283:34] + wire _T_1059 = io_dec_tlu_packet_r_pmu_divide & io_tlu_i0_commit_cmt; // @[dec_tlu_ctl.scala 2283:105] + wire _T_1060 = mhpme3 == 10'hb; // @[dec_tlu_ctl.scala 2284:34] + wire _T_1062 = pmu_i0_itype_qual == 4'h2; // @[dec_tlu_ctl.scala 2284:91] + wire _T_1063 = mhpme3 == 10'hc; // @[dec_tlu_ctl.scala 2285:34] + wire _T_1065 = pmu_i0_itype_qual == 4'h3; // @[dec_tlu_ctl.scala 2285:91] + wire _T_1066 = mhpme3 == 10'hd; // @[dec_tlu_ctl.scala 2286:34] + wire _T_1069 = _T_1062 & io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[dec_tlu_ctl.scala 2286:100] + wire _T_1070 = mhpme3 == 10'he; // @[dec_tlu_ctl.scala 2287:34] + wire _T_1074 = _T_1065 & io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[dec_tlu_ctl.scala 2287:101] + wire _T_1075 = mhpme3 == 10'hf; // @[dec_tlu_ctl.scala 2288:34] + wire _T_1077 = pmu_i0_itype_qual == 4'h4; // @[dec_tlu_ctl.scala 2288:89] + wire _T_1078 = mhpme3 == 10'h10; // @[dec_tlu_ctl.scala 2289:34] + wire _T_1080 = pmu_i0_itype_qual == 4'h5; // @[dec_tlu_ctl.scala 2289:89] + wire _T_1081 = mhpme3 == 10'h12; // @[dec_tlu_ctl.scala 2290:34] + wire _T_1083 = pmu_i0_itype_qual == 4'h6; // @[dec_tlu_ctl.scala 2290:89] + wire _T_1084 = mhpme3 == 10'h11; // @[dec_tlu_ctl.scala 2291:34] + wire _T_1086 = pmu_i0_itype_qual == 4'h7; // @[dec_tlu_ctl.scala 2291:89] + wire _T_1087 = mhpme3 == 10'h13; // @[dec_tlu_ctl.scala 2292:34] + wire _T_1089 = pmu_i0_itype_qual == 4'h8; // @[dec_tlu_ctl.scala 2292:89] + wire _T_1090 = mhpme3 == 10'h14; // @[dec_tlu_ctl.scala 2293:34] + wire _T_1092 = pmu_i0_itype_qual == 4'h9; // @[dec_tlu_ctl.scala 2293:89] + wire _T_1093 = mhpme3 == 10'h15; // @[dec_tlu_ctl.scala 2294:34] + wire _T_1095 = pmu_i0_itype_qual == 4'ha; // @[dec_tlu_ctl.scala 2294:89] + wire _T_1096 = mhpme3 == 10'h16; // @[dec_tlu_ctl.scala 2295:34] + wire _T_1098 = pmu_i0_itype_qual == 4'hb; // @[dec_tlu_ctl.scala 2295:89] + wire _T_1099 = mhpme3 == 10'h17; // @[dec_tlu_ctl.scala 2296:34] + wire _T_1101 = pmu_i0_itype_qual == 4'hc; // @[dec_tlu_ctl.scala 2296:89] + wire _T_1102 = mhpme3 == 10'h18; // @[dec_tlu_ctl.scala 2297:34] + wire _T_1104 = pmu_i0_itype_qual == 4'hd; // @[dec_tlu_ctl.scala 2297:89] + wire _T_1105 = pmu_i0_itype_qual == 4'he; // @[dec_tlu_ctl.scala 2297:122] + wire _T_1106 = _T_1104 | _T_1105; // @[dec_tlu_ctl.scala 2297:101] + wire _T_1107 = mhpme3 == 10'h19; // @[dec_tlu_ctl.scala 2298:34] + wire _T_1109 = io_exu_pmu_i0_br_misp & io_tlu_i0_commit_cmt; // @[dec_tlu_ctl.scala 2298:95] + wire _T_1110 = mhpme3 == 10'h1a; // @[dec_tlu_ctl.scala 2299:34] + wire _T_1112 = io_exu_pmu_i0_br_ataken & io_tlu_i0_commit_cmt; // @[dec_tlu_ctl.scala 2299:97] + wire _T_1113 = mhpme3 == 10'h1b; // @[dec_tlu_ctl.scala 2300:34] + wire _T_1115 = io_dec_tlu_packet_r_pmu_i0_br_unpred & io_tlu_i0_commit_cmt; // @[dec_tlu_ctl.scala 2300:110] + wire _T_1116 = mhpme3 == 10'h1c; // @[dec_tlu_ctl.scala 2301:34] + wire _T_1120 = mhpme3 == 10'h1f; // @[dec_tlu_ctl.scala 2303:34] + wire _T_1122 = mhpme3 == 10'h20; // @[dec_tlu_ctl.scala 2304:34] + wire _T_1124 = mhpme3 == 10'h22; // @[dec_tlu_ctl.scala 2305:34] + wire _T_1126 = mhpme3 == 10'h23; // @[dec_tlu_ctl.scala 2306:34] + wire _T_1128 = mhpme3 == 10'h24; // @[dec_tlu_ctl.scala 2307:34] + wire _T_1130 = mhpme3 == 10'h25; // @[dec_tlu_ctl.scala 2308:34] + wire _T_1132 = io_i0_exception_valid_r | io_i0_trigger_hit_r; // @[dec_tlu_ctl.scala 2308:98] + wire _T_1133 = _T_1132 | io_lsu_exc_valid_r; // @[dec_tlu_ctl.scala 2308:120] + wire _T_1134 = mhpme3 == 10'h26; // @[dec_tlu_ctl.scala 2309:34] + wire _T_1136 = io_take_timer_int | io_take_int_timer0_int; // @[dec_tlu_ctl.scala 2309:92] + wire _T_1137 = _T_1136 | io_take_int_timer1_int; // @[dec_tlu_ctl.scala 2309:117] + wire _T_1138 = mhpme3 == 10'h27; // @[dec_tlu_ctl.scala 2310:34] + wire _T_1140 = mhpme3 == 10'h28; // @[dec_tlu_ctl.scala 2311:34] + wire _T_1142 = mhpme3 == 10'h29; // @[dec_tlu_ctl.scala 2312:34] + wire _T_1144 = io_dec_tlu_br0_error_r | io_dec_tlu_br0_start_error_r; // @[dec_tlu_ctl.scala 2312:97] + wire _T_1145 = _T_1144 & io_rfpc_i0_r; // @[dec_tlu_ctl.scala 2312:129] + wire _T_1146 = mhpme3 == 10'h2a; // @[dec_tlu_ctl.scala 2313:34] + wire _T_1148 = mhpme3 == 10'h2b; // @[dec_tlu_ctl.scala 2314:34] + wire _T_1150 = mhpme3 == 10'h2c; // @[dec_tlu_ctl.scala 2315:34] + wire _T_1152 = mhpme3 == 10'h2d; // @[dec_tlu_ctl.scala 2316:34] + wire _T_1154 = mhpme3 == 10'h2e; // @[dec_tlu_ctl.scala 2317:34] + wire _T_1156 = mhpme3 == 10'h2f; // @[dec_tlu_ctl.scala 2318:34] + wire _T_1158 = mhpme3 == 10'h30; // @[dec_tlu_ctl.scala 2319:34] + wire _T_1160 = mhpme3 == 10'h31; // @[dec_tlu_ctl.scala 2320:34] + wire _T_1164 = ~io_mstatus[0]; // @[dec_tlu_ctl.scala 2320:73] + wire _T_1165 = mhpme3 == 10'h32; // @[dec_tlu_ctl.scala 2321:34] + wire [5:0] _T_1172 = io_mip & mie; // @[dec_tlu_ctl.scala 2321:113] + wire _T_1173 = |_T_1172; // @[dec_tlu_ctl.scala 2321:125] + wire _T_1174 = _T_1164 & _T_1173; // @[dec_tlu_ctl.scala 2321:98] + wire _T_1175 = mhpme3 == 10'h36; // @[dec_tlu_ctl.scala 2322:34] + wire _T_1177 = pmu_i0_itype_qual == 4'hf; // @[dec_tlu_ctl.scala 2322:91] + wire _T_1178 = mhpme3 == 10'h37; // @[dec_tlu_ctl.scala 2323:34] + wire _T_1180 = io_tlu_i0_commit_cmt & io_lsu_pmu_load_external_r; // @[dec_tlu_ctl.scala 2323:94] + wire _T_1181 = mhpme3 == 10'h38; // @[dec_tlu_ctl.scala 2324:34] + wire _T_1183 = io_tlu_i0_commit_cmt & io_lsu_pmu_store_external_r; // @[dec_tlu_ctl.scala 2324:94] + wire _T_1184 = mhpme3 == 10'h200; // @[dec_tlu_ctl.scala 2326:34] + wire _T_1186 = mhpme3 == 10'h201; // @[dec_tlu_ctl.scala 2327:34] + wire _T_1188 = mhpme3 == 10'h202; // @[dec_tlu_ctl.scala 2328:34] + wire _T_1190 = mhpme3 == 10'h203; // @[dec_tlu_ctl.scala 2329:34] + wire _T_1192 = mhpme3 == 10'h204; // @[dec_tlu_ctl.scala 2330:34] + wire _T_1195 = _T_1029 & io_ifu_pmu_ic_hit; // @[Mux.scala 27:72] + wire _T_1196 = _T_1031 & io_ifu_pmu_ic_miss; // @[Mux.scala 27:72] + wire _T_1197 = _T_1033 & _T_1036; // @[Mux.scala 27:72] + wire _T_1198 = _T_1037 & _T_1042; // @[Mux.scala 27:72] + wire _T_1199 = _T_1043 & _T_1047; // @[Mux.scala 27:72] + wire _T_1200 = _T_1048 & io_ifu_pmu_instr_aligned; // @[Mux.scala 27:72] + wire _T_1201 = _T_1050 & io_dec_pmu_instr_decoded; // @[Mux.scala 27:72] + wire _T_1202 = _T_1052 & io_dec_pmu_decode_stall; // @[Mux.scala 27:72] + wire _T_1203 = _T_1054 & _T_1056; // @[Mux.scala 27:72] + wire _T_1204 = _T_1057 & _T_1059; // @[Mux.scala 27:72] + wire _T_1205 = _T_1060 & _T_1062; // @[Mux.scala 27:72] + wire _T_1206 = _T_1063 & _T_1065; // @[Mux.scala 27:72] + wire _T_1207 = _T_1066 & _T_1069; // @[Mux.scala 27:72] + wire _T_1208 = _T_1070 & _T_1074; // @[Mux.scala 27:72] + wire _T_1209 = _T_1075 & _T_1077; // @[Mux.scala 27:72] + wire _T_1210 = _T_1078 & _T_1080; // @[Mux.scala 27:72] + wire _T_1211 = _T_1081 & _T_1083; // @[Mux.scala 27:72] + wire _T_1212 = _T_1084 & _T_1086; // @[Mux.scala 27:72] + wire _T_1213 = _T_1087 & _T_1089; // @[Mux.scala 27:72] + wire _T_1214 = _T_1090 & _T_1092; // @[Mux.scala 27:72] + wire _T_1215 = _T_1093 & _T_1095; // @[Mux.scala 27:72] + wire _T_1216 = _T_1096 & _T_1098; // @[Mux.scala 27:72] + wire _T_1217 = _T_1099 & _T_1101; // @[Mux.scala 27:72] + wire _T_1218 = _T_1102 & _T_1106; // @[Mux.scala 27:72] + wire _T_1219 = _T_1107 & _T_1109; // @[Mux.scala 27:72] + wire _T_1220 = _T_1110 & _T_1112; // @[Mux.scala 27:72] + wire _T_1221 = _T_1113 & _T_1115; // @[Mux.scala 27:72] + wire _T_1222 = _T_1116 & io_ifu_pmu_fetch_stall; // @[Mux.scala 27:72] + wire _T_1224 = _T_1120 & io_dec_pmu_postsync_stall; // @[Mux.scala 27:72] + wire _T_1225 = _T_1122 & io_dec_pmu_presync_stall; // @[Mux.scala 27:72] + wire _T_1226 = _T_1124 & io_lsu_store_stall_any; // @[Mux.scala 27:72] + wire _T_1227 = _T_1126 & io_dma_dccm_stall_any; // @[Mux.scala 27:72] + wire _T_1228 = _T_1128 & io_dma_iccm_stall_any; // @[Mux.scala 27:72] + wire _T_1229 = _T_1130 & _T_1133; // @[Mux.scala 27:72] + wire _T_1230 = _T_1134 & _T_1137; // @[Mux.scala 27:72] + wire _T_1231 = _T_1138 & io_take_ext_int; // @[Mux.scala 27:72] + wire _T_1232 = _T_1140 & io_tlu_flush_lower_r; // @[Mux.scala 27:72] + wire _T_1233 = _T_1142 & _T_1145; // @[Mux.scala 27:72] + wire _T_1234 = _T_1146 & io_ifu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_1235 = _T_1148 & io_lsu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_1236 = _T_1150 & io_lsu_pmu_bus_misaligned; // @[Mux.scala 27:72] + wire _T_1237 = _T_1152 & io_ifu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_1238 = _T_1154 & io_lsu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_1239 = _T_1156 & io_ifu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_1240 = _T_1158 & io_lsu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_1241 = _T_1160 & _T_1164; // @[Mux.scala 27:72] + wire _T_1242 = _T_1165 & _T_1174; // @[Mux.scala 27:72] + wire _T_1243 = _T_1175 & _T_1177; // @[Mux.scala 27:72] + wire _T_1244 = _T_1178 & _T_1180; // @[Mux.scala 27:72] + wire _T_1245 = _T_1181 & _T_1183; // @[Mux.scala 27:72] + wire _T_1246 = _T_1184 & io_dec_tlu_pmu_fw_halted; // @[Mux.scala 27:72] + wire _T_1247 = _T_1186 & io_dma_pmu_any_read; // @[Mux.scala 27:72] + wire _T_1248 = _T_1188 & io_dma_pmu_any_write; // @[Mux.scala 27:72] + wire _T_1249 = _T_1190 & io_dma_pmu_dccm_read; // @[Mux.scala 27:72] + wire _T_1250 = _T_1192 & io_dma_pmu_dccm_write; // @[Mux.scala 27:72] + wire _T_1251 = _T_1027 | _T_1195; // @[Mux.scala 27:72] + wire _T_1252 = _T_1251 | _T_1196; // @[Mux.scala 27:72] + wire _T_1253 = _T_1252 | _T_1197; // @[Mux.scala 27:72] + wire _T_1254 = _T_1253 | _T_1198; // @[Mux.scala 27:72] + wire _T_1255 = _T_1254 | _T_1199; // @[Mux.scala 27:72] + wire _T_1256 = _T_1255 | _T_1200; // @[Mux.scala 27:72] + wire _T_1257 = _T_1256 | _T_1201; // @[Mux.scala 27:72] + wire _T_1258 = _T_1257 | _T_1202; // @[Mux.scala 27:72] + wire _T_1259 = _T_1258 | _T_1203; // @[Mux.scala 27:72] + wire _T_1260 = _T_1259 | _T_1204; // @[Mux.scala 27:72] + wire _T_1261 = _T_1260 | _T_1205; // @[Mux.scala 27:72] + wire _T_1262 = _T_1261 | _T_1206; // @[Mux.scala 27:72] + wire _T_1263 = _T_1262 | _T_1207; // @[Mux.scala 27:72] + wire _T_1264 = _T_1263 | _T_1208; // @[Mux.scala 27:72] + wire _T_1265 = _T_1264 | _T_1209; // @[Mux.scala 27:72] + wire _T_1266 = _T_1265 | _T_1210; // @[Mux.scala 27:72] + wire _T_1267 = _T_1266 | _T_1211; // @[Mux.scala 27:72] + wire _T_1268 = _T_1267 | _T_1212; // @[Mux.scala 27:72] + wire _T_1269 = _T_1268 | _T_1213; // @[Mux.scala 27:72] + wire _T_1270 = _T_1269 | _T_1214; // @[Mux.scala 27:72] + wire _T_1271 = _T_1270 | _T_1215; // @[Mux.scala 27:72] + wire _T_1272 = _T_1271 | _T_1216; // @[Mux.scala 27:72] + wire _T_1273 = _T_1272 | _T_1217; // @[Mux.scala 27:72] + wire _T_1274 = _T_1273 | _T_1218; // @[Mux.scala 27:72] + wire _T_1275 = _T_1274 | _T_1219; // @[Mux.scala 27:72] + wire _T_1276 = _T_1275 | _T_1220; // @[Mux.scala 27:72] + wire _T_1277 = _T_1276 | _T_1221; // @[Mux.scala 27:72] + wire _T_1278 = _T_1277 | _T_1222; // @[Mux.scala 27:72] + wire _T_1279 = _T_1278 | _T_1202; // @[Mux.scala 27:72] + wire _T_1280 = _T_1279 | _T_1224; // @[Mux.scala 27:72] + wire _T_1281 = _T_1280 | _T_1225; // @[Mux.scala 27:72] + wire _T_1282 = _T_1281 | _T_1226; // @[Mux.scala 27:72] + wire _T_1283 = _T_1282 | _T_1227; // @[Mux.scala 27:72] + wire _T_1284 = _T_1283 | _T_1228; // @[Mux.scala 27:72] + wire _T_1285 = _T_1284 | _T_1229; // @[Mux.scala 27:72] + wire _T_1286 = _T_1285 | _T_1230; // @[Mux.scala 27:72] + wire _T_1287 = _T_1286 | _T_1231; // @[Mux.scala 27:72] + wire _T_1288 = _T_1287 | _T_1232; // @[Mux.scala 27:72] + wire _T_1289 = _T_1288 | _T_1233; // @[Mux.scala 27:72] + wire _T_1290 = _T_1289 | _T_1234; // @[Mux.scala 27:72] + wire _T_1291 = _T_1290 | _T_1235; // @[Mux.scala 27:72] + wire _T_1292 = _T_1291 | _T_1236; // @[Mux.scala 27:72] + wire _T_1293 = _T_1292 | _T_1237; // @[Mux.scala 27:72] + wire _T_1294 = _T_1293 | _T_1238; // @[Mux.scala 27:72] + wire _T_1295 = _T_1294 | _T_1239; // @[Mux.scala 27:72] + wire _T_1296 = _T_1295 | _T_1240; // @[Mux.scala 27:72] + wire _T_1297 = _T_1296 | _T_1241; // @[Mux.scala 27:72] + wire _T_1298 = _T_1297 | _T_1242; // @[Mux.scala 27:72] + wire _T_1299 = _T_1298 | _T_1243; // @[Mux.scala 27:72] + wire _T_1300 = _T_1299 | _T_1244; // @[Mux.scala 27:72] + wire _T_1301 = _T_1300 | _T_1245; // @[Mux.scala 27:72] + wire _T_1302 = _T_1301 | _T_1246; // @[Mux.scala 27:72] + wire _T_1303 = _T_1302 | _T_1247; // @[Mux.scala 27:72] + wire _T_1304 = _T_1303 | _T_1248; // @[Mux.scala 27:72] + wire _T_1305 = _T_1304 | _T_1249; // @[Mux.scala 27:72] + wire _T_1306 = _T_1305 | _T_1250; // @[Mux.scala 27:72] + wire mhpmc_inc_r_0 = _T_1026 & _T_1306; // @[dec_tlu_ctl.scala 2272:44] + wire _T_1310 = ~mcountinhibit[4]; // @[dec_tlu_ctl.scala 2272:24] + reg [9:0] mhpme4; // @[Reg.scala 27:20] + wire _T_1311 = mhpme4 == 10'h1; // @[dec_tlu_ctl.scala 2273:34] + wire _T_1313 = mhpme4 == 10'h2; // @[dec_tlu_ctl.scala 2274:34] + wire _T_1315 = mhpme4 == 10'h3; // @[dec_tlu_ctl.scala 2275:34] + wire _T_1317 = mhpme4 == 10'h4; // @[dec_tlu_ctl.scala 2276:34] + wire _T_1321 = mhpme4 == 10'h5; // @[dec_tlu_ctl.scala 2277:34] + wire _T_1327 = mhpme4 == 10'h6; // @[dec_tlu_ctl.scala 2278:34] + wire _T_1332 = mhpme4 == 10'h7; // @[dec_tlu_ctl.scala 2279:34] + wire _T_1334 = mhpme4 == 10'h8; // @[dec_tlu_ctl.scala 2280:34] + wire _T_1336 = mhpme4 == 10'h1e; // @[dec_tlu_ctl.scala 2281:34] + wire _T_1338 = mhpme4 == 10'h9; // @[dec_tlu_ctl.scala 2282:34] + wire _T_1341 = mhpme4 == 10'ha; // @[dec_tlu_ctl.scala 2283:34] + wire _T_1344 = mhpme4 == 10'hb; // @[dec_tlu_ctl.scala 2284:34] + wire _T_1347 = mhpme4 == 10'hc; // @[dec_tlu_ctl.scala 2285:34] + wire _T_1350 = mhpme4 == 10'hd; // @[dec_tlu_ctl.scala 2286:34] + wire _T_1354 = mhpme4 == 10'he; // @[dec_tlu_ctl.scala 2287:34] + wire _T_1359 = mhpme4 == 10'hf; // @[dec_tlu_ctl.scala 2288:34] + wire _T_1362 = mhpme4 == 10'h10; // @[dec_tlu_ctl.scala 2289:34] + wire _T_1365 = mhpme4 == 10'h12; // @[dec_tlu_ctl.scala 2290:34] + wire _T_1368 = mhpme4 == 10'h11; // @[dec_tlu_ctl.scala 2291:34] + wire _T_1371 = mhpme4 == 10'h13; // @[dec_tlu_ctl.scala 2292:34] + wire _T_1374 = mhpme4 == 10'h14; // @[dec_tlu_ctl.scala 2293:34] + wire _T_1377 = mhpme4 == 10'h15; // @[dec_tlu_ctl.scala 2294:34] + wire _T_1380 = mhpme4 == 10'h16; // @[dec_tlu_ctl.scala 2295:34] + wire _T_1383 = mhpme4 == 10'h17; // @[dec_tlu_ctl.scala 2296:34] + wire _T_1386 = mhpme4 == 10'h18; // @[dec_tlu_ctl.scala 2297:34] + wire _T_1391 = mhpme4 == 10'h19; // @[dec_tlu_ctl.scala 2298:34] + wire _T_1394 = mhpme4 == 10'h1a; // @[dec_tlu_ctl.scala 2299:34] + wire _T_1397 = mhpme4 == 10'h1b; // @[dec_tlu_ctl.scala 2300:34] + wire _T_1400 = mhpme4 == 10'h1c; // @[dec_tlu_ctl.scala 2301:34] + wire _T_1404 = mhpme4 == 10'h1f; // @[dec_tlu_ctl.scala 2303:34] + wire _T_1406 = mhpme4 == 10'h20; // @[dec_tlu_ctl.scala 2304:34] + wire _T_1408 = mhpme4 == 10'h22; // @[dec_tlu_ctl.scala 2305:34] + wire _T_1410 = mhpme4 == 10'h23; // @[dec_tlu_ctl.scala 2306:34] + wire _T_1412 = mhpme4 == 10'h24; // @[dec_tlu_ctl.scala 2307:34] + wire _T_1414 = mhpme4 == 10'h25; // @[dec_tlu_ctl.scala 2308:34] + wire _T_1418 = mhpme4 == 10'h26; // @[dec_tlu_ctl.scala 2309:34] + wire _T_1422 = mhpme4 == 10'h27; // @[dec_tlu_ctl.scala 2310:34] + wire _T_1424 = mhpme4 == 10'h28; // @[dec_tlu_ctl.scala 2311:34] + wire _T_1426 = mhpme4 == 10'h29; // @[dec_tlu_ctl.scala 2312:34] + wire _T_1430 = mhpme4 == 10'h2a; // @[dec_tlu_ctl.scala 2313:34] + wire _T_1432 = mhpme4 == 10'h2b; // @[dec_tlu_ctl.scala 2314:34] + wire _T_1434 = mhpme4 == 10'h2c; // @[dec_tlu_ctl.scala 2315:34] + wire _T_1436 = mhpme4 == 10'h2d; // @[dec_tlu_ctl.scala 2316:34] + wire _T_1438 = mhpme4 == 10'h2e; // @[dec_tlu_ctl.scala 2317:34] + wire _T_1440 = mhpme4 == 10'h2f; // @[dec_tlu_ctl.scala 2318:34] + wire _T_1442 = mhpme4 == 10'h30; // @[dec_tlu_ctl.scala 2319:34] + wire _T_1444 = mhpme4 == 10'h31; // @[dec_tlu_ctl.scala 2320:34] + wire _T_1449 = mhpme4 == 10'h32; // @[dec_tlu_ctl.scala 2321:34] + wire _T_1459 = mhpme4 == 10'h36; // @[dec_tlu_ctl.scala 2322:34] + wire _T_1462 = mhpme4 == 10'h37; // @[dec_tlu_ctl.scala 2323:34] + wire _T_1465 = mhpme4 == 10'h38; // @[dec_tlu_ctl.scala 2324:34] + wire _T_1468 = mhpme4 == 10'h200; // @[dec_tlu_ctl.scala 2326:34] + wire _T_1470 = mhpme4 == 10'h201; // @[dec_tlu_ctl.scala 2327:34] + wire _T_1472 = mhpme4 == 10'h202; // @[dec_tlu_ctl.scala 2328:34] + wire _T_1474 = mhpme4 == 10'h203; // @[dec_tlu_ctl.scala 2329:34] + wire _T_1476 = mhpme4 == 10'h204; // @[dec_tlu_ctl.scala 2330:34] + wire _T_1479 = _T_1313 & io_ifu_pmu_ic_hit; // @[Mux.scala 27:72] + wire _T_1480 = _T_1315 & io_ifu_pmu_ic_miss; // @[Mux.scala 27:72] + wire _T_1481 = _T_1317 & _T_1036; // @[Mux.scala 27:72] + wire _T_1482 = _T_1321 & _T_1042; // @[Mux.scala 27:72] + wire _T_1483 = _T_1327 & _T_1047; // @[Mux.scala 27:72] + wire _T_1484 = _T_1332 & io_ifu_pmu_instr_aligned; // @[Mux.scala 27:72] + wire _T_1485 = _T_1334 & io_dec_pmu_instr_decoded; // @[Mux.scala 27:72] + wire _T_1486 = _T_1336 & io_dec_pmu_decode_stall; // @[Mux.scala 27:72] + wire _T_1487 = _T_1338 & _T_1056; // @[Mux.scala 27:72] + wire _T_1488 = _T_1341 & _T_1059; // @[Mux.scala 27:72] + wire _T_1489 = _T_1344 & _T_1062; // @[Mux.scala 27:72] + wire _T_1490 = _T_1347 & _T_1065; // @[Mux.scala 27:72] + wire _T_1491 = _T_1350 & _T_1069; // @[Mux.scala 27:72] + wire _T_1492 = _T_1354 & _T_1074; // @[Mux.scala 27:72] + wire _T_1493 = _T_1359 & _T_1077; // @[Mux.scala 27:72] + wire _T_1494 = _T_1362 & _T_1080; // @[Mux.scala 27:72] + wire _T_1495 = _T_1365 & _T_1083; // @[Mux.scala 27:72] + wire _T_1496 = _T_1368 & _T_1086; // @[Mux.scala 27:72] + wire _T_1497 = _T_1371 & _T_1089; // @[Mux.scala 27:72] + wire _T_1498 = _T_1374 & _T_1092; // @[Mux.scala 27:72] + wire _T_1499 = _T_1377 & _T_1095; // @[Mux.scala 27:72] + wire _T_1500 = _T_1380 & _T_1098; // @[Mux.scala 27:72] + wire _T_1501 = _T_1383 & _T_1101; // @[Mux.scala 27:72] + wire _T_1502 = _T_1386 & _T_1106; // @[Mux.scala 27:72] + wire _T_1503 = _T_1391 & _T_1109; // @[Mux.scala 27:72] + wire _T_1504 = _T_1394 & _T_1112; // @[Mux.scala 27:72] + wire _T_1505 = _T_1397 & _T_1115; // @[Mux.scala 27:72] + wire _T_1506 = _T_1400 & io_ifu_pmu_fetch_stall; // @[Mux.scala 27:72] + wire _T_1508 = _T_1404 & io_dec_pmu_postsync_stall; // @[Mux.scala 27:72] + wire _T_1509 = _T_1406 & io_dec_pmu_presync_stall; // @[Mux.scala 27:72] + wire _T_1510 = _T_1408 & io_lsu_store_stall_any; // @[Mux.scala 27:72] + wire _T_1511 = _T_1410 & io_dma_dccm_stall_any; // @[Mux.scala 27:72] + wire _T_1512 = _T_1412 & io_dma_iccm_stall_any; // @[Mux.scala 27:72] + wire _T_1513 = _T_1414 & _T_1133; // @[Mux.scala 27:72] + wire _T_1514 = _T_1418 & _T_1137; // @[Mux.scala 27:72] + wire _T_1515 = _T_1422 & io_take_ext_int; // @[Mux.scala 27:72] + wire _T_1516 = _T_1424 & io_tlu_flush_lower_r; // @[Mux.scala 27:72] + wire _T_1517 = _T_1426 & _T_1145; // @[Mux.scala 27:72] + wire _T_1518 = _T_1430 & io_ifu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_1519 = _T_1432 & io_lsu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_1520 = _T_1434 & io_lsu_pmu_bus_misaligned; // @[Mux.scala 27:72] + wire _T_1521 = _T_1436 & io_ifu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_1522 = _T_1438 & io_lsu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_1523 = _T_1440 & io_ifu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_1524 = _T_1442 & io_lsu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_1525 = _T_1444 & _T_1164; // @[Mux.scala 27:72] + wire _T_1526 = _T_1449 & _T_1174; // @[Mux.scala 27:72] + wire _T_1527 = _T_1459 & _T_1177; // @[Mux.scala 27:72] + wire _T_1528 = _T_1462 & _T_1180; // @[Mux.scala 27:72] + wire _T_1529 = _T_1465 & _T_1183; // @[Mux.scala 27:72] + wire _T_1530 = _T_1468 & io_dec_tlu_pmu_fw_halted; // @[Mux.scala 27:72] + wire _T_1531 = _T_1470 & io_dma_pmu_any_read; // @[Mux.scala 27:72] + wire _T_1532 = _T_1472 & io_dma_pmu_any_write; // @[Mux.scala 27:72] + wire _T_1533 = _T_1474 & io_dma_pmu_dccm_read; // @[Mux.scala 27:72] + wire _T_1534 = _T_1476 & io_dma_pmu_dccm_write; // @[Mux.scala 27:72] + wire _T_1535 = _T_1311 | _T_1479; // @[Mux.scala 27:72] + wire _T_1536 = _T_1535 | _T_1480; // @[Mux.scala 27:72] + wire _T_1537 = _T_1536 | _T_1481; // @[Mux.scala 27:72] + wire _T_1538 = _T_1537 | _T_1482; // @[Mux.scala 27:72] + wire _T_1539 = _T_1538 | _T_1483; // @[Mux.scala 27:72] + wire _T_1540 = _T_1539 | _T_1484; // @[Mux.scala 27:72] + wire _T_1541 = _T_1540 | _T_1485; // @[Mux.scala 27:72] + wire _T_1542 = _T_1541 | _T_1486; // @[Mux.scala 27:72] + wire _T_1543 = _T_1542 | _T_1487; // @[Mux.scala 27:72] + wire _T_1544 = _T_1543 | _T_1488; // @[Mux.scala 27:72] + wire _T_1545 = _T_1544 | _T_1489; // @[Mux.scala 27:72] + wire _T_1546 = _T_1545 | _T_1490; // @[Mux.scala 27:72] + wire _T_1547 = _T_1546 | _T_1491; // @[Mux.scala 27:72] + wire _T_1548 = _T_1547 | _T_1492; // @[Mux.scala 27:72] + wire _T_1549 = _T_1548 | _T_1493; // @[Mux.scala 27:72] + wire _T_1550 = _T_1549 | _T_1494; // @[Mux.scala 27:72] + wire _T_1551 = _T_1550 | _T_1495; // @[Mux.scala 27:72] + wire _T_1552 = _T_1551 | _T_1496; // @[Mux.scala 27:72] + wire _T_1553 = _T_1552 | _T_1497; // @[Mux.scala 27:72] + wire _T_1554 = _T_1553 | _T_1498; // @[Mux.scala 27:72] + wire _T_1555 = _T_1554 | _T_1499; // @[Mux.scala 27:72] + wire _T_1556 = _T_1555 | _T_1500; // @[Mux.scala 27:72] + wire _T_1557 = _T_1556 | _T_1501; // @[Mux.scala 27:72] + wire _T_1558 = _T_1557 | _T_1502; // @[Mux.scala 27:72] + wire _T_1559 = _T_1558 | _T_1503; // @[Mux.scala 27:72] + wire _T_1560 = _T_1559 | _T_1504; // @[Mux.scala 27:72] + wire _T_1561 = _T_1560 | _T_1505; // @[Mux.scala 27:72] + wire _T_1562 = _T_1561 | _T_1506; // @[Mux.scala 27:72] + wire _T_1563 = _T_1562 | _T_1486; // @[Mux.scala 27:72] + wire _T_1564 = _T_1563 | _T_1508; // @[Mux.scala 27:72] + wire _T_1565 = _T_1564 | _T_1509; // @[Mux.scala 27:72] + wire _T_1566 = _T_1565 | _T_1510; // @[Mux.scala 27:72] + wire _T_1567 = _T_1566 | _T_1511; // @[Mux.scala 27:72] + wire _T_1568 = _T_1567 | _T_1512; // @[Mux.scala 27:72] + wire _T_1569 = _T_1568 | _T_1513; // @[Mux.scala 27:72] + wire _T_1570 = _T_1569 | _T_1514; // @[Mux.scala 27:72] + wire _T_1571 = _T_1570 | _T_1515; // @[Mux.scala 27:72] + wire _T_1572 = _T_1571 | _T_1516; // @[Mux.scala 27:72] + wire _T_1573 = _T_1572 | _T_1517; // @[Mux.scala 27:72] + wire _T_1574 = _T_1573 | _T_1518; // @[Mux.scala 27:72] + wire _T_1575 = _T_1574 | _T_1519; // @[Mux.scala 27:72] + wire _T_1576 = _T_1575 | _T_1520; // @[Mux.scala 27:72] + wire _T_1577 = _T_1576 | _T_1521; // @[Mux.scala 27:72] + wire _T_1578 = _T_1577 | _T_1522; // @[Mux.scala 27:72] + wire _T_1579 = _T_1578 | _T_1523; // @[Mux.scala 27:72] + wire _T_1580 = _T_1579 | _T_1524; // @[Mux.scala 27:72] + wire _T_1581 = _T_1580 | _T_1525; // @[Mux.scala 27:72] + wire _T_1582 = _T_1581 | _T_1526; // @[Mux.scala 27:72] + wire _T_1583 = _T_1582 | _T_1527; // @[Mux.scala 27:72] + wire _T_1584 = _T_1583 | _T_1528; // @[Mux.scala 27:72] + wire _T_1585 = _T_1584 | _T_1529; // @[Mux.scala 27:72] + wire _T_1586 = _T_1585 | _T_1530; // @[Mux.scala 27:72] + wire _T_1587 = _T_1586 | _T_1531; // @[Mux.scala 27:72] + wire _T_1588 = _T_1587 | _T_1532; // @[Mux.scala 27:72] + wire _T_1589 = _T_1588 | _T_1533; // @[Mux.scala 27:72] + wire _T_1590 = _T_1589 | _T_1534; // @[Mux.scala 27:72] + wire mhpmc_inc_r_1 = _T_1310 & _T_1590; // @[dec_tlu_ctl.scala 2272:44] + wire _T_1594 = ~mcountinhibit[5]; // @[dec_tlu_ctl.scala 2272:24] + reg [9:0] mhpme5; // @[Reg.scala 27:20] + wire _T_1595 = mhpme5 == 10'h1; // @[dec_tlu_ctl.scala 2273:34] + wire _T_1597 = mhpme5 == 10'h2; // @[dec_tlu_ctl.scala 2274:34] + wire _T_1599 = mhpme5 == 10'h3; // @[dec_tlu_ctl.scala 2275:34] + wire _T_1601 = mhpme5 == 10'h4; // @[dec_tlu_ctl.scala 2276:34] + wire _T_1605 = mhpme5 == 10'h5; // @[dec_tlu_ctl.scala 2277:34] + wire _T_1611 = mhpme5 == 10'h6; // @[dec_tlu_ctl.scala 2278:34] + wire _T_1616 = mhpme5 == 10'h7; // @[dec_tlu_ctl.scala 2279:34] + wire _T_1618 = mhpme5 == 10'h8; // @[dec_tlu_ctl.scala 2280:34] + wire _T_1620 = mhpme5 == 10'h1e; // @[dec_tlu_ctl.scala 2281:34] + wire _T_1622 = mhpme5 == 10'h9; // @[dec_tlu_ctl.scala 2282:34] + wire _T_1625 = mhpme5 == 10'ha; // @[dec_tlu_ctl.scala 2283:34] + wire _T_1628 = mhpme5 == 10'hb; // @[dec_tlu_ctl.scala 2284:34] + wire _T_1631 = mhpme5 == 10'hc; // @[dec_tlu_ctl.scala 2285:34] + wire _T_1634 = mhpme5 == 10'hd; // @[dec_tlu_ctl.scala 2286:34] + wire _T_1638 = mhpme5 == 10'he; // @[dec_tlu_ctl.scala 2287:34] + wire _T_1643 = mhpme5 == 10'hf; // @[dec_tlu_ctl.scala 2288:34] + wire _T_1646 = mhpme5 == 10'h10; // @[dec_tlu_ctl.scala 2289:34] + wire _T_1649 = mhpme5 == 10'h12; // @[dec_tlu_ctl.scala 2290:34] + wire _T_1652 = mhpme5 == 10'h11; // @[dec_tlu_ctl.scala 2291:34] + wire _T_1655 = mhpme5 == 10'h13; // @[dec_tlu_ctl.scala 2292:34] + wire _T_1658 = mhpme5 == 10'h14; // @[dec_tlu_ctl.scala 2293:34] + wire _T_1661 = mhpme5 == 10'h15; // @[dec_tlu_ctl.scala 2294:34] + wire _T_1664 = mhpme5 == 10'h16; // @[dec_tlu_ctl.scala 2295:34] + wire _T_1667 = mhpme5 == 10'h17; // @[dec_tlu_ctl.scala 2296:34] + wire _T_1670 = mhpme5 == 10'h18; // @[dec_tlu_ctl.scala 2297:34] + wire _T_1675 = mhpme5 == 10'h19; // @[dec_tlu_ctl.scala 2298:34] + wire _T_1678 = mhpme5 == 10'h1a; // @[dec_tlu_ctl.scala 2299:34] + wire _T_1681 = mhpme5 == 10'h1b; // @[dec_tlu_ctl.scala 2300:34] + wire _T_1684 = mhpme5 == 10'h1c; // @[dec_tlu_ctl.scala 2301:34] + wire _T_1688 = mhpme5 == 10'h1f; // @[dec_tlu_ctl.scala 2303:34] + wire _T_1690 = mhpme5 == 10'h20; // @[dec_tlu_ctl.scala 2304:34] + wire _T_1692 = mhpme5 == 10'h22; // @[dec_tlu_ctl.scala 2305:34] + wire _T_1694 = mhpme5 == 10'h23; // @[dec_tlu_ctl.scala 2306:34] + wire _T_1696 = mhpme5 == 10'h24; // @[dec_tlu_ctl.scala 2307:34] + wire _T_1698 = mhpme5 == 10'h25; // @[dec_tlu_ctl.scala 2308:34] + wire _T_1702 = mhpme5 == 10'h26; // @[dec_tlu_ctl.scala 2309:34] + wire _T_1706 = mhpme5 == 10'h27; // @[dec_tlu_ctl.scala 2310:34] + wire _T_1708 = mhpme5 == 10'h28; // @[dec_tlu_ctl.scala 2311:34] + wire _T_1710 = mhpme5 == 10'h29; // @[dec_tlu_ctl.scala 2312:34] + wire _T_1714 = mhpme5 == 10'h2a; // @[dec_tlu_ctl.scala 2313:34] + wire _T_1716 = mhpme5 == 10'h2b; // @[dec_tlu_ctl.scala 2314:34] + wire _T_1718 = mhpme5 == 10'h2c; // @[dec_tlu_ctl.scala 2315:34] + wire _T_1720 = mhpme5 == 10'h2d; // @[dec_tlu_ctl.scala 2316:34] + wire _T_1722 = mhpme5 == 10'h2e; // @[dec_tlu_ctl.scala 2317:34] + wire _T_1724 = mhpme5 == 10'h2f; // @[dec_tlu_ctl.scala 2318:34] + wire _T_1726 = mhpme5 == 10'h30; // @[dec_tlu_ctl.scala 2319:34] + wire _T_1728 = mhpme5 == 10'h31; // @[dec_tlu_ctl.scala 2320:34] + wire _T_1733 = mhpme5 == 10'h32; // @[dec_tlu_ctl.scala 2321:34] + wire _T_1743 = mhpme5 == 10'h36; // @[dec_tlu_ctl.scala 2322:34] + wire _T_1746 = mhpme5 == 10'h37; // @[dec_tlu_ctl.scala 2323:34] + wire _T_1749 = mhpme5 == 10'h38; // @[dec_tlu_ctl.scala 2324:34] + wire _T_1752 = mhpme5 == 10'h200; // @[dec_tlu_ctl.scala 2326:34] + wire _T_1754 = mhpme5 == 10'h201; // @[dec_tlu_ctl.scala 2327:34] + wire _T_1756 = mhpme5 == 10'h202; // @[dec_tlu_ctl.scala 2328:34] + wire _T_1758 = mhpme5 == 10'h203; // @[dec_tlu_ctl.scala 2329:34] + wire _T_1760 = mhpme5 == 10'h204; // @[dec_tlu_ctl.scala 2330:34] + wire _T_1763 = _T_1597 & io_ifu_pmu_ic_hit; // @[Mux.scala 27:72] + wire _T_1764 = _T_1599 & io_ifu_pmu_ic_miss; // @[Mux.scala 27:72] + wire _T_1765 = _T_1601 & _T_1036; // @[Mux.scala 27:72] + wire _T_1766 = _T_1605 & _T_1042; // @[Mux.scala 27:72] + wire _T_1767 = _T_1611 & _T_1047; // @[Mux.scala 27:72] + wire _T_1768 = _T_1616 & io_ifu_pmu_instr_aligned; // @[Mux.scala 27:72] + wire _T_1769 = _T_1618 & io_dec_pmu_instr_decoded; // @[Mux.scala 27:72] + wire _T_1770 = _T_1620 & io_dec_pmu_decode_stall; // @[Mux.scala 27:72] + wire _T_1771 = _T_1622 & _T_1056; // @[Mux.scala 27:72] + wire _T_1772 = _T_1625 & _T_1059; // @[Mux.scala 27:72] + wire _T_1773 = _T_1628 & _T_1062; // @[Mux.scala 27:72] + wire _T_1774 = _T_1631 & _T_1065; // @[Mux.scala 27:72] + wire _T_1775 = _T_1634 & _T_1069; // @[Mux.scala 27:72] + wire _T_1776 = _T_1638 & _T_1074; // @[Mux.scala 27:72] + wire _T_1777 = _T_1643 & _T_1077; // @[Mux.scala 27:72] + wire _T_1778 = _T_1646 & _T_1080; // @[Mux.scala 27:72] + wire _T_1779 = _T_1649 & _T_1083; // @[Mux.scala 27:72] + wire _T_1780 = _T_1652 & _T_1086; // @[Mux.scala 27:72] + wire _T_1781 = _T_1655 & _T_1089; // @[Mux.scala 27:72] + wire _T_1782 = _T_1658 & _T_1092; // @[Mux.scala 27:72] + wire _T_1783 = _T_1661 & _T_1095; // @[Mux.scala 27:72] + wire _T_1784 = _T_1664 & _T_1098; // @[Mux.scala 27:72] + wire _T_1785 = _T_1667 & _T_1101; // @[Mux.scala 27:72] + wire _T_1786 = _T_1670 & _T_1106; // @[Mux.scala 27:72] + wire _T_1787 = _T_1675 & _T_1109; // @[Mux.scala 27:72] + wire _T_1788 = _T_1678 & _T_1112; // @[Mux.scala 27:72] + wire _T_1789 = _T_1681 & _T_1115; // @[Mux.scala 27:72] + wire _T_1790 = _T_1684 & io_ifu_pmu_fetch_stall; // @[Mux.scala 27:72] + wire _T_1792 = _T_1688 & io_dec_pmu_postsync_stall; // @[Mux.scala 27:72] + wire _T_1793 = _T_1690 & io_dec_pmu_presync_stall; // @[Mux.scala 27:72] + wire _T_1794 = _T_1692 & io_lsu_store_stall_any; // @[Mux.scala 27:72] + wire _T_1795 = _T_1694 & io_dma_dccm_stall_any; // @[Mux.scala 27:72] + wire _T_1796 = _T_1696 & io_dma_iccm_stall_any; // @[Mux.scala 27:72] + wire _T_1797 = _T_1698 & _T_1133; // @[Mux.scala 27:72] + wire _T_1798 = _T_1702 & _T_1137; // @[Mux.scala 27:72] + wire _T_1799 = _T_1706 & io_take_ext_int; // @[Mux.scala 27:72] + wire _T_1800 = _T_1708 & io_tlu_flush_lower_r; // @[Mux.scala 27:72] + wire _T_1801 = _T_1710 & _T_1145; // @[Mux.scala 27:72] + wire _T_1802 = _T_1714 & io_ifu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_1803 = _T_1716 & io_lsu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_1804 = _T_1718 & io_lsu_pmu_bus_misaligned; // @[Mux.scala 27:72] + wire _T_1805 = _T_1720 & io_ifu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_1806 = _T_1722 & io_lsu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_1807 = _T_1724 & io_ifu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_1808 = _T_1726 & io_lsu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_1809 = _T_1728 & _T_1164; // @[Mux.scala 27:72] + wire _T_1810 = _T_1733 & _T_1174; // @[Mux.scala 27:72] + wire _T_1811 = _T_1743 & _T_1177; // @[Mux.scala 27:72] + wire _T_1812 = _T_1746 & _T_1180; // @[Mux.scala 27:72] + wire _T_1813 = _T_1749 & _T_1183; // @[Mux.scala 27:72] + wire _T_1814 = _T_1752 & io_dec_tlu_pmu_fw_halted; // @[Mux.scala 27:72] + wire _T_1815 = _T_1754 & io_dma_pmu_any_read; // @[Mux.scala 27:72] + wire _T_1816 = _T_1756 & io_dma_pmu_any_write; // @[Mux.scala 27:72] + wire _T_1817 = _T_1758 & io_dma_pmu_dccm_read; // @[Mux.scala 27:72] + wire _T_1818 = _T_1760 & io_dma_pmu_dccm_write; // @[Mux.scala 27:72] + wire _T_1819 = _T_1595 | _T_1763; // @[Mux.scala 27:72] + wire _T_1820 = _T_1819 | _T_1764; // @[Mux.scala 27:72] + wire _T_1821 = _T_1820 | _T_1765; // @[Mux.scala 27:72] + wire _T_1822 = _T_1821 | _T_1766; // @[Mux.scala 27:72] + wire _T_1823 = _T_1822 | _T_1767; // @[Mux.scala 27:72] + wire _T_1824 = _T_1823 | _T_1768; // @[Mux.scala 27:72] + wire _T_1825 = _T_1824 | _T_1769; // @[Mux.scala 27:72] + wire _T_1826 = _T_1825 | _T_1770; // @[Mux.scala 27:72] + wire _T_1827 = _T_1826 | _T_1771; // @[Mux.scala 27:72] + wire _T_1828 = _T_1827 | _T_1772; // @[Mux.scala 27:72] + wire _T_1829 = _T_1828 | _T_1773; // @[Mux.scala 27:72] + wire _T_1830 = _T_1829 | _T_1774; // @[Mux.scala 27:72] + wire _T_1831 = _T_1830 | _T_1775; // @[Mux.scala 27:72] + wire _T_1832 = _T_1831 | _T_1776; // @[Mux.scala 27:72] + wire _T_1833 = _T_1832 | _T_1777; // @[Mux.scala 27:72] + wire _T_1834 = _T_1833 | _T_1778; // @[Mux.scala 27:72] + wire _T_1835 = _T_1834 | _T_1779; // @[Mux.scala 27:72] + wire _T_1836 = _T_1835 | _T_1780; // @[Mux.scala 27:72] + wire _T_1837 = _T_1836 | _T_1781; // @[Mux.scala 27:72] + wire _T_1838 = _T_1837 | _T_1782; // @[Mux.scala 27:72] + wire _T_1839 = _T_1838 | _T_1783; // @[Mux.scala 27:72] + wire _T_1840 = _T_1839 | _T_1784; // @[Mux.scala 27:72] + wire _T_1841 = _T_1840 | _T_1785; // @[Mux.scala 27:72] + wire _T_1842 = _T_1841 | _T_1786; // @[Mux.scala 27:72] + wire _T_1843 = _T_1842 | _T_1787; // @[Mux.scala 27:72] + wire _T_1844 = _T_1843 | _T_1788; // @[Mux.scala 27:72] + wire _T_1845 = _T_1844 | _T_1789; // @[Mux.scala 27:72] + wire _T_1846 = _T_1845 | _T_1790; // @[Mux.scala 27:72] + wire _T_1847 = _T_1846 | _T_1770; // @[Mux.scala 27:72] + wire _T_1848 = _T_1847 | _T_1792; // @[Mux.scala 27:72] + wire _T_1849 = _T_1848 | _T_1793; // @[Mux.scala 27:72] + wire _T_1850 = _T_1849 | _T_1794; // @[Mux.scala 27:72] + wire _T_1851 = _T_1850 | _T_1795; // @[Mux.scala 27:72] + wire _T_1852 = _T_1851 | _T_1796; // @[Mux.scala 27:72] + wire _T_1853 = _T_1852 | _T_1797; // @[Mux.scala 27:72] + wire _T_1854 = _T_1853 | _T_1798; // @[Mux.scala 27:72] + wire _T_1855 = _T_1854 | _T_1799; // @[Mux.scala 27:72] + wire _T_1856 = _T_1855 | _T_1800; // @[Mux.scala 27:72] + wire _T_1857 = _T_1856 | _T_1801; // @[Mux.scala 27:72] + wire _T_1858 = _T_1857 | _T_1802; // @[Mux.scala 27:72] + wire _T_1859 = _T_1858 | _T_1803; // @[Mux.scala 27:72] + wire _T_1860 = _T_1859 | _T_1804; // @[Mux.scala 27:72] + wire _T_1861 = _T_1860 | _T_1805; // @[Mux.scala 27:72] + wire _T_1862 = _T_1861 | _T_1806; // @[Mux.scala 27:72] + wire _T_1863 = _T_1862 | _T_1807; // @[Mux.scala 27:72] + wire _T_1864 = _T_1863 | _T_1808; // @[Mux.scala 27:72] + wire _T_1865 = _T_1864 | _T_1809; // @[Mux.scala 27:72] + wire _T_1866 = _T_1865 | _T_1810; // @[Mux.scala 27:72] + wire _T_1867 = _T_1866 | _T_1811; // @[Mux.scala 27:72] + wire _T_1868 = _T_1867 | _T_1812; // @[Mux.scala 27:72] + wire _T_1869 = _T_1868 | _T_1813; // @[Mux.scala 27:72] + wire _T_1870 = _T_1869 | _T_1814; // @[Mux.scala 27:72] + wire _T_1871 = _T_1870 | _T_1815; // @[Mux.scala 27:72] + wire _T_1872 = _T_1871 | _T_1816; // @[Mux.scala 27:72] + wire _T_1873 = _T_1872 | _T_1817; // @[Mux.scala 27:72] + wire _T_1874 = _T_1873 | _T_1818; // @[Mux.scala 27:72] + wire mhpmc_inc_r_2 = _T_1594 & _T_1874; // @[dec_tlu_ctl.scala 2272:44] + wire _T_1878 = ~mcountinhibit[6]; // @[dec_tlu_ctl.scala 2272:24] + reg [9:0] mhpme6; // @[Reg.scala 27:20] + wire _T_1879 = mhpme6 == 10'h1; // @[dec_tlu_ctl.scala 2273:34] + wire _T_1881 = mhpme6 == 10'h2; // @[dec_tlu_ctl.scala 2274:34] + wire _T_1883 = mhpme6 == 10'h3; // @[dec_tlu_ctl.scala 2275:34] + wire _T_1885 = mhpme6 == 10'h4; // @[dec_tlu_ctl.scala 2276:34] + wire _T_1889 = mhpme6 == 10'h5; // @[dec_tlu_ctl.scala 2277:34] + wire _T_1895 = mhpme6 == 10'h6; // @[dec_tlu_ctl.scala 2278:34] + wire _T_1900 = mhpme6 == 10'h7; // @[dec_tlu_ctl.scala 2279:34] + wire _T_1902 = mhpme6 == 10'h8; // @[dec_tlu_ctl.scala 2280:34] + wire _T_1904 = mhpme6 == 10'h1e; // @[dec_tlu_ctl.scala 2281:34] + wire _T_1906 = mhpme6 == 10'h9; // @[dec_tlu_ctl.scala 2282:34] + wire _T_1909 = mhpme6 == 10'ha; // @[dec_tlu_ctl.scala 2283:34] + wire _T_1912 = mhpme6 == 10'hb; // @[dec_tlu_ctl.scala 2284:34] + wire _T_1915 = mhpme6 == 10'hc; // @[dec_tlu_ctl.scala 2285:34] + wire _T_1918 = mhpme6 == 10'hd; // @[dec_tlu_ctl.scala 2286:34] + wire _T_1922 = mhpme6 == 10'he; // @[dec_tlu_ctl.scala 2287:34] + wire _T_1927 = mhpme6 == 10'hf; // @[dec_tlu_ctl.scala 2288:34] + wire _T_1930 = mhpme6 == 10'h10; // @[dec_tlu_ctl.scala 2289:34] + wire _T_1933 = mhpme6 == 10'h12; // @[dec_tlu_ctl.scala 2290:34] + wire _T_1936 = mhpme6 == 10'h11; // @[dec_tlu_ctl.scala 2291:34] + wire _T_1939 = mhpme6 == 10'h13; // @[dec_tlu_ctl.scala 2292:34] + wire _T_1942 = mhpme6 == 10'h14; // @[dec_tlu_ctl.scala 2293:34] + wire _T_1945 = mhpme6 == 10'h15; // @[dec_tlu_ctl.scala 2294:34] + wire _T_1948 = mhpme6 == 10'h16; // @[dec_tlu_ctl.scala 2295:34] + wire _T_1951 = mhpme6 == 10'h17; // @[dec_tlu_ctl.scala 2296:34] + wire _T_1954 = mhpme6 == 10'h18; // @[dec_tlu_ctl.scala 2297:34] + wire _T_1959 = mhpme6 == 10'h19; // @[dec_tlu_ctl.scala 2298:34] + wire _T_1962 = mhpme6 == 10'h1a; // @[dec_tlu_ctl.scala 2299:34] + wire _T_1965 = mhpme6 == 10'h1b; // @[dec_tlu_ctl.scala 2300:34] + wire _T_1968 = mhpme6 == 10'h1c; // @[dec_tlu_ctl.scala 2301:34] + wire _T_1972 = mhpme6 == 10'h1f; // @[dec_tlu_ctl.scala 2303:34] + wire _T_1974 = mhpme6 == 10'h20; // @[dec_tlu_ctl.scala 2304:34] + wire _T_1976 = mhpme6 == 10'h22; // @[dec_tlu_ctl.scala 2305:34] + wire _T_1978 = mhpme6 == 10'h23; // @[dec_tlu_ctl.scala 2306:34] + wire _T_1980 = mhpme6 == 10'h24; // @[dec_tlu_ctl.scala 2307:34] + wire _T_1982 = mhpme6 == 10'h25; // @[dec_tlu_ctl.scala 2308:34] + wire _T_1986 = mhpme6 == 10'h26; // @[dec_tlu_ctl.scala 2309:34] + wire _T_1990 = mhpme6 == 10'h27; // @[dec_tlu_ctl.scala 2310:34] + wire _T_1992 = mhpme6 == 10'h28; // @[dec_tlu_ctl.scala 2311:34] + wire _T_1994 = mhpme6 == 10'h29; // @[dec_tlu_ctl.scala 2312:34] + wire _T_1998 = mhpme6 == 10'h2a; // @[dec_tlu_ctl.scala 2313:34] + wire _T_2000 = mhpme6 == 10'h2b; // @[dec_tlu_ctl.scala 2314:34] + wire _T_2002 = mhpme6 == 10'h2c; // @[dec_tlu_ctl.scala 2315:34] + wire _T_2004 = mhpme6 == 10'h2d; // @[dec_tlu_ctl.scala 2316:34] + wire _T_2006 = mhpme6 == 10'h2e; // @[dec_tlu_ctl.scala 2317:34] + wire _T_2008 = mhpme6 == 10'h2f; // @[dec_tlu_ctl.scala 2318:34] + wire _T_2010 = mhpme6 == 10'h30; // @[dec_tlu_ctl.scala 2319:34] + wire _T_2012 = mhpme6 == 10'h31; // @[dec_tlu_ctl.scala 2320:34] + wire _T_2017 = mhpme6 == 10'h32; // @[dec_tlu_ctl.scala 2321:34] + wire _T_2027 = mhpme6 == 10'h36; // @[dec_tlu_ctl.scala 2322:34] + wire _T_2030 = mhpme6 == 10'h37; // @[dec_tlu_ctl.scala 2323:34] + wire _T_2033 = mhpme6 == 10'h38; // @[dec_tlu_ctl.scala 2324:34] + wire _T_2036 = mhpme6 == 10'h200; // @[dec_tlu_ctl.scala 2326:34] + wire _T_2038 = mhpme6 == 10'h201; // @[dec_tlu_ctl.scala 2327:34] + wire _T_2040 = mhpme6 == 10'h202; // @[dec_tlu_ctl.scala 2328:34] + wire _T_2042 = mhpme6 == 10'h203; // @[dec_tlu_ctl.scala 2329:34] + wire _T_2044 = mhpme6 == 10'h204; // @[dec_tlu_ctl.scala 2330:34] + wire _T_2047 = _T_1881 & io_ifu_pmu_ic_hit; // @[Mux.scala 27:72] + wire _T_2048 = _T_1883 & io_ifu_pmu_ic_miss; // @[Mux.scala 27:72] + wire _T_2049 = _T_1885 & _T_1036; // @[Mux.scala 27:72] + wire _T_2050 = _T_1889 & _T_1042; // @[Mux.scala 27:72] + wire _T_2051 = _T_1895 & _T_1047; // @[Mux.scala 27:72] + wire _T_2052 = _T_1900 & io_ifu_pmu_instr_aligned; // @[Mux.scala 27:72] + wire _T_2053 = _T_1902 & io_dec_pmu_instr_decoded; // @[Mux.scala 27:72] + wire _T_2054 = _T_1904 & io_dec_pmu_decode_stall; // @[Mux.scala 27:72] + wire _T_2055 = _T_1906 & _T_1056; // @[Mux.scala 27:72] + wire _T_2056 = _T_1909 & _T_1059; // @[Mux.scala 27:72] + wire _T_2057 = _T_1912 & _T_1062; // @[Mux.scala 27:72] + wire _T_2058 = _T_1915 & _T_1065; // @[Mux.scala 27:72] + wire _T_2059 = _T_1918 & _T_1069; // @[Mux.scala 27:72] + wire _T_2060 = _T_1922 & _T_1074; // @[Mux.scala 27:72] + wire _T_2061 = _T_1927 & _T_1077; // @[Mux.scala 27:72] + wire _T_2062 = _T_1930 & _T_1080; // @[Mux.scala 27:72] + wire _T_2063 = _T_1933 & _T_1083; // @[Mux.scala 27:72] + wire _T_2064 = _T_1936 & _T_1086; // @[Mux.scala 27:72] + wire _T_2065 = _T_1939 & _T_1089; // @[Mux.scala 27:72] + wire _T_2066 = _T_1942 & _T_1092; // @[Mux.scala 27:72] + wire _T_2067 = _T_1945 & _T_1095; // @[Mux.scala 27:72] + wire _T_2068 = _T_1948 & _T_1098; // @[Mux.scala 27:72] + wire _T_2069 = _T_1951 & _T_1101; // @[Mux.scala 27:72] + wire _T_2070 = _T_1954 & _T_1106; // @[Mux.scala 27:72] + wire _T_2071 = _T_1959 & _T_1109; // @[Mux.scala 27:72] + wire _T_2072 = _T_1962 & _T_1112; // @[Mux.scala 27:72] + wire _T_2073 = _T_1965 & _T_1115; // @[Mux.scala 27:72] + wire _T_2074 = _T_1968 & io_ifu_pmu_fetch_stall; // @[Mux.scala 27:72] + wire _T_2076 = _T_1972 & io_dec_pmu_postsync_stall; // @[Mux.scala 27:72] + wire _T_2077 = _T_1974 & io_dec_pmu_presync_stall; // @[Mux.scala 27:72] + wire _T_2078 = _T_1976 & io_lsu_store_stall_any; // @[Mux.scala 27:72] + wire _T_2079 = _T_1978 & io_dma_dccm_stall_any; // @[Mux.scala 27:72] + wire _T_2080 = _T_1980 & io_dma_iccm_stall_any; // @[Mux.scala 27:72] + wire _T_2081 = _T_1982 & _T_1133; // @[Mux.scala 27:72] + wire _T_2082 = _T_1986 & _T_1137; // @[Mux.scala 27:72] + wire _T_2083 = _T_1990 & io_take_ext_int; // @[Mux.scala 27:72] + wire _T_2084 = _T_1992 & io_tlu_flush_lower_r; // @[Mux.scala 27:72] + wire _T_2085 = _T_1994 & _T_1145; // @[Mux.scala 27:72] + wire _T_2086 = _T_1998 & io_ifu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_2087 = _T_2000 & io_lsu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_2088 = _T_2002 & io_lsu_pmu_bus_misaligned; // @[Mux.scala 27:72] + wire _T_2089 = _T_2004 & io_ifu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_2090 = _T_2006 & io_lsu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_2091 = _T_2008 & io_ifu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_2092 = _T_2010 & io_lsu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_2093 = _T_2012 & _T_1164; // @[Mux.scala 27:72] + wire _T_2094 = _T_2017 & _T_1174; // @[Mux.scala 27:72] + wire _T_2095 = _T_2027 & _T_1177; // @[Mux.scala 27:72] + wire _T_2096 = _T_2030 & _T_1180; // @[Mux.scala 27:72] + wire _T_2097 = _T_2033 & _T_1183; // @[Mux.scala 27:72] + wire _T_2098 = _T_2036 & io_dec_tlu_pmu_fw_halted; // @[Mux.scala 27:72] + wire _T_2099 = _T_2038 & io_dma_pmu_any_read; // @[Mux.scala 27:72] + wire _T_2100 = _T_2040 & io_dma_pmu_any_write; // @[Mux.scala 27:72] + wire _T_2101 = _T_2042 & io_dma_pmu_dccm_read; // @[Mux.scala 27:72] + wire _T_2102 = _T_2044 & io_dma_pmu_dccm_write; // @[Mux.scala 27:72] + wire _T_2103 = _T_1879 | _T_2047; // @[Mux.scala 27:72] + wire _T_2104 = _T_2103 | _T_2048; // @[Mux.scala 27:72] + wire _T_2105 = _T_2104 | _T_2049; // @[Mux.scala 27:72] + wire _T_2106 = _T_2105 | _T_2050; // @[Mux.scala 27:72] + wire _T_2107 = _T_2106 | _T_2051; // @[Mux.scala 27:72] + wire _T_2108 = _T_2107 | _T_2052; // @[Mux.scala 27:72] + wire _T_2109 = _T_2108 | _T_2053; // @[Mux.scala 27:72] + wire _T_2110 = _T_2109 | _T_2054; // @[Mux.scala 27:72] + wire _T_2111 = _T_2110 | _T_2055; // @[Mux.scala 27:72] + wire _T_2112 = _T_2111 | _T_2056; // @[Mux.scala 27:72] + wire _T_2113 = _T_2112 | _T_2057; // @[Mux.scala 27:72] + wire _T_2114 = _T_2113 | _T_2058; // @[Mux.scala 27:72] + wire _T_2115 = _T_2114 | _T_2059; // @[Mux.scala 27:72] + wire _T_2116 = _T_2115 | _T_2060; // @[Mux.scala 27:72] + wire _T_2117 = _T_2116 | _T_2061; // @[Mux.scala 27:72] + wire _T_2118 = _T_2117 | _T_2062; // @[Mux.scala 27:72] + wire _T_2119 = _T_2118 | _T_2063; // @[Mux.scala 27:72] + wire _T_2120 = _T_2119 | _T_2064; // @[Mux.scala 27:72] + wire _T_2121 = _T_2120 | _T_2065; // @[Mux.scala 27:72] + wire _T_2122 = _T_2121 | _T_2066; // @[Mux.scala 27:72] + wire _T_2123 = _T_2122 | _T_2067; // @[Mux.scala 27:72] + wire _T_2124 = _T_2123 | _T_2068; // @[Mux.scala 27:72] + wire _T_2125 = _T_2124 | _T_2069; // @[Mux.scala 27:72] + wire _T_2126 = _T_2125 | _T_2070; // @[Mux.scala 27:72] + wire _T_2127 = _T_2126 | _T_2071; // @[Mux.scala 27:72] + wire _T_2128 = _T_2127 | _T_2072; // @[Mux.scala 27:72] + wire _T_2129 = _T_2128 | _T_2073; // @[Mux.scala 27:72] + wire _T_2130 = _T_2129 | _T_2074; // @[Mux.scala 27:72] + wire _T_2131 = _T_2130 | _T_2054; // @[Mux.scala 27:72] + wire _T_2132 = _T_2131 | _T_2076; // @[Mux.scala 27:72] + wire _T_2133 = _T_2132 | _T_2077; // @[Mux.scala 27:72] + wire _T_2134 = _T_2133 | _T_2078; // @[Mux.scala 27:72] + wire _T_2135 = _T_2134 | _T_2079; // @[Mux.scala 27:72] + wire _T_2136 = _T_2135 | _T_2080; // @[Mux.scala 27:72] + wire _T_2137 = _T_2136 | _T_2081; // @[Mux.scala 27:72] + wire _T_2138 = _T_2137 | _T_2082; // @[Mux.scala 27:72] + wire _T_2139 = _T_2138 | _T_2083; // @[Mux.scala 27:72] + wire _T_2140 = _T_2139 | _T_2084; // @[Mux.scala 27:72] + wire _T_2141 = _T_2140 | _T_2085; // @[Mux.scala 27:72] + wire _T_2142 = _T_2141 | _T_2086; // @[Mux.scala 27:72] + wire _T_2143 = _T_2142 | _T_2087; // @[Mux.scala 27:72] + wire _T_2144 = _T_2143 | _T_2088; // @[Mux.scala 27:72] + wire _T_2145 = _T_2144 | _T_2089; // @[Mux.scala 27:72] + wire _T_2146 = _T_2145 | _T_2090; // @[Mux.scala 27:72] + wire _T_2147 = _T_2146 | _T_2091; // @[Mux.scala 27:72] + wire _T_2148 = _T_2147 | _T_2092; // @[Mux.scala 27:72] + wire _T_2149 = _T_2148 | _T_2093; // @[Mux.scala 27:72] + wire _T_2150 = _T_2149 | _T_2094; // @[Mux.scala 27:72] + wire _T_2151 = _T_2150 | _T_2095; // @[Mux.scala 27:72] + wire _T_2152 = _T_2151 | _T_2096; // @[Mux.scala 27:72] + wire _T_2153 = _T_2152 | _T_2097; // @[Mux.scala 27:72] + wire _T_2154 = _T_2153 | _T_2098; // @[Mux.scala 27:72] + wire _T_2155 = _T_2154 | _T_2099; // @[Mux.scala 27:72] + wire _T_2156 = _T_2155 | _T_2100; // @[Mux.scala 27:72] + wire _T_2157 = _T_2156 | _T_2101; // @[Mux.scala 27:72] + wire _T_2158 = _T_2157 | _T_2102; // @[Mux.scala 27:72] + wire mhpmc_inc_r_3 = _T_1878 & _T_2158; // @[dec_tlu_ctl.scala 2272:44] + reg mhpmc_inc_r_d1_0; // @[dec_tlu_ctl.scala 2333:53] + reg mhpmc_inc_r_d1_1; // @[dec_tlu_ctl.scala 2334:53] + reg mhpmc_inc_r_d1_2; // @[dec_tlu_ctl.scala 2335:53] + reg mhpmc_inc_r_d1_3; // @[dec_tlu_ctl.scala 2336:53] + reg perfcnt_halted_d1; // @[dec_tlu_ctl.scala 2337:56] + wire perfcnt_halted = _T_85 | io_dec_tlu_pmu_fw_halted; // @[dec_tlu_ctl.scala 2340:67] + wire _T_2170 = ~_T_85; // @[dec_tlu_ctl.scala 2341:37] + wire [3:0] _T_2172 = _T_2170 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_2179 = {mhpme6[9],mhpme5[9],mhpme4[9],mhpme3[9]}; // @[Cat.scala 29:58] + wire [3:0] perfcnt_during_sleep = _T_2172 & _T_2179; // @[dec_tlu_ctl.scala 2341:86] + wire _T_2181 = ~perfcnt_during_sleep[0]; // @[dec_tlu_ctl.scala 2343:67] + wire _T_2182 = perfcnt_halted_d1 & _T_2181; // @[dec_tlu_ctl.scala 2343:65] + wire _T_2183 = ~_T_2182; // @[dec_tlu_ctl.scala 2343:45] + wire _T_2186 = ~perfcnt_during_sleep[1]; // @[dec_tlu_ctl.scala 2344:67] + wire _T_2187 = perfcnt_halted_d1 & _T_2186; // @[dec_tlu_ctl.scala 2344:65] + wire _T_2188 = ~_T_2187; // @[dec_tlu_ctl.scala 2344:45] + wire _T_2191 = ~perfcnt_during_sleep[2]; // @[dec_tlu_ctl.scala 2345:67] + wire _T_2192 = perfcnt_halted_d1 & _T_2191; // @[dec_tlu_ctl.scala 2345:65] + wire _T_2193 = ~_T_2192; // @[dec_tlu_ctl.scala 2345:45] + wire _T_2196 = ~perfcnt_during_sleep[3]; // @[dec_tlu_ctl.scala 2346:67] + wire _T_2197 = perfcnt_halted_d1 & _T_2196; // @[dec_tlu_ctl.scala 2346:65] + wire _T_2198 = ~_T_2197; // @[dec_tlu_ctl.scala 2346:45] + wire _T_2201 = io_dec_csr_wraddr_r == 12'hb03; // @[dec_tlu_ctl.scala 2352:72] + wire mhpmc3_wr_en0 = io_dec_csr_wen_r_mod & _T_2201; // @[dec_tlu_ctl.scala 2352:43] + wire _T_2202 = ~perfcnt_halted; // @[dec_tlu_ctl.scala 2353:23] + wire _T_2204 = _T_2202 | perfcnt_during_sleep[0]; // @[dec_tlu_ctl.scala 2353:39] + wire _T_2205 = |mhpmc_inc_r_0; // @[dec_tlu_ctl.scala 2353:86] + wire mhpmc3_wr_en1 = _T_2204 & _T_2205; // @[dec_tlu_ctl.scala 2353:66] + reg [31:0] mhpmc3h; // @[lib.scala 374:16] + reg [31:0] mhpmc3; // @[lib.scala 374:16] + wire [63:0] _T_2208 = {mhpmc3h,mhpmc3}; // @[Cat.scala 29:58] + wire [63:0] _T_2209 = {63'h0,mhpmc_inc_r_0}; // @[Cat.scala 29:58] + wire [63:0] mhpmc3_incr = _T_2208 + _T_2209; // @[dec_tlu_ctl.scala 2357:49] + wire _T_2217 = io_dec_csr_wraddr_r == 12'hb83; // @[dec_tlu_ctl.scala 2362:73] + wire mhpmc3h_wr_en0 = io_dec_csr_wen_r_mod & _T_2217; // @[dec_tlu_ctl.scala 2362:44] + wire _T_2223 = io_dec_csr_wraddr_r == 12'hb04; // @[dec_tlu_ctl.scala 2371:72] + wire mhpmc4_wr_en0 = io_dec_csr_wen_r_mod & _T_2223; // @[dec_tlu_ctl.scala 2371:43] + wire _T_2226 = _T_2202 | perfcnt_during_sleep[1]; // @[dec_tlu_ctl.scala 2372:39] + wire _T_2227 = |mhpmc_inc_r_1; // @[dec_tlu_ctl.scala 2372:86] + wire mhpmc4_wr_en1 = _T_2226 & _T_2227; // @[dec_tlu_ctl.scala 2372:66] + reg [31:0] mhpmc4h; // @[lib.scala 374:16] + reg [31:0] mhpmc4; // @[lib.scala 374:16] + wire [63:0] _T_2230 = {mhpmc4h,mhpmc4}; // @[Cat.scala 29:58] + wire [63:0] _T_2231 = {63'h0,mhpmc_inc_r_1}; // @[Cat.scala 29:58] + wire [63:0] mhpmc4_incr = _T_2230 + _T_2231; // @[dec_tlu_ctl.scala 2377:49] + wire _T_2240 = io_dec_csr_wraddr_r == 12'hb84; // @[dec_tlu_ctl.scala 2381:73] + wire mhpmc4h_wr_en0 = io_dec_csr_wen_r_mod & _T_2240; // @[dec_tlu_ctl.scala 2381:44] + wire _T_2246 = io_dec_csr_wraddr_r == 12'hb05; // @[dec_tlu_ctl.scala 2390:72] + wire mhpmc5_wr_en0 = io_dec_csr_wen_r_mod & _T_2246; // @[dec_tlu_ctl.scala 2390:43] + wire _T_2249 = _T_2202 | perfcnt_during_sleep[2]; // @[dec_tlu_ctl.scala 2391:39] + wire _T_2250 = |mhpmc_inc_r_2; // @[dec_tlu_ctl.scala 2391:86] + wire mhpmc5_wr_en1 = _T_2249 & _T_2250; // @[dec_tlu_ctl.scala 2391:66] + reg [31:0] mhpmc5h; // @[lib.scala 374:16] + reg [31:0] mhpmc5; // @[lib.scala 374:16] + wire [63:0] _T_2253 = {mhpmc5h,mhpmc5}; // @[Cat.scala 29:58] + wire [63:0] _T_2254 = {63'h0,mhpmc_inc_r_2}; // @[Cat.scala 29:58] + wire [63:0] mhpmc5_incr = _T_2253 + _T_2254; // @[dec_tlu_ctl.scala 2394:49] + wire _T_2262 = io_dec_csr_wraddr_r == 12'hb85; // @[dec_tlu_ctl.scala 2399:73] + wire mhpmc5h_wr_en0 = io_dec_csr_wen_r_mod & _T_2262; // @[dec_tlu_ctl.scala 2399:44] + wire _T_2268 = io_dec_csr_wraddr_r == 12'hb06; // @[dec_tlu_ctl.scala 2408:72] + wire mhpmc6_wr_en0 = io_dec_csr_wen_r_mod & _T_2268; // @[dec_tlu_ctl.scala 2408:43] + wire _T_2271 = _T_2202 | perfcnt_during_sleep[3]; // @[dec_tlu_ctl.scala 2409:39] + wire _T_2272 = |mhpmc_inc_r_3; // @[dec_tlu_ctl.scala 2409:86] + wire mhpmc6_wr_en1 = _T_2271 & _T_2272; // @[dec_tlu_ctl.scala 2409:66] + reg [31:0] mhpmc6h; // @[lib.scala 374:16] + reg [31:0] mhpmc6; // @[lib.scala 374:16] + wire [63:0] _T_2275 = {mhpmc6h,mhpmc6}; // @[Cat.scala 29:58] + wire [63:0] _T_2276 = {63'h0,mhpmc_inc_r_3}; // @[Cat.scala 29:58] + wire [63:0] mhpmc6_incr = _T_2275 + _T_2276; // @[dec_tlu_ctl.scala 2412:49] + wire _T_2284 = io_dec_csr_wraddr_r == 12'hb86; // @[dec_tlu_ctl.scala 2417:73] + wire mhpmc6h_wr_en0 = io_dec_csr_wen_r_mod & _T_2284; // @[dec_tlu_ctl.scala 2417:44] + wire _T_2290 = io_dec_csr_wrdata_r[9:0] > 10'h204; // @[dec_tlu_ctl.scala 2428:56] + wire _T_2292 = |io_dec_csr_wrdata_r[31:10]; // @[dec_tlu_ctl.scala 2428:102] + wire _T_2293 = _T_2290 | _T_2292; // @[dec_tlu_ctl.scala 2428:71] + wire _T_2296 = io_dec_csr_wraddr_r == 12'h323; // @[dec_tlu_ctl.scala 2430:70] + wire wr_mhpme3_r = io_dec_csr_wen_r_mod & _T_2296; // @[dec_tlu_ctl.scala 2430:41] + wire _T_2300 = io_dec_csr_wraddr_r == 12'h324; // @[dec_tlu_ctl.scala 2437:70] + wire wr_mhpme4_r = io_dec_csr_wen_r_mod & _T_2300; // @[dec_tlu_ctl.scala 2437:41] + wire _T_2304 = io_dec_csr_wraddr_r == 12'h325; // @[dec_tlu_ctl.scala 2444:70] + wire wr_mhpme5_r = io_dec_csr_wen_r_mod & _T_2304; // @[dec_tlu_ctl.scala 2444:41] + wire _T_2308 = io_dec_csr_wraddr_r == 12'h326; // @[dec_tlu_ctl.scala 2451:70] + wire wr_mhpme6_r = io_dec_csr_wen_r_mod & _T_2308; // @[dec_tlu_ctl.scala 2451:41] + wire _T_2312 = io_dec_csr_wraddr_r == 12'h320; // @[dec_tlu_ctl.scala 2468:77] + wire wr_mcountinhibit_r = io_dec_csr_wen_r_mod & _T_2312; // @[dec_tlu_ctl.scala 2468:48] + wire _T_2324 = io_i0_valid_wb | io_exc_or_int_valid_r_d1; // @[dec_tlu_ctl.scala 2483:51] + wire _T_2325 = _T_2324 | io_interrupt_valid_r_d1; // @[dec_tlu_ctl.scala 2483:78] + wire _T_2326 = _T_2325 | io_dec_tlu_i0_valid_wb1; // @[dec_tlu_ctl.scala 2483:104] + wire _T_2327 = _T_2326 | io_dec_tlu_i0_exc_valid_wb1; // @[dec_tlu_ctl.scala 2483:130] + wire _T_2328 = _T_2327 | io_dec_tlu_int_valid_wb1; // @[dec_tlu_ctl.scala 2484:32] + reg _T_2331; // @[dec_tlu_ctl.scala 2486:62] + wire _T_2332 = io_i0_exception_valid_r_d1 | io_lsu_i0_exc_r_d1; // @[dec_tlu_ctl.scala 2487:91] + wire _T_2333 = ~io_trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 2487:137] + wire _T_2334 = io_trigger_hit_r_d1 & _T_2333; // @[dec_tlu_ctl.scala 2487:135] + reg _T_2336; // @[dec_tlu_ctl.scala 2487:62] + reg [4:0] _T_2337; // @[dec_tlu_ctl.scala 2488:62] + reg _T_2338; // @[dec_tlu_ctl.scala 2489:62] + wire [31:0] _T_2344 = {io_core_id,4'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2353 = {21'h3,3'h0,io_mstatus[1],3'h0,io_mstatus[0],3'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2358 = {io_mtvec[30:1],1'h0,io_mtvec[0]}; // @[Cat.scala 29:58] + wire [31:0] _T_2371 = {1'h0,io_mip[5:3],16'h0,io_mip[2],3'h0,io_mip[1],3'h0,io_mip[0],3'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2384 = {1'h0,mie[5:3],16'h0,mie[2],3'h0,mie[1],3'h0,mie[0],3'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2396 = {io_mepc,1'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2401 = {28'h0,mscause}; // @[Cat.scala 29:58] + wire [31:0] _T_2409 = {meivt,10'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2412 = {meivt,meihap,2'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2415 = {28'h0,meicurpl}; // @[Cat.scala 29:58] + wire [31:0] _T_2418 = {28'h0,meicidpl}; // @[Cat.scala 29:58] + wire [31:0] _T_2421 = {28'h0,meipt}; // @[Cat.scala 29:58] + wire [31:0] _T_2424 = {23'h0,mcgc}; // @[Cat.scala 29:58] + wire [31:0] _T_2427 = {13'h0,_T_350,4'h0,mfdc_int[11:7],_T_353,mfdc_int[5:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_2431 = {16'h4000,io_dcsr[15:2],2'h3}; // @[Cat.scala 29:58] + wire [31:0] _T_2433 = {io_dpc,1'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2449 = {7'h0,dicawics[16],2'h0,dicawics[15:14],3'h0,dicawics[13:0],3'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2452 = {30'h0,mtsel}; // @[Cat.scala 29:58] + wire [31:0] _T_2481 = {26'h0,mfdht}; // @[Cat.scala 29:58] + wire [31:0] _T_2484 = {30'h0,mfdhs}; // @[Cat.scala 29:58] + wire [31:0] _T_2487 = {22'h0,mhpme3}; // @[Cat.scala 29:58] + wire [31:0] _T_2490 = {22'h0,mhpme4}; // @[Cat.scala 29:58] + wire [31:0] _T_2493 = {22'h0,mhpme5}; // @[Cat.scala 29:58] + wire [31:0] _T_2496 = {22'h0,mhpme6}; // @[Cat.scala 29:58] + wire [31:0] _T_2499 = {25'h0,temp_ncount6_2,1'h0,temp_ncount0}; // @[Cat.scala 29:58] + wire [31:0] _T_2502 = {30'h0,mpmc,1'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2505 = io_csr_pkt_csr_misa ? 32'h40001104 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2506 = io_csr_pkt_csr_mvendorid ? 32'h45 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2507 = io_csr_pkt_csr_marchid ? 32'h10 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2508 = io_csr_pkt_csr_mimpid ? 32'h1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2509 = io_csr_pkt_csr_mhartid ? _T_2344 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2510 = io_csr_pkt_csr_mstatus ? _T_2353 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2511 = io_csr_pkt_csr_mtvec ? _T_2358 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2512 = io_csr_pkt_csr_mip ? _T_2371 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2513 = io_csr_pkt_csr_mie ? _T_2384 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2514 = io_csr_pkt_csr_mcyclel ? mcyclel : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2515 = io_csr_pkt_csr_mcycleh ? mcycleh_inc : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2516 = io_csr_pkt_csr_minstretl ? minstretl : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2517 = io_csr_pkt_csr_minstreth ? minstreth_inc : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2518 = io_csr_pkt_csr_mscratch ? mscratch : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2519 = io_csr_pkt_csr_mepc ? _T_2396 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2520 = io_csr_pkt_csr_mcause ? mcause : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2521 = io_csr_pkt_csr_mscause ? _T_2401 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2522 = io_csr_pkt_csr_mtval ? mtval : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2523 = io_csr_pkt_csr_mrac ? mrac : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2524 = io_csr_pkt_csr_mdseac ? mdseac : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2525 = io_csr_pkt_csr_meivt ? _T_2409 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2526 = io_csr_pkt_csr_meihap ? _T_2412 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2527 = io_csr_pkt_csr_meicurpl ? _T_2415 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2528 = io_csr_pkt_csr_meicidpl ? _T_2418 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2529 = io_csr_pkt_csr_meipt ? _T_2421 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2530 = io_csr_pkt_csr_mcgc ? _T_2424 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2531 = io_csr_pkt_csr_mfdc ? _T_2427 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2532 = io_csr_pkt_csr_dcsr ? _T_2431 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2533 = io_csr_pkt_csr_dpc ? _T_2433 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2534 = io_csr_pkt_csr_dicad0 ? dicad0[31:0] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2535 = io_csr_pkt_csr_dicad0h ? dicad0h : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2536 = io_csr_pkt_csr_dicad1 ? dicad1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2537 = io_csr_pkt_csr_dicawics ? _T_2449 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2538 = io_csr_pkt_csr_mtsel ? _T_2452 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2539 = io_csr_pkt_csr_mtdata1 ? mtdata1_tsel_out : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2540 = io_csr_pkt_csr_mtdata2 ? mtdata2_tsel_out : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2541 = io_csr_pkt_csr_micect ? micect : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2542 = io_csr_pkt_csr_miccmect ? miccmect : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2543 = io_csr_pkt_csr_mdccmect ? mdccmect : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2544 = io_csr_pkt_csr_mhpmc3 ? mhpmc3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2545 = io_csr_pkt_csr_mhpmc4 ? mhpmc4 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2546 = io_csr_pkt_csr_mhpmc5 ? mhpmc5 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2547 = io_csr_pkt_csr_mhpmc6 ? mhpmc6 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2548 = io_csr_pkt_csr_mhpmc3h ? mhpmc3h : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2549 = io_csr_pkt_csr_mhpmc4h ? mhpmc4h : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2550 = io_csr_pkt_csr_mhpmc5h ? mhpmc5h : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2551 = io_csr_pkt_csr_mhpmc6h ? mhpmc6h : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2552 = io_csr_pkt_csr_mfdht ? _T_2481 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2553 = io_csr_pkt_csr_mfdhs ? _T_2484 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2554 = io_csr_pkt_csr_mhpme3 ? _T_2487 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2555 = io_csr_pkt_csr_mhpme4 ? _T_2490 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2556 = io_csr_pkt_csr_mhpme5 ? _T_2493 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2557 = io_csr_pkt_csr_mhpme6 ? _T_2496 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2558 = io_csr_pkt_csr_mcountinhibit ? _T_2499 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2559 = io_csr_pkt_csr_mpmc ? _T_2502 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2560 = io_dec_timer_read_d ? io_dec_timer_rddata_d : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2561 = _T_2505 | _T_2506; // @[Mux.scala 27:72] + wire [31:0] _T_2562 = _T_2561 | _T_2507; // @[Mux.scala 27:72] + wire [31:0] _T_2563 = _T_2562 | _T_2508; // @[Mux.scala 27:72] + wire [31:0] _T_2564 = _T_2563 | _T_2509; // @[Mux.scala 27:72] + wire [31:0] _T_2565 = _T_2564 | _T_2510; // @[Mux.scala 27:72] + wire [31:0] _T_2566 = _T_2565 | _T_2511; // @[Mux.scala 27:72] + wire [31:0] _T_2567 = _T_2566 | _T_2512; // @[Mux.scala 27:72] + wire [31:0] _T_2568 = _T_2567 | _T_2513; // @[Mux.scala 27:72] + wire [31:0] _T_2569 = _T_2568 | _T_2514; // @[Mux.scala 27:72] + wire [31:0] _T_2570 = _T_2569 | _T_2515; // @[Mux.scala 27:72] + wire [31:0] _T_2571 = _T_2570 | _T_2516; // @[Mux.scala 27:72] + wire [31:0] _T_2572 = _T_2571 | _T_2517; // @[Mux.scala 27:72] + wire [31:0] _T_2573 = _T_2572 | _T_2518; // @[Mux.scala 27:72] + wire [31:0] _T_2574 = _T_2573 | _T_2519; // @[Mux.scala 27:72] + wire [31:0] _T_2575 = _T_2574 | _T_2520; // @[Mux.scala 27:72] + wire [31:0] _T_2576 = _T_2575 | _T_2521; // @[Mux.scala 27:72] + wire [31:0] _T_2577 = _T_2576 | _T_2522; // @[Mux.scala 27:72] + wire [31:0] _T_2578 = _T_2577 | _T_2523; // @[Mux.scala 27:72] + wire [31:0] _T_2579 = _T_2578 | _T_2524; // @[Mux.scala 27:72] + wire [31:0] _T_2580 = _T_2579 | _T_2525; // @[Mux.scala 27:72] + wire [31:0] _T_2581 = _T_2580 | _T_2526; // @[Mux.scala 27:72] + wire [31:0] _T_2582 = _T_2581 | _T_2527; // @[Mux.scala 27:72] + wire [31:0] _T_2583 = _T_2582 | _T_2528; // @[Mux.scala 27:72] + wire [31:0] _T_2584 = _T_2583 | _T_2529; // @[Mux.scala 27:72] + wire [31:0] _T_2585 = _T_2584 | _T_2530; // @[Mux.scala 27:72] + wire [31:0] _T_2586 = _T_2585 | _T_2531; // @[Mux.scala 27:72] + wire [31:0] _T_2587 = _T_2586 | _T_2532; // @[Mux.scala 27:72] + wire [31:0] _T_2588 = _T_2587 | _T_2533; // @[Mux.scala 27:72] + wire [31:0] _T_2589 = _T_2588 | _T_2534; // @[Mux.scala 27:72] + wire [31:0] _T_2590 = _T_2589 | _T_2535; // @[Mux.scala 27:72] + wire [31:0] _T_2591 = _T_2590 | _T_2536; // @[Mux.scala 27:72] + wire [31:0] _T_2592 = _T_2591 | _T_2537; // @[Mux.scala 27:72] + wire [31:0] _T_2593 = _T_2592 | _T_2538; // @[Mux.scala 27:72] + wire [31:0] _T_2594 = _T_2593 | _T_2539; // @[Mux.scala 27:72] + wire [31:0] _T_2595 = _T_2594 | _T_2540; // @[Mux.scala 27:72] + wire [31:0] _T_2596 = _T_2595 | _T_2541; // @[Mux.scala 27:72] + wire [31:0] _T_2597 = _T_2596 | _T_2542; // @[Mux.scala 27:72] + wire [31:0] _T_2598 = _T_2597 | _T_2543; // @[Mux.scala 27:72] + wire [31:0] _T_2599 = _T_2598 | _T_2544; // @[Mux.scala 27:72] + wire [31:0] _T_2600 = _T_2599 | _T_2545; // @[Mux.scala 27:72] + wire [31:0] _T_2601 = _T_2600 | _T_2546; // @[Mux.scala 27:72] + wire [31:0] _T_2602 = _T_2601 | _T_2547; // @[Mux.scala 27:72] + wire [31:0] _T_2603 = _T_2602 | _T_2548; // @[Mux.scala 27:72] + wire [31:0] _T_2604 = _T_2603 | _T_2549; // @[Mux.scala 27:72] + wire [31:0] _T_2605 = _T_2604 | _T_2550; // @[Mux.scala 27:72] + wire [31:0] _T_2606 = _T_2605 | _T_2551; // @[Mux.scala 27:72] + wire [31:0] _T_2607 = _T_2606 | _T_2552; // @[Mux.scala 27:72] + wire [31:0] _T_2608 = _T_2607 | _T_2553; // @[Mux.scala 27:72] + wire [31:0] _T_2609 = _T_2608 | _T_2554; // @[Mux.scala 27:72] + wire [31:0] _T_2610 = _T_2609 | _T_2555; // @[Mux.scala 27:72] + wire [31:0] _T_2611 = _T_2610 | _T_2556; // @[Mux.scala 27:72] + wire [31:0] _T_2612 = _T_2611 | _T_2557; // @[Mux.scala 27:72] + wire [31:0] _T_2613 = _T_2612 | _T_2558; // @[Mux.scala 27:72] + wire [31:0] _T_2614 = _T_2613 | _T_2559; // @[Mux.scala 27:72] + rvclkhdr rvclkhdr ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + rvclkhdr rvclkhdr_4 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_4_io_l1clk), + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en), + .io_scan_mode(rvclkhdr_4_io_scan_mode) + ); + rvclkhdr rvclkhdr_5 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_5_io_l1clk), + .io_clk(rvclkhdr_5_io_clk), + .io_en(rvclkhdr_5_io_en), + .io_scan_mode(rvclkhdr_5_io_scan_mode) + ); + rvclkhdr rvclkhdr_6 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_6_io_l1clk), + .io_clk(rvclkhdr_6_io_clk), + .io_en(rvclkhdr_6_io_en), + .io_scan_mode(rvclkhdr_6_io_scan_mode) + ); + rvclkhdr rvclkhdr_7 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_7_io_l1clk), + .io_clk(rvclkhdr_7_io_clk), + .io_en(rvclkhdr_7_io_en), + .io_scan_mode(rvclkhdr_7_io_scan_mode) + ); + rvclkhdr rvclkhdr_8 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_8_io_l1clk), + .io_clk(rvclkhdr_8_io_clk), + .io_en(rvclkhdr_8_io_en), + .io_scan_mode(rvclkhdr_8_io_scan_mode) + ); + rvclkhdr rvclkhdr_9 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_9_io_l1clk), + .io_clk(rvclkhdr_9_io_clk), + .io_en(rvclkhdr_9_io_en), + .io_scan_mode(rvclkhdr_9_io_scan_mode) + ); + rvclkhdr rvclkhdr_10 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_10_io_l1clk), + .io_clk(rvclkhdr_10_io_clk), + .io_en(rvclkhdr_10_io_en), + .io_scan_mode(rvclkhdr_10_io_scan_mode) + ); + rvclkhdr rvclkhdr_11 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_11_io_l1clk), + .io_clk(rvclkhdr_11_io_clk), + .io_en(rvclkhdr_11_io_en), + .io_scan_mode(rvclkhdr_11_io_scan_mode) + ); + rvclkhdr rvclkhdr_12 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_12_io_l1clk), + .io_clk(rvclkhdr_12_io_clk), + .io_en(rvclkhdr_12_io_en), + .io_scan_mode(rvclkhdr_12_io_scan_mode) + ); + rvclkhdr rvclkhdr_13 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_13_io_l1clk), + .io_clk(rvclkhdr_13_io_clk), + .io_en(rvclkhdr_13_io_en), + .io_scan_mode(rvclkhdr_13_io_scan_mode) + ); + rvclkhdr rvclkhdr_14 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_14_io_l1clk), + .io_clk(rvclkhdr_14_io_clk), + .io_en(rvclkhdr_14_io_en), + .io_scan_mode(rvclkhdr_14_io_scan_mode) + ); + rvclkhdr rvclkhdr_15 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_15_io_l1clk), + .io_clk(rvclkhdr_15_io_clk), + .io_en(rvclkhdr_15_io_en), + .io_scan_mode(rvclkhdr_15_io_scan_mode) + ); + rvclkhdr rvclkhdr_16 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_16_io_l1clk), + .io_clk(rvclkhdr_16_io_clk), + .io_en(rvclkhdr_16_io_en), + .io_scan_mode(rvclkhdr_16_io_scan_mode) + ); + rvclkhdr rvclkhdr_17 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_17_io_l1clk), + .io_clk(rvclkhdr_17_io_clk), + .io_en(rvclkhdr_17_io_en), + .io_scan_mode(rvclkhdr_17_io_scan_mode) + ); + rvclkhdr rvclkhdr_18 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_18_io_l1clk), + .io_clk(rvclkhdr_18_io_clk), + .io_en(rvclkhdr_18_io_en), + .io_scan_mode(rvclkhdr_18_io_scan_mode) + ); + rvclkhdr rvclkhdr_19 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_19_io_l1clk), + .io_clk(rvclkhdr_19_io_clk), + .io_en(rvclkhdr_19_io_en), + .io_scan_mode(rvclkhdr_19_io_scan_mode) + ); + rvclkhdr rvclkhdr_20 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_20_io_l1clk), + .io_clk(rvclkhdr_20_io_clk), + .io_en(rvclkhdr_20_io_en), + .io_scan_mode(rvclkhdr_20_io_scan_mode) + ); + rvclkhdr rvclkhdr_21 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_21_io_l1clk), + .io_clk(rvclkhdr_21_io_clk), + .io_en(rvclkhdr_21_io_en), + .io_scan_mode(rvclkhdr_21_io_scan_mode) + ); + rvclkhdr rvclkhdr_22 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_22_io_l1clk), + .io_clk(rvclkhdr_22_io_clk), + .io_en(rvclkhdr_22_io_en), + .io_scan_mode(rvclkhdr_22_io_scan_mode) + ); + rvclkhdr rvclkhdr_23 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_23_io_l1clk), + .io_clk(rvclkhdr_23_io_clk), + .io_en(rvclkhdr_23_io_en), + .io_scan_mode(rvclkhdr_23_io_scan_mode) + ); + rvclkhdr rvclkhdr_24 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_24_io_l1clk), + .io_clk(rvclkhdr_24_io_clk), + .io_en(rvclkhdr_24_io_en), + .io_scan_mode(rvclkhdr_24_io_scan_mode) + ); + rvclkhdr rvclkhdr_25 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_25_io_l1clk), + .io_clk(rvclkhdr_25_io_clk), + .io_en(rvclkhdr_25_io_en), + .io_scan_mode(rvclkhdr_25_io_scan_mode) + ); + rvclkhdr rvclkhdr_26 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_26_io_l1clk), + .io_clk(rvclkhdr_26_io_clk), + .io_en(rvclkhdr_26_io_en), + .io_scan_mode(rvclkhdr_26_io_scan_mode) + ); + rvclkhdr rvclkhdr_27 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_27_io_l1clk), + .io_clk(rvclkhdr_27_io_clk), + .io_en(rvclkhdr_27_io_en), + .io_scan_mode(rvclkhdr_27_io_scan_mode) + ); + rvclkhdr rvclkhdr_28 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_28_io_l1clk), + .io_clk(rvclkhdr_28_io_clk), + .io_en(rvclkhdr_28_io_en), + .io_scan_mode(rvclkhdr_28_io_scan_mode) + ); + rvclkhdr rvclkhdr_29 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_29_io_l1clk), + .io_clk(rvclkhdr_29_io_clk), + .io_en(rvclkhdr_29_io_en), + .io_scan_mode(rvclkhdr_29_io_scan_mode) + ); + rvclkhdr rvclkhdr_30 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_30_io_l1clk), + .io_clk(rvclkhdr_30_io_clk), + .io_en(rvclkhdr_30_io_en), + .io_scan_mode(rvclkhdr_30_io_scan_mode) + ); + rvclkhdr rvclkhdr_31 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_31_io_l1clk), + .io_clk(rvclkhdr_31_io_clk), + .io_en(rvclkhdr_31_io_en), + .io_scan_mode(rvclkhdr_31_io_scan_mode) + ); + rvclkhdr rvclkhdr_32 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_32_io_l1clk), + .io_clk(rvclkhdr_32_io_clk), + .io_en(rvclkhdr_32_io_en), + .io_scan_mode(rvclkhdr_32_io_scan_mode) + ); + rvclkhdr rvclkhdr_33 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_33_io_l1clk), + .io_clk(rvclkhdr_33_io_clk), + .io_en(rvclkhdr_33_io_en), + .io_scan_mode(rvclkhdr_33_io_scan_mode) + ); + rvclkhdr rvclkhdr_34 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_34_io_l1clk), + .io_clk(rvclkhdr_34_io_clk), + .io_en(rvclkhdr_34_io_en), + .io_scan_mode(rvclkhdr_34_io_scan_mode) + ); + assign io_dec_tlu_ic_diag_pkt_icache_wrdata = {_T_764,dicad0[31:0]}; // @[dec_tlu_ctl.scala 2153:56] + assign io_dec_tlu_ic_diag_pkt_icache_dicawics = dicawics; // @[dec_tlu_ctl.scala 2156:41] + assign io_dec_tlu_ic_diag_pkt_icache_rd_valid = icache_rd_valid_f; // @[dec_tlu_ctl.scala 2164:41] + assign io_dec_tlu_ic_diag_pkt_icache_wr_valid = icache_wr_valid_f; // @[dec_tlu_ctl.scala 2165:41] + assign io_trigger_pkt_any_0_select = io_mtdata1_t_0[7]; // @[dec_tlu_ctl.scala 2229:40] + assign io_trigger_pkt_any_0_match_pkt = io_mtdata1_t_0[4]; // @[dec_tlu_ctl.scala 2230:43] + assign io_trigger_pkt_any_0_store = io_mtdata1_t_0[1]; // @[dec_tlu_ctl.scala 2231:40] + assign io_trigger_pkt_any_0_load = io_mtdata1_t_0[0]; // @[dec_tlu_ctl.scala 2232:40] + assign io_trigger_pkt_any_0_execute = io_mtdata1_t_0[2]; // @[dec_tlu_ctl.scala 2233:40] + assign io_trigger_pkt_any_0_m = io_mtdata1_t_0[3]; // @[dec_tlu_ctl.scala 2234:40] + assign io_trigger_pkt_any_0_tdata2 = mtdata2_t_0; // @[dec_tlu_ctl.scala 2247:51] + assign io_trigger_pkt_any_1_select = io_mtdata1_t_1[7]; // @[dec_tlu_ctl.scala 2229:40] + assign io_trigger_pkt_any_1_match_pkt = io_mtdata1_t_1[4]; // @[dec_tlu_ctl.scala 2230:43] + assign io_trigger_pkt_any_1_store = io_mtdata1_t_1[1]; // @[dec_tlu_ctl.scala 2231:40] + assign io_trigger_pkt_any_1_load = io_mtdata1_t_1[0]; // @[dec_tlu_ctl.scala 2232:40] + assign io_trigger_pkt_any_1_execute = io_mtdata1_t_1[2]; // @[dec_tlu_ctl.scala 2233:40] + assign io_trigger_pkt_any_1_m = io_mtdata1_t_1[3]; // @[dec_tlu_ctl.scala 2234:40] + assign io_trigger_pkt_any_1_tdata2 = mtdata2_t_1; // @[dec_tlu_ctl.scala 2247:51] + assign io_trigger_pkt_any_2_select = io_mtdata1_t_2[7]; // @[dec_tlu_ctl.scala 2229:40] + assign io_trigger_pkt_any_2_match_pkt = io_mtdata1_t_2[4]; // @[dec_tlu_ctl.scala 2230:43] + assign io_trigger_pkt_any_2_store = io_mtdata1_t_2[1]; // @[dec_tlu_ctl.scala 2231:40] + assign io_trigger_pkt_any_2_load = io_mtdata1_t_2[0]; // @[dec_tlu_ctl.scala 2232:40] + assign io_trigger_pkt_any_2_execute = io_mtdata1_t_2[2]; // @[dec_tlu_ctl.scala 2233:40] + assign io_trigger_pkt_any_2_m = io_mtdata1_t_2[3]; // @[dec_tlu_ctl.scala 2234:40] + assign io_trigger_pkt_any_2_tdata2 = mtdata2_t_2; // @[dec_tlu_ctl.scala 2247:51] + assign io_trigger_pkt_any_3_select = io_mtdata1_t_3[7]; // @[dec_tlu_ctl.scala 2229:40] + assign io_trigger_pkt_any_3_match_pkt = io_mtdata1_t_3[4]; // @[dec_tlu_ctl.scala 2230:43] + assign io_trigger_pkt_any_3_store = io_mtdata1_t_3[1]; // @[dec_tlu_ctl.scala 2231:40] + assign io_trigger_pkt_any_3_load = io_mtdata1_t_3[0]; // @[dec_tlu_ctl.scala 2232:40] + assign io_trigger_pkt_any_3_execute = io_mtdata1_t_3[2]; // @[dec_tlu_ctl.scala 2233:40] + assign io_trigger_pkt_any_3_m = io_mtdata1_t_3[3]; // @[dec_tlu_ctl.scala 2234:40] + assign io_trigger_pkt_any_3_tdata2 = mtdata2_t_3; // @[dec_tlu_ctl.scala 2247:51] + assign io_dec_tlu_int_valid_wb1 = _T_2338; // @[dec_tlu_ctl.scala 2489:30] + assign io_dec_tlu_i0_exc_valid_wb1 = _T_2336; // @[dec_tlu_ctl.scala 2487:30] + assign io_dec_tlu_i0_valid_wb1 = _T_2331; // @[dec_tlu_ctl.scala 2486:30] + assign io_dec_tlu_mtval_wb1 = mtval; // @[dec_tlu_ctl.scala 2491:24] + assign io_dec_tlu_exc_cause_wb1 = _T_2337; // @[dec_tlu_ctl.scala 2488:30] + assign io_dec_tlu_perfcnt0 = mhpmc_inc_r_d1_0 & _T_2183; // @[dec_tlu_ctl.scala 2343:22] + assign io_dec_tlu_perfcnt1 = mhpmc_inc_r_d1_1 & _T_2188; // @[dec_tlu_ctl.scala 2344:22] + assign io_dec_tlu_perfcnt2 = mhpmc_inc_r_d1_2 & _T_2193; // @[dec_tlu_ctl.scala 2345:22] + assign io_dec_tlu_perfcnt3 = mhpmc_inc_r_d1_3 & _T_2198; // @[dec_tlu_ctl.scala 2346:22] + assign io_dec_tlu_misc_clk_override = mcgc[8]; // @[dec_tlu_ctl.scala 1716:31] + assign io_dec_tlu_dec_clk_override = mcgc[7]; // @[dec_tlu_ctl.scala 1717:31] + assign io_dec_tlu_ifu_clk_override = mcgc[5]; // @[dec_tlu_ctl.scala 1718:31] + assign io_dec_tlu_lsu_clk_override = mcgc[4]; // @[dec_tlu_ctl.scala 1719:31] + assign io_dec_tlu_bus_clk_override = mcgc[3]; // @[dec_tlu_ctl.scala 1720:31] + assign io_dec_tlu_pic_clk_override = mcgc[2]; // @[dec_tlu_ctl.scala 1721:31] + assign io_dec_tlu_dccm_clk_override = mcgc[1]; // @[dec_tlu_ctl.scala 1722:31] + assign io_dec_tlu_icm_clk_override = mcgc[0]; // @[dec_tlu_ctl.scala 1723:31] + assign io_dec_csr_rddata_d = _T_2614 | _T_2560; // @[dec_tlu_ctl.scala 2496:21] + assign io_dec_tlu_pipelining_disable = mfdc[0]; // @[dec_tlu_ctl.scala 1766:39] + assign io_dec_tlu_wr_pause_r = _T_370 & _T_371; // @[dec_tlu_ctl.scala 1775:24] + assign io_dec_tlu_meipt = meipt; // @[dec_tlu_ctl.scala 2004:19] + assign io_dec_tlu_meicurpl = meicurpl; // @[dec_tlu_ctl.scala 1968:22] + assign io_dec_tlu_meihap = {meivt,meihap}; // @[dec_tlu_ctl.scala 1954:20] + assign io_dec_tlu_mrac_ff = mrac; // @[dec_tlu_ctl.scala 1805:21] + assign io_dec_tlu_wb_coalescing_disable = mfdc[2]; // @[dec_tlu_ctl.scala 1765:39] + assign io_dec_tlu_bpred_disable = mfdc[3]; // @[dec_tlu_ctl.scala 1764:39] + assign io_dec_tlu_sideeffect_posted_disable = mfdc[6]; // @[dec_tlu_ctl.scala 1763:39] + assign io_dec_tlu_core_ecc_disable = mfdc[8]; // @[dec_tlu_ctl.scala 1762:39] + assign io_dec_tlu_external_ldfwd_disable = mfdc[11]; // @[dec_tlu_ctl.scala 1761:39] + assign io_dec_tlu_dma_qos_prty = mfdc[18:16]; // @[dec_tlu_ctl.scala 1760:39] + assign io_dec_csr_wen_r_mod = _T_1 & _T_2; // @[dec_tlu_ctl.scala 1449:23] + assign io_fw_halt_req = _T_502 & _T_503; // @[dec_tlu_ctl.scala 1840:17] + assign io_mstatus = _T_56; // @[dec_tlu_ctl.scala 1465:13] + assign io_mstatus_mie_ns = io_mstatus[0] & _T_54; // @[dec_tlu_ctl.scala 1464:20] + assign io_dcsr = _T_701; // @[dec_tlu_ctl.scala 2051:10] + assign io_mtvec = _T_62; // @[dec_tlu_ctl.scala 1477:11] + assign io_mip = _T_68; // @[dec_tlu_ctl.scala 1492:9] + assign io_mie_ns = wr_mie_r ? _T_78 : mie; // @[dec_tlu_ctl.scala 1506:12] + assign io_npc_r = _T_161 | _T_159; // @[dec_tlu_ctl.scala 1600:11] + assign io_npc_r_d1 = _T_167; // @[dec_tlu_ctl.scala 1606:14] + assign io_mepc = _T_196; // @[dec_tlu_ctl.scala 1625:10] + assign io_mdseac_locked_ns = mdseac_en | _T_489; // @[dec_tlu_ctl.scala 1823:22] + assign io_force_halt = mfdht[0] & _T_609; // @[dec_tlu_ctl.scala 1931:16] + assign io_dpc = _T_726; // @[dec_tlu_ctl.scala 2068:9] + assign io_mtdata1_t_0 = _T_873; // @[dec_tlu_ctl.scala 2224:39] + assign io_mtdata1_t_1 = _T_874; // @[dec_tlu_ctl.scala 2224:39] + assign io_mtdata1_t_2 = _T_875; // @[dec_tlu_ctl.scala 2224:39] + assign io_mtdata1_t_3 = _T_876; // @[dec_tlu_ctl.scala 2224:39] + assign rvclkhdr_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_io_en = io_dec_csr_wen_r_mod & _T_58; // @[lib.scala 371:17] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_1_io_en = wr_mcyclel_r | mcyclel_cout_in; // @[lib.scala 371:17] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_2_io_en = wr_mcycleh_r | mcyclel_cout_f; // @[lib.scala 371:17] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_3_io_en = i0_valid_no_ebreak_ecall_r | wr_minstretl_r; // @[lib.scala 371:17] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_4_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_4_io_en = minstret_enable_f | wr_minstreth_r; // @[lib.scala 371:17] + assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_5_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_5_io_en = io_dec_csr_wen_r_mod & _T_139; // @[lib.scala 371:17] + assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_6_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_6_io_en = _T_164 | io_reset_delayed; // @[lib.scala 371:17] + assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_7_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_7_io_en = _T_142 & io_dec_tlu_i0_valid_r; // @[lib.scala 371:17] + assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_8_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_8_io_en = io_dec_csr_wen_r_mod & _T_325; // @[lib.scala 371:17] + assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_9_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_9_io_en = io_dec_csr_wen_r_mod & _T_337; // @[lib.scala 371:17] + assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_10_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_10_io_en = io_dec_csr_wen_r_mod & _T_374; // @[lib.scala 371:17] + assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_11_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_11_io_en = _T_493 & _T_494; // @[lib.scala 371:17] + assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_12_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_12_io_en = wr_micect_r | io_ic_perr_r_d1; // @[lib.scala 371:17] + assign rvclkhdr_12_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_13_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_13_io_en = _T_549 | io_iccm_dma_sb_error; // @[lib.scala 371:17] + assign rvclkhdr_13_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_14_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_14_io_en = wr_mdccmect_r | io_lsu_single_ecc_error_r_d1; // @[lib.scala 371:17] + assign rvclkhdr_14_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_15_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_15_io_en = io_dec_csr_wen_r_mod & _T_612; // @[lib.scala 371:17] + assign rvclkhdr_15_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_16_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_16_io_en = _T_632 | io_take_ext_int_start; // @[lib.scala 371:17] + assign rvclkhdr_16_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_17_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_17_io_en = _T_698 | io_take_nmi; // @[lib.scala 371:17] + assign rvclkhdr_17_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_18_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_18_io_en = _T_723 | dpc_capture_npc; // @[lib.scala 371:17] + assign rvclkhdr_18_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_19_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_19_io_en = _T_663 & _T_733; // @[lib.scala 371:17] + assign rvclkhdr_19_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_20_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_20_io_en = wr_dicad0_r | io_ifu_ic_debug_rd_data_valid; // @[lib.scala 371:17] + assign rvclkhdr_20_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_21_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_21_io_en = wr_dicad0h_r | io_ifu_ic_debug_rd_data_valid; // @[lib.scala 371:17] + assign rvclkhdr_21_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_22_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_22_io_en = _T_972 & _T_808; // @[lib.scala 371:17] + assign rvclkhdr_22_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_23_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_23_io_en = _T_981 & _T_817; // @[lib.scala 371:17] + assign rvclkhdr_23_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_24_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_24_io_en = _T_990 & _T_826; // @[lib.scala 371:17] + assign rvclkhdr_24_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_25_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_25_io_en = _T_999 & _T_835; // @[lib.scala 371:17] + assign rvclkhdr_25_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_26_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_26_io_en = mhpmc3_wr_en0 | mhpmc3_wr_en1; // @[lib.scala 371:17] + assign rvclkhdr_26_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_27_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_27_io_en = mhpmc3h_wr_en0 | mhpmc3_wr_en1; // @[lib.scala 371:17] + assign rvclkhdr_27_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_28_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_28_io_en = mhpmc4_wr_en0 | mhpmc4_wr_en1; // @[lib.scala 371:17] + assign rvclkhdr_28_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_29_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_29_io_en = mhpmc4h_wr_en0 | mhpmc4_wr_en1; // @[lib.scala 371:17] + assign rvclkhdr_29_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_30_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_30_io_en = mhpmc5_wr_en0 | mhpmc5_wr_en1; // @[lib.scala 371:17] + assign rvclkhdr_30_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_31_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_31_io_en = mhpmc5h_wr_en0 | mhpmc5_wr_en1; // @[lib.scala 371:17] + assign rvclkhdr_31_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_32_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_32_io_en = mhpmc6_wr_en0 | mhpmc6_wr_en1; // @[lib.scala 371:17] + assign rvclkhdr_32_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_33_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_33_io_en = mhpmc6h_wr_en0 | mhpmc6_wr_en1; // @[lib.scala 371:17] + assign rvclkhdr_33_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_34_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_34_io_en = _T_2328 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_34_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + mpmc_b = _RAND_0[0:0]; + _RAND_1 = {1{`RANDOM}}; + _T_56 = _RAND_1[1:0]; + _RAND_2 = {1{`RANDOM}}; + _T_62 = _RAND_2[30:0]; + _RAND_3 = {1{`RANDOM}}; + mdccmect = _RAND_3[31:0]; + _RAND_4 = {1{`RANDOM}}; + miccmect = _RAND_4[31:0]; + _RAND_5 = {1{`RANDOM}}; + micect = _RAND_5[31:0]; + _RAND_6 = {1{`RANDOM}}; + _T_68 = _RAND_6[5:0]; + _RAND_7 = {1{`RANDOM}}; + mie = _RAND_7[5:0]; + _RAND_8 = {1{`RANDOM}}; + temp_ncount6_2 = _RAND_8[4:0]; + _RAND_9 = {1{`RANDOM}}; + temp_ncount0 = _RAND_9[0:0]; + _RAND_10 = {1{`RANDOM}}; + mcyclel = _RAND_10[31:0]; + _RAND_11 = {1{`RANDOM}}; + mcyclel_cout_f = _RAND_11[0:0]; + _RAND_12 = {1{`RANDOM}}; + mcycleh = _RAND_12[31:0]; + _RAND_13 = {1{`RANDOM}}; + minstretl = _RAND_13[31:0]; + _RAND_14 = {1{`RANDOM}}; + minstret_enable_f = _RAND_14[0:0]; + _RAND_15 = {1{`RANDOM}}; + minstretl_cout_f = _RAND_15[0:0]; + _RAND_16 = {1{`RANDOM}}; + minstreth = _RAND_16[31:0]; + _RAND_17 = {1{`RANDOM}}; + mscratch = _RAND_17[31:0]; + _RAND_18 = {1{`RANDOM}}; + _T_167 = _RAND_18[30:0]; + _RAND_19 = {1{`RANDOM}}; + pc_r_d1 = _RAND_19[30:0]; + _RAND_20 = {1{`RANDOM}}; + _T_196 = _RAND_20[30:0]; + _RAND_21 = {1{`RANDOM}}; + mcause = _RAND_21[31:0]; + _RAND_22 = {1{`RANDOM}}; + mscause = _RAND_22[3:0]; + _RAND_23 = {1{`RANDOM}}; + mtval = _RAND_23[31:0]; + _RAND_24 = {1{`RANDOM}}; + mcgc = _RAND_24[8:0]; + _RAND_25 = {1{`RANDOM}}; + mfdc_int = _RAND_25[14:0]; + _RAND_26 = {1{`RANDOM}}; + mrac = _RAND_26[31:0]; + _RAND_27 = {1{`RANDOM}}; + mdseac = _RAND_27[31:0]; + _RAND_28 = {1{`RANDOM}}; + mfdht = _RAND_28[5:0]; + _RAND_29 = {1{`RANDOM}}; + mfdhs = _RAND_29[1:0]; + _RAND_30 = {1{`RANDOM}}; + force_halt_ctr_f = _RAND_30[31:0]; + _RAND_31 = {1{`RANDOM}}; + meivt = _RAND_31[21:0]; + _RAND_32 = {1{`RANDOM}}; + meihap = _RAND_32[7:0]; + _RAND_33 = {1{`RANDOM}}; + meicurpl = _RAND_33[3:0]; + _RAND_34 = {1{`RANDOM}}; + meicidpl = _RAND_34[3:0]; + _RAND_35 = {1{`RANDOM}}; + meipt = _RAND_35[3:0]; + _RAND_36 = {1{`RANDOM}}; + _T_701 = _RAND_36[15:0]; + _RAND_37 = {1{`RANDOM}}; + _T_726 = _RAND_37[30:0]; + _RAND_38 = {1{`RANDOM}}; + dicawics = _RAND_38[16:0]; + _RAND_39 = {3{`RANDOM}}; + dicad0 = _RAND_39[70:0]; + _RAND_40 = {1{`RANDOM}}; + dicad0h = _RAND_40[31:0]; + _RAND_41 = {1{`RANDOM}}; + _T_759 = _RAND_41[6:0]; + _RAND_42 = {1{`RANDOM}}; + icache_rd_valid_f = _RAND_42[0:0]; + _RAND_43 = {1{`RANDOM}}; + icache_wr_valid_f = _RAND_43[0:0]; + _RAND_44 = {1{`RANDOM}}; + mtsel = _RAND_44[1:0]; + _RAND_45 = {1{`RANDOM}}; + _T_873 = _RAND_45[9:0]; + _RAND_46 = {1{`RANDOM}}; + _T_874 = _RAND_46[9:0]; + _RAND_47 = {1{`RANDOM}}; + _T_875 = _RAND_47[9:0]; + _RAND_48 = {1{`RANDOM}}; + _T_876 = _RAND_48[9:0]; + _RAND_49 = {1{`RANDOM}}; + mtdata2_t_0 = _RAND_49[31:0]; + _RAND_50 = {1{`RANDOM}}; + mtdata2_t_1 = _RAND_50[31:0]; + _RAND_51 = {1{`RANDOM}}; + mtdata2_t_2 = _RAND_51[31:0]; + _RAND_52 = {1{`RANDOM}}; + mtdata2_t_3 = _RAND_52[31:0]; + _RAND_53 = {1{`RANDOM}}; + mhpme3 = _RAND_53[9:0]; + _RAND_54 = {1{`RANDOM}}; + mhpme4 = _RAND_54[9:0]; + _RAND_55 = {1{`RANDOM}}; + mhpme5 = _RAND_55[9:0]; + _RAND_56 = {1{`RANDOM}}; + mhpme6 = _RAND_56[9:0]; + _RAND_57 = {1{`RANDOM}}; + mhpmc_inc_r_d1_0 = _RAND_57[0:0]; + _RAND_58 = {1{`RANDOM}}; + mhpmc_inc_r_d1_1 = _RAND_58[0:0]; + _RAND_59 = {1{`RANDOM}}; + mhpmc_inc_r_d1_2 = _RAND_59[0:0]; + _RAND_60 = {1{`RANDOM}}; + mhpmc_inc_r_d1_3 = _RAND_60[0:0]; + _RAND_61 = {1{`RANDOM}}; + perfcnt_halted_d1 = _RAND_61[0:0]; + _RAND_62 = {1{`RANDOM}}; + mhpmc3h = _RAND_62[31:0]; + _RAND_63 = {1{`RANDOM}}; + mhpmc3 = _RAND_63[31:0]; + _RAND_64 = {1{`RANDOM}}; + mhpmc4h = _RAND_64[31:0]; + _RAND_65 = {1{`RANDOM}}; + mhpmc4 = _RAND_65[31:0]; + _RAND_66 = {1{`RANDOM}}; + mhpmc5h = _RAND_66[31:0]; + _RAND_67 = {1{`RANDOM}}; + mhpmc5 = _RAND_67[31:0]; + _RAND_68 = {1{`RANDOM}}; + mhpmc6h = _RAND_68[31:0]; + _RAND_69 = {1{`RANDOM}}; + mhpmc6 = _RAND_69[31:0]; + _RAND_70 = {1{`RANDOM}}; + _T_2331 = _RAND_70[0:0]; + _RAND_71 = {1{`RANDOM}}; + _T_2336 = _RAND_71[0:0]; + _RAND_72 = {1{`RANDOM}}; + _T_2337 = _RAND_72[4:0]; + _RAND_73 = {1{`RANDOM}}; + _T_2338 = _RAND_73[0:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + mpmc_b = 1'h0; + end + if (reset) begin + _T_56 = 2'h0; + end + if (reset) begin + _T_62 = 31'h0; + end + if (reset) begin + mdccmect = 32'h0; + end + if (reset) begin + miccmect = 32'h0; + end + if (reset) begin + micect = 32'h0; + end + if (reset) begin + _T_68 = 6'h0; + end + if (reset) begin + mie = 6'h0; + end + if (reset) begin + temp_ncount6_2 = 5'h0; + end + if (reset) begin + temp_ncount0 = 1'h0; + end + if (reset) begin + mcyclel = 32'h0; + end + if (reset) begin + mcyclel_cout_f = 1'h0; + end + if (reset) begin + mcycleh = 32'h0; + end + if (reset) begin + minstretl = 32'h0; + end + if (reset) begin + minstret_enable_f = 1'h0; + end + if (reset) begin + minstretl_cout_f = 1'h0; + end + if (reset) begin + minstreth = 32'h0; + end + if (reset) begin + mscratch = 32'h0; + end + if (reset) begin + _T_167 = 31'h0; + end + if (reset) begin + pc_r_d1 = 31'h0; + end + if (reset) begin + _T_196 = 31'h0; + end + if (reset) begin + mcause = 32'h0; + end + if (reset) begin + mscause = 4'h0; + end + if (reset) begin + mtval = 32'h0; + end + if (reset) begin + mcgc = 9'h0; + end + if (reset) begin + mfdc_int = 15'h0; + end + if (reset) begin + mrac = 32'h0; + end + if (reset) begin + mdseac = 32'h0; + end + if (reset) begin + mfdht = 6'h0; + end + if (reset) begin + mfdhs = 2'h0; + end + if (reset) begin + force_halt_ctr_f = 32'h0; + end + if (reset) begin + meivt = 22'h0; + end + if (reset) begin + meihap = 8'h0; + end + if (reset) begin + meicurpl = 4'h0; + end + if (reset) begin + meicidpl = 4'h0; + end + if (reset) begin + meipt = 4'h0; + end + if (reset) begin + _T_701 = 16'h0; + end + if (reset) begin + _T_726 = 31'h0; + end + if (reset) begin + dicawics = 17'h0; + end + if (reset) begin + dicad0 = 71'h0; + end + if (reset) begin + dicad0h = 32'h0; + end + if (reset) begin + _T_759 = 7'h0; + end + if (reset) begin + icache_rd_valid_f = 1'h0; + end + if (reset) begin + icache_wr_valid_f = 1'h0; + end + if (reset) begin + mtsel = 2'h0; + end + if (reset) begin + _T_873 = 10'h0; + end + if (reset) begin + _T_874 = 10'h0; + end + if (reset) begin + _T_875 = 10'h0; + end + if (reset) begin + _T_876 = 10'h0; + end + if (reset) begin + mtdata2_t_0 = 32'h0; + end + if (reset) begin + mtdata2_t_1 = 32'h0; + end + if (reset) begin + mtdata2_t_2 = 32'h0; + end + if (reset) begin + mtdata2_t_3 = 32'h0; + end + if (reset) begin + mhpme3 = 10'h0; + end + if (reset) begin + mhpme4 = 10'h0; + end + if (reset) begin + mhpme5 = 10'h0; + end + if (reset) begin + mhpme6 = 10'h0; + end + if (reset) begin + mhpmc_inc_r_d1_0 = 1'h0; + end + if (reset) begin + mhpmc_inc_r_d1_1 = 1'h0; + end + if (reset) begin + mhpmc_inc_r_d1_2 = 1'h0; + end + if (reset) begin + mhpmc_inc_r_d1_3 = 1'h0; + end + if (reset) begin + perfcnt_halted_d1 = 1'h0; + end + if (reset) begin + mhpmc3h = 32'h0; + end + if (reset) begin + mhpmc3 = 32'h0; + end + if (reset) begin + mhpmc4h = 32'h0; + end + if (reset) begin + mhpmc4 = 32'h0; + end + if (reset) begin + mhpmc5h = 32'h0; + end + if (reset) begin + mhpmc5 = 32'h0; + end + if (reset) begin + mhpmc6h = 32'h0; + end + if (reset) begin + mhpmc6 = 32'h0; + end + if (reset) begin + _T_2331 = 1'h0; + end + if (reset) begin + _T_2336 = 1'h0; + end + if (reset) begin + _T_2337 = 5'h0; + end + if (reset) begin + _T_2338 = 1'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge io_csr_wr_clk or posedge reset) begin + if (reset) begin + mpmc_b <= 1'h0; + end else if (wr_mpmc_r) begin + mpmc_b <= _T_510; + end else begin + mpmc_b <= _T_511; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_56 <= 2'h0; + end else begin + _T_56 <= _T_48 | _T_44; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + _T_62 <= 31'h0; + end else begin + _T_62 <= {io_dec_csr_wrdata_r[31:2],io_dec_csr_wrdata_r[0]}; + end + end + always @(posedge rvclkhdr_14_io_l1clk or posedge reset) begin + if (reset) begin + mdccmect <= 32'h0; + end else if (wr_mdccmect_r) begin + mdccmect <= _T_525; + end else begin + mdccmect <= _T_569; + end + end + always @(posedge rvclkhdr_13_io_l1clk or posedge reset) begin + if (reset) begin + miccmect <= 32'h0; + end else if (wr_miccmect_r) begin + miccmect <= _T_525; + end else begin + miccmect <= _T_548; + end + end + always @(posedge rvclkhdr_12_io_l1clk or posedge reset) begin + if (reset) begin + micect <= 32'h0; + end else if (wr_micect_r) begin + micect <= _T_525; + end else begin + micect <= _T_527; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_68 <= 6'h0; + end else begin + _T_68 <= {_T_67,_T_65}; + end + end + always @(posedge io_csr_wr_clk or posedge reset) begin + if (reset) begin + mie <= 6'h0; + end else begin + mie <= io_mie_ns; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + temp_ncount6_2 <= 5'h0; + end else if (wr_mcountinhibit_r) begin + temp_ncount6_2 <= io_dec_csr_wrdata_r[6:2]; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + temp_ncount0 <= 1'h0; + end else if (wr_mcountinhibit_r) begin + temp_ncount0 <= io_dec_csr_wrdata_r[0]; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + mcyclel <= 32'h0; + end else if (wr_mcyclel_r) begin + mcyclel <= io_dec_csr_wrdata_r; + end else begin + mcyclel <= mcyclel_inc[31:0]; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + mcyclel_cout_f <= 1'h0; + end else begin + mcyclel_cout_f <= mcyclel_cout & _T_98; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + mcycleh <= 32'h0; + end else if (wr_mcycleh_r) begin + mcycleh <= io_dec_csr_wrdata_r; + end else begin + mcycleh <= mcycleh_inc; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + minstretl <= 32'h0; + end else if (wr_minstretl_r) begin + minstretl <= io_dec_csr_wrdata_r; + end else begin + minstretl <= minstretl_inc[31:0]; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + minstret_enable_f <= 1'h0; + end else begin + minstret_enable_f <= i0_valid_no_ebreak_ecall_r | wr_minstretl_r; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + minstretl_cout_f <= 1'h0; + end else begin + minstretl_cout_f <= minstretl_cout & _T_125; + end + end + always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + if (reset) begin + minstreth <= 32'h0; + end else if (wr_minstreth_r) begin + minstreth <= io_dec_csr_wrdata_r; + end else begin + minstreth <= minstreth_inc; + end + end + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + if (reset) begin + mscratch <= 32'h0; + end else begin + mscratch <= io_dec_csr_wrdata_r; + end + end + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + if (reset) begin + _T_167 <= 31'h0; + end else begin + _T_167 <= io_npc_r; + end + end + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + if (reset) begin + pc_r_d1 <= 31'h0; + end else begin + pc_r_d1 <= _T_171 | _T_172; + end + end + always @(posedge io_e4e5_int_clk or posedge reset) begin + if (reset) begin + _T_196 <= 31'h0; + end else begin + _T_196 <= _T_194 | _T_192; + end + end + always @(posedge io_e4e5_int_clk or posedge reset) begin + if (reset) begin + mcause <= 32'h0; + end else begin + mcause <= _T_234 | _T_230; + end + end + always @(posedge io_e4e5_int_clk or posedge reset) begin + if (reset) begin + mscause <= 4'h0; + end else begin + mscause <= _T_264 | _T_263; + end + end + always @(posedge io_e4e5_int_clk or posedge reset) begin + if (reset) begin + mtval <= 32'h0; + end else begin + mtval <= _T_321 | _T_317; + end + end + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin + if (reset) begin + mcgc <= 9'h0; + end else begin + mcgc <= io_dec_csr_wrdata_r[8:0]; + end + end + always @(posedge rvclkhdr_9_io_l1clk or posedge reset) begin + if (reset) begin + mfdc_int <= 15'h0; + end else begin + mfdc_int <= {_T_347,_T_346}; + end + end + always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin + if (reset) begin + mrac <= 32'h0; + end else begin + mrac <= {_T_484,_T_469}; + end + end + always @(posedge rvclkhdr_11_io_l1clk or posedge reset) begin + if (reset) begin + mdseac <= 32'h0; + end else begin + mdseac <= io_lsu_imprecise_error_addr_any; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + mfdht <= 6'h0; + end else if (wr_mfdht_r) begin + mfdht <= io_dec_csr_wrdata_r[5:0]; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + mfdhs <= 2'h0; + end else if (_T_595) begin + if (wr_mfdhs_r) begin + mfdhs <= io_dec_csr_wrdata_r[1:0]; + end else if (_T_589) begin + mfdhs <= _T_593; + end + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + force_halt_ctr_f <= 32'h0; + end else if (mfdht[0]) begin + if (io_debug_halt_req_f) begin + force_halt_ctr_f <= _T_600; + end else if (io_dbg_tlu_halted_f) begin + force_halt_ctr_f <= 32'h0; + end + end + end + always @(posedge rvclkhdr_15_io_l1clk or posedge reset) begin + if (reset) begin + meivt <= 22'h0; + end else begin + meivt <= io_dec_csr_wrdata_r[31:10]; + end + end + always @(posedge rvclkhdr_16_io_l1clk or posedge reset) begin + if (reset) begin + meihap <= 8'h0; + end else begin + meihap <= io_pic_claimid; + end + end + always @(posedge io_csr_wr_clk or posedge reset) begin + if (reset) begin + meicurpl <= 4'h0; + end else if (wr_meicurpl_r) begin + meicurpl <= io_dec_csr_wrdata_r[3:0]; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + meicidpl <= 4'h0; + end else if (wr_meicpct_r) begin + meicidpl <= io_pic_pl; + end else if (wr_meicidpl_r) begin + meicidpl <= io_dec_csr_wrdata_r[3:0]; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + meipt <= 4'h0; + end else if (wr_meipt_r) begin + meipt <= io_dec_csr_wrdata_r[3:0]; + end + end + always @(posedge rvclkhdr_17_io_l1clk or posedge reset) begin + if (reset) begin + _T_701 <= 16'h0; + end else if (enter_debug_halt_req_le) begin + _T_701 <= _T_675; + end else if (wr_dcsr_r) begin + _T_701 <= _T_690; + end else begin + _T_701 <= _T_695; + end + end + always @(posedge rvclkhdr_18_io_l1clk or posedge reset) begin + if (reset) begin + _T_726 <= 31'h0; + end else begin + _T_726 <= _T_721 | _T_720; + end + end + always @(posedge rvclkhdr_19_io_l1clk or posedge reset) begin + if (reset) begin + dicawics <= 17'h0; + end else begin + dicawics <= {_T_730,io_dec_csr_wrdata_r[16:3]}; + end + end + always @(posedge rvclkhdr_20_io_l1clk or posedge reset) begin + if (reset) begin + dicad0 <= 71'h0; + end else if (wr_dicad0_r) begin + dicad0 <= {{39'd0}, io_dec_csr_wrdata_r}; + end else begin + dicad0 <= io_ifu_ic_debug_rd_data; + end + end + always @(posedge rvclkhdr_21_io_l1clk or posedge reset) begin + if (reset) begin + dicad0h <= 32'h0; + end else if (wr_dicad0h_r) begin + dicad0h <= io_dec_csr_wrdata_r; + end else begin + dicad0h <= io_ifu_ic_debug_rd_data[63:32]; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + _T_759 <= 7'h0; + end else if (_T_757) begin + if (_T_752) begin + _T_759 <= io_dec_csr_wrdata_r[6:0]; + end else begin + _T_759 <= io_ifu_ic_debug_rd_data[70:64]; + end + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + icache_rd_valid_f <= 1'h0; + end else begin + icache_rd_valid_f <= _T_769 & _T_771; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + icache_wr_valid_f <= 1'h0; + end else begin + icache_wr_valid_f <= _T_663 & _T_774; + end + end + always @(posedge io_csr_wr_clk or posedge reset) begin + if (reset) begin + mtsel <= 2'h0; + end else if (wr_mtsel_r) begin + mtsel <= io_dec_csr_wrdata_r[1:0]; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + _T_873 <= 10'h0; + end else if (wr_mtdata1_t_r_0) begin + _T_873 <= tdata_wrdata_r; + end else begin + _T_873 <= _T_844; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + _T_874 <= 10'h0; + end else if (wr_mtdata1_t_r_1) begin + _T_874 <= tdata_wrdata_r; + end else begin + _T_874 <= _T_853; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + _T_875 <= 10'h0; + end else if (wr_mtdata1_t_r_2) begin + _T_875 <= tdata_wrdata_r; + end else begin + _T_875 <= _T_862; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + _T_876 <= 10'h0; + end else if (wr_mtdata1_t_r_3) begin + _T_876 <= tdata_wrdata_r; + end else begin + _T_876 <= _T_871; + end + end + always @(posedge rvclkhdr_22_io_l1clk or posedge reset) begin + if (reset) begin + mtdata2_t_0 <= 32'h0; + end else begin + mtdata2_t_0 <= io_dec_csr_wrdata_r; + end + end + always @(posedge rvclkhdr_23_io_l1clk or posedge reset) begin + if (reset) begin + mtdata2_t_1 <= 32'h0; + end else begin + mtdata2_t_1 <= io_dec_csr_wrdata_r; + end + end + always @(posedge rvclkhdr_24_io_l1clk or posedge reset) begin + if (reset) begin + mtdata2_t_2 <= 32'h0; + end else begin + mtdata2_t_2 <= io_dec_csr_wrdata_r; + end + end + always @(posedge rvclkhdr_25_io_l1clk or posedge reset) begin + if (reset) begin + mtdata2_t_3 <= 32'h0; + end else begin + mtdata2_t_3 <= io_dec_csr_wrdata_r; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + mhpme3 <= 10'h0; + end else if (wr_mhpme3_r) begin + if (_T_2293) begin + mhpme3 <= 10'h204; + end else begin + mhpme3 <= io_dec_csr_wrdata_r[9:0]; + end + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + mhpme4 <= 10'h0; + end else if (wr_mhpme4_r) begin + if (_T_2293) begin + mhpme4 <= 10'h204; + end else begin + mhpme4 <= io_dec_csr_wrdata_r[9:0]; + end + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + mhpme5 <= 10'h0; + end else if (wr_mhpme5_r) begin + if (_T_2293) begin + mhpme5 <= 10'h204; + end else begin + mhpme5 <= io_dec_csr_wrdata_r[9:0]; + end + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + mhpme6 <= 10'h0; + end else if (wr_mhpme6_r) begin + if (_T_2293) begin + mhpme6 <= 10'h204; + end else begin + mhpme6 <= io_dec_csr_wrdata_r[9:0]; + end + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + mhpmc_inc_r_d1_0 <= 1'h0; + end else begin + mhpmc_inc_r_d1_0 <= _T_1026 & _T_1306; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + mhpmc_inc_r_d1_1 <= 1'h0; + end else begin + mhpmc_inc_r_d1_1 <= _T_1310 & _T_1590; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + mhpmc_inc_r_d1_2 <= 1'h0; + end else begin + mhpmc_inc_r_d1_2 <= _T_1594 & _T_1874; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + mhpmc_inc_r_d1_3 <= 1'h0; + end else begin + mhpmc_inc_r_d1_3 <= _T_1878 & _T_2158; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + perfcnt_halted_d1 <= 1'h0; + end else begin + perfcnt_halted_d1 <= _T_85 | io_dec_tlu_pmu_fw_halted; + end + end + always @(posedge rvclkhdr_27_io_l1clk or posedge reset) begin + if (reset) begin + mhpmc3h <= 32'h0; + end else if (mhpmc3h_wr_en0) begin + mhpmc3h <= io_dec_csr_wrdata_r; + end else begin + mhpmc3h <= mhpmc3_incr[63:32]; + end + end + always @(posedge rvclkhdr_26_io_l1clk or posedge reset) begin + if (reset) begin + mhpmc3 <= 32'h0; + end else if (mhpmc3_wr_en0) begin + mhpmc3 <= io_dec_csr_wrdata_r; + end else begin + mhpmc3 <= mhpmc3_incr[31:0]; + end + end + always @(posedge rvclkhdr_29_io_l1clk or posedge reset) begin + if (reset) begin + mhpmc4h <= 32'h0; + end else if (mhpmc4h_wr_en0) begin + mhpmc4h <= io_dec_csr_wrdata_r; + end else begin + mhpmc4h <= mhpmc4_incr[63:32]; + end + end + always @(posedge rvclkhdr_28_io_l1clk or posedge reset) begin + if (reset) begin + mhpmc4 <= 32'h0; + end else if (mhpmc4_wr_en0) begin + mhpmc4 <= io_dec_csr_wrdata_r; + end else begin + mhpmc4 <= mhpmc4_incr[31:0]; + end + end + always @(posedge rvclkhdr_31_io_l1clk or posedge reset) begin + if (reset) begin + mhpmc5h <= 32'h0; + end else if (mhpmc5h_wr_en0) begin + mhpmc5h <= io_dec_csr_wrdata_r; + end else begin + mhpmc5h <= mhpmc5_incr[63:32]; + end + end + always @(posedge rvclkhdr_30_io_l1clk or posedge reset) begin + if (reset) begin + mhpmc5 <= 32'h0; + end else if (mhpmc5_wr_en0) begin + mhpmc5 <= io_dec_csr_wrdata_r; + end else begin + mhpmc5 <= mhpmc5_incr[31:0]; + end + end + always @(posedge rvclkhdr_33_io_l1clk or posedge reset) begin + if (reset) begin + mhpmc6h <= 32'h0; + end else if (mhpmc6h_wr_en0) begin + mhpmc6h <= io_dec_csr_wrdata_r; + end else begin + mhpmc6h <= mhpmc6_incr[63:32]; + end + end + always @(posedge rvclkhdr_32_io_l1clk or posedge reset) begin + if (reset) begin + mhpmc6 <= 32'h0; + end else if (mhpmc6_wr_en0) begin + mhpmc6 <= io_dec_csr_wrdata_r; + end else begin + mhpmc6 <= mhpmc6_incr[31:0]; + end + end + always @(posedge rvclkhdr_34_io_l1clk or posedge reset) begin + if (reset) begin + _T_2331 <= 1'h0; + end else begin + _T_2331 <= io_i0_valid_wb; + end + end + always @(posedge rvclkhdr_34_io_l1clk or posedge reset) begin + if (reset) begin + _T_2336 <= 1'h0; + end else begin + _T_2336 <= _T_2332 | _T_2334; + end + end + always @(posedge rvclkhdr_34_io_l1clk or posedge reset) begin + if (reset) begin + _T_2337 <= 5'h0; + end else begin + _T_2337 <= io_exc_cause_wb; + end + end + always @(posedge rvclkhdr_34_io_l1clk or posedge reset) begin + if (reset) begin + _T_2338 <= 1'h0; + end else begin + _T_2338 <= io_interrupt_valid_r_d1; + end + end +endmodule +module dec_decode_csr_read( + input [11:0] io_dec_csr_rdaddr_d, + output io_csr_pkt_csr_misa, + output io_csr_pkt_csr_mvendorid, + output io_csr_pkt_csr_marchid, + output io_csr_pkt_csr_mimpid, + output io_csr_pkt_csr_mhartid, + output io_csr_pkt_csr_mstatus, + output io_csr_pkt_csr_mtvec, + output io_csr_pkt_csr_mip, + output io_csr_pkt_csr_mie, + output io_csr_pkt_csr_mcyclel, + output io_csr_pkt_csr_mcycleh, + output io_csr_pkt_csr_minstretl, + output io_csr_pkt_csr_minstreth, + output io_csr_pkt_csr_mscratch, + output io_csr_pkt_csr_mepc, + output io_csr_pkt_csr_mcause, + output io_csr_pkt_csr_mscause, + output io_csr_pkt_csr_mtval, + output io_csr_pkt_csr_mrac, + output io_csr_pkt_csr_dmst, + output io_csr_pkt_csr_mdseac, + output io_csr_pkt_csr_meihap, + output io_csr_pkt_csr_meivt, + output io_csr_pkt_csr_meipt, + output io_csr_pkt_csr_meicurpl, + output io_csr_pkt_csr_meicidpl, + output io_csr_pkt_csr_dcsr, + output io_csr_pkt_csr_mcgc, + output io_csr_pkt_csr_mfdc, + output io_csr_pkt_csr_dpc, + output io_csr_pkt_csr_mtsel, + output io_csr_pkt_csr_mtdata1, + output io_csr_pkt_csr_mtdata2, + output io_csr_pkt_csr_mhpmc3, + output io_csr_pkt_csr_mhpmc4, + output io_csr_pkt_csr_mhpmc5, + output io_csr_pkt_csr_mhpmc6, + output io_csr_pkt_csr_mhpmc3h, + output io_csr_pkt_csr_mhpmc4h, + output io_csr_pkt_csr_mhpmc5h, + output io_csr_pkt_csr_mhpmc6h, + output io_csr_pkt_csr_mhpme3, + output io_csr_pkt_csr_mhpme4, + output io_csr_pkt_csr_mhpme5, + output io_csr_pkt_csr_mhpme6, + output io_csr_pkt_csr_mcountinhibit, + output io_csr_pkt_csr_mitctl0, + output io_csr_pkt_csr_mitctl1, + output io_csr_pkt_csr_mitb0, + output io_csr_pkt_csr_mitb1, + output io_csr_pkt_csr_mitcnt0, + output io_csr_pkt_csr_mitcnt1, + output io_csr_pkt_csr_mpmc, + output io_csr_pkt_csr_meicpct, + output io_csr_pkt_csr_micect, + output io_csr_pkt_csr_miccmect, + output io_csr_pkt_csr_mdccmect, + output io_csr_pkt_csr_mfdht, + output io_csr_pkt_csr_mfdhs, + output io_csr_pkt_csr_dicawics, + output io_csr_pkt_csr_dicad0h, + output io_csr_pkt_csr_dicad0, + output io_csr_pkt_csr_dicad1, + output io_csr_pkt_csr_dicago, + output io_csr_pkt_presync, + output io_csr_pkt_postsync, + output io_csr_pkt_legal +); + wire _T_1 = ~io_dec_csr_rdaddr_d[11]; // @[dec_tlu_ctl.scala 2568:129] + wire _T_3 = ~io_dec_csr_rdaddr_d[6]; // @[dec_tlu_ctl.scala 2568:129] + wire _T_5 = ~io_dec_csr_rdaddr_d[5]; // @[dec_tlu_ctl.scala 2568:129] + wire _T_7 = ~io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2568:129] + wire _T_9 = _T_1 & _T_3; // @[dec_tlu_ctl.scala 2568:198] + wire _T_10 = _T_9 & _T_5; // @[dec_tlu_ctl.scala 2568:198] + wire _T_11 = _T_10 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_15 = ~io_dec_csr_rdaddr_d[7]; // @[dec_tlu_ctl.scala 2568:129] + wire _T_17 = ~io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:129] + wire _T_19 = io_dec_csr_rdaddr_d[10] & _T_15; // @[dec_tlu_ctl.scala 2568:198] + wire _T_20 = _T_19 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_27 = ~io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2568:165] + wire _T_29 = _T_19 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_36 = io_dec_csr_rdaddr_d[10] & _T_3; // @[dec_tlu_ctl.scala 2568:198] + wire _T_37 = _T_36 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_69 = _T_10 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_70 = _T_69 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_75 = _T_15 & io_dec_csr_rdaddr_d[6]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_94 = ~io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2568:129] + wire _T_96 = ~io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2568:129] + wire _T_101 = io_dec_csr_rdaddr_d[11] & _T_15; // @[dec_tlu_ctl.scala 2568:198] + wire _T_102 = _T_101 & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_103 = _T_102 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_104 = _T_103 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_119 = io_dec_csr_rdaddr_d[7] & _T_3; // @[dec_tlu_ctl.scala 2568:198] + wire _T_120 = _T_119 & _T_5; // @[dec_tlu_ctl.scala 2568:198] + wire _T_121 = _T_120 & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_122 = _T_121 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_123 = _T_122 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_138 = _T_15 & _T_3; // @[dec_tlu_ctl.scala 2568:198] + wire _T_139 = _T_138 & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_140 = _T_139 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_141 = _T_140 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_142 = _T_141 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_145 = ~io_dec_csr_rdaddr_d[10]; // @[dec_tlu_ctl.scala 2568:129] + wire _T_156 = _T_145 & io_dec_csr_rdaddr_d[7]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_157 = _T_156 & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_158 = _T_157 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_159 = _T_158 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_160 = _T_159 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_172 = _T_75 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_173 = _T_172 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_182 = _T_75 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_183 = _T_182 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_191 = _T_75 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_196 = io_dec_csr_rdaddr_d[6] & io_dec_csr_rdaddr_d[5]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_217 = _T_1 & io_dec_csr_rdaddr_d[7]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_218 = _T_217 & _T_5; // @[dec_tlu_ctl.scala 2568:198] + wire _T_219 = _T_218 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_220 = _T_219 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_230 = io_dec_csr_rdaddr_d[10] & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_231 = _T_230 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_232 = _T_231 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_240 = io_dec_csr_rdaddr_d[11] & io_dec_csr_rdaddr_d[10]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_241 = _T_240 & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_258 = _T_145 & io_dec_csr_rdaddr_d[6]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_259 = _T_258 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_260 = _T_259 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_261 = _T_260 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_268 = io_dec_csr_rdaddr_d[11] & io_dec_csr_rdaddr_d[6]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_269 = _T_268 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_281 = _T_268 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_291 = _T_36 & io_dec_csr_rdaddr_d[5]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_292 = _T_291 & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_299 = io_dec_csr_rdaddr_d[10] & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_300 = _T_299 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_310 = _T_300 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_311 = _T_310 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_330 = io_dec_csr_rdaddr_d[10] & io_dec_csr_rdaddr_d[5]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_331 = _T_330 & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_332 = _T_331 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_342 = _T_231 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_381 = _T_103 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_382 = _T_381 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_397 = _T_103 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_411 = _T_15 & _T_5; // @[dec_tlu_ctl.scala 2568:198] + wire _T_412 = _T_411 & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_413 = _T_412 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_414 = _T_413 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_415 = _T_414 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_426 = io_dec_csr_rdaddr_d[7] & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_427 = _T_426 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_428 = _T_427 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_429 = _T_428 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_444 = _T_119 & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_445 = _T_444 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_446 = _T_445 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_447 = _T_446 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_460 = _T_427 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_461 = _T_460 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_478 = _T_446 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_490 = _T_15 & io_dec_csr_rdaddr_d[5]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_491 = _T_490 & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_492 = _T_491 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_493 = _T_492 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_505 = io_dec_csr_rdaddr_d[5] & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_506 = _T_505 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_507 = _T_506 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_508 = _T_507 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_536 = _T_507 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_553 = _T_493 & _T_27; // @[dec_tlu_ctl.scala 2568:198] + wire _T_562 = io_dec_csr_rdaddr_d[6] & _T_5; // @[dec_tlu_ctl.scala 2568:198] + wire _T_563 = _T_562 & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_564 = _T_563 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_572 = io_dec_csr_rdaddr_d[6] & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_573 = _T_572 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_574 = _T_573 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_585 = _T_563 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_593 = io_dec_csr_rdaddr_d[6] & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_594 = _T_593 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_595 = _T_594 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_614 = io_dec_csr_rdaddr_d[6] & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_615 = _T_614 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_624 = io_dec_csr_rdaddr_d[6] & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_625 = _T_624 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_626 = _T_625 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_668 = _T_196 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_669 = _T_668 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_685 = _T_196 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_693 = io_dec_csr_rdaddr_d[6] & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_694 = _T_693 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_695 = _T_694 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_703 = _T_624 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_716 = _T_1 & _T_5; // @[dec_tlu_ctl.scala 2568:198] + wire _T_717 = _T_716 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_718 = _T_717 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_719 = _T_718 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_726 = io_dec_csr_rdaddr_d[10] & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_727 = _T_726 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_737 = _T_230 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_738 = _T_737 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_748 = _T_726 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_749 = _T_748 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_787 = _T_311 | _T_553; // @[dec_tlu_ctl.scala 2636:81] + wire _T_799 = _T_3 & _T_5; // @[dec_tlu_ctl.scala 2568:198] + wire _T_800 = _T_799 & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_801 = _T_800 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_802 = _T_801 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_803 = _T_802 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_804 = _T_787 | _T_803; // @[dec_tlu_ctl.scala 2636:121] + wire _T_813 = io_dec_csr_rdaddr_d[11] & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_814 = _T_813 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_815 = _T_814 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_816 = _T_815 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_817 = _T_804 | _T_816; // @[dec_tlu_ctl.scala 2636:155] + wire _T_828 = _T_814 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_829 = _T_828 & _T_27; // @[dec_tlu_ctl.scala 2568:198] + wire _T_830 = _T_817 | _T_829; // @[dec_tlu_ctl.scala 2637:97] + wire _T_841 = io_dec_csr_rdaddr_d[7] & _T_5; // @[dec_tlu_ctl.scala 2568:198] + wire _T_842 = _T_841 & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_843 = _T_842 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_844 = _T_843 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_845 = _T_844 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_869 = _T_311 | _T_70; // @[dec_tlu_ctl.scala 2638:81] + wire _T_879 = _T_869 | _T_183; // @[dec_tlu_ctl.scala 2638:121] + wire _T_889 = _T_879 | _T_342; // @[dec_tlu_ctl.scala 2638:162] + wire _T_904 = _T_1 & _T_15; // @[dec_tlu_ctl.scala 2568:198] + wire _T_905 = _T_904 & _T_3; // @[dec_tlu_ctl.scala 2568:198] + wire _T_906 = _T_905 & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_907 = _T_906 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_908 = _T_907 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_909 = _T_908 & _T_27; // @[dec_tlu_ctl.scala 2568:198] + wire _T_910 = _T_889 | _T_909; // @[dec_tlu_ctl.scala 2639:105] + wire _T_922 = _T_217 & io_dec_csr_rdaddr_d[6]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_923 = _T_922 & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_924 = _T_923 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_925 = _T_924 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_926 = _T_910 | _T_925; // @[dec_tlu_ctl.scala 2639:145] + wire _T_937 = _T_231 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_938 = _T_937 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_955 = _T_1 & io_dec_csr_rdaddr_d[10]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_956 = _T_955 & io_dec_csr_rdaddr_d[9]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_957 = _T_956 & io_dec_csr_rdaddr_d[8]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_958 = _T_957 & io_dec_csr_rdaddr_d[7]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_959 = _T_958 & io_dec_csr_rdaddr_d[6]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_960 = _T_959 & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_961 = _T_960 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_962 = _T_961 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_963 = _T_962 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_964 = _T_963 & _T_27; // @[dec_tlu_ctl.scala 2568:198] + wire _T_983 = _T_1 & _T_145; // @[dec_tlu_ctl.scala 2568:198] + wire _T_984 = _T_983 & io_dec_csr_rdaddr_d[9]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_985 = _T_984 & io_dec_csr_rdaddr_d[8]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_986 = _T_985 & _T_15; // @[dec_tlu_ctl.scala 2568:198] + wire _T_987 = _T_986 & _T_3; // @[dec_tlu_ctl.scala 2568:198] + wire _T_988 = _T_987 & _T_5; // @[dec_tlu_ctl.scala 2568:198] + wire _T_989 = _T_988 & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_990 = _T_989 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_991 = _T_990 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_992 = _T_964 | _T_991; // @[dec_tlu_ctl.scala 2641:81] + wire _T_1013 = _T_987 & io_dec_csr_rdaddr_d[5]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1014 = _T_1013 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1015 = _T_1014 & _T_27; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1016 = _T_992 | _T_1015; // @[dec_tlu_ctl.scala 2641:129] + wire _T_1032 = io_dec_csr_rdaddr_d[11] & io_dec_csr_rdaddr_d[9]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1033 = _T_1032 & io_dec_csr_rdaddr_d[8]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1034 = _T_1033 & io_dec_csr_rdaddr_d[7]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1035 = _T_1034 & io_dec_csr_rdaddr_d[6]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1036 = _T_1035 & _T_5; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1037 = _T_1036 & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1038 = _T_1037 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1039 = _T_1038 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1040 = _T_1039 & _T_27; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1041 = _T_1016 | _T_1040; // @[dec_tlu_ctl.scala 2642:105] + wire _T_1053 = io_dec_csr_rdaddr_d[11] & _T_145; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1054 = _T_1053 & io_dec_csr_rdaddr_d[9]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1055 = _T_1054 & io_dec_csr_rdaddr_d[8]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1056 = _T_1055 & _T_3; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1057 = _T_1056 & _T_5; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1058 = _T_1057 & _T_27; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1059 = _T_1041 | _T_1058; // @[dec_tlu_ctl.scala 2642:153] + wire _T_1078 = _T_959 & io_dec_csr_rdaddr_d[5]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1079 = _T_1078 & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1080 = _T_1079 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1081 = _T_1080 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1082 = _T_1081 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1083 = _T_1082 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1084 = _T_1059 | _T_1083; // @[dec_tlu_ctl.scala 2643:105] + wire _T_1105 = _T_1079 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1106 = _T_1105 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1107 = _T_1084 | _T_1106; // @[dec_tlu_ctl.scala 2643:153] + wire _T_1125 = _T_1033 & _T_15; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1126 = _T_1125 & _T_3; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1127 = _T_1126 & _T_5; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1128 = _T_1127 & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1129 = _T_1128 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1130 = _T_1129 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1131 = _T_1130 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1132 = _T_1107 | _T_1131; // @[dec_tlu_ctl.scala 2644:105] + wire _T_1152 = _T_958 & _T_3; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1153 = _T_1152 & io_dec_csr_rdaddr_d[5]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1154 = _T_1153 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1155 = _T_1154 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1156 = _T_1155 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1157 = _T_1132 | _T_1156; // @[dec_tlu_ctl.scala 2644:161] + wire _T_1176 = _T_1013 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1177 = _T_1157 | _T_1176; // @[dec_tlu_ctl.scala 2645:105] + wire _T_1202 = _T_1129 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1203 = _T_1202 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1204 = _T_1203 & _T_27; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1205 = _T_1177 | _T_1204; // @[dec_tlu_ctl.scala 2645:161] + wire _T_1224 = _T_959 & _T_5; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1225 = _T_1224 & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1226 = _T_1225 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1227 = _T_1226 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1228 = _T_1205 | _T_1227; // @[dec_tlu_ctl.scala 2646:97] + wire _T_1248 = _T_1224 & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1249 = _T_1248 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1250 = _T_1249 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1251 = _T_1228 | _T_1250; // @[dec_tlu_ctl.scala 2646:153] + wire _T_1275 = _T_1130 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1276 = _T_1251 | _T_1275; // @[dec_tlu_ctl.scala 2647:105] + wire _T_1296 = _T_1013 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1297 = _T_1296 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1298 = _T_1276 | _T_1297; // @[dec_tlu_ctl.scala 2647:161] + wire _T_1315 = _T_1055 & io_dec_csr_rdaddr_d[7]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1316 = _T_1315 & _T_5; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1317 = _T_1316 & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1318 = _T_1317 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1319 = _T_1318 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1320 = _T_1298 | _T_1319; // @[dec_tlu_ctl.scala 2648:105] + wire _T_1343 = _T_1318 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1344 = _T_1343 & _T_27; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1345 = _T_1320 | _T_1344; // @[dec_tlu_ctl.scala 2648:161] + wire _T_1361 = _T_1057 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1362 = _T_1345 | _T_1361; // @[dec_tlu_ctl.scala 2649:105] + wire _T_1384 = _T_1249 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1385 = _T_1362 | _T_1384; // @[dec_tlu_ctl.scala 2649:161] + wire _T_1406 = _T_1225 & _T_27; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1407 = _T_1385 | _T_1406; // @[dec_tlu_ctl.scala 2650:105] + wire _T_1430 = _T_1226 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1431 = _T_1407 | _T_1430; // @[dec_tlu_ctl.scala 2650:161] + wire _T_1455 = _T_1153 & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1456 = _T_1455 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1457 = _T_1456 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1458 = _T_1457 & _T_27; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1459 = _T_1431 | _T_1458; // @[dec_tlu_ctl.scala 2651:105] + wire _T_1475 = _T_1057 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1476 = _T_1459 | _T_1475; // @[dec_tlu_ctl.scala 2651:153] + wire _T_1498 = _T_986 & io_dec_csr_rdaddr_d[6]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1499 = _T_1498 & _T_5; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1500 = _T_1499 & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1501 = _T_1500 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1502 = _T_1501 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1503 = _T_1476 | _T_1502; // @[dec_tlu_ctl.scala 2652:113] + wire _T_1526 = _T_986 & _T_5; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1527 = _T_1526 & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1528 = _T_1527 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1529 = _T_1528 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1530 = _T_1529 & _T_27; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1531 = _T_1503 | _T_1530; // @[dec_tlu_ctl.scala 2652:161] + wire _T_1550 = _T_1013 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1551 = _T_1531 | _T_1550; // @[dec_tlu_ctl.scala 2653:97] + wire _T_1567 = _T_1057 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1568 = _T_1551 | _T_1567; // @[dec_tlu_ctl.scala 2653:153] + wire _T_1587 = _T_1013 & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1588 = _T_1568 | _T_1587; // @[dec_tlu_ctl.scala 2654:113] + wire _T_1604 = _T_1057 & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2568:198] + assign io_csr_pkt_csr_misa = _T_11 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2570:57] + assign io_csr_pkt_csr_mvendorid = _T_20 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2571:57] + assign io_csr_pkt_csr_marchid = _T_29 & _T_27; // @[dec_tlu_ctl.scala 2572:57] + assign io_csr_pkt_csr_mimpid = _T_37 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2573:57] + assign io_csr_pkt_csr_mhartid = _T_19 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2574:57] + assign io_csr_pkt_csr_mstatus = _T_11 & _T_27; // @[dec_tlu_ctl.scala 2575:57] + assign io_csr_pkt_csr_mtvec = _T_69 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2576:57] + assign io_csr_pkt_csr_mip = _T_75 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2577:65] + assign io_csr_pkt_csr_mie = _T_69 & _T_27; // @[dec_tlu_ctl.scala 2578:65] + assign io_csr_pkt_csr_mcyclel = _T_104 & _T_17; // @[dec_tlu_ctl.scala 2579:57] + assign io_csr_pkt_csr_mcycleh = _T_123 & _T_17; // @[dec_tlu_ctl.scala 2580:57] + assign io_csr_pkt_csr_minstretl = _T_142 & _T_27; // @[dec_tlu_ctl.scala 2581:57] + assign io_csr_pkt_csr_minstreth = _T_160 & _T_27; // @[dec_tlu_ctl.scala 2582:57] + assign io_csr_pkt_csr_mscratch = _T_173 & _T_27; // @[dec_tlu_ctl.scala 2583:57] + assign io_csr_pkt_csr_mepc = _T_182 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2584:57] + assign io_csr_pkt_csr_mcause = _T_191 & _T_27; // @[dec_tlu_ctl.scala 2585:57] + assign io_csr_pkt_csr_mscause = _T_196 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2586:57] + assign io_csr_pkt_csr_mtval = _T_191 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2587:57] + assign io_csr_pkt_csr_mrac = _T_220 & _T_17; // @[dec_tlu_ctl.scala 2588:57] + assign io_csr_pkt_csr_dmst = _T_232 & _T_17; // @[dec_tlu_ctl.scala 2589:57] + assign io_csr_pkt_csr_mdseac = _T_241 & _T_96; // @[dec_tlu_ctl.scala 2590:57] + assign io_csr_pkt_csr_meihap = _T_240 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2591:57] + assign io_csr_pkt_csr_meivt = _T_261 & _T_27; // @[dec_tlu_ctl.scala 2592:57] + assign io_csr_pkt_csr_meipt = _T_269 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2593:57] + assign io_csr_pkt_csr_meicurpl = _T_268 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2594:57] + assign io_csr_pkt_csr_meicidpl = _T_281 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2595:57] + assign io_csr_pkt_csr_dcsr = _T_292 & _T_27; // @[dec_tlu_ctl.scala 2596:57] + assign io_csr_pkt_csr_mcgc = _T_300 & _T_27; // @[dec_tlu_ctl.scala 2597:57] + assign io_csr_pkt_csr_mfdc = _T_310 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2598:57] + assign io_csr_pkt_csr_dpc = _T_292 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2599:65] + assign io_csr_pkt_csr_mtsel = _T_332 & _T_27; // @[dec_tlu_ctl.scala 2600:57] + assign io_csr_pkt_csr_mtdata1 = _T_231 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2601:57] + assign io_csr_pkt_csr_mtdata2 = _T_331 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2602:57] + assign io_csr_pkt_csr_mhpmc3 = _T_104 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2603:57] + assign io_csr_pkt_csr_mhpmc4 = _T_382 & _T_27; // @[dec_tlu_ctl.scala 2604:57] + assign io_csr_pkt_csr_mhpmc5 = _T_397 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2605:57] + assign io_csr_pkt_csr_mhpmc6 = _T_415 & _T_27; // @[dec_tlu_ctl.scala 2606:57] + assign io_csr_pkt_csr_mhpmc3h = _T_429 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2607:57] + assign io_csr_pkt_csr_mhpmc4h = _T_447 & _T_27; // @[dec_tlu_ctl.scala 2608:57] + assign io_csr_pkt_csr_mhpmc5h = _T_461 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2609:57] + assign io_csr_pkt_csr_mhpmc6h = _T_478 & _T_27; // @[dec_tlu_ctl.scala 2610:57] + assign io_csr_pkt_csr_mhpme3 = _T_493 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2611:57] + assign io_csr_pkt_csr_mhpme4 = _T_508 & _T_27; // @[dec_tlu_ctl.scala 2612:57] + assign io_csr_pkt_csr_mhpme5 = _T_508 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2613:57] + assign io_csr_pkt_csr_mhpme6 = _T_536 & _T_27; // @[dec_tlu_ctl.scala 2614:57] + assign io_csr_pkt_csr_mcountinhibit = _T_493 & _T_27; // @[dec_tlu_ctl.scala 2615:49] + assign io_csr_pkt_csr_mitctl0 = _T_564 & _T_27; // @[dec_tlu_ctl.scala 2616:57] + assign io_csr_pkt_csr_mitctl1 = _T_574 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2617:57] + assign io_csr_pkt_csr_mitb0 = _T_585 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2618:57] + assign io_csr_pkt_csr_mitb1 = _T_595 & _T_27; // @[dec_tlu_ctl.scala 2619:57] + assign io_csr_pkt_csr_mitcnt0 = _T_585 & _T_27; // @[dec_tlu_ctl.scala 2620:57] + assign io_csr_pkt_csr_mitcnt1 = _T_615 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2621:57] + assign io_csr_pkt_csr_mpmc = _T_626 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2622:57] + assign io_csr_pkt_csr_meicpct = _T_281 & _T_27; // @[dec_tlu_ctl.scala 2624:57] + assign io_csr_pkt_csr_micect = _T_669 & _T_27; // @[dec_tlu_ctl.scala 2626:57] + assign io_csr_pkt_csr_miccmect = _T_668 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2627:57] + assign io_csr_pkt_csr_mdccmect = _T_685 & _T_27; // @[dec_tlu_ctl.scala 2628:57] + assign io_csr_pkt_csr_mfdht = _T_695 & _T_27; // @[dec_tlu_ctl.scala 2629:57] + assign io_csr_pkt_csr_mfdhs = _T_703 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2630:57] + assign io_csr_pkt_csr_dicawics = _T_719 & _T_27; // @[dec_tlu_ctl.scala 2631:57] + assign io_csr_pkt_csr_dicad0h = _T_727 & _T_17; // @[dec_tlu_ctl.scala 2632:57] + assign io_csr_pkt_csr_dicad0 = _T_738 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2633:57] + assign io_csr_pkt_csr_dicad1 = _T_749 & _T_27; // @[dec_tlu_ctl.scala 2634:57] + assign io_csr_pkt_csr_dicago = _T_749 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2635:57] + assign io_csr_pkt_presync = _T_830 | _T_845; // @[dec_tlu_ctl.scala 2636:34] + assign io_csr_pkt_postsync = _T_926 | _T_938; // @[dec_tlu_ctl.scala 2638:30] + assign io_csr_pkt_legal = _T_1588 | _T_1604; // @[dec_tlu_ctl.scala 2641:26] +endmodule +module dec_tlu_ctl( + input clock, + input reset, + output [29:0] io_tlu_exu_dec_tlu_meihap, + output io_tlu_exu_dec_tlu_flush_lower_r, + output [30:0] io_tlu_exu_dec_tlu_flush_path_r, + input [1:0] io_tlu_exu_exu_i0_br_hist_r, + input io_tlu_exu_exu_i0_br_error_r, + input io_tlu_exu_exu_i0_br_start_error_r, + input io_tlu_exu_exu_i0_br_valid_r, + input io_tlu_exu_exu_i0_br_mp_r, + input io_tlu_exu_exu_i0_br_middle_r, + input io_tlu_exu_exu_pmu_i0_br_misp, + input io_tlu_exu_exu_pmu_i0_br_ataken, + input io_tlu_exu_exu_pmu_i0_pc4, + input [30:0] io_tlu_exu_exu_npc_r, + input io_tlu_dma_dma_pmu_dccm_read, + input io_tlu_dma_dma_pmu_dccm_write, + input io_tlu_dma_dma_pmu_any_read, + input io_tlu_dma_dma_pmu_any_write, + output [2:0] io_tlu_dma_dec_tlu_dma_qos_prty, + input io_tlu_dma_dma_dccm_stall_any, + input io_tlu_dma_dma_iccm_stall_any, + input io_active_clk, + input io_free_clk, + input io_scan_mode, + input [30:0] io_rst_vec, + input io_nmi_int, + input [30:0] io_nmi_vec, + input io_i_cpu_halt_req, + input io_i_cpu_run_req, + input io_lsu_fastint_stall_any, + input io_lsu_idle_any, + input io_dec_pmu_instr_decoded, + input io_dec_pmu_decode_stall, + input io_dec_pmu_presync_stall, + input io_dec_pmu_postsync_stall, + input io_lsu_store_stall_any, + input [30:0] io_lsu_fir_addr, + input [1:0] io_lsu_fir_error, + input io_iccm_dma_sb_error, + input io_lsu_error_pkt_r_valid, + input io_lsu_error_pkt_r_bits_single_ecc_error, + input io_lsu_error_pkt_r_bits_inst_type, + input io_lsu_error_pkt_r_bits_exc_type, + input [3:0] io_lsu_error_pkt_r_bits_mscause, + input [31:0] io_lsu_error_pkt_r_bits_addr, + input io_lsu_single_ecc_error_incr, + input io_dec_pause_state, + input io_dec_csr_wen_unq_d, + input io_dec_csr_any_unq_d, + input [11:0] io_dec_csr_rdaddr_d, + input io_dec_csr_wen_r, + input [11:0] io_dec_csr_wraddr_r, + input [31:0] io_dec_csr_wrdata_r, + input io_dec_csr_stall_int_ff, + input io_dec_tlu_i0_valid_r, + input [30:0] io_dec_tlu_i0_pc_r, + input io_dec_tlu_packet_r_legal, + input io_dec_tlu_packet_r_icaf, + input io_dec_tlu_packet_r_icaf_f1, + input [1:0] io_dec_tlu_packet_r_icaf_type, + input io_dec_tlu_packet_r_fence_i, + input [3:0] io_dec_tlu_packet_r_i0trigger, + input [3:0] io_dec_tlu_packet_r_pmu_i0_itype, + input io_dec_tlu_packet_r_pmu_i0_br_unpred, + input io_dec_tlu_packet_r_pmu_divide, + input io_dec_tlu_packet_r_pmu_lsu_misaligned, + input [31:0] io_dec_illegal_inst, + input io_dec_i0_decode_d, + input io_exu_i0_br_way_r, + output io_dec_dbg_cmd_done, + output io_dec_dbg_cmd_fail, + output io_dec_tlu_dbg_halted, + output io_dec_tlu_debug_mode, + output io_dec_tlu_resume_ack, + output io_dec_tlu_debug_stall, + output io_dec_tlu_mpc_halted_only, + output io_dec_tlu_flush_extint, + input io_dbg_halt_req, + input io_dbg_resume_req, + input io_dec_div_active, + output io_trigger_pkt_any_0_select, + output io_trigger_pkt_any_0_match_pkt, + output io_trigger_pkt_any_0_store, + output io_trigger_pkt_any_0_load, + output io_trigger_pkt_any_0_execute, + output io_trigger_pkt_any_0_m, + output [31:0] io_trigger_pkt_any_0_tdata2, + output io_trigger_pkt_any_1_select, + output io_trigger_pkt_any_1_match_pkt, + output io_trigger_pkt_any_1_store, + output io_trigger_pkt_any_1_load, + output io_trigger_pkt_any_1_execute, + output io_trigger_pkt_any_1_m, + output [31:0] io_trigger_pkt_any_1_tdata2, + output io_trigger_pkt_any_2_select, + output io_trigger_pkt_any_2_match_pkt, + output io_trigger_pkt_any_2_store, + output io_trigger_pkt_any_2_load, + output io_trigger_pkt_any_2_execute, + output io_trigger_pkt_any_2_m, + output [31:0] io_trigger_pkt_any_2_tdata2, + output io_trigger_pkt_any_3_select, + output io_trigger_pkt_any_3_match_pkt, + output io_trigger_pkt_any_3_store, + output io_trigger_pkt_any_3_load, + output io_trigger_pkt_any_3_execute, + output io_trigger_pkt_any_3_m, + output [31:0] io_trigger_pkt_any_3_tdata2, + input io_timer_int, + input io_soft_int, + output io_o_cpu_halt_status, + output io_o_cpu_halt_ack, + output io_o_cpu_run_ack, + output io_o_debug_mode_status, + input [27:0] io_core_id, + input io_mpc_debug_halt_req, + input io_mpc_debug_run_req, + input io_mpc_reset_run_req, + output io_mpc_debug_halt_ack, + output io_mpc_debug_run_ack, + output io_debug_brkpt_status, + output [31:0] io_dec_csr_rddata_d, + output io_dec_csr_legal_d, + output io_dec_tlu_i0_kill_writeb_wb, + output io_dec_tlu_i0_kill_writeb_r, + output io_dec_tlu_wr_pause_r, + output io_dec_tlu_flush_pause_r, + output io_dec_tlu_presync_d, + output io_dec_tlu_postsync_d, + output io_dec_tlu_perfcnt0, + output io_dec_tlu_perfcnt1, + output io_dec_tlu_perfcnt2, + output io_dec_tlu_perfcnt3, + output io_dec_tlu_i0_exc_valid_wb1, + output io_dec_tlu_i0_valid_wb1, + output io_dec_tlu_int_valid_wb1, + output [4:0] io_dec_tlu_exc_cause_wb1, + output [31:0] io_dec_tlu_mtval_wb1, + output io_dec_tlu_pipelining_disable, + output io_dec_tlu_misc_clk_override, + output io_dec_tlu_dec_clk_override, + output io_dec_tlu_ifu_clk_override, + output io_dec_tlu_lsu_clk_override, + output io_dec_tlu_bus_clk_override, + output io_dec_tlu_pic_clk_override, + output io_dec_tlu_dccm_clk_override, + output io_dec_tlu_icm_clk_override, + output io_dec_tlu_flush_lower_wb, + input io_ifu_pmu_instr_aligned, + output io_tlu_bp_dec_tlu_br0_r_pkt_valid, + output [1:0] io_tlu_bp_dec_tlu_br0_r_pkt_bits_hist, + output io_tlu_bp_dec_tlu_br0_r_pkt_bits_br_error, + output io_tlu_bp_dec_tlu_br0_r_pkt_bits_br_start_error, + output io_tlu_bp_dec_tlu_br0_r_pkt_bits_way, + output io_tlu_bp_dec_tlu_br0_r_pkt_bits_middle, + output io_tlu_bp_dec_tlu_flush_leak_one_wb, + output io_tlu_bp_dec_tlu_bpred_disable, + output io_tlu_ifc_dec_tlu_flush_noredir_wb, + output [31:0] io_tlu_ifc_dec_tlu_mrac_ff, + input io_tlu_ifc_ifu_pmu_fetch_stall, + output io_tlu_mem_dec_tlu_flush_err_wb, + output io_tlu_mem_dec_tlu_i0_commit_cmt, + output io_tlu_mem_dec_tlu_force_halt, + output io_tlu_mem_dec_tlu_fence_i_wb, + output [70:0] io_tlu_mem_dec_tlu_ic_diag_pkt_icache_wrdata, + output [16:0] io_tlu_mem_dec_tlu_ic_diag_pkt_icache_dicawics, + output io_tlu_mem_dec_tlu_ic_diag_pkt_icache_rd_valid, + output io_tlu_mem_dec_tlu_ic_diag_pkt_icache_wr_valid, + output io_tlu_mem_dec_tlu_core_ecc_disable, + input io_tlu_mem_ifu_pmu_ic_miss, + input io_tlu_mem_ifu_pmu_ic_hit, + input io_tlu_mem_ifu_pmu_bus_error, + input io_tlu_mem_ifu_pmu_bus_busy, + input io_tlu_mem_ifu_pmu_bus_trxn, + input io_tlu_mem_ifu_ic_error_start, + input io_tlu_mem_ifu_iccm_rd_ecc_single_err, + input [70:0] io_tlu_mem_ifu_ic_debug_rd_data, + input io_tlu_mem_ifu_ic_debug_rd_data_valid, + input io_tlu_mem_ifu_miss_state_idle, + input io_tlu_busbuff_lsu_pmu_bus_trxn, + input io_tlu_busbuff_lsu_pmu_bus_misaligned, + input io_tlu_busbuff_lsu_pmu_bus_error, + input io_tlu_busbuff_lsu_pmu_bus_busy, + output io_tlu_busbuff_dec_tlu_external_ldfwd_disable, + output io_tlu_busbuff_dec_tlu_wb_coalescing_disable, + output io_tlu_busbuff_dec_tlu_sideeffect_posted_disable, + input io_tlu_busbuff_lsu_imprecise_error_load_any, + input io_tlu_busbuff_lsu_imprecise_error_store_any, + input [31:0] io_tlu_busbuff_lsu_imprecise_error_addr_any, + input io_lsu_tlu_lsu_pmu_load_external_m, + input io_lsu_tlu_lsu_pmu_store_external_m, + input [7:0] io_dec_pic_pic_claimid, + input [3:0] io_dec_pic_pic_pl, + input io_dec_pic_mhwakeup, + output [3:0] io_dec_pic_dec_tlu_meicurpl, + output [3:0] io_dec_pic_dec_tlu_meipt, + input io_dec_pic_mexintpend +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; + reg [31:0] _RAND_11; + reg [31:0] _RAND_12; + reg [31:0] _RAND_13; + reg [31:0] _RAND_14; + reg [31:0] _RAND_15; + reg [31:0] _RAND_16; + reg [31:0] _RAND_17; + reg [31:0] _RAND_18; + reg [31:0] _RAND_19; + reg [31:0] _RAND_20; + reg [31:0] _RAND_21; + reg [31:0] _RAND_22; + reg [31:0] _RAND_23; + reg [31:0] _RAND_24; + reg [31:0] _RAND_25; + reg [31:0] _RAND_26; + reg [31:0] _RAND_27; + reg [31:0] _RAND_28; + reg [31:0] _RAND_29; + reg [31:0] _RAND_30; + reg [31:0] _RAND_31; + reg [31:0] _RAND_32; + reg [31:0] _RAND_33; + reg [31:0] _RAND_34; + reg [31:0] _RAND_35; + reg [31:0] _RAND_36; + reg [31:0] _RAND_37; + reg [31:0] _RAND_38; + reg [31:0] _RAND_39; + reg [31:0] _RAND_40; + reg [31:0] _RAND_41; + reg [31:0] _RAND_42; + reg [31:0] _RAND_43; + reg [31:0] _RAND_44; + reg [31:0] _RAND_45; + reg [31:0] _RAND_46; + reg [31:0] _RAND_47; + reg [31:0] _RAND_48; + reg [31:0] _RAND_49; + reg [31:0] _RAND_50; + reg [31:0] _RAND_51; + reg [31:0] _RAND_52; + reg [31:0] _RAND_53; + reg [31:0] _RAND_54; + reg [31:0] _RAND_55; + reg [31:0] _RAND_56; + reg [31:0] _RAND_57; + reg [31:0] _RAND_58; + reg [31:0] _RAND_59; + reg [31:0] _RAND_60; + reg [31:0] _RAND_61; + reg [31:0] _RAND_62; + reg [31:0] _RAND_63; + reg [31:0] _RAND_64; + reg [31:0] _RAND_65; + reg [31:0] _RAND_66; + reg [31:0] _RAND_67; + reg [31:0] _RAND_68; + reg [31:0] _RAND_69; + reg [31:0] _RAND_70; + reg [31:0] _RAND_71; + reg [31:0] _RAND_72; + reg [31:0] _RAND_73; + reg [31:0] _RAND_74; +`endif // RANDOMIZE_REG_INIT + wire int_timers_clock; // @[dec_tlu_ctl.scala 275:30] + wire int_timers_reset; // @[dec_tlu_ctl.scala 275:30] + wire int_timers_io_free_clk; // @[dec_tlu_ctl.scala 275:30] + wire int_timers_io_scan_mode; // @[dec_tlu_ctl.scala 275:30] + wire int_timers_io_dec_csr_wen_r_mod; // @[dec_tlu_ctl.scala 275:30] + wire [11:0] int_timers_io_dec_csr_wraddr_r; // @[dec_tlu_ctl.scala 275:30] + wire [31:0] int_timers_io_dec_csr_wrdata_r; // @[dec_tlu_ctl.scala 275:30] + wire int_timers_io_csr_mitctl0; // @[dec_tlu_ctl.scala 275:30] + wire int_timers_io_csr_mitctl1; // @[dec_tlu_ctl.scala 275:30] + wire int_timers_io_csr_mitb0; // @[dec_tlu_ctl.scala 275:30] + wire int_timers_io_csr_mitb1; // @[dec_tlu_ctl.scala 275:30] + wire int_timers_io_csr_mitcnt0; // @[dec_tlu_ctl.scala 275:30] + wire int_timers_io_csr_mitcnt1; // @[dec_tlu_ctl.scala 275:30] + wire int_timers_io_dec_pause_state; // @[dec_tlu_ctl.scala 275:30] + wire int_timers_io_dec_tlu_pmu_fw_halted; // @[dec_tlu_ctl.scala 275:30] + wire int_timers_io_internal_dbg_halt_timers; // @[dec_tlu_ctl.scala 275:30] + wire [31:0] int_timers_io_dec_timer_rddata_d; // @[dec_tlu_ctl.scala 275:30] + wire int_timers_io_dec_timer_read_d; // @[dec_tlu_ctl.scala 275:30] + wire int_timers_io_dec_timer_t0_pulse; // @[dec_tlu_ctl.scala 275:30] + wire int_timers_io_dec_timer_t1_pulse; // @[dec_tlu_ctl.scala 275:30] + wire rvclkhdr_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_io_en; // @[lib.scala 343:22] + wire rvclkhdr_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_1_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_1_io_en; // @[lib.scala 343:22] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_2_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_2_io_en; // @[lib.scala 343:22] + wire rvclkhdr_2_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_3_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_3_io_en; // @[lib.scala 343:22] + wire rvclkhdr_3_io_scan_mode; // @[lib.scala 343:22] + wire csr_clock; // @[dec_tlu_ctl.scala 816:15] + wire csr_reset; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_free_clk; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_active_clk; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_scan_mode; // @[dec_tlu_ctl.scala 816:15] + wire [31:0] csr_io_dec_csr_wrdata_r; // @[dec_tlu_ctl.scala 816:15] + wire [11:0] csr_io_dec_csr_wraddr_r; // @[dec_tlu_ctl.scala 816:15] + wire [11:0] csr_io_dec_csr_rdaddr_d; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_csr_wen_unq_d; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_i0_decode_d; // @[dec_tlu_ctl.scala 816:15] + wire [70:0] csr_io_dec_tlu_ic_diag_pkt_icache_wrdata; // @[dec_tlu_ctl.scala 816:15] + wire [16:0] csr_io_dec_tlu_ic_diag_pkt_icache_dicawics; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ifu_ic_debug_rd_data_valid; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_0_select; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_0_match_pkt; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_0_store; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_0_load; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_0_execute; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_0_m; // @[dec_tlu_ctl.scala 816:15] + wire [31:0] csr_io_trigger_pkt_any_0_tdata2; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_1_select; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_1_match_pkt; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_1_store; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_1_load; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_1_execute; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_1_m; // @[dec_tlu_ctl.scala 816:15] + wire [31:0] csr_io_trigger_pkt_any_1_tdata2; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_2_select; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_2_match_pkt; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_2_store; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_2_load; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_2_execute; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_2_m; // @[dec_tlu_ctl.scala 816:15] + wire [31:0] csr_io_trigger_pkt_any_2_tdata2; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_3_select; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_3_match_pkt; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_3_store; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_3_load; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_3_execute; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_3_m; // @[dec_tlu_ctl.scala 816:15] + wire [31:0] csr_io_trigger_pkt_any_3_tdata2; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ifu_pmu_bus_trxn; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dma_iccm_stall_any; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dma_dccm_stall_any; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_lsu_store_stall_any; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_pmu_presync_stall; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_pmu_postsync_stall; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_pmu_decode_stall; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ifu_pmu_fetch_stall; // @[dec_tlu_ctl.scala 816:15] + wire [1:0] csr_io_dec_tlu_packet_r_icaf_type; // @[dec_tlu_ctl.scala 816:15] + wire [3:0] csr_io_dec_tlu_packet_r_pmu_i0_itype; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_packet_r_pmu_i0_br_unpred; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_packet_r_pmu_divide; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_exu_pmu_i0_br_ataken; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_exu_pmu_i0_br_misp; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_pmu_instr_decoded; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ifu_pmu_instr_aligned; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_exu_pmu_i0_pc4; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ifu_pmu_ic_miss; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ifu_pmu_ic_hit; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_int_valid_wb1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_i0_exc_valid_wb1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_i0_valid_wb1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_csr_wen_r; // @[dec_tlu_ctl.scala 816:15] + wire [31:0] csr_io_dec_tlu_mtval_wb1; // @[dec_tlu_ctl.scala 816:15] + wire [4:0] csr_io_dec_tlu_exc_cause_wb1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_perfcnt0; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_perfcnt1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_perfcnt2; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_perfcnt3; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dma_pmu_dccm_write; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dma_pmu_dccm_read; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dma_pmu_any_write; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dma_pmu_any_read; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_lsu_pmu_bus_busy; // @[dec_tlu_ctl.scala 816:15] + wire [30:0] csr_io_dec_tlu_i0_pc_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_csr_any_unq_d; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_misc_clk_override; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_dec_clk_override; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_ifu_clk_override; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_lsu_clk_override; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_bus_clk_override; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_pic_clk_override; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_dccm_clk_override; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_icm_clk_override; // @[dec_tlu_ctl.scala 816:15] + wire [31:0] csr_io_dec_csr_rddata_d; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_pipelining_disable; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_wr_pause_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ifu_pmu_bus_busy; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_lsu_pmu_bus_error; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ifu_pmu_bus_error; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_lsu_pmu_bus_misaligned; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_lsu_pmu_bus_trxn; // @[dec_tlu_ctl.scala 816:15] + wire [70:0] csr_io_ifu_ic_debug_rd_data; // @[dec_tlu_ctl.scala 816:15] + wire [3:0] csr_io_dec_tlu_meipt; // @[dec_tlu_ctl.scala 816:15] + wire [3:0] csr_io_pic_pl; // @[dec_tlu_ctl.scala 816:15] + wire [3:0] csr_io_dec_tlu_meicurpl; // @[dec_tlu_ctl.scala 816:15] + wire [29:0] csr_io_dec_tlu_meihap; // @[dec_tlu_ctl.scala 816:15] + wire [7:0] csr_io_pic_claimid; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_iccm_dma_sb_error; // @[dec_tlu_ctl.scala 816:15] + wire [31:0] csr_io_lsu_imprecise_error_addr_any; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_lsu_imprecise_error_load_any; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_lsu_imprecise_error_store_any; // @[dec_tlu_ctl.scala 816:15] + wire [31:0] csr_io_dec_tlu_mrac_ff; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_wb_coalescing_disable; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_bpred_disable; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_sideeffect_posted_disable; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_core_ecc_disable; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_external_ldfwd_disable; // @[dec_tlu_ctl.scala 816:15] + wire [2:0] csr_io_dec_tlu_dma_qos_prty; // @[dec_tlu_ctl.scala 816:15] + wire [31:0] csr_io_dec_illegal_inst; // @[dec_tlu_ctl.scala 816:15] + wire [3:0] csr_io_lsu_error_pkt_r_bits_mscause; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_mexintpend; // @[dec_tlu_ctl.scala 816:15] + wire [30:0] csr_io_exu_npc_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_mpc_reset_run_req; // @[dec_tlu_ctl.scala 816:15] + wire [30:0] csr_io_rst_vec; // @[dec_tlu_ctl.scala 816:15] + wire [27:0] csr_io_core_id; // @[dec_tlu_ctl.scala 816:15] + wire [31:0] csr_io_dec_timer_rddata_d; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_timer_read_d; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_csr_wen_r_mod; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_rfpc_i0_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_i0_trigger_hit_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_fw_halt_req; // @[dec_tlu_ctl.scala 816:15] + wire [1:0] csr_io_mstatus; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_exc_or_int_valid_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_mret_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_mstatus_mie_ns; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dcsr_single_step_running_f; // @[dec_tlu_ctl.scala 816:15] + wire [15:0] csr_io_dcsr; // @[dec_tlu_ctl.scala 816:15] + wire [30:0] csr_io_mtvec; // @[dec_tlu_ctl.scala 816:15] + wire [5:0] csr_io_mip; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_timer_t0_pulse; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_timer_t1_pulse; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_timer_int_sync; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_soft_int_sync; // @[dec_tlu_ctl.scala 816:15] + wire [5:0] csr_io_mie_ns; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_wr_clk; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ebreak_to_debug_mode_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_pmu_fw_halted; // @[dec_tlu_ctl.scala 816:15] + wire [1:0] csr_io_lsu_fir_error; // @[dec_tlu_ctl.scala 816:15] + wire [30:0] csr_io_npc_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_flush_noredir_r_d1; // @[dec_tlu_ctl.scala 816:15] + wire [30:0] csr_io_tlu_flush_path_r_d1; // @[dec_tlu_ctl.scala 816:15] + wire [30:0] csr_io_npc_r_d1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_reset_delayed; // @[dec_tlu_ctl.scala 816:15] + wire [30:0] csr_io_mepc; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_interrupt_valid_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_i0_exception_valid_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_lsu_exc_valid_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_mepc_trigger_hit_sel_pc_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_e4e5_int_clk; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_lsu_i0_exc_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_inst_acc_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_inst_acc_second_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_take_nmi; // @[dec_tlu_ctl.scala 816:15] + wire [31:0] csr_io_lsu_error_pkt_addr_r; // @[dec_tlu_ctl.scala 816:15] + wire [4:0] csr_io_exc_cause_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_i0_valid_wb; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_exc_or_int_valid_r_d1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_interrupt_valid_r_d1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_clk_override; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_i0_exception_valid_r_d1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_lsu_i0_exc_r_d1; // @[dec_tlu_ctl.scala 816:15] + wire [4:0] csr_io_exc_cause_wb; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_nmi_lsu_store_type; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_nmi_lsu_load_type; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_tlu_i0_commit_cmt; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ebreak_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ecall_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_illegal_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_mdseac_locked_ns; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_mdseac_locked_f; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_nmi_int_detected_f; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_internal_dbg_halt_mode_f2; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ext_int_freeze_d1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ic_perr_r_d1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_iccm_sbecc_r_d1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_lsu_single_ecc_error_r_d1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ifu_miss_state_idle_f; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_lsu_idle_any_f; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dbg_tlu_halted; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_debug_halt_req_f; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_force_halt; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_take_ext_int_start; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_hit_r_d1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_debug_halt_req; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_allow_dbg_halt_csr_write; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_internal_dbg_halt_mode_f; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_enter_debug_halt_req; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_internal_dbg_halt_mode; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_request_debug_mode_done; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_request_debug_mode_r; // @[dec_tlu_ctl.scala 816:15] + wire [30:0] csr_io_dpc; // @[dec_tlu_ctl.scala 816:15] + wire [3:0] csr_io_update_hit_bit_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_take_timer_int; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_take_int_timer0_int; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_take_int_timer1_int; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_take_ext_int; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_tlu_flush_lower_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_br0_error_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_br0_start_error_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_lsu_pmu_load_external_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_lsu_pmu_store_external_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_misa; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mvendorid; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_marchid; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mimpid; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mhartid; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mstatus; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mtvec; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mip; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mie; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mcyclel; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mcycleh; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_minstretl; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_minstreth; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mscratch; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mepc; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mcause; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mscause; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mtval; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mrac; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mdseac; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_meihap; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_meivt; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_meipt; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_meicurpl; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_meicidpl; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_dcsr; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mcgc; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mfdc; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_dpc; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mtsel; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mtdata1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mtdata2; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mhpmc3; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mhpmc4; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mhpmc5; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mhpmc6; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mhpmc3h; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mhpmc4h; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mhpmc5h; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mhpmc6h; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mhpme3; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mhpme4; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mhpme5; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mhpme6; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mcountinhibit; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mpmc; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_micect; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_miccmect; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mdccmect; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mfdht; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mfdhs; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_dicawics; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_dicad0h; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_dicad0; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_dicad1; // @[dec_tlu_ctl.scala 816:15] + wire [9:0] csr_io_mtdata1_t_0; // @[dec_tlu_ctl.scala 816:15] + wire [9:0] csr_io_mtdata1_t_1; // @[dec_tlu_ctl.scala 816:15] + wire [9:0] csr_io_mtdata1_t_2; // @[dec_tlu_ctl.scala 816:15] + wire [9:0] csr_io_mtdata1_t_3; // @[dec_tlu_ctl.scala 816:15] + wire [11:0] csr_read_io_dec_csr_rdaddr_d; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_misa; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mvendorid; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_marchid; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mimpid; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mhartid; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mstatus; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mtvec; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mip; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mie; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mcyclel; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mcycleh; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_minstretl; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_minstreth; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mscratch; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mepc; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mcause; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mscause; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mtval; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mrac; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_dmst; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mdseac; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_meihap; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_meivt; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_meipt; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_meicurpl; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_meicidpl; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_dcsr; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mcgc; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mfdc; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_dpc; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mtsel; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mtdata1; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mtdata2; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mhpmc3; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mhpmc4; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mhpmc5; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mhpmc6; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mhpmc3h; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mhpmc4h; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mhpmc5h; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mhpmc6h; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mhpme3; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mhpme4; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mhpme5; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mhpme6; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mcountinhibit; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mitctl0; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mitctl1; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mitb0; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mitb1; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mitcnt0; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mitcnt1; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mpmc; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_meicpct; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_micect; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_miccmect; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mdccmect; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mfdht; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mfdhs; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_dicawics; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_dicad0h; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_dicad0; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_dicad1; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_dicago; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_presync; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_postsync; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_legal; // @[dec_tlu_ctl.scala 1009:22] + reg dbg_halt_state_f; // @[dec_tlu_ctl.scala 365:89] + wire _T = ~dbg_halt_state_f; // @[dec_tlu_ctl.scala 274:39] + reg mpc_halt_state_f; // @[dec_tlu_ctl.scala 360:89] + wire [2:0] _T_3 = {io_i_cpu_run_req,io_mpc_debug_halt_req,io_mpc_debug_run_req}; // @[Cat.scala 29:58] + wire [3:0] _T_6 = {io_nmi_int,io_timer_int,io_soft_int,io_i_cpu_halt_req}; // @[Cat.scala 29:58] + reg [6:0] _T_8; // @[lib.scala 37:81] + reg [6:0] syncro_ff; // @[lib.scala 37:58] + wire nmi_int_sync = syncro_ff[6]; // @[dec_tlu_ctl.scala 302:67] + wire i_cpu_halt_req_sync = syncro_ff[3]; // @[dec_tlu_ctl.scala 305:59] + wire i_cpu_run_req_sync = syncro_ff[2]; // @[dec_tlu_ctl.scala 306:59] + wire mpc_debug_halt_req_sync_raw = syncro_ff[1]; // @[dec_tlu_ctl.scala 307:51] + wire mpc_debug_run_req_sync = syncro_ff[0]; // @[dec_tlu_ctl.scala 308:51] + wire dec_csr_wen_r_mod = csr_io_dec_csr_wen_r_mod; // @[dec_tlu_ctl.scala 1002:31] + reg lsu_exc_valid_r_d1; // @[dec_tlu_ctl.scala 611:74] + wire _T_11 = io_lsu_error_pkt_r_valid | lsu_exc_valid_r_d1; // @[dec_tlu_ctl.scala 312:67] + reg e5_valid; // @[dec_tlu_ctl.scala 324:97] + wire e4e5_valid = io_dec_tlu_i0_valid_r | e5_valid; // @[dec_tlu_ctl.scala 315:30] + reg debug_mode_status; // @[dec_tlu_ctl.scala 325:81] + reg i_cpu_run_req_d1_raw; // @[dec_tlu_ctl.scala 571:80] + reg nmi_int_delayed; // @[dec_tlu_ctl.scala 338:72] + wire _T_37 = ~nmi_int_delayed; // @[dec_tlu_ctl.scala 347:45] + wire _T_38 = nmi_int_sync & _T_37; // @[dec_tlu_ctl.scala 347:43] + reg mdseac_locked_f; // @[dec_tlu_ctl.scala 604:89] + wire _T_35 = ~mdseac_locked_f; // @[dec_tlu_ctl.scala 345:32] + wire _T_36 = io_tlu_busbuff_lsu_imprecise_error_load_any | io_tlu_busbuff_lsu_imprecise_error_store_any; // @[dec_tlu_ctl.scala 345:96] + wire nmi_lsu_detected = _T_35 & _T_36; // @[dec_tlu_ctl.scala 345:49] + wire _T_39 = _T_38 | nmi_lsu_detected; // @[dec_tlu_ctl.scala 347:63] + reg nmi_int_detected_f; // @[dec_tlu_ctl.scala 339:72] + reg take_nmi_r_d1; // @[dec_tlu_ctl.scala 813:98] + wire _T_40 = ~take_nmi_r_d1; // @[dec_tlu_ctl.scala 347:106] + wire _T_41 = nmi_int_detected_f & _T_40; // @[dec_tlu_ctl.scala 347:104] + wire _T_42 = _T_39 | _T_41; // @[dec_tlu_ctl.scala 347:82] + reg take_ext_int_start_d3; // @[dec_tlu_ctl.scala 744:62] + wire _T_43 = |io_lsu_fir_error; // @[dec_tlu_ctl.scala 347:165] + wire _T_44 = take_ext_int_start_d3 & _T_43; // @[dec_tlu_ctl.scala 347:146] + wire nmi_int_detected = _T_42 | _T_44; // @[dec_tlu_ctl.scala 347:122] + wire _T_631 = ~io_dec_csr_stall_int_ff; // @[dec_tlu_ctl.scala 721:23] + wire mstatus_mie_ns = csr_io_mstatus_mie_ns; // @[dec_tlu_ctl.scala 1001:31] + wire _T_632 = _T_631 & mstatus_mie_ns; // @[dec_tlu_ctl.scala 721:48] + wire [5:0] mip = csr_io_mip; // @[dec_tlu_ctl.scala 1007:31] + wire _T_634 = _T_632 & mip[1]; // @[dec_tlu_ctl.scala 721:65] + wire [5:0] mie_ns = csr_io_mie_ns; // @[dec_tlu_ctl.scala 996:31] + wire timer_int_ready = _T_634 & mie_ns[1]; // @[dec_tlu_ctl.scala 721:83] + wire _T_391 = nmi_int_detected | timer_int_ready; // @[dec_tlu_ctl.scala 598:66] + wire _T_628 = _T_632 & mip[0]; // @[dec_tlu_ctl.scala 720:65] + wire soft_int_ready = _T_628 & mie_ns[0]; // @[dec_tlu_ctl.scala 720:83] + wire _T_392 = _T_391 | soft_int_ready; // @[dec_tlu_ctl.scala 598:84] + reg int_timer0_int_hold_f; // @[dec_tlu_ctl.scala 578:73] + wire _T_393 = _T_392 | int_timer0_int_hold_f; // @[dec_tlu_ctl.scala 598:101] + reg int_timer1_int_hold_f; // @[dec_tlu_ctl.scala 579:73] + wire _T_394 = _T_393 | int_timer1_int_hold_f; // @[dec_tlu_ctl.scala 598:125] + wire _T_608 = _T_632 & mip[2]; // @[dec_tlu_ctl.scala 717:66] + wire mhwakeup_ready = _T_608 & mie_ns[2]; // @[dec_tlu_ctl.scala 717:84] + wire _T_395 = io_dec_pic_mhwakeup & mhwakeup_ready; // @[dec_tlu_ctl.scala 598:172] + wire _T_396 = _T_394 | _T_395; // @[dec_tlu_ctl.scala 598:149] + wire _T_397 = _T_396 & io_o_cpu_halt_status; // @[dec_tlu_ctl.scala 598:191] + reg i_cpu_halt_req_d1; // @[dec_tlu_ctl.scala 570:80] + wire _T_398 = ~i_cpu_halt_req_d1; // @[dec_tlu_ctl.scala 598:216] + wire _T_399 = _T_397 & _T_398; // @[dec_tlu_ctl.scala 598:214] + wire i_cpu_run_req_d1 = i_cpu_run_req_d1_raw | _T_399; // @[dec_tlu_ctl.scala 598:45] + wire _T_14 = debug_mode_status | i_cpu_run_req_d1; // @[dec_tlu_ctl.scala 316:50] + wire _T_685 = ~_T_43; // @[dec_tlu_ctl.scala 749:49] + wire take_ext_int = take_ext_int_start_d3 & _T_685; // @[dec_tlu_ctl.scala 749:47] + wire _T_698 = ~soft_int_ready; // @[dec_tlu_ctl.scala 766:40] + wire _T_699 = timer_int_ready & _T_698; // @[dec_tlu_ctl.scala 766:38] + wire _T_617 = ~io_lsu_fastint_stall_any; // @[dec_tlu_ctl.scala 718:104] + wire ext_int_ready = mhwakeup_ready & _T_617; // @[dec_tlu_ctl.scala 718:102] + wire _T_700 = ~ext_int_ready; // @[dec_tlu_ctl.scala 766:58] + wire _T_701 = _T_699 & _T_700; // @[dec_tlu_ctl.scala 766:56] + wire _T_622 = _T_632 & mip[5]; // @[dec_tlu_ctl.scala 719:65] + wire ce_int_ready = _T_622 & mie_ns[5]; // @[dec_tlu_ctl.scala 719:83] + wire _T_702 = ~ce_int_ready; // @[dec_tlu_ctl.scala 766:75] + wire _T_703 = _T_701 & _T_702; // @[dec_tlu_ctl.scala 766:73] + wire _T_152 = ~debug_mode_status; // @[dec_tlu_ctl.scala 421:37] + reg dbg_halt_req_held; // @[dec_tlu_ctl.scala 464:81] + wire _T_106 = io_dbg_halt_req | dbg_halt_req_held; // @[dec_tlu_ctl.scala 398:48] + reg ext_int_freeze_d1; // @[dec_tlu_ctl.scala 745:66] + wire _T_107 = ~ext_int_freeze_d1; // @[dec_tlu_ctl.scala 398:71] + wire dbg_halt_req_final = _T_106 & _T_107; // @[dec_tlu_ctl.scala 398:69] + wire mpc_debug_halt_req_sync = mpc_debug_halt_req_sync_raw & _T_107; // @[dec_tlu_ctl.scala 357:67] + wire _T_109 = dbg_halt_req_final | mpc_debug_halt_req_sync; // @[dec_tlu_ctl.scala 401:50] + reg reset_detect; // @[dec_tlu_ctl.scala 334:88] + reg reset_detected; // @[dec_tlu_ctl.scala 335:88] + wire reset_delayed = reset_detect ^ reset_detected; // @[dec_tlu_ctl.scala 336:64] + wire _T_110 = ~io_mpc_reset_run_req; // @[dec_tlu_ctl.scala 401:95] + wire _T_111 = reset_delayed & _T_110; // @[dec_tlu_ctl.scala 401:93] + wire _T_112 = _T_109 | _T_111; // @[dec_tlu_ctl.scala 401:76] + wire _T_114 = _T_112 & _T_152; // @[dec_tlu_ctl.scala 401:119] + wire debug_halt_req = _T_114 & _T_107; // @[dec_tlu_ctl.scala 401:147] + wire _T_153 = _T_152 & debug_halt_req; // @[dec_tlu_ctl.scala 421:63] + reg dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 456:81] + wire _T_154 = _T_153 | dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 421:81] + reg trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 455:81] + wire _T_155 = _T_154 | trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 421:107] + reg ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 670:64] + wire enter_debug_halt_req = _T_155 | ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 421:132] + reg debug_halt_req_f; // @[dec_tlu_ctl.scala 453:89] + wire force_halt = csr_io_force_halt; // @[dec_tlu_ctl.scala 999:31] + reg lsu_idle_any_f; // @[dec_tlu_ctl.scala 449:89] + wire _T_142 = io_lsu_idle_any & lsu_idle_any_f; // @[dec_tlu_ctl.scala 415:53] + wire _T_143 = _T_142 & io_tlu_mem_ifu_miss_state_idle; // @[dec_tlu_ctl.scala 415:70] + reg ifu_miss_state_idle_f; // @[dec_tlu_ctl.scala 450:81] + wire _T_144 = _T_143 & ifu_miss_state_idle_f; // @[dec_tlu_ctl.scala 415:103] + wire _T_145 = ~debug_halt_req; // @[dec_tlu_ctl.scala 415:129] + wire _T_146 = _T_144 & _T_145; // @[dec_tlu_ctl.scala 415:127] + reg debug_halt_req_d1; // @[dec_tlu_ctl.scala 457:89] + wire _T_147 = ~debug_halt_req_d1; // @[dec_tlu_ctl.scala 415:147] + wire _T_148 = _T_146 & _T_147; // @[dec_tlu_ctl.scala 415:145] + wire _T_149 = ~io_dec_div_active; // @[dec_tlu_ctl.scala 415:168] + wire _T_150 = _T_148 & _T_149; // @[dec_tlu_ctl.scala 415:166] + wire core_empty = force_halt | _T_150; // @[dec_tlu_ctl.scala 415:34] + wire _T_163 = debug_halt_req_f & core_empty; // @[dec_tlu_ctl.scala 431:48] + reg dec_tlu_flush_noredir_r_d1; // @[dec_tlu_ctl.scala 447:81] + reg dec_tlu_flush_pause_r_d1; // @[dec_tlu_ctl.scala 463:73] + wire _T_132 = ~dec_tlu_flush_pause_r_d1; // @[dec_tlu_ctl.scala 411:56] + wire _T_133 = dec_tlu_flush_noredir_r_d1 & _T_132; // @[dec_tlu_ctl.scala 411:54] + reg take_ext_int_start_d1; // @[dec_tlu_ctl.scala 742:62] + wire _T_134 = ~take_ext_int_start_d1; // @[dec_tlu_ctl.scala 411:84] + wire _T_135 = _T_133 & _T_134; // @[dec_tlu_ctl.scala 411:82] + reg halt_taken_f; // @[dec_tlu_ctl.scala 448:89] + reg dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 451:89] + wire _T_136 = ~dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 411:126] + wire _T_137 = halt_taken_f & _T_136; // @[dec_tlu_ctl.scala 411:124] + reg pmu_fw_tlu_halted_f; // @[dec_tlu_ctl.scala 577:73] + wire _T_138 = ~pmu_fw_tlu_halted_f; // @[dec_tlu_ctl.scala 411:146] + wire _T_139 = _T_137 & _T_138; // @[dec_tlu_ctl.scala 411:144] + reg interrupt_valid_r_d1; // @[dec_tlu_ctl.scala 807:90] + wire _T_140 = ~interrupt_valid_r_d1; // @[dec_tlu_ctl.scala 411:169] + wire _T_141 = _T_139 & _T_140; // @[dec_tlu_ctl.scala 411:167] + wire halt_taken = _T_135 | _T_141; // @[dec_tlu_ctl.scala 411:108] + wire _T_164 = _T_163 & halt_taken; // @[dec_tlu_ctl.scala 431:61] + reg debug_resume_req_f; // @[dec_tlu_ctl.scala 454:89] + wire _T_165 = ~debug_resume_req_f; // @[dec_tlu_ctl.scala 431:97] + wire _T_166 = dbg_tlu_halted_f & _T_165; // @[dec_tlu_ctl.scala 431:95] + wire dbg_tlu_halted = _T_164 | _T_166; // @[dec_tlu_ctl.scala 431:75] + wire _T_167 = ~dbg_tlu_halted; // @[dec_tlu_ctl.scala 432:73] + wire _T_168 = debug_halt_req_f & _T_167; // @[dec_tlu_ctl.scala 432:71] + wire debug_halt_req_ns = enter_debug_halt_req | _T_168; // @[dec_tlu_ctl.scala 432:51] + wire [15:0] dcsr = csr_io_dcsr; // @[dec_tlu_ctl.scala 1005:31] + wire _T_157 = ~dcsr[2]; // @[dec_tlu_ctl.scala 424:106] + wire _T_158 = debug_resume_req_f & _T_157; // @[dec_tlu_ctl.scala 424:104] + wire _T_159 = ~_T_158; // @[dec_tlu_ctl.scala 424:83] + wire _T_160 = debug_mode_status & _T_159; // @[dec_tlu_ctl.scala 424:81] + wire internal_dbg_halt_mode = debug_halt_req_ns | _T_160; // @[dec_tlu_ctl.scala 424:53] + wire _T_177 = debug_resume_req_f & dcsr[2]; // @[dec_tlu_ctl.scala 437:60] + reg dcsr_single_step_running_f; // @[dec_tlu_ctl.scala 462:73] + wire _T_178 = ~dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 437:111] + wire _T_179 = dcsr_single_step_running_f & _T_178; // @[dec_tlu_ctl.scala 437:109] + wire dcsr_single_step_running = _T_177 | _T_179; // @[dec_tlu_ctl.scala 437:79] + wire _T_665 = ~dcsr_single_step_running; // @[dec_tlu_ctl.scala 738:55] + wire _T_666 = _T_665 | io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 738:81] + wire _T_667 = internal_dbg_halt_mode & _T_666; // @[dec_tlu_ctl.scala 738:52] + wire _T_346 = ~io_dec_tlu_debug_mode; // @[dec_tlu_ctl.scala 567:62] + wire _T_347 = i_cpu_halt_req_sync & _T_346; // @[dec_tlu_ctl.scala 567:60] + wire i_cpu_halt_req_sync_qual = _T_347 & _T_107; // @[dec_tlu_ctl.scala 567:85] + wire ext_halt_pulse = i_cpu_halt_req_sync_qual & _T_398; // @[dec_tlu_ctl.scala 583:50] + wire fw_halt_req = csr_io_fw_halt_req; // @[dec_tlu_ctl.scala 1003:31] + wire enter_pmu_fw_halt_req = ext_halt_pulse | fw_halt_req; // @[dec_tlu_ctl.scala 584:48] + reg pmu_fw_halt_req_f; // @[dec_tlu_ctl.scala 576:73] + wire _T_371 = pmu_fw_halt_req_f & core_empty; // @[dec_tlu_ctl.scala 589:45] + wire _T_372 = _T_371 & halt_taken; // @[dec_tlu_ctl.scala 589:58] + wire _T_373 = ~enter_debug_halt_req; // @[dec_tlu_ctl.scala 589:73] + wire _T_374 = _T_372 & _T_373; // @[dec_tlu_ctl.scala 589:71] + wire _T_375 = ~i_cpu_run_req_d1; // @[dec_tlu_ctl.scala 589:121] + wire _T_376 = pmu_fw_tlu_halted_f & _T_375; // @[dec_tlu_ctl.scala 589:119] + wire _T_377 = _T_374 | _T_376; // @[dec_tlu_ctl.scala 589:96] + wire _T_378 = ~debug_halt_req_f; // @[dec_tlu_ctl.scala 589:143] + wire pmu_fw_tlu_halted = _T_377 & _T_378; // @[dec_tlu_ctl.scala 589:141] + wire _T_361 = ~pmu_fw_tlu_halted; // @[dec_tlu_ctl.scala 585:72] + wire _T_362 = pmu_fw_halt_req_f & _T_361; // @[dec_tlu_ctl.scala 585:70] + wire _T_363 = enter_pmu_fw_halt_req | _T_362; // @[dec_tlu_ctl.scala 585:49] + wire pmu_fw_halt_req_ns = _T_363 & _T_378; // @[dec_tlu_ctl.scala 585:93] + reg internal_pmu_fw_halt_mode_f; // @[dec_tlu_ctl.scala 575:68] + wire _T_367 = internal_pmu_fw_halt_mode_f & _T_375; // @[dec_tlu_ctl.scala 586:83] + wire _T_369 = _T_367 & _T_378; // @[dec_tlu_ctl.scala 586:103] + wire internal_pmu_fw_halt_mode = pmu_fw_halt_req_ns | _T_369; // @[dec_tlu_ctl.scala 586:52] + wire _T_668 = _T_667 | internal_pmu_fw_halt_mode; // @[dec_tlu_ctl.scala 738:107] + wire _T_669 = _T_668 | i_cpu_halt_req_d1; // @[dec_tlu_ctl.scala 738:135] + wire _T_738 = ~internal_pmu_fw_halt_mode; // @[dec_tlu_ctl.scala 770:35] + wire _T_739 = nmi_int_detected & _T_738; // @[dec_tlu_ctl.scala 770:33] + wire _T_740 = ~internal_dbg_halt_mode; // @[dec_tlu_ctl.scala 770:65] + wire _T_742 = dcsr_single_step_running_f & dcsr[11]; // @[dec_tlu_ctl.scala 770:119] + wire _T_743 = ~io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 770:141] + wire _T_744 = _T_742 & _T_743; // @[dec_tlu_ctl.scala 770:139] + wire _T_746 = _T_744 & _T_178; // @[dec_tlu_ctl.scala 770:164] + wire _T_747 = _T_740 | _T_746; // @[dec_tlu_ctl.scala 770:89] + wire _T_748 = _T_739 & _T_747; // @[dec_tlu_ctl.scala 770:62] + wire _T_463 = io_dec_tlu_packet_r_pmu_i0_itype == 4'h8; // @[dec_tlu_ctl.scala 656:51] + wire _T_464 = _T_463 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 656:64] + wire _T_297 = io_dec_tlu_flush_lower_wb | io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 518:58] + wire [3:0] _T_299 = _T_297 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_300 = ~_T_299; // @[dec_tlu_ctl.scala 518:23] + wire [3:0] _T_292 = io_dec_tlu_i0_valid_r ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_294 = _T_292 & io_dec_tlu_packet_r_i0trigger; // @[dec_tlu_ctl.scala 516:53] + wire [9:0] mtdata1_t_3 = csr_io_mtdata1_t_3; // @[dec_tlu_ctl.scala 156:67 dec_tlu_ctl.scala 1008:33] + wire [9:0] mtdata1_t_2 = csr_io_mtdata1_t_2; // @[dec_tlu_ctl.scala 156:67 dec_tlu_ctl.scala 1008:33] + wire [9:0] mtdata1_t_1 = csr_io_mtdata1_t_1; // @[dec_tlu_ctl.scala 156:67 dec_tlu_ctl.scala 1008:33] + wire [9:0] mtdata1_t_0 = csr_io_mtdata1_t_0; // @[dec_tlu_ctl.scala 156:67 dec_tlu_ctl.scala 1008:33] + wire [3:0] trigger_execute = {mtdata1_t_3[2],mtdata1_t_2[2],mtdata1_t_1[2],mtdata1_t_0[2]}; // @[Cat.scala 29:58] + wire [3:0] trigger_data = {mtdata1_t_3[7],mtdata1_t_2[7],mtdata1_t_1[7],mtdata1_t_0[7]}; // @[Cat.scala 29:58] + wire [3:0] _T_279 = trigger_execute & trigger_data; // @[dec_tlu_ctl.scala 508:57] + wire inst_acc_r_raw = io_dec_tlu_packet_r_icaf & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 664:49] + wire [3:0] _T_281 = inst_acc_r_raw ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_282 = _T_279 & _T_281; // @[dec_tlu_ctl.scala 508:72] + wire _T_283 = io_tlu_exu_exu_i0_br_error_r | io_tlu_exu_exu_i0_br_start_error_r; // @[dec_tlu_ctl.scala 508:137] + wire [3:0] _T_285 = _T_283 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_286 = _T_282 | _T_285; // @[dec_tlu_ctl.scala 508:98] + wire [3:0] i0_iside_trigger_has_pri_r = ~_T_286; // @[dec_tlu_ctl.scala 508:38] + wire [3:0] _T_295 = _T_294 & i0_iside_trigger_has_pri_r; // @[dec_tlu_ctl.scala 516:90] + wire [3:0] trigger_store = {mtdata1_t_3[1],mtdata1_t_2[1],mtdata1_t_1[1],mtdata1_t_0[1]}; // @[Cat.scala 29:58] + wire [3:0] _T_287 = trigger_store & trigger_data; // @[dec_tlu_ctl.scala 511:51] + wire [3:0] _T_289 = io_lsu_error_pkt_r_valid ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_290 = _T_287 & _T_289; // @[dec_tlu_ctl.scala 511:66] + wire [3:0] i0_lsu_trigger_has_pri_r = ~_T_290; // @[dec_tlu_ctl.scala 511:35] + wire [3:0] _T_296 = _T_295 & i0_lsu_trigger_has_pri_r; // @[dec_tlu_ctl.scala 516:119] + wire [1:0] mstatus = csr_io_mstatus; // @[dec_tlu_ctl.scala 1004:31] + wire _T_259 = mtdata1_t_3[6] | mstatus[0]; // @[dec_tlu_ctl.scala 505:62] + wire _T_261 = _T_259 & mtdata1_t_3[3]; // @[dec_tlu_ctl.scala 505:86] + wire _T_264 = mtdata1_t_2[6] | mstatus[0]; // @[dec_tlu_ctl.scala 505:150] + wire _T_266 = _T_264 & mtdata1_t_2[3]; // @[dec_tlu_ctl.scala 505:174] + wire _T_269 = mtdata1_t_1[6] | mstatus[0]; // @[dec_tlu_ctl.scala 505:239] + wire _T_271 = _T_269 & mtdata1_t_1[3]; // @[dec_tlu_ctl.scala 505:263] + wire _T_274 = mtdata1_t_0[6] | mstatus[0]; // @[dec_tlu_ctl.scala 505:328] + wire _T_276 = _T_274 & mtdata1_t_0[3]; // @[dec_tlu_ctl.scala 505:352] + wire [3:0] trigger_enabled = {_T_261,_T_266,_T_271,_T_276}; // @[Cat.scala 29:58] + wire [3:0] i0trigger_qual_r = _T_296 & trigger_enabled; // @[dec_tlu_ctl.scala 516:146] + wire [3:0] i0_trigger_r = _T_300 & i0trigger_qual_r; // @[dec_tlu_ctl.scala 518:84] + wire _T_303 = ~mtdata1_t_2[5]; // @[dec_tlu_ctl.scala 521:60] + wire _T_305 = _T_303 | i0_trigger_r[2]; // @[dec_tlu_ctl.scala 521:89] + wire _T_306 = i0_trigger_r[3] & _T_305; // @[dec_tlu_ctl.scala 521:57] + wire _T_311 = _T_303 | i0_trigger_r[3]; // @[dec_tlu_ctl.scala 521:157] + wire _T_312 = i0_trigger_r[2] & _T_311; // @[dec_tlu_ctl.scala 521:125] + wire _T_315 = ~mtdata1_t_0[5]; // @[dec_tlu_ctl.scala 521:196] + wire _T_317 = _T_315 | i0_trigger_r[0]; // @[dec_tlu_ctl.scala 521:225] + wire _T_318 = i0_trigger_r[1] & _T_317; // @[dec_tlu_ctl.scala 521:193] + wire _T_323 = _T_315 | i0_trigger_r[1]; // @[dec_tlu_ctl.scala 521:293] + wire _T_324 = i0_trigger_r[0] & _T_323; // @[dec_tlu_ctl.scala 521:261] + wire [3:0] i0_trigger_chain_masked_r = {_T_306,_T_312,_T_318,_T_324}; // @[Cat.scala 29:58] + wire i0_trigger_hit_raw_r = |i0_trigger_chain_masked_r; // @[dec_tlu_ctl.scala 524:57] + wire _T_465 = ~i0_trigger_hit_raw_r; // @[dec_tlu_ctl.scala 656:90] + wire _T_466 = _T_464 & _T_465; // @[dec_tlu_ctl.scala 656:88] + wire _T_468 = ~dcsr[15]; // @[dec_tlu_ctl.scala 656:110] + wire _T_469 = _T_466 & _T_468; // @[dec_tlu_ctl.scala 656:108] + reg tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 328:80] + wire _T_429 = ~tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 631:44] + wire _T_430 = io_dec_tlu_i0_valid_r & _T_429; // @[dec_tlu_ctl.scala 631:42] + wire _T_432 = _T_430 & _T_283; // @[dec_tlu_ctl.scala 631:66] + reg ic_perr_r_d1; // @[dec_tlu_ctl.scala 322:89] + reg iccm_sbecc_r_d1; // @[dec_tlu_ctl.scala 323:89] + wire _T_433 = ic_perr_r_d1 | iccm_sbecc_r_d1; // @[dec_tlu_ctl.scala 631:154] + wire _T_435 = _T_433 & _T_107; // @[dec_tlu_ctl.scala 631:173] + wire _T_436 = _T_432 | _T_435; // @[dec_tlu_ctl.scala 631:137] + wire _T_438 = _T_436 & _T_465; // @[dec_tlu_ctl.scala 631:196] + wire _T_410 = io_dec_tlu_i0_valid_r & _T_465; // @[dec_tlu_ctl.scala 619:47] + wire _T_411 = ~io_lsu_error_pkt_r_bits_inst_type; // @[dec_tlu_ctl.scala 619:70] + wire _T_412 = _T_411 & io_lsu_error_pkt_r_bits_single_ecc_error; // @[dec_tlu_ctl.scala 619:105] + wire lsu_i0_rfnpc_r = _T_410 & _T_412; // @[dec_tlu_ctl.scala 619:67] + wire _T_439 = ~lsu_i0_rfnpc_r; // @[dec_tlu_ctl.scala 631:220] + wire rfpc_i0_r = _T_438 & _T_439; // @[dec_tlu_ctl.scala 631:217] + wire _T_470 = ~rfpc_i0_r; // @[dec_tlu_ctl.scala 656:132] + wire ebreak_r = _T_469 & _T_470; // @[dec_tlu_ctl.scala 656:130] + wire _T_472 = io_dec_tlu_packet_r_pmu_i0_itype == 4'h9; // @[dec_tlu_ctl.scala 657:51] + wire _T_473 = _T_472 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 657:64] + wire _T_475 = _T_473 & _T_465; // @[dec_tlu_ctl.scala 657:88] + wire ecall_r = _T_475 & _T_470; // @[dec_tlu_ctl.scala 657:108] + wire _T_523 = ebreak_r | ecall_r; // @[dec_tlu_ctl.scala 684:41] + wire _T_478 = ~io_dec_tlu_packet_r_legal; // @[dec_tlu_ctl.scala 658:17] + wire _T_479 = _T_478 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 658:46] + wire _T_481 = _T_479 & _T_465; // @[dec_tlu_ctl.scala 658:70] + wire illegal_r = _T_481 & _T_470; // @[dec_tlu_ctl.scala 658:90] + wire _T_524 = _T_523 | illegal_r; // @[dec_tlu_ctl.scala 684:51] + wire _T_511 = inst_acc_r_raw & _T_470; // @[dec_tlu_ctl.scala 665:33] + wire inst_acc_r = _T_511 & _T_465; // @[dec_tlu_ctl.scala 665:46] + wire _T_525 = _T_524 | inst_acc_r; // @[dec_tlu_ctl.scala 684:63] + wire _T_527 = _T_525 & _T_470; // @[dec_tlu_ctl.scala 684:77] + wire _T_528 = ~io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 684:92] + wire i0_exception_valid_r = _T_527 & _T_528; // @[dec_tlu_ctl.scala 684:90] + wire _T_789 = i0_exception_valid_r | rfpc_i0_r; // @[dec_tlu_ctl.scala 783:49] + wire _T_402 = ~io_dec_tlu_flush_lower_wb; // @[dec_tlu_ctl.scala 607:57] + wire lsu_exc_valid_r_raw = io_lsu_error_pkt_r_valid & _T_402; // @[dec_tlu_ctl.scala 607:55] + wire _T_403 = io_lsu_error_pkt_r_valid & lsu_exc_valid_r_raw; // @[dec_tlu_ctl.scala 609:40] + wire _T_405 = _T_403 & _T_465; // @[dec_tlu_ctl.scala 609:62] + wire lsu_exc_valid_r = _T_405 & _T_470; // @[dec_tlu_ctl.scala 609:82] + wire _T_790 = _T_789 | lsu_exc_valid_r; // @[dec_tlu_ctl.scala 783:61] + wire _T_490 = io_dec_tlu_packet_r_fence_i & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 661:50] + wire _T_492 = _T_490 & _T_465; // @[dec_tlu_ctl.scala 661:74] + wire fence_i_r = _T_492 & _T_470; // @[dec_tlu_ctl.scala 661:95] + wire _T_791 = _T_790 | fence_i_r; // @[dec_tlu_ctl.scala 783:79] + wire _T_792 = _T_791 | lsu_i0_rfnpc_r; // @[dec_tlu_ctl.scala 783:91] + wire _T_414 = io_dec_tlu_i0_valid_r & _T_470; // @[dec_tlu_ctl.scala 622:50] + wire _T_415 = ~lsu_exc_valid_r; // @[dec_tlu_ctl.scala 622:65] + wire _T_416 = _T_414 & _T_415; // @[dec_tlu_ctl.scala 622:63] + wire _T_417 = ~inst_acc_r; // @[dec_tlu_ctl.scala 622:82] + wire _T_418 = _T_416 & _T_417; // @[dec_tlu_ctl.scala 622:79] + wire _T_420 = _T_418 & _T_528; // @[dec_tlu_ctl.scala 622:94] + reg request_debug_mode_r_d1; // @[dec_tlu_ctl.scala 460:81] + wire _T_421 = ~request_debug_mode_r_d1; // @[dec_tlu_ctl.scala 622:121] + wire _T_422 = _T_420 & _T_421; // @[dec_tlu_ctl.scala 622:119] + wire tlu_i0_commit_cmt = _T_422 & _T_465; // @[dec_tlu_ctl.scala 622:146] + reg iccm_repair_state_d1; // @[dec_tlu_ctl.scala 321:80] + wire _T_444 = tlu_i0_commit_cmt & iccm_repair_state_d1; // @[dec_tlu_ctl.scala 640:52] + wire _T_484 = io_dec_tlu_packet_r_pmu_i0_itype == 4'hc; // @[dec_tlu_ctl.scala 659:51] + wire _T_485 = _T_484 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 659:64] + wire _T_487 = _T_485 & _T_465; // @[dec_tlu_ctl.scala 659:88] + wire mret_r = _T_487 & _T_470; // @[dec_tlu_ctl.scala 659:108] + wire _T_446 = _T_523 | mret_r; // @[dec_tlu_ctl.scala 640:98] + wire take_reset = reset_delayed & io_mpc_reset_run_req; // @[dec_tlu_ctl.scala 769:32] + wire _T_447 = _T_446 | take_reset; // @[dec_tlu_ctl.scala 640:107] + wire _T_448 = _T_447 | illegal_r; // @[dec_tlu_ctl.scala 640:120] + wire _T_449 = io_dec_csr_wraddr_r == 12'h7c2; // @[dec_tlu_ctl.scala 640:176] + wire _T_450 = dec_csr_wen_r_mod & _T_449; // @[dec_tlu_ctl.scala 640:153] + wire _T_451 = _T_448 | _T_450; // @[dec_tlu_ctl.scala 640:132] + wire _T_452 = ~_T_451; // @[dec_tlu_ctl.scala 640:77] + wire iccm_repair_state_rfnpc = _T_444 & _T_452; // @[dec_tlu_ctl.scala 640:75] + wire _T_793 = _T_792 | iccm_repair_state_rfnpc; // @[dec_tlu_ctl.scala 783:108] + wire _T_794 = _T_793 | debug_resume_req_f; // @[dec_tlu_ctl.scala 783:135] + wire _T_786 = i_cpu_run_req_d1 & pmu_fw_tlu_halted_f; // @[dec_tlu_ctl.scala 781:43] + wire _T_211 = ~io_dec_pause_state; // @[dec_tlu_ctl.scala 480:28] + reg dec_pause_state_f; // @[dec_tlu_ctl.scala 459:81] + wire _T_212 = _T_211 & dec_pause_state_f; // @[dec_tlu_ctl.scala 480:48] + wire _T_213 = ext_int_ready | ce_int_ready; // @[dec_tlu_ctl.scala 480:86] + wire _T_214 = _T_213 | timer_int_ready; // @[dec_tlu_ctl.scala 480:101] + wire _T_215 = _T_214 | soft_int_ready; // @[dec_tlu_ctl.scala 480:119] + wire _T_216 = _T_215 | int_timer0_int_hold_f; // @[dec_tlu_ctl.scala 480:136] + wire _T_217 = _T_216 | int_timer1_int_hold_f; // @[dec_tlu_ctl.scala 480:160] + wire _T_218 = _T_217 | nmi_int_detected; // @[dec_tlu_ctl.scala 480:184] + wire _T_219 = _T_218 | ext_int_freeze_d1; // @[dec_tlu_ctl.scala 480:203] + wire _T_220 = ~_T_219; // @[dec_tlu_ctl.scala 480:70] + wire _T_221 = _T_212 & _T_220; // @[dec_tlu_ctl.scala 480:68] + wire _T_223 = _T_221 & _T_140; // @[dec_tlu_ctl.scala 480:224] + wire _T_225 = _T_223 & _T_378; // @[dec_tlu_ctl.scala 480:248] + wire _T_226 = ~pmu_fw_halt_req_f; // @[dec_tlu_ctl.scala 480:270] + wire _T_227 = _T_225 & _T_226; // @[dec_tlu_ctl.scala 480:268] + wire _T_228 = ~halt_taken_f; // @[dec_tlu_ctl.scala 480:291] + wire pause_expired_r = _T_227 & _T_228; // @[dec_tlu_ctl.scala 480:289] + wire sel_npc_resume = _T_786 | pause_expired_r; // @[dec_tlu_ctl.scala 781:66] + wire _T_795 = _T_794 | sel_npc_resume; // @[dec_tlu_ctl.scala 783:157] + reg dec_tlu_wr_pause_r_d1; // @[dec_tlu_ctl.scala 458:81] + wire _T_796 = _T_795 | dec_tlu_wr_pause_r_d1; // @[dec_tlu_ctl.scala 783:175] + wire synchronous_flush_r = _T_796 | i0_trigger_hit_raw_r; // @[dec_tlu_ctl.scala 783:201] + wire _T_749 = ~synchronous_flush_r; // @[dec_tlu_ctl.scala 770:195] + wire _T_750 = _T_748 & _T_749; // @[dec_tlu_ctl.scala 770:193] + wire _T_751 = ~mret_r; // @[dec_tlu_ctl.scala 770:218] + wire _T_752 = _T_750 & _T_751; // @[dec_tlu_ctl.scala 770:216] + wire _T_753 = ~take_reset; // @[dec_tlu_ctl.scala 770:228] + wire _T_754 = _T_752 & _T_753; // @[dec_tlu_ctl.scala 770:226] + wire _T_519 = _T_466 & dcsr[15]; // @[dec_tlu_ctl.scala 668:121] + wire ebreak_to_debug_mode_r = _T_519 & _T_470; // @[dec_tlu_ctl.scala 668:142] + wire _T_755 = ~ebreak_to_debug_mode_r; // @[dec_tlu_ctl.scala 770:242] + wire _T_756 = _T_754 & _T_755; // @[dec_tlu_ctl.scala 770:240] + wire _T_760 = _T_107 | _T_44; // @[dec_tlu_ctl.scala 770:288] + wire take_nmi = _T_756 & _T_760; // @[dec_tlu_ctl.scala 770:266] + wire _T_670 = _T_669 | take_nmi; // @[dec_tlu_ctl.scala 738:155] + wire _T_671 = _T_670 | ebreak_to_debug_mode_r; // @[dec_tlu_ctl.scala 738:166] + wire _T_672 = _T_671 | synchronous_flush_r; // @[dec_tlu_ctl.scala 738:191] + reg exc_or_int_valid_r_d1; // @[dec_tlu_ctl.scala 809:90] + wire _T_673 = _T_672 | exc_or_int_valid_r_d1; // @[dec_tlu_ctl.scala 738:214] + wire _T_674 = _T_673 | mret_r; // @[dec_tlu_ctl.scala 738:238] + wire block_interrupts = _T_674 | ext_int_freeze_d1; // @[dec_tlu_ctl.scala 738:247] + wire _T_704 = ~block_interrupts; // @[dec_tlu_ctl.scala 766:91] + wire take_timer_int = _T_703 & _T_704; // @[dec_tlu_ctl.scala 766:89] + wire _T_762 = take_ext_int | take_timer_int; // @[dec_tlu_ctl.scala 773:38] + wire _T_693 = soft_int_ready & _T_700; // @[dec_tlu_ctl.scala 765:36] + wire _T_695 = _T_693 & _T_702; // @[dec_tlu_ctl.scala 765:53] + wire take_soft_int = _T_695 & _T_704; // @[dec_tlu_ctl.scala 765:69] + wire _T_763 = _T_762 | take_soft_int; // @[dec_tlu_ctl.scala 773:55] + wire _T_764 = _T_763 | take_nmi; // @[dec_tlu_ctl.scala 773:71] + wire _T_689 = ce_int_ready & _T_700; // @[dec_tlu_ctl.scala 764:33] + wire take_ce_int = _T_689 & _T_704; // @[dec_tlu_ctl.scala 764:50] + wire _T_765 = _T_764 | take_ce_int; // @[dec_tlu_ctl.scala 773:82] + wire int_timer0_int_possible = mstatus_mie_ns & mie_ns[4]; // @[dec_tlu_ctl.scala 724:49] + wire int_timer0_int_ready = mip[4] & int_timer0_int_possible; // @[dec_tlu_ctl.scala 725:47] + wire _T_706 = int_timer0_int_ready | int_timer0_int_hold_f; // @[dec_tlu_ctl.scala 767:49] + wire _T_707 = _T_706 & int_timer0_int_possible; // @[dec_tlu_ctl.scala 767:74] + wire _T_709 = _T_707 & _T_631; // @[dec_tlu_ctl.scala 767:100] + wire _T_710 = ~timer_int_ready; // @[dec_tlu_ctl.scala 767:129] + wire _T_711 = _T_709 & _T_710; // @[dec_tlu_ctl.scala 767:127] + wire _T_713 = _T_711 & _T_698; // @[dec_tlu_ctl.scala 767:146] + wire _T_715 = _T_713 & _T_700; // @[dec_tlu_ctl.scala 767:164] + wire _T_717 = _T_715 & _T_702; // @[dec_tlu_ctl.scala 767:181] + wire take_int_timer0_int = _T_717 & _T_704; // @[dec_tlu_ctl.scala 767:197] + wire _T_766 = _T_765 | take_int_timer0_int; // @[dec_tlu_ctl.scala 773:96] + wire int_timer1_int_possible = mstatus_mie_ns & mie_ns[3]; // @[dec_tlu_ctl.scala 726:49] + wire int_timer1_int_ready = mip[3] & int_timer1_int_possible; // @[dec_tlu_ctl.scala 727:47] + wire _T_720 = int_timer1_int_ready | int_timer1_int_hold_f; // @[dec_tlu_ctl.scala 768:49] + wire _T_721 = _T_720 & int_timer1_int_possible; // @[dec_tlu_ctl.scala 768:74] + wire _T_723 = _T_721 & _T_631; // @[dec_tlu_ctl.scala 768:100] + wire _T_725 = ~_T_706; // @[dec_tlu_ctl.scala 768:129] + wire _T_726 = _T_723 & _T_725; // @[dec_tlu_ctl.scala 768:127] + wire _T_728 = _T_726 & _T_710; // @[dec_tlu_ctl.scala 768:177] + wire _T_730 = _T_728 & _T_698; // @[dec_tlu_ctl.scala 768:196] + wire _T_732 = _T_730 & _T_700; // @[dec_tlu_ctl.scala 768:214] + wire _T_734 = _T_732 & _T_702; // @[dec_tlu_ctl.scala 768:231] + wire take_int_timer1_int = _T_734 & _T_704; // @[dec_tlu_ctl.scala 768:247] + wire interrupt_valid_r = _T_766 | take_int_timer1_int; // @[dec_tlu_ctl.scala 773:118] + wire _T_15 = _T_14 | interrupt_valid_r; // @[dec_tlu_ctl.scala 316:69] + wire _T_16 = _T_15 | interrupt_valid_r_d1; // @[dec_tlu_ctl.scala 316:89] + wire _T_17 = _T_16 | reset_delayed; // @[dec_tlu_ctl.scala 316:112] + wire _T_18 = _T_17 | pause_expired_r; // @[dec_tlu_ctl.scala 316:128] + reg pause_expired_wb; // @[dec_tlu_ctl.scala 814:90] + wire _T_19 = _T_18 | pause_expired_wb; // @[dec_tlu_ctl.scala 316:146] + wire _T_496 = io_tlu_mem_ifu_ic_error_start & _T_107; // @[dec_tlu_ctl.scala 662:51] + wire _T_498 = _T_152 | dcsr_single_step_running; // @[dec_tlu_ctl.scala 662:101] + wire _T_499 = _T_496 & _T_498; // @[dec_tlu_ctl.scala 662:72] + wire _T_500 = ~internal_pmu_fw_halt_mode_f; // @[dec_tlu_ctl.scala 662:131] + wire ic_perr_r = _T_499 & _T_500; // @[dec_tlu_ctl.scala 662:129] + wire _T_20 = _T_19 | ic_perr_r; // @[dec_tlu_ctl.scala 316:165] + wire _T_21 = _T_20 | ic_perr_r_d1; // @[dec_tlu_ctl.scala 316:177] + wire _T_503 = io_tlu_mem_ifu_iccm_rd_ecc_single_err & _T_107; // @[dec_tlu_ctl.scala 663:59] + wire _T_506 = _T_503 & _T_498; // @[dec_tlu_ctl.scala 663:80] + wire iccm_sbecc_r = _T_506 & _T_500; // @[dec_tlu_ctl.scala 663:137] + wire _T_22 = _T_21 | iccm_sbecc_r; // @[dec_tlu_ctl.scala 316:192] + wire _T_23 = _T_22 | iccm_sbecc_r_d1; // @[dec_tlu_ctl.scala 316:207] + wire flush_clkvalid = _T_23 | io_dec_tlu_dec_clk_override; // @[dec_tlu_ctl.scala 316:225] + reg lsu_pmu_load_external_r; // @[dec_tlu_ctl.scala 326:80] + reg lsu_pmu_store_external_r; // @[dec_tlu_ctl.scala 327:72] + reg _T_32; // @[dec_tlu_ctl.scala 329:73] + reg internal_dbg_halt_mode_f2; // @[dec_tlu_ctl.scala 330:72] + reg _T_33; // @[dec_tlu_ctl.scala 331:89] + reg nmi_lsu_load_type_f; // @[dec_tlu_ctl.scala 340:72] + reg nmi_lsu_store_type_f; // @[dec_tlu_ctl.scala 341:72] + wire _T_46 = nmi_lsu_detected & io_tlu_busbuff_lsu_imprecise_error_load_any; // @[dec_tlu_ctl.scala 349:48] + wire _T_49 = ~_T_41; // @[dec_tlu_ctl.scala 349:96] + wire _T_50 = _T_46 & _T_49; // @[dec_tlu_ctl.scala 349:94] + wire _T_52 = nmi_lsu_load_type_f & _T_40; // @[dec_tlu_ctl.scala 349:159] + wire _T_54 = nmi_lsu_detected & io_tlu_busbuff_lsu_imprecise_error_store_any; // @[dec_tlu_ctl.scala 350:49] + wire _T_58 = _T_54 & _T_49; // @[dec_tlu_ctl.scala 350:96] + wire _T_60 = nmi_lsu_store_type_f & _T_40; // @[dec_tlu_ctl.scala 350:162] + reg mpc_debug_halt_req_sync_f; // @[dec_tlu_ctl.scala 358:72] + reg mpc_debug_run_req_sync_f; // @[dec_tlu_ctl.scala 359:72] + reg mpc_run_state_f; // @[dec_tlu_ctl.scala 361:88] + reg debug_brkpt_status_f; // @[dec_tlu_ctl.scala 362:80] + reg mpc_debug_halt_ack_f; // @[dec_tlu_ctl.scala 363:80] + reg mpc_debug_run_ack_f; // @[dec_tlu_ctl.scala 364:80] + reg dbg_run_state_f; // @[dec_tlu_ctl.scala 366:88] + reg _T_65; // @[dec_tlu_ctl.scala 367:81] + wire _T_66 = ~mpc_debug_halt_req_sync_f; // @[dec_tlu_ctl.scala 371:71] + wire mpc_debug_halt_req_sync_pulse = mpc_debug_halt_req_sync & _T_66; // @[dec_tlu_ctl.scala 371:69] + wire _T_67 = ~mpc_debug_run_req_sync_f; // @[dec_tlu_ctl.scala 372:70] + wire mpc_debug_run_req_sync_pulse = mpc_debug_run_req_sync & _T_67; // @[dec_tlu_ctl.scala 372:68] + wire _T_68 = mpc_halt_state_f | mpc_debug_halt_req_sync_pulse; // @[dec_tlu_ctl.scala 374:48] + wire _T_71 = _T_68 | _T_111; // @[dec_tlu_ctl.scala 374:80] + wire _T_72 = ~mpc_debug_run_req_sync; // @[dec_tlu_ctl.scala 374:125] + wire mpc_halt_state_ns = _T_71 & _T_72; // @[dec_tlu_ctl.scala 374:123] + wire _T_74 = ~mpc_debug_run_ack_f; // @[dec_tlu_ctl.scala 375:80] + wire _T_75 = mpc_debug_run_req_sync_pulse & _T_74; // @[dec_tlu_ctl.scala 375:78] + wire _T_76 = mpc_run_state_f | _T_75; // @[dec_tlu_ctl.scala 375:46] + wire _T_77 = ~dcsr_single_step_running_f; // @[dec_tlu_ctl.scala 375:133] + wire _T_78 = debug_mode_status & _T_77; // @[dec_tlu_ctl.scala 375:131] + wire mpc_run_state_ns = _T_76 & _T_78; // @[dec_tlu_ctl.scala 375:103] + wire _T_80 = dbg_halt_req_final | dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 377:70] + wire _T_81 = _T_80 | trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 377:96] + wire _T_82 = _T_81 | ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 377:121] + wire _T_83 = dbg_halt_state_f | _T_82; // @[dec_tlu_ctl.scala 377:48] + wire _T_84 = ~io_dbg_resume_req; // @[dec_tlu_ctl.scala 377:153] + wire dbg_halt_state_ns = _T_83 & _T_84; // @[dec_tlu_ctl.scala 377:151] + wire _T_86 = dbg_run_state_f | io_dbg_resume_req; // @[dec_tlu_ctl.scala 378:46] + wire dbg_run_state_ns = _T_86 & _T_78; // @[dec_tlu_ctl.scala 378:67] + wire debug_brkpt_valid = ebreak_to_debug_mode_r_d1 | trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 384:59] + wire _T_92 = debug_brkpt_valid | debug_brkpt_status_f; // @[dec_tlu_ctl.scala 385:53] + wire _T_94 = internal_dbg_halt_mode & _T_77; // @[dec_tlu_ctl.scala 385:103] + wire _T_96 = mpc_halt_state_f & debug_mode_status; // @[dec_tlu_ctl.scala 388:51] + wire _T_97 = _T_96 & mpc_debug_halt_req_sync; // @[dec_tlu_ctl.scala 388:78] + wire _T_99 = ~dbg_halt_state_ns; // @[dec_tlu_ctl.scala 389:59] + wire _T_100 = mpc_debug_run_req_sync & _T_99; // @[dec_tlu_ctl.scala 389:57] + wire _T_101 = ~mpc_debug_halt_req_sync; // @[dec_tlu_ctl.scala 389:80] + wire _T_102 = _T_100 & _T_101; // @[dec_tlu_ctl.scala 389:78] + wire _T_103 = mpc_debug_run_ack_f & mpc_debug_run_req_sync; // @[dec_tlu_ctl.scala 389:129] + wire _T_118 = mpc_run_state_ns & _T_99; // @[dec_tlu_ctl.scala 403:73] + wire _T_119 = ~mpc_halt_state_ns; // @[dec_tlu_ctl.scala 403:117] + wire _T_120 = dbg_run_state_ns & _T_119; // @[dec_tlu_ctl.scala 403:115] + wire _T_121 = _T_118 | _T_120; // @[dec_tlu_ctl.scala 403:95] + wire _T_122 = debug_halt_req_f | pmu_fw_halt_req_f; // @[dec_tlu_ctl.scala 408:43] + wire _T_124 = _T_122 & _T_749; // @[dec_tlu_ctl.scala 408:64] + wire _T_126 = _T_124 & _T_751; // @[dec_tlu_ctl.scala 408:87] + wire _T_128 = _T_126 & _T_228; // @[dec_tlu_ctl.scala 408:97] + wire _T_129 = ~dec_tlu_flush_noredir_r_d1; // @[dec_tlu_ctl.scala 408:115] + wire _T_130 = _T_128 & _T_129; // @[dec_tlu_ctl.scala 408:113] + wire take_halt = _T_130 & _T_753; // @[dec_tlu_ctl.scala 408:143] + wire _T_170 = debug_resume_req_f & dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 433:49] + wire _T_172 = io_dec_tlu_i0_valid_r & _T_528; // @[dec_tlu_ctl.scala 435:59] + wire _T_174 = _T_172 & dcsr[2]; // @[dec_tlu_ctl.scala 435:84] + wire _T_329 = mtdata1_t_3[6] & mtdata1_t_3[9]; // @[dec_tlu_ctl.scala 530:61] + wire _T_332 = mtdata1_t_2[6] & mtdata1_t_2[9]; // @[dec_tlu_ctl.scala 530:121] + wire _T_335 = mtdata1_t_1[6] & mtdata1_t_1[9]; // @[dec_tlu_ctl.scala 530:181] + wire _T_338 = mtdata1_t_0[6] & mtdata1_t_0[9]; // @[dec_tlu_ctl.scala 530:241] + wire [3:0] trigger_action = {_T_329,_T_332,_T_335,_T_338}; // @[Cat.scala 29:58] + wire [3:0] _T_343 = i0_trigger_chain_masked_r & trigger_action; // @[dec_tlu_ctl.scala 536:57] + wire i0_trigger_action_r = |_T_343; // @[dec_tlu_ctl.scala 536:75] + wire trigger_hit_dmode_r = i0_trigger_hit_raw_r & i0_trigger_action_r; // @[dec_tlu_ctl.scala 538:45] + wire _T_180 = trigger_hit_dmode_r | ebreak_to_debug_mode_r; // @[dec_tlu_ctl.scala 442:57] + wire _T_182 = request_debug_mode_r_d1 & _T_402; // @[dec_tlu_ctl.scala 442:110] + reg request_debug_mode_done_f; // @[dec_tlu_ctl.scala 461:73] + wire _T_183 = request_debug_mode_r_d1 | request_debug_mode_done_f; // @[dec_tlu_ctl.scala 444:64] + reg _T_190; // @[dec_tlu_ctl.scala 452:81] + wire _T_201 = fence_i_r & internal_dbg_halt_mode; // @[dec_tlu_ctl.scala 473:71] + wire _T_202 = take_halt | _T_201; // @[dec_tlu_ctl.scala 473:58] + wire _T_203 = _T_202 | io_dec_tlu_flush_pause_r; // @[dec_tlu_ctl.scala 473:97] + wire _T_204 = i0_trigger_hit_raw_r & trigger_hit_dmode_r; // @[dec_tlu_ctl.scala 473:144] + wire _T_205 = _T_203 | _T_204; // @[dec_tlu_ctl.scala 473:124] + wire take_ext_int_start = ext_int_ready & _T_704; // @[dec_tlu_ctl.scala 746:45] + wire _T_207 = ~interrupt_valid_r; // @[dec_tlu_ctl.scala 478:61] + wire _T_208 = dec_tlu_wr_pause_r_d1 & _T_207; // @[dec_tlu_ctl.scala 478:59] + wire _T_209 = ~take_ext_int_start; // @[dec_tlu_ctl.scala 478:82] + wire _T_231 = io_tlu_exu_dec_tlu_flush_lower_r & dcsr[2]; // @[dec_tlu_ctl.scala 482:82] + wire _T_232 = io_dec_tlu_resume_ack | dcsr_single_step_running; // @[dec_tlu_ctl.scala 482:125] + wire _T_233 = _T_231 & _T_232; // @[dec_tlu_ctl.scala 482:100] + wire _T_234 = ~io_tlu_ifc_dec_tlu_flush_noredir_wb; // @[dec_tlu_ctl.scala 482:155] + wire [3:0] _T_342 = i0_trigger_hit_raw_r ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire _T_345 = ~trigger_hit_dmode_r; // @[dec_tlu_ctl.scala 540:55] + wire mepc_trigger_hit_sel_pc_r = i0_trigger_hit_raw_r & _T_345; // @[dec_tlu_ctl.scala 540:53] + wire _T_350 = i_cpu_run_req_sync & _T_346; // @[dec_tlu_ctl.scala 568:58] + wire _T_351 = _T_350 & pmu_fw_tlu_halted_f; // @[dec_tlu_ctl.scala 568:83] + wire i_cpu_run_req_sync_qual = _T_351 & _T_107; // @[dec_tlu_ctl.scala 568:105] + reg _T_353; // @[dec_tlu_ctl.scala 572:81] + reg _T_354; // @[dec_tlu_ctl.scala 573:81] + reg _T_355; // @[dec_tlu_ctl.scala 574:81] + wire _T_384 = io_o_cpu_halt_status & _T_375; // @[dec_tlu_ctl.scala 592:89] + wire _T_386 = _T_384 & _T_152; // @[dec_tlu_ctl.scala 592:109] + wire _T_388 = io_o_cpu_halt_status & i_cpu_run_req_sync_qual; // @[dec_tlu_ctl.scala 593:41] + wire _T_389 = io_o_cpu_run_ack & i_cpu_run_req_sync_qual; // @[dec_tlu_ctl.scala 593:88] + reg lsu_single_ecc_error_r_d1; // @[dec_tlu_ctl.scala 605:72] + reg lsu_i0_exc_r_d1; // @[dec_tlu_ctl.scala 612:73] + wire _T_408 = ~io_lsu_error_pkt_r_bits_exc_type; // @[dec_tlu_ctl.scala 613:40] + wire lsu_exc_ma_r = lsu_exc_valid_r & _T_408; // @[dec_tlu_ctl.scala 613:38] + wire lsu_exc_acc_r = lsu_exc_valid_r & io_lsu_error_pkt_r_bits_exc_type; // @[dec_tlu_ctl.scala 614:38] + wire lsu_exc_st_r = lsu_exc_valid_r & io_lsu_error_pkt_r_bits_inst_type; // @[dec_tlu_ctl.scala 615:38] + wire _T_424 = rfpc_i0_r | lsu_exc_valid_r; // @[dec_tlu_ctl.scala 625:38] + wire _T_425 = _T_424 | inst_acc_r; // @[dec_tlu_ctl.scala 625:53] + wire _T_426 = illegal_r & io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 625:79] + wire _T_427 = _T_425 | _T_426; // @[dec_tlu_ctl.scala 625:66] + wire _T_441 = ~io_tlu_exu_dec_tlu_flush_lower_r; // @[dec_tlu_ctl.scala 634:70] + wire _T_442 = iccm_repair_state_d1 & _T_441; // @[dec_tlu_ctl.scala 634:68] + wire _T_453 = io_tlu_exu_exu_i0_br_error_r & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 643:59] + wire _T_455 = io_tlu_exu_exu_i0_br_start_error_r & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 644:71] + wire _T_457 = io_tlu_exu_exu_i0_br_valid_r & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 645:55] + wire _T_459 = _T_457 & _T_429; // @[dec_tlu_ctl.scala 645:79] + wire _T_460 = ~io_tlu_exu_exu_i0_br_mp_r; // @[dec_tlu_ctl.scala 645:106] + wire _T_461 = ~io_tlu_exu_exu_pmu_i0_br_ataken; // @[dec_tlu_ctl.scala 645:135] + wire _T_462 = _T_460 | _T_461; // @[dec_tlu_ctl.scala 645:133] + wire _T_529 = ~take_nmi; // @[dec_tlu_ctl.scala 693:33] + wire _T_530 = take_ext_int & _T_529; // @[dec_tlu_ctl.scala 693:31] + wire _T_533 = take_timer_int & _T_529; // @[dec_tlu_ctl.scala 694:25] + wire _T_536 = take_soft_int & _T_529; // @[dec_tlu_ctl.scala 695:24] + wire _T_539 = take_int_timer0_int & _T_529; // @[dec_tlu_ctl.scala 696:30] + wire _T_542 = take_int_timer1_int & _T_529; // @[dec_tlu_ctl.scala 697:30] + wire _T_545 = take_ce_int & _T_529; // @[dec_tlu_ctl.scala 698:22] + wire _T_548 = illegal_r & _T_529; // @[dec_tlu_ctl.scala 699:20] + wire _T_551 = ecall_r & _T_529; // @[dec_tlu_ctl.scala 700:19] + wire _T_554 = inst_acc_r & _T_529; // @[dec_tlu_ctl.scala 701:22] + wire _T_556 = ebreak_r | i0_trigger_hit_raw_r; // @[dec_tlu_ctl.scala 702:20] + wire _T_558 = _T_556 & _T_529; // @[dec_tlu_ctl.scala 702:40] + wire _T_560 = ~lsu_exc_st_r; // @[dec_tlu_ctl.scala 703:25] + wire _T_561 = lsu_exc_ma_r & _T_560; // @[dec_tlu_ctl.scala 703:23] + wire _T_563 = _T_561 & _T_529; // @[dec_tlu_ctl.scala 703:39] + wire _T_566 = lsu_exc_acc_r & _T_560; // @[dec_tlu_ctl.scala 704:24] + wire _T_568 = _T_566 & _T_529; // @[dec_tlu_ctl.scala 704:40] + wire _T_570 = lsu_exc_ma_r & lsu_exc_st_r; // @[dec_tlu_ctl.scala 705:23] + wire _T_572 = _T_570 & _T_529; // @[dec_tlu_ctl.scala 705:38] + wire _T_574 = lsu_exc_acc_r & lsu_exc_st_r; // @[dec_tlu_ctl.scala 706:24] + wire _T_576 = _T_574 & _T_529; // @[dec_tlu_ctl.scala 706:39] + wire [4:0] _T_578 = _T_530 ? 5'hb : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_579 = _T_533 ? 5'h7 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_580 = _T_536 ? 5'h3 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_581 = _T_539 ? 5'h1d : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_582 = _T_542 ? 5'h1c : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_583 = _T_545 ? 5'h1e : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_584 = _T_548 ? 5'h2 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_585 = _T_551 ? 5'hb : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_586 = _T_554 ? 5'h1 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_587 = _T_558 ? 5'h3 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_588 = _T_563 ? 5'h4 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_589 = _T_568 ? 5'h5 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_590 = _T_572 ? 5'h6 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_591 = _T_576 ? 5'h7 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_592 = _T_578 | _T_579; // @[Mux.scala 27:72] + wire [4:0] _T_593 = _T_592 | _T_580; // @[Mux.scala 27:72] + wire [4:0] _T_594 = _T_593 | _T_581; // @[Mux.scala 27:72] + wire [4:0] _T_595 = _T_594 | _T_582; // @[Mux.scala 27:72] + wire [4:0] _T_596 = _T_595 | _T_583; // @[Mux.scala 27:72] + wire [4:0] _T_597 = _T_596 | _T_584; // @[Mux.scala 27:72] + wire [4:0] _T_598 = _T_597 | _T_585; // @[Mux.scala 27:72] + wire [4:0] _T_599 = _T_598 | _T_586; // @[Mux.scala 27:72] + wire [4:0] _T_600 = _T_599 | _T_587; // @[Mux.scala 27:72] + wire [4:0] _T_601 = _T_600 | _T_588; // @[Mux.scala 27:72] + wire [4:0] _T_602 = _T_601 | _T_589; // @[Mux.scala 27:72] + wire [4:0] _T_603 = _T_602 | _T_590; // @[Mux.scala 27:72] + wire [4:0] exc_cause_r = _T_603 | _T_591; // @[Mux.scala 27:72] + wire _T_641 = io_dec_csr_stall_int_ff | synchronous_flush_r; // @[dec_tlu_ctl.scala 731:52] + wire _T_642 = _T_641 | exc_or_int_valid_r_d1; // @[dec_tlu_ctl.scala 731:74] + wire int_timer_stalled = _T_642 | mret_r; // @[dec_tlu_ctl.scala 731:98] + wire _T_643 = pmu_fw_tlu_halted_f | int_timer_stalled; // @[dec_tlu_ctl.scala 733:72] + wire _T_644 = int_timer0_int_ready & _T_643; // @[dec_tlu_ctl.scala 733:49] + wire _T_645 = int_timer0_int_possible & int_timer0_int_hold_f; // @[dec_tlu_ctl.scala 733:121] + wire _T_647 = _T_645 & _T_207; // @[dec_tlu_ctl.scala 733:145] + wire _T_649 = _T_647 & _T_209; // @[dec_tlu_ctl.scala 733:166] + wire _T_651 = _T_649 & _T_152; // @[dec_tlu_ctl.scala 733:188] + wire _T_654 = int_timer1_int_ready & _T_643; // @[dec_tlu_ctl.scala 734:49] + wire _T_655 = int_timer1_int_possible & int_timer1_int_hold_f; // @[dec_tlu_ctl.scala 734:121] + wire _T_657 = _T_655 & _T_207; // @[dec_tlu_ctl.scala 734:145] + wire _T_659 = _T_657 & _T_209; // @[dec_tlu_ctl.scala 734:166] + wire _T_661 = _T_659 & _T_152; // @[dec_tlu_ctl.scala 734:188] + reg take_ext_int_start_d2; // @[dec_tlu_ctl.scala 743:62] + wire _T_681 = take_ext_int_start | take_ext_int_start_d1; // @[dec_tlu_ctl.scala 748:46] + wire _T_682 = _T_681 | take_ext_int_start_d2; // @[dec_tlu_ctl.scala 748:70] + wire csr_pkt_csr_meicpct = csr_read_io_csr_pkt_csr_meicpct; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire fast_int_meicpct = csr_pkt_csr_meicpct & io_dec_csr_any_unq_d; // @[dec_tlu_ctl.scala 750:49] + wire [30:0] mtvec = csr_io_mtvec; // @[dec_tlu_ctl.scala 1006:31] + wire [30:0] _T_769 = {mtvec[30:1],1'h0}; // @[Cat.scala 29:58] + wire [30:0] _T_771 = {25'h0,exc_cause_r,1'h0}; // @[Cat.scala 29:58] + wire [30:0] vectored_path = _T_769 + _T_771; // @[dec_tlu_ctl.scala 778:51] + wire [30:0] _T_778 = mtvec[0] ? vectored_path : _T_769; // @[dec_tlu_ctl.scala 779:61] + wire [30:0] interrupt_path = take_nmi ? io_nmi_vec : _T_778; // @[dec_tlu_ctl.scala 779:28] + wire _T_779 = lsu_i0_rfnpc_r | fence_i_r; // @[dec_tlu_ctl.scala 780:36] + wire _T_780 = _T_779 | iccm_repair_state_rfnpc; // @[dec_tlu_ctl.scala 780:48] + wire _T_782 = i_cpu_run_req_d1 & _T_207; // @[dec_tlu_ctl.scala 780:94] + wire _T_783 = _T_780 | _T_782; // @[dec_tlu_ctl.scala 780:74] + wire _T_785 = rfpc_i0_r & _T_743; // @[dec_tlu_ctl.scala 780:129] + wire sel_npc_r = _T_783 | _T_785; // @[dec_tlu_ctl.scala 780:116] + wire _T_798 = interrupt_valid_r | mret_r; // @[dec_tlu_ctl.scala 784:43] + wire _T_799 = _T_798 | synchronous_flush_r; // @[dec_tlu_ctl.scala 784:52] + wire _T_800 = _T_799 | take_halt; // @[dec_tlu_ctl.scala 784:74] + wire _T_801 = _T_800 | take_reset; // @[dec_tlu_ctl.scala 784:86] + wire _T_807 = _T_529 & sel_npc_r; // @[dec_tlu_ctl.scala 788:73] + wire _T_810 = _T_529 & rfpc_i0_r; // @[dec_tlu_ctl.scala 789:73] + wire _T_812 = _T_810 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 789:91] + wire _T_813 = ~sel_npc_r; // @[dec_tlu_ctl.scala 789:132] + wire _T_814 = _T_812 & _T_813; // @[dec_tlu_ctl.scala 789:121] + wire _T_816 = ~take_ext_int; // @[dec_tlu_ctl.scala 790:96] + wire _T_817 = interrupt_valid_r & _T_816; // @[dec_tlu_ctl.scala 790:82] + wire _T_818 = i0_exception_valid_r | lsu_exc_valid_r; // @[dec_tlu_ctl.scala 791:80] + wire _T_821 = _T_818 | mepc_trigger_hit_sel_pc_r; // @[dec_tlu_ctl.scala 791:98] + wire _T_823 = _T_821 & _T_207; // @[dec_tlu_ctl.scala 791:143] + wire _T_825 = _T_823 & _T_816; // @[dec_tlu_ctl.scala 791:164] + wire _T_830 = _T_529 & mret_r; // @[dec_tlu_ctl.scala 792:68] + wire _T_833 = _T_529 & debug_resume_req_f; // @[dec_tlu_ctl.scala 793:68] + wire _T_836 = _T_529 & sel_npc_resume; // @[dec_tlu_ctl.scala 794:68] + wire [30:0] _T_838 = take_ext_int ? io_lsu_fir_addr : 31'h0; // @[Mux.scala 27:72] + wire [30:0] npc_r = csr_io_npc_r; // @[dec_tlu_ctl.scala 994:31] + wire [30:0] _T_839 = _T_807 ? npc_r : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_840 = _T_814 ? io_dec_tlu_i0_pc_r : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_841 = _T_817 ? interrupt_path : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_842 = _T_825 ? _T_769 : 31'h0; // @[Mux.scala 27:72] + wire [30:0] mepc = csr_io_mepc; // @[dec_tlu_ctl.scala 997:31] + wire [30:0] _T_843 = _T_830 ? mepc : 31'h0; // @[Mux.scala 27:72] + wire [30:0] dpc = csr_io_dpc; // @[dec_tlu_ctl.scala 1000:31] + wire [30:0] _T_844 = _T_833 ? dpc : 31'h0; // @[Mux.scala 27:72] + wire [30:0] npc_r_d1 = csr_io_npc_r_d1; // @[dec_tlu_ctl.scala 995:31] + wire [30:0] _T_845 = _T_836 ? npc_r_d1 : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_846 = _T_838 | _T_839; // @[Mux.scala 27:72] + wire [30:0] _T_847 = _T_846 | _T_840; // @[Mux.scala 27:72] + wire [30:0] _T_848 = _T_847 | _T_841; // @[Mux.scala 27:72] + wire [30:0] _T_849 = _T_848 | _T_842; // @[Mux.scala 27:72] + wire [30:0] _T_850 = _T_849 | _T_843; // @[Mux.scala 27:72] + wire [30:0] _T_851 = _T_850 | _T_844; // @[Mux.scala 27:72] + wire [30:0] _T_852 = _T_851 | _T_845; // @[Mux.scala 27:72] + reg [30:0] tlu_flush_path_r_d1; // @[dec_tlu_ctl.scala 797:64] + wire _T_854 = lsu_exc_valid_r | i0_exception_valid_r; // @[dec_tlu_ctl.scala 805:45] + wire _T_855 = _T_854 | interrupt_valid_r; // @[dec_tlu_ctl.scala 805:68] + reg i0_exception_valid_r_d1; // @[dec_tlu_ctl.scala 808:89] + reg [4:0] exc_cause_wb; // @[dec_tlu_ctl.scala 810:89] + wire _T_860 = ~illegal_r; // @[dec_tlu_ctl.scala 811:119] + reg i0_valid_wb; // @[dec_tlu_ctl.scala 811:97] + reg trigger_hit_r_d1; // @[dec_tlu_ctl.scala 812:89] + wire csr_pkt_presync = csr_read_io_csr_pkt_presync; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire _T_864 = csr_pkt_presync & io_dec_csr_any_unq_d; // @[dec_tlu_ctl.scala 1013:42] + wire _T_865 = ~io_dec_csr_wen_unq_d; // @[dec_tlu_ctl.scala 1013:67] + wire csr_pkt_postsync = csr_read_io_csr_pkt_postsync; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire csr_pkt_csr_dcsr = csr_read_io_csr_pkt_csr_dcsr; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire csr_pkt_csr_dpc = csr_read_io_csr_pkt_csr_dpc; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire _T_874 = csr_pkt_csr_dcsr | csr_pkt_csr_dpc; // @[dec_tlu_ctl.scala 1018:55] + wire csr_pkt_csr_dmst = csr_read_io_csr_pkt_csr_dmst; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire _T_875 = _T_874 | csr_pkt_csr_dmst; // @[dec_tlu_ctl.scala 1018:73] + wire csr_pkt_csr_dicawics = csr_read_io_csr_pkt_csr_dicawics; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire _T_876 = _T_875 | csr_pkt_csr_dicawics; // @[dec_tlu_ctl.scala 1018:92] + wire csr_pkt_csr_dicad0 = csr_read_io_csr_pkt_csr_dicad0; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire _T_877 = _T_876 | csr_pkt_csr_dicad0; // @[dec_tlu_ctl.scala 1018:115] + wire csr_pkt_csr_dicad0h = csr_read_io_csr_pkt_csr_dicad0h; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire _T_878 = _T_877 | csr_pkt_csr_dicad0h; // @[dec_tlu_ctl.scala 1018:136] + wire csr_pkt_csr_dicad1 = csr_read_io_csr_pkt_csr_dicad1; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire _T_879 = _T_878 | csr_pkt_csr_dicad1; // @[dec_tlu_ctl.scala 1018:158] + wire csr_pkt_csr_dicago = csr_read_io_csr_pkt_csr_dicago; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire _T_880 = _T_879 | csr_pkt_csr_dicago; // @[dec_tlu_ctl.scala 1018:179] + wire _T_881 = ~_T_880; // @[dec_tlu_ctl.scala 1018:36] + wire _T_882 = _T_881 | dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 1018:201] + wire csr_pkt_legal = csr_read_io_csr_pkt_legal; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire _T_883 = csr_pkt_legal & _T_882; // @[dec_tlu_ctl.scala 1018:33] + wire _T_884 = ~fast_int_meicpct; // @[dec_tlu_ctl.scala 1018:223] + wire valid_csr = _T_883 & _T_884; // @[dec_tlu_ctl.scala 1018:221] + wire _T_887 = io_dec_csr_any_unq_d & valid_csr; // @[dec_tlu_ctl.scala 1020:46] + wire csr_pkt_csr_mvendorid = csr_read_io_csr_pkt_csr_mvendorid; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire csr_pkt_csr_marchid = csr_read_io_csr_pkt_csr_marchid; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire _T_888 = csr_pkt_csr_mvendorid | csr_pkt_csr_marchid; // @[dec_tlu_ctl.scala 1020:107] + wire csr_pkt_csr_mimpid = csr_read_io_csr_pkt_csr_mimpid; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire _T_889 = _T_888 | csr_pkt_csr_mimpid; // @[dec_tlu_ctl.scala 1020:129] + wire csr_pkt_csr_mhartid = csr_read_io_csr_pkt_csr_mhartid; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire _T_890 = _T_889 | csr_pkt_csr_mhartid; // @[dec_tlu_ctl.scala 1020:150] + wire csr_pkt_csr_mdseac = csr_read_io_csr_pkt_csr_mdseac; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire _T_891 = _T_890 | csr_pkt_csr_mdseac; // @[dec_tlu_ctl.scala 1020:172] + wire csr_pkt_csr_meihap = csr_read_io_csr_pkt_csr_meihap; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire _T_892 = _T_891 | csr_pkt_csr_meihap; // @[dec_tlu_ctl.scala 1020:193] + wire _T_893 = io_dec_csr_wen_unq_d & _T_892; // @[dec_tlu_ctl.scala 1020:82] + wire _T_894 = ~_T_893; // @[dec_tlu_ctl.scala 1020:59] + dec_timer_ctl int_timers ( // @[dec_tlu_ctl.scala 275:30] + .clock(int_timers_clock), + .reset(int_timers_reset), + .io_free_clk(int_timers_io_free_clk), + .io_scan_mode(int_timers_io_scan_mode), + .io_dec_csr_wen_r_mod(int_timers_io_dec_csr_wen_r_mod), + .io_dec_csr_wraddr_r(int_timers_io_dec_csr_wraddr_r), + .io_dec_csr_wrdata_r(int_timers_io_dec_csr_wrdata_r), + .io_csr_mitctl0(int_timers_io_csr_mitctl0), + .io_csr_mitctl1(int_timers_io_csr_mitctl1), + .io_csr_mitb0(int_timers_io_csr_mitb0), + .io_csr_mitb1(int_timers_io_csr_mitb1), + .io_csr_mitcnt0(int_timers_io_csr_mitcnt0), + .io_csr_mitcnt1(int_timers_io_csr_mitcnt1), + .io_dec_pause_state(int_timers_io_dec_pause_state), + .io_dec_tlu_pmu_fw_halted(int_timers_io_dec_tlu_pmu_fw_halted), + .io_internal_dbg_halt_timers(int_timers_io_internal_dbg_halt_timers), + .io_dec_timer_rddata_d(int_timers_io_dec_timer_rddata_d), + .io_dec_timer_read_d(int_timers_io_dec_timer_read_d), + .io_dec_timer_t0_pulse(int_timers_io_dec_timer_t0_pulse), + .io_dec_timer_t1_pulse(int_timers_io_dec_timer_t1_pulse) + ); + rvclkhdr rvclkhdr ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + csr_tlu csr ( // @[dec_tlu_ctl.scala 816:15] + .clock(csr_clock), + .reset(csr_reset), + .io_free_clk(csr_io_free_clk), + .io_active_clk(csr_io_active_clk), + .io_scan_mode(csr_io_scan_mode), + .io_dec_csr_wrdata_r(csr_io_dec_csr_wrdata_r), + .io_dec_csr_wraddr_r(csr_io_dec_csr_wraddr_r), + .io_dec_csr_rdaddr_d(csr_io_dec_csr_rdaddr_d), + .io_dec_csr_wen_unq_d(csr_io_dec_csr_wen_unq_d), + .io_dec_i0_decode_d(csr_io_dec_i0_decode_d), + .io_dec_tlu_ic_diag_pkt_icache_wrdata(csr_io_dec_tlu_ic_diag_pkt_icache_wrdata), + .io_dec_tlu_ic_diag_pkt_icache_dicawics(csr_io_dec_tlu_ic_diag_pkt_icache_dicawics), + .io_dec_tlu_ic_diag_pkt_icache_rd_valid(csr_io_dec_tlu_ic_diag_pkt_icache_rd_valid), + .io_dec_tlu_ic_diag_pkt_icache_wr_valid(csr_io_dec_tlu_ic_diag_pkt_icache_wr_valid), + .io_ifu_ic_debug_rd_data_valid(csr_io_ifu_ic_debug_rd_data_valid), + .io_trigger_pkt_any_0_select(csr_io_trigger_pkt_any_0_select), + .io_trigger_pkt_any_0_match_pkt(csr_io_trigger_pkt_any_0_match_pkt), + .io_trigger_pkt_any_0_store(csr_io_trigger_pkt_any_0_store), + .io_trigger_pkt_any_0_load(csr_io_trigger_pkt_any_0_load), + .io_trigger_pkt_any_0_execute(csr_io_trigger_pkt_any_0_execute), + .io_trigger_pkt_any_0_m(csr_io_trigger_pkt_any_0_m), + .io_trigger_pkt_any_0_tdata2(csr_io_trigger_pkt_any_0_tdata2), + .io_trigger_pkt_any_1_select(csr_io_trigger_pkt_any_1_select), + .io_trigger_pkt_any_1_match_pkt(csr_io_trigger_pkt_any_1_match_pkt), + .io_trigger_pkt_any_1_store(csr_io_trigger_pkt_any_1_store), + .io_trigger_pkt_any_1_load(csr_io_trigger_pkt_any_1_load), + .io_trigger_pkt_any_1_execute(csr_io_trigger_pkt_any_1_execute), + .io_trigger_pkt_any_1_m(csr_io_trigger_pkt_any_1_m), + .io_trigger_pkt_any_1_tdata2(csr_io_trigger_pkt_any_1_tdata2), + .io_trigger_pkt_any_2_select(csr_io_trigger_pkt_any_2_select), + .io_trigger_pkt_any_2_match_pkt(csr_io_trigger_pkt_any_2_match_pkt), + .io_trigger_pkt_any_2_store(csr_io_trigger_pkt_any_2_store), + .io_trigger_pkt_any_2_load(csr_io_trigger_pkt_any_2_load), + .io_trigger_pkt_any_2_execute(csr_io_trigger_pkt_any_2_execute), + .io_trigger_pkt_any_2_m(csr_io_trigger_pkt_any_2_m), + .io_trigger_pkt_any_2_tdata2(csr_io_trigger_pkt_any_2_tdata2), + .io_trigger_pkt_any_3_select(csr_io_trigger_pkt_any_3_select), + .io_trigger_pkt_any_3_match_pkt(csr_io_trigger_pkt_any_3_match_pkt), + .io_trigger_pkt_any_3_store(csr_io_trigger_pkt_any_3_store), + .io_trigger_pkt_any_3_load(csr_io_trigger_pkt_any_3_load), + .io_trigger_pkt_any_3_execute(csr_io_trigger_pkt_any_3_execute), + .io_trigger_pkt_any_3_m(csr_io_trigger_pkt_any_3_m), + .io_trigger_pkt_any_3_tdata2(csr_io_trigger_pkt_any_3_tdata2), + .io_ifu_pmu_bus_trxn(csr_io_ifu_pmu_bus_trxn), + .io_dma_iccm_stall_any(csr_io_dma_iccm_stall_any), + .io_dma_dccm_stall_any(csr_io_dma_dccm_stall_any), + .io_lsu_store_stall_any(csr_io_lsu_store_stall_any), + .io_dec_pmu_presync_stall(csr_io_dec_pmu_presync_stall), + .io_dec_pmu_postsync_stall(csr_io_dec_pmu_postsync_stall), + .io_dec_pmu_decode_stall(csr_io_dec_pmu_decode_stall), + .io_ifu_pmu_fetch_stall(csr_io_ifu_pmu_fetch_stall), + .io_dec_tlu_packet_r_icaf_type(csr_io_dec_tlu_packet_r_icaf_type), + .io_dec_tlu_packet_r_pmu_i0_itype(csr_io_dec_tlu_packet_r_pmu_i0_itype), + .io_dec_tlu_packet_r_pmu_i0_br_unpred(csr_io_dec_tlu_packet_r_pmu_i0_br_unpred), + .io_dec_tlu_packet_r_pmu_divide(csr_io_dec_tlu_packet_r_pmu_divide), + .io_dec_tlu_packet_r_pmu_lsu_misaligned(csr_io_dec_tlu_packet_r_pmu_lsu_misaligned), + .io_exu_pmu_i0_br_ataken(csr_io_exu_pmu_i0_br_ataken), + .io_exu_pmu_i0_br_misp(csr_io_exu_pmu_i0_br_misp), + .io_dec_pmu_instr_decoded(csr_io_dec_pmu_instr_decoded), + .io_ifu_pmu_instr_aligned(csr_io_ifu_pmu_instr_aligned), + .io_exu_pmu_i0_pc4(csr_io_exu_pmu_i0_pc4), + .io_ifu_pmu_ic_miss(csr_io_ifu_pmu_ic_miss), + .io_ifu_pmu_ic_hit(csr_io_ifu_pmu_ic_hit), + .io_dec_tlu_int_valid_wb1(csr_io_dec_tlu_int_valid_wb1), + .io_dec_tlu_i0_exc_valid_wb1(csr_io_dec_tlu_i0_exc_valid_wb1), + .io_dec_tlu_i0_valid_wb1(csr_io_dec_tlu_i0_valid_wb1), + .io_dec_csr_wen_r(csr_io_dec_csr_wen_r), + .io_dec_tlu_mtval_wb1(csr_io_dec_tlu_mtval_wb1), + .io_dec_tlu_exc_cause_wb1(csr_io_dec_tlu_exc_cause_wb1), + .io_dec_tlu_perfcnt0(csr_io_dec_tlu_perfcnt0), + .io_dec_tlu_perfcnt1(csr_io_dec_tlu_perfcnt1), + .io_dec_tlu_perfcnt2(csr_io_dec_tlu_perfcnt2), + .io_dec_tlu_perfcnt3(csr_io_dec_tlu_perfcnt3), + .io_dec_tlu_dbg_halted(csr_io_dec_tlu_dbg_halted), + .io_dma_pmu_dccm_write(csr_io_dma_pmu_dccm_write), + .io_dma_pmu_dccm_read(csr_io_dma_pmu_dccm_read), + .io_dma_pmu_any_write(csr_io_dma_pmu_any_write), + .io_dma_pmu_any_read(csr_io_dma_pmu_any_read), + .io_lsu_pmu_bus_busy(csr_io_lsu_pmu_bus_busy), + .io_dec_tlu_i0_pc_r(csr_io_dec_tlu_i0_pc_r), + .io_dec_tlu_i0_valid_r(csr_io_dec_tlu_i0_valid_r), + .io_dec_csr_any_unq_d(csr_io_dec_csr_any_unq_d), + .io_dec_tlu_misc_clk_override(csr_io_dec_tlu_misc_clk_override), + .io_dec_tlu_dec_clk_override(csr_io_dec_tlu_dec_clk_override), + .io_dec_tlu_ifu_clk_override(csr_io_dec_tlu_ifu_clk_override), + .io_dec_tlu_lsu_clk_override(csr_io_dec_tlu_lsu_clk_override), + .io_dec_tlu_bus_clk_override(csr_io_dec_tlu_bus_clk_override), + .io_dec_tlu_pic_clk_override(csr_io_dec_tlu_pic_clk_override), + .io_dec_tlu_dccm_clk_override(csr_io_dec_tlu_dccm_clk_override), + .io_dec_tlu_icm_clk_override(csr_io_dec_tlu_icm_clk_override), + .io_dec_csr_rddata_d(csr_io_dec_csr_rddata_d), + .io_dec_tlu_pipelining_disable(csr_io_dec_tlu_pipelining_disable), + .io_dec_tlu_wr_pause_r(csr_io_dec_tlu_wr_pause_r), + .io_ifu_pmu_bus_busy(csr_io_ifu_pmu_bus_busy), + .io_lsu_pmu_bus_error(csr_io_lsu_pmu_bus_error), + .io_ifu_pmu_bus_error(csr_io_ifu_pmu_bus_error), + .io_lsu_pmu_bus_misaligned(csr_io_lsu_pmu_bus_misaligned), + .io_lsu_pmu_bus_trxn(csr_io_lsu_pmu_bus_trxn), + .io_ifu_ic_debug_rd_data(csr_io_ifu_ic_debug_rd_data), + .io_dec_tlu_meipt(csr_io_dec_tlu_meipt), + .io_pic_pl(csr_io_pic_pl), + .io_dec_tlu_meicurpl(csr_io_dec_tlu_meicurpl), + .io_dec_tlu_meihap(csr_io_dec_tlu_meihap), + .io_pic_claimid(csr_io_pic_claimid), + .io_iccm_dma_sb_error(csr_io_iccm_dma_sb_error), + .io_lsu_imprecise_error_addr_any(csr_io_lsu_imprecise_error_addr_any), + .io_lsu_imprecise_error_load_any(csr_io_lsu_imprecise_error_load_any), + .io_lsu_imprecise_error_store_any(csr_io_lsu_imprecise_error_store_any), + .io_dec_tlu_mrac_ff(csr_io_dec_tlu_mrac_ff), + .io_dec_tlu_wb_coalescing_disable(csr_io_dec_tlu_wb_coalescing_disable), + .io_dec_tlu_bpred_disable(csr_io_dec_tlu_bpred_disable), + .io_dec_tlu_sideeffect_posted_disable(csr_io_dec_tlu_sideeffect_posted_disable), + .io_dec_tlu_core_ecc_disable(csr_io_dec_tlu_core_ecc_disable), + .io_dec_tlu_external_ldfwd_disable(csr_io_dec_tlu_external_ldfwd_disable), + .io_dec_tlu_dma_qos_prty(csr_io_dec_tlu_dma_qos_prty), + .io_dec_illegal_inst(csr_io_dec_illegal_inst), + .io_lsu_error_pkt_r_bits_mscause(csr_io_lsu_error_pkt_r_bits_mscause), + .io_mexintpend(csr_io_mexintpend), + .io_exu_npc_r(csr_io_exu_npc_r), + .io_mpc_reset_run_req(csr_io_mpc_reset_run_req), + .io_rst_vec(csr_io_rst_vec), + .io_core_id(csr_io_core_id), + .io_dec_timer_rddata_d(csr_io_dec_timer_rddata_d), + .io_dec_timer_read_d(csr_io_dec_timer_read_d), + .io_dec_csr_wen_r_mod(csr_io_dec_csr_wen_r_mod), + .io_rfpc_i0_r(csr_io_rfpc_i0_r), + .io_i0_trigger_hit_r(csr_io_i0_trigger_hit_r), + .io_fw_halt_req(csr_io_fw_halt_req), + .io_mstatus(csr_io_mstatus), + .io_exc_or_int_valid_r(csr_io_exc_or_int_valid_r), + .io_mret_r(csr_io_mret_r), + .io_mstatus_mie_ns(csr_io_mstatus_mie_ns), + .io_dcsr_single_step_running_f(csr_io_dcsr_single_step_running_f), + .io_dcsr(csr_io_dcsr), + .io_mtvec(csr_io_mtvec), + .io_mip(csr_io_mip), + .io_dec_timer_t0_pulse(csr_io_dec_timer_t0_pulse), + .io_dec_timer_t1_pulse(csr_io_dec_timer_t1_pulse), + .io_timer_int_sync(csr_io_timer_int_sync), + .io_soft_int_sync(csr_io_soft_int_sync), + .io_mie_ns(csr_io_mie_ns), + .io_csr_wr_clk(csr_io_csr_wr_clk), + .io_ebreak_to_debug_mode_r(csr_io_ebreak_to_debug_mode_r), + .io_dec_tlu_pmu_fw_halted(csr_io_dec_tlu_pmu_fw_halted), + .io_lsu_fir_error(csr_io_lsu_fir_error), + .io_npc_r(csr_io_npc_r), + .io_tlu_flush_lower_r_d1(csr_io_tlu_flush_lower_r_d1), + .io_dec_tlu_flush_noredir_r_d1(csr_io_dec_tlu_flush_noredir_r_d1), + .io_tlu_flush_path_r_d1(csr_io_tlu_flush_path_r_d1), + .io_npc_r_d1(csr_io_npc_r_d1), + .io_reset_delayed(csr_io_reset_delayed), + .io_mepc(csr_io_mepc), + .io_interrupt_valid_r(csr_io_interrupt_valid_r), + .io_i0_exception_valid_r(csr_io_i0_exception_valid_r), + .io_lsu_exc_valid_r(csr_io_lsu_exc_valid_r), + .io_mepc_trigger_hit_sel_pc_r(csr_io_mepc_trigger_hit_sel_pc_r), + .io_e4e5_int_clk(csr_io_e4e5_int_clk), + .io_lsu_i0_exc_r(csr_io_lsu_i0_exc_r), + .io_inst_acc_r(csr_io_inst_acc_r), + .io_inst_acc_second_r(csr_io_inst_acc_second_r), + .io_take_nmi(csr_io_take_nmi), + .io_lsu_error_pkt_addr_r(csr_io_lsu_error_pkt_addr_r), + .io_exc_cause_r(csr_io_exc_cause_r), + .io_i0_valid_wb(csr_io_i0_valid_wb), + .io_exc_or_int_valid_r_d1(csr_io_exc_or_int_valid_r_d1), + .io_interrupt_valid_r_d1(csr_io_interrupt_valid_r_d1), + .io_clk_override(csr_io_clk_override), + .io_i0_exception_valid_r_d1(csr_io_i0_exception_valid_r_d1), + .io_lsu_i0_exc_r_d1(csr_io_lsu_i0_exc_r_d1), + .io_exc_cause_wb(csr_io_exc_cause_wb), + .io_nmi_lsu_store_type(csr_io_nmi_lsu_store_type), + .io_nmi_lsu_load_type(csr_io_nmi_lsu_load_type), + .io_tlu_i0_commit_cmt(csr_io_tlu_i0_commit_cmt), + .io_ebreak_r(csr_io_ebreak_r), + .io_ecall_r(csr_io_ecall_r), + .io_illegal_r(csr_io_illegal_r), + .io_mdseac_locked_ns(csr_io_mdseac_locked_ns), + .io_mdseac_locked_f(csr_io_mdseac_locked_f), + .io_nmi_int_detected_f(csr_io_nmi_int_detected_f), + .io_internal_dbg_halt_mode_f2(csr_io_internal_dbg_halt_mode_f2), + .io_ext_int_freeze_d1(csr_io_ext_int_freeze_d1), + .io_ic_perr_r_d1(csr_io_ic_perr_r_d1), + .io_iccm_sbecc_r_d1(csr_io_iccm_sbecc_r_d1), + .io_lsu_single_ecc_error_r_d1(csr_io_lsu_single_ecc_error_r_d1), + .io_ifu_miss_state_idle_f(csr_io_ifu_miss_state_idle_f), + .io_lsu_idle_any_f(csr_io_lsu_idle_any_f), + .io_dbg_tlu_halted_f(csr_io_dbg_tlu_halted_f), + .io_dbg_tlu_halted(csr_io_dbg_tlu_halted), + .io_debug_halt_req_f(csr_io_debug_halt_req_f), + .io_force_halt(csr_io_force_halt), + .io_take_ext_int_start(csr_io_take_ext_int_start), + .io_trigger_hit_dmode_r_d1(csr_io_trigger_hit_dmode_r_d1), + .io_trigger_hit_r_d1(csr_io_trigger_hit_r_d1), + .io_dcsr_single_step_done_f(csr_io_dcsr_single_step_done_f), + .io_ebreak_to_debug_mode_r_d1(csr_io_ebreak_to_debug_mode_r_d1), + .io_debug_halt_req(csr_io_debug_halt_req), + .io_allow_dbg_halt_csr_write(csr_io_allow_dbg_halt_csr_write), + .io_internal_dbg_halt_mode_f(csr_io_internal_dbg_halt_mode_f), + .io_enter_debug_halt_req(csr_io_enter_debug_halt_req), + .io_internal_dbg_halt_mode(csr_io_internal_dbg_halt_mode), + .io_request_debug_mode_done(csr_io_request_debug_mode_done), + .io_request_debug_mode_r(csr_io_request_debug_mode_r), + .io_dpc(csr_io_dpc), + .io_update_hit_bit_r(csr_io_update_hit_bit_r), + .io_take_timer_int(csr_io_take_timer_int), + .io_take_int_timer0_int(csr_io_take_int_timer0_int), + .io_take_int_timer1_int(csr_io_take_int_timer1_int), + .io_take_ext_int(csr_io_take_ext_int), + .io_tlu_flush_lower_r(csr_io_tlu_flush_lower_r), + .io_dec_tlu_br0_error_r(csr_io_dec_tlu_br0_error_r), + .io_dec_tlu_br0_start_error_r(csr_io_dec_tlu_br0_start_error_r), + .io_lsu_pmu_load_external_r(csr_io_lsu_pmu_load_external_r), + .io_lsu_pmu_store_external_r(csr_io_lsu_pmu_store_external_r), + .io_csr_pkt_csr_misa(csr_io_csr_pkt_csr_misa), + .io_csr_pkt_csr_mvendorid(csr_io_csr_pkt_csr_mvendorid), + .io_csr_pkt_csr_marchid(csr_io_csr_pkt_csr_marchid), + .io_csr_pkt_csr_mimpid(csr_io_csr_pkt_csr_mimpid), + .io_csr_pkt_csr_mhartid(csr_io_csr_pkt_csr_mhartid), + .io_csr_pkt_csr_mstatus(csr_io_csr_pkt_csr_mstatus), + .io_csr_pkt_csr_mtvec(csr_io_csr_pkt_csr_mtvec), + .io_csr_pkt_csr_mip(csr_io_csr_pkt_csr_mip), + .io_csr_pkt_csr_mie(csr_io_csr_pkt_csr_mie), + .io_csr_pkt_csr_mcyclel(csr_io_csr_pkt_csr_mcyclel), + .io_csr_pkt_csr_mcycleh(csr_io_csr_pkt_csr_mcycleh), + .io_csr_pkt_csr_minstretl(csr_io_csr_pkt_csr_minstretl), + .io_csr_pkt_csr_minstreth(csr_io_csr_pkt_csr_minstreth), + .io_csr_pkt_csr_mscratch(csr_io_csr_pkt_csr_mscratch), + .io_csr_pkt_csr_mepc(csr_io_csr_pkt_csr_mepc), + .io_csr_pkt_csr_mcause(csr_io_csr_pkt_csr_mcause), + .io_csr_pkt_csr_mscause(csr_io_csr_pkt_csr_mscause), + .io_csr_pkt_csr_mtval(csr_io_csr_pkt_csr_mtval), + .io_csr_pkt_csr_mrac(csr_io_csr_pkt_csr_mrac), + .io_csr_pkt_csr_mdseac(csr_io_csr_pkt_csr_mdseac), + .io_csr_pkt_csr_meihap(csr_io_csr_pkt_csr_meihap), + .io_csr_pkt_csr_meivt(csr_io_csr_pkt_csr_meivt), + .io_csr_pkt_csr_meipt(csr_io_csr_pkt_csr_meipt), + .io_csr_pkt_csr_meicurpl(csr_io_csr_pkt_csr_meicurpl), + .io_csr_pkt_csr_meicidpl(csr_io_csr_pkt_csr_meicidpl), + .io_csr_pkt_csr_dcsr(csr_io_csr_pkt_csr_dcsr), + .io_csr_pkt_csr_mcgc(csr_io_csr_pkt_csr_mcgc), + .io_csr_pkt_csr_mfdc(csr_io_csr_pkt_csr_mfdc), + .io_csr_pkt_csr_dpc(csr_io_csr_pkt_csr_dpc), + .io_csr_pkt_csr_mtsel(csr_io_csr_pkt_csr_mtsel), + .io_csr_pkt_csr_mtdata1(csr_io_csr_pkt_csr_mtdata1), + .io_csr_pkt_csr_mtdata2(csr_io_csr_pkt_csr_mtdata2), + .io_csr_pkt_csr_mhpmc3(csr_io_csr_pkt_csr_mhpmc3), + .io_csr_pkt_csr_mhpmc4(csr_io_csr_pkt_csr_mhpmc4), + .io_csr_pkt_csr_mhpmc5(csr_io_csr_pkt_csr_mhpmc5), + .io_csr_pkt_csr_mhpmc6(csr_io_csr_pkt_csr_mhpmc6), + .io_csr_pkt_csr_mhpmc3h(csr_io_csr_pkt_csr_mhpmc3h), + .io_csr_pkt_csr_mhpmc4h(csr_io_csr_pkt_csr_mhpmc4h), + .io_csr_pkt_csr_mhpmc5h(csr_io_csr_pkt_csr_mhpmc5h), + .io_csr_pkt_csr_mhpmc6h(csr_io_csr_pkt_csr_mhpmc6h), + .io_csr_pkt_csr_mhpme3(csr_io_csr_pkt_csr_mhpme3), + .io_csr_pkt_csr_mhpme4(csr_io_csr_pkt_csr_mhpme4), + .io_csr_pkt_csr_mhpme5(csr_io_csr_pkt_csr_mhpme5), + .io_csr_pkt_csr_mhpme6(csr_io_csr_pkt_csr_mhpme6), + .io_csr_pkt_csr_mcountinhibit(csr_io_csr_pkt_csr_mcountinhibit), + .io_csr_pkt_csr_mpmc(csr_io_csr_pkt_csr_mpmc), + .io_csr_pkt_csr_micect(csr_io_csr_pkt_csr_micect), + .io_csr_pkt_csr_miccmect(csr_io_csr_pkt_csr_miccmect), + .io_csr_pkt_csr_mdccmect(csr_io_csr_pkt_csr_mdccmect), + .io_csr_pkt_csr_mfdht(csr_io_csr_pkt_csr_mfdht), + .io_csr_pkt_csr_mfdhs(csr_io_csr_pkt_csr_mfdhs), + .io_csr_pkt_csr_dicawics(csr_io_csr_pkt_csr_dicawics), + .io_csr_pkt_csr_dicad0h(csr_io_csr_pkt_csr_dicad0h), + .io_csr_pkt_csr_dicad0(csr_io_csr_pkt_csr_dicad0), + .io_csr_pkt_csr_dicad1(csr_io_csr_pkt_csr_dicad1), + .io_mtdata1_t_0(csr_io_mtdata1_t_0), + .io_mtdata1_t_1(csr_io_mtdata1_t_1), + .io_mtdata1_t_2(csr_io_mtdata1_t_2), + .io_mtdata1_t_3(csr_io_mtdata1_t_3) + ); + dec_decode_csr_read csr_read ( // @[dec_tlu_ctl.scala 1009:22] + .io_dec_csr_rdaddr_d(csr_read_io_dec_csr_rdaddr_d), + .io_csr_pkt_csr_misa(csr_read_io_csr_pkt_csr_misa), + .io_csr_pkt_csr_mvendorid(csr_read_io_csr_pkt_csr_mvendorid), + .io_csr_pkt_csr_marchid(csr_read_io_csr_pkt_csr_marchid), + .io_csr_pkt_csr_mimpid(csr_read_io_csr_pkt_csr_mimpid), + .io_csr_pkt_csr_mhartid(csr_read_io_csr_pkt_csr_mhartid), + .io_csr_pkt_csr_mstatus(csr_read_io_csr_pkt_csr_mstatus), + .io_csr_pkt_csr_mtvec(csr_read_io_csr_pkt_csr_mtvec), + .io_csr_pkt_csr_mip(csr_read_io_csr_pkt_csr_mip), + .io_csr_pkt_csr_mie(csr_read_io_csr_pkt_csr_mie), + .io_csr_pkt_csr_mcyclel(csr_read_io_csr_pkt_csr_mcyclel), + .io_csr_pkt_csr_mcycleh(csr_read_io_csr_pkt_csr_mcycleh), + .io_csr_pkt_csr_minstretl(csr_read_io_csr_pkt_csr_minstretl), + .io_csr_pkt_csr_minstreth(csr_read_io_csr_pkt_csr_minstreth), + .io_csr_pkt_csr_mscratch(csr_read_io_csr_pkt_csr_mscratch), + .io_csr_pkt_csr_mepc(csr_read_io_csr_pkt_csr_mepc), + .io_csr_pkt_csr_mcause(csr_read_io_csr_pkt_csr_mcause), + .io_csr_pkt_csr_mscause(csr_read_io_csr_pkt_csr_mscause), + .io_csr_pkt_csr_mtval(csr_read_io_csr_pkt_csr_mtval), + .io_csr_pkt_csr_mrac(csr_read_io_csr_pkt_csr_mrac), + .io_csr_pkt_csr_dmst(csr_read_io_csr_pkt_csr_dmst), + .io_csr_pkt_csr_mdseac(csr_read_io_csr_pkt_csr_mdseac), + .io_csr_pkt_csr_meihap(csr_read_io_csr_pkt_csr_meihap), + .io_csr_pkt_csr_meivt(csr_read_io_csr_pkt_csr_meivt), + .io_csr_pkt_csr_meipt(csr_read_io_csr_pkt_csr_meipt), + .io_csr_pkt_csr_meicurpl(csr_read_io_csr_pkt_csr_meicurpl), + .io_csr_pkt_csr_meicidpl(csr_read_io_csr_pkt_csr_meicidpl), + .io_csr_pkt_csr_dcsr(csr_read_io_csr_pkt_csr_dcsr), + .io_csr_pkt_csr_mcgc(csr_read_io_csr_pkt_csr_mcgc), + .io_csr_pkt_csr_mfdc(csr_read_io_csr_pkt_csr_mfdc), + .io_csr_pkt_csr_dpc(csr_read_io_csr_pkt_csr_dpc), + .io_csr_pkt_csr_mtsel(csr_read_io_csr_pkt_csr_mtsel), + .io_csr_pkt_csr_mtdata1(csr_read_io_csr_pkt_csr_mtdata1), + .io_csr_pkt_csr_mtdata2(csr_read_io_csr_pkt_csr_mtdata2), + .io_csr_pkt_csr_mhpmc3(csr_read_io_csr_pkt_csr_mhpmc3), + .io_csr_pkt_csr_mhpmc4(csr_read_io_csr_pkt_csr_mhpmc4), + .io_csr_pkt_csr_mhpmc5(csr_read_io_csr_pkt_csr_mhpmc5), + .io_csr_pkt_csr_mhpmc6(csr_read_io_csr_pkt_csr_mhpmc6), + .io_csr_pkt_csr_mhpmc3h(csr_read_io_csr_pkt_csr_mhpmc3h), + .io_csr_pkt_csr_mhpmc4h(csr_read_io_csr_pkt_csr_mhpmc4h), + .io_csr_pkt_csr_mhpmc5h(csr_read_io_csr_pkt_csr_mhpmc5h), + .io_csr_pkt_csr_mhpmc6h(csr_read_io_csr_pkt_csr_mhpmc6h), + .io_csr_pkt_csr_mhpme3(csr_read_io_csr_pkt_csr_mhpme3), + .io_csr_pkt_csr_mhpme4(csr_read_io_csr_pkt_csr_mhpme4), + .io_csr_pkt_csr_mhpme5(csr_read_io_csr_pkt_csr_mhpme5), + .io_csr_pkt_csr_mhpme6(csr_read_io_csr_pkt_csr_mhpme6), + .io_csr_pkt_csr_mcountinhibit(csr_read_io_csr_pkt_csr_mcountinhibit), + .io_csr_pkt_csr_mitctl0(csr_read_io_csr_pkt_csr_mitctl0), + .io_csr_pkt_csr_mitctl1(csr_read_io_csr_pkt_csr_mitctl1), + .io_csr_pkt_csr_mitb0(csr_read_io_csr_pkt_csr_mitb0), + .io_csr_pkt_csr_mitb1(csr_read_io_csr_pkt_csr_mitb1), + .io_csr_pkt_csr_mitcnt0(csr_read_io_csr_pkt_csr_mitcnt0), + .io_csr_pkt_csr_mitcnt1(csr_read_io_csr_pkt_csr_mitcnt1), + .io_csr_pkt_csr_mpmc(csr_read_io_csr_pkt_csr_mpmc), + .io_csr_pkt_csr_meicpct(csr_read_io_csr_pkt_csr_meicpct), + .io_csr_pkt_csr_micect(csr_read_io_csr_pkt_csr_micect), + .io_csr_pkt_csr_miccmect(csr_read_io_csr_pkt_csr_miccmect), + .io_csr_pkt_csr_mdccmect(csr_read_io_csr_pkt_csr_mdccmect), + .io_csr_pkt_csr_mfdht(csr_read_io_csr_pkt_csr_mfdht), + .io_csr_pkt_csr_mfdhs(csr_read_io_csr_pkt_csr_mfdhs), + .io_csr_pkt_csr_dicawics(csr_read_io_csr_pkt_csr_dicawics), + .io_csr_pkt_csr_dicad0h(csr_read_io_csr_pkt_csr_dicad0h), + .io_csr_pkt_csr_dicad0(csr_read_io_csr_pkt_csr_dicad0), + .io_csr_pkt_csr_dicad1(csr_read_io_csr_pkt_csr_dicad1), + .io_csr_pkt_csr_dicago(csr_read_io_csr_pkt_csr_dicago), + .io_csr_pkt_presync(csr_read_io_csr_pkt_presync), + .io_csr_pkt_postsync(csr_read_io_csr_pkt_postsync), + .io_csr_pkt_legal(csr_read_io_csr_pkt_legal) + ); + assign io_tlu_exu_dec_tlu_meihap = csr_io_dec_tlu_meihap; // @[dec_tlu_ctl.scala 875:52] + assign io_tlu_exu_dec_tlu_flush_lower_r = _T_801 | take_ext_int_start; // @[dec_tlu_ctl.scala 801:49] + assign io_tlu_exu_dec_tlu_flush_path_r = take_reset ? io_rst_vec : _T_852; // @[dec_tlu_ctl.scala 802:49] + assign io_tlu_dma_dec_tlu_dma_qos_prty = csr_io_dec_tlu_dma_qos_prty; // @[dec_tlu_ctl.scala 905:48] + assign io_dec_dbg_cmd_done = io_dec_tlu_i0_valid_r & io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 486:29] + assign io_dec_dbg_cmd_fail = illegal_r & io_dec_dbg_cmd_done; // @[dec_tlu_ctl.scala 487:29] + assign io_dec_tlu_dbg_halted = dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 468:41] + assign io_dec_tlu_debug_mode = debug_mode_status; // @[dec_tlu_ctl.scala 469:41] + assign io_dec_tlu_resume_ack = _T_190; // @[dec_tlu_ctl.scala 452:49] + assign io_dec_tlu_debug_stall = debug_halt_req_f; // @[dec_tlu_ctl.scala 467:41] + assign io_dec_tlu_mpc_halted_only = _T_65; // @[dec_tlu_ctl.scala 367:49] + assign io_dec_tlu_flush_extint = ext_int_ready & _T_704; // @[dec_tlu_ctl.scala 475:33] + assign io_trigger_pkt_any_0_select = csr_io_trigger_pkt_any_0_select; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_0_match_pkt = csr_io_trigger_pkt_any_0_match_pkt; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_0_store = csr_io_trigger_pkt_any_0_store; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_0_load = csr_io_trigger_pkt_any_0_load; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_0_execute = csr_io_trigger_pkt_any_0_execute; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_0_m = csr_io_trigger_pkt_any_0_m; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_0_tdata2 = csr_io_trigger_pkt_any_0_tdata2; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_1_select = csr_io_trigger_pkt_any_1_select; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_1_match_pkt = csr_io_trigger_pkt_any_1_match_pkt; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_1_store = csr_io_trigger_pkt_any_1_store; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_1_load = csr_io_trigger_pkt_any_1_load; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_1_execute = csr_io_trigger_pkt_any_1_execute; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_1_m = csr_io_trigger_pkt_any_1_m; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_1_tdata2 = csr_io_trigger_pkt_any_1_tdata2; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_2_select = csr_io_trigger_pkt_any_2_select; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_2_match_pkt = csr_io_trigger_pkt_any_2_match_pkt; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_2_store = csr_io_trigger_pkt_any_2_store; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_2_load = csr_io_trigger_pkt_any_2_load; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_2_execute = csr_io_trigger_pkt_any_2_execute; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_2_m = csr_io_trigger_pkt_any_2_m; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_2_tdata2 = csr_io_trigger_pkt_any_2_tdata2; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_3_select = csr_io_trigger_pkt_any_3_select; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_3_match_pkt = csr_io_trigger_pkt_any_3_match_pkt; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_3_store = csr_io_trigger_pkt_any_3_store; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_3_load = csr_io_trigger_pkt_any_3_load; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_3_execute = csr_io_trigger_pkt_any_3_execute; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_3_m = csr_io_trigger_pkt_any_3_m; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_3_tdata2 = csr_io_trigger_pkt_any_3_tdata2; // @[dec_tlu_ctl.scala 881:40] + assign io_o_cpu_halt_status = _T_353; // @[dec_tlu_ctl.scala 572:49] + assign io_o_cpu_halt_ack = _T_354; // @[dec_tlu_ctl.scala 573:49] + assign io_o_cpu_run_ack = _T_355; // @[dec_tlu_ctl.scala 574:49] + assign io_o_debug_mode_status = debug_mode_status; // @[dec_tlu_ctl.scala 595:27] + assign io_mpc_debug_halt_ack = mpc_debug_halt_ack_f; // @[dec_tlu_ctl.scala 392:31] + assign io_mpc_debug_run_ack = mpc_debug_run_ack_f; // @[dec_tlu_ctl.scala 393:31] + assign io_debug_brkpt_status = debug_brkpt_status_f; // @[dec_tlu_ctl.scala 394:31] + assign io_dec_csr_rddata_d = csr_io_dec_csr_rddata_d; // @[dec_tlu_ctl.scala 896:40] + assign io_dec_csr_legal_d = _T_887 & _T_894; // @[dec_tlu_ctl.scala 1020:20] + assign io_dec_tlu_i0_kill_writeb_wb = _T_32; // @[dec_tlu_ctl.scala 329:41] + assign io_dec_tlu_i0_kill_writeb_r = _T_427 | i0_trigger_hit_raw_r; // @[dec_tlu_ctl.scala 333:41] + assign io_dec_tlu_wr_pause_r = csr_io_dec_tlu_wr_pause_r; // @[dec_tlu_ctl.scala 898:40] + assign io_dec_tlu_flush_pause_r = _T_208 & _T_209; // @[dec_tlu_ctl.scala 478:34] + assign io_dec_tlu_presync_d = _T_864 & _T_865; // @[dec_tlu_ctl.scala 1013:23] + assign io_dec_tlu_postsync_d = csr_pkt_postsync & io_dec_csr_any_unq_d; // @[dec_tlu_ctl.scala 1014:23] + assign io_dec_tlu_perfcnt0 = csr_io_dec_tlu_perfcnt0; // @[dec_tlu_ctl.scala 884:40] + assign io_dec_tlu_perfcnt1 = csr_io_dec_tlu_perfcnt1; // @[dec_tlu_ctl.scala 885:40] + assign io_dec_tlu_perfcnt2 = csr_io_dec_tlu_perfcnt2; // @[dec_tlu_ctl.scala 886:40] + assign io_dec_tlu_perfcnt3 = csr_io_dec_tlu_perfcnt3; // @[dec_tlu_ctl.scala 887:40] + assign io_dec_tlu_i0_exc_valid_wb1 = csr_io_dec_tlu_i0_exc_valid_wb1; // @[dec_tlu_ctl.scala 878:44] + assign io_dec_tlu_i0_valid_wb1 = csr_io_dec_tlu_i0_valid_wb1; // @[dec_tlu_ctl.scala 879:44] + assign io_dec_tlu_int_valid_wb1 = csr_io_dec_tlu_int_valid_wb1; // @[dec_tlu_ctl.scala 877:44] + assign io_dec_tlu_exc_cause_wb1 = csr_io_dec_tlu_exc_cause_wb1; // @[dec_tlu_ctl.scala 883:40] + assign io_dec_tlu_mtval_wb1 = csr_io_dec_tlu_mtval_wb1; // @[dec_tlu_ctl.scala 882:40] + assign io_dec_tlu_pipelining_disable = csr_io_dec_tlu_pipelining_disable; // @[dec_tlu_ctl.scala 897:40] + assign io_dec_tlu_misc_clk_override = csr_io_dec_tlu_misc_clk_override; // @[dec_tlu_ctl.scala 888:40] + assign io_dec_tlu_dec_clk_override = csr_io_dec_tlu_dec_clk_override; // @[dec_tlu_ctl.scala 889:40] + assign io_dec_tlu_ifu_clk_override = csr_io_dec_tlu_ifu_clk_override; // @[dec_tlu_ctl.scala 890:40] + assign io_dec_tlu_lsu_clk_override = csr_io_dec_tlu_lsu_clk_override; // @[dec_tlu_ctl.scala 891:40] + assign io_dec_tlu_bus_clk_override = csr_io_dec_tlu_bus_clk_override; // @[dec_tlu_ctl.scala 892:40] + assign io_dec_tlu_pic_clk_override = csr_io_dec_tlu_pic_clk_override; // @[dec_tlu_ctl.scala 893:40] + assign io_dec_tlu_dccm_clk_override = csr_io_dec_tlu_dccm_clk_override; // @[dec_tlu_ctl.scala 894:40] + assign io_dec_tlu_icm_clk_override = csr_io_dec_tlu_icm_clk_override; // @[dec_tlu_ctl.scala 895:40] + assign io_dec_tlu_flush_lower_wb = tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 799:41] + assign io_tlu_bp_dec_tlu_br0_r_pkt_valid = _T_459 & _T_462; // @[dec_tlu_ctl.scala 651:57] + assign io_tlu_bp_dec_tlu_br0_r_pkt_bits_hist = io_tlu_exu_exu_i0_br_hist_r; // @[dec_tlu_ctl.scala 648:65] + assign io_tlu_bp_dec_tlu_br0_r_pkt_bits_br_error = _T_453 & _T_429; // @[dec_tlu_ctl.scala 649:57] + assign io_tlu_bp_dec_tlu_br0_r_pkt_bits_br_start_error = _T_455 & _T_429; // @[dec_tlu_ctl.scala 650:57] + assign io_tlu_bp_dec_tlu_br0_r_pkt_bits_way = io_exu_i0_br_way_r; // @[dec_tlu_ctl.scala 652:65] + assign io_tlu_bp_dec_tlu_br0_r_pkt_bits_middle = io_tlu_exu_exu_i0_br_middle_r; // @[dec_tlu_ctl.scala 653:65] + assign io_tlu_bp_dec_tlu_flush_leak_one_wb = _T_233 & _T_234; // @[dec_tlu_ctl.scala 482:45] + assign io_tlu_bp_dec_tlu_bpred_disable = csr_io_dec_tlu_bpred_disable; // @[dec_tlu_ctl.scala 901:47] + assign io_tlu_ifc_dec_tlu_flush_noredir_wb = _T_205 | take_ext_int_start; // @[dec_tlu_ctl.scala 473:45] + assign io_tlu_ifc_dec_tlu_mrac_ff = csr_io_dec_tlu_mrac_ff; // @[dec_tlu_ctl.scala 899:48] + assign io_tlu_mem_dec_tlu_flush_err_wb = io_tlu_exu_dec_tlu_flush_lower_r & _T_433; // @[dec_tlu_ctl.scala 483:41] + assign io_tlu_mem_dec_tlu_i0_commit_cmt = _T_422 & _T_465; // @[dec_tlu_ctl.scala 626:37] + assign io_tlu_mem_dec_tlu_force_halt = _T_33; // @[dec_tlu_ctl.scala 331:57] + assign io_tlu_mem_dec_tlu_fence_i_wb = _T_492 & _T_470; // @[dec_tlu_ctl.scala 671:39] + assign io_tlu_mem_dec_tlu_ic_diag_pkt_icache_wrdata = csr_io_dec_tlu_ic_diag_pkt_icache_wrdata; // @[dec_tlu_ctl.scala 880:52] + assign io_tlu_mem_dec_tlu_ic_diag_pkt_icache_dicawics = csr_io_dec_tlu_ic_diag_pkt_icache_dicawics; // @[dec_tlu_ctl.scala 880:52] + assign io_tlu_mem_dec_tlu_ic_diag_pkt_icache_rd_valid = csr_io_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[dec_tlu_ctl.scala 880:52] + assign io_tlu_mem_dec_tlu_ic_diag_pkt_icache_wr_valid = csr_io_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[dec_tlu_ctl.scala 880:52] + assign io_tlu_mem_dec_tlu_core_ecc_disable = csr_io_dec_tlu_core_ecc_disable; // @[dec_tlu_ctl.scala 903:48] + assign io_tlu_busbuff_dec_tlu_external_ldfwd_disable = csr_io_dec_tlu_external_ldfwd_disable; // @[dec_tlu_ctl.scala 904:52] + assign io_tlu_busbuff_dec_tlu_wb_coalescing_disable = csr_io_dec_tlu_wb_coalescing_disable; // @[dec_tlu_ctl.scala 900:52] + assign io_tlu_busbuff_dec_tlu_sideeffect_posted_disable = csr_io_dec_tlu_sideeffect_posted_disable; // @[dec_tlu_ctl.scala 902:52] + assign io_dec_pic_dec_tlu_meicurpl = csr_io_dec_tlu_meicurpl; // @[dec_tlu_ctl.scala 874:52] + assign io_dec_pic_dec_tlu_meipt = csr_io_dec_tlu_meipt; // @[dec_tlu_ctl.scala 876:52] + assign int_timers_clock = clock; + assign int_timers_reset = reset; + assign int_timers_io_free_clk = io_free_clk; // @[dec_tlu_ctl.scala 276:57] + assign int_timers_io_scan_mode = io_scan_mode; // @[dec_tlu_ctl.scala 277:57] + assign int_timers_io_dec_csr_wen_r_mod = csr_io_dec_csr_wen_r_mod; // @[dec_tlu_ctl.scala 278:49] + assign int_timers_io_dec_csr_wraddr_r = io_dec_csr_wraddr_r; // @[dec_tlu_ctl.scala 280:49] + assign int_timers_io_dec_csr_wrdata_r = io_dec_csr_wrdata_r; // @[dec_tlu_ctl.scala 281:49] + assign int_timers_io_csr_mitctl0 = csr_read_io_csr_pkt_csr_mitctl0; // @[dec_tlu_ctl.scala 282:57] + assign int_timers_io_csr_mitctl1 = csr_read_io_csr_pkt_csr_mitctl1; // @[dec_tlu_ctl.scala 283:57] + assign int_timers_io_csr_mitb0 = csr_read_io_csr_pkt_csr_mitb0; // @[dec_tlu_ctl.scala 284:57] + assign int_timers_io_csr_mitb1 = csr_read_io_csr_pkt_csr_mitb1; // @[dec_tlu_ctl.scala 285:57] + assign int_timers_io_csr_mitcnt0 = csr_read_io_csr_pkt_csr_mitcnt0; // @[dec_tlu_ctl.scala 286:57] + assign int_timers_io_csr_mitcnt1 = csr_read_io_csr_pkt_csr_mitcnt1; // @[dec_tlu_ctl.scala 287:57] + assign int_timers_io_dec_pause_state = io_dec_pause_state; // @[dec_tlu_ctl.scala 288:49] + assign int_timers_io_dec_tlu_pmu_fw_halted = pmu_fw_tlu_halted_f; // @[dec_tlu_ctl.scala 289:49] + assign int_timers_io_internal_dbg_halt_timers = debug_mode_status & _T_665; // @[dec_tlu_ctl.scala 290:47] + assign rvclkhdr_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_io_en = dec_csr_wen_r_mod | io_dec_tlu_dec_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_1_io_en = _T_11 | io_dec_tlu_dec_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_2_io_en = e4e5_valid | io_dec_tlu_dec_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_3_io_en = e4e5_valid | flush_clkvalid; // @[lib.scala 345:16] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign csr_clock = clock; + assign csr_reset = reset; + assign csr_io_free_clk = io_free_clk; // @[dec_tlu_ctl.scala 817:44] + assign csr_io_active_clk = io_active_clk; // @[dec_tlu_ctl.scala 818:44] + assign csr_io_scan_mode = io_scan_mode; // @[dec_tlu_ctl.scala 819:44] + assign csr_io_dec_csr_wrdata_r = io_dec_csr_wrdata_r; // @[dec_tlu_ctl.scala 820:44] + assign csr_io_dec_csr_wraddr_r = io_dec_csr_wraddr_r; // @[dec_tlu_ctl.scala 821:44] + assign csr_io_dec_csr_rdaddr_d = io_dec_csr_rdaddr_d; // @[dec_tlu_ctl.scala 822:44] + assign csr_io_dec_csr_wen_unq_d = io_dec_csr_wen_unq_d; // @[dec_tlu_ctl.scala 823:44] + assign csr_io_dec_i0_decode_d = io_dec_i0_decode_d; // @[dec_tlu_ctl.scala 824:44] + assign csr_io_ifu_ic_debug_rd_data_valid = io_tlu_mem_ifu_ic_debug_rd_data_valid; // @[dec_tlu_ctl.scala 825:44] + assign csr_io_ifu_pmu_bus_trxn = io_tlu_mem_ifu_pmu_bus_trxn; // @[dec_tlu_ctl.scala 826:44] + assign csr_io_dma_iccm_stall_any = io_tlu_dma_dma_iccm_stall_any; // @[dec_tlu_ctl.scala 827:44] + assign csr_io_dma_dccm_stall_any = io_tlu_dma_dma_dccm_stall_any; // @[dec_tlu_ctl.scala 828:44] + assign csr_io_lsu_store_stall_any = io_lsu_store_stall_any; // @[dec_tlu_ctl.scala 829:44] + assign csr_io_dec_pmu_presync_stall = io_dec_pmu_presync_stall; // @[dec_tlu_ctl.scala 830:44] + assign csr_io_dec_pmu_postsync_stall = io_dec_pmu_postsync_stall; // @[dec_tlu_ctl.scala 831:44] + assign csr_io_dec_pmu_decode_stall = io_dec_pmu_decode_stall; // @[dec_tlu_ctl.scala 832:44] + assign csr_io_ifu_pmu_fetch_stall = io_tlu_ifc_ifu_pmu_fetch_stall; // @[dec_tlu_ctl.scala 833:44] + assign csr_io_dec_tlu_packet_r_icaf_type = io_dec_tlu_packet_r_icaf_type; // @[dec_tlu_ctl.scala 834:44] + assign csr_io_dec_tlu_packet_r_pmu_i0_itype = io_dec_tlu_packet_r_pmu_i0_itype; // @[dec_tlu_ctl.scala 834:44] + assign csr_io_dec_tlu_packet_r_pmu_i0_br_unpred = io_dec_tlu_packet_r_pmu_i0_br_unpred; // @[dec_tlu_ctl.scala 834:44] + assign csr_io_dec_tlu_packet_r_pmu_divide = io_dec_tlu_packet_r_pmu_divide; // @[dec_tlu_ctl.scala 834:44] + assign csr_io_dec_tlu_packet_r_pmu_lsu_misaligned = io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[dec_tlu_ctl.scala 834:44] + assign csr_io_exu_pmu_i0_br_ataken = io_tlu_exu_exu_pmu_i0_br_ataken; // @[dec_tlu_ctl.scala 835:44] + assign csr_io_exu_pmu_i0_br_misp = io_tlu_exu_exu_pmu_i0_br_misp; // @[dec_tlu_ctl.scala 836:44] + assign csr_io_dec_pmu_instr_decoded = io_dec_pmu_instr_decoded; // @[dec_tlu_ctl.scala 837:44] + assign csr_io_ifu_pmu_instr_aligned = io_ifu_pmu_instr_aligned; // @[dec_tlu_ctl.scala 838:44] + assign csr_io_exu_pmu_i0_pc4 = io_tlu_exu_exu_pmu_i0_pc4; // @[dec_tlu_ctl.scala 839:44] + assign csr_io_ifu_pmu_ic_miss = io_tlu_mem_ifu_pmu_ic_miss; // @[dec_tlu_ctl.scala 840:44] + assign csr_io_ifu_pmu_ic_hit = io_tlu_mem_ifu_pmu_ic_hit; // @[dec_tlu_ctl.scala 841:44] + assign csr_io_dec_csr_wen_r = io_dec_csr_wen_r; // @[dec_tlu_ctl.scala 842:44] + assign csr_io_dec_tlu_dbg_halted = io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 843:44] + assign csr_io_dma_pmu_dccm_write = io_tlu_dma_dma_pmu_dccm_write; // @[dec_tlu_ctl.scala 844:44] + assign csr_io_dma_pmu_dccm_read = io_tlu_dma_dma_pmu_dccm_read; // @[dec_tlu_ctl.scala 845:44] + assign csr_io_dma_pmu_any_write = io_tlu_dma_dma_pmu_any_write; // @[dec_tlu_ctl.scala 846:44] + assign csr_io_dma_pmu_any_read = io_tlu_dma_dma_pmu_any_read; // @[dec_tlu_ctl.scala 847:44] + assign csr_io_lsu_pmu_bus_busy = io_tlu_busbuff_lsu_pmu_bus_busy; // @[dec_tlu_ctl.scala 848:44] + assign csr_io_dec_tlu_i0_pc_r = io_dec_tlu_i0_pc_r; // @[dec_tlu_ctl.scala 849:44] + assign csr_io_dec_tlu_i0_valid_r = io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 850:44] + assign csr_io_dec_csr_any_unq_d = io_dec_csr_any_unq_d; // @[dec_tlu_ctl.scala 852:44] + assign csr_io_ifu_pmu_bus_busy = io_tlu_mem_ifu_pmu_bus_busy; // @[dec_tlu_ctl.scala 853:44] + assign csr_io_lsu_pmu_bus_error = io_tlu_busbuff_lsu_pmu_bus_error; // @[dec_tlu_ctl.scala 854:44] + assign csr_io_ifu_pmu_bus_error = io_tlu_mem_ifu_pmu_bus_error; // @[dec_tlu_ctl.scala 855:44] + assign csr_io_lsu_pmu_bus_misaligned = io_tlu_busbuff_lsu_pmu_bus_misaligned; // @[dec_tlu_ctl.scala 856:44] + assign csr_io_lsu_pmu_bus_trxn = io_tlu_busbuff_lsu_pmu_bus_trxn; // @[dec_tlu_ctl.scala 857:44] + assign csr_io_ifu_ic_debug_rd_data = io_tlu_mem_ifu_ic_debug_rd_data; // @[dec_tlu_ctl.scala 858:44] + assign csr_io_pic_pl = io_dec_pic_pic_pl; // @[dec_tlu_ctl.scala 859:44] + assign csr_io_pic_claimid = io_dec_pic_pic_claimid; // @[dec_tlu_ctl.scala 860:44] + assign csr_io_iccm_dma_sb_error = io_iccm_dma_sb_error; // @[dec_tlu_ctl.scala 861:44] + assign csr_io_lsu_imprecise_error_addr_any = io_tlu_busbuff_lsu_imprecise_error_addr_any; // @[dec_tlu_ctl.scala 862:44] + assign csr_io_lsu_imprecise_error_load_any = io_tlu_busbuff_lsu_imprecise_error_load_any; // @[dec_tlu_ctl.scala 863:44] + assign csr_io_lsu_imprecise_error_store_any = io_tlu_busbuff_lsu_imprecise_error_store_any; // @[dec_tlu_ctl.scala 864:44] + assign csr_io_dec_illegal_inst = io_dec_illegal_inst; // @[dec_tlu_ctl.scala 865:44 dec_tlu_ctl.scala 906:44] + assign csr_io_lsu_error_pkt_r_bits_mscause = io_lsu_error_pkt_r_bits_mscause; // @[dec_tlu_ctl.scala 866:44 dec_tlu_ctl.scala 907:44] + assign csr_io_mexintpend = io_dec_pic_mexintpend; // @[dec_tlu_ctl.scala 867:44 dec_tlu_ctl.scala 908:44] + assign csr_io_exu_npc_r = io_tlu_exu_exu_npc_r; // @[dec_tlu_ctl.scala 868:44 dec_tlu_ctl.scala 909:44] + assign csr_io_mpc_reset_run_req = io_mpc_reset_run_req; // @[dec_tlu_ctl.scala 869:44 dec_tlu_ctl.scala 910:44] + assign csr_io_rst_vec = io_rst_vec; // @[dec_tlu_ctl.scala 870:44 dec_tlu_ctl.scala 911:44] + assign csr_io_core_id = io_core_id; // @[dec_tlu_ctl.scala 871:44 dec_tlu_ctl.scala 912:44] + assign csr_io_dec_timer_rddata_d = int_timers_io_dec_timer_rddata_d; // @[dec_tlu_ctl.scala 872:44 dec_tlu_ctl.scala 913:44] + assign csr_io_dec_timer_read_d = int_timers_io_dec_timer_read_d; // @[dec_tlu_ctl.scala 873:44 dec_tlu_ctl.scala 914:44] + assign csr_io_rfpc_i0_r = _T_438 & _T_439; // @[dec_tlu_ctl.scala 917:39] + assign csr_io_i0_trigger_hit_r = |i0_trigger_chain_masked_r; // @[dec_tlu_ctl.scala 918:39] + assign csr_io_exc_or_int_valid_r = _T_855 | mepc_trigger_hit_sel_pc_r; // @[dec_tlu_ctl.scala 919:39] + assign csr_io_mret_r = _T_487 & _T_470; // @[dec_tlu_ctl.scala 920:39] + assign csr_io_dcsr_single_step_running_f = dcsr_single_step_running_f; // @[dec_tlu_ctl.scala 921:39] + assign csr_io_dec_timer_t0_pulse = int_timers_io_dec_timer_t0_pulse; // @[dec_tlu_ctl.scala 922:39] + assign csr_io_dec_timer_t1_pulse = int_timers_io_dec_timer_t1_pulse; // @[dec_tlu_ctl.scala 923:39] + assign csr_io_timer_int_sync = syncro_ff[5]; // @[dec_tlu_ctl.scala 924:39] + assign csr_io_soft_int_sync = syncro_ff[4]; // @[dec_tlu_ctl.scala 925:39] + assign csr_io_csr_wr_clk = rvclkhdr_io_l1clk; // @[dec_tlu_ctl.scala 926:39] + assign csr_io_ebreak_to_debug_mode_r = _T_519 & _T_470; // @[dec_tlu_ctl.scala 927:39] + assign csr_io_dec_tlu_pmu_fw_halted = pmu_fw_tlu_halted_f; // @[dec_tlu_ctl.scala 928:39] + assign csr_io_lsu_fir_error = io_lsu_fir_error; // @[dec_tlu_ctl.scala 929:39] + assign csr_io_tlu_flush_lower_r_d1 = tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 930:39] + assign csr_io_dec_tlu_flush_noredir_r_d1 = dec_tlu_flush_noredir_r_d1; // @[dec_tlu_ctl.scala 931:39] + assign csr_io_tlu_flush_path_r_d1 = tlu_flush_path_r_d1; // @[dec_tlu_ctl.scala 932:39] + assign csr_io_reset_delayed = reset_detect ^ reset_detected; // @[dec_tlu_ctl.scala 933:39] + assign csr_io_interrupt_valid_r = _T_766 | take_int_timer1_int; // @[dec_tlu_ctl.scala 934:39] + assign csr_io_i0_exception_valid_r = _T_527 & _T_528; // @[dec_tlu_ctl.scala 935:39] + assign csr_io_lsu_exc_valid_r = _T_405 & _T_470; // @[dec_tlu_ctl.scala 936:39] + assign csr_io_mepc_trigger_hit_sel_pc_r = i0_trigger_hit_raw_r & _T_345; // @[dec_tlu_ctl.scala 937:39] + assign csr_io_e4e5_int_clk = rvclkhdr_3_io_l1clk; // @[dec_tlu_ctl.scala 938:39] + assign csr_io_lsu_i0_exc_r = _T_405 & _T_470; // @[dec_tlu_ctl.scala 939:39] + assign csr_io_inst_acc_r = _T_511 & _T_465; // @[dec_tlu_ctl.scala 940:39] + assign csr_io_inst_acc_second_r = io_dec_tlu_packet_r_icaf_f1; // @[dec_tlu_ctl.scala 941:39] + assign csr_io_take_nmi = _T_756 & _T_760; // @[dec_tlu_ctl.scala 942:39] + assign csr_io_lsu_error_pkt_addr_r = io_lsu_error_pkt_r_bits_addr; // @[dec_tlu_ctl.scala 943:39] + assign csr_io_exc_cause_r = _T_603 | _T_591; // @[dec_tlu_ctl.scala 944:39] + assign csr_io_i0_valid_wb = i0_valid_wb; // @[dec_tlu_ctl.scala 945:39] + assign csr_io_exc_or_int_valid_r_d1 = exc_or_int_valid_r_d1; // @[dec_tlu_ctl.scala 946:39] + assign csr_io_interrupt_valid_r_d1 = interrupt_valid_r_d1; // @[dec_tlu_ctl.scala 947:39] + assign csr_io_clk_override = io_dec_tlu_dec_clk_override; // @[dec_tlu_ctl.scala 948:39] + assign csr_io_i0_exception_valid_r_d1 = i0_exception_valid_r_d1; // @[dec_tlu_ctl.scala 949:39] + assign csr_io_lsu_i0_exc_r_d1 = lsu_i0_exc_r_d1; // @[dec_tlu_ctl.scala 950:39] + assign csr_io_exc_cause_wb = exc_cause_wb; // @[dec_tlu_ctl.scala 951:39] + assign csr_io_nmi_lsu_store_type = _T_58 | _T_60; // @[dec_tlu_ctl.scala 952:39] + assign csr_io_nmi_lsu_load_type = _T_50 | _T_52; // @[dec_tlu_ctl.scala 953:39] + assign csr_io_tlu_i0_commit_cmt = _T_422 & _T_465; // @[dec_tlu_ctl.scala 954:39] + assign csr_io_ebreak_r = _T_469 & _T_470; // @[dec_tlu_ctl.scala 955:39] + assign csr_io_ecall_r = _T_475 & _T_470; // @[dec_tlu_ctl.scala 956:39] + assign csr_io_illegal_r = _T_481 & _T_470; // @[dec_tlu_ctl.scala 957:39] + assign csr_io_mdseac_locked_f = mdseac_locked_f; // @[dec_tlu_ctl.scala 958:39] + assign csr_io_nmi_int_detected_f = nmi_int_detected_f; // @[dec_tlu_ctl.scala 959:39] + assign csr_io_internal_dbg_halt_mode_f2 = internal_dbg_halt_mode_f2; // @[dec_tlu_ctl.scala 960:39] + assign csr_io_ext_int_freeze_d1 = ext_int_freeze_d1; // @[dec_tlu_ctl.scala 961:39] + assign csr_io_ic_perr_r_d1 = ic_perr_r_d1; // @[dec_tlu_ctl.scala 962:39] + assign csr_io_iccm_sbecc_r_d1 = iccm_sbecc_r_d1; // @[dec_tlu_ctl.scala 963:39] + assign csr_io_lsu_single_ecc_error_r_d1 = lsu_single_ecc_error_r_d1; // @[dec_tlu_ctl.scala 964:39] + assign csr_io_ifu_miss_state_idle_f = ifu_miss_state_idle_f; // @[dec_tlu_ctl.scala 965:39] + assign csr_io_lsu_idle_any_f = lsu_idle_any_f; // @[dec_tlu_ctl.scala 966:39] + assign csr_io_dbg_tlu_halted_f = dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 967:39] + assign csr_io_dbg_tlu_halted = _T_164 | _T_166; // @[dec_tlu_ctl.scala 968:39] + assign csr_io_debug_halt_req_f = debug_halt_req_f; // @[dec_tlu_ctl.scala 969:51] + assign csr_io_take_ext_int_start = ext_int_ready & _T_704; // @[dec_tlu_ctl.scala 970:47] + assign csr_io_trigger_hit_dmode_r_d1 = trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 971:43] + assign csr_io_trigger_hit_r_d1 = trigger_hit_r_d1; // @[dec_tlu_ctl.scala 972:43] + assign csr_io_dcsr_single_step_done_f = dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 973:43] + assign csr_io_ebreak_to_debug_mode_r_d1 = ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 974:39] + assign csr_io_debug_halt_req = _T_114 & _T_107; // @[dec_tlu_ctl.scala 975:51] + assign csr_io_allow_dbg_halt_csr_write = debug_mode_status & _T_77; // @[dec_tlu_ctl.scala 976:39] + assign csr_io_internal_dbg_halt_mode_f = debug_mode_status; // @[dec_tlu_ctl.scala 977:39] + assign csr_io_enter_debug_halt_req = _T_155 | ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 978:39] + assign csr_io_internal_dbg_halt_mode = debug_halt_req_ns | _T_160; // @[dec_tlu_ctl.scala 979:39] + assign csr_io_request_debug_mode_done = _T_183 & _T_136; // @[dec_tlu_ctl.scala 980:39] + assign csr_io_request_debug_mode_r = _T_180 | _T_182; // @[dec_tlu_ctl.scala 981:39] + assign csr_io_update_hit_bit_r = _T_342 & i0_trigger_chain_masked_r; // @[dec_tlu_ctl.scala 982:39] + assign csr_io_take_timer_int = _T_703 & _T_704; // @[dec_tlu_ctl.scala 983:39] + assign csr_io_take_int_timer0_int = _T_717 & _T_704; // @[dec_tlu_ctl.scala 984:39] + assign csr_io_take_int_timer1_int = _T_734 & _T_704; // @[dec_tlu_ctl.scala 985:39] + assign csr_io_take_ext_int = take_ext_int_start_d3 & _T_685; // @[dec_tlu_ctl.scala 986:39] + assign csr_io_tlu_flush_lower_r = _T_801 | take_ext_int_start; // @[dec_tlu_ctl.scala 987:39] + assign csr_io_dec_tlu_br0_error_r = _T_453 & _T_429; // @[dec_tlu_ctl.scala 988:39] + assign csr_io_dec_tlu_br0_start_error_r = _T_455 & _T_429; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_lsu_pmu_load_external_r = lsu_pmu_load_external_r; // @[dec_tlu_ctl.scala 990:39] + assign csr_io_lsu_pmu_store_external_r = lsu_pmu_store_external_r; // @[dec_tlu_ctl.scala 991:39] + assign csr_io_csr_pkt_csr_misa = csr_read_io_csr_pkt_csr_misa; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mvendorid = csr_read_io_csr_pkt_csr_mvendorid; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_marchid = csr_read_io_csr_pkt_csr_marchid; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mimpid = csr_read_io_csr_pkt_csr_mimpid; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mhartid = csr_read_io_csr_pkt_csr_mhartid; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mstatus = csr_read_io_csr_pkt_csr_mstatus; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mtvec = csr_read_io_csr_pkt_csr_mtvec; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mip = csr_read_io_csr_pkt_csr_mip; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mie = csr_read_io_csr_pkt_csr_mie; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mcyclel = csr_read_io_csr_pkt_csr_mcyclel; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mcycleh = csr_read_io_csr_pkt_csr_mcycleh; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_minstretl = csr_read_io_csr_pkt_csr_minstretl; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_minstreth = csr_read_io_csr_pkt_csr_minstreth; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mscratch = csr_read_io_csr_pkt_csr_mscratch; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mepc = csr_read_io_csr_pkt_csr_mepc; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mcause = csr_read_io_csr_pkt_csr_mcause; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mscause = csr_read_io_csr_pkt_csr_mscause; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mtval = csr_read_io_csr_pkt_csr_mtval; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mrac = csr_read_io_csr_pkt_csr_mrac; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mdseac = csr_read_io_csr_pkt_csr_mdseac; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_meihap = csr_read_io_csr_pkt_csr_meihap; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_meivt = csr_read_io_csr_pkt_csr_meivt; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_meipt = csr_read_io_csr_pkt_csr_meipt; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_meicurpl = csr_read_io_csr_pkt_csr_meicurpl; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_meicidpl = csr_read_io_csr_pkt_csr_meicidpl; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_dcsr = csr_read_io_csr_pkt_csr_dcsr; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mcgc = csr_read_io_csr_pkt_csr_mcgc; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mfdc = csr_read_io_csr_pkt_csr_mfdc; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_dpc = csr_read_io_csr_pkt_csr_dpc; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mtsel = csr_read_io_csr_pkt_csr_mtsel; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mtdata1 = csr_read_io_csr_pkt_csr_mtdata1; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mtdata2 = csr_read_io_csr_pkt_csr_mtdata2; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mhpmc3 = csr_read_io_csr_pkt_csr_mhpmc3; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mhpmc4 = csr_read_io_csr_pkt_csr_mhpmc4; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mhpmc5 = csr_read_io_csr_pkt_csr_mhpmc5; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mhpmc6 = csr_read_io_csr_pkt_csr_mhpmc6; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mhpmc3h = csr_read_io_csr_pkt_csr_mhpmc3h; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mhpmc4h = csr_read_io_csr_pkt_csr_mhpmc4h; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mhpmc5h = csr_read_io_csr_pkt_csr_mhpmc5h; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mhpmc6h = csr_read_io_csr_pkt_csr_mhpmc6h; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mhpme3 = csr_read_io_csr_pkt_csr_mhpme3; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mhpme4 = csr_read_io_csr_pkt_csr_mhpme4; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mhpme5 = csr_read_io_csr_pkt_csr_mhpme5; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mhpme6 = csr_read_io_csr_pkt_csr_mhpme6; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mcountinhibit = csr_read_io_csr_pkt_csr_mcountinhibit; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mpmc = csr_read_io_csr_pkt_csr_mpmc; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_micect = csr_read_io_csr_pkt_csr_micect; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_miccmect = csr_read_io_csr_pkt_csr_miccmect; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mdccmect = csr_read_io_csr_pkt_csr_mdccmect; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mfdht = csr_read_io_csr_pkt_csr_mfdht; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mfdhs = csr_read_io_csr_pkt_csr_mfdhs; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_dicawics = csr_read_io_csr_pkt_csr_dicawics; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_dicad0h = csr_read_io_csr_pkt_csr_dicad0h; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_dicad0 = csr_read_io_csr_pkt_csr_dicad0; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_dicad1 = csr_read_io_csr_pkt_csr_dicad1; // @[dec_tlu_ctl.scala 992:39] + assign csr_read_io_dec_csr_rdaddr_d = io_dec_csr_rdaddr_d; // @[dec_tlu_ctl.scala 1010:37] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + dbg_halt_state_f = _RAND_0[0:0]; + _RAND_1 = {1{`RANDOM}}; + mpc_halt_state_f = _RAND_1[0:0]; + _RAND_2 = {1{`RANDOM}}; + _T_8 = _RAND_2[6:0]; + _RAND_3 = {1{`RANDOM}}; + syncro_ff = _RAND_3[6:0]; + _RAND_4 = {1{`RANDOM}}; + lsu_exc_valid_r_d1 = _RAND_4[0:0]; + _RAND_5 = {1{`RANDOM}}; + e5_valid = _RAND_5[0:0]; + _RAND_6 = {1{`RANDOM}}; + debug_mode_status = _RAND_6[0:0]; + _RAND_7 = {1{`RANDOM}}; + i_cpu_run_req_d1_raw = _RAND_7[0:0]; + _RAND_8 = {1{`RANDOM}}; + nmi_int_delayed = _RAND_8[0:0]; + _RAND_9 = {1{`RANDOM}}; + mdseac_locked_f = _RAND_9[0:0]; + _RAND_10 = {1{`RANDOM}}; + nmi_int_detected_f = _RAND_10[0:0]; + _RAND_11 = {1{`RANDOM}}; + take_nmi_r_d1 = _RAND_11[0:0]; + _RAND_12 = {1{`RANDOM}}; + take_ext_int_start_d3 = _RAND_12[0:0]; + _RAND_13 = {1{`RANDOM}}; + int_timer0_int_hold_f = _RAND_13[0:0]; + _RAND_14 = {1{`RANDOM}}; + int_timer1_int_hold_f = _RAND_14[0:0]; + _RAND_15 = {1{`RANDOM}}; + i_cpu_halt_req_d1 = _RAND_15[0:0]; + _RAND_16 = {1{`RANDOM}}; + dbg_halt_req_held = _RAND_16[0:0]; + _RAND_17 = {1{`RANDOM}}; + ext_int_freeze_d1 = _RAND_17[0:0]; + _RAND_18 = {1{`RANDOM}}; + reset_detect = _RAND_18[0:0]; + _RAND_19 = {1{`RANDOM}}; + reset_detected = _RAND_19[0:0]; + _RAND_20 = {1{`RANDOM}}; + dcsr_single_step_done_f = _RAND_20[0:0]; + _RAND_21 = {1{`RANDOM}}; + trigger_hit_dmode_r_d1 = _RAND_21[0:0]; + _RAND_22 = {1{`RANDOM}}; + ebreak_to_debug_mode_r_d1 = _RAND_22[0:0]; + _RAND_23 = {1{`RANDOM}}; + debug_halt_req_f = _RAND_23[0:0]; + _RAND_24 = {1{`RANDOM}}; + lsu_idle_any_f = _RAND_24[0:0]; + _RAND_25 = {1{`RANDOM}}; + ifu_miss_state_idle_f = _RAND_25[0:0]; + _RAND_26 = {1{`RANDOM}}; + debug_halt_req_d1 = _RAND_26[0:0]; + _RAND_27 = {1{`RANDOM}}; + dec_tlu_flush_noredir_r_d1 = _RAND_27[0:0]; + _RAND_28 = {1{`RANDOM}}; + dec_tlu_flush_pause_r_d1 = _RAND_28[0:0]; + _RAND_29 = {1{`RANDOM}}; + take_ext_int_start_d1 = _RAND_29[0:0]; + _RAND_30 = {1{`RANDOM}}; + halt_taken_f = _RAND_30[0:0]; + _RAND_31 = {1{`RANDOM}}; + dbg_tlu_halted_f = _RAND_31[0:0]; + _RAND_32 = {1{`RANDOM}}; + pmu_fw_tlu_halted_f = _RAND_32[0:0]; + _RAND_33 = {1{`RANDOM}}; + interrupt_valid_r_d1 = _RAND_33[0:0]; + _RAND_34 = {1{`RANDOM}}; + debug_resume_req_f = _RAND_34[0:0]; + _RAND_35 = {1{`RANDOM}}; + dcsr_single_step_running_f = _RAND_35[0:0]; + _RAND_36 = {1{`RANDOM}}; + pmu_fw_halt_req_f = _RAND_36[0:0]; + _RAND_37 = {1{`RANDOM}}; + internal_pmu_fw_halt_mode_f = _RAND_37[0:0]; + _RAND_38 = {1{`RANDOM}}; + tlu_flush_lower_r_d1 = _RAND_38[0:0]; + _RAND_39 = {1{`RANDOM}}; + ic_perr_r_d1 = _RAND_39[0:0]; + _RAND_40 = {1{`RANDOM}}; + iccm_sbecc_r_d1 = _RAND_40[0:0]; + _RAND_41 = {1{`RANDOM}}; + request_debug_mode_r_d1 = _RAND_41[0:0]; + _RAND_42 = {1{`RANDOM}}; + iccm_repair_state_d1 = _RAND_42[0:0]; + _RAND_43 = {1{`RANDOM}}; + dec_pause_state_f = _RAND_43[0:0]; + _RAND_44 = {1{`RANDOM}}; + dec_tlu_wr_pause_r_d1 = _RAND_44[0:0]; + _RAND_45 = {1{`RANDOM}}; + exc_or_int_valid_r_d1 = _RAND_45[0:0]; + _RAND_46 = {1{`RANDOM}}; + pause_expired_wb = _RAND_46[0:0]; + _RAND_47 = {1{`RANDOM}}; + lsu_pmu_load_external_r = _RAND_47[0:0]; + _RAND_48 = {1{`RANDOM}}; + lsu_pmu_store_external_r = _RAND_48[0:0]; + _RAND_49 = {1{`RANDOM}}; + _T_32 = _RAND_49[0:0]; + _RAND_50 = {1{`RANDOM}}; + internal_dbg_halt_mode_f2 = _RAND_50[0:0]; + _RAND_51 = {1{`RANDOM}}; + _T_33 = _RAND_51[0:0]; + _RAND_52 = {1{`RANDOM}}; + nmi_lsu_load_type_f = _RAND_52[0:0]; + _RAND_53 = {1{`RANDOM}}; + nmi_lsu_store_type_f = _RAND_53[0:0]; + _RAND_54 = {1{`RANDOM}}; + mpc_debug_halt_req_sync_f = _RAND_54[0:0]; + _RAND_55 = {1{`RANDOM}}; + mpc_debug_run_req_sync_f = _RAND_55[0:0]; + _RAND_56 = {1{`RANDOM}}; + mpc_run_state_f = _RAND_56[0:0]; + _RAND_57 = {1{`RANDOM}}; + debug_brkpt_status_f = _RAND_57[0:0]; + _RAND_58 = {1{`RANDOM}}; + mpc_debug_halt_ack_f = _RAND_58[0:0]; + _RAND_59 = {1{`RANDOM}}; + mpc_debug_run_ack_f = _RAND_59[0:0]; + _RAND_60 = {1{`RANDOM}}; + dbg_run_state_f = _RAND_60[0:0]; + _RAND_61 = {1{`RANDOM}}; + _T_65 = _RAND_61[0:0]; + _RAND_62 = {1{`RANDOM}}; + request_debug_mode_done_f = _RAND_62[0:0]; + _RAND_63 = {1{`RANDOM}}; + _T_190 = _RAND_63[0:0]; + _RAND_64 = {1{`RANDOM}}; + _T_353 = _RAND_64[0:0]; + _RAND_65 = {1{`RANDOM}}; + _T_354 = _RAND_65[0:0]; + _RAND_66 = {1{`RANDOM}}; + _T_355 = _RAND_66[0:0]; + _RAND_67 = {1{`RANDOM}}; + lsu_single_ecc_error_r_d1 = _RAND_67[0:0]; + _RAND_68 = {1{`RANDOM}}; + lsu_i0_exc_r_d1 = _RAND_68[0:0]; + _RAND_69 = {1{`RANDOM}}; + take_ext_int_start_d2 = _RAND_69[0:0]; + _RAND_70 = {1{`RANDOM}}; + tlu_flush_path_r_d1 = _RAND_70[30:0]; + _RAND_71 = {1{`RANDOM}}; + i0_exception_valid_r_d1 = _RAND_71[0:0]; + _RAND_72 = {1{`RANDOM}}; + exc_cause_wb = _RAND_72[4:0]; + _RAND_73 = {1{`RANDOM}}; + i0_valid_wb = _RAND_73[0:0]; + _RAND_74 = {1{`RANDOM}}; + trigger_hit_r_d1 = _RAND_74[0:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + dbg_halt_state_f = 1'h0; + end + if (reset) begin + mpc_halt_state_f = 1'h0; + end + if (reset) begin + _T_8 = 7'h0; + end + if (reset) begin + syncro_ff = 7'h0; + end + if (reset) begin + lsu_exc_valid_r_d1 = 1'h0; + end + if (reset) begin + e5_valid = 1'h0; + end + if (reset) begin + debug_mode_status = 1'h0; + end + if (reset) begin + i_cpu_run_req_d1_raw = 1'h0; + end + if (reset) begin + nmi_int_delayed = 1'h0; + end + if (reset) begin + mdseac_locked_f = 1'h0; + end + if (reset) begin + nmi_int_detected_f = 1'h0; + end + if (reset) begin + take_nmi_r_d1 = 1'h0; + end + if (reset) begin + take_ext_int_start_d3 = 1'h0; + end + if (reset) begin + int_timer0_int_hold_f = 1'h0; + end + if (reset) begin + int_timer1_int_hold_f = 1'h0; + end + if (reset) begin + i_cpu_halt_req_d1 = 1'h0; + end + if (reset) begin + dbg_halt_req_held = 1'h0; + end + if (reset) begin + ext_int_freeze_d1 = 1'h0; + end + if (reset) begin + reset_detect = 1'h0; + end + if (reset) begin + reset_detected = 1'h0; + end + if (reset) begin + dcsr_single_step_done_f = 1'h0; + end + if (reset) begin + trigger_hit_dmode_r_d1 = 1'h0; + end + if (reset) begin + ebreak_to_debug_mode_r_d1 = 1'h0; + end + if (reset) begin + debug_halt_req_f = 1'h0; + end + if (reset) begin + lsu_idle_any_f = 1'h0; + end + if (reset) begin + ifu_miss_state_idle_f = 1'h0; + end + if (reset) begin + debug_halt_req_d1 = 1'h0; + end + if (reset) begin + dec_tlu_flush_noredir_r_d1 = 1'h0; + end + if (reset) begin + dec_tlu_flush_pause_r_d1 = 1'h0; + end + if (reset) begin + take_ext_int_start_d1 = 1'h0; + end + if (reset) begin + halt_taken_f = 1'h0; + end + if (reset) begin + dbg_tlu_halted_f = 1'h0; + end + if (reset) begin + pmu_fw_tlu_halted_f = 1'h0; + end + if (reset) begin + interrupt_valid_r_d1 = 1'h0; + end + if (reset) begin + debug_resume_req_f = 1'h0; + end + if (reset) begin + dcsr_single_step_running_f = 1'h0; + end + if (reset) begin + pmu_fw_halt_req_f = 1'h0; + end + if (reset) begin + internal_pmu_fw_halt_mode_f = 1'h0; + end + if (reset) begin + tlu_flush_lower_r_d1 = 1'h0; + end + if (reset) begin + ic_perr_r_d1 = 1'h0; + end + if (reset) begin + iccm_sbecc_r_d1 = 1'h0; + end + if (reset) begin + request_debug_mode_r_d1 = 1'h0; + end + if (reset) begin + iccm_repair_state_d1 = 1'h0; + end + if (reset) begin + dec_pause_state_f = 1'h0; + end + if (reset) begin + dec_tlu_wr_pause_r_d1 = 1'h0; + end + if (reset) begin + exc_or_int_valid_r_d1 = 1'h0; + end + if (reset) begin + pause_expired_wb = 1'h0; + end + if (reset) begin + lsu_pmu_load_external_r = 1'h0; + end + if (reset) begin + lsu_pmu_store_external_r = 1'h0; + end + if (reset) begin + _T_32 = 1'h0; + end + if (reset) begin + internal_dbg_halt_mode_f2 = 1'h0; + end + if (reset) begin + _T_33 = 1'h0; + end + if (reset) begin + nmi_lsu_load_type_f = 1'h0; + end + if (reset) begin + nmi_lsu_store_type_f = 1'h0; + end + if (reset) begin + mpc_debug_halt_req_sync_f = 1'h0; + end + if (reset) begin + mpc_debug_run_req_sync_f = 1'h0; + end + if (reset) begin + mpc_run_state_f = 1'h0; + end + if (reset) begin + debug_brkpt_status_f = 1'h0; + end + if (reset) begin + mpc_debug_halt_ack_f = 1'h0; + end + if (reset) begin + mpc_debug_run_ack_f = 1'h0; + end + if (reset) begin + dbg_run_state_f = 1'h0; + end + if (reset) begin + _T_65 = 1'h0; + end + if (reset) begin + request_debug_mode_done_f = 1'h0; + end + if (reset) begin + _T_190 = 1'h0; + end + if (reset) begin + _T_353 = 1'h0; + end + if (reset) begin + _T_354 = 1'h0; + end + if (reset) begin + _T_355 = 1'h0; + end + if (reset) begin + lsu_single_ecc_error_r_d1 = 1'h0; + end + if (reset) begin + lsu_i0_exc_r_d1 = 1'h0; + end + if (reset) begin + take_ext_int_start_d2 = 1'h0; + end + if (reset) begin + tlu_flush_path_r_d1 = 31'h0; + end + if (reset) begin + i0_exception_valid_r_d1 = 1'h0; + end + if (reset) begin + exc_cause_wb = 5'h0; + end + if (reset) begin + i0_valid_wb = 1'h0; + end + if (reset) begin + trigger_hit_r_d1 = 1'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + dbg_halt_state_f <= 1'h0; + end else begin + dbg_halt_state_f <= _T_83 & _T_84; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + mpc_halt_state_f <= 1'h0; + end else begin + mpc_halt_state_f <= _T_71 & _T_72; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_8 <= 7'h0; + end else begin + _T_8 <= {_T_6,_T_3}; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + syncro_ff <= 7'h0; + end else begin + syncro_ff <= _T_8; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + lsu_exc_valid_r_d1 <= 1'h0; + end else begin + lsu_exc_valid_r_d1 <= _T_405 & _T_470; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + e5_valid <= 1'h0; + end else begin + e5_valid <= io_dec_tlu_i0_valid_r; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + debug_mode_status <= 1'h0; + end else begin + debug_mode_status <= debug_halt_req_ns | _T_160; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + i_cpu_run_req_d1_raw <= 1'h0; + end else begin + i_cpu_run_req_d1_raw <= _T_351 & _T_107; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + nmi_int_delayed <= 1'h0; + end else begin + nmi_int_delayed <= syncro_ff[6]; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + mdseac_locked_f <= 1'h0; + end else begin + mdseac_locked_f <= csr_io_mdseac_locked_ns; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + nmi_int_detected_f <= 1'h0; + end else begin + nmi_int_detected_f <= _T_42 | _T_44; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + take_nmi_r_d1 <= 1'h0; + end else begin + take_nmi_r_d1 <= _T_756 & _T_760; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + take_ext_int_start_d3 <= 1'h0; + end else begin + take_ext_int_start_d3 <= take_ext_int_start_d2; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + int_timer0_int_hold_f <= 1'h0; + end else begin + int_timer0_int_hold_f <= _T_644 | _T_651; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + int_timer1_int_hold_f <= 1'h0; + end else begin + int_timer1_int_hold_f <= _T_654 | _T_661; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + i_cpu_halt_req_d1 <= 1'h0; + end else begin + i_cpu_halt_req_d1 <= _T_347 & _T_107; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + dbg_halt_req_held <= 1'h0; + end else begin + dbg_halt_req_held <= _T_106 & ext_int_freeze_d1; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + ext_int_freeze_d1 <= 1'h0; + end else begin + ext_int_freeze_d1 <= _T_682 | take_ext_int_start_d3; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + reset_detect <= 1'h0; + end else begin + reset_detect <= 1'h1; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + reset_detected <= 1'h0; + end else begin + reset_detected <= reset_detect; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + dcsr_single_step_done_f <= 1'h0; + end else begin + dcsr_single_step_done_f <= _T_174 & _T_470; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + trigger_hit_dmode_r_d1 <= 1'h0; + end else begin + trigger_hit_dmode_r_d1 <= i0_trigger_hit_raw_r & i0_trigger_action_r; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + ebreak_to_debug_mode_r_d1 <= 1'h0; + end else begin + ebreak_to_debug_mode_r_d1 <= _T_519 & _T_470; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + debug_halt_req_f <= 1'h0; + end else begin + debug_halt_req_f <= enter_debug_halt_req | _T_168; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + lsu_idle_any_f <= 1'h0; + end else begin + lsu_idle_any_f <= io_lsu_idle_any; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + ifu_miss_state_idle_f <= 1'h0; + end else begin + ifu_miss_state_idle_f <= io_tlu_mem_ifu_miss_state_idle; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + debug_halt_req_d1 <= 1'h0; + end else begin + debug_halt_req_d1 <= _T_114 & _T_107; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + dec_tlu_flush_noredir_r_d1 <= 1'h0; + end else begin + dec_tlu_flush_noredir_r_d1 <= io_tlu_ifc_dec_tlu_flush_noredir_wb; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + dec_tlu_flush_pause_r_d1 <= 1'h0; + end else begin + dec_tlu_flush_pause_r_d1 <= io_dec_tlu_flush_pause_r; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + take_ext_int_start_d1 <= 1'h0; + end else begin + take_ext_int_start_d1 <= ext_int_ready & _T_704; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + halt_taken_f <= 1'h0; + end else begin + halt_taken_f <= _T_135 | _T_141; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + dbg_tlu_halted_f <= 1'h0; + end else begin + dbg_tlu_halted_f <= _T_164 | _T_166; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + pmu_fw_tlu_halted_f <= 1'h0; + end else begin + pmu_fw_tlu_halted_f <= _T_377 & _T_378; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + interrupt_valid_r_d1 <= 1'h0; + end else begin + interrupt_valid_r_d1 <= _T_766 | take_int_timer1_int; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + debug_resume_req_f <= 1'h0; + end else begin + debug_resume_req_f <= _T_165 & _T_121; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + dcsr_single_step_running_f <= 1'h0; + end else begin + dcsr_single_step_running_f <= _T_177 | _T_179; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + pmu_fw_halt_req_f <= 1'h0; + end else begin + pmu_fw_halt_req_f <= _T_363 & _T_378; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + internal_pmu_fw_halt_mode_f <= 1'h0; + end else begin + internal_pmu_fw_halt_mode_f <= pmu_fw_halt_req_ns | _T_369; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + tlu_flush_lower_r_d1 <= 1'h0; + end else begin + tlu_flush_lower_r_d1 <= _T_801 | take_ext_int_start; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + ic_perr_r_d1 <= 1'h0; + end else begin + ic_perr_r_d1 <= _T_499 & _T_500; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + iccm_sbecc_r_d1 <= 1'h0; + end else begin + iccm_sbecc_r_d1 <= _T_506 & _T_500; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + request_debug_mode_r_d1 <= 1'h0; + end else begin + request_debug_mode_r_d1 <= _T_180 | _T_182; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + iccm_repair_state_d1 <= 1'h0; + end else begin + iccm_repair_state_d1 <= iccm_sbecc_r_d1 | _T_442; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + dec_pause_state_f <= 1'h0; + end else begin + dec_pause_state_f <= io_dec_pause_state; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + dec_tlu_wr_pause_r_d1 <= 1'h0; + end else begin + dec_tlu_wr_pause_r_d1 <= io_dec_tlu_wr_pause_r; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + exc_or_int_valid_r_d1 <= 1'h0; + end else begin + exc_or_int_valid_r_d1 <= _T_855 | mepc_trigger_hit_sel_pc_r; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + pause_expired_wb <= 1'h0; + end else begin + pause_expired_wb <= _T_227 & _T_228; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + lsu_pmu_load_external_r <= 1'h0; + end else begin + lsu_pmu_load_external_r <= io_lsu_tlu_lsu_pmu_load_external_m; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + lsu_pmu_store_external_r <= 1'h0; + end else begin + lsu_pmu_store_external_r <= io_lsu_tlu_lsu_pmu_store_external_m; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_32 <= 1'h0; + end else begin + _T_32 <= _T_427 | i0_trigger_hit_raw_r; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + internal_dbg_halt_mode_f2 <= 1'h0; + end else begin + internal_dbg_halt_mode_f2 <= debug_mode_status; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_33 <= 1'h0; + end else begin + _T_33 <= csr_io_force_halt; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + nmi_lsu_load_type_f <= 1'h0; + end else begin + nmi_lsu_load_type_f <= _T_50 | _T_52; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + nmi_lsu_store_type_f <= 1'h0; + end else begin + nmi_lsu_store_type_f <= _T_58 | _T_60; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + mpc_debug_halt_req_sync_f <= 1'h0; + end else begin + mpc_debug_halt_req_sync_f <= mpc_debug_halt_req_sync_raw & _T_107; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + mpc_debug_run_req_sync_f <= 1'h0; + end else begin + mpc_debug_run_req_sync_f <= syncro_ff[0]; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + mpc_run_state_f <= 1'h0; + end else begin + mpc_run_state_f <= _T_76 & _T_78; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + debug_brkpt_status_f <= 1'h0; + end else begin + debug_brkpt_status_f <= _T_92 & _T_94; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + mpc_debug_halt_ack_f <= 1'h0; + end else begin + mpc_debug_halt_ack_f <= _T_97 & core_empty; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + mpc_debug_run_ack_f <= 1'h0; + end else begin + mpc_debug_run_ack_f <= _T_102 | _T_103; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + dbg_run_state_f <= 1'h0; + end else begin + dbg_run_state_f <= _T_86 & _T_78; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_65 <= 1'h0; + end else begin + _T_65 <= _T & mpc_halt_state_f; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + request_debug_mode_done_f <= 1'h0; + end else begin + request_debug_mode_done_f <= _T_183 & _T_136; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_190 <= 1'h0; + end else begin + _T_190 <= _T_170 & dbg_run_state_ns; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_353 <= 1'h0; + end else begin + _T_353 <= _T_376 | _T_386; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_354 <= 1'h0; + end else begin + _T_354 <= i_cpu_halt_req_d1 & pmu_fw_tlu_halted_f; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_355 <= 1'h0; + end else begin + _T_355 <= _T_388 | _T_389; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + lsu_single_ecc_error_r_d1 <= 1'h0; + end else begin + lsu_single_ecc_error_r_d1 <= io_lsu_single_ecc_error_incr; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + lsu_i0_exc_r_d1 <= 1'h0; + end else begin + lsu_i0_exc_r_d1 <= _T_405 & _T_470; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + take_ext_int_start_d2 <= 1'h0; + end else begin + take_ext_int_start_d2 <= take_ext_int_start_d1; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + tlu_flush_path_r_d1 <= 31'h0; + end else if (take_reset) begin + tlu_flush_path_r_d1 <= io_rst_vec; + end else begin + tlu_flush_path_r_d1 <= _T_852; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + i0_exception_valid_r_d1 <= 1'h0; + end else begin + i0_exception_valid_r_d1 <= _T_527 & _T_528; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + exc_cause_wb <= 5'h0; + end else begin + exc_cause_wb <= _T_603 | _T_591; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + i0_valid_wb <= 1'h0; + end else begin + i0_valid_wb <= tlu_i0_commit_cmt & _T_860; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + trigger_hit_r_d1 <= 1'h0; + end else begin + trigger_hit_r_d1 <= |i0_trigger_chain_masked_r; + end + end +endmodule +module dec_trigger( + input io_trigger_pkt_any_0_select, + input io_trigger_pkt_any_0_match_pkt, + input io_trigger_pkt_any_0_execute, + input io_trigger_pkt_any_0_m, + input [31:0] io_trigger_pkt_any_0_tdata2, + input io_trigger_pkt_any_1_select, + input io_trigger_pkt_any_1_match_pkt, + input io_trigger_pkt_any_1_execute, + input io_trigger_pkt_any_1_m, + input [31:0] io_trigger_pkt_any_1_tdata2, + input io_trigger_pkt_any_2_select, + input io_trigger_pkt_any_2_match_pkt, + input io_trigger_pkt_any_2_execute, + input io_trigger_pkt_any_2_m, + input [31:0] io_trigger_pkt_any_2_tdata2, + input io_trigger_pkt_any_3_select, + input io_trigger_pkt_any_3_match_pkt, + input io_trigger_pkt_any_3_execute, + input io_trigger_pkt_any_3_m, + input [31:0] io_trigger_pkt_any_3_tdata2, + input [30:0] io_dec_i0_pc_d, + output [3:0] io_dec_i0_trigger_match_d +); + wire _T = ~io_trigger_pkt_any_0_select; // @[dec_trigger.scala 14:63] + wire _T_1 = _T & io_trigger_pkt_any_0_execute; // @[dec_trigger.scala 14:93] + wire [9:0] _T_11 = {_T_1,_T_1,_T_1,_T_1,_T_1,_T_1,_T_1,_T_1,_T_1,_T_1}; // @[Cat.scala 29:58] + wire [18:0] _T_20 = {_T_11,_T_1,_T_1,_T_1,_T_1,_T_1,_T_1,_T_1,_T_1,_T_1}; // @[Cat.scala 29:58] + wire [27:0] _T_29 = {_T_20,_T_1,_T_1,_T_1,_T_1,_T_1,_T_1,_T_1,_T_1,_T_1}; // @[Cat.scala 29:58] + wire [31:0] _T_33 = {_T_29,_T_1,_T_1,_T_1,_T_1}; // @[Cat.scala 29:58] + wire [31:0] _T_35 = {io_dec_i0_pc_d,io_trigger_pkt_any_0_tdata2[0]}; // @[Cat.scala 29:58] + wire [31:0] dec_i0_match_data_0 = _T_33 & _T_35; // @[dec_trigger.scala 14:127] + wire _T_37 = ~io_trigger_pkt_any_1_select; // @[dec_trigger.scala 14:63] + wire _T_38 = _T_37 & io_trigger_pkt_any_1_execute; // @[dec_trigger.scala 14:93] + wire [9:0] _T_48 = {_T_38,_T_38,_T_38,_T_38,_T_38,_T_38,_T_38,_T_38,_T_38,_T_38}; // @[Cat.scala 29:58] + wire [18:0] _T_57 = {_T_48,_T_38,_T_38,_T_38,_T_38,_T_38,_T_38,_T_38,_T_38,_T_38}; // @[Cat.scala 29:58] + wire [27:0] _T_66 = {_T_57,_T_38,_T_38,_T_38,_T_38,_T_38,_T_38,_T_38,_T_38,_T_38}; // @[Cat.scala 29:58] + wire [31:0] _T_70 = {_T_66,_T_38,_T_38,_T_38,_T_38}; // @[Cat.scala 29:58] + wire [31:0] _T_72 = {io_dec_i0_pc_d,io_trigger_pkt_any_1_tdata2[0]}; // @[Cat.scala 29:58] + wire [31:0] dec_i0_match_data_1 = _T_70 & _T_72; // @[dec_trigger.scala 14:127] + wire _T_74 = ~io_trigger_pkt_any_2_select; // @[dec_trigger.scala 14:63] + wire _T_75 = _T_74 & io_trigger_pkt_any_2_execute; // @[dec_trigger.scala 14:93] + wire [9:0] _T_85 = {_T_75,_T_75,_T_75,_T_75,_T_75,_T_75,_T_75,_T_75,_T_75,_T_75}; // @[Cat.scala 29:58] + wire [18:0] _T_94 = {_T_85,_T_75,_T_75,_T_75,_T_75,_T_75,_T_75,_T_75,_T_75,_T_75}; // @[Cat.scala 29:58] + wire [27:0] _T_103 = {_T_94,_T_75,_T_75,_T_75,_T_75,_T_75,_T_75,_T_75,_T_75,_T_75}; // @[Cat.scala 29:58] + wire [31:0] _T_107 = {_T_103,_T_75,_T_75,_T_75,_T_75}; // @[Cat.scala 29:58] + wire [31:0] _T_109 = {io_dec_i0_pc_d,io_trigger_pkt_any_2_tdata2[0]}; // @[Cat.scala 29:58] + wire [31:0] dec_i0_match_data_2 = _T_107 & _T_109; // @[dec_trigger.scala 14:127] + wire _T_111 = ~io_trigger_pkt_any_3_select; // @[dec_trigger.scala 14:63] + wire _T_112 = _T_111 & io_trigger_pkt_any_3_execute; // @[dec_trigger.scala 14:93] + wire [9:0] _T_122 = {_T_112,_T_112,_T_112,_T_112,_T_112,_T_112,_T_112,_T_112,_T_112,_T_112}; // @[Cat.scala 29:58] + wire [18:0] _T_131 = {_T_122,_T_112,_T_112,_T_112,_T_112,_T_112,_T_112,_T_112,_T_112,_T_112}; // @[Cat.scala 29:58] + wire [27:0] _T_140 = {_T_131,_T_112,_T_112,_T_112,_T_112,_T_112,_T_112,_T_112,_T_112,_T_112}; // @[Cat.scala 29:58] + wire [31:0] _T_144 = {_T_140,_T_112,_T_112,_T_112,_T_112}; // @[Cat.scala 29:58] + wire [31:0] _T_146 = {io_dec_i0_pc_d,io_trigger_pkt_any_3_tdata2[0]}; // @[Cat.scala 29:58] + wire [31:0] dec_i0_match_data_3 = _T_144 & _T_146; // @[dec_trigger.scala 14:127] + wire _T_148 = io_trigger_pkt_any_0_execute & io_trigger_pkt_any_0_m; // @[dec_trigger.scala 15:83] + wire _T_151 = &io_trigger_pkt_any_0_tdata2; // @[lib.scala 101:45] + wire _T_152 = ~_T_151; // @[lib.scala 101:39] + wire _T_153 = io_trigger_pkt_any_0_match_pkt & _T_152; // @[lib.scala 101:37] + wire _T_156 = io_trigger_pkt_any_0_tdata2[0] == dec_i0_match_data_0[0]; // @[lib.scala 102:52] + wire _T_157 = _T_153 | _T_156; // @[lib.scala 102:41] + wire _T_159 = &io_trigger_pkt_any_0_tdata2[0]; // @[lib.scala 104:36] + wire _T_160 = _T_159 & _T_153; // @[lib.scala 104:41] + wire _T_163 = io_trigger_pkt_any_0_tdata2[1] == dec_i0_match_data_0[1]; // @[lib.scala 104:78] + wire _T_164 = _T_160 | _T_163; // @[lib.scala 104:23] + wire _T_166 = &io_trigger_pkt_any_0_tdata2[1:0]; // @[lib.scala 104:36] + wire _T_167 = _T_166 & _T_153; // @[lib.scala 104:41] + wire _T_170 = io_trigger_pkt_any_0_tdata2[2] == dec_i0_match_data_0[2]; // @[lib.scala 104:78] + wire _T_171 = _T_167 | _T_170; // @[lib.scala 104:23] + wire _T_173 = &io_trigger_pkt_any_0_tdata2[2:0]; // @[lib.scala 104:36] + wire _T_174 = _T_173 & _T_153; // @[lib.scala 104:41] + wire _T_177 = io_trigger_pkt_any_0_tdata2[3] == dec_i0_match_data_0[3]; // @[lib.scala 104:78] + wire _T_178 = _T_174 | _T_177; // @[lib.scala 104:23] + wire _T_180 = &io_trigger_pkt_any_0_tdata2[3:0]; // @[lib.scala 104:36] + wire _T_181 = _T_180 & _T_153; // @[lib.scala 104:41] + wire _T_184 = io_trigger_pkt_any_0_tdata2[4] == dec_i0_match_data_0[4]; // @[lib.scala 104:78] + wire _T_185 = _T_181 | _T_184; // @[lib.scala 104:23] + wire _T_187 = &io_trigger_pkt_any_0_tdata2[4:0]; // @[lib.scala 104:36] + wire _T_188 = _T_187 & _T_153; // @[lib.scala 104:41] + wire _T_191 = io_trigger_pkt_any_0_tdata2[5] == dec_i0_match_data_0[5]; // @[lib.scala 104:78] + wire _T_192 = _T_188 | _T_191; // @[lib.scala 104:23] + wire _T_194 = &io_trigger_pkt_any_0_tdata2[5:0]; // @[lib.scala 104:36] + wire _T_195 = _T_194 & _T_153; // @[lib.scala 104:41] + wire _T_198 = io_trigger_pkt_any_0_tdata2[6] == dec_i0_match_data_0[6]; // @[lib.scala 104:78] + wire _T_199 = _T_195 | _T_198; // @[lib.scala 104:23] + wire _T_201 = &io_trigger_pkt_any_0_tdata2[6:0]; // @[lib.scala 104:36] + wire _T_202 = _T_201 & _T_153; // @[lib.scala 104:41] + wire _T_205 = io_trigger_pkt_any_0_tdata2[7] == dec_i0_match_data_0[7]; // @[lib.scala 104:78] + wire _T_206 = _T_202 | _T_205; // @[lib.scala 104:23] + wire _T_208 = &io_trigger_pkt_any_0_tdata2[7:0]; // @[lib.scala 104:36] + wire _T_209 = _T_208 & _T_153; // @[lib.scala 104:41] + wire _T_212 = io_trigger_pkt_any_0_tdata2[8] == dec_i0_match_data_0[8]; // @[lib.scala 104:78] + wire _T_213 = _T_209 | _T_212; // @[lib.scala 104:23] + wire _T_215 = &io_trigger_pkt_any_0_tdata2[8:0]; // @[lib.scala 104:36] + wire _T_216 = _T_215 & _T_153; // @[lib.scala 104:41] + wire _T_219 = io_trigger_pkt_any_0_tdata2[9] == dec_i0_match_data_0[9]; // @[lib.scala 104:78] + wire _T_220 = _T_216 | _T_219; // @[lib.scala 104:23] + wire _T_222 = &io_trigger_pkt_any_0_tdata2[9:0]; // @[lib.scala 104:36] + wire _T_223 = _T_222 & _T_153; // @[lib.scala 104:41] + wire _T_226 = io_trigger_pkt_any_0_tdata2[10] == dec_i0_match_data_0[10]; // @[lib.scala 104:78] + wire _T_227 = _T_223 | _T_226; // @[lib.scala 104:23] + wire _T_229 = &io_trigger_pkt_any_0_tdata2[10:0]; // @[lib.scala 104:36] + wire _T_230 = _T_229 & _T_153; // @[lib.scala 104:41] + wire _T_233 = io_trigger_pkt_any_0_tdata2[11] == dec_i0_match_data_0[11]; // @[lib.scala 104:78] + wire _T_234 = _T_230 | _T_233; // @[lib.scala 104:23] + wire _T_236 = &io_trigger_pkt_any_0_tdata2[11:0]; // @[lib.scala 104:36] + wire _T_237 = _T_236 & _T_153; // @[lib.scala 104:41] + wire _T_240 = io_trigger_pkt_any_0_tdata2[12] == dec_i0_match_data_0[12]; // @[lib.scala 104:78] + wire _T_241 = _T_237 | _T_240; // @[lib.scala 104:23] + wire _T_243 = &io_trigger_pkt_any_0_tdata2[12:0]; // @[lib.scala 104:36] + wire _T_244 = _T_243 & _T_153; // @[lib.scala 104:41] + wire _T_247 = io_trigger_pkt_any_0_tdata2[13] == dec_i0_match_data_0[13]; // @[lib.scala 104:78] + wire _T_248 = _T_244 | _T_247; // @[lib.scala 104:23] + wire _T_250 = &io_trigger_pkt_any_0_tdata2[13:0]; // @[lib.scala 104:36] + wire _T_251 = _T_250 & _T_153; // @[lib.scala 104:41] + wire _T_254 = io_trigger_pkt_any_0_tdata2[14] == dec_i0_match_data_0[14]; // @[lib.scala 104:78] + wire _T_255 = _T_251 | _T_254; // @[lib.scala 104:23] + wire _T_257 = &io_trigger_pkt_any_0_tdata2[14:0]; // @[lib.scala 104:36] + wire _T_258 = _T_257 & _T_153; // @[lib.scala 104:41] + wire _T_261 = io_trigger_pkt_any_0_tdata2[15] == dec_i0_match_data_0[15]; // @[lib.scala 104:78] + wire _T_262 = _T_258 | _T_261; // @[lib.scala 104:23] + wire _T_264 = &io_trigger_pkt_any_0_tdata2[15:0]; // @[lib.scala 104:36] + wire _T_265 = _T_264 & _T_153; // @[lib.scala 104:41] + wire _T_268 = io_trigger_pkt_any_0_tdata2[16] == dec_i0_match_data_0[16]; // @[lib.scala 104:78] + wire _T_269 = _T_265 | _T_268; // @[lib.scala 104:23] + wire _T_271 = &io_trigger_pkt_any_0_tdata2[16:0]; // @[lib.scala 104:36] + wire _T_272 = _T_271 & _T_153; // @[lib.scala 104:41] + wire _T_275 = io_trigger_pkt_any_0_tdata2[17] == dec_i0_match_data_0[17]; // @[lib.scala 104:78] + wire _T_276 = _T_272 | _T_275; // @[lib.scala 104:23] + wire _T_278 = &io_trigger_pkt_any_0_tdata2[17:0]; // @[lib.scala 104:36] + wire _T_279 = _T_278 & _T_153; // @[lib.scala 104:41] + wire _T_282 = io_trigger_pkt_any_0_tdata2[18] == dec_i0_match_data_0[18]; // @[lib.scala 104:78] + wire _T_283 = _T_279 | _T_282; // @[lib.scala 104:23] + wire _T_285 = &io_trigger_pkt_any_0_tdata2[18:0]; // @[lib.scala 104:36] + wire _T_286 = _T_285 & _T_153; // @[lib.scala 104:41] + wire _T_289 = io_trigger_pkt_any_0_tdata2[19] == dec_i0_match_data_0[19]; // @[lib.scala 104:78] + wire _T_290 = _T_286 | _T_289; // @[lib.scala 104:23] + wire _T_292 = &io_trigger_pkt_any_0_tdata2[19:0]; // @[lib.scala 104:36] + wire _T_293 = _T_292 & _T_153; // @[lib.scala 104:41] + wire _T_296 = io_trigger_pkt_any_0_tdata2[20] == dec_i0_match_data_0[20]; // @[lib.scala 104:78] + wire _T_297 = _T_293 | _T_296; // @[lib.scala 104:23] + wire _T_299 = &io_trigger_pkt_any_0_tdata2[20:0]; // @[lib.scala 104:36] + wire _T_300 = _T_299 & _T_153; // @[lib.scala 104:41] + wire _T_303 = io_trigger_pkt_any_0_tdata2[21] == dec_i0_match_data_0[21]; // @[lib.scala 104:78] + wire _T_304 = _T_300 | _T_303; // @[lib.scala 104:23] + wire _T_306 = &io_trigger_pkt_any_0_tdata2[21:0]; // @[lib.scala 104:36] + wire _T_307 = _T_306 & _T_153; // @[lib.scala 104:41] + wire _T_310 = io_trigger_pkt_any_0_tdata2[22] == dec_i0_match_data_0[22]; // @[lib.scala 104:78] + wire _T_311 = _T_307 | _T_310; // @[lib.scala 104:23] + wire _T_313 = &io_trigger_pkt_any_0_tdata2[22:0]; // @[lib.scala 104:36] + wire _T_314 = _T_313 & _T_153; // @[lib.scala 104:41] + wire _T_317 = io_trigger_pkt_any_0_tdata2[23] == dec_i0_match_data_0[23]; // @[lib.scala 104:78] + wire _T_318 = _T_314 | _T_317; // @[lib.scala 104:23] + wire _T_320 = &io_trigger_pkt_any_0_tdata2[23:0]; // @[lib.scala 104:36] + wire _T_321 = _T_320 & _T_153; // @[lib.scala 104:41] + wire _T_324 = io_trigger_pkt_any_0_tdata2[24] == dec_i0_match_data_0[24]; // @[lib.scala 104:78] + wire _T_325 = _T_321 | _T_324; // @[lib.scala 104:23] + wire _T_327 = &io_trigger_pkt_any_0_tdata2[24:0]; // @[lib.scala 104:36] + wire _T_328 = _T_327 & _T_153; // @[lib.scala 104:41] + wire _T_331 = io_trigger_pkt_any_0_tdata2[25] == dec_i0_match_data_0[25]; // @[lib.scala 104:78] + wire _T_332 = _T_328 | _T_331; // @[lib.scala 104:23] + wire _T_334 = &io_trigger_pkt_any_0_tdata2[25:0]; // @[lib.scala 104:36] + wire _T_335 = _T_334 & _T_153; // @[lib.scala 104:41] + wire _T_338 = io_trigger_pkt_any_0_tdata2[26] == dec_i0_match_data_0[26]; // @[lib.scala 104:78] + wire _T_339 = _T_335 | _T_338; // @[lib.scala 104:23] + wire _T_341 = &io_trigger_pkt_any_0_tdata2[26:0]; // @[lib.scala 104:36] + wire _T_342 = _T_341 & _T_153; // @[lib.scala 104:41] + wire _T_345 = io_trigger_pkt_any_0_tdata2[27] == dec_i0_match_data_0[27]; // @[lib.scala 104:78] + wire _T_346 = _T_342 | _T_345; // @[lib.scala 104:23] + wire _T_348 = &io_trigger_pkt_any_0_tdata2[27:0]; // @[lib.scala 104:36] + wire _T_349 = _T_348 & _T_153; // @[lib.scala 104:41] + wire _T_352 = io_trigger_pkt_any_0_tdata2[28] == dec_i0_match_data_0[28]; // @[lib.scala 104:78] + wire _T_353 = _T_349 | _T_352; // @[lib.scala 104:23] + wire _T_355 = &io_trigger_pkt_any_0_tdata2[28:0]; // @[lib.scala 104:36] + wire _T_356 = _T_355 & _T_153; // @[lib.scala 104:41] + wire _T_359 = io_trigger_pkt_any_0_tdata2[29] == dec_i0_match_data_0[29]; // @[lib.scala 104:78] + wire _T_360 = _T_356 | _T_359; // @[lib.scala 104:23] + wire _T_362 = &io_trigger_pkt_any_0_tdata2[29:0]; // @[lib.scala 104:36] + wire _T_363 = _T_362 & _T_153; // @[lib.scala 104:41] + wire _T_366 = io_trigger_pkt_any_0_tdata2[30] == dec_i0_match_data_0[30]; // @[lib.scala 104:78] + wire _T_367 = _T_363 | _T_366; // @[lib.scala 104:23] + wire _T_369 = &io_trigger_pkt_any_0_tdata2[30:0]; // @[lib.scala 104:36] + wire _T_370 = _T_369 & _T_153; // @[lib.scala 104:41] + wire _T_373 = io_trigger_pkt_any_0_tdata2[31] == dec_i0_match_data_0[31]; // @[lib.scala 104:78] + wire _T_374 = _T_370 | _T_373; // @[lib.scala 104:23] + wire [7:0] _T_381 = {_T_206,_T_199,_T_192,_T_185,_T_178,_T_171,_T_164,_T_157}; // @[lib.scala 105:14] + wire [15:0] _T_389 = {_T_262,_T_255,_T_248,_T_241,_T_234,_T_227,_T_220,_T_213,_T_381}; // @[lib.scala 105:14] + wire [7:0] _T_396 = {_T_318,_T_311,_T_304,_T_297,_T_290,_T_283,_T_276,_T_269}; // @[lib.scala 105:14] + wire [31:0] _T_405 = {_T_374,_T_367,_T_360,_T_353,_T_346,_T_339,_T_332,_T_325,_T_396,_T_389}; // @[lib.scala 105:14] + wire _T_406 = &_T_405; // @[lib.scala 105:25] + wire _T_407 = _T_148 & _T_406; // @[dec_trigger.scala 15:109] + wire _T_408 = io_trigger_pkt_any_1_execute & io_trigger_pkt_any_1_m; // @[dec_trigger.scala 15:83] + wire _T_411 = &io_trigger_pkt_any_1_tdata2; // @[lib.scala 101:45] + wire _T_412 = ~_T_411; // @[lib.scala 101:39] + wire _T_413 = io_trigger_pkt_any_1_match_pkt & _T_412; // @[lib.scala 101:37] + wire _T_416 = io_trigger_pkt_any_1_tdata2[0] == dec_i0_match_data_1[0]; // @[lib.scala 102:52] + wire _T_417 = _T_413 | _T_416; // @[lib.scala 102:41] + wire _T_419 = &io_trigger_pkt_any_1_tdata2[0]; // @[lib.scala 104:36] + wire _T_420 = _T_419 & _T_413; // @[lib.scala 104:41] + wire _T_423 = io_trigger_pkt_any_1_tdata2[1] == dec_i0_match_data_1[1]; // @[lib.scala 104:78] + wire _T_424 = _T_420 | _T_423; // @[lib.scala 104:23] + wire _T_426 = &io_trigger_pkt_any_1_tdata2[1:0]; // @[lib.scala 104:36] + wire _T_427 = _T_426 & _T_413; // @[lib.scala 104:41] + wire _T_430 = io_trigger_pkt_any_1_tdata2[2] == dec_i0_match_data_1[2]; // @[lib.scala 104:78] + wire _T_431 = _T_427 | _T_430; // @[lib.scala 104:23] + wire _T_433 = &io_trigger_pkt_any_1_tdata2[2:0]; // @[lib.scala 104:36] + wire _T_434 = _T_433 & _T_413; // @[lib.scala 104:41] + wire _T_437 = io_trigger_pkt_any_1_tdata2[3] == dec_i0_match_data_1[3]; // @[lib.scala 104:78] + wire _T_438 = _T_434 | _T_437; // @[lib.scala 104:23] + wire _T_440 = &io_trigger_pkt_any_1_tdata2[3:0]; // @[lib.scala 104:36] + wire _T_441 = _T_440 & _T_413; // @[lib.scala 104:41] + wire _T_444 = io_trigger_pkt_any_1_tdata2[4] == dec_i0_match_data_1[4]; // @[lib.scala 104:78] + wire _T_445 = _T_441 | _T_444; // @[lib.scala 104:23] + wire _T_447 = &io_trigger_pkt_any_1_tdata2[4:0]; // @[lib.scala 104:36] + wire _T_448 = _T_447 & _T_413; // @[lib.scala 104:41] + wire _T_451 = io_trigger_pkt_any_1_tdata2[5] == dec_i0_match_data_1[5]; // @[lib.scala 104:78] + wire _T_452 = _T_448 | _T_451; // @[lib.scala 104:23] + wire _T_454 = &io_trigger_pkt_any_1_tdata2[5:0]; // @[lib.scala 104:36] + wire _T_455 = _T_454 & _T_413; // @[lib.scala 104:41] + wire _T_458 = io_trigger_pkt_any_1_tdata2[6] == dec_i0_match_data_1[6]; // @[lib.scala 104:78] + wire _T_459 = _T_455 | _T_458; // @[lib.scala 104:23] + wire _T_461 = &io_trigger_pkt_any_1_tdata2[6:0]; // @[lib.scala 104:36] + wire _T_462 = _T_461 & _T_413; // @[lib.scala 104:41] + wire _T_465 = io_trigger_pkt_any_1_tdata2[7] == dec_i0_match_data_1[7]; // @[lib.scala 104:78] + wire _T_466 = _T_462 | _T_465; // @[lib.scala 104:23] + wire _T_468 = &io_trigger_pkt_any_1_tdata2[7:0]; // @[lib.scala 104:36] + wire _T_469 = _T_468 & _T_413; // @[lib.scala 104:41] + wire _T_472 = io_trigger_pkt_any_1_tdata2[8] == dec_i0_match_data_1[8]; // @[lib.scala 104:78] + wire _T_473 = _T_469 | _T_472; // @[lib.scala 104:23] + wire _T_475 = &io_trigger_pkt_any_1_tdata2[8:0]; // @[lib.scala 104:36] + wire _T_476 = _T_475 & _T_413; // @[lib.scala 104:41] + wire _T_479 = io_trigger_pkt_any_1_tdata2[9] == dec_i0_match_data_1[9]; // @[lib.scala 104:78] + wire _T_480 = _T_476 | _T_479; // @[lib.scala 104:23] + wire _T_482 = &io_trigger_pkt_any_1_tdata2[9:0]; // @[lib.scala 104:36] + wire _T_483 = _T_482 & _T_413; // @[lib.scala 104:41] + wire _T_486 = io_trigger_pkt_any_1_tdata2[10] == dec_i0_match_data_1[10]; // @[lib.scala 104:78] + wire _T_487 = _T_483 | _T_486; // @[lib.scala 104:23] + wire _T_489 = &io_trigger_pkt_any_1_tdata2[10:0]; // @[lib.scala 104:36] + wire _T_490 = _T_489 & _T_413; // @[lib.scala 104:41] + wire _T_493 = io_trigger_pkt_any_1_tdata2[11] == dec_i0_match_data_1[11]; // @[lib.scala 104:78] + wire _T_494 = _T_490 | _T_493; // @[lib.scala 104:23] + wire _T_496 = &io_trigger_pkt_any_1_tdata2[11:0]; // @[lib.scala 104:36] + wire _T_497 = _T_496 & _T_413; // @[lib.scala 104:41] + wire _T_500 = io_trigger_pkt_any_1_tdata2[12] == dec_i0_match_data_1[12]; // @[lib.scala 104:78] + wire _T_501 = _T_497 | _T_500; // @[lib.scala 104:23] + wire _T_503 = &io_trigger_pkt_any_1_tdata2[12:0]; // @[lib.scala 104:36] + wire _T_504 = _T_503 & _T_413; // @[lib.scala 104:41] + wire _T_507 = io_trigger_pkt_any_1_tdata2[13] == dec_i0_match_data_1[13]; // @[lib.scala 104:78] + wire _T_508 = _T_504 | _T_507; // @[lib.scala 104:23] + wire _T_510 = &io_trigger_pkt_any_1_tdata2[13:0]; // @[lib.scala 104:36] + wire _T_511 = _T_510 & _T_413; // @[lib.scala 104:41] + wire _T_514 = io_trigger_pkt_any_1_tdata2[14] == dec_i0_match_data_1[14]; // @[lib.scala 104:78] + wire _T_515 = _T_511 | _T_514; // @[lib.scala 104:23] + wire _T_517 = &io_trigger_pkt_any_1_tdata2[14:0]; // @[lib.scala 104:36] + wire _T_518 = _T_517 & _T_413; // @[lib.scala 104:41] + wire _T_521 = io_trigger_pkt_any_1_tdata2[15] == dec_i0_match_data_1[15]; // @[lib.scala 104:78] + wire _T_522 = _T_518 | _T_521; // @[lib.scala 104:23] + wire _T_524 = &io_trigger_pkt_any_1_tdata2[15:0]; // @[lib.scala 104:36] + wire _T_525 = _T_524 & _T_413; // @[lib.scala 104:41] + wire _T_528 = io_trigger_pkt_any_1_tdata2[16] == dec_i0_match_data_1[16]; // @[lib.scala 104:78] + wire _T_529 = _T_525 | _T_528; // @[lib.scala 104:23] + wire _T_531 = &io_trigger_pkt_any_1_tdata2[16:0]; // @[lib.scala 104:36] + wire _T_532 = _T_531 & _T_413; // @[lib.scala 104:41] + wire _T_535 = io_trigger_pkt_any_1_tdata2[17] == dec_i0_match_data_1[17]; // @[lib.scala 104:78] + wire _T_536 = _T_532 | _T_535; // @[lib.scala 104:23] + wire _T_538 = &io_trigger_pkt_any_1_tdata2[17:0]; // @[lib.scala 104:36] + wire _T_539 = _T_538 & _T_413; // @[lib.scala 104:41] + wire _T_542 = io_trigger_pkt_any_1_tdata2[18] == dec_i0_match_data_1[18]; // @[lib.scala 104:78] + wire _T_543 = _T_539 | _T_542; // @[lib.scala 104:23] + wire _T_545 = &io_trigger_pkt_any_1_tdata2[18:0]; // @[lib.scala 104:36] + wire _T_546 = _T_545 & _T_413; // @[lib.scala 104:41] + wire _T_549 = io_trigger_pkt_any_1_tdata2[19] == dec_i0_match_data_1[19]; // @[lib.scala 104:78] + wire _T_550 = _T_546 | _T_549; // @[lib.scala 104:23] + wire _T_552 = &io_trigger_pkt_any_1_tdata2[19:0]; // @[lib.scala 104:36] + wire _T_553 = _T_552 & _T_413; // @[lib.scala 104:41] + wire _T_556 = io_trigger_pkt_any_1_tdata2[20] == dec_i0_match_data_1[20]; // @[lib.scala 104:78] + wire _T_557 = _T_553 | _T_556; // @[lib.scala 104:23] + wire _T_559 = &io_trigger_pkt_any_1_tdata2[20:0]; // @[lib.scala 104:36] + wire _T_560 = _T_559 & _T_413; // @[lib.scala 104:41] + wire _T_563 = io_trigger_pkt_any_1_tdata2[21] == dec_i0_match_data_1[21]; // @[lib.scala 104:78] + wire _T_564 = _T_560 | _T_563; // @[lib.scala 104:23] + wire _T_566 = &io_trigger_pkt_any_1_tdata2[21:0]; // @[lib.scala 104:36] + wire _T_567 = _T_566 & _T_413; // @[lib.scala 104:41] + wire _T_570 = io_trigger_pkt_any_1_tdata2[22] == dec_i0_match_data_1[22]; // @[lib.scala 104:78] + wire _T_571 = _T_567 | _T_570; // @[lib.scala 104:23] + wire _T_573 = &io_trigger_pkt_any_1_tdata2[22:0]; // @[lib.scala 104:36] + wire _T_574 = _T_573 & _T_413; // @[lib.scala 104:41] + wire _T_577 = io_trigger_pkt_any_1_tdata2[23] == dec_i0_match_data_1[23]; // @[lib.scala 104:78] + wire _T_578 = _T_574 | _T_577; // @[lib.scala 104:23] + wire _T_580 = &io_trigger_pkt_any_1_tdata2[23:0]; // @[lib.scala 104:36] + wire _T_581 = _T_580 & _T_413; // @[lib.scala 104:41] + wire _T_584 = io_trigger_pkt_any_1_tdata2[24] == dec_i0_match_data_1[24]; // @[lib.scala 104:78] + wire _T_585 = _T_581 | _T_584; // @[lib.scala 104:23] + wire _T_587 = &io_trigger_pkt_any_1_tdata2[24:0]; // @[lib.scala 104:36] + wire _T_588 = _T_587 & _T_413; // @[lib.scala 104:41] + wire _T_591 = io_trigger_pkt_any_1_tdata2[25] == dec_i0_match_data_1[25]; // @[lib.scala 104:78] + wire _T_592 = _T_588 | _T_591; // @[lib.scala 104:23] + wire _T_594 = &io_trigger_pkt_any_1_tdata2[25:0]; // @[lib.scala 104:36] + wire _T_595 = _T_594 & _T_413; // @[lib.scala 104:41] + wire _T_598 = io_trigger_pkt_any_1_tdata2[26] == dec_i0_match_data_1[26]; // @[lib.scala 104:78] + wire _T_599 = _T_595 | _T_598; // @[lib.scala 104:23] + wire _T_601 = &io_trigger_pkt_any_1_tdata2[26:0]; // @[lib.scala 104:36] + wire _T_602 = _T_601 & _T_413; // @[lib.scala 104:41] + wire _T_605 = io_trigger_pkt_any_1_tdata2[27] == dec_i0_match_data_1[27]; // @[lib.scala 104:78] + wire _T_606 = _T_602 | _T_605; // @[lib.scala 104:23] + wire _T_608 = &io_trigger_pkt_any_1_tdata2[27:0]; // @[lib.scala 104:36] + wire _T_609 = _T_608 & _T_413; // @[lib.scala 104:41] + wire _T_612 = io_trigger_pkt_any_1_tdata2[28] == dec_i0_match_data_1[28]; // @[lib.scala 104:78] + wire _T_613 = _T_609 | _T_612; // @[lib.scala 104:23] + wire _T_615 = &io_trigger_pkt_any_1_tdata2[28:0]; // @[lib.scala 104:36] + wire _T_616 = _T_615 & _T_413; // @[lib.scala 104:41] + wire _T_619 = io_trigger_pkt_any_1_tdata2[29] == dec_i0_match_data_1[29]; // @[lib.scala 104:78] + wire _T_620 = _T_616 | _T_619; // @[lib.scala 104:23] + wire _T_622 = &io_trigger_pkt_any_1_tdata2[29:0]; // @[lib.scala 104:36] + wire _T_623 = _T_622 & _T_413; // @[lib.scala 104:41] + wire _T_626 = io_trigger_pkt_any_1_tdata2[30] == dec_i0_match_data_1[30]; // @[lib.scala 104:78] + wire _T_627 = _T_623 | _T_626; // @[lib.scala 104:23] + wire _T_629 = &io_trigger_pkt_any_1_tdata2[30:0]; // @[lib.scala 104:36] + wire _T_630 = _T_629 & _T_413; // @[lib.scala 104:41] + wire _T_633 = io_trigger_pkt_any_1_tdata2[31] == dec_i0_match_data_1[31]; // @[lib.scala 104:78] + wire _T_634 = _T_630 | _T_633; // @[lib.scala 104:23] + wire [7:0] _T_641 = {_T_466,_T_459,_T_452,_T_445,_T_438,_T_431,_T_424,_T_417}; // @[lib.scala 105:14] + wire [15:0] _T_649 = {_T_522,_T_515,_T_508,_T_501,_T_494,_T_487,_T_480,_T_473,_T_641}; // @[lib.scala 105:14] + wire [7:0] _T_656 = {_T_578,_T_571,_T_564,_T_557,_T_550,_T_543,_T_536,_T_529}; // @[lib.scala 105:14] + wire [31:0] _T_665 = {_T_634,_T_627,_T_620,_T_613,_T_606,_T_599,_T_592,_T_585,_T_656,_T_649}; // @[lib.scala 105:14] + wire _T_666 = &_T_665; // @[lib.scala 105:25] + wire _T_667 = _T_408 & _T_666; // @[dec_trigger.scala 15:109] + wire _T_668 = io_trigger_pkt_any_2_execute & io_trigger_pkt_any_2_m; // @[dec_trigger.scala 15:83] + wire _T_671 = &io_trigger_pkt_any_2_tdata2; // @[lib.scala 101:45] + wire _T_672 = ~_T_671; // @[lib.scala 101:39] + wire _T_673 = io_trigger_pkt_any_2_match_pkt & _T_672; // @[lib.scala 101:37] + wire _T_676 = io_trigger_pkt_any_2_tdata2[0] == dec_i0_match_data_2[0]; // @[lib.scala 102:52] + wire _T_677 = _T_673 | _T_676; // @[lib.scala 102:41] + wire _T_679 = &io_trigger_pkt_any_2_tdata2[0]; // @[lib.scala 104:36] + wire _T_680 = _T_679 & _T_673; // @[lib.scala 104:41] + wire _T_683 = io_trigger_pkt_any_2_tdata2[1] == dec_i0_match_data_2[1]; // @[lib.scala 104:78] + wire _T_684 = _T_680 | _T_683; // @[lib.scala 104:23] + wire _T_686 = &io_trigger_pkt_any_2_tdata2[1:0]; // @[lib.scala 104:36] + wire _T_687 = _T_686 & _T_673; // @[lib.scala 104:41] + wire _T_690 = io_trigger_pkt_any_2_tdata2[2] == dec_i0_match_data_2[2]; // @[lib.scala 104:78] + wire _T_691 = _T_687 | _T_690; // @[lib.scala 104:23] + wire _T_693 = &io_trigger_pkt_any_2_tdata2[2:0]; // @[lib.scala 104:36] + wire _T_694 = _T_693 & _T_673; // @[lib.scala 104:41] + wire _T_697 = io_trigger_pkt_any_2_tdata2[3] == dec_i0_match_data_2[3]; // @[lib.scala 104:78] + wire _T_698 = _T_694 | _T_697; // @[lib.scala 104:23] + wire _T_700 = &io_trigger_pkt_any_2_tdata2[3:0]; // @[lib.scala 104:36] + wire _T_701 = _T_700 & _T_673; // @[lib.scala 104:41] + wire _T_704 = io_trigger_pkt_any_2_tdata2[4] == dec_i0_match_data_2[4]; // @[lib.scala 104:78] + wire _T_705 = _T_701 | _T_704; // @[lib.scala 104:23] + wire _T_707 = &io_trigger_pkt_any_2_tdata2[4:0]; // @[lib.scala 104:36] + wire _T_708 = _T_707 & _T_673; // @[lib.scala 104:41] + wire _T_711 = io_trigger_pkt_any_2_tdata2[5] == dec_i0_match_data_2[5]; // @[lib.scala 104:78] + wire _T_712 = _T_708 | _T_711; // @[lib.scala 104:23] + wire _T_714 = &io_trigger_pkt_any_2_tdata2[5:0]; // @[lib.scala 104:36] + wire _T_715 = _T_714 & _T_673; // @[lib.scala 104:41] + wire _T_718 = io_trigger_pkt_any_2_tdata2[6] == dec_i0_match_data_2[6]; // @[lib.scala 104:78] + wire _T_719 = _T_715 | _T_718; // @[lib.scala 104:23] + wire _T_721 = &io_trigger_pkt_any_2_tdata2[6:0]; // @[lib.scala 104:36] + wire _T_722 = _T_721 & _T_673; // @[lib.scala 104:41] + wire _T_725 = io_trigger_pkt_any_2_tdata2[7] == dec_i0_match_data_2[7]; // @[lib.scala 104:78] + wire _T_726 = _T_722 | _T_725; // @[lib.scala 104:23] + wire _T_728 = &io_trigger_pkt_any_2_tdata2[7:0]; // @[lib.scala 104:36] + wire _T_729 = _T_728 & _T_673; // @[lib.scala 104:41] + wire _T_732 = io_trigger_pkt_any_2_tdata2[8] == dec_i0_match_data_2[8]; // @[lib.scala 104:78] + wire _T_733 = _T_729 | _T_732; // @[lib.scala 104:23] + wire _T_735 = &io_trigger_pkt_any_2_tdata2[8:0]; // @[lib.scala 104:36] + wire _T_736 = _T_735 & _T_673; // @[lib.scala 104:41] + wire _T_739 = io_trigger_pkt_any_2_tdata2[9] == dec_i0_match_data_2[9]; // @[lib.scala 104:78] + wire _T_740 = _T_736 | _T_739; // @[lib.scala 104:23] + wire _T_742 = &io_trigger_pkt_any_2_tdata2[9:0]; // @[lib.scala 104:36] + wire _T_743 = _T_742 & _T_673; // @[lib.scala 104:41] + wire _T_746 = io_trigger_pkt_any_2_tdata2[10] == dec_i0_match_data_2[10]; // @[lib.scala 104:78] + wire _T_747 = _T_743 | _T_746; // @[lib.scala 104:23] + wire _T_749 = &io_trigger_pkt_any_2_tdata2[10:0]; // @[lib.scala 104:36] + wire _T_750 = _T_749 & _T_673; // @[lib.scala 104:41] + wire _T_753 = io_trigger_pkt_any_2_tdata2[11] == dec_i0_match_data_2[11]; // @[lib.scala 104:78] + wire _T_754 = _T_750 | _T_753; // @[lib.scala 104:23] + wire _T_756 = &io_trigger_pkt_any_2_tdata2[11:0]; // @[lib.scala 104:36] + wire _T_757 = _T_756 & _T_673; // @[lib.scala 104:41] + wire _T_760 = io_trigger_pkt_any_2_tdata2[12] == dec_i0_match_data_2[12]; // @[lib.scala 104:78] + wire _T_761 = _T_757 | _T_760; // @[lib.scala 104:23] + wire _T_763 = &io_trigger_pkt_any_2_tdata2[12:0]; // @[lib.scala 104:36] + wire _T_764 = _T_763 & _T_673; // @[lib.scala 104:41] + wire _T_767 = io_trigger_pkt_any_2_tdata2[13] == dec_i0_match_data_2[13]; // @[lib.scala 104:78] + wire _T_768 = _T_764 | _T_767; // @[lib.scala 104:23] + wire _T_770 = &io_trigger_pkt_any_2_tdata2[13:0]; // @[lib.scala 104:36] + wire _T_771 = _T_770 & _T_673; // @[lib.scala 104:41] + wire _T_774 = io_trigger_pkt_any_2_tdata2[14] == dec_i0_match_data_2[14]; // @[lib.scala 104:78] + wire _T_775 = _T_771 | _T_774; // @[lib.scala 104:23] + wire _T_777 = &io_trigger_pkt_any_2_tdata2[14:0]; // @[lib.scala 104:36] + wire _T_778 = _T_777 & _T_673; // @[lib.scala 104:41] + wire _T_781 = io_trigger_pkt_any_2_tdata2[15] == dec_i0_match_data_2[15]; // @[lib.scala 104:78] + wire _T_782 = _T_778 | _T_781; // @[lib.scala 104:23] + wire _T_784 = &io_trigger_pkt_any_2_tdata2[15:0]; // @[lib.scala 104:36] + wire _T_785 = _T_784 & _T_673; // @[lib.scala 104:41] + wire _T_788 = io_trigger_pkt_any_2_tdata2[16] == dec_i0_match_data_2[16]; // @[lib.scala 104:78] + wire _T_789 = _T_785 | _T_788; // @[lib.scala 104:23] + wire _T_791 = &io_trigger_pkt_any_2_tdata2[16:0]; // @[lib.scala 104:36] + wire _T_792 = _T_791 & _T_673; // @[lib.scala 104:41] + wire _T_795 = io_trigger_pkt_any_2_tdata2[17] == dec_i0_match_data_2[17]; // @[lib.scala 104:78] + wire _T_796 = _T_792 | _T_795; // @[lib.scala 104:23] + wire _T_798 = &io_trigger_pkt_any_2_tdata2[17:0]; // @[lib.scala 104:36] + wire _T_799 = _T_798 & _T_673; // @[lib.scala 104:41] + wire _T_802 = io_trigger_pkt_any_2_tdata2[18] == dec_i0_match_data_2[18]; // @[lib.scala 104:78] + wire _T_803 = _T_799 | _T_802; // @[lib.scala 104:23] + wire _T_805 = &io_trigger_pkt_any_2_tdata2[18:0]; // @[lib.scala 104:36] + wire _T_806 = _T_805 & _T_673; // @[lib.scala 104:41] + wire _T_809 = io_trigger_pkt_any_2_tdata2[19] == dec_i0_match_data_2[19]; // @[lib.scala 104:78] + wire _T_810 = _T_806 | _T_809; // @[lib.scala 104:23] + wire _T_812 = &io_trigger_pkt_any_2_tdata2[19:0]; // @[lib.scala 104:36] + wire _T_813 = _T_812 & _T_673; // @[lib.scala 104:41] + wire _T_816 = io_trigger_pkt_any_2_tdata2[20] == dec_i0_match_data_2[20]; // @[lib.scala 104:78] + wire _T_817 = _T_813 | _T_816; // @[lib.scala 104:23] + wire _T_819 = &io_trigger_pkt_any_2_tdata2[20:0]; // @[lib.scala 104:36] + wire _T_820 = _T_819 & _T_673; // @[lib.scala 104:41] + wire _T_823 = io_trigger_pkt_any_2_tdata2[21] == dec_i0_match_data_2[21]; // @[lib.scala 104:78] + wire _T_824 = _T_820 | _T_823; // @[lib.scala 104:23] + wire _T_826 = &io_trigger_pkt_any_2_tdata2[21:0]; // @[lib.scala 104:36] + wire _T_827 = _T_826 & _T_673; // @[lib.scala 104:41] + wire _T_830 = io_trigger_pkt_any_2_tdata2[22] == dec_i0_match_data_2[22]; // @[lib.scala 104:78] + wire _T_831 = _T_827 | _T_830; // @[lib.scala 104:23] + wire _T_833 = &io_trigger_pkt_any_2_tdata2[22:0]; // @[lib.scala 104:36] + wire _T_834 = _T_833 & _T_673; // @[lib.scala 104:41] + wire _T_837 = io_trigger_pkt_any_2_tdata2[23] == dec_i0_match_data_2[23]; // @[lib.scala 104:78] + wire _T_838 = _T_834 | _T_837; // @[lib.scala 104:23] + wire _T_840 = &io_trigger_pkt_any_2_tdata2[23:0]; // @[lib.scala 104:36] + wire _T_841 = _T_840 & _T_673; // @[lib.scala 104:41] + wire _T_844 = io_trigger_pkt_any_2_tdata2[24] == dec_i0_match_data_2[24]; // @[lib.scala 104:78] + wire _T_845 = _T_841 | _T_844; // @[lib.scala 104:23] + wire _T_847 = &io_trigger_pkt_any_2_tdata2[24:0]; // @[lib.scala 104:36] + wire _T_848 = _T_847 & _T_673; // @[lib.scala 104:41] + wire _T_851 = io_trigger_pkt_any_2_tdata2[25] == dec_i0_match_data_2[25]; // @[lib.scala 104:78] + wire _T_852 = _T_848 | _T_851; // @[lib.scala 104:23] + wire _T_854 = &io_trigger_pkt_any_2_tdata2[25:0]; // @[lib.scala 104:36] + wire _T_855 = _T_854 & _T_673; // @[lib.scala 104:41] + wire _T_858 = io_trigger_pkt_any_2_tdata2[26] == dec_i0_match_data_2[26]; // @[lib.scala 104:78] + wire _T_859 = _T_855 | _T_858; // @[lib.scala 104:23] + wire _T_861 = &io_trigger_pkt_any_2_tdata2[26:0]; // @[lib.scala 104:36] + wire _T_862 = _T_861 & _T_673; // @[lib.scala 104:41] + wire _T_865 = io_trigger_pkt_any_2_tdata2[27] == dec_i0_match_data_2[27]; // @[lib.scala 104:78] + wire _T_866 = _T_862 | _T_865; // @[lib.scala 104:23] + wire _T_868 = &io_trigger_pkt_any_2_tdata2[27:0]; // @[lib.scala 104:36] + wire _T_869 = _T_868 & _T_673; // @[lib.scala 104:41] + wire _T_872 = io_trigger_pkt_any_2_tdata2[28] == dec_i0_match_data_2[28]; // @[lib.scala 104:78] + wire _T_873 = _T_869 | _T_872; // @[lib.scala 104:23] + wire _T_875 = &io_trigger_pkt_any_2_tdata2[28:0]; // @[lib.scala 104:36] + wire _T_876 = _T_875 & _T_673; // @[lib.scala 104:41] + wire _T_879 = io_trigger_pkt_any_2_tdata2[29] == dec_i0_match_data_2[29]; // @[lib.scala 104:78] + wire _T_880 = _T_876 | _T_879; // @[lib.scala 104:23] + wire _T_882 = &io_trigger_pkt_any_2_tdata2[29:0]; // @[lib.scala 104:36] + wire _T_883 = _T_882 & _T_673; // @[lib.scala 104:41] + wire _T_886 = io_trigger_pkt_any_2_tdata2[30] == dec_i0_match_data_2[30]; // @[lib.scala 104:78] + wire _T_887 = _T_883 | _T_886; // @[lib.scala 104:23] + wire _T_889 = &io_trigger_pkt_any_2_tdata2[30:0]; // @[lib.scala 104:36] + wire _T_890 = _T_889 & _T_673; // @[lib.scala 104:41] + wire _T_893 = io_trigger_pkt_any_2_tdata2[31] == dec_i0_match_data_2[31]; // @[lib.scala 104:78] + wire _T_894 = _T_890 | _T_893; // @[lib.scala 104:23] + wire [7:0] _T_901 = {_T_726,_T_719,_T_712,_T_705,_T_698,_T_691,_T_684,_T_677}; // @[lib.scala 105:14] + wire [15:0] _T_909 = {_T_782,_T_775,_T_768,_T_761,_T_754,_T_747,_T_740,_T_733,_T_901}; // @[lib.scala 105:14] + wire [7:0] _T_916 = {_T_838,_T_831,_T_824,_T_817,_T_810,_T_803,_T_796,_T_789}; // @[lib.scala 105:14] + wire [31:0] _T_925 = {_T_894,_T_887,_T_880,_T_873,_T_866,_T_859,_T_852,_T_845,_T_916,_T_909}; // @[lib.scala 105:14] + wire _T_926 = &_T_925; // @[lib.scala 105:25] + wire _T_927 = _T_668 & _T_926; // @[dec_trigger.scala 15:109] + wire _T_928 = io_trigger_pkt_any_3_execute & io_trigger_pkt_any_3_m; // @[dec_trigger.scala 15:83] + wire _T_931 = &io_trigger_pkt_any_3_tdata2; // @[lib.scala 101:45] + wire _T_932 = ~_T_931; // @[lib.scala 101:39] + wire _T_933 = io_trigger_pkt_any_3_match_pkt & _T_932; // @[lib.scala 101:37] + wire _T_936 = io_trigger_pkt_any_3_tdata2[0] == dec_i0_match_data_3[0]; // @[lib.scala 102:52] + wire _T_937 = _T_933 | _T_936; // @[lib.scala 102:41] + wire _T_939 = &io_trigger_pkt_any_3_tdata2[0]; // @[lib.scala 104:36] + wire _T_940 = _T_939 & _T_933; // @[lib.scala 104:41] + wire _T_943 = io_trigger_pkt_any_3_tdata2[1] == dec_i0_match_data_3[1]; // @[lib.scala 104:78] + wire _T_944 = _T_940 | _T_943; // @[lib.scala 104:23] + wire _T_946 = &io_trigger_pkt_any_3_tdata2[1:0]; // @[lib.scala 104:36] + wire _T_947 = _T_946 & _T_933; // @[lib.scala 104:41] + wire _T_950 = io_trigger_pkt_any_3_tdata2[2] == dec_i0_match_data_3[2]; // @[lib.scala 104:78] + wire _T_951 = _T_947 | _T_950; // @[lib.scala 104:23] + wire _T_953 = &io_trigger_pkt_any_3_tdata2[2:0]; // @[lib.scala 104:36] + wire _T_954 = _T_953 & _T_933; // @[lib.scala 104:41] + wire _T_957 = io_trigger_pkt_any_3_tdata2[3] == dec_i0_match_data_3[3]; // @[lib.scala 104:78] + wire _T_958 = _T_954 | _T_957; // @[lib.scala 104:23] + wire _T_960 = &io_trigger_pkt_any_3_tdata2[3:0]; // @[lib.scala 104:36] + wire _T_961 = _T_960 & _T_933; // @[lib.scala 104:41] + wire _T_964 = io_trigger_pkt_any_3_tdata2[4] == dec_i0_match_data_3[4]; // @[lib.scala 104:78] + wire _T_965 = _T_961 | _T_964; // @[lib.scala 104:23] + wire _T_967 = &io_trigger_pkt_any_3_tdata2[4:0]; // @[lib.scala 104:36] + wire _T_968 = _T_967 & _T_933; // @[lib.scala 104:41] + wire _T_971 = io_trigger_pkt_any_3_tdata2[5] == dec_i0_match_data_3[5]; // @[lib.scala 104:78] + wire _T_972 = _T_968 | _T_971; // @[lib.scala 104:23] + wire _T_974 = &io_trigger_pkt_any_3_tdata2[5:0]; // @[lib.scala 104:36] + wire _T_975 = _T_974 & _T_933; // @[lib.scala 104:41] + wire _T_978 = io_trigger_pkt_any_3_tdata2[6] == dec_i0_match_data_3[6]; // @[lib.scala 104:78] + wire _T_979 = _T_975 | _T_978; // @[lib.scala 104:23] + wire _T_981 = &io_trigger_pkt_any_3_tdata2[6:0]; // @[lib.scala 104:36] + wire _T_982 = _T_981 & _T_933; // @[lib.scala 104:41] + wire _T_985 = io_trigger_pkt_any_3_tdata2[7] == dec_i0_match_data_3[7]; // @[lib.scala 104:78] + wire _T_986 = _T_982 | _T_985; // @[lib.scala 104:23] + wire _T_988 = &io_trigger_pkt_any_3_tdata2[7:0]; // @[lib.scala 104:36] + wire _T_989 = _T_988 & _T_933; // @[lib.scala 104:41] + wire _T_992 = io_trigger_pkt_any_3_tdata2[8] == dec_i0_match_data_3[8]; // @[lib.scala 104:78] + wire _T_993 = _T_989 | _T_992; // @[lib.scala 104:23] + wire _T_995 = &io_trigger_pkt_any_3_tdata2[8:0]; // @[lib.scala 104:36] + wire _T_996 = _T_995 & _T_933; // @[lib.scala 104:41] + wire _T_999 = io_trigger_pkt_any_3_tdata2[9] == dec_i0_match_data_3[9]; // @[lib.scala 104:78] + wire _T_1000 = _T_996 | _T_999; // @[lib.scala 104:23] + wire _T_1002 = &io_trigger_pkt_any_3_tdata2[9:0]; // @[lib.scala 104:36] + wire _T_1003 = _T_1002 & _T_933; // @[lib.scala 104:41] + wire _T_1006 = io_trigger_pkt_any_3_tdata2[10] == dec_i0_match_data_3[10]; // @[lib.scala 104:78] + wire _T_1007 = _T_1003 | _T_1006; // @[lib.scala 104:23] + wire _T_1009 = &io_trigger_pkt_any_3_tdata2[10:0]; // @[lib.scala 104:36] + wire _T_1010 = _T_1009 & _T_933; // @[lib.scala 104:41] + wire _T_1013 = io_trigger_pkt_any_3_tdata2[11] == dec_i0_match_data_3[11]; // @[lib.scala 104:78] + wire _T_1014 = _T_1010 | _T_1013; // @[lib.scala 104:23] + wire _T_1016 = &io_trigger_pkt_any_3_tdata2[11:0]; // @[lib.scala 104:36] + wire _T_1017 = _T_1016 & _T_933; // @[lib.scala 104:41] + wire _T_1020 = io_trigger_pkt_any_3_tdata2[12] == dec_i0_match_data_3[12]; // @[lib.scala 104:78] + wire _T_1021 = _T_1017 | _T_1020; // @[lib.scala 104:23] + wire _T_1023 = &io_trigger_pkt_any_3_tdata2[12:0]; // @[lib.scala 104:36] + wire _T_1024 = _T_1023 & _T_933; // @[lib.scala 104:41] + wire _T_1027 = io_trigger_pkt_any_3_tdata2[13] == dec_i0_match_data_3[13]; // @[lib.scala 104:78] + wire _T_1028 = _T_1024 | _T_1027; // @[lib.scala 104:23] + wire _T_1030 = &io_trigger_pkt_any_3_tdata2[13:0]; // @[lib.scala 104:36] + wire _T_1031 = _T_1030 & _T_933; // @[lib.scala 104:41] + wire _T_1034 = io_trigger_pkt_any_3_tdata2[14] == dec_i0_match_data_3[14]; // @[lib.scala 104:78] + wire _T_1035 = _T_1031 | _T_1034; // @[lib.scala 104:23] + wire _T_1037 = &io_trigger_pkt_any_3_tdata2[14:0]; // @[lib.scala 104:36] + wire _T_1038 = _T_1037 & _T_933; // @[lib.scala 104:41] + wire _T_1041 = io_trigger_pkt_any_3_tdata2[15] == dec_i0_match_data_3[15]; // @[lib.scala 104:78] + wire _T_1042 = _T_1038 | _T_1041; // @[lib.scala 104:23] + wire _T_1044 = &io_trigger_pkt_any_3_tdata2[15:0]; // @[lib.scala 104:36] + wire _T_1045 = _T_1044 & _T_933; // @[lib.scala 104:41] + wire _T_1048 = io_trigger_pkt_any_3_tdata2[16] == dec_i0_match_data_3[16]; // @[lib.scala 104:78] + wire _T_1049 = _T_1045 | _T_1048; // @[lib.scala 104:23] + wire _T_1051 = &io_trigger_pkt_any_3_tdata2[16:0]; // @[lib.scala 104:36] + wire _T_1052 = _T_1051 & _T_933; // @[lib.scala 104:41] + wire _T_1055 = io_trigger_pkt_any_3_tdata2[17] == dec_i0_match_data_3[17]; // @[lib.scala 104:78] + wire _T_1056 = _T_1052 | _T_1055; // @[lib.scala 104:23] + wire _T_1058 = &io_trigger_pkt_any_3_tdata2[17:0]; // @[lib.scala 104:36] + wire _T_1059 = _T_1058 & _T_933; // @[lib.scala 104:41] + wire _T_1062 = io_trigger_pkt_any_3_tdata2[18] == dec_i0_match_data_3[18]; // @[lib.scala 104:78] + wire _T_1063 = _T_1059 | _T_1062; // @[lib.scala 104:23] + wire _T_1065 = &io_trigger_pkt_any_3_tdata2[18:0]; // @[lib.scala 104:36] + wire _T_1066 = _T_1065 & _T_933; // @[lib.scala 104:41] + wire _T_1069 = io_trigger_pkt_any_3_tdata2[19] == dec_i0_match_data_3[19]; // @[lib.scala 104:78] + wire _T_1070 = _T_1066 | _T_1069; // @[lib.scala 104:23] + wire _T_1072 = &io_trigger_pkt_any_3_tdata2[19:0]; // @[lib.scala 104:36] + wire _T_1073 = _T_1072 & _T_933; // @[lib.scala 104:41] + wire _T_1076 = io_trigger_pkt_any_3_tdata2[20] == dec_i0_match_data_3[20]; // @[lib.scala 104:78] + wire _T_1077 = _T_1073 | _T_1076; // @[lib.scala 104:23] + wire _T_1079 = &io_trigger_pkt_any_3_tdata2[20:0]; // @[lib.scala 104:36] + wire _T_1080 = _T_1079 & _T_933; // @[lib.scala 104:41] + wire _T_1083 = io_trigger_pkt_any_3_tdata2[21] == dec_i0_match_data_3[21]; // @[lib.scala 104:78] + wire _T_1084 = _T_1080 | _T_1083; // @[lib.scala 104:23] + wire _T_1086 = &io_trigger_pkt_any_3_tdata2[21:0]; // @[lib.scala 104:36] + wire _T_1087 = _T_1086 & _T_933; // @[lib.scala 104:41] + wire _T_1090 = io_trigger_pkt_any_3_tdata2[22] == dec_i0_match_data_3[22]; // @[lib.scala 104:78] + wire _T_1091 = _T_1087 | _T_1090; // @[lib.scala 104:23] + wire _T_1093 = &io_trigger_pkt_any_3_tdata2[22:0]; // @[lib.scala 104:36] + wire _T_1094 = _T_1093 & _T_933; // @[lib.scala 104:41] + wire _T_1097 = io_trigger_pkt_any_3_tdata2[23] == dec_i0_match_data_3[23]; // @[lib.scala 104:78] + wire _T_1098 = _T_1094 | _T_1097; // @[lib.scala 104:23] + wire _T_1100 = &io_trigger_pkt_any_3_tdata2[23:0]; // @[lib.scala 104:36] + wire _T_1101 = _T_1100 & _T_933; // @[lib.scala 104:41] + wire _T_1104 = io_trigger_pkt_any_3_tdata2[24] == dec_i0_match_data_3[24]; // @[lib.scala 104:78] + wire _T_1105 = _T_1101 | _T_1104; // @[lib.scala 104:23] + wire _T_1107 = &io_trigger_pkt_any_3_tdata2[24:0]; // @[lib.scala 104:36] + wire _T_1108 = _T_1107 & _T_933; // @[lib.scala 104:41] + wire _T_1111 = io_trigger_pkt_any_3_tdata2[25] == dec_i0_match_data_3[25]; // @[lib.scala 104:78] + wire _T_1112 = _T_1108 | _T_1111; // @[lib.scala 104:23] + wire _T_1114 = &io_trigger_pkt_any_3_tdata2[25:0]; // @[lib.scala 104:36] + wire _T_1115 = _T_1114 & _T_933; // @[lib.scala 104:41] + wire _T_1118 = io_trigger_pkt_any_3_tdata2[26] == dec_i0_match_data_3[26]; // @[lib.scala 104:78] + wire _T_1119 = _T_1115 | _T_1118; // @[lib.scala 104:23] + wire _T_1121 = &io_trigger_pkt_any_3_tdata2[26:0]; // @[lib.scala 104:36] + wire _T_1122 = _T_1121 & _T_933; // @[lib.scala 104:41] + wire _T_1125 = io_trigger_pkt_any_3_tdata2[27] == dec_i0_match_data_3[27]; // @[lib.scala 104:78] + wire _T_1126 = _T_1122 | _T_1125; // @[lib.scala 104:23] + wire _T_1128 = &io_trigger_pkt_any_3_tdata2[27:0]; // @[lib.scala 104:36] + wire _T_1129 = _T_1128 & _T_933; // @[lib.scala 104:41] + wire _T_1132 = io_trigger_pkt_any_3_tdata2[28] == dec_i0_match_data_3[28]; // @[lib.scala 104:78] + wire _T_1133 = _T_1129 | _T_1132; // @[lib.scala 104:23] + wire _T_1135 = &io_trigger_pkt_any_3_tdata2[28:0]; // @[lib.scala 104:36] + wire _T_1136 = _T_1135 & _T_933; // @[lib.scala 104:41] + wire _T_1139 = io_trigger_pkt_any_3_tdata2[29] == dec_i0_match_data_3[29]; // @[lib.scala 104:78] + wire _T_1140 = _T_1136 | _T_1139; // @[lib.scala 104:23] + wire _T_1142 = &io_trigger_pkt_any_3_tdata2[29:0]; // @[lib.scala 104:36] + wire _T_1143 = _T_1142 & _T_933; // @[lib.scala 104:41] + wire _T_1146 = io_trigger_pkt_any_3_tdata2[30] == dec_i0_match_data_3[30]; // @[lib.scala 104:78] + wire _T_1147 = _T_1143 | _T_1146; // @[lib.scala 104:23] + wire _T_1149 = &io_trigger_pkt_any_3_tdata2[30:0]; // @[lib.scala 104:36] + wire _T_1150 = _T_1149 & _T_933; // @[lib.scala 104:41] + wire _T_1153 = io_trigger_pkt_any_3_tdata2[31] == dec_i0_match_data_3[31]; // @[lib.scala 104:78] + wire _T_1154 = _T_1150 | _T_1153; // @[lib.scala 104:23] + wire [7:0] _T_1161 = {_T_986,_T_979,_T_972,_T_965,_T_958,_T_951,_T_944,_T_937}; // @[lib.scala 105:14] + wire [15:0] _T_1169 = {_T_1042,_T_1035,_T_1028,_T_1021,_T_1014,_T_1007,_T_1000,_T_993,_T_1161}; // @[lib.scala 105:14] + wire [7:0] _T_1176 = {_T_1098,_T_1091,_T_1084,_T_1077,_T_1070,_T_1063,_T_1056,_T_1049}; // @[lib.scala 105:14] + wire [31:0] _T_1185 = {_T_1154,_T_1147,_T_1140,_T_1133,_T_1126,_T_1119,_T_1112,_T_1105,_T_1176,_T_1169}; // @[lib.scala 105:14] + wire _T_1186 = &_T_1185; // @[lib.scala 105:25] + wire _T_1187 = _T_928 & _T_1186; // @[dec_trigger.scala 15:109] + wire [2:0] _T_1189 = {_T_1187,_T_927,_T_667}; // @[Cat.scala 29:58] + assign io_dec_i0_trigger_match_d = {_T_1189,_T_407}; // @[dec_trigger.scala 15:29] +endmodule +module dec( + input clock, + input reset, + input io_free_clk, + input io_active_clk, + input io_lsu_fastint_stall_any, + output io_dec_pause_state_cg, + input [30:0] io_rst_vec, + input io_nmi_int, + input [30:0] io_nmi_vec, + input io_i_cpu_halt_req, + input io_i_cpu_run_req, + output io_o_cpu_halt_status, + output io_o_cpu_halt_ack, + output io_o_cpu_run_ack, + output io_o_debug_mode_status, + input [27:0] io_core_id, + input io_mpc_debug_halt_req, + input io_mpc_debug_run_req, + input io_mpc_reset_run_req, + output io_mpc_debug_halt_ack, + output io_mpc_debug_run_ack, + output io_debug_brkpt_status, + input io_lsu_pmu_misaligned_m, + input [30:0] io_lsu_fir_addr, + input [1:0] io_lsu_fir_error, + input [3:0] io_lsu_trigger_match_m, + input io_lsu_idle_any, + input io_lsu_error_pkt_r_valid, + input io_lsu_error_pkt_r_bits_single_ecc_error, + input io_lsu_error_pkt_r_bits_inst_type, + input io_lsu_error_pkt_r_bits_exc_type, + input [3:0] io_lsu_error_pkt_r_bits_mscause, + input [31:0] io_lsu_error_pkt_r_bits_addr, + input io_lsu_single_ecc_error_incr, + input [31:0] io_exu_div_result, + input io_exu_div_wren, + input [31:0] io_lsu_result_m, + input [31:0] io_lsu_result_corr_r, + input io_lsu_load_stall_any, + input io_lsu_store_stall_any, + input io_iccm_dma_sb_error, + input io_exu_flush_final, + input io_timer_int, + input io_soft_int, + input io_dbg_halt_req, + input io_dbg_resume_req, + output io_dec_tlu_dbg_halted, + output io_dec_tlu_debug_mode, + output io_dec_tlu_resume_ack, + output io_dec_tlu_mpc_halted_only, + output [31:0] io_dec_dbg_rddata, + output io_dec_dbg_cmd_done, + output io_dec_dbg_cmd_fail, + output io_trigger_pkt_any_0_select, + output io_trigger_pkt_any_0_match_pkt, + output io_trigger_pkt_any_0_store, + output io_trigger_pkt_any_0_load, + output io_trigger_pkt_any_0_execute, + output io_trigger_pkt_any_0_m, + output [31:0] io_trigger_pkt_any_0_tdata2, + output io_trigger_pkt_any_1_select, + output io_trigger_pkt_any_1_match_pkt, + output io_trigger_pkt_any_1_store, + output io_trigger_pkt_any_1_load, + output io_trigger_pkt_any_1_execute, + output io_trigger_pkt_any_1_m, + output [31:0] io_trigger_pkt_any_1_tdata2, + output io_trigger_pkt_any_2_select, + output io_trigger_pkt_any_2_match_pkt, + output io_trigger_pkt_any_2_store, + output io_trigger_pkt_any_2_load, + output io_trigger_pkt_any_2_execute, + output io_trigger_pkt_any_2_m, + output [31:0] io_trigger_pkt_any_2_tdata2, + output io_trigger_pkt_any_3_select, + output io_trigger_pkt_any_3_match_pkt, + output io_trigger_pkt_any_3_store, + output io_trigger_pkt_any_3_load, + output io_trigger_pkt_any_3_execute, + output io_trigger_pkt_any_3_m, + output [31:0] io_trigger_pkt_any_3_tdata2, + input io_exu_i0_br_way_r, + output io_lsu_p_valid, + output io_lsu_p_bits_fast_int, + output io_lsu_p_bits_by, + output io_lsu_p_bits_half, + output io_lsu_p_bits_word, + output io_lsu_p_bits_dword, + output io_lsu_p_bits_load, + output io_lsu_p_bits_store, + output io_lsu_p_bits_unsign, + output io_lsu_p_bits_dma, + output io_lsu_p_bits_store_data_bypass_d, + output io_lsu_p_bits_load_ldst_bypass_d, + output io_lsu_p_bits_store_data_bypass_m, + output [11:0] io_dec_lsu_offset_d, + output io_dec_tlu_i0_kill_writeb_r, + output io_dec_tlu_perfcnt0, + output io_dec_tlu_perfcnt1, + output io_dec_tlu_perfcnt2, + output io_dec_tlu_perfcnt3, + output io_dec_lsu_valid_raw_d, + output [1:0] io_rv_trace_pkt_rv_i_valid_ip, + output [31:0] io_rv_trace_pkt_rv_i_insn_ip, + output [31:0] io_rv_trace_pkt_rv_i_address_ip, + output [1:0] io_rv_trace_pkt_rv_i_exception_ip, + output [4:0] io_rv_trace_pkt_rv_i_ecause_ip, + output [1:0] io_rv_trace_pkt_rv_i_interrupt_ip, + output [31:0] io_rv_trace_pkt_rv_i_tval_ip, + output io_dec_tlu_misc_clk_override, + output io_dec_tlu_ifu_clk_override, + output io_dec_tlu_lsu_clk_override, + output io_dec_tlu_bus_clk_override, + output io_dec_tlu_pic_clk_override, + output io_dec_tlu_dccm_clk_override, + output io_dec_tlu_icm_clk_override, + input io_scan_mode, + output io_ifu_dec_dec_aln_aln_dec_dec_i0_decode_d, + input [15:0] io_ifu_dec_dec_aln_aln_dec_ifu_i0_cinst, + input io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf, + input [1:0] io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_type, + input io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_f1, + input io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc, + input [7:0] io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_index, + input [7:0] io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_fghr, + input [4:0] io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_btag, + input io_ifu_dec_dec_aln_aln_ib_ifu_i0_valid, + input [31:0] io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr, + input [30:0] io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc, + input io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc4, + input io_ifu_dec_dec_aln_aln_ib_i0_brp_valid, + input [11:0] io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset, + input [1:0] io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist, + input io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error, + input io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error, + input io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_bank, + input [30:0] io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_prett, + input io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_way, + input io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret, + input io_ifu_dec_dec_aln_ifu_pmu_instr_aligned, + output io_ifu_dec_dec_mem_ctrl_dec_tlu_flush_err_wb, + output io_ifu_dec_dec_mem_ctrl_dec_tlu_i0_commit_cmt, + output io_ifu_dec_dec_mem_ctrl_dec_tlu_force_halt, + output io_ifu_dec_dec_mem_ctrl_dec_tlu_fence_i_wb, + output [70:0] io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wrdata, + output [16:0] io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_dicawics, + output io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_rd_valid, + output io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wr_valid, + output io_ifu_dec_dec_mem_ctrl_dec_tlu_core_ecc_disable, + input io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_miss, + input io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_hit, + input io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_error, + input io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_busy, + input io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_trxn, + input io_ifu_dec_dec_mem_ctrl_ifu_ic_error_start, + input io_ifu_dec_dec_mem_ctrl_ifu_iccm_rd_ecc_single_err, + input [70:0] io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data, + input io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data_valid, + input io_ifu_dec_dec_mem_ctrl_ifu_miss_state_idle, + output io_ifu_dec_dec_ifc_dec_tlu_flush_noredir_wb, + output [31:0] io_ifu_dec_dec_ifc_dec_tlu_mrac_ff, + input io_ifu_dec_dec_ifc_ifu_pmu_fetch_stall, + output io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_valid, + output [1:0] io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_hist, + output io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_error, + output io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error, + output io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_way, + output io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_middle, + output io_ifu_dec_dec_bp_dec_tlu_flush_leak_one_wb, + output io_ifu_dec_dec_bp_dec_tlu_bpred_disable, + output io_dec_exu_dec_alu_dec_i0_alu_decode_d, + output io_dec_exu_dec_alu_dec_csr_ren_d, + output [11:0] io_dec_exu_dec_alu_dec_i0_br_immed_d, + input [30:0] io_dec_exu_dec_alu_exu_i0_pc_x, + output io_dec_exu_dec_div_div_p_valid, + output io_dec_exu_dec_div_div_p_bits_unsign, + output io_dec_exu_dec_div_div_p_bits_rem, + output io_dec_exu_dec_div_dec_div_cancel, + output [1:0] io_dec_exu_decode_exu_dec_data_en, + output [1:0] io_dec_exu_decode_exu_dec_ctl_en, + output io_dec_exu_decode_exu_i0_ap_land, + output io_dec_exu_decode_exu_i0_ap_lor, + output io_dec_exu_decode_exu_i0_ap_lxor, + output io_dec_exu_decode_exu_i0_ap_sll, + output io_dec_exu_decode_exu_i0_ap_srl, + output io_dec_exu_decode_exu_i0_ap_sra, + output io_dec_exu_decode_exu_i0_ap_beq, + output io_dec_exu_decode_exu_i0_ap_bne, + output io_dec_exu_decode_exu_i0_ap_blt, + output io_dec_exu_decode_exu_i0_ap_bge, + output io_dec_exu_decode_exu_i0_ap_add, + output io_dec_exu_decode_exu_i0_ap_sub, + output io_dec_exu_decode_exu_i0_ap_slt, + output io_dec_exu_decode_exu_i0_ap_unsign, + output io_dec_exu_decode_exu_i0_ap_jal, + output io_dec_exu_decode_exu_i0_ap_predict_t, + output io_dec_exu_decode_exu_i0_ap_predict_nt, + output io_dec_exu_decode_exu_i0_ap_csr_write, + output io_dec_exu_decode_exu_i0_ap_csr_imm, + output io_dec_exu_decode_exu_dec_i0_predict_p_d_valid, + output io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_misp, + output io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_ataken, + output io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_boffset, + output io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pc4, + output [1:0] io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_hist, + output [11:0] io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_toffset, + output io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_error, + output io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_start_error, + output [30:0] io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_prett, + output io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pcall, + output io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pret, + output io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pja, + output io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_way, + output [7:0] io_dec_exu_decode_exu_i0_predict_fghr_d, + output [7:0] io_dec_exu_decode_exu_i0_predict_index_d, + output [4:0] io_dec_exu_decode_exu_i0_predict_btag_d, + output io_dec_exu_decode_exu_dec_i0_rs1_en_d, + output io_dec_exu_decode_exu_dec_i0_rs2_en_d, + output [31:0] io_dec_exu_decode_exu_dec_i0_immed_d, + output [31:0] io_dec_exu_decode_exu_dec_i0_rs1_bypass_data_d, + output [31:0] io_dec_exu_decode_exu_dec_i0_rs2_bypass_data_d, + output io_dec_exu_decode_exu_dec_i0_select_pc_d, + output [1:0] io_dec_exu_decode_exu_dec_i0_rs1_bypass_en_d, + output [1:0] io_dec_exu_decode_exu_dec_i0_rs2_bypass_en_d, + output io_dec_exu_decode_exu_mul_p_valid, + output io_dec_exu_decode_exu_mul_p_bits_rs1_sign, + output io_dec_exu_decode_exu_mul_p_bits_rs2_sign, + output io_dec_exu_decode_exu_mul_p_bits_low, + output io_dec_exu_decode_exu_mul_p_bits_bext, + output io_dec_exu_decode_exu_mul_p_bits_bdep, + output io_dec_exu_decode_exu_mul_p_bits_clmul, + output io_dec_exu_decode_exu_mul_p_bits_clmulh, + output io_dec_exu_decode_exu_mul_p_bits_clmulr, + output io_dec_exu_decode_exu_mul_p_bits_grev, + output io_dec_exu_decode_exu_mul_p_bits_shfl, + output io_dec_exu_decode_exu_mul_p_bits_unshfl, + output io_dec_exu_decode_exu_mul_p_bits_crc32_b, + output io_dec_exu_decode_exu_mul_p_bits_crc32_h, + output io_dec_exu_decode_exu_mul_p_bits_crc32_w, + output io_dec_exu_decode_exu_mul_p_bits_crc32c_b, + output io_dec_exu_decode_exu_mul_p_bits_crc32c_h, + output io_dec_exu_decode_exu_mul_p_bits_crc32c_w, + output io_dec_exu_decode_exu_mul_p_bits_bfp, + output [30:0] io_dec_exu_decode_exu_pred_correct_npc_x, + output io_dec_exu_decode_exu_dec_extint_stall, + input [31:0] io_dec_exu_decode_exu_exu_i0_result_x, + input [31:0] io_dec_exu_decode_exu_exu_csr_rs1_x, + output [29:0] io_dec_exu_tlu_exu_dec_tlu_meihap, + output io_dec_exu_tlu_exu_dec_tlu_flush_lower_r, + output [30:0] io_dec_exu_tlu_exu_dec_tlu_flush_path_r, + input [1:0] io_dec_exu_tlu_exu_exu_i0_br_hist_r, + input io_dec_exu_tlu_exu_exu_i0_br_error_r, + input io_dec_exu_tlu_exu_exu_i0_br_start_error_r, + input [7:0] io_dec_exu_tlu_exu_exu_i0_br_index_r, + input io_dec_exu_tlu_exu_exu_i0_br_valid_r, + input io_dec_exu_tlu_exu_exu_i0_br_mp_r, + input io_dec_exu_tlu_exu_exu_i0_br_middle_r, + input io_dec_exu_tlu_exu_exu_pmu_i0_br_misp, + input io_dec_exu_tlu_exu_exu_pmu_i0_br_ataken, + input io_dec_exu_tlu_exu_exu_pmu_i0_pc4, + input [30:0] io_dec_exu_tlu_exu_exu_npc_r, + output [30:0] io_dec_exu_ib_exu_dec_i0_pc_d, + output io_dec_exu_ib_exu_dec_debug_wdata_rs1_d, + output [31:0] io_dec_exu_gpr_exu_gpr_i0_rs1_d, + output [31:0] io_dec_exu_gpr_exu_gpr_i0_rs2_d, + input io_lsu_dec_tlu_busbuff_lsu_pmu_bus_trxn, + input io_lsu_dec_tlu_busbuff_lsu_pmu_bus_misaligned, + input io_lsu_dec_tlu_busbuff_lsu_pmu_bus_error, + input io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy, + output io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable, + output io_lsu_dec_tlu_busbuff_dec_tlu_wb_coalescing_disable, + output io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable, + input io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any, + input io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any, + input [31:0] io_lsu_dec_tlu_busbuff_lsu_imprecise_error_addr_any, + input io_lsu_dec_dctl_busbuff_lsu_nonblock_load_valid_m, + input [1:0] io_lsu_dec_dctl_busbuff_lsu_nonblock_load_tag_m, + input io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_r, + input [1:0] io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_tag_r, + input io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_valid, + input io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_error, + input [1:0] io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag, + input [31:0] io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data, + input io_lsu_tlu_lsu_pmu_load_external_m, + input io_lsu_tlu_lsu_pmu_store_external_m, + input io_dec_dbg_dbg_ib_dbg_cmd_valid, + input io_dec_dbg_dbg_ib_dbg_cmd_write, + input [1:0] io_dec_dbg_dbg_ib_dbg_cmd_type, + input [31:0] io_dec_dbg_dbg_ib_dbg_cmd_addr, + input [31:0] io_dec_dbg_dbg_dctl_dbg_cmd_wrdata, + input io_dec_dma_dctl_dma_dma_dccm_stall_any, + input io_dec_dma_tlu_dma_dma_pmu_dccm_read, + input io_dec_dma_tlu_dma_dma_pmu_dccm_write, + input io_dec_dma_tlu_dma_dma_pmu_any_read, + input io_dec_dma_tlu_dma_dma_pmu_any_write, + output [2:0] io_dec_dma_tlu_dma_dec_tlu_dma_qos_prty, + input io_dec_dma_tlu_dma_dma_dccm_stall_any, + input io_dec_dma_tlu_dma_dma_iccm_stall_any, + input [7:0] io_dec_pic_pic_claimid, + input [3:0] io_dec_pic_pic_pl, + input io_dec_pic_mhwakeup, + output [3:0] io_dec_pic_dec_tlu_meicurpl, + output [3:0] io_dec_pic_dec_tlu_meipt, + input io_dec_pic_mexintpend +); + wire instbuff_io_ifu_ib_ifu_i0_icaf; // @[dec.scala 117:24] + wire [1:0] instbuff_io_ifu_ib_ifu_i0_icaf_type; // @[dec.scala 117:24] + wire instbuff_io_ifu_ib_ifu_i0_icaf_f1; // @[dec.scala 117:24] + wire instbuff_io_ifu_ib_ifu_i0_dbecc; // @[dec.scala 117:24] + wire [7:0] instbuff_io_ifu_ib_ifu_i0_bp_index; // @[dec.scala 117:24] + wire [7:0] instbuff_io_ifu_ib_ifu_i0_bp_fghr; // @[dec.scala 117:24] + wire [4:0] instbuff_io_ifu_ib_ifu_i0_bp_btag; // @[dec.scala 117:24] + wire instbuff_io_ifu_ib_ifu_i0_valid; // @[dec.scala 117:24] + wire [31:0] instbuff_io_ifu_ib_ifu_i0_instr; // @[dec.scala 117:24] + wire [30:0] instbuff_io_ifu_ib_ifu_i0_pc; // @[dec.scala 117:24] + wire instbuff_io_ifu_ib_ifu_i0_pc4; // @[dec.scala 117:24] + wire instbuff_io_ifu_ib_i0_brp_valid; // @[dec.scala 117:24] + wire [11:0] instbuff_io_ifu_ib_i0_brp_bits_toffset; // @[dec.scala 117:24] + wire [1:0] instbuff_io_ifu_ib_i0_brp_bits_hist; // @[dec.scala 117:24] + wire instbuff_io_ifu_ib_i0_brp_bits_br_error; // @[dec.scala 117:24] + wire instbuff_io_ifu_ib_i0_brp_bits_br_start_error; // @[dec.scala 117:24] + wire [30:0] instbuff_io_ifu_ib_i0_brp_bits_prett; // @[dec.scala 117:24] + wire instbuff_io_ifu_ib_i0_brp_bits_way; // @[dec.scala 117:24] + wire instbuff_io_ifu_ib_i0_brp_bits_ret; // @[dec.scala 117:24] + wire [30:0] instbuff_io_ib_exu_dec_i0_pc_d; // @[dec.scala 117:24] + wire instbuff_io_ib_exu_dec_debug_wdata_rs1_d; // @[dec.scala 117:24] + wire instbuff_io_dbg_ib_dbg_cmd_valid; // @[dec.scala 117:24] + wire instbuff_io_dbg_ib_dbg_cmd_write; // @[dec.scala 117:24] + wire [1:0] instbuff_io_dbg_ib_dbg_cmd_type; // @[dec.scala 117:24] + wire [31:0] instbuff_io_dbg_ib_dbg_cmd_addr; // @[dec.scala 117:24] + wire instbuff_io_dec_ib0_valid_d; // @[dec.scala 117:24] + wire [1:0] instbuff_io_dec_i0_icaf_type_d; // @[dec.scala 117:24] + wire [31:0] instbuff_io_dec_i0_instr_d; // @[dec.scala 117:24] + wire instbuff_io_dec_i0_pc4_d; // @[dec.scala 117:24] + wire instbuff_io_dec_i0_brp_valid; // @[dec.scala 117:24] + wire [11:0] instbuff_io_dec_i0_brp_bits_toffset; // @[dec.scala 117:24] + wire [1:0] instbuff_io_dec_i0_brp_bits_hist; // @[dec.scala 117:24] + wire instbuff_io_dec_i0_brp_bits_br_error; // @[dec.scala 117:24] + wire instbuff_io_dec_i0_brp_bits_br_start_error; // @[dec.scala 117:24] + wire [30:0] instbuff_io_dec_i0_brp_bits_prett; // @[dec.scala 117:24] + wire instbuff_io_dec_i0_brp_bits_way; // @[dec.scala 117:24] + wire instbuff_io_dec_i0_brp_bits_ret; // @[dec.scala 117:24] + wire [7:0] instbuff_io_dec_i0_bp_index; // @[dec.scala 117:24] + wire [7:0] instbuff_io_dec_i0_bp_fghr; // @[dec.scala 117:24] + wire [4:0] instbuff_io_dec_i0_bp_btag; // @[dec.scala 117:24] + wire instbuff_io_dec_i0_icaf_d; // @[dec.scala 117:24] + wire instbuff_io_dec_i0_icaf_f1_d; // @[dec.scala 117:24] + wire instbuff_io_dec_i0_dbecc_d; // @[dec.scala 117:24] + wire instbuff_io_dec_debug_fence_d; // @[dec.scala 117:24] + wire decode_clock; // @[dec.scala 118:22] + wire decode_reset; // @[dec.scala 118:22] + wire [1:0] decode_io_decode_exu_dec_data_en; // @[dec.scala 118:22] + wire [1:0] decode_io_decode_exu_dec_ctl_en; // @[dec.scala 118:22] + wire decode_io_decode_exu_i0_ap_land; // @[dec.scala 118:22] + wire decode_io_decode_exu_i0_ap_lor; // @[dec.scala 118:22] + wire decode_io_decode_exu_i0_ap_lxor; // @[dec.scala 118:22] + wire decode_io_decode_exu_i0_ap_sll; // @[dec.scala 118:22] + wire decode_io_decode_exu_i0_ap_srl; // @[dec.scala 118:22] + wire decode_io_decode_exu_i0_ap_sra; // @[dec.scala 118:22] + wire decode_io_decode_exu_i0_ap_beq; // @[dec.scala 118:22] + wire decode_io_decode_exu_i0_ap_bne; // @[dec.scala 118:22] + wire decode_io_decode_exu_i0_ap_blt; // @[dec.scala 118:22] + wire decode_io_decode_exu_i0_ap_bge; // @[dec.scala 118:22] + wire decode_io_decode_exu_i0_ap_add; // @[dec.scala 118:22] + wire decode_io_decode_exu_i0_ap_sub; // @[dec.scala 118:22] + wire decode_io_decode_exu_i0_ap_slt; // @[dec.scala 118:22] + wire decode_io_decode_exu_i0_ap_unsign; // @[dec.scala 118:22] + wire decode_io_decode_exu_i0_ap_jal; // @[dec.scala 118:22] + wire decode_io_decode_exu_i0_ap_predict_t; // @[dec.scala 118:22] + wire decode_io_decode_exu_i0_ap_predict_nt; // @[dec.scala 118:22] + wire decode_io_decode_exu_i0_ap_csr_write; // @[dec.scala 118:22] + wire decode_io_decode_exu_i0_ap_csr_imm; // @[dec.scala 118:22] + wire decode_io_decode_exu_dec_i0_predict_p_d_valid; // @[dec.scala 118:22] + wire decode_io_decode_exu_dec_i0_predict_p_d_bits_pc4; // @[dec.scala 118:22] + wire [1:0] decode_io_decode_exu_dec_i0_predict_p_d_bits_hist; // @[dec.scala 118:22] + wire [11:0] decode_io_decode_exu_dec_i0_predict_p_d_bits_toffset; // @[dec.scala 118:22] + wire decode_io_decode_exu_dec_i0_predict_p_d_bits_br_error; // @[dec.scala 118:22] + wire decode_io_decode_exu_dec_i0_predict_p_d_bits_br_start_error; // @[dec.scala 118:22] + wire [30:0] decode_io_decode_exu_dec_i0_predict_p_d_bits_prett; // @[dec.scala 118:22] + wire decode_io_decode_exu_dec_i0_predict_p_d_bits_pcall; // @[dec.scala 118:22] + wire decode_io_decode_exu_dec_i0_predict_p_d_bits_pret; // @[dec.scala 118:22] + wire decode_io_decode_exu_dec_i0_predict_p_d_bits_pja; // @[dec.scala 118:22] + wire decode_io_decode_exu_dec_i0_predict_p_d_bits_way; // @[dec.scala 118:22] + wire [7:0] decode_io_decode_exu_i0_predict_fghr_d; // @[dec.scala 118:22] + wire [7:0] decode_io_decode_exu_i0_predict_index_d; // @[dec.scala 118:22] + wire [4:0] decode_io_decode_exu_i0_predict_btag_d; // @[dec.scala 118:22] + wire decode_io_decode_exu_dec_i0_rs1_en_d; // @[dec.scala 118:22] + wire decode_io_decode_exu_dec_i0_rs2_en_d; // @[dec.scala 118:22] + wire [31:0] decode_io_decode_exu_dec_i0_immed_d; // @[dec.scala 118:22] + wire [31:0] decode_io_decode_exu_dec_i0_rs1_bypass_data_d; // @[dec.scala 118:22] + wire [31:0] decode_io_decode_exu_dec_i0_rs2_bypass_data_d; // @[dec.scala 118:22] + wire decode_io_decode_exu_dec_i0_select_pc_d; // @[dec.scala 118:22] + wire [1:0] decode_io_decode_exu_dec_i0_rs1_bypass_en_d; // @[dec.scala 118:22] + wire [1:0] decode_io_decode_exu_dec_i0_rs2_bypass_en_d; // @[dec.scala 118:22] + wire decode_io_decode_exu_mul_p_valid; // @[dec.scala 118:22] + wire decode_io_decode_exu_mul_p_bits_rs1_sign; // @[dec.scala 118:22] + wire decode_io_decode_exu_mul_p_bits_rs2_sign; // @[dec.scala 118:22] + wire decode_io_decode_exu_mul_p_bits_low; // @[dec.scala 118:22] + wire [30:0] decode_io_decode_exu_pred_correct_npc_x; // @[dec.scala 118:22] + wire decode_io_decode_exu_dec_extint_stall; // @[dec.scala 118:22] + wire [31:0] decode_io_decode_exu_exu_i0_result_x; // @[dec.scala 118:22] + wire [31:0] decode_io_decode_exu_exu_csr_rs1_x; // @[dec.scala 118:22] + wire decode_io_dec_alu_dec_i0_alu_decode_d; // @[dec.scala 118:22] + wire decode_io_dec_alu_dec_csr_ren_d; // @[dec.scala 118:22] + wire [11:0] decode_io_dec_alu_dec_i0_br_immed_d; // @[dec.scala 118:22] + wire [30:0] decode_io_dec_alu_exu_i0_pc_x; // @[dec.scala 118:22] + wire decode_io_dec_div_div_p_valid; // @[dec.scala 118:22] + wire decode_io_dec_div_div_p_bits_unsign; // @[dec.scala 118:22] + wire decode_io_dec_div_div_p_bits_rem; // @[dec.scala 118:22] + wire decode_io_dec_div_dec_div_cancel; // @[dec.scala 118:22] + wire decode_io_dctl_busbuff_lsu_nonblock_load_valid_m; // @[dec.scala 118:22] + wire [1:0] decode_io_dctl_busbuff_lsu_nonblock_load_tag_m; // @[dec.scala 118:22] + wire decode_io_dctl_busbuff_lsu_nonblock_load_inv_r; // @[dec.scala 118:22] + wire [1:0] decode_io_dctl_busbuff_lsu_nonblock_load_inv_tag_r; // @[dec.scala 118:22] + wire decode_io_dctl_busbuff_lsu_nonblock_load_data_valid; // @[dec.scala 118:22] + wire decode_io_dctl_busbuff_lsu_nonblock_load_data_error; // @[dec.scala 118:22] + wire [1:0] decode_io_dctl_busbuff_lsu_nonblock_load_data_tag; // @[dec.scala 118:22] + wire [31:0] decode_io_dctl_busbuff_lsu_nonblock_load_data; // @[dec.scala 118:22] + wire decode_io_dctl_dma_dma_dccm_stall_any; // @[dec.scala 118:22] + wire decode_io_dec_aln_dec_i0_decode_d; // @[dec.scala 118:22] + wire [15:0] decode_io_dec_aln_ifu_i0_cinst; // @[dec.scala 118:22] + wire [31:0] decode_io_dbg_dctl_dbg_cmd_wrdata; // @[dec.scala 118:22] + wire decode_io_dec_tlu_flush_extint; // @[dec.scala 118:22] + wire decode_io_dec_tlu_force_halt; // @[dec.scala 118:22] + wire [31:0] decode_io_dec_i0_inst_wb1; // @[dec.scala 118:22] + wire [30:0] decode_io_dec_i0_pc_wb1; // @[dec.scala 118:22] + wire [3:0] decode_io_dec_i0_trigger_match_d; // @[dec.scala 118:22] + wire decode_io_dec_tlu_wr_pause_r; // @[dec.scala 118:22] + wire decode_io_dec_tlu_pipelining_disable; // @[dec.scala 118:22] + wire [3:0] decode_io_lsu_trigger_match_m; // @[dec.scala 118:22] + wire decode_io_lsu_pmu_misaligned_m; // @[dec.scala 118:22] + wire decode_io_dec_tlu_debug_stall; // @[dec.scala 118:22] + wire decode_io_dec_tlu_flush_leak_one_r; // @[dec.scala 118:22] + wire decode_io_dec_debug_fence_d; // @[dec.scala 118:22] + wire decode_io_dec_i0_icaf_d; // @[dec.scala 118:22] + wire decode_io_dec_i0_icaf_f1_d; // @[dec.scala 118:22] + wire [1:0] decode_io_dec_i0_icaf_type_d; // @[dec.scala 118:22] + wire decode_io_dec_i0_dbecc_d; // @[dec.scala 118:22] + wire decode_io_dec_i0_brp_valid; // @[dec.scala 118:22] + wire [11:0] decode_io_dec_i0_brp_bits_toffset; // @[dec.scala 118:22] + wire [1:0] decode_io_dec_i0_brp_bits_hist; // @[dec.scala 118:22] + wire decode_io_dec_i0_brp_bits_br_error; // @[dec.scala 118:22] + wire decode_io_dec_i0_brp_bits_br_start_error; // @[dec.scala 118:22] + wire [30:0] decode_io_dec_i0_brp_bits_prett; // @[dec.scala 118:22] + wire decode_io_dec_i0_brp_bits_way; // @[dec.scala 118:22] + wire decode_io_dec_i0_brp_bits_ret; // @[dec.scala 118:22] + wire [7:0] decode_io_dec_i0_bp_index; // @[dec.scala 118:22] + wire [7:0] decode_io_dec_i0_bp_fghr; // @[dec.scala 118:22] + wire [4:0] decode_io_dec_i0_bp_btag; // @[dec.scala 118:22] + wire decode_io_lsu_idle_any; // @[dec.scala 118:22] + wire decode_io_lsu_load_stall_any; // @[dec.scala 118:22] + wire decode_io_lsu_store_stall_any; // @[dec.scala 118:22] + wire decode_io_exu_div_wren; // @[dec.scala 118:22] + wire decode_io_dec_tlu_i0_kill_writeb_wb; // @[dec.scala 118:22] + wire decode_io_dec_tlu_flush_lower_wb; // @[dec.scala 118:22] + wire decode_io_dec_tlu_i0_kill_writeb_r; // @[dec.scala 118:22] + wire decode_io_dec_tlu_flush_lower_r; // @[dec.scala 118:22] + wire decode_io_dec_tlu_flush_pause_r; // @[dec.scala 118:22] + wire decode_io_dec_tlu_presync_d; // @[dec.scala 118:22] + wire decode_io_dec_tlu_postsync_d; // @[dec.scala 118:22] + wire decode_io_dec_i0_pc4_d; // @[dec.scala 118:22] + wire [31:0] decode_io_dec_csr_rddata_d; // @[dec.scala 118:22] + wire decode_io_dec_csr_legal_d; // @[dec.scala 118:22] + wire [31:0] decode_io_lsu_result_m; // @[dec.scala 118:22] + wire [31:0] decode_io_lsu_result_corr_r; // @[dec.scala 118:22] + wire decode_io_exu_flush_final; // @[dec.scala 118:22] + wire [31:0] decode_io_dec_i0_instr_d; // @[dec.scala 118:22] + wire decode_io_dec_ib0_valid_d; // @[dec.scala 118:22] + wire decode_io_free_clk; // @[dec.scala 118:22] + wire decode_io_active_clk; // @[dec.scala 118:22] + wire decode_io_clk_override; // @[dec.scala 118:22] + wire [4:0] decode_io_dec_i0_rs1_d; // @[dec.scala 118:22] + wire [4:0] decode_io_dec_i0_rs2_d; // @[dec.scala 118:22] + wire [4:0] decode_io_dec_i0_waddr_r; // @[dec.scala 118:22] + wire decode_io_dec_i0_wen_r; // @[dec.scala 118:22] + wire [31:0] decode_io_dec_i0_wdata_r; // @[dec.scala 118:22] + wire decode_io_lsu_p_valid; // @[dec.scala 118:22] + wire decode_io_lsu_p_bits_fast_int; // @[dec.scala 118:22] + wire decode_io_lsu_p_bits_by; // @[dec.scala 118:22] + wire decode_io_lsu_p_bits_half; // @[dec.scala 118:22] + wire decode_io_lsu_p_bits_word; // @[dec.scala 118:22] + wire decode_io_lsu_p_bits_load; // @[dec.scala 118:22] + wire decode_io_lsu_p_bits_store; // @[dec.scala 118:22] + wire decode_io_lsu_p_bits_unsign; // @[dec.scala 118:22] + wire decode_io_lsu_p_bits_store_data_bypass_d; // @[dec.scala 118:22] + wire decode_io_lsu_p_bits_load_ldst_bypass_d; // @[dec.scala 118:22] + wire [4:0] decode_io_div_waddr_wb; // @[dec.scala 118:22] + wire decode_io_dec_lsu_valid_raw_d; // @[dec.scala 118:22] + wire [11:0] decode_io_dec_lsu_offset_d; // @[dec.scala 118:22] + wire decode_io_dec_csr_wen_unq_d; // @[dec.scala 118:22] + wire decode_io_dec_csr_any_unq_d; // @[dec.scala 118:22] + wire [11:0] decode_io_dec_csr_rdaddr_d; // @[dec.scala 118:22] + wire decode_io_dec_csr_wen_r; // @[dec.scala 118:22] + wire [11:0] decode_io_dec_csr_wraddr_r; // @[dec.scala 118:22] + wire [31:0] decode_io_dec_csr_wrdata_r; // @[dec.scala 118:22] + wire decode_io_dec_csr_stall_int_ff; // @[dec.scala 118:22] + wire decode_io_dec_tlu_i0_valid_r; // @[dec.scala 118:22] + wire decode_io_dec_tlu_packet_r_legal; // @[dec.scala 118:22] + wire decode_io_dec_tlu_packet_r_icaf; // @[dec.scala 118:22] + wire decode_io_dec_tlu_packet_r_icaf_f1; // @[dec.scala 118:22] + wire [1:0] decode_io_dec_tlu_packet_r_icaf_type; // @[dec.scala 118:22] + wire decode_io_dec_tlu_packet_r_fence_i; // @[dec.scala 118:22] + wire [3:0] decode_io_dec_tlu_packet_r_i0trigger; // @[dec.scala 118:22] + wire [3:0] decode_io_dec_tlu_packet_r_pmu_i0_itype; // @[dec.scala 118:22] + wire decode_io_dec_tlu_packet_r_pmu_i0_br_unpred; // @[dec.scala 118:22] + wire decode_io_dec_tlu_packet_r_pmu_divide; // @[dec.scala 118:22] + wire decode_io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[dec.scala 118:22] + wire [30:0] decode_io_dec_tlu_i0_pc_r; // @[dec.scala 118:22] + wire [31:0] decode_io_dec_illegal_inst; // @[dec.scala 118:22] + wire decode_io_dec_pmu_instr_decoded; // @[dec.scala 118:22] + wire decode_io_dec_pmu_decode_stall; // @[dec.scala 118:22] + wire decode_io_dec_pmu_presync_stall; // @[dec.scala 118:22] + wire decode_io_dec_pmu_postsync_stall; // @[dec.scala 118:22] + wire decode_io_dec_nonblock_load_wen; // @[dec.scala 118:22] + wire [4:0] decode_io_dec_nonblock_load_waddr; // @[dec.scala 118:22] + wire decode_io_dec_pause_state; // @[dec.scala 118:22] + wire decode_io_dec_pause_state_cg; // @[dec.scala 118:22] + wire decode_io_dec_div_active; // @[dec.scala 118:22] + wire decode_io_scan_mode; // @[dec.scala 118:22] + wire gpr_clock; // @[dec.scala 119:19] + wire gpr_reset; // @[dec.scala 119:19] + wire [4:0] gpr_io_raddr0; // @[dec.scala 119:19] + wire [4:0] gpr_io_raddr1; // @[dec.scala 119:19] + wire gpr_io_wen0; // @[dec.scala 119:19] + wire [4:0] gpr_io_waddr0; // @[dec.scala 119:19] + wire [31:0] gpr_io_wd0; // @[dec.scala 119:19] + wire gpr_io_wen1; // @[dec.scala 119:19] + wire [4:0] gpr_io_waddr1; // @[dec.scala 119:19] + wire [31:0] gpr_io_wd1; // @[dec.scala 119:19] + wire gpr_io_wen2; // @[dec.scala 119:19] + wire [4:0] gpr_io_waddr2; // @[dec.scala 119:19] + wire [31:0] gpr_io_wd2; // @[dec.scala 119:19] + wire gpr_io_scan_mode; // @[dec.scala 119:19] + wire [31:0] gpr_io_gpr_exu_gpr_i0_rs1_d; // @[dec.scala 119:19] + wire [31:0] gpr_io_gpr_exu_gpr_i0_rs2_d; // @[dec.scala 119:19] + wire tlu_clock; // @[dec.scala 120:19] + wire tlu_reset; // @[dec.scala 120:19] + wire [29:0] tlu_io_tlu_exu_dec_tlu_meihap; // @[dec.scala 120:19] + wire tlu_io_tlu_exu_dec_tlu_flush_lower_r; // @[dec.scala 120:19] + wire [30:0] tlu_io_tlu_exu_dec_tlu_flush_path_r; // @[dec.scala 120:19] + wire [1:0] tlu_io_tlu_exu_exu_i0_br_hist_r; // @[dec.scala 120:19] + wire tlu_io_tlu_exu_exu_i0_br_error_r; // @[dec.scala 120:19] + wire tlu_io_tlu_exu_exu_i0_br_start_error_r; // @[dec.scala 120:19] + wire tlu_io_tlu_exu_exu_i0_br_valid_r; // @[dec.scala 120:19] + wire tlu_io_tlu_exu_exu_i0_br_mp_r; // @[dec.scala 120:19] + wire tlu_io_tlu_exu_exu_i0_br_middle_r; // @[dec.scala 120:19] + wire tlu_io_tlu_exu_exu_pmu_i0_br_misp; // @[dec.scala 120:19] + wire tlu_io_tlu_exu_exu_pmu_i0_br_ataken; // @[dec.scala 120:19] + wire tlu_io_tlu_exu_exu_pmu_i0_pc4; // @[dec.scala 120:19] + wire [30:0] tlu_io_tlu_exu_exu_npc_r; // @[dec.scala 120:19] + wire tlu_io_tlu_dma_dma_pmu_dccm_read; // @[dec.scala 120:19] + wire tlu_io_tlu_dma_dma_pmu_dccm_write; // @[dec.scala 120:19] + wire tlu_io_tlu_dma_dma_pmu_any_read; // @[dec.scala 120:19] + wire tlu_io_tlu_dma_dma_pmu_any_write; // @[dec.scala 120:19] + wire [2:0] tlu_io_tlu_dma_dec_tlu_dma_qos_prty; // @[dec.scala 120:19] + wire tlu_io_tlu_dma_dma_dccm_stall_any; // @[dec.scala 120:19] + wire tlu_io_tlu_dma_dma_iccm_stall_any; // @[dec.scala 120:19] + wire tlu_io_active_clk; // @[dec.scala 120:19] + wire tlu_io_free_clk; // @[dec.scala 120:19] + wire tlu_io_scan_mode; // @[dec.scala 120:19] + wire [30:0] tlu_io_rst_vec; // @[dec.scala 120:19] + wire tlu_io_nmi_int; // @[dec.scala 120:19] + wire [30:0] tlu_io_nmi_vec; // @[dec.scala 120:19] + wire tlu_io_i_cpu_halt_req; // @[dec.scala 120:19] + wire tlu_io_i_cpu_run_req; // @[dec.scala 120:19] + wire tlu_io_lsu_fastint_stall_any; // @[dec.scala 120:19] + wire tlu_io_lsu_idle_any; // @[dec.scala 120:19] + wire tlu_io_dec_pmu_instr_decoded; // @[dec.scala 120:19] + wire tlu_io_dec_pmu_decode_stall; // @[dec.scala 120:19] + wire tlu_io_dec_pmu_presync_stall; // @[dec.scala 120:19] + wire tlu_io_dec_pmu_postsync_stall; // @[dec.scala 120:19] + wire tlu_io_lsu_store_stall_any; // @[dec.scala 120:19] + wire [30:0] tlu_io_lsu_fir_addr; // @[dec.scala 120:19] + wire [1:0] tlu_io_lsu_fir_error; // @[dec.scala 120:19] + wire tlu_io_iccm_dma_sb_error; // @[dec.scala 120:19] + wire tlu_io_lsu_error_pkt_r_valid; // @[dec.scala 120:19] + wire tlu_io_lsu_error_pkt_r_bits_single_ecc_error; // @[dec.scala 120:19] + wire tlu_io_lsu_error_pkt_r_bits_inst_type; // @[dec.scala 120:19] + wire tlu_io_lsu_error_pkt_r_bits_exc_type; // @[dec.scala 120:19] + wire [3:0] tlu_io_lsu_error_pkt_r_bits_mscause; // @[dec.scala 120:19] + wire [31:0] tlu_io_lsu_error_pkt_r_bits_addr; // @[dec.scala 120:19] + wire tlu_io_lsu_single_ecc_error_incr; // @[dec.scala 120:19] + wire tlu_io_dec_pause_state; // @[dec.scala 120:19] + wire tlu_io_dec_csr_wen_unq_d; // @[dec.scala 120:19] + wire tlu_io_dec_csr_any_unq_d; // @[dec.scala 120:19] + wire [11:0] tlu_io_dec_csr_rdaddr_d; // @[dec.scala 120:19] + wire tlu_io_dec_csr_wen_r; // @[dec.scala 120:19] + wire [11:0] tlu_io_dec_csr_wraddr_r; // @[dec.scala 120:19] + wire [31:0] tlu_io_dec_csr_wrdata_r; // @[dec.scala 120:19] + wire tlu_io_dec_csr_stall_int_ff; // @[dec.scala 120:19] + wire tlu_io_dec_tlu_i0_valid_r; // @[dec.scala 120:19] + wire [30:0] tlu_io_dec_tlu_i0_pc_r; // @[dec.scala 120:19] + wire tlu_io_dec_tlu_packet_r_legal; // @[dec.scala 120:19] + wire tlu_io_dec_tlu_packet_r_icaf; // @[dec.scala 120:19] + wire tlu_io_dec_tlu_packet_r_icaf_f1; // @[dec.scala 120:19] + wire [1:0] tlu_io_dec_tlu_packet_r_icaf_type; // @[dec.scala 120:19] + wire tlu_io_dec_tlu_packet_r_fence_i; // @[dec.scala 120:19] + wire [3:0] tlu_io_dec_tlu_packet_r_i0trigger; // @[dec.scala 120:19] + wire [3:0] tlu_io_dec_tlu_packet_r_pmu_i0_itype; // @[dec.scala 120:19] + wire tlu_io_dec_tlu_packet_r_pmu_i0_br_unpred; // @[dec.scala 120:19] + wire tlu_io_dec_tlu_packet_r_pmu_divide; // @[dec.scala 120:19] + wire tlu_io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[dec.scala 120:19] + wire [31:0] tlu_io_dec_illegal_inst; // @[dec.scala 120:19] + wire tlu_io_dec_i0_decode_d; // @[dec.scala 120:19] + wire tlu_io_exu_i0_br_way_r; // @[dec.scala 120:19] + wire tlu_io_dec_dbg_cmd_done; // @[dec.scala 120:19] + wire tlu_io_dec_dbg_cmd_fail; // @[dec.scala 120:19] + wire tlu_io_dec_tlu_dbg_halted; // @[dec.scala 120:19] + wire tlu_io_dec_tlu_debug_mode; // @[dec.scala 120:19] + wire tlu_io_dec_tlu_resume_ack; // @[dec.scala 120:19] + wire tlu_io_dec_tlu_debug_stall; // @[dec.scala 120:19] + wire tlu_io_dec_tlu_mpc_halted_only; // @[dec.scala 120:19] + wire tlu_io_dec_tlu_flush_extint; // @[dec.scala 120:19] + wire tlu_io_dbg_halt_req; // @[dec.scala 120:19] + wire tlu_io_dbg_resume_req; // @[dec.scala 120:19] + wire tlu_io_dec_div_active; // @[dec.scala 120:19] + wire tlu_io_trigger_pkt_any_0_select; // @[dec.scala 120:19] + wire tlu_io_trigger_pkt_any_0_match_pkt; // @[dec.scala 120:19] + wire tlu_io_trigger_pkt_any_0_store; // @[dec.scala 120:19] + wire tlu_io_trigger_pkt_any_0_load; // @[dec.scala 120:19] + wire tlu_io_trigger_pkt_any_0_execute; // @[dec.scala 120:19] + wire tlu_io_trigger_pkt_any_0_m; // @[dec.scala 120:19] + wire [31:0] tlu_io_trigger_pkt_any_0_tdata2; // @[dec.scala 120:19] + wire tlu_io_trigger_pkt_any_1_select; // @[dec.scala 120:19] + wire tlu_io_trigger_pkt_any_1_match_pkt; // @[dec.scala 120:19] + wire tlu_io_trigger_pkt_any_1_store; // @[dec.scala 120:19] + wire tlu_io_trigger_pkt_any_1_load; // @[dec.scala 120:19] + wire tlu_io_trigger_pkt_any_1_execute; // @[dec.scala 120:19] + wire tlu_io_trigger_pkt_any_1_m; // @[dec.scala 120:19] + wire [31:0] tlu_io_trigger_pkt_any_1_tdata2; // @[dec.scala 120:19] + wire tlu_io_trigger_pkt_any_2_select; // @[dec.scala 120:19] + wire tlu_io_trigger_pkt_any_2_match_pkt; // @[dec.scala 120:19] + wire tlu_io_trigger_pkt_any_2_store; // @[dec.scala 120:19] + wire tlu_io_trigger_pkt_any_2_load; // @[dec.scala 120:19] + wire tlu_io_trigger_pkt_any_2_execute; // @[dec.scala 120:19] + wire tlu_io_trigger_pkt_any_2_m; // @[dec.scala 120:19] + wire [31:0] tlu_io_trigger_pkt_any_2_tdata2; // @[dec.scala 120:19] + wire tlu_io_trigger_pkt_any_3_select; // @[dec.scala 120:19] + wire tlu_io_trigger_pkt_any_3_match_pkt; // @[dec.scala 120:19] + wire tlu_io_trigger_pkt_any_3_store; // @[dec.scala 120:19] + wire tlu_io_trigger_pkt_any_3_load; // @[dec.scala 120:19] + wire tlu_io_trigger_pkt_any_3_execute; // @[dec.scala 120:19] + wire tlu_io_trigger_pkt_any_3_m; // @[dec.scala 120:19] + wire [31:0] tlu_io_trigger_pkt_any_3_tdata2; // @[dec.scala 120:19] + wire tlu_io_timer_int; // @[dec.scala 120:19] + wire tlu_io_soft_int; // @[dec.scala 120:19] + wire tlu_io_o_cpu_halt_status; // @[dec.scala 120:19] + wire tlu_io_o_cpu_halt_ack; // @[dec.scala 120:19] + wire tlu_io_o_cpu_run_ack; // @[dec.scala 120:19] + wire tlu_io_o_debug_mode_status; // @[dec.scala 120:19] + wire [27:0] tlu_io_core_id; // @[dec.scala 120:19] + wire tlu_io_mpc_debug_halt_req; // @[dec.scala 120:19] + wire tlu_io_mpc_debug_run_req; // @[dec.scala 120:19] + wire tlu_io_mpc_reset_run_req; // @[dec.scala 120:19] + wire tlu_io_mpc_debug_halt_ack; // @[dec.scala 120:19] + wire tlu_io_mpc_debug_run_ack; // @[dec.scala 120:19] + wire tlu_io_debug_brkpt_status; // @[dec.scala 120:19] + wire [31:0] tlu_io_dec_csr_rddata_d; // @[dec.scala 120:19] + wire tlu_io_dec_csr_legal_d; // @[dec.scala 120:19] + wire tlu_io_dec_tlu_i0_kill_writeb_wb; // @[dec.scala 120:19] + wire tlu_io_dec_tlu_i0_kill_writeb_r; // @[dec.scala 120:19] + wire tlu_io_dec_tlu_wr_pause_r; // @[dec.scala 120:19] + wire tlu_io_dec_tlu_flush_pause_r; // @[dec.scala 120:19] + wire tlu_io_dec_tlu_presync_d; // @[dec.scala 120:19] + wire tlu_io_dec_tlu_postsync_d; // @[dec.scala 120:19] + wire tlu_io_dec_tlu_perfcnt0; // @[dec.scala 120:19] + wire tlu_io_dec_tlu_perfcnt1; // @[dec.scala 120:19] + wire tlu_io_dec_tlu_perfcnt2; // @[dec.scala 120:19] + wire tlu_io_dec_tlu_perfcnt3; // @[dec.scala 120:19] + wire tlu_io_dec_tlu_i0_exc_valid_wb1; // @[dec.scala 120:19] + wire tlu_io_dec_tlu_i0_valid_wb1; // @[dec.scala 120:19] + wire tlu_io_dec_tlu_int_valid_wb1; // @[dec.scala 120:19] + wire [4:0] tlu_io_dec_tlu_exc_cause_wb1; // @[dec.scala 120:19] + wire [31:0] tlu_io_dec_tlu_mtval_wb1; // @[dec.scala 120:19] + wire tlu_io_dec_tlu_pipelining_disable; // @[dec.scala 120:19] + wire tlu_io_dec_tlu_misc_clk_override; // @[dec.scala 120:19] + wire tlu_io_dec_tlu_dec_clk_override; // @[dec.scala 120:19] + wire tlu_io_dec_tlu_ifu_clk_override; // @[dec.scala 120:19] + wire tlu_io_dec_tlu_lsu_clk_override; // @[dec.scala 120:19] + wire tlu_io_dec_tlu_bus_clk_override; // @[dec.scala 120:19] + wire tlu_io_dec_tlu_pic_clk_override; // @[dec.scala 120:19] + wire tlu_io_dec_tlu_dccm_clk_override; // @[dec.scala 120:19] + wire tlu_io_dec_tlu_icm_clk_override; // @[dec.scala 120:19] + wire tlu_io_dec_tlu_flush_lower_wb; // @[dec.scala 120:19] + wire tlu_io_ifu_pmu_instr_aligned; // @[dec.scala 120:19] + wire tlu_io_tlu_bp_dec_tlu_br0_r_pkt_valid; // @[dec.scala 120:19] + wire [1:0] tlu_io_tlu_bp_dec_tlu_br0_r_pkt_bits_hist; // @[dec.scala 120:19] + wire tlu_io_tlu_bp_dec_tlu_br0_r_pkt_bits_br_error; // @[dec.scala 120:19] + wire tlu_io_tlu_bp_dec_tlu_br0_r_pkt_bits_br_start_error; // @[dec.scala 120:19] + wire tlu_io_tlu_bp_dec_tlu_br0_r_pkt_bits_way; // @[dec.scala 120:19] + wire tlu_io_tlu_bp_dec_tlu_br0_r_pkt_bits_middle; // @[dec.scala 120:19] + wire tlu_io_tlu_bp_dec_tlu_flush_leak_one_wb; // @[dec.scala 120:19] + wire tlu_io_tlu_bp_dec_tlu_bpred_disable; // @[dec.scala 120:19] + wire tlu_io_tlu_ifc_dec_tlu_flush_noredir_wb; // @[dec.scala 120:19] + wire [31:0] tlu_io_tlu_ifc_dec_tlu_mrac_ff; // @[dec.scala 120:19] + wire tlu_io_tlu_ifc_ifu_pmu_fetch_stall; // @[dec.scala 120:19] + wire tlu_io_tlu_mem_dec_tlu_flush_err_wb; // @[dec.scala 120:19] + wire tlu_io_tlu_mem_dec_tlu_i0_commit_cmt; // @[dec.scala 120:19] + wire tlu_io_tlu_mem_dec_tlu_force_halt; // @[dec.scala 120:19] + wire tlu_io_tlu_mem_dec_tlu_fence_i_wb; // @[dec.scala 120:19] + wire [70:0] tlu_io_tlu_mem_dec_tlu_ic_diag_pkt_icache_wrdata; // @[dec.scala 120:19] + wire [16:0] tlu_io_tlu_mem_dec_tlu_ic_diag_pkt_icache_dicawics; // @[dec.scala 120:19] + wire tlu_io_tlu_mem_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[dec.scala 120:19] + wire tlu_io_tlu_mem_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[dec.scala 120:19] + wire tlu_io_tlu_mem_dec_tlu_core_ecc_disable; // @[dec.scala 120:19] + wire tlu_io_tlu_mem_ifu_pmu_ic_miss; // @[dec.scala 120:19] + wire tlu_io_tlu_mem_ifu_pmu_ic_hit; // @[dec.scala 120:19] + wire tlu_io_tlu_mem_ifu_pmu_bus_error; // @[dec.scala 120:19] + wire tlu_io_tlu_mem_ifu_pmu_bus_busy; // @[dec.scala 120:19] + wire tlu_io_tlu_mem_ifu_pmu_bus_trxn; // @[dec.scala 120:19] + wire tlu_io_tlu_mem_ifu_ic_error_start; // @[dec.scala 120:19] + wire tlu_io_tlu_mem_ifu_iccm_rd_ecc_single_err; // @[dec.scala 120:19] + wire [70:0] tlu_io_tlu_mem_ifu_ic_debug_rd_data; // @[dec.scala 120:19] + wire tlu_io_tlu_mem_ifu_ic_debug_rd_data_valid; // @[dec.scala 120:19] + wire tlu_io_tlu_mem_ifu_miss_state_idle; // @[dec.scala 120:19] + wire tlu_io_tlu_busbuff_lsu_pmu_bus_trxn; // @[dec.scala 120:19] + wire tlu_io_tlu_busbuff_lsu_pmu_bus_misaligned; // @[dec.scala 120:19] + wire tlu_io_tlu_busbuff_lsu_pmu_bus_error; // @[dec.scala 120:19] + wire tlu_io_tlu_busbuff_lsu_pmu_bus_busy; // @[dec.scala 120:19] + wire tlu_io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[dec.scala 120:19] + wire tlu_io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[dec.scala 120:19] + wire tlu_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[dec.scala 120:19] + wire tlu_io_tlu_busbuff_lsu_imprecise_error_load_any; // @[dec.scala 120:19] + wire tlu_io_tlu_busbuff_lsu_imprecise_error_store_any; // @[dec.scala 120:19] + wire [31:0] tlu_io_tlu_busbuff_lsu_imprecise_error_addr_any; // @[dec.scala 120:19] + wire tlu_io_lsu_tlu_lsu_pmu_load_external_m; // @[dec.scala 120:19] + wire tlu_io_lsu_tlu_lsu_pmu_store_external_m; // @[dec.scala 120:19] + wire [7:0] tlu_io_dec_pic_pic_claimid; // @[dec.scala 120:19] + wire [3:0] tlu_io_dec_pic_pic_pl; // @[dec.scala 120:19] + wire tlu_io_dec_pic_mhwakeup; // @[dec.scala 120:19] + wire [3:0] tlu_io_dec_pic_dec_tlu_meicurpl; // @[dec.scala 120:19] + wire [3:0] tlu_io_dec_pic_dec_tlu_meipt; // @[dec.scala 120:19] + wire tlu_io_dec_pic_mexintpend; // @[dec.scala 120:19] + wire dec_trigger_io_trigger_pkt_any_0_select; // @[dec.scala 121:27] + wire dec_trigger_io_trigger_pkt_any_0_match_pkt; // @[dec.scala 121:27] + wire dec_trigger_io_trigger_pkt_any_0_execute; // @[dec.scala 121:27] + wire dec_trigger_io_trigger_pkt_any_0_m; // @[dec.scala 121:27] + wire [31:0] dec_trigger_io_trigger_pkt_any_0_tdata2; // @[dec.scala 121:27] + wire dec_trigger_io_trigger_pkt_any_1_select; // @[dec.scala 121:27] + wire dec_trigger_io_trigger_pkt_any_1_match_pkt; // @[dec.scala 121:27] + wire dec_trigger_io_trigger_pkt_any_1_execute; // @[dec.scala 121:27] + wire dec_trigger_io_trigger_pkt_any_1_m; // @[dec.scala 121:27] + wire [31:0] dec_trigger_io_trigger_pkt_any_1_tdata2; // @[dec.scala 121:27] + wire dec_trigger_io_trigger_pkt_any_2_select; // @[dec.scala 121:27] + wire dec_trigger_io_trigger_pkt_any_2_match_pkt; // @[dec.scala 121:27] + wire dec_trigger_io_trigger_pkt_any_2_execute; // @[dec.scala 121:27] + wire dec_trigger_io_trigger_pkt_any_2_m; // @[dec.scala 121:27] + wire [31:0] dec_trigger_io_trigger_pkt_any_2_tdata2; // @[dec.scala 121:27] + wire dec_trigger_io_trigger_pkt_any_3_select; // @[dec.scala 121:27] + wire dec_trigger_io_trigger_pkt_any_3_match_pkt; // @[dec.scala 121:27] + wire dec_trigger_io_trigger_pkt_any_3_execute; // @[dec.scala 121:27] + wire dec_trigger_io_trigger_pkt_any_3_m; // @[dec.scala 121:27] + wire [31:0] dec_trigger_io_trigger_pkt_any_3_tdata2; // @[dec.scala 121:27] + wire [30:0] dec_trigger_io_dec_i0_pc_d; // @[dec.scala 121:27] + wire [3:0] dec_trigger_io_dec_i0_trigger_match_d; // @[dec.scala 121:27] + wire _T_1 = tlu_io_dec_tlu_i0_valid_wb1 | tlu_io_dec_tlu_i0_exc_valid_wb1; // @[dec.scala 296:98] + dec_ib_ctl instbuff ( // @[dec.scala 117:24] + .io_ifu_ib_ifu_i0_icaf(instbuff_io_ifu_ib_ifu_i0_icaf), + .io_ifu_ib_ifu_i0_icaf_type(instbuff_io_ifu_ib_ifu_i0_icaf_type), + .io_ifu_ib_ifu_i0_icaf_f1(instbuff_io_ifu_ib_ifu_i0_icaf_f1), + .io_ifu_ib_ifu_i0_dbecc(instbuff_io_ifu_ib_ifu_i0_dbecc), + .io_ifu_ib_ifu_i0_bp_index(instbuff_io_ifu_ib_ifu_i0_bp_index), + .io_ifu_ib_ifu_i0_bp_fghr(instbuff_io_ifu_ib_ifu_i0_bp_fghr), + .io_ifu_ib_ifu_i0_bp_btag(instbuff_io_ifu_ib_ifu_i0_bp_btag), + .io_ifu_ib_ifu_i0_valid(instbuff_io_ifu_ib_ifu_i0_valid), + .io_ifu_ib_ifu_i0_instr(instbuff_io_ifu_ib_ifu_i0_instr), + .io_ifu_ib_ifu_i0_pc(instbuff_io_ifu_ib_ifu_i0_pc), + .io_ifu_ib_ifu_i0_pc4(instbuff_io_ifu_ib_ifu_i0_pc4), + .io_ifu_ib_i0_brp_valid(instbuff_io_ifu_ib_i0_brp_valid), + .io_ifu_ib_i0_brp_bits_toffset(instbuff_io_ifu_ib_i0_brp_bits_toffset), + .io_ifu_ib_i0_brp_bits_hist(instbuff_io_ifu_ib_i0_brp_bits_hist), + .io_ifu_ib_i0_brp_bits_br_error(instbuff_io_ifu_ib_i0_brp_bits_br_error), + .io_ifu_ib_i0_brp_bits_br_start_error(instbuff_io_ifu_ib_i0_brp_bits_br_start_error), + .io_ifu_ib_i0_brp_bits_prett(instbuff_io_ifu_ib_i0_brp_bits_prett), + .io_ifu_ib_i0_brp_bits_way(instbuff_io_ifu_ib_i0_brp_bits_way), + .io_ifu_ib_i0_brp_bits_ret(instbuff_io_ifu_ib_i0_brp_bits_ret), + .io_ib_exu_dec_i0_pc_d(instbuff_io_ib_exu_dec_i0_pc_d), + .io_ib_exu_dec_debug_wdata_rs1_d(instbuff_io_ib_exu_dec_debug_wdata_rs1_d), + .io_dbg_ib_dbg_cmd_valid(instbuff_io_dbg_ib_dbg_cmd_valid), + .io_dbg_ib_dbg_cmd_write(instbuff_io_dbg_ib_dbg_cmd_write), + .io_dbg_ib_dbg_cmd_type(instbuff_io_dbg_ib_dbg_cmd_type), + .io_dbg_ib_dbg_cmd_addr(instbuff_io_dbg_ib_dbg_cmd_addr), + .io_dec_ib0_valid_d(instbuff_io_dec_ib0_valid_d), + .io_dec_i0_icaf_type_d(instbuff_io_dec_i0_icaf_type_d), + .io_dec_i0_instr_d(instbuff_io_dec_i0_instr_d), + .io_dec_i0_pc4_d(instbuff_io_dec_i0_pc4_d), + .io_dec_i0_brp_valid(instbuff_io_dec_i0_brp_valid), + .io_dec_i0_brp_bits_toffset(instbuff_io_dec_i0_brp_bits_toffset), + .io_dec_i0_brp_bits_hist(instbuff_io_dec_i0_brp_bits_hist), + .io_dec_i0_brp_bits_br_error(instbuff_io_dec_i0_brp_bits_br_error), + .io_dec_i0_brp_bits_br_start_error(instbuff_io_dec_i0_brp_bits_br_start_error), + .io_dec_i0_brp_bits_prett(instbuff_io_dec_i0_brp_bits_prett), + .io_dec_i0_brp_bits_way(instbuff_io_dec_i0_brp_bits_way), + .io_dec_i0_brp_bits_ret(instbuff_io_dec_i0_brp_bits_ret), + .io_dec_i0_bp_index(instbuff_io_dec_i0_bp_index), + .io_dec_i0_bp_fghr(instbuff_io_dec_i0_bp_fghr), + .io_dec_i0_bp_btag(instbuff_io_dec_i0_bp_btag), + .io_dec_i0_icaf_d(instbuff_io_dec_i0_icaf_d), + .io_dec_i0_icaf_f1_d(instbuff_io_dec_i0_icaf_f1_d), + .io_dec_i0_dbecc_d(instbuff_io_dec_i0_dbecc_d), + .io_dec_debug_fence_d(instbuff_io_dec_debug_fence_d) + ); + dec_decode_ctl decode ( // @[dec.scala 118:22] + .clock(decode_clock), + .reset(decode_reset), + .io_decode_exu_dec_data_en(decode_io_decode_exu_dec_data_en), + .io_decode_exu_dec_ctl_en(decode_io_decode_exu_dec_ctl_en), + .io_decode_exu_i0_ap_land(decode_io_decode_exu_i0_ap_land), + .io_decode_exu_i0_ap_lor(decode_io_decode_exu_i0_ap_lor), + .io_decode_exu_i0_ap_lxor(decode_io_decode_exu_i0_ap_lxor), + .io_decode_exu_i0_ap_sll(decode_io_decode_exu_i0_ap_sll), + .io_decode_exu_i0_ap_srl(decode_io_decode_exu_i0_ap_srl), + .io_decode_exu_i0_ap_sra(decode_io_decode_exu_i0_ap_sra), + .io_decode_exu_i0_ap_beq(decode_io_decode_exu_i0_ap_beq), + .io_decode_exu_i0_ap_bne(decode_io_decode_exu_i0_ap_bne), + .io_decode_exu_i0_ap_blt(decode_io_decode_exu_i0_ap_blt), + .io_decode_exu_i0_ap_bge(decode_io_decode_exu_i0_ap_bge), + .io_decode_exu_i0_ap_add(decode_io_decode_exu_i0_ap_add), + .io_decode_exu_i0_ap_sub(decode_io_decode_exu_i0_ap_sub), + .io_decode_exu_i0_ap_slt(decode_io_decode_exu_i0_ap_slt), + .io_decode_exu_i0_ap_unsign(decode_io_decode_exu_i0_ap_unsign), + .io_decode_exu_i0_ap_jal(decode_io_decode_exu_i0_ap_jal), + .io_decode_exu_i0_ap_predict_t(decode_io_decode_exu_i0_ap_predict_t), + .io_decode_exu_i0_ap_predict_nt(decode_io_decode_exu_i0_ap_predict_nt), + .io_decode_exu_i0_ap_csr_write(decode_io_decode_exu_i0_ap_csr_write), + .io_decode_exu_i0_ap_csr_imm(decode_io_decode_exu_i0_ap_csr_imm), + .io_decode_exu_dec_i0_predict_p_d_valid(decode_io_decode_exu_dec_i0_predict_p_d_valid), + .io_decode_exu_dec_i0_predict_p_d_bits_pc4(decode_io_decode_exu_dec_i0_predict_p_d_bits_pc4), + .io_decode_exu_dec_i0_predict_p_d_bits_hist(decode_io_decode_exu_dec_i0_predict_p_d_bits_hist), + .io_decode_exu_dec_i0_predict_p_d_bits_toffset(decode_io_decode_exu_dec_i0_predict_p_d_bits_toffset), + .io_decode_exu_dec_i0_predict_p_d_bits_br_error(decode_io_decode_exu_dec_i0_predict_p_d_bits_br_error), + .io_decode_exu_dec_i0_predict_p_d_bits_br_start_error(decode_io_decode_exu_dec_i0_predict_p_d_bits_br_start_error), + .io_decode_exu_dec_i0_predict_p_d_bits_prett(decode_io_decode_exu_dec_i0_predict_p_d_bits_prett), + .io_decode_exu_dec_i0_predict_p_d_bits_pcall(decode_io_decode_exu_dec_i0_predict_p_d_bits_pcall), + .io_decode_exu_dec_i0_predict_p_d_bits_pret(decode_io_decode_exu_dec_i0_predict_p_d_bits_pret), + .io_decode_exu_dec_i0_predict_p_d_bits_pja(decode_io_decode_exu_dec_i0_predict_p_d_bits_pja), + .io_decode_exu_dec_i0_predict_p_d_bits_way(decode_io_decode_exu_dec_i0_predict_p_d_bits_way), + .io_decode_exu_i0_predict_fghr_d(decode_io_decode_exu_i0_predict_fghr_d), + .io_decode_exu_i0_predict_index_d(decode_io_decode_exu_i0_predict_index_d), + .io_decode_exu_i0_predict_btag_d(decode_io_decode_exu_i0_predict_btag_d), + .io_decode_exu_dec_i0_rs1_en_d(decode_io_decode_exu_dec_i0_rs1_en_d), + .io_decode_exu_dec_i0_rs2_en_d(decode_io_decode_exu_dec_i0_rs2_en_d), + .io_decode_exu_dec_i0_immed_d(decode_io_decode_exu_dec_i0_immed_d), + .io_decode_exu_dec_i0_rs1_bypass_data_d(decode_io_decode_exu_dec_i0_rs1_bypass_data_d), + .io_decode_exu_dec_i0_rs2_bypass_data_d(decode_io_decode_exu_dec_i0_rs2_bypass_data_d), + .io_decode_exu_dec_i0_select_pc_d(decode_io_decode_exu_dec_i0_select_pc_d), + .io_decode_exu_dec_i0_rs1_bypass_en_d(decode_io_decode_exu_dec_i0_rs1_bypass_en_d), + .io_decode_exu_dec_i0_rs2_bypass_en_d(decode_io_decode_exu_dec_i0_rs2_bypass_en_d), + .io_decode_exu_mul_p_valid(decode_io_decode_exu_mul_p_valid), + .io_decode_exu_mul_p_bits_rs1_sign(decode_io_decode_exu_mul_p_bits_rs1_sign), + .io_decode_exu_mul_p_bits_rs2_sign(decode_io_decode_exu_mul_p_bits_rs2_sign), + .io_decode_exu_mul_p_bits_low(decode_io_decode_exu_mul_p_bits_low), + .io_decode_exu_pred_correct_npc_x(decode_io_decode_exu_pred_correct_npc_x), + .io_decode_exu_dec_extint_stall(decode_io_decode_exu_dec_extint_stall), + .io_decode_exu_exu_i0_result_x(decode_io_decode_exu_exu_i0_result_x), + .io_decode_exu_exu_csr_rs1_x(decode_io_decode_exu_exu_csr_rs1_x), + .io_dec_alu_dec_i0_alu_decode_d(decode_io_dec_alu_dec_i0_alu_decode_d), + .io_dec_alu_dec_csr_ren_d(decode_io_dec_alu_dec_csr_ren_d), + .io_dec_alu_dec_i0_br_immed_d(decode_io_dec_alu_dec_i0_br_immed_d), + .io_dec_alu_exu_i0_pc_x(decode_io_dec_alu_exu_i0_pc_x), + .io_dec_div_div_p_valid(decode_io_dec_div_div_p_valid), + .io_dec_div_div_p_bits_unsign(decode_io_dec_div_div_p_bits_unsign), + .io_dec_div_div_p_bits_rem(decode_io_dec_div_div_p_bits_rem), + .io_dec_div_dec_div_cancel(decode_io_dec_div_dec_div_cancel), + .io_dctl_busbuff_lsu_nonblock_load_valid_m(decode_io_dctl_busbuff_lsu_nonblock_load_valid_m), + .io_dctl_busbuff_lsu_nonblock_load_tag_m(decode_io_dctl_busbuff_lsu_nonblock_load_tag_m), + .io_dctl_busbuff_lsu_nonblock_load_inv_r(decode_io_dctl_busbuff_lsu_nonblock_load_inv_r), + .io_dctl_busbuff_lsu_nonblock_load_inv_tag_r(decode_io_dctl_busbuff_lsu_nonblock_load_inv_tag_r), + .io_dctl_busbuff_lsu_nonblock_load_data_valid(decode_io_dctl_busbuff_lsu_nonblock_load_data_valid), + .io_dctl_busbuff_lsu_nonblock_load_data_error(decode_io_dctl_busbuff_lsu_nonblock_load_data_error), + .io_dctl_busbuff_lsu_nonblock_load_data_tag(decode_io_dctl_busbuff_lsu_nonblock_load_data_tag), + .io_dctl_busbuff_lsu_nonblock_load_data(decode_io_dctl_busbuff_lsu_nonblock_load_data), + .io_dctl_dma_dma_dccm_stall_any(decode_io_dctl_dma_dma_dccm_stall_any), + .io_dec_aln_dec_i0_decode_d(decode_io_dec_aln_dec_i0_decode_d), + .io_dec_aln_ifu_i0_cinst(decode_io_dec_aln_ifu_i0_cinst), + .io_dbg_dctl_dbg_cmd_wrdata(decode_io_dbg_dctl_dbg_cmd_wrdata), + .io_dec_tlu_flush_extint(decode_io_dec_tlu_flush_extint), + .io_dec_tlu_force_halt(decode_io_dec_tlu_force_halt), + .io_dec_i0_inst_wb1(decode_io_dec_i0_inst_wb1), + .io_dec_i0_pc_wb1(decode_io_dec_i0_pc_wb1), + .io_dec_i0_trigger_match_d(decode_io_dec_i0_trigger_match_d), + .io_dec_tlu_wr_pause_r(decode_io_dec_tlu_wr_pause_r), + .io_dec_tlu_pipelining_disable(decode_io_dec_tlu_pipelining_disable), + .io_lsu_trigger_match_m(decode_io_lsu_trigger_match_m), + .io_lsu_pmu_misaligned_m(decode_io_lsu_pmu_misaligned_m), + .io_dec_tlu_debug_stall(decode_io_dec_tlu_debug_stall), + .io_dec_tlu_flush_leak_one_r(decode_io_dec_tlu_flush_leak_one_r), + .io_dec_debug_fence_d(decode_io_dec_debug_fence_d), + .io_dec_i0_icaf_d(decode_io_dec_i0_icaf_d), + .io_dec_i0_icaf_f1_d(decode_io_dec_i0_icaf_f1_d), + .io_dec_i0_icaf_type_d(decode_io_dec_i0_icaf_type_d), + .io_dec_i0_dbecc_d(decode_io_dec_i0_dbecc_d), + .io_dec_i0_brp_valid(decode_io_dec_i0_brp_valid), + .io_dec_i0_brp_bits_toffset(decode_io_dec_i0_brp_bits_toffset), + .io_dec_i0_brp_bits_hist(decode_io_dec_i0_brp_bits_hist), + .io_dec_i0_brp_bits_br_error(decode_io_dec_i0_brp_bits_br_error), + .io_dec_i0_brp_bits_br_start_error(decode_io_dec_i0_brp_bits_br_start_error), + .io_dec_i0_brp_bits_prett(decode_io_dec_i0_brp_bits_prett), + .io_dec_i0_brp_bits_way(decode_io_dec_i0_brp_bits_way), + .io_dec_i0_brp_bits_ret(decode_io_dec_i0_brp_bits_ret), + .io_dec_i0_bp_index(decode_io_dec_i0_bp_index), + .io_dec_i0_bp_fghr(decode_io_dec_i0_bp_fghr), + .io_dec_i0_bp_btag(decode_io_dec_i0_bp_btag), + .io_lsu_idle_any(decode_io_lsu_idle_any), + .io_lsu_load_stall_any(decode_io_lsu_load_stall_any), + .io_lsu_store_stall_any(decode_io_lsu_store_stall_any), + .io_exu_div_wren(decode_io_exu_div_wren), + .io_dec_tlu_i0_kill_writeb_wb(decode_io_dec_tlu_i0_kill_writeb_wb), + .io_dec_tlu_flush_lower_wb(decode_io_dec_tlu_flush_lower_wb), + .io_dec_tlu_i0_kill_writeb_r(decode_io_dec_tlu_i0_kill_writeb_r), + .io_dec_tlu_flush_lower_r(decode_io_dec_tlu_flush_lower_r), + .io_dec_tlu_flush_pause_r(decode_io_dec_tlu_flush_pause_r), + .io_dec_tlu_presync_d(decode_io_dec_tlu_presync_d), + .io_dec_tlu_postsync_d(decode_io_dec_tlu_postsync_d), + .io_dec_i0_pc4_d(decode_io_dec_i0_pc4_d), + .io_dec_csr_rddata_d(decode_io_dec_csr_rddata_d), + .io_dec_csr_legal_d(decode_io_dec_csr_legal_d), + .io_lsu_result_m(decode_io_lsu_result_m), + .io_lsu_result_corr_r(decode_io_lsu_result_corr_r), + .io_exu_flush_final(decode_io_exu_flush_final), + .io_dec_i0_instr_d(decode_io_dec_i0_instr_d), + .io_dec_ib0_valid_d(decode_io_dec_ib0_valid_d), + .io_free_clk(decode_io_free_clk), + .io_active_clk(decode_io_active_clk), + .io_clk_override(decode_io_clk_override), + .io_dec_i0_rs1_d(decode_io_dec_i0_rs1_d), + .io_dec_i0_rs2_d(decode_io_dec_i0_rs2_d), + .io_dec_i0_waddr_r(decode_io_dec_i0_waddr_r), + .io_dec_i0_wen_r(decode_io_dec_i0_wen_r), + .io_dec_i0_wdata_r(decode_io_dec_i0_wdata_r), + .io_lsu_p_valid(decode_io_lsu_p_valid), + .io_lsu_p_bits_fast_int(decode_io_lsu_p_bits_fast_int), + .io_lsu_p_bits_by(decode_io_lsu_p_bits_by), + .io_lsu_p_bits_half(decode_io_lsu_p_bits_half), + .io_lsu_p_bits_word(decode_io_lsu_p_bits_word), + .io_lsu_p_bits_load(decode_io_lsu_p_bits_load), + .io_lsu_p_bits_store(decode_io_lsu_p_bits_store), + .io_lsu_p_bits_unsign(decode_io_lsu_p_bits_unsign), + .io_lsu_p_bits_store_data_bypass_d(decode_io_lsu_p_bits_store_data_bypass_d), + .io_lsu_p_bits_load_ldst_bypass_d(decode_io_lsu_p_bits_load_ldst_bypass_d), + .io_div_waddr_wb(decode_io_div_waddr_wb), + .io_dec_lsu_valid_raw_d(decode_io_dec_lsu_valid_raw_d), + .io_dec_lsu_offset_d(decode_io_dec_lsu_offset_d), + .io_dec_csr_wen_unq_d(decode_io_dec_csr_wen_unq_d), + .io_dec_csr_any_unq_d(decode_io_dec_csr_any_unq_d), + .io_dec_csr_rdaddr_d(decode_io_dec_csr_rdaddr_d), + .io_dec_csr_wen_r(decode_io_dec_csr_wen_r), + .io_dec_csr_wraddr_r(decode_io_dec_csr_wraddr_r), + .io_dec_csr_wrdata_r(decode_io_dec_csr_wrdata_r), + .io_dec_csr_stall_int_ff(decode_io_dec_csr_stall_int_ff), + .io_dec_tlu_i0_valid_r(decode_io_dec_tlu_i0_valid_r), + .io_dec_tlu_packet_r_legal(decode_io_dec_tlu_packet_r_legal), + .io_dec_tlu_packet_r_icaf(decode_io_dec_tlu_packet_r_icaf), + .io_dec_tlu_packet_r_icaf_f1(decode_io_dec_tlu_packet_r_icaf_f1), + .io_dec_tlu_packet_r_icaf_type(decode_io_dec_tlu_packet_r_icaf_type), + .io_dec_tlu_packet_r_fence_i(decode_io_dec_tlu_packet_r_fence_i), + .io_dec_tlu_packet_r_i0trigger(decode_io_dec_tlu_packet_r_i0trigger), + .io_dec_tlu_packet_r_pmu_i0_itype(decode_io_dec_tlu_packet_r_pmu_i0_itype), + .io_dec_tlu_packet_r_pmu_i0_br_unpred(decode_io_dec_tlu_packet_r_pmu_i0_br_unpred), + .io_dec_tlu_packet_r_pmu_divide(decode_io_dec_tlu_packet_r_pmu_divide), + .io_dec_tlu_packet_r_pmu_lsu_misaligned(decode_io_dec_tlu_packet_r_pmu_lsu_misaligned), + .io_dec_tlu_i0_pc_r(decode_io_dec_tlu_i0_pc_r), + .io_dec_illegal_inst(decode_io_dec_illegal_inst), + .io_dec_pmu_instr_decoded(decode_io_dec_pmu_instr_decoded), + .io_dec_pmu_decode_stall(decode_io_dec_pmu_decode_stall), + .io_dec_pmu_presync_stall(decode_io_dec_pmu_presync_stall), + .io_dec_pmu_postsync_stall(decode_io_dec_pmu_postsync_stall), + .io_dec_nonblock_load_wen(decode_io_dec_nonblock_load_wen), + .io_dec_nonblock_load_waddr(decode_io_dec_nonblock_load_waddr), + .io_dec_pause_state(decode_io_dec_pause_state), + .io_dec_pause_state_cg(decode_io_dec_pause_state_cg), + .io_dec_div_active(decode_io_dec_div_active), + .io_scan_mode(decode_io_scan_mode) + ); + dec_gpr_ctl gpr ( // @[dec.scala 119:19] + .clock(gpr_clock), + .reset(gpr_reset), + .io_raddr0(gpr_io_raddr0), + .io_raddr1(gpr_io_raddr1), + .io_wen0(gpr_io_wen0), + .io_waddr0(gpr_io_waddr0), + .io_wd0(gpr_io_wd0), + .io_wen1(gpr_io_wen1), + .io_waddr1(gpr_io_waddr1), + .io_wd1(gpr_io_wd1), + .io_wen2(gpr_io_wen2), + .io_waddr2(gpr_io_waddr2), + .io_wd2(gpr_io_wd2), + .io_scan_mode(gpr_io_scan_mode), + .io_gpr_exu_gpr_i0_rs1_d(gpr_io_gpr_exu_gpr_i0_rs1_d), + .io_gpr_exu_gpr_i0_rs2_d(gpr_io_gpr_exu_gpr_i0_rs2_d) + ); + dec_tlu_ctl tlu ( // @[dec.scala 120:19] + .clock(tlu_clock), + .reset(tlu_reset), + .io_tlu_exu_dec_tlu_meihap(tlu_io_tlu_exu_dec_tlu_meihap), + .io_tlu_exu_dec_tlu_flush_lower_r(tlu_io_tlu_exu_dec_tlu_flush_lower_r), + .io_tlu_exu_dec_tlu_flush_path_r(tlu_io_tlu_exu_dec_tlu_flush_path_r), + .io_tlu_exu_exu_i0_br_hist_r(tlu_io_tlu_exu_exu_i0_br_hist_r), + .io_tlu_exu_exu_i0_br_error_r(tlu_io_tlu_exu_exu_i0_br_error_r), + .io_tlu_exu_exu_i0_br_start_error_r(tlu_io_tlu_exu_exu_i0_br_start_error_r), + .io_tlu_exu_exu_i0_br_valid_r(tlu_io_tlu_exu_exu_i0_br_valid_r), + .io_tlu_exu_exu_i0_br_mp_r(tlu_io_tlu_exu_exu_i0_br_mp_r), + .io_tlu_exu_exu_i0_br_middle_r(tlu_io_tlu_exu_exu_i0_br_middle_r), + .io_tlu_exu_exu_pmu_i0_br_misp(tlu_io_tlu_exu_exu_pmu_i0_br_misp), + .io_tlu_exu_exu_pmu_i0_br_ataken(tlu_io_tlu_exu_exu_pmu_i0_br_ataken), + .io_tlu_exu_exu_pmu_i0_pc4(tlu_io_tlu_exu_exu_pmu_i0_pc4), + .io_tlu_exu_exu_npc_r(tlu_io_tlu_exu_exu_npc_r), + .io_tlu_dma_dma_pmu_dccm_read(tlu_io_tlu_dma_dma_pmu_dccm_read), + .io_tlu_dma_dma_pmu_dccm_write(tlu_io_tlu_dma_dma_pmu_dccm_write), + .io_tlu_dma_dma_pmu_any_read(tlu_io_tlu_dma_dma_pmu_any_read), + .io_tlu_dma_dma_pmu_any_write(tlu_io_tlu_dma_dma_pmu_any_write), + .io_tlu_dma_dec_tlu_dma_qos_prty(tlu_io_tlu_dma_dec_tlu_dma_qos_prty), + .io_tlu_dma_dma_dccm_stall_any(tlu_io_tlu_dma_dma_dccm_stall_any), + .io_tlu_dma_dma_iccm_stall_any(tlu_io_tlu_dma_dma_iccm_stall_any), + .io_active_clk(tlu_io_active_clk), + .io_free_clk(tlu_io_free_clk), + .io_scan_mode(tlu_io_scan_mode), + .io_rst_vec(tlu_io_rst_vec), + .io_nmi_int(tlu_io_nmi_int), + .io_nmi_vec(tlu_io_nmi_vec), + .io_i_cpu_halt_req(tlu_io_i_cpu_halt_req), + .io_i_cpu_run_req(tlu_io_i_cpu_run_req), + .io_lsu_fastint_stall_any(tlu_io_lsu_fastint_stall_any), + .io_lsu_idle_any(tlu_io_lsu_idle_any), + .io_dec_pmu_instr_decoded(tlu_io_dec_pmu_instr_decoded), + .io_dec_pmu_decode_stall(tlu_io_dec_pmu_decode_stall), + .io_dec_pmu_presync_stall(tlu_io_dec_pmu_presync_stall), + .io_dec_pmu_postsync_stall(tlu_io_dec_pmu_postsync_stall), + .io_lsu_store_stall_any(tlu_io_lsu_store_stall_any), + .io_lsu_fir_addr(tlu_io_lsu_fir_addr), + .io_lsu_fir_error(tlu_io_lsu_fir_error), + .io_iccm_dma_sb_error(tlu_io_iccm_dma_sb_error), + .io_lsu_error_pkt_r_valid(tlu_io_lsu_error_pkt_r_valid), + .io_lsu_error_pkt_r_bits_single_ecc_error(tlu_io_lsu_error_pkt_r_bits_single_ecc_error), + .io_lsu_error_pkt_r_bits_inst_type(tlu_io_lsu_error_pkt_r_bits_inst_type), + .io_lsu_error_pkt_r_bits_exc_type(tlu_io_lsu_error_pkt_r_bits_exc_type), + .io_lsu_error_pkt_r_bits_mscause(tlu_io_lsu_error_pkt_r_bits_mscause), + .io_lsu_error_pkt_r_bits_addr(tlu_io_lsu_error_pkt_r_bits_addr), + .io_lsu_single_ecc_error_incr(tlu_io_lsu_single_ecc_error_incr), + .io_dec_pause_state(tlu_io_dec_pause_state), + .io_dec_csr_wen_unq_d(tlu_io_dec_csr_wen_unq_d), + .io_dec_csr_any_unq_d(tlu_io_dec_csr_any_unq_d), + .io_dec_csr_rdaddr_d(tlu_io_dec_csr_rdaddr_d), + .io_dec_csr_wen_r(tlu_io_dec_csr_wen_r), + .io_dec_csr_wraddr_r(tlu_io_dec_csr_wraddr_r), + .io_dec_csr_wrdata_r(tlu_io_dec_csr_wrdata_r), + .io_dec_csr_stall_int_ff(tlu_io_dec_csr_stall_int_ff), + .io_dec_tlu_i0_valid_r(tlu_io_dec_tlu_i0_valid_r), + .io_dec_tlu_i0_pc_r(tlu_io_dec_tlu_i0_pc_r), + .io_dec_tlu_packet_r_legal(tlu_io_dec_tlu_packet_r_legal), + .io_dec_tlu_packet_r_icaf(tlu_io_dec_tlu_packet_r_icaf), + .io_dec_tlu_packet_r_icaf_f1(tlu_io_dec_tlu_packet_r_icaf_f1), + .io_dec_tlu_packet_r_icaf_type(tlu_io_dec_tlu_packet_r_icaf_type), + .io_dec_tlu_packet_r_fence_i(tlu_io_dec_tlu_packet_r_fence_i), + .io_dec_tlu_packet_r_i0trigger(tlu_io_dec_tlu_packet_r_i0trigger), + .io_dec_tlu_packet_r_pmu_i0_itype(tlu_io_dec_tlu_packet_r_pmu_i0_itype), + .io_dec_tlu_packet_r_pmu_i0_br_unpred(tlu_io_dec_tlu_packet_r_pmu_i0_br_unpred), + .io_dec_tlu_packet_r_pmu_divide(tlu_io_dec_tlu_packet_r_pmu_divide), + .io_dec_tlu_packet_r_pmu_lsu_misaligned(tlu_io_dec_tlu_packet_r_pmu_lsu_misaligned), + .io_dec_illegal_inst(tlu_io_dec_illegal_inst), + .io_dec_i0_decode_d(tlu_io_dec_i0_decode_d), + .io_exu_i0_br_way_r(tlu_io_exu_i0_br_way_r), + .io_dec_dbg_cmd_done(tlu_io_dec_dbg_cmd_done), + .io_dec_dbg_cmd_fail(tlu_io_dec_dbg_cmd_fail), + .io_dec_tlu_dbg_halted(tlu_io_dec_tlu_dbg_halted), + .io_dec_tlu_debug_mode(tlu_io_dec_tlu_debug_mode), + .io_dec_tlu_resume_ack(tlu_io_dec_tlu_resume_ack), + .io_dec_tlu_debug_stall(tlu_io_dec_tlu_debug_stall), + .io_dec_tlu_mpc_halted_only(tlu_io_dec_tlu_mpc_halted_only), + .io_dec_tlu_flush_extint(tlu_io_dec_tlu_flush_extint), + .io_dbg_halt_req(tlu_io_dbg_halt_req), + .io_dbg_resume_req(tlu_io_dbg_resume_req), + .io_dec_div_active(tlu_io_dec_div_active), + .io_trigger_pkt_any_0_select(tlu_io_trigger_pkt_any_0_select), + .io_trigger_pkt_any_0_match_pkt(tlu_io_trigger_pkt_any_0_match_pkt), + .io_trigger_pkt_any_0_store(tlu_io_trigger_pkt_any_0_store), + .io_trigger_pkt_any_0_load(tlu_io_trigger_pkt_any_0_load), + .io_trigger_pkt_any_0_execute(tlu_io_trigger_pkt_any_0_execute), + .io_trigger_pkt_any_0_m(tlu_io_trigger_pkt_any_0_m), + .io_trigger_pkt_any_0_tdata2(tlu_io_trigger_pkt_any_0_tdata2), + .io_trigger_pkt_any_1_select(tlu_io_trigger_pkt_any_1_select), + .io_trigger_pkt_any_1_match_pkt(tlu_io_trigger_pkt_any_1_match_pkt), + .io_trigger_pkt_any_1_store(tlu_io_trigger_pkt_any_1_store), + .io_trigger_pkt_any_1_load(tlu_io_trigger_pkt_any_1_load), + .io_trigger_pkt_any_1_execute(tlu_io_trigger_pkt_any_1_execute), + .io_trigger_pkt_any_1_m(tlu_io_trigger_pkt_any_1_m), + .io_trigger_pkt_any_1_tdata2(tlu_io_trigger_pkt_any_1_tdata2), + .io_trigger_pkt_any_2_select(tlu_io_trigger_pkt_any_2_select), + .io_trigger_pkt_any_2_match_pkt(tlu_io_trigger_pkt_any_2_match_pkt), + .io_trigger_pkt_any_2_store(tlu_io_trigger_pkt_any_2_store), + .io_trigger_pkt_any_2_load(tlu_io_trigger_pkt_any_2_load), + .io_trigger_pkt_any_2_execute(tlu_io_trigger_pkt_any_2_execute), + .io_trigger_pkt_any_2_m(tlu_io_trigger_pkt_any_2_m), + .io_trigger_pkt_any_2_tdata2(tlu_io_trigger_pkt_any_2_tdata2), + .io_trigger_pkt_any_3_select(tlu_io_trigger_pkt_any_3_select), + .io_trigger_pkt_any_3_match_pkt(tlu_io_trigger_pkt_any_3_match_pkt), + .io_trigger_pkt_any_3_store(tlu_io_trigger_pkt_any_3_store), + .io_trigger_pkt_any_3_load(tlu_io_trigger_pkt_any_3_load), + .io_trigger_pkt_any_3_execute(tlu_io_trigger_pkt_any_3_execute), + .io_trigger_pkt_any_3_m(tlu_io_trigger_pkt_any_3_m), + .io_trigger_pkt_any_3_tdata2(tlu_io_trigger_pkt_any_3_tdata2), + .io_timer_int(tlu_io_timer_int), + .io_soft_int(tlu_io_soft_int), + .io_o_cpu_halt_status(tlu_io_o_cpu_halt_status), + .io_o_cpu_halt_ack(tlu_io_o_cpu_halt_ack), + .io_o_cpu_run_ack(tlu_io_o_cpu_run_ack), + .io_o_debug_mode_status(tlu_io_o_debug_mode_status), + .io_core_id(tlu_io_core_id), + .io_mpc_debug_halt_req(tlu_io_mpc_debug_halt_req), + .io_mpc_debug_run_req(tlu_io_mpc_debug_run_req), + .io_mpc_reset_run_req(tlu_io_mpc_reset_run_req), + .io_mpc_debug_halt_ack(tlu_io_mpc_debug_halt_ack), + .io_mpc_debug_run_ack(tlu_io_mpc_debug_run_ack), + .io_debug_brkpt_status(tlu_io_debug_brkpt_status), + .io_dec_csr_rddata_d(tlu_io_dec_csr_rddata_d), + .io_dec_csr_legal_d(tlu_io_dec_csr_legal_d), + .io_dec_tlu_i0_kill_writeb_wb(tlu_io_dec_tlu_i0_kill_writeb_wb), + .io_dec_tlu_i0_kill_writeb_r(tlu_io_dec_tlu_i0_kill_writeb_r), + .io_dec_tlu_wr_pause_r(tlu_io_dec_tlu_wr_pause_r), + .io_dec_tlu_flush_pause_r(tlu_io_dec_tlu_flush_pause_r), + .io_dec_tlu_presync_d(tlu_io_dec_tlu_presync_d), + .io_dec_tlu_postsync_d(tlu_io_dec_tlu_postsync_d), + .io_dec_tlu_perfcnt0(tlu_io_dec_tlu_perfcnt0), + .io_dec_tlu_perfcnt1(tlu_io_dec_tlu_perfcnt1), + .io_dec_tlu_perfcnt2(tlu_io_dec_tlu_perfcnt2), + .io_dec_tlu_perfcnt3(tlu_io_dec_tlu_perfcnt3), + .io_dec_tlu_i0_exc_valid_wb1(tlu_io_dec_tlu_i0_exc_valid_wb1), + .io_dec_tlu_i0_valid_wb1(tlu_io_dec_tlu_i0_valid_wb1), + .io_dec_tlu_int_valid_wb1(tlu_io_dec_tlu_int_valid_wb1), + .io_dec_tlu_exc_cause_wb1(tlu_io_dec_tlu_exc_cause_wb1), + .io_dec_tlu_mtval_wb1(tlu_io_dec_tlu_mtval_wb1), + .io_dec_tlu_pipelining_disable(tlu_io_dec_tlu_pipelining_disable), + .io_dec_tlu_misc_clk_override(tlu_io_dec_tlu_misc_clk_override), + .io_dec_tlu_dec_clk_override(tlu_io_dec_tlu_dec_clk_override), + .io_dec_tlu_ifu_clk_override(tlu_io_dec_tlu_ifu_clk_override), + .io_dec_tlu_lsu_clk_override(tlu_io_dec_tlu_lsu_clk_override), + .io_dec_tlu_bus_clk_override(tlu_io_dec_tlu_bus_clk_override), + .io_dec_tlu_pic_clk_override(tlu_io_dec_tlu_pic_clk_override), + .io_dec_tlu_dccm_clk_override(tlu_io_dec_tlu_dccm_clk_override), + .io_dec_tlu_icm_clk_override(tlu_io_dec_tlu_icm_clk_override), + .io_dec_tlu_flush_lower_wb(tlu_io_dec_tlu_flush_lower_wb), + .io_ifu_pmu_instr_aligned(tlu_io_ifu_pmu_instr_aligned), + .io_tlu_bp_dec_tlu_br0_r_pkt_valid(tlu_io_tlu_bp_dec_tlu_br0_r_pkt_valid), + .io_tlu_bp_dec_tlu_br0_r_pkt_bits_hist(tlu_io_tlu_bp_dec_tlu_br0_r_pkt_bits_hist), + .io_tlu_bp_dec_tlu_br0_r_pkt_bits_br_error(tlu_io_tlu_bp_dec_tlu_br0_r_pkt_bits_br_error), + .io_tlu_bp_dec_tlu_br0_r_pkt_bits_br_start_error(tlu_io_tlu_bp_dec_tlu_br0_r_pkt_bits_br_start_error), + .io_tlu_bp_dec_tlu_br0_r_pkt_bits_way(tlu_io_tlu_bp_dec_tlu_br0_r_pkt_bits_way), + .io_tlu_bp_dec_tlu_br0_r_pkt_bits_middle(tlu_io_tlu_bp_dec_tlu_br0_r_pkt_bits_middle), + .io_tlu_bp_dec_tlu_flush_leak_one_wb(tlu_io_tlu_bp_dec_tlu_flush_leak_one_wb), + .io_tlu_bp_dec_tlu_bpred_disable(tlu_io_tlu_bp_dec_tlu_bpred_disable), + .io_tlu_ifc_dec_tlu_flush_noredir_wb(tlu_io_tlu_ifc_dec_tlu_flush_noredir_wb), + .io_tlu_ifc_dec_tlu_mrac_ff(tlu_io_tlu_ifc_dec_tlu_mrac_ff), + .io_tlu_ifc_ifu_pmu_fetch_stall(tlu_io_tlu_ifc_ifu_pmu_fetch_stall), + .io_tlu_mem_dec_tlu_flush_err_wb(tlu_io_tlu_mem_dec_tlu_flush_err_wb), + .io_tlu_mem_dec_tlu_i0_commit_cmt(tlu_io_tlu_mem_dec_tlu_i0_commit_cmt), + .io_tlu_mem_dec_tlu_force_halt(tlu_io_tlu_mem_dec_tlu_force_halt), + .io_tlu_mem_dec_tlu_fence_i_wb(tlu_io_tlu_mem_dec_tlu_fence_i_wb), + .io_tlu_mem_dec_tlu_ic_diag_pkt_icache_wrdata(tlu_io_tlu_mem_dec_tlu_ic_diag_pkt_icache_wrdata), + .io_tlu_mem_dec_tlu_ic_diag_pkt_icache_dicawics(tlu_io_tlu_mem_dec_tlu_ic_diag_pkt_icache_dicawics), + .io_tlu_mem_dec_tlu_ic_diag_pkt_icache_rd_valid(tlu_io_tlu_mem_dec_tlu_ic_diag_pkt_icache_rd_valid), + .io_tlu_mem_dec_tlu_ic_diag_pkt_icache_wr_valid(tlu_io_tlu_mem_dec_tlu_ic_diag_pkt_icache_wr_valid), + .io_tlu_mem_dec_tlu_core_ecc_disable(tlu_io_tlu_mem_dec_tlu_core_ecc_disable), + .io_tlu_mem_ifu_pmu_ic_miss(tlu_io_tlu_mem_ifu_pmu_ic_miss), + .io_tlu_mem_ifu_pmu_ic_hit(tlu_io_tlu_mem_ifu_pmu_ic_hit), + .io_tlu_mem_ifu_pmu_bus_error(tlu_io_tlu_mem_ifu_pmu_bus_error), + .io_tlu_mem_ifu_pmu_bus_busy(tlu_io_tlu_mem_ifu_pmu_bus_busy), + .io_tlu_mem_ifu_pmu_bus_trxn(tlu_io_tlu_mem_ifu_pmu_bus_trxn), + .io_tlu_mem_ifu_ic_error_start(tlu_io_tlu_mem_ifu_ic_error_start), + .io_tlu_mem_ifu_iccm_rd_ecc_single_err(tlu_io_tlu_mem_ifu_iccm_rd_ecc_single_err), + .io_tlu_mem_ifu_ic_debug_rd_data(tlu_io_tlu_mem_ifu_ic_debug_rd_data), + .io_tlu_mem_ifu_ic_debug_rd_data_valid(tlu_io_tlu_mem_ifu_ic_debug_rd_data_valid), + .io_tlu_mem_ifu_miss_state_idle(tlu_io_tlu_mem_ifu_miss_state_idle), + .io_tlu_busbuff_lsu_pmu_bus_trxn(tlu_io_tlu_busbuff_lsu_pmu_bus_trxn), + .io_tlu_busbuff_lsu_pmu_bus_misaligned(tlu_io_tlu_busbuff_lsu_pmu_bus_misaligned), + .io_tlu_busbuff_lsu_pmu_bus_error(tlu_io_tlu_busbuff_lsu_pmu_bus_error), + .io_tlu_busbuff_lsu_pmu_bus_busy(tlu_io_tlu_busbuff_lsu_pmu_bus_busy), + .io_tlu_busbuff_dec_tlu_external_ldfwd_disable(tlu_io_tlu_busbuff_dec_tlu_external_ldfwd_disable), + .io_tlu_busbuff_dec_tlu_wb_coalescing_disable(tlu_io_tlu_busbuff_dec_tlu_wb_coalescing_disable), + .io_tlu_busbuff_dec_tlu_sideeffect_posted_disable(tlu_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable), + .io_tlu_busbuff_lsu_imprecise_error_load_any(tlu_io_tlu_busbuff_lsu_imprecise_error_load_any), + .io_tlu_busbuff_lsu_imprecise_error_store_any(tlu_io_tlu_busbuff_lsu_imprecise_error_store_any), + .io_tlu_busbuff_lsu_imprecise_error_addr_any(tlu_io_tlu_busbuff_lsu_imprecise_error_addr_any), + .io_lsu_tlu_lsu_pmu_load_external_m(tlu_io_lsu_tlu_lsu_pmu_load_external_m), + .io_lsu_tlu_lsu_pmu_store_external_m(tlu_io_lsu_tlu_lsu_pmu_store_external_m), + .io_dec_pic_pic_claimid(tlu_io_dec_pic_pic_claimid), + .io_dec_pic_pic_pl(tlu_io_dec_pic_pic_pl), + .io_dec_pic_mhwakeup(tlu_io_dec_pic_mhwakeup), + .io_dec_pic_dec_tlu_meicurpl(tlu_io_dec_pic_dec_tlu_meicurpl), + .io_dec_pic_dec_tlu_meipt(tlu_io_dec_pic_dec_tlu_meipt), + .io_dec_pic_mexintpend(tlu_io_dec_pic_mexintpend) + ); + dec_trigger dec_trigger ( // @[dec.scala 121:27] + .io_trigger_pkt_any_0_select(dec_trigger_io_trigger_pkt_any_0_select), + .io_trigger_pkt_any_0_match_pkt(dec_trigger_io_trigger_pkt_any_0_match_pkt), + .io_trigger_pkt_any_0_execute(dec_trigger_io_trigger_pkt_any_0_execute), + .io_trigger_pkt_any_0_m(dec_trigger_io_trigger_pkt_any_0_m), + .io_trigger_pkt_any_0_tdata2(dec_trigger_io_trigger_pkt_any_0_tdata2), + .io_trigger_pkt_any_1_select(dec_trigger_io_trigger_pkt_any_1_select), + .io_trigger_pkt_any_1_match_pkt(dec_trigger_io_trigger_pkt_any_1_match_pkt), + .io_trigger_pkt_any_1_execute(dec_trigger_io_trigger_pkt_any_1_execute), + .io_trigger_pkt_any_1_m(dec_trigger_io_trigger_pkt_any_1_m), + .io_trigger_pkt_any_1_tdata2(dec_trigger_io_trigger_pkt_any_1_tdata2), + .io_trigger_pkt_any_2_select(dec_trigger_io_trigger_pkt_any_2_select), + .io_trigger_pkt_any_2_match_pkt(dec_trigger_io_trigger_pkt_any_2_match_pkt), + .io_trigger_pkt_any_2_execute(dec_trigger_io_trigger_pkt_any_2_execute), + .io_trigger_pkt_any_2_m(dec_trigger_io_trigger_pkt_any_2_m), + .io_trigger_pkt_any_2_tdata2(dec_trigger_io_trigger_pkt_any_2_tdata2), + .io_trigger_pkt_any_3_select(dec_trigger_io_trigger_pkt_any_3_select), + .io_trigger_pkt_any_3_match_pkt(dec_trigger_io_trigger_pkt_any_3_match_pkt), + .io_trigger_pkt_any_3_execute(dec_trigger_io_trigger_pkt_any_3_execute), + .io_trigger_pkt_any_3_m(dec_trigger_io_trigger_pkt_any_3_m), + .io_trigger_pkt_any_3_tdata2(dec_trigger_io_trigger_pkt_any_3_tdata2), + .io_dec_i0_pc_d(dec_trigger_io_dec_i0_pc_d), + .io_dec_i0_trigger_match_d(dec_trigger_io_dec_i0_trigger_match_d) + ); + assign io_dec_pause_state_cg = decode_io_dec_pause_state_cg; // @[dec.scala 188:40] + assign io_o_cpu_halt_status = tlu_io_o_cpu_halt_status; // @[dec.scala 265:29] + assign io_o_cpu_halt_ack = tlu_io_o_cpu_halt_ack; // @[dec.scala 266:29] + assign io_o_cpu_run_ack = tlu_io_o_cpu_run_ack; // @[dec.scala 267:29] + assign io_o_debug_mode_status = tlu_io_o_debug_mode_status; // @[dec.scala 268:29] + assign io_mpc_debug_halt_ack = tlu_io_mpc_debug_halt_ack; // @[dec.scala 269:29] + assign io_mpc_debug_run_ack = tlu_io_mpc_debug_run_ack; // @[dec.scala 270:29] + assign io_debug_brkpt_status = tlu_io_debug_brkpt_status; // @[dec.scala 271:29] + assign io_dec_tlu_dbg_halted = tlu_io_dec_tlu_dbg_halted; // @[dec.scala 260:28] + assign io_dec_tlu_debug_mode = tlu_io_dec_tlu_debug_mode; // @[dec.scala 261:28] + assign io_dec_tlu_resume_ack = tlu_io_dec_tlu_resume_ack; // @[dec.scala 262:28] + assign io_dec_tlu_mpc_halted_only = tlu_io_dec_tlu_mpc_halted_only; // @[dec.scala 263:51] + assign io_dec_dbg_rddata = decode_io_dec_i0_wdata_r; // @[dec.scala 304:21] + assign io_dec_dbg_cmd_done = tlu_io_dec_dbg_cmd_done; // @[dec.scala 258:28] + assign io_dec_dbg_cmd_fail = tlu_io_dec_dbg_cmd_fail; // @[dec.scala 259:28] + assign io_trigger_pkt_any_0_select = tlu_io_trigger_pkt_any_0_select; // @[dec.scala 264:29] + assign io_trigger_pkt_any_0_match_pkt = tlu_io_trigger_pkt_any_0_match_pkt; // @[dec.scala 264:29] + assign io_trigger_pkt_any_0_store = tlu_io_trigger_pkt_any_0_store; // @[dec.scala 264:29] + assign io_trigger_pkt_any_0_load = tlu_io_trigger_pkt_any_0_load; // @[dec.scala 264:29] + assign io_trigger_pkt_any_0_execute = tlu_io_trigger_pkt_any_0_execute; // @[dec.scala 264:29] + assign io_trigger_pkt_any_0_m = tlu_io_trigger_pkt_any_0_m; // @[dec.scala 264:29] + assign io_trigger_pkt_any_0_tdata2 = tlu_io_trigger_pkt_any_0_tdata2; // @[dec.scala 264:29] + assign io_trigger_pkt_any_1_select = tlu_io_trigger_pkt_any_1_select; // @[dec.scala 264:29] + assign io_trigger_pkt_any_1_match_pkt = tlu_io_trigger_pkt_any_1_match_pkt; // @[dec.scala 264:29] + assign io_trigger_pkt_any_1_store = tlu_io_trigger_pkt_any_1_store; // @[dec.scala 264:29] + assign io_trigger_pkt_any_1_load = tlu_io_trigger_pkt_any_1_load; // @[dec.scala 264:29] + assign io_trigger_pkt_any_1_execute = tlu_io_trigger_pkt_any_1_execute; // @[dec.scala 264:29] + assign io_trigger_pkt_any_1_m = tlu_io_trigger_pkt_any_1_m; // @[dec.scala 264:29] + assign io_trigger_pkt_any_1_tdata2 = tlu_io_trigger_pkt_any_1_tdata2; // @[dec.scala 264:29] + assign io_trigger_pkt_any_2_select = tlu_io_trigger_pkt_any_2_select; // @[dec.scala 264:29] + assign io_trigger_pkt_any_2_match_pkt = tlu_io_trigger_pkt_any_2_match_pkt; // @[dec.scala 264:29] + assign io_trigger_pkt_any_2_store = tlu_io_trigger_pkt_any_2_store; // @[dec.scala 264:29] + assign io_trigger_pkt_any_2_load = tlu_io_trigger_pkt_any_2_load; // @[dec.scala 264:29] + assign io_trigger_pkt_any_2_execute = tlu_io_trigger_pkt_any_2_execute; // @[dec.scala 264:29] + assign io_trigger_pkt_any_2_m = tlu_io_trigger_pkt_any_2_m; // @[dec.scala 264:29] + assign io_trigger_pkt_any_2_tdata2 = tlu_io_trigger_pkt_any_2_tdata2; // @[dec.scala 264:29] + assign io_trigger_pkt_any_3_select = tlu_io_trigger_pkt_any_3_select; // @[dec.scala 264:29] + assign io_trigger_pkt_any_3_match_pkt = tlu_io_trigger_pkt_any_3_match_pkt; // @[dec.scala 264:29] + assign io_trigger_pkt_any_3_store = tlu_io_trigger_pkt_any_3_store; // @[dec.scala 264:29] + assign io_trigger_pkt_any_3_load = tlu_io_trigger_pkt_any_3_load; // @[dec.scala 264:29] + assign io_trigger_pkt_any_3_execute = tlu_io_trigger_pkt_any_3_execute; // @[dec.scala 264:29] + assign io_trigger_pkt_any_3_m = tlu_io_trigger_pkt_any_3_m; // @[dec.scala 264:29] + assign io_trigger_pkt_any_3_tdata2 = tlu_io_trigger_pkt_any_3_tdata2; // @[dec.scala 264:29] + assign io_lsu_p_valid = decode_io_lsu_p_valid; // @[dec.scala 185:40] + assign io_lsu_p_bits_fast_int = decode_io_lsu_p_bits_fast_int; // @[dec.scala 185:40] + assign io_lsu_p_bits_by = decode_io_lsu_p_bits_by; // @[dec.scala 185:40] + assign io_lsu_p_bits_half = decode_io_lsu_p_bits_half; // @[dec.scala 185:40] + assign io_lsu_p_bits_word = decode_io_lsu_p_bits_word; // @[dec.scala 185:40] + assign io_lsu_p_bits_dword = 1'h0; // @[dec.scala 185:40] + assign io_lsu_p_bits_load = decode_io_lsu_p_bits_load; // @[dec.scala 185:40] + assign io_lsu_p_bits_store = decode_io_lsu_p_bits_store; // @[dec.scala 185:40] + assign io_lsu_p_bits_unsign = decode_io_lsu_p_bits_unsign; // @[dec.scala 185:40] + assign io_lsu_p_bits_dma = 1'h0; // @[dec.scala 185:40] + assign io_lsu_p_bits_store_data_bypass_d = decode_io_lsu_p_bits_store_data_bypass_d; // @[dec.scala 185:40] + assign io_lsu_p_bits_load_ldst_bypass_d = decode_io_lsu_p_bits_load_ldst_bypass_d; // @[dec.scala 185:40] + assign io_lsu_p_bits_store_data_bypass_m = 1'h0; // @[dec.scala 185:40] + assign io_dec_lsu_offset_d = decode_io_dec_lsu_offset_d; // @[dec.scala 187:40] + assign io_dec_tlu_i0_kill_writeb_r = tlu_io_dec_tlu_i0_kill_writeb_r; // @[dec.scala 274:34] + assign io_dec_tlu_perfcnt0 = tlu_io_dec_tlu_perfcnt0; // @[dec.scala 275:29] + assign io_dec_tlu_perfcnt1 = tlu_io_dec_tlu_perfcnt1; // @[dec.scala 276:29] + assign io_dec_tlu_perfcnt2 = tlu_io_dec_tlu_perfcnt2; // @[dec.scala 277:29] + assign io_dec_tlu_perfcnt3 = tlu_io_dec_tlu_perfcnt3; // @[dec.scala 278:29] + assign io_dec_lsu_valid_raw_d = decode_io_dec_lsu_valid_raw_d; // @[dec.scala 186:40] + assign io_rv_trace_pkt_rv_i_valid_ip = {tlu_io_dec_tlu_int_valid_wb1,_T_1}; // @[dec.scala 296:33] + assign io_rv_trace_pkt_rv_i_insn_ip = decode_io_dec_i0_inst_wb1; // @[dec.scala 294:32] + assign io_rv_trace_pkt_rv_i_address_ip = {decode_io_dec_i0_pc_wb1,1'h0}; // @[dec.scala 295:35] + assign io_rv_trace_pkt_rv_i_exception_ip = {tlu_io_dec_tlu_int_valid_wb1,tlu_io_dec_tlu_i0_exc_valid_wb1}; // @[dec.scala 297:37] + assign io_rv_trace_pkt_rv_i_ecause_ip = tlu_io_dec_tlu_exc_cause_wb1; // @[dec.scala 298:34] + assign io_rv_trace_pkt_rv_i_interrupt_ip = {tlu_io_dec_tlu_int_valid_wb1,1'h0}; // @[dec.scala 299:37] + assign io_rv_trace_pkt_rv_i_tval_ip = tlu_io_dec_tlu_mtval_wb1; // @[dec.scala 300:32] + assign io_dec_tlu_misc_clk_override = tlu_io_dec_tlu_misc_clk_override; // @[dec.scala 284:35] + assign io_dec_tlu_ifu_clk_override = tlu_io_dec_tlu_ifu_clk_override; // @[dec.scala 285:36] + assign io_dec_tlu_lsu_clk_override = tlu_io_dec_tlu_lsu_clk_override; // @[dec.scala 286:36] + assign io_dec_tlu_bus_clk_override = tlu_io_dec_tlu_bus_clk_override; // @[dec.scala 287:36] + assign io_dec_tlu_pic_clk_override = tlu_io_dec_tlu_pic_clk_override; // @[dec.scala 288:36] + assign io_dec_tlu_dccm_clk_override = tlu_io_dec_tlu_dccm_clk_override; // @[dec.scala 289:36] + assign io_dec_tlu_icm_clk_override = tlu_io_dec_tlu_icm_clk_override; // @[dec.scala 290:36] + assign io_ifu_dec_dec_aln_aln_dec_dec_i0_decode_d = decode_io_dec_aln_dec_i0_decode_d; // @[dec.scala 133:21] + assign io_ifu_dec_dec_mem_ctrl_dec_tlu_flush_err_wb = tlu_io_tlu_mem_dec_tlu_flush_err_wb; // @[dec.scala 202:18] + assign io_ifu_dec_dec_mem_ctrl_dec_tlu_i0_commit_cmt = tlu_io_tlu_mem_dec_tlu_i0_commit_cmt; // @[dec.scala 202:18] + assign io_ifu_dec_dec_mem_ctrl_dec_tlu_force_halt = tlu_io_tlu_mem_dec_tlu_force_halt; // @[dec.scala 202:18] + assign io_ifu_dec_dec_mem_ctrl_dec_tlu_fence_i_wb = tlu_io_tlu_mem_dec_tlu_fence_i_wb; // @[dec.scala 202:18] + assign io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wrdata = tlu_io_tlu_mem_dec_tlu_ic_diag_pkt_icache_wrdata; // @[dec.scala 202:18] + assign io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_dicawics = tlu_io_tlu_mem_dec_tlu_ic_diag_pkt_icache_dicawics; // @[dec.scala 202:18] + assign io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_rd_valid = tlu_io_tlu_mem_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[dec.scala 202:18] + assign io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wr_valid = tlu_io_tlu_mem_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[dec.scala 202:18] + assign io_ifu_dec_dec_mem_ctrl_dec_tlu_core_ecc_disable = tlu_io_tlu_mem_dec_tlu_core_ecc_disable; // @[dec.scala 202:18] + assign io_ifu_dec_dec_ifc_dec_tlu_flush_noredir_wb = tlu_io_tlu_ifc_dec_tlu_flush_noredir_wb; // @[dec.scala 203:18] + assign io_ifu_dec_dec_ifc_dec_tlu_mrac_ff = tlu_io_tlu_ifc_dec_tlu_mrac_ff; // @[dec.scala 203:18] + assign io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_valid = tlu_io_tlu_bp_dec_tlu_br0_r_pkt_valid; // @[dec.scala 204:18] + assign io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_hist = tlu_io_tlu_bp_dec_tlu_br0_r_pkt_bits_hist; // @[dec.scala 204:18] + assign io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_error = tlu_io_tlu_bp_dec_tlu_br0_r_pkt_bits_br_error; // @[dec.scala 204:18] + assign io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error = tlu_io_tlu_bp_dec_tlu_br0_r_pkt_bits_br_start_error; // @[dec.scala 204:18] + assign io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_way = tlu_io_tlu_bp_dec_tlu_br0_r_pkt_bits_way; // @[dec.scala 204:18] + assign io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_middle = tlu_io_tlu_bp_dec_tlu_br0_r_pkt_bits_middle; // @[dec.scala 204:18] + assign io_ifu_dec_dec_bp_dec_tlu_flush_leak_one_wb = tlu_io_tlu_bp_dec_tlu_flush_leak_one_wb; // @[dec.scala 204:18] + assign io_ifu_dec_dec_bp_dec_tlu_bpred_disable = tlu_io_tlu_bp_dec_tlu_bpred_disable; // @[dec.scala 204:18] + assign io_dec_exu_dec_alu_dec_i0_alu_decode_d = decode_io_dec_alu_dec_i0_alu_decode_d; // @[dec.scala 136:20] + assign io_dec_exu_dec_alu_dec_csr_ren_d = decode_io_dec_alu_dec_csr_ren_d; // @[dec.scala 136:20] + assign io_dec_exu_dec_alu_dec_i0_br_immed_d = decode_io_dec_alu_dec_i0_br_immed_d; // @[dec.scala 136:20] + assign io_dec_exu_dec_div_div_p_valid = decode_io_dec_div_div_p_valid; // @[dec.scala 137:20] + assign io_dec_exu_dec_div_div_p_bits_unsign = decode_io_dec_div_div_p_bits_unsign; // @[dec.scala 137:20] + assign io_dec_exu_dec_div_div_p_bits_rem = decode_io_dec_div_div_p_bits_rem; // @[dec.scala 137:20] + assign io_dec_exu_dec_div_dec_div_cancel = decode_io_dec_div_dec_div_cancel; // @[dec.scala 137:20] + assign io_dec_exu_decode_exu_dec_data_en = decode_io_decode_exu_dec_data_en; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_dec_ctl_en = decode_io_decode_exu_dec_ctl_en; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_i0_ap_land = decode_io_decode_exu_i0_ap_land; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_i0_ap_lor = decode_io_decode_exu_i0_ap_lor; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_i0_ap_lxor = decode_io_decode_exu_i0_ap_lxor; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_i0_ap_sll = decode_io_decode_exu_i0_ap_sll; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_i0_ap_srl = decode_io_decode_exu_i0_ap_srl; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_i0_ap_sra = decode_io_decode_exu_i0_ap_sra; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_i0_ap_beq = decode_io_decode_exu_i0_ap_beq; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_i0_ap_bne = decode_io_decode_exu_i0_ap_bne; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_i0_ap_blt = decode_io_decode_exu_i0_ap_blt; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_i0_ap_bge = decode_io_decode_exu_i0_ap_bge; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_i0_ap_add = decode_io_decode_exu_i0_ap_add; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_i0_ap_sub = decode_io_decode_exu_i0_ap_sub; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_i0_ap_slt = decode_io_decode_exu_i0_ap_slt; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_i0_ap_unsign = decode_io_decode_exu_i0_ap_unsign; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_i0_ap_jal = decode_io_decode_exu_i0_ap_jal; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_i0_ap_predict_t = decode_io_decode_exu_i0_ap_predict_t; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_i0_ap_predict_nt = decode_io_decode_exu_i0_ap_predict_nt; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_i0_ap_csr_write = decode_io_decode_exu_i0_ap_csr_write; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_i0_ap_csr_imm = decode_io_decode_exu_i0_ap_csr_imm; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_dec_i0_predict_p_d_valid = decode_io_decode_exu_dec_i0_predict_p_d_valid; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_misp = 1'h0; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_ataken = 1'h0; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_boffset = 1'h0; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pc4 = decode_io_decode_exu_dec_i0_predict_p_d_bits_pc4; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_hist = decode_io_decode_exu_dec_i0_predict_p_d_bits_hist; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_toffset = decode_io_decode_exu_dec_i0_predict_p_d_bits_toffset; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_error = decode_io_decode_exu_dec_i0_predict_p_d_bits_br_error; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_start_error = decode_io_decode_exu_dec_i0_predict_p_d_bits_br_start_error; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_prett = decode_io_decode_exu_dec_i0_predict_p_d_bits_prett; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pcall = decode_io_decode_exu_dec_i0_predict_p_d_bits_pcall; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pret = decode_io_decode_exu_dec_i0_predict_p_d_bits_pret; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pja = decode_io_decode_exu_dec_i0_predict_p_d_bits_pja; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_way = decode_io_decode_exu_dec_i0_predict_p_d_bits_way; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_i0_predict_fghr_d = decode_io_decode_exu_i0_predict_fghr_d; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_i0_predict_index_d = decode_io_decode_exu_i0_predict_index_d; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_i0_predict_btag_d = decode_io_decode_exu_i0_predict_btag_d; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_dec_i0_rs1_en_d = decode_io_decode_exu_dec_i0_rs1_en_d; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_dec_i0_rs2_en_d = decode_io_decode_exu_dec_i0_rs2_en_d; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_dec_i0_immed_d = decode_io_decode_exu_dec_i0_immed_d; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_dec_i0_rs1_bypass_data_d = decode_io_decode_exu_dec_i0_rs1_bypass_data_d; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_dec_i0_rs2_bypass_data_d = decode_io_decode_exu_dec_i0_rs2_bypass_data_d; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_dec_i0_select_pc_d = decode_io_decode_exu_dec_i0_select_pc_d; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_dec_i0_rs1_bypass_en_d = decode_io_decode_exu_dec_i0_rs1_bypass_en_d; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_dec_i0_rs2_bypass_en_d = decode_io_decode_exu_dec_i0_rs2_bypass_en_d; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_mul_p_valid = decode_io_decode_exu_mul_p_valid; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_mul_p_bits_rs1_sign = decode_io_decode_exu_mul_p_bits_rs1_sign; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_mul_p_bits_rs2_sign = decode_io_decode_exu_mul_p_bits_rs2_sign; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_mul_p_bits_low = decode_io_decode_exu_mul_p_bits_low; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_mul_p_bits_bext = 1'h0; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_mul_p_bits_bdep = 1'h0; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_mul_p_bits_clmul = 1'h0; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_mul_p_bits_clmulh = 1'h0; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_mul_p_bits_clmulr = 1'h0; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_mul_p_bits_grev = 1'h0; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_mul_p_bits_shfl = 1'h0; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_mul_p_bits_unshfl = 1'h0; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_mul_p_bits_crc32_b = 1'h0; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_mul_p_bits_crc32_h = 1'h0; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_mul_p_bits_crc32_w = 1'h0; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_mul_p_bits_crc32c_b = 1'h0; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_mul_p_bits_crc32c_h = 1'h0; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_mul_p_bits_crc32c_w = 1'h0; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_mul_p_bits_bfp = 1'h0; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_pred_correct_npc_x = decode_io_decode_exu_pred_correct_npc_x; // @[dec.scala 135:23] + assign io_dec_exu_decode_exu_dec_extint_stall = decode_io_decode_exu_dec_extint_stall; // @[dec.scala 135:23] + assign io_dec_exu_tlu_exu_dec_tlu_meihap = tlu_io_tlu_exu_dec_tlu_meihap; // @[dec.scala 205:18] + assign io_dec_exu_tlu_exu_dec_tlu_flush_lower_r = tlu_io_tlu_exu_dec_tlu_flush_lower_r; // @[dec.scala 205:18] + assign io_dec_exu_tlu_exu_dec_tlu_flush_path_r = tlu_io_tlu_exu_dec_tlu_flush_path_r; // @[dec.scala 205:18] + assign io_dec_exu_ib_exu_dec_i0_pc_d = instbuff_io_ib_exu_dec_i0_pc_d; // @[dec.scala 126:22] + assign io_dec_exu_ib_exu_dec_debug_wdata_rs1_d = instbuff_io_ib_exu_dec_debug_wdata_rs1_d; // @[dec.scala 126:22] + assign io_dec_exu_gpr_exu_gpr_i0_rs1_d = gpr_io_gpr_exu_gpr_i0_rs1_d; // @[dec.scala 201:22] + assign io_dec_exu_gpr_exu_gpr_i0_rs2_d = gpr_io_gpr_exu_gpr_i0_rs2_d; // @[dec.scala 201:22] + assign io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable = tlu_io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[dec.scala 222:26] + assign io_lsu_dec_tlu_busbuff_dec_tlu_wb_coalescing_disable = tlu_io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[dec.scala 222:26] + assign io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable = tlu_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[dec.scala 222:26] + assign io_dec_dma_tlu_dma_dec_tlu_dma_qos_prty = tlu_io_tlu_dma_dec_tlu_dma_qos_prty; // @[dec.scala 206:18] + assign io_dec_pic_dec_tlu_meicurpl = tlu_io_dec_pic_dec_tlu_meicurpl; // @[dec.scala 224:14] + assign io_dec_pic_dec_tlu_meipt = tlu_io_dec_pic_dec_tlu_meipt; // @[dec.scala 224:14] + assign instbuff_io_ifu_ib_ifu_i0_icaf = io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf; // @[dec.scala 125:22] + assign instbuff_io_ifu_ib_ifu_i0_icaf_type = io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_type; // @[dec.scala 125:22] + assign instbuff_io_ifu_ib_ifu_i0_icaf_f1 = io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_f1; // @[dec.scala 125:22] + assign instbuff_io_ifu_ib_ifu_i0_dbecc = io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc; // @[dec.scala 125:22] + assign instbuff_io_ifu_ib_ifu_i0_bp_index = io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_index; // @[dec.scala 125:22] + assign instbuff_io_ifu_ib_ifu_i0_bp_fghr = io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_fghr; // @[dec.scala 125:22] + assign instbuff_io_ifu_ib_ifu_i0_bp_btag = io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_btag; // @[dec.scala 125:22] + assign instbuff_io_ifu_ib_ifu_i0_valid = io_ifu_dec_dec_aln_aln_ib_ifu_i0_valid; // @[dec.scala 125:22] + assign instbuff_io_ifu_ib_ifu_i0_instr = io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr; // @[dec.scala 125:22] + assign instbuff_io_ifu_ib_ifu_i0_pc = io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc; // @[dec.scala 125:22] + assign instbuff_io_ifu_ib_ifu_i0_pc4 = io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc4; // @[dec.scala 125:22] + assign instbuff_io_ifu_ib_i0_brp_valid = io_ifu_dec_dec_aln_aln_ib_i0_brp_valid; // @[dec.scala 125:22] + assign instbuff_io_ifu_ib_i0_brp_bits_toffset = io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset; // @[dec.scala 125:22] + assign instbuff_io_ifu_ib_i0_brp_bits_hist = io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist; // @[dec.scala 125:22] + assign instbuff_io_ifu_ib_i0_brp_bits_br_error = io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error; // @[dec.scala 125:22] + assign instbuff_io_ifu_ib_i0_brp_bits_br_start_error = io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error; // @[dec.scala 125:22] + assign instbuff_io_ifu_ib_i0_brp_bits_prett = io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_prett; // @[dec.scala 125:22] + assign instbuff_io_ifu_ib_i0_brp_bits_way = io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_way; // @[dec.scala 125:22] + assign instbuff_io_ifu_ib_i0_brp_bits_ret = io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret; // @[dec.scala 125:22] + assign instbuff_io_dbg_ib_dbg_cmd_valid = io_dec_dbg_dbg_ib_dbg_cmd_valid; // @[dec.scala 127:22] + assign instbuff_io_dbg_ib_dbg_cmd_write = io_dec_dbg_dbg_ib_dbg_cmd_write; // @[dec.scala 127:22] + assign instbuff_io_dbg_ib_dbg_cmd_type = io_dec_dbg_dbg_ib_dbg_cmd_type; // @[dec.scala 127:22] + assign instbuff_io_dbg_ib_dbg_cmd_addr = io_dec_dbg_dbg_ib_dbg_cmd_addr; // @[dec.scala 127:22] + assign decode_clock = clock; + assign decode_reset = reset; + assign decode_io_decode_exu_exu_i0_result_x = io_dec_exu_decode_exu_exu_i0_result_x; // @[dec.scala 135:23] + assign decode_io_decode_exu_exu_csr_rs1_x = io_dec_exu_decode_exu_exu_csr_rs1_x; // @[dec.scala 135:23] + assign decode_io_dec_alu_exu_i0_pc_x = io_dec_exu_dec_alu_exu_i0_pc_x; // @[dec.scala 136:20] + assign decode_io_dctl_busbuff_lsu_nonblock_load_valid_m = io_lsu_dec_dctl_busbuff_lsu_nonblock_load_valid_m; // @[dec.scala 141:26] + assign decode_io_dctl_busbuff_lsu_nonblock_load_tag_m = io_lsu_dec_dctl_busbuff_lsu_nonblock_load_tag_m; // @[dec.scala 141:26] + assign decode_io_dctl_busbuff_lsu_nonblock_load_inv_r = io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_r; // @[dec.scala 141:26] + assign decode_io_dctl_busbuff_lsu_nonblock_load_inv_tag_r = io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_tag_r; // @[dec.scala 141:26] + assign decode_io_dctl_busbuff_lsu_nonblock_load_data_valid = io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_valid; // @[dec.scala 141:26] + assign decode_io_dctl_busbuff_lsu_nonblock_load_data_error = io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_error; // @[dec.scala 141:26] + assign decode_io_dctl_busbuff_lsu_nonblock_load_data_tag = io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag; // @[dec.scala 141:26] + assign decode_io_dctl_busbuff_lsu_nonblock_load_data = io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data; // @[dec.scala 141:26] + assign decode_io_dctl_dma_dma_dccm_stall_any = io_dec_dma_dctl_dma_dma_dccm_stall_any; // @[dec.scala 138:22] + assign decode_io_dec_aln_ifu_i0_cinst = io_ifu_dec_dec_aln_aln_dec_ifu_i0_cinst; // @[dec.scala 133:21] + assign decode_io_dbg_dctl_dbg_cmd_wrdata = io_dec_dbg_dbg_dctl_dbg_cmd_wrdata; // @[dec.scala 150:22] + assign decode_io_dec_tlu_flush_extint = tlu_io_dec_tlu_flush_extint; // @[dec.scala 139:48] + assign decode_io_dec_tlu_force_halt = tlu_io_tlu_mem_dec_tlu_force_halt; // @[dec.scala 140:48] + assign decode_io_dec_i0_trigger_match_d = dec_trigger_io_dec_i0_trigger_match_d; // @[dec.scala 142:48] + assign decode_io_dec_tlu_wr_pause_r = tlu_io_dec_tlu_wr_pause_r; // @[dec.scala 143:48] + assign decode_io_dec_tlu_pipelining_disable = tlu_io_dec_tlu_pipelining_disable; // @[dec.scala 144:48] + assign decode_io_lsu_trigger_match_m = io_lsu_trigger_match_m; // @[dec.scala 145:48] + assign decode_io_lsu_pmu_misaligned_m = io_lsu_pmu_misaligned_m; // @[dec.scala 146:48] + assign decode_io_dec_tlu_debug_stall = tlu_io_dec_tlu_debug_stall; // @[dec.scala 147:48] + assign decode_io_dec_tlu_flush_leak_one_r = tlu_io_tlu_bp_dec_tlu_flush_leak_one_wb; // @[dec.scala 148:48] + assign decode_io_dec_debug_fence_d = instbuff_io_dec_debug_fence_d; // @[dec.scala 149:48] + assign decode_io_dec_i0_icaf_d = instbuff_io_dec_i0_icaf_d; // @[dec.scala 151:48] + assign decode_io_dec_i0_icaf_f1_d = instbuff_io_dec_i0_icaf_f1_d; // @[dec.scala 152:48] + assign decode_io_dec_i0_icaf_type_d = instbuff_io_dec_i0_icaf_type_d; // @[dec.scala 153:48] + assign decode_io_dec_i0_dbecc_d = instbuff_io_dec_i0_dbecc_d; // @[dec.scala 154:48] + assign decode_io_dec_i0_brp_valid = instbuff_io_dec_i0_brp_valid; // @[dec.scala 155:48] + assign decode_io_dec_i0_brp_bits_toffset = instbuff_io_dec_i0_brp_bits_toffset; // @[dec.scala 155:48] + assign decode_io_dec_i0_brp_bits_hist = instbuff_io_dec_i0_brp_bits_hist; // @[dec.scala 155:48] + assign decode_io_dec_i0_brp_bits_br_error = instbuff_io_dec_i0_brp_bits_br_error; // @[dec.scala 155:48] + assign decode_io_dec_i0_brp_bits_br_start_error = instbuff_io_dec_i0_brp_bits_br_start_error; // @[dec.scala 155:48] + assign decode_io_dec_i0_brp_bits_prett = instbuff_io_dec_i0_brp_bits_prett; // @[dec.scala 155:48] + assign decode_io_dec_i0_brp_bits_way = instbuff_io_dec_i0_brp_bits_way; // @[dec.scala 155:48] + assign decode_io_dec_i0_brp_bits_ret = instbuff_io_dec_i0_brp_bits_ret; // @[dec.scala 155:48] + assign decode_io_dec_i0_bp_index = instbuff_io_dec_i0_bp_index; // @[dec.scala 156:48] + assign decode_io_dec_i0_bp_fghr = instbuff_io_dec_i0_bp_fghr; // @[dec.scala 157:48] + assign decode_io_dec_i0_bp_btag = instbuff_io_dec_i0_bp_btag; // @[dec.scala 158:48] + assign decode_io_lsu_idle_any = io_lsu_idle_any; // @[dec.scala 160:48] + assign decode_io_lsu_load_stall_any = io_lsu_load_stall_any; // @[dec.scala 161:48] + assign decode_io_lsu_store_stall_any = io_lsu_store_stall_any; // @[dec.scala 162:48] + assign decode_io_exu_div_wren = io_exu_div_wren; // @[dec.scala 163:48] + assign decode_io_dec_tlu_i0_kill_writeb_wb = tlu_io_dec_tlu_i0_kill_writeb_wb; // @[dec.scala 164:48] + assign decode_io_dec_tlu_flush_lower_wb = tlu_io_dec_tlu_flush_lower_wb; // @[dec.scala 165:48] + assign decode_io_dec_tlu_i0_kill_writeb_r = tlu_io_dec_tlu_i0_kill_writeb_r; // @[dec.scala 166:48] + assign decode_io_dec_tlu_flush_lower_r = tlu_io_tlu_exu_dec_tlu_flush_lower_r; // @[dec.scala 167:48] + assign decode_io_dec_tlu_flush_pause_r = tlu_io_dec_tlu_flush_pause_r; // @[dec.scala 168:48] + assign decode_io_dec_tlu_presync_d = tlu_io_dec_tlu_presync_d; // @[dec.scala 169:48] + assign decode_io_dec_tlu_postsync_d = tlu_io_dec_tlu_postsync_d; // @[dec.scala 170:48] + assign decode_io_dec_i0_pc4_d = instbuff_io_dec_i0_pc4_d; // @[dec.scala 171:48] + assign decode_io_dec_csr_rddata_d = tlu_io_dec_csr_rddata_d; // @[dec.scala 172:48] + assign decode_io_dec_csr_legal_d = tlu_io_dec_csr_legal_d; // @[dec.scala 173:48] + assign decode_io_lsu_result_m = io_lsu_result_m; // @[dec.scala 174:48] + assign decode_io_lsu_result_corr_r = io_lsu_result_corr_r; // @[dec.scala 175:48] + assign decode_io_exu_flush_final = io_exu_flush_final; // @[dec.scala 176:48] + assign decode_io_dec_i0_instr_d = instbuff_io_dec_i0_instr_d; // @[dec.scala 177:48] + assign decode_io_dec_ib0_valid_d = instbuff_io_dec_ib0_valid_d; // @[dec.scala 178:48] + assign decode_io_free_clk = io_free_clk; // @[dec.scala 179:48] + assign decode_io_active_clk = io_active_clk; // @[dec.scala 180:48] + assign decode_io_clk_override = tlu_io_dec_tlu_dec_clk_override; // @[dec.scala 181:48] + assign decode_io_scan_mode = io_scan_mode; // @[dec.scala 182:48] + assign gpr_clock = clock; + assign gpr_reset = reset; + assign gpr_io_raddr0 = decode_io_dec_i0_rs1_d; // @[dec.scala 189:23] + assign gpr_io_raddr1 = decode_io_dec_i0_rs2_d; // @[dec.scala 190:23] + assign gpr_io_wen0 = decode_io_dec_i0_wen_r; // @[dec.scala 191:23] + assign gpr_io_waddr0 = decode_io_dec_i0_waddr_r; // @[dec.scala 192:23] + assign gpr_io_wd0 = decode_io_dec_i0_wdata_r; // @[dec.scala 193:23] + assign gpr_io_wen1 = decode_io_dec_nonblock_load_wen; // @[dec.scala 194:23] + assign gpr_io_waddr1 = decode_io_dec_nonblock_load_waddr; // @[dec.scala 195:23] + assign gpr_io_wd1 = io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data; // @[dec.scala 196:23] + assign gpr_io_wen2 = io_exu_div_wren; // @[dec.scala 197:23] + assign gpr_io_waddr2 = decode_io_div_waddr_wb; // @[dec.scala 198:23] + assign gpr_io_wd2 = io_exu_div_result; // @[dec.scala 199:23] + assign gpr_io_scan_mode = io_scan_mode; // @[dec.scala 200:23] + assign tlu_clock = clock; + assign tlu_reset = reset; + assign tlu_io_tlu_exu_exu_i0_br_hist_r = io_dec_exu_tlu_exu_exu_i0_br_hist_r; // @[dec.scala 205:18] + assign tlu_io_tlu_exu_exu_i0_br_error_r = io_dec_exu_tlu_exu_exu_i0_br_error_r; // @[dec.scala 205:18] + assign tlu_io_tlu_exu_exu_i0_br_start_error_r = io_dec_exu_tlu_exu_exu_i0_br_start_error_r; // @[dec.scala 205:18] + assign tlu_io_tlu_exu_exu_i0_br_valid_r = io_dec_exu_tlu_exu_exu_i0_br_valid_r; // @[dec.scala 205:18] + assign tlu_io_tlu_exu_exu_i0_br_mp_r = io_dec_exu_tlu_exu_exu_i0_br_mp_r; // @[dec.scala 205:18] + assign tlu_io_tlu_exu_exu_i0_br_middle_r = io_dec_exu_tlu_exu_exu_i0_br_middle_r; // @[dec.scala 205:18] + assign tlu_io_tlu_exu_exu_pmu_i0_br_misp = io_dec_exu_tlu_exu_exu_pmu_i0_br_misp; // @[dec.scala 205:18] + assign tlu_io_tlu_exu_exu_pmu_i0_br_ataken = io_dec_exu_tlu_exu_exu_pmu_i0_br_ataken; // @[dec.scala 205:18] + assign tlu_io_tlu_exu_exu_pmu_i0_pc4 = io_dec_exu_tlu_exu_exu_pmu_i0_pc4; // @[dec.scala 205:18] + assign tlu_io_tlu_exu_exu_npc_r = io_dec_exu_tlu_exu_exu_npc_r; // @[dec.scala 205:18] + assign tlu_io_tlu_dma_dma_pmu_dccm_read = io_dec_dma_tlu_dma_dma_pmu_dccm_read; // @[dec.scala 206:18] + assign tlu_io_tlu_dma_dma_pmu_dccm_write = io_dec_dma_tlu_dma_dma_pmu_dccm_write; // @[dec.scala 206:18] + assign tlu_io_tlu_dma_dma_pmu_any_read = io_dec_dma_tlu_dma_dma_pmu_any_read; // @[dec.scala 206:18] + assign tlu_io_tlu_dma_dma_pmu_any_write = io_dec_dma_tlu_dma_dma_pmu_any_write; // @[dec.scala 206:18] + assign tlu_io_tlu_dma_dma_dccm_stall_any = io_dec_dma_tlu_dma_dma_dccm_stall_any; // @[dec.scala 206:18] + assign tlu_io_tlu_dma_dma_iccm_stall_any = io_dec_dma_tlu_dma_dma_iccm_stall_any; // @[dec.scala 206:18] + assign tlu_io_active_clk = io_active_clk; // @[dec.scala 207:45] + assign tlu_io_free_clk = io_free_clk; // @[dec.scala 208:45] + assign tlu_io_scan_mode = io_scan_mode; // @[dec.scala 209:45] + assign tlu_io_rst_vec = io_rst_vec; // @[dec.scala 210:45] + assign tlu_io_nmi_int = io_nmi_int; // @[dec.scala 211:45] + assign tlu_io_nmi_vec = io_nmi_vec; // @[dec.scala 212:45] + assign tlu_io_i_cpu_halt_req = io_i_cpu_halt_req; // @[dec.scala 213:45] + assign tlu_io_i_cpu_run_req = io_i_cpu_run_req; // @[dec.scala 214:45] + assign tlu_io_lsu_fastint_stall_any = io_lsu_fastint_stall_any; // @[dec.scala 215:45] + assign tlu_io_lsu_idle_any = io_lsu_idle_any; // @[dec.scala 246:45] + assign tlu_io_dec_pmu_instr_decoded = decode_io_dec_pmu_instr_decoded; // @[dec.scala 217:45] + assign tlu_io_dec_pmu_decode_stall = decode_io_dec_pmu_decode_stall; // @[dec.scala 218:45] + assign tlu_io_dec_pmu_presync_stall = decode_io_dec_pmu_presync_stall; // @[dec.scala 219:45] + assign tlu_io_dec_pmu_postsync_stall = decode_io_dec_pmu_postsync_stall; // @[dec.scala 220:45] + assign tlu_io_lsu_store_stall_any = io_lsu_store_stall_any; // @[dec.scala 221:45] + assign tlu_io_lsu_fir_addr = io_lsu_fir_addr; // @[dec.scala 225:45] + assign tlu_io_lsu_fir_error = io_lsu_fir_error; // @[dec.scala 226:45] + assign tlu_io_iccm_dma_sb_error = io_iccm_dma_sb_error; // @[dec.scala 227:45] + assign tlu_io_lsu_error_pkt_r_valid = io_lsu_error_pkt_r_valid; // @[dec.scala 228:45] + assign tlu_io_lsu_error_pkt_r_bits_single_ecc_error = io_lsu_error_pkt_r_bits_single_ecc_error; // @[dec.scala 228:45] + assign tlu_io_lsu_error_pkt_r_bits_inst_type = io_lsu_error_pkt_r_bits_inst_type; // @[dec.scala 228:45] + assign tlu_io_lsu_error_pkt_r_bits_exc_type = io_lsu_error_pkt_r_bits_exc_type; // @[dec.scala 228:45] + assign tlu_io_lsu_error_pkt_r_bits_mscause = io_lsu_error_pkt_r_bits_mscause; // @[dec.scala 228:45] + assign tlu_io_lsu_error_pkt_r_bits_addr = io_lsu_error_pkt_r_bits_addr; // @[dec.scala 228:45] + assign tlu_io_lsu_single_ecc_error_incr = io_lsu_single_ecc_error_incr; // @[dec.scala 229:45] + assign tlu_io_dec_pause_state = decode_io_dec_pause_state; // @[dec.scala 230:45] + assign tlu_io_dec_csr_wen_unq_d = decode_io_dec_csr_wen_unq_d; // @[dec.scala 231:45] + assign tlu_io_dec_csr_any_unq_d = decode_io_dec_csr_any_unq_d; // @[dec.scala 232:45] + assign tlu_io_dec_csr_rdaddr_d = decode_io_dec_csr_rdaddr_d; // @[dec.scala 233:45] + assign tlu_io_dec_csr_wen_r = decode_io_dec_csr_wen_r; // @[dec.scala 234:45] + assign tlu_io_dec_csr_wraddr_r = decode_io_dec_csr_wraddr_r; // @[dec.scala 235:45] + assign tlu_io_dec_csr_wrdata_r = decode_io_dec_csr_wrdata_r; // @[dec.scala 236:45] + assign tlu_io_dec_csr_stall_int_ff = decode_io_dec_csr_stall_int_ff; // @[dec.scala 237:45] + assign tlu_io_dec_tlu_i0_valid_r = decode_io_dec_tlu_i0_valid_r; // @[dec.scala 238:45] + assign tlu_io_dec_tlu_i0_pc_r = decode_io_dec_tlu_i0_pc_r; // @[dec.scala 239:45] + assign tlu_io_dec_tlu_packet_r_legal = decode_io_dec_tlu_packet_r_legal; // @[dec.scala 240:45] + assign tlu_io_dec_tlu_packet_r_icaf = decode_io_dec_tlu_packet_r_icaf; // @[dec.scala 240:45] + assign tlu_io_dec_tlu_packet_r_icaf_f1 = decode_io_dec_tlu_packet_r_icaf_f1; // @[dec.scala 240:45] + assign tlu_io_dec_tlu_packet_r_icaf_type = decode_io_dec_tlu_packet_r_icaf_type; // @[dec.scala 240:45] + assign tlu_io_dec_tlu_packet_r_fence_i = decode_io_dec_tlu_packet_r_fence_i; // @[dec.scala 240:45] + assign tlu_io_dec_tlu_packet_r_i0trigger = decode_io_dec_tlu_packet_r_i0trigger; // @[dec.scala 240:45] + assign tlu_io_dec_tlu_packet_r_pmu_i0_itype = decode_io_dec_tlu_packet_r_pmu_i0_itype; // @[dec.scala 240:45] + assign tlu_io_dec_tlu_packet_r_pmu_i0_br_unpred = decode_io_dec_tlu_packet_r_pmu_i0_br_unpred; // @[dec.scala 240:45] + assign tlu_io_dec_tlu_packet_r_pmu_divide = decode_io_dec_tlu_packet_r_pmu_divide; // @[dec.scala 240:45] + assign tlu_io_dec_tlu_packet_r_pmu_lsu_misaligned = decode_io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[dec.scala 240:45] + assign tlu_io_dec_illegal_inst = decode_io_dec_illegal_inst; // @[dec.scala 241:45] + assign tlu_io_dec_i0_decode_d = decode_io_dec_aln_dec_i0_decode_d; // @[dec.scala 242:45] + assign tlu_io_exu_i0_br_way_r = io_exu_i0_br_way_r; // @[dec.scala 243:45] + assign tlu_io_dbg_halt_req = io_dbg_halt_req; // @[dec.scala 244:45] + assign tlu_io_dbg_resume_req = io_dbg_resume_req; // @[dec.scala 245:45] + assign tlu_io_dec_div_active = decode_io_dec_div_active; // @[dec.scala 247:45] + assign tlu_io_timer_int = io_timer_int; // @[dec.scala 252:45] + assign tlu_io_soft_int = io_soft_int; // @[dec.scala 253:45] + assign tlu_io_core_id = io_core_id; // @[dec.scala 254:45] + assign tlu_io_mpc_debug_halt_req = io_mpc_debug_halt_req; // @[dec.scala 255:45] + assign tlu_io_mpc_debug_run_req = io_mpc_debug_run_req; // @[dec.scala 256:45] + assign tlu_io_mpc_reset_run_req = io_mpc_reset_run_req; // @[dec.scala 257:45] + assign tlu_io_ifu_pmu_instr_aligned = io_ifu_dec_dec_aln_ifu_pmu_instr_aligned; // @[dec.scala 216:45] + assign tlu_io_tlu_ifc_ifu_pmu_fetch_stall = io_ifu_dec_dec_ifc_ifu_pmu_fetch_stall; // @[dec.scala 203:18] + assign tlu_io_tlu_mem_ifu_pmu_ic_miss = io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_miss; // @[dec.scala 202:18] + assign tlu_io_tlu_mem_ifu_pmu_ic_hit = io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_hit; // @[dec.scala 202:18] + assign tlu_io_tlu_mem_ifu_pmu_bus_error = io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_error; // @[dec.scala 202:18] + assign tlu_io_tlu_mem_ifu_pmu_bus_busy = io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_busy; // @[dec.scala 202:18] + assign tlu_io_tlu_mem_ifu_pmu_bus_trxn = io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_trxn; // @[dec.scala 202:18] + assign tlu_io_tlu_mem_ifu_ic_error_start = io_ifu_dec_dec_mem_ctrl_ifu_ic_error_start; // @[dec.scala 202:18] + assign tlu_io_tlu_mem_ifu_iccm_rd_ecc_single_err = io_ifu_dec_dec_mem_ctrl_ifu_iccm_rd_ecc_single_err; // @[dec.scala 202:18] + assign tlu_io_tlu_mem_ifu_ic_debug_rd_data = io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data; // @[dec.scala 202:18] + assign tlu_io_tlu_mem_ifu_ic_debug_rd_data_valid = io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data_valid; // @[dec.scala 202:18] + assign tlu_io_tlu_mem_ifu_miss_state_idle = io_ifu_dec_dec_mem_ctrl_ifu_miss_state_idle; // @[dec.scala 202:18] + assign tlu_io_tlu_busbuff_lsu_pmu_bus_trxn = io_lsu_dec_tlu_busbuff_lsu_pmu_bus_trxn; // @[dec.scala 222:26] + assign tlu_io_tlu_busbuff_lsu_pmu_bus_misaligned = io_lsu_dec_tlu_busbuff_lsu_pmu_bus_misaligned; // @[dec.scala 222:26] + assign tlu_io_tlu_busbuff_lsu_pmu_bus_error = io_lsu_dec_tlu_busbuff_lsu_pmu_bus_error; // @[dec.scala 222:26] + assign tlu_io_tlu_busbuff_lsu_pmu_bus_busy = io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy; // @[dec.scala 222:26] + assign tlu_io_tlu_busbuff_lsu_imprecise_error_load_any = io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any; // @[dec.scala 222:26] + assign tlu_io_tlu_busbuff_lsu_imprecise_error_store_any = io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any; // @[dec.scala 222:26] + assign tlu_io_tlu_busbuff_lsu_imprecise_error_addr_any = io_lsu_dec_tlu_busbuff_lsu_imprecise_error_addr_any; // @[dec.scala 222:26] + assign tlu_io_lsu_tlu_lsu_pmu_load_external_m = io_lsu_tlu_lsu_pmu_load_external_m; // @[dec.scala 223:14] + assign tlu_io_lsu_tlu_lsu_pmu_store_external_m = io_lsu_tlu_lsu_pmu_store_external_m; // @[dec.scala 223:14] + assign tlu_io_dec_pic_pic_claimid = io_dec_pic_pic_claimid; // @[dec.scala 224:14] + assign tlu_io_dec_pic_pic_pl = io_dec_pic_pic_pl; // @[dec.scala 224:14] + assign tlu_io_dec_pic_mhwakeup = io_dec_pic_mhwakeup; // @[dec.scala 224:14] + assign tlu_io_dec_pic_mexintpend = io_dec_pic_mexintpend; // @[dec.scala 224:14] + assign dec_trigger_io_trigger_pkt_any_0_select = tlu_io_trigger_pkt_any_0_select; // @[dec.scala 129:34] + assign dec_trigger_io_trigger_pkt_any_0_match_pkt = tlu_io_trigger_pkt_any_0_match_pkt; // @[dec.scala 129:34] + assign dec_trigger_io_trigger_pkt_any_0_execute = tlu_io_trigger_pkt_any_0_execute; // @[dec.scala 129:34] + assign dec_trigger_io_trigger_pkt_any_0_m = tlu_io_trigger_pkt_any_0_m; // @[dec.scala 129:34] + assign dec_trigger_io_trigger_pkt_any_0_tdata2 = tlu_io_trigger_pkt_any_0_tdata2; // @[dec.scala 129:34] + assign dec_trigger_io_trigger_pkt_any_1_select = tlu_io_trigger_pkt_any_1_select; // @[dec.scala 129:34] + assign dec_trigger_io_trigger_pkt_any_1_match_pkt = tlu_io_trigger_pkt_any_1_match_pkt; // @[dec.scala 129:34] + assign dec_trigger_io_trigger_pkt_any_1_execute = tlu_io_trigger_pkt_any_1_execute; // @[dec.scala 129:34] + assign dec_trigger_io_trigger_pkt_any_1_m = tlu_io_trigger_pkt_any_1_m; // @[dec.scala 129:34] + assign dec_trigger_io_trigger_pkt_any_1_tdata2 = tlu_io_trigger_pkt_any_1_tdata2; // @[dec.scala 129:34] + assign dec_trigger_io_trigger_pkt_any_2_select = tlu_io_trigger_pkt_any_2_select; // @[dec.scala 129:34] + assign dec_trigger_io_trigger_pkt_any_2_match_pkt = tlu_io_trigger_pkt_any_2_match_pkt; // @[dec.scala 129:34] + assign dec_trigger_io_trigger_pkt_any_2_execute = tlu_io_trigger_pkt_any_2_execute; // @[dec.scala 129:34] + assign dec_trigger_io_trigger_pkt_any_2_m = tlu_io_trigger_pkt_any_2_m; // @[dec.scala 129:34] + assign dec_trigger_io_trigger_pkt_any_2_tdata2 = tlu_io_trigger_pkt_any_2_tdata2; // @[dec.scala 129:34] + assign dec_trigger_io_trigger_pkt_any_3_select = tlu_io_trigger_pkt_any_3_select; // @[dec.scala 129:34] + assign dec_trigger_io_trigger_pkt_any_3_match_pkt = tlu_io_trigger_pkt_any_3_match_pkt; // @[dec.scala 129:34] + assign dec_trigger_io_trigger_pkt_any_3_execute = tlu_io_trigger_pkt_any_3_execute; // @[dec.scala 129:34] + assign dec_trigger_io_trigger_pkt_any_3_m = tlu_io_trigger_pkt_any_3_m; // @[dec.scala 129:34] + assign dec_trigger_io_trigger_pkt_any_3_tdata2 = tlu_io_trigger_pkt_any_3_tdata2; // @[dec.scala 129:34] + assign dec_trigger_io_dec_i0_pc_d = instbuff_io_ib_exu_dec_i0_pc_d; // @[dec.scala 128:30] +endmodule diff --git a/dma_ctrl.anno.json b/dma_ctrl.anno.json new file mode 100644 index 00000000..7666f49c --- /dev/null +++ b/dma_ctrl.anno.json @@ -0,0 +1,179 @@ +[ + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dma_ctrl|dma_ctrl>io_ifu_dma_dma_mem_ctl_dma_mem_tag", + "sources":[ + "~dma_ctrl|dma_ctrl>io_lsu_dma_dma_mem_tag" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dma_ctrl|dma_ctrl>io_ifu_dma_dma_mem_ctl_dma_mem_addr", + "sources":[ + "~dma_ctrl|dma_ctrl>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~dma_ctrl|dma_ctrl>io_lsu_dma_dma_lsc_ctl_dma_mem_write" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dma_ctrl|dma_ctrl>io_dec_dma_tlu_dma_dma_dccm_stall_any", + "sources":[ + "~dma_ctrl|dma_ctrl>io_dec_dma_tlu_dma_dec_tlu_dma_qos_prty", + "~dma_ctrl|dma_ctrl>io_lsu_dma_dma_lsc_ctl_dma_mem_write" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dma_ctrl|dma_ctrl>io_dec_dma_tlu_dma_dma_pmu_any_write", + "sources":[ + "~dma_ctrl|dma_ctrl>io_lsu_dma_dma_lsc_ctl_dma_mem_write", + "~dma_ctrl|dma_ctrl>io_lsu_dma_dma_lsc_ctl_dma_dccm_req", + "~dma_ctrl|dma_ctrl>io_ifu_dma_dma_mem_ctl_dma_iccm_req", + "~dma_ctrl|dma_ctrl>io_lsu_dma_dccm_ready", + "~dma_ctrl|dma_ctrl>io_iccm_ready" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dma_ctrl|dma_ctrl>io_lsu_dma_dma_dccm_ctl_dma_mem_addr", + "sources":[ + "~dma_ctrl|dma_ctrl>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~dma_ctrl|dma_ctrl>io_lsu_dma_dma_lsc_ctl_dma_mem_write" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dma_ctrl|dma_ctrl>io_dec_dma_tlu_dma_dma_iccm_stall_any", + "sources":[ + "~dma_ctrl|dma_ctrl>io_ifu_dma_dma_ifc_dma_iccm_stall_any", + "~dma_ctrl|dma_ctrl>io_dec_dma_tlu_dma_dec_tlu_dma_qos_prty", + "~dma_ctrl|dma_ctrl>io_lsu_dma_dma_lsc_ctl_dma_mem_write" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dma_ctrl|dma_ctrl>io_ifu_dma_dma_mem_ctl_dma_iccm_req", + "sources":[ + "~dma_ctrl|dma_ctrl>io_iccm_ready", + "~dma_ctrl|dma_ctrl>io_lsu_dma_dma_lsc_ctl_dma_mem_write" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dma_ctrl|dma_ctrl>io_dec_dma_tlu_dma_dma_pmu_any_read", + "sources":[ + "~dma_ctrl|dma_ctrl>io_lsu_dma_dma_lsc_ctl_dma_dccm_req", + "~dma_ctrl|dma_ctrl>io_ifu_dma_dma_mem_ctl_dma_iccm_req", + "~dma_ctrl|dma_ctrl>io_lsu_dma_dma_lsc_ctl_dma_mem_write", + "~dma_ctrl|dma_ctrl>io_lsu_dma_dccm_ready", + "~dma_ctrl|dma_ctrl>io_iccm_ready" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dma_ctrl|dma_ctrl>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "sources":[ + "~dma_ctrl|dma_ctrl>io_lsu_dma_dma_lsc_ctl_dma_mem_write" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dma_ctrl|dma_ctrl>io_dec_dma_dctl_dma_dma_dccm_stall_any", + "sources":[ + "~dma_ctrl|dma_ctrl>io_dec_dma_tlu_dma_dma_dccm_stall_any", + "~dma_ctrl|dma_ctrl>io_dec_dma_tlu_dma_dec_tlu_dma_qos_prty", + "~dma_ctrl|dma_ctrl>io_lsu_dma_dma_lsc_ctl_dma_mem_write" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dma_ctrl|dma_ctrl>io_lsu_dma_dma_dccm_ctl_dma_mem_wdata", + "sources":[ + "~dma_ctrl|dma_ctrl>io_lsu_dma_dma_lsc_ctl_dma_mem_wdata" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dma_ctrl|dma_ctrl>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "sources":[ + "~dma_ctrl|dma_ctrl>io_lsu_dma_dma_lsc_ctl_dma_mem_write" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dma_ctrl|dma_ctrl>io_dec_dma_tlu_dma_dma_pmu_dccm_read", + "sources":[ + "~dma_ctrl|dma_ctrl>io_lsu_dma_dma_lsc_ctl_dma_dccm_req", + "~dma_ctrl|dma_ctrl>io_lsu_dma_dma_lsc_ctl_dma_mem_write", + "~dma_ctrl|dma_ctrl>io_lsu_dma_dccm_ready" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dma_ctrl|dma_ctrl>io_ifu_dma_dma_mem_ctl_dma_mem_wdata", + "sources":[ + "~dma_ctrl|dma_ctrl>io_lsu_dma_dma_lsc_ctl_dma_mem_wdata" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dma_ctrl|dma_ctrl>io_ifu_dma_dma_mem_ctl_dma_mem_sz", + "sources":[ + "~dma_ctrl|dma_ctrl>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "~dma_ctrl|dma_ctrl>io_lsu_dma_dma_lsc_ctl_dma_mem_write" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dma_ctrl|dma_ctrl>io_ifu_dma_dma_ifc_dma_iccm_stall_any", + "sources":[ + "~dma_ctrl|dma_ctrl>io_dec_dma_tlu_dma_dec_tlu_dma_qos_prty", + "~dma_ctrl|dma_ctrl>io_lsu_dma_dma_lsc_ctl_dma_mem_write" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dma_ctrl|dma_ctrl>io_dec_dma_tlu_dma_dma_pmu_dccm_write", + "sources":[ + "~dma_ctrl|dma_ctrl>io_lsu_dma_dma_lsc_ctl_dma_dccm_req", + "~dma_ctrl|dma_ctrl>io_lsu_dma_dma_lsc_ctl_dma_mem_write", + "~dma_ctrl|dma_ctrl>io_lsu_dma_dccm_ready" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dma_ctrl|dma_ctrl>io_ifu_dma_dma_mem_ctl_dma_mem_write", + "sources":[ + "~dma_ctrl|dma_ctrl>io_lsu_dma_dma_lsc_ctl_dma_mem_write" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dma_ctrl|dma_ctrl>io_lsu_dma_dma_lsc_ctl_dma_dccm_req", + "sources":[ + "~dma_ctrl|dma_ctrl>io_lsu_dma_dccm_ready", + "~dma_ctrl|dma_ctrl>io_lsu_dma_dma_lsc_ctl_dma_mem_write" + ] + }, + { + "class":"firrtl.EmitCircuitAnnotation", + "emitter":"firrtl.VerilogEmitter" + }, + { + "class":"firrtl.transforms.BlackBoxResourceAnno", + "target":"dma_ctrl.gated_latch", + "resourceId":"/vsrc/gated_latch.v" + }, + { + "class":"firrtl.options.TargetDirAnnotation", + "directory":"." + }, + { + "class":"firrtl.options.OutputAnnotationFileAnnotation", + "file":"dma_ctrl" + }, + { + "class":"firrtl.transforms.BlackBoxTargetDirAnno", + "targetDir":"." + } +] \ No newline at end of file diff --git a/dma_ctrl.fir b/dma_ctrl.fir new file mode 100644 index 00000000..e61a1bd0 --- /dev/null +++ b/dma_ctrl.fir @@ -0,0 +1,2296 @@ +;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 +circuit dma_ctrl : + extmodule gated_latch : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_1 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_1 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_1 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_2 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_2 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_2 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_3 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_3 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_3 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_4 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_4 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_4 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_5 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_5 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_5 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_6 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_6 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_6 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_7 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_7 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_7 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_8 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_8 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_8 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_9 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_9 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_9 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_10 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_10 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_10 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_11 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_11 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_11 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_12 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_12 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_12 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_13 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_13 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_13 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_14 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_14 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_14 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_15 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_15 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_15 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module dma_ctrl : + input clock : Clock + input reset : AsyncReset + output io : {flip free_clk : Clock, flip dma_bus_clk_en : UInt<1>, flip clk_override : UInt<1>, flip scan_mode : UInt<1>, flip dbg_cmd_size : UInt<2>, dma_dbg_rddata : UInt<32>, dma_dbg_cmd_done : UInt<1>, dma_dbg_cmd_fail : UInt<1>, dbg_dma : {dbg_ib : {flip dbg_cmd_valid : UInt<1>, flip dbg_cmd_write : UInt<1>, flip dbg_cmd_type : UInt<2>, flip dbg_cmd_addr : UInt<32>}, dbg_dctl : {flip dbg_cmd_wrdata : UInt<32>}}, dbg_dma_io : {flip dbg_dma_bubble : UInt<1>, dma_dbg_ready : UInt<1>}, flip dec_dma : {dctl_dma : {flip dma_dccm_stall_any : UInt<1>}, tlu_dma : {flip dma_pmu_dccm_read : UInt<1>, flip dma_pmu_dccm_write : UInt<1>, flip dma_pmu_any_read : UInt<1>, flip dma_pmu_any_write : UInt<1>, dec_tlu_dma_qos_prty : UInt<3>, flip dma_dccm_stall_any : UInt<1>, flip dma_iccm_stall_any : UInt<1>}}, flip iccm_dma_rvalid : UInt<1>, flip iccm_dma_ecc_error : UInt<1>, flip iccm_dma_rtag : UInt<3>, flip iccm_dma_rdata : UInt<64>, flip iccm_ready : UInt<1>, flip dma_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<1>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip lsu_dma : {dma_lsc_ctl : {flip dma_dccm_req : UInt<1>, flip dma_mem_addr : UInt<32>, flip dma_mem_sz : UInt<3>, flip dma_mem_write : UInt<1>, flip dma_mem_wdata : UInt<64>}, dma_dccm_ctl : {flip dma_mem_addr : UInt<32>, flip dma_mem_wdata : UInt<64>, dccm_dma_rvalid : UInt<1>, dccm_dma_ecc_error : UInt<1>, dccm_dma_rtag : UInt<3>, dccm_dma_rdata : UInt<64>}, dccm_ready : UInt<1>, flip dma_mem_tag : UInt<3>}, flip ifu_dma : {dma_ifc : {flip dma_iccm_stall_any : UInt<1>}, dma_mem_ctl : {flip dma_iccm_req : UInt<1>, flip dma_mem_addr : UInt<32>, flip dma_mem_sz : UInt<3>, flip dma_mem_write : UInt<1>, flip dma_mem_wdata : UInt<64>, flip dma_mem_tag : UInt<3>}}} + + wire fifo_error : UInt<2>[5] @[dma_ctrl.scala 36:24] + wire fifo_error_bus : UInt<5> + fifo_error_bus <= UInt<1>("h00") + wire fifo_done : UInt<5> + fifo_done <= UInt<1>("h00") + wire fifo_addr : UInt<32>[5] @[dma_ctrl.scala 42:23] + wire fifo_sz : UInt<3>[5] @[dma_ctrl.scala 44:21] + wire fifo_byteen : UInt<8>[5] @[dma_ctrl.scala 46:25] + wire fifo_data : UInt<64>[5] @[dma_ctrl.scala 48:23] + wire fifo_tag : UInt<1>[5] @[dma_ctrl.scala 50:22] + wire fifo_mid : UInt<1>[5] @[dma_ctrl.scala 52:22] + wire fifo_prty : UInt<2>[5] @[dma_ctrl.scala 54:23] + wire fifo_error_en : UInt<5> + fifo_error_en <= UInt<1>("h00") + wire fifo_error_in : UInt<2>[5] @[dma_ctrl.scala 58:27] + wire fifo_data_in : UInt<64>[5] @[dma_ctrl.scala 60:26] + wire RspPtr : UInt<3> + RspPtr <= UInt<1>("h00") + wire WrPtr : UInt<3> + WrPtr <= UInt<1>("h00") + wire RdPtr : UInt<3> + RdPtr <= UInt<1>("h00") + wire NxtRspPtr : UInt<3> + NxtRspPtr <= UInt<1>("h00") + wire NxtWrPtr : UInt<3> + NxtWrPtr <= UInt<1>("h00") + wire NxtRdPtr : UInt<3> + NxtRdPtr <= UInt<1>("h00") + wire dma_dbg_cmd_error : UInt<1> + dma_dbg_cmd_error <= UInt<1>("h00") + wire dma_dbg_cmd_done_q : UInt<1> + dma_dbg_cmd_done_q <= UInt<1>("h00") + wire fifo_empty : UInt<1> + fifo_empty <= UInt<1>("h00") + wire dma_address_error : UInt<1> + dma_address_error <= UInt<1>("h00") + wire dma_alignment_error : UInt<1> + dma_alignment_error <= UInt<1>("h00") + wire num_fifo_vld : UInt<4> + num_fifo_vld <= UInt<1>("h00") + wire dma_mem_req : UInt<1> + dma_mem_req <= UInt<1>("h00") + wire dma_mem_addr_int : UInt<32> + dma_mem_addr_int <= UInt<1>("h00") + wire dma_mem_sz_int : UInt<3> + dma_mem_sz_int <= UInt<1>("h00") + wire dma_mem_byteen : UInt<8> + dma_mem_byteen <= UInt<1>("h00") + wire dma_nack_count : UInt<3> + dma_nack_count <= UInt<1>("h00") + wire dma_nack_count_csr : UInt<3> + dma_nack_count_csr <= UInt<1>("h00") + wire bus_rsp_valid : UInt<1> + bus_rsp_valid <= UInt<1>("h00") + wire bus_rsp_sent : UInt<1> + bus_rsp_sent <= UInt<1>("h00") + wire bus_cmd_valid : UInt<1> + bus_cmd_valid <= UInt<1>("h00") + wire axi_mstr_prty_en : UInt<1> + axi_mstr_prty_en <= UInt<1>("h00") + wire bus_cmd_write : UInt<1> + bus_cmd_write <= UInt<1>("h00") + wire bus_cmd_posted_write : UInt<1> + bus_cmd_posted_write <= UInt<1>("h00") + wire bus_cmd_byteen : UInt<8> + bus_cmd_byteen <= UInt<1>("h00") + wire bus_cmd_sz : UInt<3> + bus_cmd_sz <= UInt<1>("h00") + wire bus_cmd_addr : UInt<32> + bus_cmd_addr <= UInt<1>("h00") + wire bus_cmd_wdata : UInt<64> + bus_cmd_wdata <= UInt<1>("h00") + wire bus_cmd_tag : UInt<1> + bus_cmd_tag <= UInt<1>("h00") + wire bus_cmd_mid : UInt<1> + bus_cmd_mid <= UInt<1>("h00") + wire bus_cmd_prty : UInt<2> + bus_cmd_prty <= UInt<1>("h00") + wire bus_posted_write_done : UInt<1> + bus_posted_write_done <= UInt<1>("h00") + wire fifo_full : UInt<1> + fifo_full <= UInt<1>("h00") + wire dbg_dma_bubble_bus : UInt<1> + dbg_dma_bubble_bus <= UInt<1>("h00") + wire axi_mstr_priority : UInt<1> + axi_mstr_priority <= UInt<1>("h00") + wire axi_mstr_sel : UInt<1> + axi_mstr_sel <= UInt<1>("h00") + wire axi_rsp_sent : UInt<1> + axi_rsp_sent <= UInt<1>("h00") + wire fifo_cmd_en : UInt<5> + fifo_cmd_en <= UInt<1>("h00") + wire fifo_data_en : UInt<5> + fifo_data_en <= UInt<1>("h00") + wire fifo_pend_en : UInt<5> + fifo_pend_en <= UInt<1>("h00") + wire fifo_error_bus_en : UInt<5> + fifo_error_bus_en <= UInt<1>("h00") + wire fifo_done_en : UInt<5> + fifo_done_en <= UInt<1>("h00") + wire fifo_done_bus_en : UInt<5> + fifo_done_bus_en <= UInt<1>("h00") + wire fifo_reset : UInt<5> + fifo_reset <= UInt<1>("h00") + wire fifo_valid : UInt<5> + fifo_valid <= UInt<1>("h00") + wire fifo_rpend : UInt<5> + fifo_rpend <= UInt<1>("h00") + wire fifo_done_bus : UInt<5> + fifo_done_bus <= UInt<1>("h00") + wire fifo_write : UInt<5> + fifo_write <= UInt<1>("h00") + wire fifo_posted_write : UInt<5> + fifo_posted_write <= UInt<1>("h00") + wire fifo_dbg : UInt<5> + fifo_dbg <= UInt<1>("h00") + wire wrbuf_vld : UInt<1> + wrbuf_vld <= UInt<1>("h00") + wire wrbuf_data_vld : UInt<1> + wrbuf_data_vld <= UInt<1>("h00") + wire rdbuf_vld : UInt<1> + rdbuf_vld <= UInt<1>("h00") + wire dma_free_clk : Clock @[dma_ctrl.scala 168:26] + wire dma_bus_clk : Clock @[dma_ctrl.scala 170:25] + wire dma_buffer_c1_clk : Clock @[dma_ctrl.scala 172:31] + wire fifo_byteen_in : UInt<8> + fifo_byteen_in <= UInt<1>("h00") + node _T = bits(dma_mem_addr_int, 31, 0) @[dma_ctrl.scala 181:95] + node _T_1 = bits(_T, 31, 28) @[lib.scala 356:27] + node dma_mem_addr_in_dccm_region_nc = eq(_T_1, UInt<4>("h0f")) @[lib.scala 356:49] + wire dma_mem_addr_in_dccm : UInt<1> @[lib.scala 357:26] + node _T_2 = bits(_T, 31, 16) @[lib.scala 361:24] + node _T_3 = eq(_T_2, UInt<16>("h0f004")) @[lib.scala 361:39] + dma_mem_addr_in_dccm <= _T_3 @[lib.scala 361:16] + node _T_4 = bits(dma_mem_addr_int, 31, 0) @[dma_ctrl.scala 185:93] + node _T_5 = bits(_T_4, 31, 28) @[lib.scala 356:27] + node dma_mem_addr_in_pic_region_nc = eq(_T_5, UInt<4>("h0f")) @[lib.scala 356:49] + wire dma_mem_addr_in_pic : UInt<1> @[lib.scala 357:26] + node _T_6 = bits(_T_4, 31, 15) @[lib.scala 361:24] + node _T_7 = eq(_T_6, UInt<17>("h01e018")) @[lib.scala 361:39] + dma_mem_addr_in_pic <= _T_7 @[lib.scala 361:16] + node _T_8 = bits(dma_mem_addr_int, 31, 0) @[dma_ctrl.scala 189:111] + node _T_9 = bits(_T_8, 31, 28) @[lib.scala 356:27] + node dma_mem_addr_in_iccm_region_nc = eq(_T_9, UInt<4>("h0e")) @[lib.scala 356:49] + wire dma_mem_addr_in_iccm : UInt<1> @[lib.scala 357:26] + node _T_10 = bits(_T_8, 31, 16) @[lib.scala 361:24] + node _T_11 = eq(_T_10, UInt<16>("h0ee00")) @[lib.scala 361:39] + dma_mem_addr_in_iccm <= _T_11 @[lib.scala 361:16] + node _T_12 = bits(io.dbg_dma.dbg_ib.dbg_cmd_valid, 0, 0) @[dma_ctrl.scala 193:66] + node _T_13 = bits(io.dbg_dma.dbg_ib.dbg_cmd_addr, 31, 0) @[dma_ctrl.scala 193:104] + node _T_14 = bits(bus_cmd_addr, 31, 0) @[dma_ctrl.scala 193:124] + node fifo_addr_in = mux(_T_12, _T_13, _T_14) @[dma_ctrl.scala 193:33] + node _T_15 = bits(io.dbg_dma.dbg_ib.dbg_cmd_valid, 0, 0) @[dma_ctrl.scala 195:67] + node _T_16 = bits(io.dbg_dma.dbg_ib.dbg_cmd_addr, 2, 2) @[dma_ctrl.scala 195:123] + node _T_17 = mul(UInt<3>("h04"), _T_16) @[dma_ctrl.scala 195:91] + node _T_18 = dshl(UInt<4>("h0f"), _T_17) @[dma_ctrl.scala 195:83] + node _T_19 = bits(bus_cmd_byteen, 7, 0) @[dma_ctrl.scala 195:143] + node _T_20 = mux(_T_15, _T_18, _T_19) @[dma_ctrl.scala 195:34] + fifo_byteen_in <= _T_20 @[dma_ctrl.scala 195:28] + node _T_21 = bits(io.dbg_dma.dbg_ib.dbg_cmd_valid, 0, 0) @[dma_ctrl.scala 197:66] + node _T_22 = bits(io.dbg_cmd_size, 1, 0) @[dma_ctrl.scala 197:98] + node _T_23 = cat(UInt<1>("h00"), _T_22) @[Cat.scala 29:58] + node _T_24 = bits(bus_cmd_sz, 2, 0) @[dma_ctrl.scala 197:116] + node fifo_sz_in = mux(_T_21, _T_23, _T_24) @[dma_ctrl.scala 197:33] + node _T_25 = bits(io.dbg_dma.dbg_ib.dbg_cmd_valid, 0, 0) @[dma_ctrl.scala 199:66] + node fifo_write_in = mux(_T_25, io.dbg_dma.dbg_ib.dbg_cmd_write, bus_cmd_write) @[dma_ctrl.scala 199:33] + node _T_26 = eq(io.dbg_dma.dbg_ib.dbg_cmd_valid, UInt<1>("h00")) @[dma_ctrl.scala 201:30] + node fifo_posted_write_in = and(_T_26, bus_cmd_posted_write) @[dma_ctrl.scala 201:63] + node _T_27 = bits(axi_mstr_prty_en, 0, 0) @[dma_ctrl.scala 206:73] + node _T_28 = and(_T_27, io.dma_bus_clk_en) @[dma_ctrl.scala 206:80] + node _T_29 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 206:168] + node _T_30 = bits(_T_29, 0, 0) @[dma_ctrl.scala 206:172] + node _T_31 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_30) @[dma_ctrl.scala 206:136] + node _T_32 = or(_T_28, _T_31) @[dma_ctrl.scala 206:101] + node _T_33 = eq(UInt<1>("h00"), WrPtr) @[dma_ctrl.scala 206:188] + node _T_34 = and(_T_32, _T_33) @[dma_ctrl.scala 206:181] + node _T_35 = bits(axi_mstr_prty_en, 0, 0) @[dma_ctrl.scala 206:73] + node _T_36 = and(_T_35, io.dma_bus_clk_en) @[dma_ctrl.scala 206:80] + node _T_37 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 206:168] + node _T_38 = bits(_T_37, 0, 0) @[dma_ctrl.scala 206:172] + node _T_39 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_38) @[dma_ctrl.scala 206:136] + node _T_40 = or(_T_36, _T_39) @[dma_ctrl.scala 206:101] + node _T_41 = eq(UInt<1>("h01"), WrPtr) @[dma_ctrl.scala 206:188] + node _T_42 = and(_T_40, _T_41) @[dma_ctrl.scala 206:181] + node _T_43 = bits(axi_mstr_prty_en, 0, 0) @[dma_ctrl.scala 206:73] + node _T_44 = and(_T_43, io.dma_bus_clk_en) @[dma_ctrl.scala 206:80] + node _T_45 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 206:168] + node _T_46 = bits(_T_45, 0, 0) @[dma_ctrl.scala 206:172] + node _T_47 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_46) @[dma_ctrl.scala 206:136] + node _T_48 = or(_T_44, _T_47) @[dma_ctrl.scala 206:101] + node _T_49 = eq(UInt<2>("h02"), WrPtr) @[dma_ctrl.scala 206:188] + node _T_50 = and(_T_48, _T_49) @[dma_ctrl.scala 206:181] + node _T_51 = bits(axi_mstr_prty_en, 0, 0) @[dma_ctrl.scala 206:73] + node _T_52 = and(_T_51, io.dma_bus_clk_en) @[dma_ctrl.scala 206:80] + node _T_53 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 206:168] + node _T_54 = bits(_T_53, 0, 0) @[dma_ctrl.scala 206:172] + node _T_55 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_54) @[dma_ctrl.scala 206:136] + node _T_56 = or(_T_52, _T_55) @[dma_ctrl.scala 206:101] + node _T_57 = eq(UInt<2>("h03"), WrPtr) @[dma_ctrl.scala 206:188] + node _T_58 = and(_T_56, _T_57) @[dma_ctrl.scala 206:181] + node _T_59 = bits(axi_mstr_prty_en, 0, 0) @[dma_ctrl.scala 206:73] + node _T_60 = and(_T_59, io.dma_bus_clk_en) @[dma_ctrl.scala 206:80] + node _T_61 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 206:168] + node _T_62 = bits(_T_61, 0, 0) @[dma_ctrl.scala 206:172] + node _T_63 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_62) @[dma_ctrl.scala 206:136] + node _T_64 = or(_T_60, _T_63) @[dma_ctrl.scala 206:101] + node _T_65 = eq(UInt<3>("h04"), WrPtr) @[dma_ctrl.scala 206:188] + node _T_66 = and(_T_64, _T_65) @[dma_ctrl.scala 206:181] + node _T_67 = cat(_T_66, _T_58) @[Cat.scala 29:58] + node _T_68 = cat(_T_67, _T_50) @[Cat.scala 29:58] + node _T_69 = cat(_T_68, _T_42) @[Cat.scala 29:58] + node _T_70 = cat(_T_69, _T_34) @[Cat.scala 29:58] + fifo_cmd_en <= _T_70 @[dma_ctrl.scala 206:21] + node _T_71 = and(axi_mstr_prty_en, fifo_write_in) @[dma_ctrl.scala 208:73] + node _T_72 = and(_T_71, io.dma_bus_clk_en) @[dma_ctrl.scala 208:89] + node _T_73 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 208:177] + node _T_74 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_73) @[dma_ctrl.scala 208:145] + node _T_75 = and(_T_74, io.dbg_dma.dbg_ib.dbg_cmd_write) @[dma_ctrl.scala 208:181] + node _T_76 = or(_T_72, _T_75) @[dma_ctrl.scala 208:110] + node _T_77 = eq(UInt<1>("h00"), WrPtr) @[dma_ctrl.scala 208:224] + node _T_78 = and(_T_76, _T_77) @[dma_ctrl.scala 208:217] + node _T_79 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 208:258] + node _T_80 = eq(UInt<1>("h00"), RdPtr) @[dma_ctrl.scala 208:288] + node _T_81 = and(_T_79, _T_80) @[dma_ctrl.scala 208:281] + node _T_82 = or(_T_78, _T_81) @[dma_ctrl.scala 208:236] + node _T_83 = eq(UInt<1>("h00"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 208:350] + node _T_84 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_83) @[dma_ctrl.scala 208:343] + node _T_85 = or(_T_82, _T_84) @[dma_ctrl.scala 208:300] + node _T_86 = eq(UInt<1>("h00"), io.iccm_dma_rtag) @[dma_ctrl.scala 208:423] + node _T_87 = and(io.iccm_dma_rvalid, _T_86) @[dma_ctrl.scala 208:416] + node _T_88 = or(_T_85, _T_87) @[dma_ctrl.scala 208:394] + node _T_89 = and(axi_mstr_prty_en, fifo_write_in) @[dma_ctrl.scala 208:73] + node _T_90 = and(_T_89, io.dma_bus_clk_en) @[dma_ctrl.scala 208:89] + node _T_91 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 208:177] + node _T_92 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_91) @[dma_ctrl.scala 208:145] + node _T_93 = and(_T_92, io.dbg_dma.dbg_ib.dbg_cmd_write) @[dma_ctrl.scala 208:181] + node _T_94 = or(_T_90, _T_93) @[dma_ctrl.scala 208:110] + node _T_95 = eq(UInt<1>("h01"), WrPtr) @[dma_ctrl.scala 208:224] + node _T_96 = and(_T_94, _T_95) @[dma_ctrl.scala 208:217] + node _T_97 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 208:258] + node _T_98 = eq(UInt<1>("h01"), RdPtr) @[dma_ctrl.scala 208:288] + node _T_99 = and(_T_97, _T_98) @[dma_ctrl.scala 208:281] + node _T_100 = or(_T_96, _T_99) @[dma_ctrl.scala 208:236] + node _T_101 = eq(UInt<1>("h01"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 208:350] + node _T_102 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_101) @[dma_ctrl.scala 208:343] + node _T_103 = or(_T_100, _T_102) @[dma_ctrl.scala 208:300] + node _T_104 = eq(UInt<1>("h01"), io.iccm_dma_rtag) @[dma_ctrl.scala 208:423] + node _T_105 = and(io.iccm_dma_rvalid, _T_104) @[dma_ctrl.scala 208:416] + node _T_106 = or(_T_103, _T_105) @[dma_ctrl.scala 208:394] + node _T_107 = and(axi_mstr_prty_en, fifo_write_in) @[dma_ctrl.scala 208:73] + node _T_108 = and(_T_107, io.dma_bus_clk_en) @[dma_ctrl.scala 208:89] + node _T_109 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 208:177] + node _T_110 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_109) @[dma_ctrl.scala 208:145] + node _T_111 = and(_T_110, io.dbg_dma.dbg_ib.dbg_cmd_write) @[dma_ctrl.scala 208:181] + node _T_112 = or(_T_108, _T_111) @[dma_ctrl.scala 208:110] + node _T_113 = eq(UInt<2>("h02"), WrPtr) @[dma_ctrl.scala 208:224] + node _T_114 = and(_T_112, _T_113) @[dma_ctrl.scala 208:217] + node _T_115 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 208:258] + node _T_116 = eq(UInt<2>("h02"), RdPtr) @[dma_ctrl.scala 208:288] + node _T_117 = and(_T_115, _T_116) @[dma_ctrl.scala 208:281] + node _T_118 = or(_T_114, _T_117) @[dma_ctrl.scala 208:236] + node _T_119 = eq(UInt<2>("h02"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 208:350] + node _T_120 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_119) @[dma_ctrl.scala 208:343] + node _T_121 = or(_T_118, _T_120) @[dma_ctrl.scala 208:300] + node _T_122 = eq(UInt<2>("h02"), io.iccm_dma_rtag) @[dma_ctrl.scala 208:423] + node _T_123 = and(io.iccm_dma_rvalid, _T_122) @[dma_ctrl.scala 208:416] + node _T_124 = or(_T_121, _T_123) @[dma_ctrl.scala 208:394] + node _T_125 = and(axi_mstr_prty_en, fifo_write_in) @[dma_ctrl.scala 208:73] + node _T_126 = and(_T_125, io.dma_bus_clk_en) @[dma_ctrl.scala 208:89] + node _T_127 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 208:177] + node _T_128 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_127) @[dma_ctrl.scala 208:145] + node _T_129 = and(_T_128, io.dbg_dma.dbg_ib.dbg_cmd_write) @[dma_ctrl.scala 208:181] + node _T_130 = or(_T_126, _T_129) @[dma_ctrl.scala 208:110] + node _T_131 = eq(UInt<2>("h03"), WrPtr) @[dma_ctrl.scala 208:224] + node _T_132 = and(_T_130, _T_131) @[dma_ctrl.scala 208:217] + node _T_133 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 208:258] + node _T_134 = eq(UInt<2>("h03"), RdPtr) @[dma_ctrl.scala 208:288] + node _T_135 = and(_T_133, _T_134) @[dma_ctrl.scala 208:281] + node _T_136 = or(_T_132, _T_135) @[dma_ctrl.scala 208:236] + node _T_137 = eq(UInt<2>("h03"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 208:350] + node _T_138 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_137) @[dma_ctrl.scala 208:343] + node _T_139 = or(_T_136, _T_138) @[dma_ctrl.scala 208:300] + node _T_140 = eq(UInt<2>("h03"), io.iccm_dma_rtag) @[dma_ctrl.scala 208:423] + node _T_141 = and(io.iccm_dma_rvalid, _T_140) @[dma_ctrl.scala 208:416] + node _T_142 = or(_T_139, _T_141) @[dma_ctrl.scala 208:394] + node _T_143 = and(axi_mstr_prty_en, fifo_write_in) @[dma_ctrl.scala 208:73] + node _T_144 = and(_T_143, io.dma_bus_clk_en) @[dma_ctrl.scala 208:89] + node _T_145 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 208:177] + node _T_146 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_145) @[dma_ctrl.scala 208:145] + node _T_147 = and(_T_146, io.dbg_dma.dbg_ib.dbg_cmd_write) @[dma_ctrl.scala 208:181] + node _T_148 = or(_T_144, _T_147) @[dma_ctrl.scala 208:110] + node _T_149 = eq(UInt<3>("h04"), WrPtr) @[dma_ctrl.scala 208:224] + node _T_150 = and(_T_148, _T_149) @[dma_ctrl.scala 208:217] + node _T_151 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 208:258] + node _T_152 = eq(UInt<3>("h04"), RdPtr) @[dma_ctrl.scala 208:288] + node _T_153 = and(_T_151, _T_152) @[dma_ctrl.scala 208:281] + node _T_154 = or(_T_150, _T_153) @[dma_ctrl.scala 208:236] + node _T_155 = eq(UInt<3>("h04"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 208:350] + node _T_156 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_155) @[dma_ctrl.scala 208:343] + node _T_157 = or(_T_154, _T_156) @[dma_ctrl.scala 208:300] + node _T_158 = eq(UInt<3>("h04"), io.iccm_dma_rtag) @[dma_ctrl.scala 208:423] + node _T_159 = and(io.iccm_dma_rvalid, _T_158) @[dma_ctrl.scala 208:416] + node _T_160 = or(_T_157, _T_159) @[dma_ctrl.scala 208:394] + node _T_161 = cat(_T_160, _T_142) @[Cat.scala 29:58] + node _T_162 = cat(_T_161, _T_124) @[Cat.scala 29:58] + node _T_163 = cat(_T_162, _T_106) @[Cat.scala 29:58] + node _T_164 = cat(_T_163, _T_88) @[Cat.scala 29:58] + fifo_data_en <= _T_164 @[dma_ctrl.scala 208:21] + node _T_165 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 210:95] + node _T_166 = eq(io.lsu_dma.dma_lsc_ctl.dma_mem_write, UInt<1>("h00")) @[dma_ctrl.scala 210:136] + node _T_167 = and(_T_165, _T_166) @[dma_ctrl.scala 210:134] + node _T_168 = eq(UInt<1>("h00"), RdPtr) @[dma_ctrl.scala 210:181] + node _T_169 = and(_T_167, _T_168) @[dma_ctrl.scala 210:174] + node _T_170 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 210:95] + node _T_171 = eq(io.lsu_dma.dma_lsc_ctl.dma_mem_write, UInt<1>("h00")) @[dma_ctrl.scala 210:136] + node _T_172 = and(_T_170, _T_171) @[dma_ctrl.scala 210:134] + node _T_173 = eq(UInt<1>("h01"), RdPtr) @[dma_ctrl.scala 210:181] + node _T_174 = and(_T_172, _T_173) @[dma_ctrl.scala 210:174] + node _T_175 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 210:95] + node _T_176 = eq(io.lsu_dma.dma_lsc_ctl.dma_mem_write, UInt<1>("h00")) @[dma_ctrl.scala 210:136] + node _T_177 = and(_T_175, _T_176) @[dma_ctrl.scala 210:134] + node _T_178 = eq(UInt<2>("h02"), RdPtr) @[dma_ctrl.scala 210:181] + node _T_179 = and(_T_177, _T_178) @[dma_ctrl.scala 210:174] + node _T_180 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 210:95] + node _T_181 = eq(io.lsu_dma.dma_lsc_ctl.dma_mem_write, UInt<1>("h00")) @[dma_ctrl.scala 210:136] + node _T_182 = and(_T_180, _T_181) @[dma_ctrl.scala 210:134] + node _T_183 = eq(UInt<2>("h03"), RdPtr) @[dma_ctrl.scala 210:181] + node _T_184 = and(_T_182, _T_183) @[dma_ctrl.scala 210:174] + node _T_185 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 210:95] + node _T_186 = eq(io.lsu_dma.dma_lsc_ctl.dma_mem_write, UInt<1>("h00")) @[dma_ctrl.scala 210:136] + node _T_187 = and(_T_185, _T_186) @[dma_ctrl.scala 210:134] + node _T_188 = eq(UInt<3>("h04"), RdPtr) @[dma_ctrl.scala 210:181] + node _T_189 = and(_T_187, _T_188) @[dma_ctrl.scala 210:174] + node _T_190 = cat(_T_189, _T_184) @[Cat.scala 29:58] + node _T_191 = cat(_T_190, _T_179) @[Cat.scala 29:58] + node _T_192 = cat(_T_191, _T_174) @[Cat.scala 29:58] + node _T_193 = cat(_T_192, _T_169) @[Cat.scala 29:58] + fifo_pend_en <= _T_193 @[dma_ctrl.scala 210:21] + node _T_194 = bits(dma_address_error, 0, 0) @[dma_ctrl.scala 212:78] + node _T_195 = bits(dma_alignment_error, 0, 0) @[dma_ctrl.scala 212:107] + node _T_196 = or(_T_194, _T_195) @[dma_ctrl.scala 212:85] + node _T_197 = or(_T_196, dma_dbg_cmd_error) @[dma_ctrl.scala 212:114] + node _T_198 = eq(UInt<1>("h00"), RdPtr) @[dma_ctrl.scala 212:142] + node _T_199 = and(_T_197, _T_198) @[dma_ctrl.scala 212:135] + node _T_200 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error) @[dma_ctrl.scala 212:198] + node _T_201 = eq(UInt<1>("h00"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 212:251] + node _T_202 = and(_T_200, _T_201) @[dma_ctrl.scala 212:244] + node _T_203 = or(_T_199, _T_202) @[dma_ctrl.scala 212:154] + node _T_204 = and(io.iccm_dma_rvalid, io.iccm_dma_ecc_error) @[dma_ctrl.scala 212:318] + node _T_205 = eq(UInt<1>("h00"), io.iccm_dma_rtag) @[dma_ctrl.scala 212:350] + node _T_206 = and(_T_204, _T_205) @[dma_ctrl.scala 212:343] + node _T_207 = or(_T_203, _T_206) @[dma_ctrl.scala 212:295] + node _T_208 = bits(dma_address_error, 0, 0) @[dma_ctrl.scala 212:78] + node _T_209 = bits(dma_alignment_error, 0, 0) @[dma_ctrl.scala 212:107] + node _T_210 = or(_T_208, _T_209) @[dma_ctrl.scala 212:85] + node _T_211 = or(_T_210, dma_dbg_cmd_error) @[dma_ctrl.scala 212:114] + node _T_212 = eq(UInt<1>("h01"), RdPtr) @[dma_ctrl.scala 212:142] + node _T_213 = and(_T_211, _T_212) @[dma_ctrl.scala 212:135] + node _T_214 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error) @[dma_ctrl.scala 212:198] + node _T_215 = eq(UInt<1>("h01"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 212:251] + node _T_216 = and(_T_214, _T_215) @[dma_ctrl.scala 212:244] + node _T_217 = or(_T_213, _T_216) @[dma_ctrl.scala 212:154] + node _T_218 = and(io.iccm_dma_rvalid, io.iccm_dma_ecc_error) @[dma_ctrl.scala 212:318] + node _T_219 = eq(UInt<1>("h01"), io.iccm_dma_rtag) @[dma_ctrl.scala 212:350] + node _T_220 = and(_T_218, _T_219) @[dma_ctrl.scala 212:343] + node _T_221 = or(_T_217, _T_220) @[dma_ctrl.scala 212:295] + node _T_222 = bits(dma_address_error, 0, 0) @[dma_ctrl.scala 212:78] + node _T_223 = bits(dma_alignment_error, 0, 0) @[dma_ctrl.scala 212:107] + node _T_224 = or(_T_222, _T_223) @[dma_ctrl.scala 212:85] + node _T_225 = or(_T_224, dma_dbg_cmd_error) @[dma_ctrl.scala 212:114] + node _T_226 = eq(UInt<2>("h02"), RdPtr) @[dma_ctrl.scala 212:142] + node _T_227 = and(_T_225, _T_226) @[dma_ctrl.scala 212:135] + node _T_228 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error) @[dma_ctrl.scala 212:198] + node _T_229 = eq(UInt<2>("h02"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 212:251] + node _T_230 = and(_T_228, _T_229) @[dma_ctrl.scala 212:244] + node _T_231 = or(_T_227, _T_230) @[dma_ctrl.scala 212:154] + node _T_232 = and(io.iccm_dma_rvalid, io.iccm_dma_ecc_error) @[dma_ctrl.scala 212:318] + node _T_233 = eq(UInt<2>("h02"), io.iccm_dma_rtag) @[dma_ctrl.scala 212:350] + node _T_234 = and(_T_232, _T_233) @[dma_ctrl.scala 212:343] + node _T_235 = or(_T_231, _T_234) @[dma_ctrl.scala 212:295] + node _T_236 = bits(dma_address_error, 0, 0) @[dma_ctrl.scala 212:78] + node _T_237 = bits(dma_alignment_error, 0, 0) @[dma_ctrl.scala 212:107] + node _T_238 = or(_T_236, _T_237) @[dma_ctrl.scala 212:85] + node _T_239 = or(_T_238, dma_dbg_cmd_error) @[dma_ctrl.scala 212:114] + node _T_240 = eq(UInt<2>("h03"), RdPtr) @[dma_ctrl.scala 212:142] + node _T_241 = and(_T_239, _T_240) @[dma_ctrl.scala 212:135] + node _T_242 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error) @[dma_ctrl.scala 212:198] + node _T_243 = eq(UInt<2>("h03"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 212:251] + node _T_244 = and(_T_242, _T_243) @[dma_ctrl.scala 212:244] + node _T_245 = or(_T_241, _T_244) @[dma_ctrl.scala 212:154] + node _T_246 = and(io.iccm_dma_rvalid, io.iccm_dma_ecc_error) @[dma_ctrl.scala 212:318] + node _T_247 = eq(UInt<2>("h03"), io.iccm_dma_rtag) @[dma_ctrl.scala 212:350] + node _T_248 = and(_T_246, _T_247) @[dma_ctrl.scala 212:343] + node _T_249 = or(_T_245, _T_248) @[dma_ctrl.scala 212:295] + node _T_250 = bits(dma_address_error, 0, 0) @[dma_ctrl.scala 212:78] + node _T_251 = bits(dma_alignment_error, 0, 0) @[dma_ctrl.scala 212:107] + node _T_252 = or(_T_250, _T_251) @[dma_ctrl.scala 212:85] + node _T_253 = or(_T_252, dma_dbg_cmd_error) @[dma_ctrl.scala 212:114] + node _T_254 = eq(UInt<3>("h04"), RdPtr) @[dma_ctrl.scala 212:142] + node _T_255 = and(_T_253, _T_254) @[dma_ctrl.scala 212:135] + node _T_256 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error) @[dma_ctrl.scala 212:198] + node _T_257 = eq(UInt<3>("h04"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 212:251] + node _T_258 = and(_T_256, _T_257) @[dma_ctrl.scala 212:244] + node _T_259 = or(_T_255, _T_258) @[dma_ctrl.scala 212:154] + node _T_260 = and(io.iccm_dma_rvalid, io.iccm_dma_ecc_error) @[dma_ctrl.scala 212:318] + node _T_261 = eq(UInt<3>("h04"), io.iccm_dma_rtag) @[dma_ctrl.scala 212:350] + node _T_262 = and(_T_260, _T_261) @[dma_ctrl.scala 212:343] + node _T_263 = or(_T_259, _T_262) @[dma_ctrl.scala 212:295] + node _T_264 = cat(_T_263, _T_249) @[Cat.scala 29:58] + node _T_265 = cat(_T_264, _T_235) @[Cat.scala 29:58] + node _T_266 = cat(_T_265, _T_221) @[Cat.scala 29:58] + node _T_267 = cat(_T_266, _T_207) @[Cat.scala 29:58] + fifo_error_en <= _T_267 @[dma_ctrl.scala 212:21] + node _T_268 = bits(fifo_error_in[0], 1, 0) @[dma_ctrl.scala 214:77] + node _T_269 = orr(_T_268) @[dma_ctrl.scala 214:83] + node _T_270 = bits(fifo_error_en, 0, 0) @[dma_ctrl.scala 214:103] + node _T_271 = and(_T_269, _T_270) @[dma_ctrl.scala 214:88] + node _T_272 = orr(fifo_error[0]) @[dma_ctrl.scala 214:125] + node _T_273 = or(_T_271, _T_272) @[dma_ctrl.scala 214:108] + node _T_274 = and(_T_273, io.dma_bus_clk_en) @[dma_ctrl.scala 214:131] + node _T_275 = bits(fifo_error_in[1], 1, 0) @[dma_ctrl.scala 214:77] + node _T_276 = orr(_T_275) @[dma_ctrl.scala 214:83] + node _T_277 = bits(fifo_error_en, 1, 1) @[dma_ctrl.scala 214:103] + node _T_278 = and(_T_276, _T_277) @[dma_ctrl.scala 214:88] + node _T_279 = orr(fifo_error[1]) @[dma_ctrl.scala 214:125] + node _T_280 = or(_T_278, _T_279) @[dma_ctrl.scala 214:108] + node _T_281 = and(_T_280, io.dma_bus_clk_en) @[dma_ctrl.scala 214:131] + node _T_282 = bits(fifo_error_in[2], 1, 0) @[dma_ctrl.scala 214:77] + node _T_283 = orr(_T_282) @[dma_ctrl.scala 214:83] + node _T_284 = bits(fifo_error_en, 2, 2) @[dma_ctrl.scala 214:103] + node _T_285 = and(_T_283, _T_284) @[dma_ctrl.scala 214:88] + node _T_286 = orr(fifo_error[2]) @[dma_ctrl.scala 214:125] + node _T_287 = or(_T_285, _T_286) @[dma_ctrl.scala 214:108] + node _T_288 = and(_T_287, io.dma_bus_clk_en) @[dma_ctrl.scala 214:131] + node _T_289 = bits(fifo_error_in[3], 1, 0) @[dma_ctrl.scala 214:77] + node _T_290 = orr(_T_289) @[dma_ctrl.scala 214:83] + node _T_291 = bits(fifo_error_en, 3, 3) @[dma_ctrl.scala 214:103] + node _T_292 = and(_T_290, _T_291) @[dma_ctrl.scala 214:88] + node _T_293 = orr(fifo_error[3]) @[dma_ctrl.scala 214:125] + node _T_294 = or(_T_292, _T_293) @[dma_ctrl.scala 214:108] + node _T_295 = and(_T_294, io.dma_bus_clk_en) @[dma_ctrl.scala 214:131] + node _T_296 = bits(fifo_error_in[4], 1, 0) @[dma_ctrl.scala 214:77] + node _T_297 = orr(_T_296) @[dma_ctrl.scala 214:83] + node _T_298 = bits(fifo_error_en, 4, 4) @[dma_ctrl.scala 214:103] + node _T_299 = and(_T_297, _T_298) @[dma_ctrl.scala 214:88] + node _T_300 = orr(fifo_error[4]) @[dma_ctrl.scala 214:125] + node _T_301 = or(_T_299, _T_300) @[dma_ctrl.scala 214:108] + node _T_302 = and(_T_301, io.dma_bus_clk_en) @[dma_ctrl.scala 214:131] + node _T_303 = cat(_T_302, _T_295) @[Cat.scala 29:58] + node _T_304 = cat(_T_303, _T_288) @[Cat.scala 29:58] + node _T_305 = cat(_T_304, _T_281) @[Cat.scala 29:58] + node _T_306 = cat(_T_305, _T_274) @[Cat.scala 29:58] + fifo_error_bus_en <= _T_306 @[dma_ctrl.scala 214:21] + node _T_307 = orr(fifo_error[0]) @[dma_ctrl.scala 216:74] + node _T_308 = bits(fifo_error_en, 0, 0) @[dma_ctrl.scala 216:93] + node _T_309 = or(_T_307, _T_308) @[dma_ctrl.scala 216:78] + node _T_310 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 216:137] + node _T_311 = and(_T_310, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[dma_ctrl.scala 216:176] + node _T_312 = or(_T_309, _T_311) @[dma_ctrl.scala 216:97] + node _T_313 = eq(UInt<1>("h00"), RdPtr) @[dma_ctrl.scala 216:224] + node _T_314 = and(_T_312, _T_313) @[dma_ctrl.scala 216:217] + node _T_315 = eq(UInt<1>("h00"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 216:286] + node _T_316 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_315) @[dma_ctrl.scala 216:279] + node _T_317 = or(_T_314, _T_316) @[dma_ctrl.scala 216:236] + node _T_318 = eq(UInt<1>("h00"), io.iccm_dma_rtag) @[dma_ctrl.scala 216:359] + node _T_319 = and(io.iccm_dma_rvalid, _T_318) @[dma_ctrl.scala 216:352] + node _T_320 = or(_T_317, _T_319) @[dma_ctrl.scala 216:330] + node _T_321 = orr(fifo_error[1]) @[dma_ctrl.scala 216:74] + node _T_322 = bits(fifo_error_en, 1, 1) @[dma_ctrl.scala 216:93] + node _T_323 = or(_T_321, _T_322) @[dma_ctrl.scala 216:78] + node _T_324 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 216:137] + node _T_325 = and(_T_324, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[dma_ctrl.scala 216:176] + node _T_326 = or(_T_323, _T_325) @[dma_ctrl.scala 216:97] + node _T_327 = eq(UInt<1>("h01"), RdPtr) @[dma_ctrl.scala 216:224] + node _T_328 = and(_T_326, _T_327) @[dma_ctrl.scala 216:217] + node _T_329 = eq(UInt<1>("h01"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 216:286] + node _T_330 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_329) @[dma_ctrl.scala 216:279] + node _T_331 = or(_T_328, _T_330) @[dma_ctrl.scala 216:236] + node _T_332 = eq(UInt<1>("h01"), io.iccm_dma_rtag) @[dma_ctrl.scala 216:359] + node _T_333 = and(io.iccm_dma_rvalid, _T_332) @[dma_ctrl.scala 216:352] + node _T_334 = or(_T_331, _T_333) @[dma_ctrl.scala 216:330] + node _T_335 = orr(fifo_error[2]) @[dma_ctrl.scala 216:74] + node _T_336 = bits(fifo_error_en, 2, 2) @[dma_ctrl.scala 216:93] + node _T_337 = or(_T_335, _T_336) @[dma_ctrl.scala 216:78] + node _T_338 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 216:137] + node _T_339 = and(_T_338, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[dma_ctrl.scala 216:176] + node _T_340 = or(_T_337, _T_339) @[dma_ctrl.scala 216:97] + node _T_341 = eq(UInt<2>("h02"), RdPtr) @[dma_ctrl.scala 216:224] + node _T_342 = and(_T_340, _T_341) @[dma_ctrl.scala 216:217] + node _T_343 = eq(UInt<2>("h02"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 216:286] + node _T_344 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_343) @[dma_ctrl.scala 216:279] + node _T_345 = or(_T_342, _T_344) @[dma_ctrl.scala 216:236] + node _T_346 = eq(UInt<2>("h02"), io.iccm_dma_rtag) @[dma_ctrl.scala 216:359] + node _T_347 = and(io.iccm_dma_rvalid, _T_346) @[dma_ctrl.scala 216:352] + node _T_348 = or(_T_345, _T_347) @[dma_ctrl.scala 216:330] + node _T_349 = orr(fifo_error[3]) @[dma_ctrl.scala 216:74] + node _T_350 = bits(fifo_error_en, 3, 3) @[dma_ctrl.scala 216:93] + node _T_351 = or(_T_349, _T_350) @[dma_ctrl.scala 216:78] + node _T_352 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 216:137] + node _T_353 = and(_T_352, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[dma_ctrl.scala 216:176] + node _T_354 = or(_T_351, _T_353) @[dma_ctrl.scala 216:97] + node _T_355 = eq(UInt<2>("h03"), RdPtr) @[dma_ctrl.scala 216:224] + node _T_356 = and(_T_354, _T_355) @[dma_ctrl.scala 216:217] + node _T_357 = eq(UInt<2>("h03"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 216:286] + node _T_358 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_357) @[dma_ctrl.scala 216:279] + node _T_359 = or(_T_356, _T_358) @[dma_ctrl.scala 216:236] + node _T_360 = eq(UInt<2>("h03"), io.iccm_dma_rtag) @[dma_ctrl.scala 216:359] + node _T_361 = and(io.iccm_dma_rvalid, _T_360) @[dma_ctrl.scala 216:352] + node _T_362 = or(_T_359, _T_361) @[dma_ctrl.scala 216:330] + node _T_363 = orr(fifo_error[4]) @[dma_ctrl.scala 216:74] + node _T_364 = bits(fifo_error_en, 4, 4) @[dma_ctrl.scala 216:93] + node _T_365 = or(_T_363, _T_364) @[dma_ctrl.scala 216:78] + node _T_366 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 216:137] + node _T_367 = and(_T_366, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[dma_ctrl.scala 216:176] + node _T_368 = or(_T_365, _T_367) @[dma_ctrl.scala 216:97] + node _T_369 = eq(UInt<3>("h04"), RdPtr) @[dma_ctrl.scala 216:224] + node _T_370 = and(_T_368, _T_369) @[dma_ctrl.scala 216:217] + node _T_371 = eq(UInt<3>("h04"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 216:286] + node _T_372 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_371) @[dma_ctrl.scala 216:279] + node _T_373 = or(_T_370, _T_372) @[dma_ctrl.scala 216:236] + node _T_374 = eq(UInt<3>("h04"), io.iccm_dma_rtag) @[dma_ctrl.scala 216:359] + node _T_375 = and(io.iccm_dma_rvalid, _T_374) @[dma_ctrl.scala 216:352] + node _T_376 = or(_T_373, _T_375) @[dma_ctrl.scala 216:330] + node _T_377 = cat(_T_376, _T_362) @[Cat.scala 29:58] + node _T_378 = cat(_T_377, _T_348) @[Cat.scala 29:58] + node _T_379 = cat(_T_378, _T_334) @[Cat.scala 29:58] + node _T_380 = cat(_T_379, _T_320) @[Cat.scala 29:58] + fifo_done_en <= _T_380 @[dma_ctrl.scala 216:21] + node _T_381 = bits(fifo_done_en, 0, 0) @[dma_ctrl.scala 218:71] + node _T_382 = bits(fifo_done, 0, 0) @[dma_ctrl.scala 218:86] + node _T_383 = or(_T_381, _T_382) @[dma_ctrl.scala 218:75] + node _T_384 = and(_T_383, io.dma_bus_clk_en) @[dma_ctrl.scala 218:91] + node _T_385 = bits(fifo_done_en, 1, 1) @[dma_ctrl.scala 218:71] + node _T_386 = bits(fifo_done, 1, 1) @[dma_ctrl.scala 218:86] + node _T_387 = or(_T_385, _T_386) @[dma_ctrl.scala 218:75] + node _T_388 = and(_T_387, io.dma_bus_clk_en) @[dma_ctrl.scala 218:91] + node _T_389 = bits(fifo_done_en, 2, 2) @[dma_ctrl.scala 218:71] + node _T_390 = bits(fifo_done, 2, 2) @[dma_ctrl.scala 218:86] + node _T_391 = or(_T_389, _T_390) @[dma_ctrl.scala 218:75] + node _T_392 = and(_T_391, io.dma_bus_clk_en) @[dma_ctrl.scala 218:91] + node _T_393 = bits(fifo_done_en, 3, 3) @[dma_ctrl.scala 218:71] + node _T_394 = bits(fifo_done, 3, 3) @[dma_ctrl.scala 218:86] + node _T_395 = or(_T_393, _T_394) @[dma_ctrl.scala 218:75] + node _T_396 = and(_T_395, io.dma_bus_clk_en) @[dma_ctrl.scala 218:91] + node _T_397 = bits(fifo_done_en, 4, 4) @[dma_ctrl.scala 218:71] + node _T_398 = bits(fifo_done, 4, 4) @[dma_ctrl.scala 218:86] + node _T_399 = or(_T_397, _T_398) @[dma_ctrl.scala 218:75] + node _T_400 = and(_T_399, io.dma_bus_clk_en) @[dma_ctrl.scala 218:91] + node _T_401 = cat(_T_400, _T_396) @[Cat.scala 29:58] + node _T_402 = cat(_T_401, _T_392) @[Cat.scala 29:58] + node _T_403 = cat(_T_402, _T_388) @[Cat.scala 29:58] + node _T_404 = cat(_T_403, _T_384) @[Cat.scala 29:58] + fifo_done_bus_en <= _T_404 @[dma_ctrl.scala 218:21] + node _T_405 = or(bus_rsp_sent, bus_posted_write_done) @[dma_ctrl.scala 220:74] + node _T_406 = and(_T_405, io.dma_bus_clk_en) @[dma_ctrl.scala 220:99] + node _T_407 = or(_T_406, io.dma_dbg_cmd_done) @[dma_ctrl.scala 220:120] + node _T_408 = eq(UInt<1>("h00"), RspPtr) @[dma_ctrl.scala 220:150] + node _T_409 = and(_T_407, _T_408) @[dma_ctrl.scala 220:143] + node _T_410 = or(bus_rsp_sent, bus_posted_write_done) @[dma_ctrl.scala 220:74] + node _T_411 = and(_T_410, io.dma_bus_clk_en) @[dma_ctrl.scala 220:99] + node _T_412 = or(_T_411, io.dma_dbg_cmd_done) @[dma_ctrl.scala 220:120] + node _T_413 = eq(UInt<1>("h01"), RspPtr) @[dma_ctrl.scala 220:150] + node _T_414 = and(_T_412, _T_413) @[dma_ctrl.scala 220:143] + node _T_415 = or(bus_rsp_sent, bus_posted_write_done) @[dma_ctrl.scala 220:74] + node _T_416 = and(_T_415, io.dma_bus_clk_en) @[dma_ctrl.scala 220:99] + node _T_417 = or(_T_416, io.dma_dbg_cmd_done) @[dma_ctrl.scala 220:120] + node _T_418 = eq(UInt<2>("h02"), RspPtr) @[dma_ctrl.scala 220:150] + node _T_419 = and(_T_417, _T_418) @[dma_ctrl.scala 220:143] + node _T_420 = or(bus_rsp_sent, bus_posted_write_done) @[dma_ctrl.scala 220:74] + node _T_421 = and(_T_420, io.dma_bus_clk_en) @[dma_ctrl.scala 220:99] + node _T_422 = or(_T_421, io.dma_dbg_cmd_done) @[dma_ctrl.scala 220:120] + node _T_423 = eq(UInt<2>("h03"), RspPtr) @[dma_ctrl.scala 220:150] + node _T_424 = and(_T_422, _T_423) @[dma_ctrl.scala 220:143] + node _T_425 = or(bus_rsp_sent, bus_posted_write_done) @[dma_ctrl.scala 220:74] + node _T_426 = and(_T_425, io.dma_bus_clk_en) @[dma_ctrl.scala 220:99] + node _T_427 = or(_T_426, io.dma_dbg_cmd_done) @[dma_ctrl.scala 220:120] + node _T_428 = eq(UInt<3>("h04"), RspPtr) @[dma_ctrl.scala 220:150] + node _T_429 = and(_T_427, _T_428) @[dma_ctrl.scala 220:143] + node _T_430 = cat(_T_429, _T_424) @[Cat.scala 29:58] + node _T_431 = cat(_T_430, _T_419) @[Cat.scala 29:58] + node _T_432 = cat(_T_431, _T_414) @[Cat.scala 29:58] + node _T_433 = cat(_T_432, _T_409) @[Cat.scala 29:58] + fifo_reset <= _T_433 @[dma_ctrl.scala 220:21] + node _T_434 = eq(UInt<1>("h00"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 222:108] + node _T_435 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_434) @[dma_ctrl.scala 222:101] + node _T_436 = cat(UInt<1>("h00"), io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error) @[Cat.scala 29:58] + node _T_437 = eq(UInt<1>("h00"), io.iccm_dma_rtag) @[dma_ctrl.scala 222:236] + node _T_438 = and(io.iccm_dma_rvalid, _T_437) @[dma_ctrl.scala 222:229] + node _T_439 = cat(UInt<1>("h00"), io.iccm_dma_ecc_error) @[Cat.scala 29:58] + node _T_440 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 222:318] + node _T_441 = or(_T_440, dma_dbg_cmd_error) @[dma_ctrl.scala 222:340] + node _T_442 = cat(_T_441, dma_alignment_error) @[Cat.scala 29:58] + node _T_443 = mux(_T_438, _T_439, _T_442) @[dma_ctrl.scala 222:209] + node _T_444 = mux(_T_435, _T_436, _T_443) @[dma_ctrl.scala 222:60] + fifo_error_in[0] <= _T_444 @[dma_ctrl.scala 222:53] + node _T_445 = eq(UInt<1>("h01"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 222:108] + node _T_446 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_445) @[dma_ctrl.scala 222:101] + node _T_447 = cat(UInt<1>("h00"), io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error) @[Cat.scala 29:58] + node _T_448 = eq(UInt<1>("h01"), io.iccm_dma_rtag) @[dma_ctrl.scala 222:236] + node _T_449 = and(io.iccm_dma_rvalid, _T_448) @[dma_ctrl.scala 222:229] + node _T_450 = cat(UInt<1>("h00"), io.iccm_dma_ecc_error) @[Cat.scala 29:58] + node _T_451 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 222:318] + node _T_452 = or(_T_451, dma_dbg_cmd_error) @[dma_ctrl.scala 222:340] + node _T_453 = cat(_T_452, dma_alignment_error) @[Cat.scala 29:58] + node _T_454 = mux(_T_449, _T_450, _T_453) @[dma_ctrl.scala 222:209] + node _T_455 = mux(_T_446, _T_447, _T_454) @[dma_ctrl.scala 222:60] + fifo_error_in[1] <= _T_455 @[dma_ctrl.scala 222:53] + node _T_456 = eq(UInt<2>("h02"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 222:108] + node _T_457 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_456) @[dma_ctrl.scala 222:101] + node _T_458 = cat(UInt<1>("h00"), io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error) @[Cat.scala 29:58] + node _T_459 = eq(UInt<2>("h02"), io.iccm_dma_rtag) @[dma_ctrl.scala 222:236] + node _T_460 = and(io.iccm_dma_rvalid, _T_459) @[dma_ctrl.scala 222:229] + node _T_461 = cat(UInt<1>("h00"), io.iccm_dma_ecc_error) @[Cat.scala 29:58] + node _T_462 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 222:318] + node _T_463 = or(_T_462, dma_dbg_cmd_error) @[dma_ctrl.scala 222:340] + node _T_464 = cat(_T_463, dma_alignment_error) @[Cat.scala 29:58] + node _T_465 = mux(_T_460, _T_461, _T_464) @[dma_ctrl.scala 222:209] + node _T_466 = mux(_T_457, _T_458, _T_465) @[dma_ctrl.scala 222:60] + fifo_error_in[2] <= _T_466 @[dma_ctrl.scala 222:53] + node _T_467 = eq(UInt<2>("h03"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 222:108] + node _T_468 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_467) @[dma_ctrl.scala 222:101] + node _T_469 = cat(UInt<1>("h00"), io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error) @[Cat.scala 29:58] + node _T_470 = eq(UInt<2>("h03"), io.iccm_dma_rtag) @[dma_ctrl.scala 222:236] + node _T_471 = and(io.iccm_dma_rvalid, _T_470) @[dma_ctrl.scala 222:229] + node _T_472 = cat(UInt<1>("h00"), io.iccm_dma_ecc_error) @[Cat.scala 29:58] + node _T_473 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 222:318] + node _T_474 = or(_T_473, dma_dbg_cmd_error) @[dma_ctrl.scala 222:340] + node _T_475 = cat(_T_474, dma_alignment_error) @[Cat.scala 29:58] + node _T_476 = mux(_T_471, _T_472, _T_475) @[dma_ctrl.scala 222:209] + node _T_477 = mux(_T_468, _T_469, _T_476) @[dma_ctrl.scala 222:60] + fifo_error_in[3] <= _T_477 @[dma_ctrl.scala 222:53] + node _T_478 = eq(UInt<3>("h04"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 222:108] + node _T_479 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_478) @[dma_ctrl.scala 222:101] + node _T_480 = cat(UInt<1>("h00"), io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error) @[Cat.scala 29:58] + node _T_481 = eq(UInt<3>("h04"), io.iccm_dma_rtag) @[dma_ctrl.scala 222:236] + node _T_482 = and(io.iccm_dma_rvalid, _T_481) @[dma_ctrl.scala 222:229] + node _T_483 = cat(UInt<1>("h00"), io.iccm_dma_ecc_error) @[Cat.scala 29:58] + node _T_484 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 222:318] + node _T_485 = or(_T_484, dma_dbg_cmd_error) @[dma_ctrl.scala 222:340] + node _T_486 = cat(_T_485, dma_alignment_error) @[Cat.scala 29:58] + node _T_487 = mux(_T_482, _T_483, _T_486) @[dma_ctrl.scala 222:209] + node _T_488 = mux(_T_479, _T_480, _T_487) @[dma_ctrl.scala 222:60] + fifo_error_in[4] <= _T_488 @[dma_ctrl.scala 222:53] + node _T_489 = bits(fifo_error_en, 0, 0) @[dma_ctrl.scala 224:73] + node _T_490 = orr(fifo_error_in[0]) @[dma_ctrl.scala 224:97] + node _T_491 = and(_T_489, _T_490) @[dma_ctrl.scala 224:77] + node _T_492 = mux(UInt<1>("h00"), UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_493 = cat(_T_492, fifo_addr[0]) @[Cat.scala 29:58] + node _T_494 = eq(UInt<1>("h00"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 224:188] + node _T_495 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_494) @[dma_ctrl.scala 224:181] + node _T_496 = eq(UInt<1>("h00"), io.iccm_dma_rtag) @[dma_ctrl.scala 224:302] + node _T_497 = and(io.iccm_dma_rvalid, _T_496) @[dma_ctrl.scala 224:295] + node _T_498 = cat(io.dbg_dma.dbg_dctl.dbg_cmd_wrdata, io.dbg_dma.dbg_dctl.dbg_cmd_wrdata) @[Cat.scala 29:58] + node _T_499 = bits(bus_cmd_wdata, 63, 0) @[dma_ctrl.scala 224:439] + node _T_500 = mux(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_498, _T_499) @[dma_ctrl.scala 224:347] + node _T_501 = mux(_T_497, io.iccm_dma_rdata, _T_500) @[dma_ctrl.scala 224:275] + node _T_502 = mux(_T_495, io.lsu_dma.dma_dccm_ctl.dccm_dma_rdata, _T_501) @[dma_ctrl.scala 224:140] + node _T_503 = mux(_T_491, _T_493, _T_502) @[dma_ctrl.scala 224:59] + fifo_data_in[0] <= _T_503 @[dma_ctrl.scala 224:52] + node _T_504 = bits(fifo_error_en, 1, 1) @[dma_ctrl.scala 224:73] + node _T_505 = orr(fifo_error_in[1]) @[dma_ctrl.scala 224:97] + node _T_506 = and(_T_504, _T_505) @[dma_ctrl.scala 224:77] + node _T_507 = mux(UInt<1>("h00"), UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_508 = cat(_T_507, fifo_addr[1]) @[Cat.scala 29:58] + node _T_509 = eq(UInt<1>("h01"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 224:188] + node _T_510 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_509) @[dma_ctrl.scala 224:181] + node _T_511 = eq(UInt<1>("h01"), io.iccm_dma_rtag) @[dma_ctrl.scala 224:302] + node _T_512 = and(io.iccm_dma_rvalid, _T_511) @[dma_ctrl.scala 224:295] + node _T_513 = cat(io.dbg_dma.dbg_dctl.dbg_cmd_wrdata, io.dbg_dma.dbg_dctl.dbg_cmd_wrdata) @[Cat.scala 29:58] + node _T_514 = bits(bus_cmd_wdata, 63, 0) @[dma_ctrl.scala 224:439] + node _T_515 = mux(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_513, _T_514) @[dma_ctrl.scala 224:347] + node _T_516 = mux(_T_512, io.iccm_dma_rdata, _T_515) @[dma_ctrl.scala 224:275] + node _T_517 = mux(_T_510, io.lsu_dma.dma_dccm_ctl.dccm_dma_rdata, _T_516) @[dma_ctrl.scala 224:140] + node _T_518 = mux(_T_506, _T_508, _T_517) @[dma_ctrl.scala 224:59] + fifo_data_in[1] <= _T_518 @[dma_ctrl.scala 224:52] + node _T_519 = bits(fifo_error_en, 2, 2) @[dma_ctrl.scala 224:73] + node _T_520 = orr(fifo_error_in[2]) @[dma_ctrl.scala 224:97] + node _T_521 = and(_T_519, _T_520) @[dma_ctrl.scala 224:77] + node _T_522 = mux(UInt<1>("h00"), UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_523 = cat(_T_522, fifo_addr[2]) @[Cat.scala 29:58] + node _T_524 = eq(UInt<2>("h02"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 224:188] + node _T_525 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_524) @[dma_ctrl.scala 224:181] + node _T_526 = eq(UInt<2>("h02"), io.iccm_dma_rtag) @[dma_ctrl.scala 224:302] + node _T_527 = and(io.iccm_dma_rvalid, _T_526) @[dma_ctrl.scala 224:295] + node _T_528 = cat(io.dbg_dma.dbg_dctl.dbg_cmd_wrdata, io.dbg_dma.dbg_dctl.dbg_cmd_wrdata) @[Cat.scala 29:58] + node _T_529 = bits(bus_cmd_wdata, 63, 0) @[dma_ctrl.scala 224:439] + node _T_530 = mux(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_528, _T_529) @[dma_ctrl.scala 224:347] + node _T_531 = mux(_T_527, io.iccm_dma_rdata, _T_530) @[dma_ctrl.scala 224:275] + node _T_532 = mux(_T_525, io.lsu_dma.dma_dccm_ctl.dccm_dma_rdata, _T_531) @[dma_ctrl.scala 224:140] + node _T_533 = mux(_T_521, _T_523, _T_532) @[dma_ctrl.scala 224:59] + fifo_data_in[2] <= _T_533 @[dma_ctrl.scala 224:52] + node _T_534 = bits(fifo_error_en, 3, 3) @[dma_ctrl.scala 224:73] + node _T_535 = orr(fifo_error_in[3]) @[dma_ctrl.scala 224:97] + node _T_536 = and(_T_534, _T_535) @[dma_ctrl.scala 224:77] + node _T_537 = mux(UInt<1>("h00"), UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_538 = cat(_T_537, fifo_addr[3]) @[Cat.scala 29:58] + node _T_539 = eq(UInt<2>("h03"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 224:188] + node _T_540 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_539) @[dma_ctrl.scala 224:181] + node _T_541 = eq(UInt<2>("h03"), io.iccm_dma_rtag) @[dma_ctrl.scala 224:302] + node _T_542 = and(io.iccm_dma_rvalid, _T_541) @[dma_ctrl.scala 224:295] + node _T_543 = cat(io.dbg_dma.dbg_dctl.dbg_cmd_wrdata, io.dbg_dma.dbg_dctl.dbg_cmd_wrdata) @[Cat.scala 29:58] + node _T_544 = bits(bus_cmd_wdata, 63, 0) @[dma_ctrl.scala 224:439] + node _T_545 = mux(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_543, _T_544) @[dma_ctrl.scala 224:347] + node _T_546 = mux(_T_542, io.iccm_dma_rdata, _T_545) @[dma_ctrl.scala 224:275] + node _T_547 = mux(_T_540, io.lsu_dma.dma_dccm_ctl.dccm_dma_rdata, _T_546) @[dma_ctrl.scala 224:140] + node _T_548 = mux(_T_536, _T_538, _T_547) @[dma_ctrl.scala 224:59] + fifo_data_in[3] <= _T_548 @[dma_ctrl.scala 224:52] + node _T_549 = bits(fifo_error_en, 4, 4) @[dma_ctrl.scala 224:73] + node _T_550 = orr(fifo_error_in[4]) @[dma_ctrl.scala 224:97] + node _T_551 = and(_T_549, _T_550) @[dma_ctrl.scala 224:77] + node _T_552 = mux(UInt<1>("h00"), UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_553 = cat(_T_552, fifo_addr[4]) @[Cat.scala 29:58] + node _T_554 = eq(UInt<3>("h04"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 224:188] + node _T_555 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_554) @[dma_ctrl.scala 224:181] + node _T_556 = eq(UInt<3>("h04"), io.iccm_dma_rtag) @[dma_ctrl.scala 224:302] + node _T_557 = and(io.iccm_dma_rvalid, _T_556) @[dma_ctrl.scala 224:295] + node _T_558 = cat(io.dbg_dma.dbg_dctl.dbg_cmd_wrdata, io.dbg_dma.dbg_dctl.dbg_cmd_wrdata) @[Cat.scala 29:58] + node _T_559 = bits(bus_cmd_wdata, 63, 0) @[dma_ctrl.scala 224:439] + node _T_560 = mux(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_558, _T_559) @[dma_ctrl.scala 224:347] + node _T_561 = mux(_T_557, io.iccm_dma_rdata, _T_560) @[dma_ctrl.scala 224:275] + node _T_562 = mux(_T_555, io.lsu_dma.dma_dccm_ctl.dccm_dma_rdata, _T_561) @[dma_ctrl.scala 224:140] + node _T_563 = mux(_T_551, _T_553, _T_562) @[dma_ctrl.scala 224:59] + fifo_data_in[4] <= _T_563 @[dma_ctrl.scala 224:52] + node _T_564 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 226:98] + node _T_565 = bits(fifo_valid, 0, 0) @[dma_ctrl.scala 226:118] + node _T_566 = mux(_T_564, UInt<1>("h01"), _T_565) @[dma_ctrl.scala 226:86] + node _T_567 = bits(fifo_reset, 0, 0) @[dma_ctrl.scala 226:136] + node _T_568 = eq(_T_567, UInt<1>("h00")) @[dma_ctrl.scala 226:125] + node _T_569 = and(_T_566, _T_568) @[dma_ctrl.scala 226:123] + reg _T_570 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 226:82] + _T_570 <= _T_569 @[dma_ctrl.scala 226:82] + node _T_571 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 226:98] + node _T_572 = bits(fifo_valid, 1, 1) @[dma_ctrl.scala 226:118] + node _T_573 = mux(_T_571, UInt<1>("h01"), _T_572) @[dma_ctrl.scala 226:86] + node _T_574 = bits(fifo_reset, 1, 1) @[dma_ctrl.scala 226:136] + node _T_575 = eq(_T_574, UInt<1>("h00")) @[dma_ctrl.scala 226:125] + node _T_576 = and(_T_573, _T_575) @[dma_ctrl.scala 226:123] + reg _T_577 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 226:82] + _T_577 <= _T_576 @[dma_ctrl.scala 226:82] + node _T_578 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 226:98] + node _T_579 = bits(fifo_valid, 2, 2) @[dma_ctrl.scala 226:118] + node _T_580 = mux(_T_578, UInt<1>("h01"), _T_579) @[dma_ctrl.scala 226:86] + node _T_581 = bits(fifo_reset, 2, 2) @[dma_ctrl.scala 226:136] + node _T_582 = eq(_T_581, UInt<1>("h00")) @[dma_ctrl.scala 226:125] + node _T_583 = and(_T_580, _T_582) @[dma_ctrl.scala 226:123] + reg _T_584 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 226:82] + _T_584 <= _T_583 @[dma_ctrl.scala 226:82] + node _T_585 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 226:98] + node _T_586 = bits(fifo_valid, 3, 3) @[dma_ctrl.scala 226:118] + node _T_587 = mux(_T_585, UInt<1>("h01"), _T_586) @[dma_ctrl.scala 226:86] + node _T_588 = bits(fifo_reset, 3, 3) @[dma_ctrl.scala 226:136] + node _T_589 = eq(_T_588, UInt<1>("h00")) @[dma_ctrl.scala 226:125] + node _T_590 = and(_T_587, _T_589) @[dma_ctrl.scala 226:123] + reg _T_591 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 226:82] + _T_591 <= _T_590 @[dma_ctrl.scala 226:82] + node _T_592 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 226:98] + node _T_593 = bits(fifo_valid, 4, 4) @[dma_ctrl.scala 226:118] + node _T_594 = mux(_T_592, UInt<1>("h01"), _T_593) @[dma_ctrl.scala 226:86] + node _T_595 = bits(fifo_reset, 4, 4) @[dma_ctrl.scala 226:136] + node _T_596 = eq(_T_595, UInt<1>("h00")) @[dma_ctrl.scala 226:125] + node _T_597 = and(_T_594, _T_596) @[dma_ctrl.scala 226:123] + reg _T_598 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 226:82] + _T_598 <= _T_597 @[dma_ctrl.scala 226:82] + node _T_599 = cat(_T_598, _T_591) @[Cat.scala 29:58] + node _T_600 = cat(_T_599, _T_584) @[Cat.scala 29:58] + node _T_601 = cat(_T_600, _T_577) @[Cat.scala 29:58] + node _T_602 = cat(_T_601, _T_570) @[Cat.scala 29:58] + fifo_valid <= _T_602 @[dma_ctrl.scala 226:14] + node _T_603 = bits(fifo_error_en, 0, 0) @[dma_ctrl.scala 228:103] + node _T_604 = bits(_T_603, 0, 0) @[dma_ctrl.scala 228:113] + node _T_605 = mux(_T_604, fifo_error_in[0], fifo_error[0]) @[dma_ctrl.scala 228:89] + node _T_606 = bits(fifo_reset, 0, 0) @[dma_ctrl.scala 228:196] + node _T_607 = eq(_T_606, UInt<1>("h00")) @[dma_ctrl.scala 228:185] + node _T_608 = bits(_T_607, 0, 0) @[Bitwise.scala 72:15] + node _T_609 = mux(_T_608, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_610 = and(_T_605, _T_609) @[dma_ctrl.scala 228:150] + reg _T_611 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 228:85] + _T_611 <= _T_610 @[dma_ctrl.scala 228:85] + fifo_error[0] <= _T_611 @[dma_ctrl.scala 228:50] + node _T_612 = bits(fifo_error_en, 1, 1) @[dma_ctrl.scala 228:103] + node _T_613 = bits(_T_612, 0, 0) @[dma_ctrl.scala 228:113] + node _T_614 = mux(_T_613, fifo_error_in[1], fifo_error[1]) @[dma_ctrl.scala 228:89] + node _T_615 = bits(fifo_reset, 1, 1) @[dma_ctrl.scala 228:196] + node _T_616 = eq(_T_615, UInt<1>("h00")) @[dma_ctrl.scala 228:185] + node _T_617 = bits(_T_616, 0, 0) @[Bitwise.scala 72:15] + node _T_618 = mux(_T_617, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_619 = and(_T_614, _T_618) @[dma_ctrl.scala 228:150] + reg _T_620 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 228:85] + _T_620 <= _T_619 @[dma_ctrl.scala 228:85] + fifo_error[1] <= _T_620 @[dma_ctrl.scala 228:50] + node _T_621 = bits(fifo_error_en, 2, 2) @[dma_ctrl.scala 228:103] + node _T_622 = bits(_T_621, 0, 0) @[dma_ctrl.scala 228:113] + node _T_623 = mux(_T_622, fifo_error_in[2], fifo_error[2]) @[dma_ctrl.scala 228:89] + node _T_624 = bits(fifo_reset, 2, 2) @[dma_ctrl.scala 228:196] + node _T_625 = eq(_T_624, UInt<1>("h00")) @[dma_ctrl.scala 228:185] + node _T_626 = bits(_T_625, 0, 0) @[Bitwise.scala 72:15] + node _T_627 = mux(_T_626, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_628 = and(_T_623, _T_627) @[dma_ctrl.scala 228:150] + reg _T_629 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 228:85] + _T_629 <= _T_628 @[dma_ctrl.scala 228:85] + fifo_error[2] <= _T_629 @[dma_ctrl.scala 228:50] + node _T_630 = bits(fifo_error_en, 3, 3) @[dma_ctrl.scala 228:103] + node _T_631 = bits(_T_630, 0, 0) @[dma_ctrl.scala 228:113] + node _T_632 = mux(_T_631, fifo_error_in[3], fifo_error[3]) @[dma_ctrl.scala 228:89] + node _T_633 = bits(fifo_reset, 3, 3) @[dma_ctrl.scala 228:196] + node _T_634 = eq(_T_633, UInt<1>("h00")) @[dma_ctrl.scala 228:185] + node _T_635 = bits(_T_634, 0, 0) @[Bitwise.scala 72:15] + node _T_636 = mux(_T_635, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_637 = and(_T_632, _T_636) @[dma_ctrl.scala 228:150] + reg _T_638 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 228:85] + _T_638 <= _T_637 @[dma_ctrl.scala 228:85] + fifo_error[3] <= _T_638 @[dma_ctrl.scala 228:50] + node _T_639 = bits(fifo_error_en, 4, 4) @[dma_ctrl.scala 228:103] + node _T_640 = bits(_T_639, 0, 0) @[dma_ctrl.scala 228:113] + node _T_641 = mux(_T_640, fifo_error_in[4], fifo_error[4]) @[dma_ctrl.scala 228:89] + node _T_642 = bits(fifo_reset, 4, 4) @[dma_ctrl.scala 228:196] + node _T_643 = eq(_T_642, UInt<1>("h00")) @[dma_ctrl.scala 228:185] + node _T_644 = bits(_T_643, 0, 0) @[Bitwise.scala 72:15] + node _T_645 = mux(_T_644, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_646 = and(_T_641, _T_645) @[dma_ctrl.scala 228:150] + reg _T_647 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 228:85] + _T_647 <= _T_646 @[dma_ctrl.scala 228:85] + fifo_error[4] <= _T_647 @[dma_ctrl.scala 228:50] + node _T_648 = bits(fifo_error_bus_en, 0, 0) @[dma_ctrl.scala 230:111] + node _T_649 = bits(fifo_error_bus, 0, 0) @[dma_ctrl.scala 230:135] + node _T_650 = mux(_T_648, UInt<1>("h01"), _T_649) @[dma_ctrl.scala 230:93] + node _T_651 = bits(fifo_reset, 0, 0) @[dma_ctrl.scala 230:153] + node _T_652 = eq(_T_651, UInt<1>("h00")) @[dma_ctrl.scala 230:142] + node _T_653 = and(_T_650, _T_652) @[dma_ctrl.scala 230:140] + reg _T_654 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 230:89] + _T_654 <= _T_653 @[dma_ctrl.scala 230:89] + node _T_655 = bits(fifo_error_bus_en, 1, 1) @[dma_ctrl.scala 230:111] + node _T_656 = bits(fifo_error_bus, 1, 1) @[dma_ctrl.scala 230:135] + node _T_657 = mux(_T_655, UInt<1>("h01"), _T_656) @[dma_ctrl.scala 230:93] + node _T_658 = bits(fifo_reset, 1, 1) @[dma_ctrl.scala 230:153] + node _T_659 = eq(_T_658, UInt<1>("h00")) @[dma_ctrl.scala 230:142] + node _T_660 = and(_T_657, _T_659) @[dma_ctrl.scala 230:140] + reg _T_661 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 230:89] + _T_661 <= _T_660 @[dma_ctrl.scala 230:89] + node _T_662 = bits(fifo_error_bus_en, 2, 2) @[dma_ctrl.scala 230:111] + node _T_663 = bits(fifo_error_bus, 2, 2) @[dma_ctrl.scala 230:135] + node _T_664 = mux(_T_662, UInt<1>("h01"), _T_663) @[dma_ctrl.scala 230:93] + node _T_665 = bits(fifo_reset, 2, 2) @[dma_ctrl.scala 230:153] + node _T_666 = eq(_T_665, UInt<1>("h00")) @[dma_ctrl.scala 230:142] + node _T_667 = and(_T_664, _T_666) @[dma_ctrl.scala 230:140] + reg _T_668 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 230:89] + _T_668 <= _T_667 @[dma_ctrl.scala 230:89] + node _T_669 = bits(fifo_error_bus_en, 3, 3) @[dma_ctrl.scala 230:111] + node _T_670 = bits(fifo_error_bus, 3, 3) @[dma_ctrl.scala 230:135] + node _T_671 = mux(_T_669, UInt<1>("h01"), _T_670) @[dma_ctrl.scala 230:93] + node _T_672 = bits(fifo_reset, 3, 3) @[dma_ctrl.scala 230:153] + node _T_673 = eq(_T_672, UInt<1>("h00")) @[dma_ctrl.scala 230:142] + node _T_674 = and(_T_671, _T_673) @[dma_ctrl.scala 230:140] + reg _T_675 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 230:89] + _T_675 <= _T_674 @[dma_ctrl.scala 230:89] + node _T_676 = bits(fifo_error_bus_en, 4, 4) @[dma_ctrl.scala 230:111] + node _T_677 = bits(fifo_error_bus, 4, 4) @[dma_ctrl.scala 230:135] + node _T_678 = mux(_T_676, UInt<1>("h01"), _T_677) @[dma_ctrl.scala 230:93] + node _T_679 = bits(fifo_reset, 4, 4) @[dma_ctrl.scala 230:153] + node _T_680 = eq(_T_679, UInt<1>("h00")) @[dma_ctrl.scala 230:142] + node _T_681 = and(_T_678, _T_680) @[dma_ctrl.scala 230:140] + reg _T_682 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 230:89] + _T_682 <= _T_681 @[dma_ctrl.scala 230:89] + node _T_683 = cat(_T_682, _T_675) @[Cat.scala 29:58] + node _T_684 = cat(_T_683, _T_668) @[Cat.scala 29:58] + node _T_685 = cat(_T_684, _T_661) @[Cat.scala 29:58] + node _T_686 = cat(_T_685, _T_654) @[Cat.scala 29:58] + fifo_error_bus <= _T_686 @[dma_ctrl.scala 230:21] + node _T_687 = bits(fifo_pend_en, 0, 0) @[dma_ctrl.scala 232:106] + node _T_688 = bits(fifo_rpend, 0, 0) @[dma_ctrl.scala 232:126] + node _T_689 = mux(_T_687, UInt<1>("h01"), _T_688) @[dma_ctrl.scala 232:93] + node _T_690 = bits(fifo_reset, 0, 0) @[dma_ctrl.scala 232:144] + node _T_691 = eq(_T_690, UInt<1>("h00")) @[dma_ctrl.scala 232:133] + node _T_692 = and(_T_689, _T_691) @[dma_ctrl.scala 232:131] + reg _T_693 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 232:89] + _T_693 <= _T_692 @[dma_ctrl.scala 232:89] + node _T_694 = bits(fifo_pend_en, 1, 1) @[dma_ctrl.scala 232:106] + node _T_695 = bits(fifo_rpend, 1, 1) @[dma_ctrl.scala 232:126] + node _T_696 = mux(_T_694, UInt<1>("h01"), _T_695) @[dma_ctrl.scala 232:93] + node _T_697 = bits(fifo_reset, 1, 1) @[dma_ctrl.scala 232:144] + node _T_698 = eq(_T_697, UInt<1>("h00")) @[dma_ctrl.scala 232:133] + node _T_699 = and(_T_696, _T_698) @[dma_ctrl.scala 232:131] + reg _T_700 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 232:89] + _T_700 <= _T_699 @[dma_ctrl.scala 232:89] + node _T_701 = bits(fifo_pend_en, 2, 2) @[dma_ctrl.scala 232:106] + node _T_702 = bits(fifo_rpend, 2, 2) @[dma_ctrl.scala 232:126] + node _T_703 = mux(_T_701, UInt<1>("h01"), _T_702) @[dma_ctrl.scala 232:93] + node _T_704 = bits(fifo_reset, 2, 2) @[dma_ctrl.scala 232:144] + node _T_705 = eq(_T_704, UInt<1>("h00")) @[dma_ctrl.scala 232:133] + node _T_706 = and(_T_703, _T_705) @[dma_ctrl.scala 232:131] + reg _T_707 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 232:89] + _T_707 <= _T_706 @[dma_ctrl.scala 232:89] + node _T_708 = bits(fifo_pend_en, 3, 3) @[dma_ctrl.scala 232:106] + node _T_709 = bits(fifo_rpend, 3, 3) @[dma_ctrl.scala 232:126] + node _T_710 = mux(_T_708, UInt<1>("h01"), _T_709) @[dma_ctrl.scala 232:93] + node _T_711 = bits(fifo_reset, 3, 3) @[dma_ctrl.scala 232:144] + node _T_712 = eq(_T_711, UInt<1>("h00")) @[dma_ctrl.scala 232:133] + node _T_713 = and(_T_710, _T_712) @[dma_ctrl.scala 232:131] + reg _T_714 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 232:89] + _T_714 <= _T_713 @[dma_ctrl.scala 232:89] + node _T_715 = bits(fifo_pend_en, 4, 4) @[dma_ctrl.scala 232:106] + node _T_716 = bits(fifo_rpend, 4, 4) @[dma_ctrl.scala 232:126] + node _T_717 = mux(_T_715, UInt<1>("h01"), _T_716) @[dma_ctrl.scala 232:93] + node _T_718 = bits(fifo_reset, 4, 4) @[dma_ctrl.scala 232:144] + node _T_719 = eq(_T_718, UInt<1>("h00")) @[dma_ctrl.scala 232:133] + node _T_720 = and(_T_717, _T_719) @[dma_ctrl.scala 232:131] + reg _T_721 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 232:89] + _T_721 <= _T_720 @[dma_ctrl.scala 232:89] + node _T_722 = cat(_T_721, _T_714) @[Cat.scala 29:58] + node _T_723 = cat(_T_722, _T_707) @[Cat.scala 29:58] + node _T_724 = cat(_T_723, _T_700) @[Cat.scala 29:58] + node _T_725 = cat(_T_724, _T_693) @[Cat.scala 29:58] + fifo_rpend <= _T_725 @[dma_ctrl.scala 232:21] + node _T_726 = bits(fifo_done_en, 0, 0) @[dma_ctrl.scala 234:106] + node _T_727 = bits(fifo_done, 0, 0) @[dma_ctrl.scala 234:125] + node _T_728 = mux(_T_726, UInt<1>("h01"), _T_727) @[dma_ctrl.scala 234:93] + node _T_729 = bits(fifo_reset, 0, 0) @[dma_ctrl.scala 234:143] + node _T_730 = eq(_T_729, UInt<1>("h00")) @[dma_ctrl.scala 234:132] + node _T_731 = and(_T_728, _T_730) @[dma_ctrl.scala 234:130] + reg _T_732 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 234:89] + _T_732 <= _T_731 @[dma_ctrl.scala 234:89] + node _T_733 = bits(fifo_done_en, 1, 1) @[dma_ctrl.scala 234:106] + node _T_734 = bits(fifo_done, 1, 1) @[dma_ctrl.scala 234:125] + node _T_735 = mux(_T_733, UInt<1>("h01"), _T_734) @[dma_ctrl.scala 234:93] + node _T_736 = bits(fifo_reset, 1, 1) @[dma_ctrl.scala 234:143] + node _T_737 = eq(_T_736, UInt<1>("h00")) @[dma_ctrl.scala 234:132] + node _T_738 = and(_T_735, _T_737) @[dma_ctrl.scala 234:130] + reg _T_739 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 234:89] + _T_739 <= _T_738 @[dma_ctrl.scala 234:89] + node _T_740 = bits(fifo_done_en, 2, 2) @[dma_ctrl.scala 234:106] + node _T_741 = bits(fifo_done, 2, 2) @[dma_ctrl.scala 234:125] + node _T_742 = mux(_T_740, UInt<1>("h01"), _T_741) @[dma_ctrl.scala 234:93] + node _T_743 = bits(fifo_reset, 2, 2) @[dma_ctrl.scala 234:143] + node _T_744 = eq(_T_743, UInt<1>("h00")) @[dma_ctrl.scala 234:132] + node _T_745 = and(_T_742, _T_744) @[dma_ctrl.scala 234:130] + reg _T_746 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 234:89] + _T_746 <= _T_745 @[dma_ctrl.scala 234:89] + node _T_747 = bits(fifo_done_en, 3, 3) @[dma_ctrl.scala 234:106] + node _T_748 = bits(fifo_done, 3, 3) @[dma_ctrl.scala 234:125] + node _T_749 = mux(_T_747, UInt<1>("h01"), _T_748) @[dma_ctrl.scala 234:93] + node _T_750 = bits(fifo_reset, 3, 3) @[dma_ctrl.scala 234:143] + node _T_751 = eq(_T_750, UInt<1>("h00")) @[dma_ctrl.scala 234:132] + node _T_752 = and(_T_749, _T_751) @[dma_ctrl.scala 234:130] + reg _T_753 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 234:89] + _T_753 <= _T_752 @[dma_ctrl.scala 234:89] + node _T_754 = bits(fifo_done_en, 4, 4) @[dma_ctrl.scala 234:106] + node _T_755 = bits(fifo_done, 4, 4) @[dma_ctrl.scala 234:125] + node _T_756 = mux(_T_754, UInt<1>("h01"), _T_755) @[dma_ctrl.scala 234:93] + node _T_757 = bits(fifo_reset, 4, 4) @[dma_ctrl.scala 234:143] + node _T_758 = eq(_T_757, UInt<1>("h00")) @[dma_ctrl.scala 234:132] + node _T_759 = and(_T_756, _T_758) @[dma_ctrl.scala 234:130] + reg _T_760 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 234:89] + _T_760 <= _T_759 @[dma_ctrl.scala 234:89] + node _T_761 = cat(_T_760, _T_753) @[Cat.scala 29:58] + node _T_762 = cat(_T_761, _T_746) @[Cat.scala 29:58] + node _T_763 = cat(_T_762, _T_739) @[Cat.scala 29:58] + node _T_764 = cat(_T_763, _T_732) @[Cat.scala 29:58] + fifo_done <= _T_764 @[dma_ctrl.scala 234:21] + node _T_765 = bits(fifo_done_bus_en, 0, 0) @[dma_ctrl.scala 236:110] + node _T_766 = bits(fifo_done_bus, 0, 0) @[dma_ctrl.scala 236:133] + node _T_767 = mux(_T_765, UInt<1>("h01"), _T_766) @[dma_ctrl.scala 236:93] + node _T_768 = bits(fifo_reset, 0, 0) @[dma_ctrl.scala 236:151] + node _T_769 = eq(_T_768, UInt<1>("h00")) @[dma_ctrl.scala 236:140] + node _T_770 = and(_T_767, _T_769) @[dma_ctrl.scala 236:138] + reg _T_771 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 236:89] + _T_771 <= _T_770 @[dma_ctrl.scala 236:89] + node _T_772 = bits(fifo_done_bus_en, 1, 1) @[dma_ctrl.scala 236:110] + node _T_773 = bits(fifo_done_bus, 1, 1) @[dma_ctrl.scala 236:133] + node _T_774 = mux(_T_772, UInt<1>("h01"), _T_773) @[dma_ctrl.scala 236:93] + node _T_775 = bits(fifo_reset, 1, 1) @[dma_ctrl.scala 236:151] + node _T_776 = eq(_T_775, UInt<1>("h00")) @[dma_ctrl.scala 236:140] + node _T_777 = and(_T_774, _T_776) @[dma_ctrl.scala 236:138] + reg _T_778 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 236:89] + _T_778 <= _T_777 @[dma_ctrl.scala 236:89] + node _T_779 = bits(fifo_done_bus_en, 2, 2) @[dma_ctrl.scala 236:110] + node _T_780 = bits(fifo_done_bus, 2, 2) @[dma_ctrl.scala 236:133] + node _T_781 = mux(_T_779, UInt<1>("h01"), _T_780) @[dma_ctrl.scala 236:93] + node _T_782 = bits(fifo_reset, 2, 2) @[dma_ctrl.scala 236:151] + node _T_783 = eq(_T_782, UInt<1>("h00")) @[dma_ctrl.scala 236:140] + node _T_784 = and(_T_781, _T_783) @[dma_ctrl.scala 236:138] + reg _T_785 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 236:89] + _T_785 <= _T_784 @[dma_ctrl.scala 236:89] + node _T_786 = bits(fifo_done_bus_en, 3, 3) @[dma_ctrl.scala 236:110] + node _T_787 = bits(fifo_done_bus, 3, 3) @[dma_ctrl.scala 236:133] + node _T_788 = mux(_T_786, UInt<1>("h01"), _T_787) @[dma_ctrl.scala 236:93] + node _T_789 = bits(fifo_reset, 3, 3) @[dma_ctrl.scala 236:151] + node _T_790 = eq(_T_789, UInt<1>("h00")) @[dma_ctrl.scala 236:140] + node _T_791 = and(_T_788, _T_790) @[dma_ctrl.scala 236:138] + reg _T_792 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 236:89] + _T_792 <= _T_791 @[dma_ctrl.scala 236:89] + node _T_793 = bits(fifo_done_bus_en, 4, 4) @[dma_ctrl.scala 236:110] + node _T_794 = bits(fifo_done_bus, 4, 4) @[dma_ctrl.scala 236:133] + node _T_795 = mux(_T_793, UInt<1>("h01"), _T_794) @[dma_ctrl.scala 236:93] + node _T_796 = bits(fifo_reset, 4, 4) @[dma_ctrl.scala 236:151] + node _T_797 = eq(_T_796, UInt<1>("h00")) @[dma_ctrl.scala 236:140] + node _T_798 = and(_T_795, _T_797) @[dma_ctrl.scala 236:138] + reg _T_799 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 236:89] + _T_799 <= _T_798 @[dma_ctrl.scala 236:89] + node _T_800 = cat(_T_799, _T_792) @[Cat.scala 29:58] + node _T_801 = cat(_T_800, _T_785) @[Cat.scala 29:58] + node _T_802 = cat(_T_801, _T_778) @[Cat.scala 29:58] + node _T_803 = cat(_T_802, _T_771) @[Cat.scala 29:58] + fifo_done_bus <= _T_803 @[dma_ctrl.scala 236:21] + node _T_804 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 238:84] + inst rvclkhdr of rvclkhdr @[lib.scala 368:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 370:18] + rvclkhdr.io.en <= _T_804 @[lib.scala 371:17] + rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_805 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_805 <= fifo_addr_in @[lib.scala 374:16] + fifo_addr[0] <= _T_805 @[dma_ctrl.scala 238:49] + node _T_806 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 238:84] + inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 368:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_1.io.en <= _T_806 @[lib.scala 371:17] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_807 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_807 <= fifo_addr_in @[lib.scala 374:16] + fifo_addr[1] <= _T_807 @[dma_ctrl.scala 238:49] + node _T_808 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 238:84] + inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 368:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_2.io.en <= _T_808 @[lib.scala 371:17] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_809 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_809 <= fifo_addr_in @[lib.scala 374:16] + fifo_addr[2] <= _T_809 @[dma_ctrl.scala 238:49] + node _T_810 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 238:84] + inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 368:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_3.io.en <= _T_810 @[lib.scala 371:17] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_811 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_811 <= fifo_addr_in @[lib.scala 374:16] + fifo_addr[3] <= _T_811 @[dma_ctrl.scala 238:49] + node _T_812 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 238:84] + inst rvclkhdr_4 of rvclkhdr_4 @[lib.scala 368:23] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_4.io.en <= _T_812 @[lib.scala 371:17] + rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_813 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_813 <= fifo_addr_in @[lib.scala 374:16] + fifo_addr[4] <= _T_813 @[dma_ctrl.scala 238:49] + node _T_814 = bits(fifo_sz_in, 2, 0) @[dma_ctrl.scala 240:100] + node _T_815 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 240:123] + reg _T_816 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_815 : @[Reg.scala 28:19] + _T_816 <= _T_814 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + fifo_sz[0] <= _T_816 @[dma_ctrl.scala 240:47] + node _T_817 = bits(fifo_sz_in, 2, 0) @[dma_ctrl.scala 240:100] + node _T_818 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 240:123] + reg _T_819 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_818 : @[Reg.scala 28:19] + _T_819 <= _T_817 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + fifo_sz[1] <= _T_819 @[dma_ctrl.scala 240:47] + node _T_820 = bits(fifo_sz_in, 2, 0) @[dma_ctrl.scala 240:100] + node _T_821 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 240:123] + reg _T_822 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_821 : @[Reg.scala 28:19] + _T_822 <= _T_820 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + fifo_sz[2] <= _T_822 @[dma_ctrl.scala 240:47] + node _T_823 = bits(fifo_sz_in, 2, 0) @[dma_ctrl.scala 240:100] + node _T_824 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 240:123] + reg _T_825 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_824 : @[Reg.scala 28:19] + _T_825 <= _T_823 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + fifo_sz[3] <= _T_825 @[dma_ctrl.scala 240:47] + node _T_826 = bits(fifo_sz_in, 2, 0) @[dma_ctrl.scala 240:100] + node _T_827 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 240:123] + reg _T_828 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_827 : @[Reg.scala 28:19] + _T_828 <= _T_826 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + fifo_sz[4] <= _T_828 @[dma_ctrl.scala 240:47] + node _T_829 = bits(fifo_byteen_in, 7, 0) @[dma_ctrl.scala 242:108] + node _T_830 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 242:131] + node _T_831 = bits(_T_830, 0, 0) @[dma_ctrl.scala 242:141] + reg _T_832 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_831 : @[Reg.scala 28:19] + _T_832 <= _T_829 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + fifo_byteen[0] <= _T_832 @[dma_ctrl.scala 242:51] + node _T_833 = bits(fifo_byteen_in, 7, 0) @[dma_ctrl.scala 242:108] + node _T_834 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 242:131] + node _T_835 = bits(_T_834, 0, 0) @[dma_ctrl.scala 242:141] + reg _T_836 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_835 : @[Reg.scala 28:19] + _T_836 <= _T_833 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + fifo_byteen[1] <= _T_836 @[dma_ctrl.scala 242:51] + node _T_837 = bits(fifo_byteen_in, 7, 0) @[dma_ctrl.scala 242:108] + node _T_838 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 242:131] + node _T_839 = bits(_T_838, 0, 0) @[dma_ctrl.scala 242:141] + reg _T_840 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_839 : @[Reg.scala 28:19] + _T_840 <= _T_837 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + fifo_byteen[2] <= _T_840 @[dma_ctrl.scala 242:51] + node _T_841 = bits(fifo_byteen_in, 7, 0) @[dma_ctrl.scala 242:108] + node _T_842 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 242:131] + node _T_843 = bits(_T_842, 0, 0) @[dma_ctrl.scala 242:141] + reg _T_844 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_843 : @[Reg.scala 28:19] + _T_844 <= _T_841 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + fifo_byteen[3] <= _T_844 @[dma_ctrl.scala 242:51] + node _T_845 = bits(fifo_byteen_in, 7, 0) @[dma_ctrl.scala 242:108] + node _T_846 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 242:131] + node _T_847 = bits(_T_846, 0, 0) @[dma_ctrl.scala 242:141] + reg _T_848 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_847 : @[Reg.scala 28:19] + _T_848 <= _T_845 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + fifo_byteen[4] <= _T_848 @[dma_ctrl.scala 242:51] + node _T_849 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 244:129] + reg _T_850 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_849 : @[Reg.scala 28:19] + _T_850 <= fifo_write_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_851 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 244:129] + reg _T_852 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_851 : @[Reg.scala 28:19] + _T_852 <= fifo_write_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_853 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 244:129] + reg _T_854 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_853 : @[Reg.scala 28:19] + _T_854 <= fifo_write_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_855 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 244:129] + reg _T_856 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_855 : @[Reg.scala 28:19] + _T_856 <= fifo_write_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_857 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 244:129] + reg _T_858 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_857 : @[Reg.scala 28:19] + _T_858 <= fifo_write_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_859 = cat(_T_858, _T_856) @[Cat.scala 29:58] + node _T_860 = cat(_T_859, _T_854) @[Cat.scala 29:58] + node _T_861 = cat(_T_860, _T_852) @[Cat.scala 29:58] + node _T_862 = cat(_T_861, _T_850) @[Cat.scala 29:58] + fifo_write <= _T_862 @[dma_ctrl.scala 244:21] + node _T_863 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 246:136] + reg _T_864 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_863 : @[Reg.scala 28:19] + _T_864 <= fifo_posted_write_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_865 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 246:136] + reg _T_866 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_865 : @[Reg.scala 28:19] + _T_866 <= fifo_posted_write_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_867 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 246:136] + reg _T_868 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_867 : @[Reg.scala 28:19] + _T_868 <= fifo_posted_write_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_869 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 246:136] + reg _T_870 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_869 : @[Reg.scala 28:19] + _T_870 <= fifo_posted_write_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_871 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 246:136] + reg _T_872 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_871 : @[Reg.scala 28:19] + _T_872 <= fifo_posted_write_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_873 = cat(_T_872, _T_870) @[Cat.scala 29:58] + node _T_874 = cat(_T_873, _T_868) @[Cat.scala 29:58] + node _T_875 = cat(_T_874, _T_866) @[Cat.scala 29:58] + node _T_876 = cat(_T_875, _T_864) @[Cat.scala 29:58] + fifo_posted_write <= _T_876 @[dma_ctrl.scala 246:21] + node _T_877 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 248:126] + reg _T_878 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_877 : @[Reg.scala 28:19] + _T_878 <= io.dbg_dma.dbg_ib.dbg_cmd_valid @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_879 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 248:126] + reg _T_880 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_879 : @[Reg.scala 28:19] + _T_880 <= io.dbg_dma.dbg_ib.dbg_cmd_valid @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_881 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 248:126] + reg _T_882 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_881 : @[Reg.scala 28:19] + _T_882 <= io.dbg_dma.dbg_ib.dbg_cmd_valid @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_883 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 248:126] + reg _T_884 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_883 : @[Reg.scala 28:19] + _T_884 <= io.dbg_dma.dbg_ib.dbg_cmd_valid @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_885 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 248:126] + reg _T_886 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_885 : @[Reg.scala 28:19] + _T_886 <= io.dbg_dma.dbg_ib.dbg_cmd_valid @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_887 = cat(_T_886, _T_884) @[Cat.scala 29:58] + node _T_888 = cat(_T_887, _T_882) @[Cat.scala 29:58] + node _T_889 = cat(_T_888, _T_880) @[Cat.scala 29:58] + node _T_890 = cat(_T_889, _T_878) @[Cat.scala 29:58] + fifo_dbg <= _T_890 @[dma_ctrl.scala 248:21] + node _T_891 = bits(fifo_data_en, 0, 0) @[dma_ctrl.scala 250:88] + inst rvclkhdr_5 of rvclkhdr_5 @[lib.scala 368:23] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_5.io.en <= _T_891 @[lib.scala 371:17] + rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_892 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_892 <= fifo_data_in[0] @[lib.scala 374:16] + fifo_data[0] <= _T_892 @[dma_ctrl.scala 250:49] + node _T_893 = bits(fifo_data_en, 1, 1) @[dma_ctrl.scala 250:88] + inst rvclkhdr_6 of rvclkhdr_6 @[lib.scala 368:23] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= reset + rvclkhdr_6.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_6.io.en <= _T_893 @[lib.scala 371:17] + rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_894 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_894 <= fifo_data_in[1] @[lib.scala 374:16] + fifo_data[1] <= _T_894 @[dma_ctrl.scala 250:49] + node _T_895 = bits(fifo_data_en, 2, 2) @[dma_ctrl.scala 250:88] + inst rvclkhdr_7 of rvclkhdr_7 @[lib.scala 368:23] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= reset + rvclkhdr_7.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_7.io.en <= _T_895 @[lib.scala 371:17] + rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_896 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_896 <= fifo_data_in[2] @[lib.scala 374:16] + fifo_data[2] <= _T_896 @[dma_ctrl.scala 250:49] + node _T_897 = bits(fifo_data_en, 3, 3) @[dma_ctrl.scala 250:88] + inst rvclkhdr_8 of rvclkhdr_8 @[lib.scala 368:23] + rvclkhdr_8.clock <= clock + rvclkhdr_8.reset <= reset + rvclkhdr_8.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_8.io.en <= _T_897 @[lib.scala 371:17] + rvclkhdr_8.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_898 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_898 <= fifo_data_in[3] @[lib.scala 374:16] + fifo_data[3] <= _T_898 @[dma_ctrl.scala 250:49] + node _T_899 = bits(fifo_data_en, 4, 4) @[dma_ctrl.scala 250:88] + inst rvclkhdr_9 of rvclkhdr_9 @[lib.scala 368:23] + rvclkhdr_9.clock <= clock + rvclkhdr_9.reset <= reset + rvclkhdr_9.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_9.io.en <= _T_899 @[lib.scala 371:17] + rvclkhdr_9.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_900 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_900 <= fifo_data_in[4] @[lib.scala 374:16] + fifo_data[4] <= _T_900 @[dma_ctrl.scala 250:49] + node _T_901 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 252:120] + reg _T_902 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_901 : @[Reg.scala 28:19] + _T_902 <= bus_cmd_tag @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + fifo_tag[0] <= _T_902 @[dma_ctrl.scala 252:48] + node _T_903 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 252:120] + reg _T_904 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_903 : @[Reg.scala 28:19] + _T_904 <= bus_cmd_tag @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + fifo_tag[1] <= _T_904 @[dma_ctrl.scala 252:48] + node _T_905 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 252:120] + reg _T_906 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_905 : @[Reg.scala 28:19] + _T_906 <= bus_cmd_tag @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + fifo_tag[2] <= _T_906 @[dma_ctrl.scala 252:48] + node _T_907 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 252:120] + reg _T_908 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_907 : @[Reg.scala 28:19] + _T_908 <= bus_cmd_tag @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + fifo_tag[3] <= _T_908 @[dma_ctrl.scala 252:48] + node _T_909 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 252:120] + reg _T_910 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_909 : @[Reg.scala 28:19] + _T_910 <= bus_cmd_tag @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + fifo_tag[4] <= _T_910 @[dma_ctrl.scala 252:48] + node _T_911 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 254:120] + reg _T_912 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_911 : @[Reg.scala 28:19] + _T_912 <= bus_cmd_mid @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + fifo_mid[0] <= _T_912 @[dma_ctrl.scala 254:48] + node _T_913 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 254:120] + reg _T_914 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_913 : @[Reg.scala 28:19] + _T_914 <= bus_cmd_mid @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + fifo_mid[1] <= _T_914 @[dma_ctrl.scala 254:48] + node _T_915 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 254:120] + reg _T_916 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_915 : @[Reg.scala 28:19] + _T_916 <= bus_cmd_mid @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + fifo_mid[2] <= _T_916 @[dma_ctrl.scala 254:48] + node _T_917 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 254:120] + reg _T_918 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_917 : @[Reg.scala 28:19] + _T_918 <= bus_cmd_mid @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + fifo_mid[3] <= _T_918 @[dma_ctrl.scala 254:48] + node _T_919 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 254:120] + reg _T_920 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_919 : @[Reg.scala 28:19] + _T_920 <= bus_cmd_mid @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + fifo_mid[4] <= _T_920 @[dma_ctrl.scala 254:48] + node _T_921 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 256:122] + reg _T_922 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_921 : @[Reg.scala 28:19] + _T_922 <= bus_cmd_prty @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + fifo_prty[0] <= _T_922 @[dma_ctrl.scala 256:49] + node _T_923 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 256:122] + reg _T_924 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_923 : @[Reg.scala 28:19] + _T_924 <= bus_cmd_prty @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + fifo_prty[1] <= _T_924 @[dma_ctrl.scala 256:49] + node _T_925 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 256:122] + reg _T_926 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_925 : @[Reg.scala 28:19] + _T_926 <= bus_cmd_prty @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + fifo_prty[2] <= _T_926 @[dma_ctrl.scala 256:49] + node _T_927 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 256:122] + reg _T_928 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_927 : @[Reg.scala 28:19] + _T_928 <= bus_cmd_prty @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + fifo_prty[3] <= _T_928 @[dma_ctrl.scala 256:49] + node _T_929 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 256:122] + reg _T_930 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_929 : @[Reg.scala 28:19] + _T_930 <= bus_cmd_prty @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + fifo_prty[4] <= _T_930 @[dma_ctrl.scala 256:49] + node _T_931 = eq(WrPtr, UInt<3>("h04")) @[dma_ctrl.scala 260:30] + node _T_932 = bits(_T_931, 0, 0) @[dma_ctrl.scala 260:57] + node _T_933 = add(WrPtr, UInt<1>("h01")) @[dma_ctrl.scala 260:76] + node _T_934 = tail(_T_933, 1) @[dma_ctrl.scala 260:76] + node _T_935 = mux(_T_932, UInt<1>("h00"), _T_934) @[dma_ctrl.scala 260:22] + NxtWrPtr <= _T_935 @[dma_ctrl.scala 260:16] + node _T_936 = eq(RdPtr, UInt<3>("h04")) @[dma_ctrl.scala 262:30] + node _T_937 = bits(_T_936, 0, 0) @[dma_ctrl.scala 262:57] + node _T_938 = add(RdPtr, UInt<1>("h01")) @[dma_ctrl.scala 262:76] + node _T_939 = tail(_T_938, 1) @[dma_ctrl.scala 262:76] + node _T_940 = mux(_T_937, UInt<1>("h00"), _T_939) @[dma_ctrl.scala 262:22] + NxtRdPtr <= _T_940 @[dma_ctrl.scala 262:16] + node _T_941 = eq(RspPtr, UInt<3>("h04")) @[dma_ctrl.scala 264:31] + node _T_942 = bits(_T_941, 0, 0) @[dma_ctrl.scala 264:58] + node _T_943 = add(RspPtr, UInt<1>("h01")) @[dma_ctrl.scala 264:78] + node _T_944 = tail(_T_943, 1) @[dma_ctrl.scala 264:78] + node _T_945 = mux(_T_942, UInt<1>("h00"), _T_944) @[dma_ctrl.scala 264:22] + NxtRspPtr <= _T_945 @[dma_ctrl.scala 264:16] + node WrPtrEn = orr(fifo_cmd_en) @[dma_ctrl.scala 266:30] + node _T_946 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 268:55] + node _T_947 = bits(dma_address_error, 0, 0) @[dma_ctrl.scala 268:114] + node _T_948 = bits(dma_alignment_error, 0, 0) @[dma_ctrl.scala 268:143] + node _T_949 = or(_T_947, _T_948) @[dma_ctrl.scala 268:121] + node _T_950 = or(_T_949, dma_dbg_cmd_error) @[dma_ctrl.scala 268:150] + node RdPtrEn = or(_T_946, _T_950) @[dma_ctrl.scala 268:93] + node _T_951 = or(bus_rsp_sent, bus_posted_write_done) @[dma_ctrl.scala 270:55] + node _T_952 = and(_T_951, io.dma_bus_clk_en) @[dma_ctrl.scala 270:80] + node RspPtrEn = or(io.dma_dbg_cmd_done, _T_952) @[dma_ctrl.scala 270:39] + reg _T_953 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when WrPtrEn : @[Reg.scala 28:19] + _T_953 <= NxtWrPtr @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + WrPtr <= _T_953 @[dma_ctrl.scala 272:16] + node _T_954 = bits(RdPtrEn, 0, 0) @[dma_ctrl.scala 277:38] + reg _T_955 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_954 : @[Reg.scala 28:19] + _T_955 <= NxtRdPtr @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + RdPtr <= _T_955 @[dma_ctrl.scala 276:16] + node _T_956 = bits(RspPtrEn, 0, 0) @[dma_ctrl.scala 281:40] + reg _T_957 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_956 : @[Reg.scala 28:19] + _T_957 <= NxtRspPtr @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + RspPtr <= _T_957 @[dma_ctrl.scala 280:16] + wire num_fifo_vld_tmp : UInt<4> + num_fifo_vld_tmp <= UInt<1>("h00") + wire num_fifo_vld_tmp2 : UInt<4> + num_fifo_vld_tmp2 <= UInt<1>("h00") + node _T_958 = mux(UInt<1>("h00"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_959 = cat(_T_958, axi_mstr_prty_en) @[Cat.scala 29:58] + node _T_960 = mux(UInt<1>("h00"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_961 = cat(_T_960, bus_rsp_sent) @[Cat.scala 29:58] + node _T_962 = sub(_T_959, _T_961) @[dma_ctrl.scala 291:62] + node _T_963 = tail(_T_962, 1) @[dma_ctrl.scala 291:62] + num_fifo_vld_tmp <= _T_963 @[dma_ctrl.scala 291:25] + node _T_964 = mux(UInt<1>("h00"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_965 = bits(fifo_valid, 0, 0) @[dma_ctrl.scala 293:88] + node _T_966 = cat(_T_964, _T_965) @[Cat.scala 29:58] + node _T_967 = mux(UInt<1>("h00"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_968 = bits(fifo_valid, 1, 1) @[dma_ctrl.scala 293:88] + node _T_969 = cat(_T_967, _T_968) @[Cat.scala 29:58] + node _T_970 = mux(UInt<1>("h00"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_971 = bits(fifo_valid, 2, 2) @[dma_ctrl.scala 293:88] + node _T_972 = cat(_T_970, _T_971) @[Cat.scala 29:58] + node _T_973 = mux(UInt<1>("h00"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_974 = bits(fifo_valid, 3, 3) @[dma_ctrl.scala 293:88] + node _T_975 = cat(_T_973, _T_974) @[Cat.scala 29:58] + node _T_976 = mux(UInt<1>("h00"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_977 = bits(fifo_valid, 4, 4) @[dma_ctrl.scala 293:88] + node _T_978 = cat(_T_976, _T_977) @[Cat.scala 29:58] + node _T_979 = add(_T_966, _T_969) @[dma_ctrl.scala 293:102] + node _T_980 = tail(_T_979, 1) @[dma_ctrl.scala 293:102] + node _T_981 = add(_T_980, _T_972) @[dma_ctrl.scala 293:102] + node _T_982 = tail(_T_981, 1) @[dma_ctrl.scala 293:102] + node _T_983 = add(_T_982, _T_975) @[dma_ctrl.scala 293:102] + node _T_984 = tail(_T_983, 1) @[dma_ctrl.scala 293:102] + node _T_985 = add(_T_984, _T_978) @[dma_ctrl.scala 293:102] + node _T_986 = tail(_T_985, 1) @[dma_ctrl.scala 293:102] + num_fifo_vld_tmp2 <= _T_986 @[dma_ctrl.scala 293:25] + node _T_987 = add(num_fifo_vld_tmp, num_fifo_vld_tmp2) @[dma_ctrl.scala 295:45] + node _T_988 = tail(_T_987, 1) @[dma_ctrl.scala 295:45] + num_fifo_vld <= _T_988 @[dma_ctrl.scala 295:25] + node fifo_full_spec = geq(num_fifo_vld, UInt<3>("h05")) @[dma_ctrl.scala 297:41] + node _T_989 = or(fifo_full, dbg_dma_bubble_bus) @[dma_ctrl.scala 299:39] + node dma_fifo_ready = not(_T_989) @[dma_ctrl.scala 299:27] + node _T_990 = dshr(fifo_valid, RdPtr) @[dma_ctrl.scala 303:38] + node _T_991 = bits(_T_990, 0, 0) @[dma_ctrl.scala 303:38] + node _T_992 = dshr(fifo_done, RdPtr) @[dma_ctrl.scala 303:58] + node _T_993 = bits(_T_992, 0, 0) @[dma_ctrl.scala 303:58] + node _T_994 = eq(_T_993, UInt<1>("h00")) @[dma_ctrl.scala 303:48] + node _T_995 = and(_T_991, _T_994) @[dma_ctrl.scala 303:46] + node _T_996 = dshr(fifo_dbg, RdPtr) @[dma_ctrl.scala 303:77] + node _T_997 = bits(_T_996, 0, 0) @[dma_ctrl.scala 303:77] + node _T_998 = eq(_T_997, UInt<1>("h00")) @[dma_ctrl.scala 303:68] + node _T_999 = and(_T_995, _T_998) @[dma_ctrl.scala 303:66] + node _T_1000 = or(dma_mem_addr_in_dccm, dma_mem_addr_in_iccm) @[dma_ctrl.scala 303:111] + node _T_1001 = not(_T_1000) @[dma_ctrl.scala 303:88] + node _T_1002 = and(_T_999, _T_1001) @[dma_ctrl.scala 303:85] + dma_address_error <= _T_1002 @[dma_ctrl.scala 303:25] + node _T_1003 = dshr(fifo_valid, RdPtr) @[dma_ctrl.scala 304:38] + node _T_1004 = bits(_T_1003, 0, 0) @[dma_ctrl.scala 304:38] + node _T_1005 = dshr(fifo_done, RdPtr) @[dma_ctrl.scala 304:58] + node _T_1006 = bits(_T_1005, 0, 0) @[dma_ctrl.scala 304:58] + node _T_1007 = eq(_T_1006, UInt<1>("h00")) @[dma_ctrl.scala 304:48] + node _T_1008 = and(_T_1004, _T_1007) @[dma_ctrl.scala 304:46] + node _T_1009 = eq(dma_address_error, UInt<1>("h00")) @[dma_ctrl.scala 304:68] + node _T_1010 = and(_T_1008, _T_1009) @[dma_ctrl.scala 304:66] + node _T_1011 = bits(dma_mem_sz_int, 2, 0) @[dma_ctrl.scala 305:22] + node _T_1012 = eq(_T_1011, UInt<1>("h01")) @[dma_ctrl.scala 305:28] + node _T_1013 = bits(dma_mem_addr_int, 0, 0) @[dma_ctrl.scala 305:55] + node _T_1014 = and(_T_1012, _T_1013) @[dma_ctrl.scala 305:37] + node _T_1015 = bits(dma_mem_sz_int, 2, 0) @[dma_ctrl.scala 306:23] + node _T_1016 = eq(_T_1015, UInt<2>("h02")) @[dma_ctrl.scala 306:29] + node _T_1017 = bits(dma_mem_addr_int, 1, 0) @[dma_ctrl.scala 306:57] + node _T_1018 = orr(_T_1017) @[dma_ctrl.scala 306:64] + node _T_1019 = and(_T_1016, _T_1018) @[dma_ctrl.scala 306:38] + node _T_1020 = or(_T_1014, _T_1019) @[dma_ctrl.scala 305:60] + node _T_1021 = bits(dma_mem_sz_int, 2, 0) @[dma_ctrl.scala 307:23] + node _T_1022 = eq(_T_1021, UInt<2>("h03")) @[dma_ctrl.scala 307:29] + node _T_1023 = bits(dma_mem_addr_int, 2, 0) @[dma_ctrl.scala 307:57] + node _T_1024 = orr(_T_1023) @[dma_ctrl.scala 307:64] + node _T_1025 = and(_T_1022, _T_1024) @[dma_ctrl.scala 307:38] + node _T_1026 = or(_T_1020, _T_1025) @[dma_ctrl.scala 306:70] + node _T_1027 = bits(dma_mem_sz_int, 1, 0) @[dma_ctrl.scala 308:48] + node _T_1028 = eq(_T_1027, UInt<2>("h02")) @[dma_ctrl.scala 308:55] + node _T_1029 = bits(dma_mem_sz_int, 1, 0) @[dma_ctrl.scala 308:81] + node _T_1030 = eq(_T_1029, UInt<2>("h03")) @[dma_ctrl.scala 308:88] + node _T_1031 = or(_T_1028, _T_1030) @[dma_ctrl.scala 308:64] + node _T_1032 = not(_T_1031) @[dma_ctrl.scala 308:31] + node _T_1033 = and(dma_mem_addr_in_iccm, _T_1032) @[dma_ctrl.scala 308:29] + node _T_1034 = or(_T_1026, _T_1033) @[dma_ctrl.scala 307:70] + node _T_1035 = and(dma_mem_addr_in_dccm, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[dma_ctrl.scala 309:29] + node _T_1036 = bits(dma_mem_sz_int, 1, 0) @[dma_ctrl.scala 309:87] + node _T_1037 = eq(_T_1036, UInt<2>("h02")) @[dma_ctrl.scala 309:94] + node _T_1038 = bits(dma_mem_sz_int, 1, 0) @[dma_ctrl.scala 309:120] + node _T_1039 = eq(_T_1038, UInt<2>("h03")) @[dma_ctrl.scala 309:127] + node _T_1040 = or(_T_1037, _T_1039) @[dma_ctrl.scala 309:103] + node _T_1041 = not(_T_1040) @[dma_ctrl.scala 309:70] + node _T_1042 = and(_T_1035, _T_1041) @[dma_ctrl.scala 309:68] + node _T_1043 = or(_T_1034, _T_1042) @[dma_ctrl.scala 308:108] + node _T_1044 = bits(dma_mem_sz_int, 2, 0) @[dma_ctrl.scala 310:62] + node _T_1045 = eq(_T_1044, UInt<2>("h02")) @[dma_ctrl.scala 310:69] + node _T_1046 = and(io.lsu_dma.dma_lsc_ctl.dma_mem_write, _T_1045) @[dma_ctrl.scala 310:45] + node _T_1047 = bits(dma_mem_addr_int, 2, 0) @[dma_ctrl.scala 310:108] + node _T_1048 = eq(_T_1047, UInt<1>("h00")) @[dma_ctrl.scala 310:114] + node _T_1049 = bits(dma_mem_byteen, 3, 0) @[dma_ctrl.scala 310:141] + node _T_1050 = bits(dma_mem_addr_int, 2, 0) @[dma_ctrl.scala 311:26] + node _T_1051 = eq(_T_1050, UInt<1>("h01")) @[dma_ctrl.scala 311:32] + node _T_1052 = bits(dma_mem_byteen, 4, 1) @[dma_ctrl.scala 311:59] + node _T_1053 = bits(dma_mem_addr_int, 2, 0) @[dma_ctrl.scala 312:26] + node _T_1054 = eq(_T_1053, UInt<2>("h02")) @[dma_ctrl.scala 312:32] + node _T_1055 = bits(dma_mem_byteen, 5, 2) @[dma_ctrl.scala 312:59] + node _T_1056 = bits(dma_mem_addr_int, 2, 0) @[dma_ctrl.scala 313:26] + node _T_1057 = eq(_T_1056, UInt<2>("h03")) @[dma_ctrl.scala 313:32] + node _T_1058 = bits(dma_mem_byteen, 6, 3) @[dma_ctrl.scala 313:59] + node _T_1059 = bits(dma_mem_addr_int, 2, 0) @[dma_ctrl.scala 314:26] + node _T_1060 = eq(_T_1059, UInt<3>("h04")) @[dma_ctrl.scala 314:32] + node _T_1061 = bits(dma_mem_byteen, 7, 4) @[dma_ctrl.scala 314:59] + node _T_1062 = bits(dma_mem_addr_int, 2, 0) @[dma_ctrl.scala 315:26] + node _T_1063 = eq(_T_1062, UInt<3>("h05")) @[dma_ctrl.scala 315:32] + node _T_1064 = bits(dma_mem_byteen, 7, 5) @[dma_ctrl.scala 315:59] + node _T_1065 = bits(dma_mem_addr_int, 2, 0) @[dma_ctrl.scala 316:26] + node _T_1066 = eq(_T_1065, UInt<3>("h06")) @[dma_ctrl.scala 316:32] + node _T_1067 = bits(dma_mem_byteen, 7, 6) @[dma_ctrl.scala 316:59] + node _T_1068 = bits(dma_mem_addr_int, 2, 0) @[dma_ctrl.scala 317:26] + node _T_1069 = eq(_T_1068, UInt<3>("h07")) @[dma_ctrl.scala 317:32] + node _T_1070 = bits(dma_mem_byteen, 7, 7) @[dma_ctrl.scala 317:59] + node _T_1071 = mux(_T_1048, _T_1049, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1072 = mux(_T_1051, _T_1052, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1073 = mux(_T_1054, _T_1055, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1074 = mux(_T_1057, _T_1058, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1075 = mux(_T_1060, _T_1061, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1076 = mux(_T_1063, _T_1064, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1077 = mux(_T_1066, _T_1067, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1078 = mux(_T_1069, _T_1070, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1079 = or(_T_1071, _T_1072) @[Mux.scala 27:72] + node _T_1080 = or(_T_1079, _T_1073) @[Mux.scala 27:72] + node _T_1081 = or(_T_1080, _T_1074) @[Mux.scala 27:72] + node _T_1082 = or(_T_1081, _T_1075) @[Mux.scala 27:72] + node _T_1083 = or(_T_1082, _T_1076) @[Mux.scala 27:72] + node _T_1084 = or(_T_1083, _T_1077) @[Mux.scala 27:72] + node _T_1085 = or(_T_1084, _T_1078) @[Mux.scala 27:72] + wire _T_1086 : UInt<4> @[Mux.scala 27:72] + _T_1086 <= _T_1085 @[Mux.scala 27:72] + node _T_1087 = neq(_T_1086, UInt<4>("h0f")) @[dma_ctrl.scala 317:66] + node _T_1088 = and(_T_1046, _T_1087) @[dma_ctrl.scala 310:78] + node _T_1089 = or(_T_1043, _T_1088) @[dma_ctrl.scala 309:145] + node _T_1090 = bits(dma_mem_sz_int, 2, 0) @[dma_ctrl.scala 318:62] + node _T_1091 = eq(_T_1090, UInt<2>("h03")) @[dma_ctrl.scala 318:69] + node _T_1092 = and(io.lsu_dma.dma_lsc_ctl.dma_mem_write, _T_1091) @[dma_ctrl.scala 318:45] + node _T_1093 = bits(dma_mem_byteen, 7, 0) @[dma_ctrl.scala 318:97] + node _T_1094 = eq(_T_1093, UInt<4>("h0f")) @[dma_ctrl.scala 318:103] + node _T_1095 = bits(dma_mem_byteen, 7, 0) @[dma_ctrl.scala 318:133] + node _T_1096 = eq(_T_1095, UInt<8>("h0f0")) @[dma_ctrl.scala 318:139] + node _T_1097 = or(_T_1094, _T_1096) @[dma_ctrl.scala 318:116] + node _T_1098 = bits(dma_mem_byteen, 7, 0) @[dma_ctrl.scala 318:169] + node _T_1099 = eq(_T_1098, UInt<8>("h0ff")) @[dma_ctrl.scala 318:175] + node _T_1100 = or(_T_1097, _T_1099) @[dma_ctrl.scala 318:152] + node _T_1101 = eq(_T_1100, UInt<1>("h00")) @[dma_ctrl.scala 318:80] + node _T_1102 = and(_T_1092, _T_1101) @[dma_ctrl.scala 318:78] + node _T_1103 = or(_T_1089, _T_1102) @[dma_ctrl.scala 317:79] + node _T_1104 = and(_T_1010, _T_1103) @[dma_ctrl.scala 304:87] + dma_alignment_error <= _T_1104 @[dma_ctrl.scala 304:25] + node _T_1105 = and(fifo_empty, dbg_dma_bubble_bus) @[dma_ctrl.scala 323:50] + io.dbg_dma_io.dma_dbg_ready <= _T_1105 @[dma_ctrl.scala 323:36] + node _T_1106 = dshr(fifo_valid, RspPtr) @[dma_ctrl.scala 324:39] + node _T_1107 = bits(_T_1106, 0, 0) @[dma_ctrl.scala 324:39] + node _T_1108 = dshr(fifo_dbg, RspPtr) @[dma_ctrl.scala 324:58] + node _T_1109 = bits(_T_1108, 0, 0) @[dma_ctrl.scala 324:58] + node _T_1110 = and(_T_1107, _T_1109) @[dma_ctrl.scala 324:48] + node _T_1111 = dshr(fifo_done, RspPtr) @[dma_ctrl.scala 324:78] + node _T_1112 = bits(_T_1111, 0, 0) @[dma_ctrl.scala 324:78] + node _T_1113 = and(_T_1110, _T_1112) @[dma_ctrl.scala 324:67] + io.dma_dbg_cmd_done <= _T_1113 @[dma_ctrl.scala 324:25] + node _T_1114 = bits(fifo_addr[RspPtr], 2, 2) @[dma_ctrl.scala 325:49] + node _T_1115 = bits(fifo_data[RspPtr], 63, 32) @[dma_ctrl.scala 325:71] + node _T_1116 = bits(fifo_data[RspPtr], 31, 0) @[dma_ctrl.scala 325:98] + node _T_1117 = mux(_T_1114, _T_1115, _T_1116) @[dma_ctrl.scala 325:31] + io.dma_dbg_rddata <= _T_1117 @[dma_ctrl.scala 325:25] + node _T_1118 = orr(fifo_error[RspPtr]) @[dma_ctrl.scala 326:47] + io.dma_dbg_cmd_fail <= _T_1118 @[dma_ctrl.scala 326:25] + node _T_1119 = dshr(fifo_valid, RdPtr) @[dma_ctrl.scala 328:38] + node _T_1120 = bits(_T_1119, 0, 0) @[dma_ctrl.scala 328:38] + node _T_1121 = dshr(fifo_done, RdPtr) @[dma_ctrl.scala 328:58] + node _T_1122 = bits(_T_1121, 0, 0) @[dma_ctrl.scala 328:58] + node _T_1123 = eq(_T_1122, UInt<1>("h00")) @[dma_ctrl.scala 328:48] + node _T_1124 = and(_T_1120, _T_1123) @[dma_ctrl.scala 328:46] + node _T_1125 = dshr(fifo_dbg, RdPtr) @[dma_ctrl.scala 328:76] + node _T_1126 = bits(_T_1125, 0, 0) @[dma_ctrl.scala 328:76] + node _T_1127 = and(_T_1124, _T_1126) @[dma_ctrl.scala 328:66] + node _T_1128 = or(dma_mem_addr_in_dccm, dma_mem_addr_in_iccm) @[dma_ctrl.scala 328:111] + node _T_1129 = or(_T_1128, dma_mem_addr_in_pic) @[dma_ctrl.scala 328:134] + node _T_1130 = not(_T_1129) @[dma_ctrl.scala 328:88] + node _T_1131 = bits(_T_1130, 0, 0) @[dma_ctrl.scala 328:164] + node _T_1132 = bits(dma_mem_sz_int, 1, 0) @[dma_ctrl.scala 328:184] + node _T_1133 = neq(_T_1132, UInt<2>("h02")) @[dma_ctrl.scala 328:191] + node _T_1134 = or(_T_1131, _T_1133) @[dma_ctrl.scala 328:167] + node _T_1135 = and(_T_1127, _T_1134) @[dma_ctrl.scala 328:84] + dma_dbg_cmd_error <= _T_1135 @[dma_ctrl.scala 328:25] + node _T_1136 = or(dma_mem_addr_in_dccm, dma_mem_addr_in_pic) @[dma_ctrl.scala 332:80] + node _T_1137 = and(dma_mem_req, _T_1136) @[dma_ctrl.scala 332:56] + node _T_1138 = geq(dma_nack_count, dma_nack_count_csr) @[dma_ctrl.scala 332:121] + node _T_1139 = and(_T_1137, _T_1138) @[dma_ctrl.scala 332:103] + io.dec_dma.tlu_dma.dma_dccm_stall_any <= _T_1139 @[dma_ctrl.scala 332:41] + node _T_1140 = and(dma_mem_req, dma_mem_addr_in_iccm) @[dma_ctrl.scala 333:56] + node _T_1141 = geq(dma_nack_count, dma_nack_count_csr) @[dma_ctrl.scala 333:97] + node _T_1142 = and(_T_1140, _T_1141) @[dma_ctrl.scala 333:79] + io.ifu_dma.dma_ifc.dma_iccm_stall_any <= _T_1142 @[dma_ctrl.scala 333:41] + io.dec_dma.tlu_dma.dma_iccm_stall_any <= io.ifu_dma.dma_ifc.dma_iccm_stall_any @[dma_ctrl.scala 334:41] + io.dec_dma.dctl_dma.dma_dccm_stall_any <= io.dec_dma.tlu_dma.dma_dccm_stall_any @[dma_ctrl.scala 335:42] + node _T_1143 = orr(fifo_valid) @[dma_ctrl.scala 338:30] + node _T_1144 = not(_T_1143) @[dma_ctrl.scala 338:17] + fifo_empty <= _T_1144 @[dma_ctrl.scala 338:14] + dma_nack_count_csr <= io.dec_dma.tlu_dma.dec_tlu_dma_qos_prty @[dma_ctrl.scala 342:22] + node _T_1145 = geq(dma_nack_count, dma_nack_count_csr) @[dma_ctrl.scala 343:45] + node _T_1146 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 343:115] + node _T_1147 = eq(_T_1146, UInt<1>("h00")) @[dma_ctrl.scala 343:77] + node _T_1148 = bits(_T_1147, 0, 0) @[Bitwise.scala 72:15] + node _T_1149 = mux(_T_1148, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_1150 = bits(dma_nack_count, 2, 0) @[dma_ctrl.scala 343:171] + node _T_1151 = and(_T_1149, _T_1150) @[dma_ctrl.scala 343:155] + node _T_1152 = bits(dma_mem_req, 0, 0) @[dma_ctrl.scala 343:196] + node _T_1153 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 343:243] + node _T_1154 = eq(_T_1153, UInt<1>("h00")) @[dma_ctrl.scala 343:205] + node _T_1155 = and(_T_1152, _T_1154) @[dma_ctrl.scala 343:203] + node _T_1156 = bits(dma_nack_count, 2, 0) @[dma_ctrl.scala 343:298] + node _T_1157 = add(_T_1156, UInt<1>("h01")) @[dma_ctrl.scala 343:304] + node _T_1158 = tail(_T_1157, 1) @[dma_ctrl.scala 343:304] + node _T_1159 = mux(_T_1155, _T_1158, UInt<1>("h00")) @[dma_ctrl.scala 343:182] + node dma_nack_count_d = mux(_T_1145, _T_1151, _T_1159) @[dma_ctrl.scala 343:29] + node _T_1160 = bits(dma_nack_count_d, 2, 0) @[dma_ctrl.scala 346:31] + node _T_1161 = bits(dma_mem_req, 0, 0) @[dma_ctrl.scala 346:55] + reg _T_1162 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1161 : @[Reg.scala 28:19] + _T_1162 <= _T_1160 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + dma_nack_count <= _T_1162 @[dma_ctrl.scala 345:22] + node _T_1163 = dshr(fifo_valid, RdPtr) @[dma_ctrl.scala 351:33] + node _T_1164 = bits(_T_1163, 0, 0) @[dma_ctrl.scala 351:33] + node _T_1165 = dshr(fifo_rpend, RdPtr) @[dma_ctrl.scala 351:54] + node _T_1166 = bits(_T_1165, 0, 0) @[dma_ctrl.scala 351:54] + node _T_1167 = eq(_T_1166, UInt<1>("h00")) @[dma_ctrl.scala 351:43] + node _T_1168 = and(_T_1164, _T_1167) @[dma_ctrl.scala 351:41] + node _T_1169 = dshr(fifo_done, RdPtr) @[dma_ctrl.scala 351:74] + node _T_1170 = bits(_T_1169, 0, 0) @[dma_ctrl.scala 351:74] + node _T_1171 = eq(_T_1170, UInt<1>("h00")) @[dma_ctrl.scala 351:64] + node _T_1172 = and(_T_1168, _T_1171) @[dma_ctrl.scala 351:62] + node _T_1173 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 351:104] + node _T_1174 = or(_T_1173, dma_dbg_cmd_error) @[dma_ctrl.scala 351:126] + node _T_1175 = eq(_T_1174, UInt<1>("h00")) @[dma_ctrl.scala 351:84] + node _T_1176 = and(_T_1172, _T_1175) @[dma_ctrl.scala 351:82] + dma_mem_req <= _T_1176 @[dma_ctrl.scala 351:20] + node _T_1177 = or(dma_mem_addr_in_dccm, dma_mem_addr_in_pic) @[dma_ctrl.scala 352:79] + node _T_1178 = and(dma_mem_req, _T_1177) @[dma_ctrl.scala 352:55] + node _T_1179 = and(_T_1178, io.lsu_dma.dccm_ready) @[dma_ctrl.scala 352:102] + io.lsu_dma.dma_lsc_ctl.dma_dccm_req <= _T_1179 @[dma_ctrl.scala 352:40] + node _T_1180 = and(dma_mem_req, dma_mem_addr_in_iccm) @[dma_ctrl.scala 353:55] + node _T_1181 = and(_T_1180, io.iccm_ready) @[dma_ctrl.scala 353:78] + io.ifu_dma.dma_mem_ctl.dma_iccm_req <= _T_1181 @[dma_ctrl.scala 353:40] + io.lsu_dma.dma_mem_tag <= RdPtr @[dma_ctrl.scala 354:28] + dma_mem_addr_int <= fifo_addr[RdPtr] @[dma_ctrl.scala 355:20] + dma_mem_sz_int <= fifo_sz[RdPtr] @[dma_ctrl.scala 356:20] + node _T_1182 = bits(dma_mem_byteen, 7, 0) @[dma_ctrl.scala 357:101] + node _T_1183 = eq(_T_1182, UInt<8>("h0f0")) @[dma_ctrl.scala 357:107] + node _T_1184 = and(io.lsu_dma.dma_lsc_ctl.dma_mem_write, _T_1183) @[dma_ctrl.scala 357:84] + node _T_1185 = bits(dma_mem_addr_int, 31, 3) @[dma_ctrl.scala 357:141] + node _T_1186 = bits(dma_mem_addr_int, 1, 0) @[dma_ctrl.scala 357:171] + node _T_1187 = cat(_T_1185, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_1188 = cat(_T_1187, _T_1186) @[Cat.scala 29:58] + node _T_1189 = bits(dma_mem_addr_int, 31, 0) @[dma_ctrl.scala 357:196] + node _T_1190 = mux(_T_1184, _T_1188, _T_1189) @[dma_ctrl.scala 357:46] + io.lsu_dma.dma_lsc_ctl.dma_mem_addr <= _T_1190 @[dma_ctrl.scala 357:40] + node _T_1191 = bits(dma_mem_byteen, 7, 0) @[dma_ctrl.scala 358:102] + node _T_1192 = eq(_T_1191, UInt<4>("h0f")) @[dma_ctrl.scala 358:108] + node _T_1193 = bits(dma_mem_byteen, 7, 0) @[dma_ctrl.scala 358:138] + node _T_1194 = eq(_T_1193, UInt<8>("h0f0")) @[dma_ctrl.scala 358:144] + node _T_1195 = or(_T_1192, _T_1194) @[dma_ctrl.scala 358:121] + node _T_1196 = and(io.lsu_dma.dma_lsc_ctl.dma_mem_write, _T_1195) @[dma_ctrl.scala 358:84] + node _T_1197 = bits(dma_mem_sz_int, 2, 0) @[dma_ctrl.scala 358:178] + node _T_1198 = mux(_T_1196, UInt<2>("h02"), _T_1197) @[dma_ctrl.scala 358:46] + io.lsu_dma.dma_lsc_ctl.dma_mem_sz <= _T_1198 @[dma_ctrl.scala 358:40] + dma_mem_byteen <= fifo_byteen[RdPtr] @[dma_ctrl.scala 359:20] + node _T_1199 = dshr(fifo_write, RdPtr) @[dma_ctrl.scala 360:53] + node _T_1200 = bits(_T_1199, 0, 0) @[dma_ctrl.scala 360:53] + io.lsu_dma.dma_lsc_ctl.dma_mem_write <= _T_1200 @[dma_ctrl.scala 360:40] + io.lsu_dma.dma_lsc_ctl.dma_mem_wdata <= fifo_data[RdPtr] @[dma_ctrl.scala 361:40] + node _T_1201 = eq(io.lsu_dma.dma_lsc_ctl.dma_mem_write, UInt<1>("h00")) @[dma_ctrl.scala 365:83] + node _T_1202 = and(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, _T_1201) @[dma_ctrl.scala 365:81] + io.dec_dma.tlu_dma.dma_pmu_dccm_read <= _T_1202 @[dma_ctrl.scala 365:42] + node _T_1203 = and(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[dma_ctrl.scala 366:81] + io.dec_dma.tlu_dma.dma_pmu_dccm_write <= _T_1203 @[dma_ctrl.scala 366:42] + node _T_1204 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 367:82] + node _T_1205 = eq(io.lsu_dma.dma_lsc_ctl.dma_mem_write, UInt<1>("h00")) @[dma_ctrl.scala 367:123] + node _T_1206 = and(_T_1204, _T_1205) @[dma_ctrl.scala 367:121] + io.dec_dma.tlu_dma.dma_pmu_any_read <= _T_1206 @[dma_ctrl.scala 367:42] + node _T_1207 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 368:82] + node _T_1208 = and(_T_1207, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[dma_ctrl.scala 368:121] + io.dec_dma.tlu_dma.dma_pmu_any_write <= _T_1208 @[dma_ctrl.scala 368:42] + reg _T_1209 : UInt<1>, dma_bus_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 373:12] + _T_1209 <= fifo_full_spec @[dma_ctrl.scala 373:12] + fifo_full <= _T_1209 @[dma_ctrl.scala 372:22] + reg _T_1210 : UInt<1>, dma_bus_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 377:12] + _T_1210 <= io.dbg_dma_io.dbg_dma_bubble @[dma_ctrl.scala 377:12] + dbg_dma_bubble_bus <= _T_1210 @[dma_ctrl.scala 376:22] + reg _T_1211 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 381:12] + _T_1211 <= io.dma_dbg_cmd_done @[dma_ctrl.scala 381:12] + dma_dbg_cmd_done_q <= _T_1211 @[dma_ctrl.scala 380:22] + node _T_1212 = and(bus_cmd_valid, io.dma_bus_clk_en) @[dma_ctrl.scala 386:44] + node _T_1213 = or(_T_1212, io.dbg_dma.dbg_ib.dbg_cmd_valid) @[dma_ctrl.scala 386:65] + node dma_buffer_c1_clken = or(_T_1213, io.clk_override) @[dma_ctrl.scala 386:99] + node _T_1214 = or(bus_cmd_valid, bus_rsp_valid) @[dma_ctrl.scala 387:44] + node _T_1215 = or(_T_1214, io.dbg_dma.dbg_ib.dbg_cmd_valid) @[dma_ctrl.scala 387:60] + node _T_1216 = or(_T_1215, io.dma_dbg_cmd_done) @[dma_ctrl.scala 387:94] + node _T_1217 = or(_T_1216, dma_dbg_cmd_done_q) @[dma_ctrl.scala 387:116] + node _T_1218 = orr(fifo_valid) @[dma_ctrl.scala 387:151] + node _T_1219 = or(_T_1217, _T_1218) @[dma_ctrl.scala 387:137] + node dma_free_clken = or(_T_1219, io.clk_override) @[dma_ctrl.scala 387:156] + inst dma_buffer_c1cgc of rvclkhdr_10 @[dma_ctrl.scala 389:32] + dma_buffer_c1cgc.clock <= clock + dma_buffer_c1cgc.reset <= reset + dma_buffer_c1cgc.io.en <= dma_buffer_c1_clken @[dma_ctrl.scala 390:33] + dma_buffer_c1cgc.io.scan_mode <= io.scan_mode @[dma_ctrl.scala 391:33] + dma_buffer_c1cgc.io.clk <= clock @[dma_ctrl.scala 392:33] + dma_buffer_c1_clk <= dma_buffer_c1cgc.io.l1clk @[dma_ctrl.scala 393:33] + inst dma_free_cgc of rvclkhdr_11 @[dma_ctrl.scala 395:28] + dma_free_cgc.clock <= clock + dma_free_cgc.reset <= reset + dma_free_cgc.io.en <= dma_free_clken @[dma_ctrl.scala 396:29] + dma_free_cgc.io.scan_mode <= io.scan_mode @[dma_ctrl.scala 397:29] + dma_free_cgc.io.clk <= clock @[dma_ctrl.scala 398:29] + dma_free_clk <= dma_free_cgc.io.l1clk @[dma_ctrl.scala 399:29] + inst dma_bus_cgc of rvclkhdr_12 @[dma_ctrl.scala 401:27] + dma_bus_cgc.clock <= clock + dma_bus_cgc.reset <= reset + dma_bus_cgc.io.en <= io.dma_bus_clk_en @[dma_ctrl.scala 402:28] + dma_bus_cgc.io.scan_mode <= io.scan_mode @[dma_ctrl.scala 403:28] + dma_bus_cgc.io.clk <= clock @[dma_ctrl.scala 404:28] + dma_bus_clk <= dma_bus_cgc.io.l1clk @[dma_ctrl.scala 405:28] + node wrbuf_en = and(io.dma_axi.aw.valid, io.dma_axi.aw.ready) @[dma_ctrl.scala 409:47] + node wrbuf_data_en = and(io.dma_axi.w.valid, io.dma_axi.w.ready) @[dma_ctrl.scala 410:46] + node wrbuf_cmd_sent = and(axi_mstr_prty_en, bus_cmd_write) @[dma_ctrl.scala 411:40] + node _T_1220 = bits(wrbuf_cmd_sent, 0, 0) @[dma_ctrl.scala 412:42] + node _T_1221 = eq(wrbuf_en, UInt<1>("h00")) @[dma_ctrl.scala 412:51] + node wrbuf_rst = and(_T_1220, _T_1221) @[dma_ctrl.scala 412:49] + node _T_1222 = bits(wrbuf_cmd_sent, 0, 0) @[dma_ctrl.scala 413:42] + node _T_1223 = eq(wrbuf_data_en, UInt<1>("h00")) @[dma_ctrl.scala 413:51] + node wrbuf_data_rst = and(_T_1222, _T_1223) @[dma_ctrl.scala 413:49] + node _T_1224 = mux(wrbuf_en, UInt<1>("h01"), wrbuf_vld) @[dma_ctrl.scala 415:63] + node _T_1225 = eq(wrbuf_rst, UInt<1>("h00")) @[dma_ctrl.scala 415:92] + node _T_1226 = and(_T_1224, _T_1225) @[dma_ctrl.scala 415:90] + reg _T_1227 : UInt, dma_bus_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 415:59] + _T_1227 <= _T_1226 @[dma_ctrl.scala 415:59] + wrbuf_vld <= _T_1227 @[dma_ctrl.scala 415:25] + node _T_1228 = mux(wrbuf_data_en, UInt<1>("h01"), wrbuf_data_vld) @[dma_ctrl.scala 417:63] + node _T_1229 = eq(wrbuf_data_rst, UInt<1>("h00")) @[dma_ctrl.scala 417:102] + node _T_1230 = and(_T_1228, _T_1229) @[dma_ctrl.scala 417:100] + reg _T_1231 : UInt, dma_bus_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 417:59] + _T_1231 <= _T_1230 @[dma_ctrl.scala 417:59] + wrbuf_data_vld <= _T_1231 @[dma_ctrl.scala 417:25] + reg wrbuf_tag : UInt, dma_bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when wrbuf_en : @[Reg.scala 28:19] + wrbuf_tag <= io.dma_axi.aw.bits.id @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg wrbuf_sz : UInt, dma_bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when wrbuf_en : @[Reg.scala 28:19] + wrbuf_sz <= io.dma_axi.aw.bits.size @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_1232 = and(wrbuf_en, io.dma_bus_clk_en) @[dma_ctrl.scala 427:68] + inst rvclkhdr_10 of rvclkhdr_13 @[lib.scala 368:23] + rvclkhdr_10.clock <= clock + rvclkhdr_10.reset <= reset + rvclkhdr_10.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_10.io.en <= _T_1232 @[lib.scala 371:17] + rvclkhdr_10.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg wrbuf_addr : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + wrbuf_addr <= io.dma_axi.aw.bits.addr @[lib.scala 374:16] + node _T_1233 = and(wrbuf_data_en, io.dma_bus_clk_en) @[dma_ctrl.scala 429:72] + inst rvclkhdr_11 of rvclkhdr_14 @[lib.scala 368:23] + rvclkhdr_11.clock <= clock + rvclkhdr_11.reset <= reset + rvclkhdr_11.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_11.io.en <= _T_1233 @[lib.scala 371:17] + rvclkhdr_11.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg wrbuf_data : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + wrbuf_data <= io.dma_axi.w.bits.data @[lib.scala 374:16] + reg wrbuf_byteen : UInt, dma_bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when wrbuf_data_en : @[Reg.scala 28:19] + wrbuf_byteen <= io.dma_axi.w.bits.strb @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node rdbuf_en = and(io.dma_axi.ar.valid, io.dma_axi.ar.ready) @[dma_ctrl.scala 437:59] + node _T_1234 = eq(bus_cmd_write, UInt<1>("h00")) @[dma_ctrl.scala 438:44] + node rdbuf_cmd_sent = and(axi_mstr_prty_en, _T_1234) @[dma_ctrl.scala 438:42] + node _T_1235 = bits(rdbuf_cmd_sent, 0, 0) @[dma_ctrl.scala 439:54] + node _T_1236 = eq(rdbuf_en, UInt<1>("h00")) @[dma_ctrl.scala 439:63] + node rdbuf_rst = and(_T_1235, _T_1236) @[dma_ctrl.scala 439:61] + node _T_1237 = mux(rdbuf_en, UInt<1>("h01"), rdbuf_vld) @[dma_ctrl.scala 441:51] + node _T_1238 = eq(rdbuf_rst, UInt<1>("h00")) @[dma_ctrl.scala 441:80] + node _T_1239 = and(_T_1237, _T_1238) @[dma_ctrl.scala 441:78] + reg _T_1240 : UInt, dma_bus_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 441:47] + _T_1240 <= _T_1239 @[dma_ctrl.scala 441:47] + rdbuf_vld <= _T_1240 @[dma_ctrl.scala 441:13] + reg rdbuf_tag : UInt, dma_bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when rdbuf_en : @[Reg.scala 28:19] + rdbuf_tag <= io.dma_axi.ar.bits.id @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg rdbuf_sz : UInt, dma_bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when rdbuf_en : @[Reg.scala 28:19] + rdbuf_sz <= io.dma_axi.ar.bits.size @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_1241 = and(rdbuf_en, io.dma_bus_clk_en) @[dma_ctrl.scala 451:61] + inst rvclkhdr_12 of rvclkhdr_15 @[lib.scala 368:23] + rvclkhdr_12.clock <= clock + rvclkhdr_12.reset <= reset + rvclkhdr_12.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_12.io.en <= _T_1241 @[lib.scala 371:17] + rvclkhdr_12.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg rdbuf_addr : UInt, rvclkhdr_12.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + rdbuf_addr <= io.dma_axi.ar.bits.addr @[lib.scala 374:16] + node _T_1242 = eq(wrbuf_cmd_sent, UInt<1>("h00")) @[dma_ctrl.scala 453:44] + node _T_1243 = and(wrbuf_vld, _T_1242) @[dma_ctrl.scala 453:42] + node _T_1244 = not(_T_1243) @[dma_ctrl.scala 453:30] + io.dma_axi.aw.ready <= _T_1244 @[dma_ctrl.scala 453:27] + node _T_1245 = eq(wrbuf_cmd_sent, UInt<1>("h00")) @[dma_ctrl.scala 454:49] + node _T_1246 = and(wrbuf_data_vld, _T_1245) @[dma_ctrl.scala 454:47] + node _T_1247 = not(_T_1246) @[dma_ctrl.scala 454:30] + io.dma_axi.w.ready <= _T_1247 @[dma_ctrl.scala 454:27] + node _T_1248 = eq(rdbuf_cmd_sent, UInt<1>("h00")) @[dma_ctrl.scala 455:44] + node _T_1249 = and(rdbuf_vld, _T_1248) @[dma_ctrl.scala 455:42] + node _T_1250 = not(_T_1249) @[dma_ctrl.scala 455:30] + io.dma_axi.ar.ready <= _T_1250 @[dma_ctrl.scala 455:27] + node _T_1251 = and(wrbuf_vld, wrbuf_data_vld) @[dma_ctrl.scala 459:51] + node _T_1252 = or(_T_1251, rdbuf_vld) @[dma_ctrl.scala 459:69] + bus_cmd_valid <= _T_1252 @[dma_ctrl.scala 459:37] + node _T_1253 = and(bus_cmd_valid, dma_fifo_ready) @[dma_ctrl.scala 460:54] + axi_mstr_prty_en <= _T_1253 @[dma_ctrl.scala 460:37] + bus_cmd_write <= axi_mstr_sel @[dma_ctrl.scala 461:37] + bus_cmd_posted_write <= UInt<1>("h00") @[dma_ctrl.scala 462:25] + node _T_1254 = bits(axi_mstr_sel, 0, 0) @[dma_ctrl.scala 463:57] + node _T_1255 = mux(_T_1254, wrbuf_addr, rdbuf_addr) @[dma_ctrl.scala 463:43] + bus_cmd_addr <= _T_1255 @[dma_ctrl.scala 463:37] + node _T_1256 = bits(axi_mstr_sel, 0, 0) @[dma_ctrl.scala 464:59] + node _T_1257 = mux(_T_1256, wrbuf_sz, rdbuf_sz) @[dma_ctrl.scala 464:45] + bus_cmd_sz <= _T_1257 @[dma_ctrl.scala 464:39] + bus_cmd_wdata <= wrbuf_data @[dma_ctrl.scala 465:37] + bus_cmd_byteen <= wrbuf_byteen @[dma_ctrl.scala 466:37] + node _T_1258 = bits(axi_mstr_sel, 0, 0) @[dma_ctrl.scala 467:57] + node _T_1259 = mux(_T_1258, wrbuf_tag, rdbuf_tag) @[dma_ctrl.scala 467:43] + bus_cmd_tag <= _T_1259 @[dma_ctrl.scala 467:37] + bus_cmd_mid <= UInt<1>("h00") @[dma_ctrl.scala 468:37] + bus_cmd_prty <= UInt<1>("h00") @[dma_ctrl.scala 469:37] + node _T_1260 = and(wrbuf_vld, wrbuf_data_vld) @[dma_ctrl.scala 473:43] + node _T_1261 = and(_T_1260, rdbuf_vld) @[dma_ctrl.scala 473:60] + node _T_1262 = eq(_T_1261, UInt<1>("h01")) @[dma_ctrl.scala 473:73] + node _T_1263 = and(wrbuf_vld, wrbuf_data_vld) @[dma_ctrl.scala 473:111] + node _T_1264 = mux(_T_1262, axi_mstr_priority, _T_1263) @[dma_ctrl.scala 473:31] + axi_mstr_sel <= _T_1264 @[dma_ctrl.scala 473:25] + node axi_mstr_prty_in = not(axi_mstr_priority) @[dma_ctrl.scala 474:27] + node _T_1265 = bits(axi_mstr_prty_en, 0, 0) @[dma_ctrl.scala 478:55] + reg _T_1266 : UInt, dma_bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1265 : @[Reg.scala 28:19] + _T_1266 <= axi_mstr_prty_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + axi_mstr_priority <= _T_1266 @[dma_ctrl.scala 477:27] + node _T_1267 = dshr(fifo_valid, RspPtr) @[dma_ctrl.scala 481:39] + node _T_1268 = bits(_T_1267, 0, 0) @[dma_ctrl.scala 481:39] + node _T_1269 = dshr(fifo_dbg, RspPtr) @[dma_ctrl.scala 481:59] + node _T_1270 = bits(_T_1269, 0, 0) @[dma_ctrl.scala 481:59] + node _T_1271 = eq(_T_1270, UInt<1>("h00")) @[dma_ctrl.scala 481:50] + node _T_1272 = and(_T_1268, _T_1271) @[dma_ctrl.scala 481:48] + node _T_1273 = dshr(fifo_done_bus, RspPtr) @[dma_ctrl.scala 481:83] + node _T_1274 = bits(_T_1273, 0, 0) @[dma_ctrl.scala 481:83] + node axi_rsp_valid = and(_T_1272, _T_1274) @[dma_ctrl.scala 481:68] + node _T_1275 = dshr(fifo_write, RspPtr) @[dma_ctrl.scala 483:39] + node axi_rsp_write = bits(_T_1275, 0, 0) @[dma_ctrl.scala 483:39] + node _T_1276 = bits(fifo_error[RspPtr], 0, 0) @[dma_ctrl.scala 484:51] + node _T_1277 = bits(fifo_error[RspPtr], 1, 1) @[dma_ctrl.scala 484:83] + node _T_1278 = mux(_T_1277, UInt<2>("h03"), UInt<1>("h00")) @[dma_ctrl.scala 484:64] + node axi_rsp_error = mux(_T_1276, UInt<2>("h02"), _T_1278) @[dma_ctrl.scala 484:32] + node _T_1279 = and(axi_rsp_valid, axi_rsp_write) @[dma_ctrl.scala 490:44] + io.dma_axi.b.valid <= _T_1279 @[dma_ctrl.scala 490:27] + node _T_1280 = bits(axi_rsp_error, 1, 0) @[dma_ctrl.scala 491:57] + io.dma_axi.b.bits.resp <= _T_1280 @[dma_ctrl.scala 491:41] + io.dma_axi.b.bits.id <= fifo_tag[RspPtr] @[dma_ctrl.scala 492:33] + node _T_1281 = eq(axi_rsp_write, UInt<1>("h00")) @[dma_ctrl.scala 494:46] + node _T_1282 = and(axi_rsp_valid, _T_1281) @[dma_ctrl.scala 494:44] + io.dma_axi.r.valid <= _T_1282 @[dma_ctrl.scala 494:27] + io.dma_axi.r.bits.resp <= axi_rsp_error @[dma_ctrl.scala 495:41] + node _T_1283 = bits(fifo_data[RspPtr], 63, 0) @[dma_ctrl.scala 496:59] + io.dma_axi.r.bits.data <= _T_1283 @[dma_ctrl.scala 496:43] + io.dma_axi.r.bits.last <= UInt<1>("h01") @[dma_ctrl.scala 497:41] + io.dma_axi.r.bits.id <= fifo_tag[RspPtr] @[dma_ctrl.scala 498:37] + bus_posted_write_done <= UInt<1>("h00") @[dma_ctrl.scala 500:25] + node _T_1284 = or(io.dma_axi.b.valid, io.dma_axi.r.valid) @[dma_ctrl.scala 501:60] + bus_rsp_valid <= _T_1284 @[dma_ctrl.scala 501:37] + node _T_1285 = and(io.dma_axi.b.valid, io.dma_axi.b.ready) @[dma_ctrl.scala 502:61] + node _T_1286 = and(io.dma_axi.r.valid, io.dma_axi.r.ready) @[dma_ctrl.scala 502:105] + node _T_1287 = or(_T_1285, _T_1286) @[dma_ctrl.scala 502:83] + bus_rsp_sent <= _T_1287 @[dma_ctrl.scala 502:37] + io.lsu_dma.dma_dccm_ctl.dma_mem_addr <= io.lsu_dma.dma_lsc_ctl.dma_mem_addr @[dma_ctrl.scala 503:40] + io.lsu_dma.dma_dccm_ctl.dma_mem_wdata <= io.lsu_dma.dma_lsc_ctl.dma_mem_wdata @[dma_ctrl.scala 504:41] + io.ifu_dma.dma_mem_ctl.dma_mem_sz <= io.lsu_dma.dma_lsc_ctl.dma_mem_sz @[dma_ctrl.scala 505:37] + io.ifu_dma.dma_mem_ctl.dma_mem_addr <= io.lsu_dma.dma_lsc_ctl.dma_mem_addr @[dma_ctrl.scala 506:39] + io.ifu_dma.dma_mem_ctl.dma_mem_wdata <= io.lsu_dma.dma_lsc_ctl.dma_mem_wdata @[dma_ctrl.scala 507:40] + io.ifu_dma.dma_mem_ctl.dma_mem_write <= io.lsu_dma.dma_lsc_ctl.dma_mem_write @[dma_ctrl.scala 508:40] + io.ifu_dma.dma_mem_ctl.dma_mem_tag <= io.lsu_dma.dma_mem_tag @[dma_ctrl.scala 509:38] + diff --git a/dma_ctrl.v b/dma_ctrl.v new file mode 100644 index 00000000..95f17c0b --- /dev/null +++ b/dma_ctrl.v @@ -0,0 +1,2097 @@ +module rvclkhdr( + output io_l1clk, + input io_clk, + input io_en, + input io_scan_mode +); + wire clkhdr_Q; // @[lib.scala 334:26] + wire clkhdr_CK; // @[lib.scala 334:26] + wire clkhdr_EN; // @[lib.scala 334:26] + wire clkhdr_SE; // @[lib.scala 334:26] + gated_latch clkhdr ( // @[lib.scala 334:26] + .Q(clkhdr_Q), + .CK(clkhdr_CK), + .EN(clkhdr_EN), + .SE(clkhdr_SE) + ); + assign io_l1clk = clkhdr_Q; // @[lib.scala 335:14] + assign clkhdr_CK = io_clk; // @[lib.scala 336:18] + assign clkhdr_EN = io_en; // @[lib.scala 337:18] + assign clkhdr_SE = io_scan_mode; // @[lib.scala 338:18] +endmodule +module dma_ctrl( + input clock, + input reset, + input io_free_clk, + input io_dma_bus_clk_en, + input io_clk_override, + input io_scan_mode, + input [1:0] io_dbg_cmd_size, + output [31:0] io_dma_dbg_rddata, + output io_dma_dbg_cmd_done, + output io_dma_dbg_cmd_fail, + input io_dbg_dma_dbg_ib_dbg_cmd_valid, + input io_dbg_dma_dbg_ib_dbg_cmd_write, + input [1:0] io_dbg_dma_dbg_ib_dbg_cmd_type, + input [31:0] io_dbg_dma_dbg_ib_dbg_cmd_addr, + input [31:0] io_dbg_dma_dbg_dctl_dbg_cmd_wrdata, + input io_dbg_dma_io_dbg_dma_bubble, + output io_dbg_dma_io_dma_dbg_ready, + output io_dec_dma_dctl_dma_dma_dccm_stall_any, + output io_dec_dma_tlu_dma_dma_pmu_dccm_read, + output io_dec_dma_tlu_dma_dma_pmu_dccm_write, + output io_dec_dma_tlu_dma_dma_pmu_any_read, + output io_dec_dma_tlu_dma_dma_pmu_any_write, + input [2:0] io_dec_dma_tlu_dma_dec_tlu_dma_qos_prty, + output io_dec_dma_tlu_dma_dma_dccm_stall_any, + output io_dec_dma_tlu_dma_dma_iccm_stall_any, + input io_iccm_dma_rvalid, + input io_iccm_dma_ecc_error, + input [2:0] io_iccm_dma_rtag, + input [63:0] io_iccm_dma_rdata, + input io_iccm_ready, + output io_dma_axi_aw_ready, + input io_dma_axi_aw_valid, + input io_dma_axi_aw_bits_id, + input [31:0] io_dma_axi_aw_bits_addr, + input [3:0] io_dma_axi_aw_bits_region, + input [7:0] io_dma_axi_aw_bits_len, + input [2:0] io_dma_axi_aw_bits_size, + input [1:0] io_dma_axi_aw_bits_burst, + input io_dma_axi_aw_bits_lock, + input [3:0] io_dma_axi_aw_bits_cache, + input [2:0] io_dma_axi_aw_bits_prot, + input [3:0] io_dma_axi_aw_bits_qos, + output io_dma_axi_w_ready, + input io_dma_axi_w_valid, + input [63:0] io_dma_axi_w_bits_data, + input [7:0] io_dma_axi_w_bits_strb, + input io_dma_axi_w_bits_last, + input io_dma_axi_b_ready, + output io_dma_axi_b_valid, + output [1:0] io_dma_axi_b_bits_resp, + output io_dma_axi_b_bits_id, + output io_dma_axi_ar_ready, + input io_dma_axi_ar_valid, + input io_dma_axi_ar_bits_id, + input [31:0] io_dma_axi_ar_bits_addr, + input [3:0] io_dma_axi_ar_bits_region, + input [7:0] io_dma_axi_ar_bits_len, + input [2:0] io_dma_axi_ar_bits_size, + input [1:0] io_dma_axi_ar_bits_burst, + input io_dma_axi_ar_bits_lock, + input [3:0] io_dma_axi_ar_bits_cache, + input [2:0] io_dma_axi_ar_bits_prot, + input [3:0] io_dma_axi_ar_bits_qos, + input io_dma_axi_r_ready, + output io_dma_axi_r_valid, + output io_dma_axi_r_bits_id, + output [63:0] io_dma_axi_r_bits_data, + output [1:0] io_dma_axi_r_bits_resp, + output io_dma_axi_r_bits_last, + output io_lsu_dma_dma_lsc_ctl_dma_dccm_req, + output [31:0] io_lsu_dma_dma_lsc_ctl_dma_mem_addr, + output [2:0] io_lsu_dma_dma_lsc_ctl_dma_mem_sz, + output io_lsu_dma_dma_lsc_ctl_dma_mem_write, + output [63:0] io_lsu_dma_dma_lsc_ctl_dma_mem_wdata, + output [31:0] io_lsu_dma_dma_dccm_ctl_dma_mem_addr, + output [63:0] io_lsu_dma_dma_dccm_ctl_dma_mem_wdata, + input io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid, + input io_lsu_dma_dma_dccm_ctl_dccm_dma_ecc_error, + input [2:0] io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag, + input [63:0] io_lsu_dma_dma_dccm_ctl_dccm_dma_rdata, + input io_lsu_dma_dccm_ready, + output [2:0] io_lsu_dma_dma_mem_tag, + output io_ifu_dma_dma_ifc_dma_iccm_stall_any, + output io_ifu_dma_dma_mem_ctl_dma_iccm_req, + output [31:0] io_ifu_dma_dma_mem_ctl_dma_mem_addr, + output [2:0] io_ifu_dma_dma_mem_ctl_dma_mem_sz, + output io_ifu_dma_dma_mem_ctl_dma_mem_write, + output [63:0] io_ifu_dma_dma_mem_ctl_dma_mem_wdata, + output [2:0] io_ifu_dma_dma_mem_ctl_dma_mem_tag +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; + reg [31:0] _RAND_11; + reg [31:0] _RAND_12; + reg [31:0] _RAND_13; + reg [31:0] _RAND_14; + reg [31:0] _RAND_15; + reg [31:0] _RAND_16; + reg [31:0] _RAND_17; + reg [31:0] _RAND_18; + reg [31:0] _RAND_19; + reg [31:0] _RAND_20; + reg [31:0] _RAND_21; + reg [31:0] _RAND_22; + reg [31:0] _RAND_23; + reg [31:0] _RAND_24; + reg [31:0] _RAND_25; + reg [31:0] _RAND_26; + reg [31:0] _RAND_27; + reg [31:0] _RAND_28; + reg [31:0] _RAND_29; + reg [31:0] _RAND_30; + reg [31:0] _RAND_31; + reg [31:0] _RAND_32; + reg [31:0] _RAND_33; + reg [31:0] _RAND_34; + reg [31:0] _RAND_35; + reg [31:0] _RAND_36; + reg [31:0] _RAND_37; + reg [31:0] _RAND_38; + reg [31:0] _RAND_39; + reg [31:0] _RAND_40; + reg [31:0] _RAND_41; + reg [31:0] _RAND_42; + reg [31:0] _RAND_43; + reg [31:0] _RAND_44; + reg [31:0] _RAND_45; + reg [31:0] _RAND_46; + reg [31:0] _RAND_47; + reg [31:0] _RAND_48; + reg [63:0] _RAND_49; + reg [31:0] _RAND_50; + reg [31:0] _RAND_51; + reg [31:0] _RAND_52; + reg [31:0] _RAND_53; + reg [31:0] _RAND_54; + reg [31:0] _RAND_55; + reg [31:0] _RAND_56; + reg [31:0] _RAND_57; + reg [31:0] _RAND_58; + reg [31:0] _RAND_59; + reg [31:0] _RAND_60; + reg [31:0] _RAND_61; + reg [31:0] _RAND_62; + reg [31:0] _RAND_63; + reg [31:0] _RAND_64; + reg [63:0] _RAND_65; + reg [63:0] _RAND_66; + reg [63:0] _RAND_67; + reg [63:0] _RAND_68; + reg [63:0] _RAND_69; + reg [31:0] _RAND_70; + reg [31:0] _RAND_71; + reg [31:0] _RAND_72; + reg [31:0] _RAND_73; + reg [31:0] _RAND_74; + reg [31:0] _RAND_75; + reg [31:0] _RAND_76; + reg [31:0] _RAND_77; + reg [31:0] _RAND_78; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_io_en; // @[lib.scala 368:23] + wire rvclkhdr_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_en; // @[lib.scala 368:23] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_en; // @[lib.scala 368:23] + wire rvclkhdr_2_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_en; // @[lib.scala 368:23] + wire rvclkhdr_3_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_4_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_en; // @[lib.scala 368:23] + wire rvclkhdr_4_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_5_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_en; // @[lib.scala 368:23] + wire rvclkhdr_5_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_6_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_6_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_6_io_en; // @[lib.scala 368:23] + wire rvclkhdr_6_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_7_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_7_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_7_io_en; // @[lib.scala 368:23] + wire rvclkhdr_7_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_8_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_8_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_8_io_en; // @[lib.scala 368:23] + wire rvclkhdr_8_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_9_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_9_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_9_io_en; // @[lib.scala 368:23] + wire rvclkhdr_9_io_scan_mode; // @[lib.scala 368:23] + wire dma_buffer_c1cgc_io_l1clk; // @[dma_ctrl.scala 389:32] + wire dma_buffer_c1cgc_io_clk; // @[dma_ctrl.scala 389:32] + wire dma_buffer_c1cgc_io_en; // @[dma_ctrl.scala 389:32] + wire dma_buffer_c1cgc_io_scan_mode; // @[dma_ctrl.scala 389:32] + wire dma_free_cgc_io_l1clk; // @[dma_ctrl.scala 395:28] + wire dma_free_cgc_io_clk; // @[dma_ctrl.scala 395:28] + wire dma_free_cgc_io_en; // @[dma_ctrl.scala 395:28] + wire dma_free_cgc_io_scan_mode; // @[dma_ctrl.scala 395:28] + wire dma_bus_cgc_io_l1clk; // @[dma_ctrl.scala 401:27] + wire dma_bus_cgc_io_clk; // @[dma_ctrl.scala 401:27] + wire dma_bus_cgc_io_en; // @[dma_ctrl.scala 401:27] + wire dma_bus_cgc_io_scan_mode; // @[dma_ctrl.scala 401:27] + wire rvclkhdr_10_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_10_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_10_io_en; // @[lib.scala 368:23] + wire rvclkhdr_10_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_11_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_11_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_11_io_en; // @[lib.scala 368:23] + wire rvclkhdr_11_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_12_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_12_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_12_io_en; // @[lib.scala 368:23] + wire rvclkhdr_12_io_scan_mode; // @[lib.scala 368:23] + wire dma_free_clk = dma_free_cgc_io_l1clk; // @[dma_ctrl.scala 168:26 dma_ctrl.scala 399:29] + reg [2:0] RdPtr; // @[Reg.scala 27:20] + reg [31:0] fifo_addr_4; // @[lib.scala 374:16] + reg [31:0] fifo_addr_3; // @[lib.scala 374:16] + reg [31:0] fifo_addr_2; // @[lib.scala 374:16] + reg [31:0] fifo_addr_1; // @[lib.scala 374:16] + reg [31:0] fifo_addr_0; // @[lib.scala 374:16] + wire [31:0] _GEN_60 = 3'h1 == RdPtr ? fifo_addr_1 : fifo_addr_0; // @[dma_ctrl.scala 355:20] + wire [31:0] _GEN_61 = 3'h2 == RdPtr ? fifo_addr_2 : _GEN_60; // @[dma_ctrl.scala 355:20] + wire [31:0] _GEN_62 = 3'h3 == RdPtr ? fifo_addr_3 : _GEN_61; // @[dma_ctrl.scala 355:20] + wire [31:0] dma_mem_addr_int = 3'h4 == RdPtr ? fifo_addr_4 : _GEN_62; // @[dma_ctrl.scala 355:20] + wire dma_mem_addr_in_dccm = dma_mem_addr_int[31:16] == 16'hf004; // @[lib.scala 361:39] + wire dma_mem_addr_in_pic = dma_mem_addr_int[31:15] == 17'h1e018; // @[lib.scala 361:39] + wire dma_mem_addr_in_iccm = dma_mem_addr_int[31:16] == 16'hee00; // @[lib.scala 361:39] + wire dma_bus_clk = dma_bus_cgc_io_l1clk; // @[dma_ctrl.scala 170:25 dma_ctrl.scala 405:28] + reg wrbuf_vld; // @[dma_ctrl.scala 415:59] + reg wrbuf_data_vld; // @[dma_ctrl.scala 417:59] + wire _T_1260 = wrbuf_vld & wrbuf_data_vld; // @[dma_ctrl.scala 473:43] + reg rdbuf_vld; // @[dma_ctrl.scala 441:47] + wire _T_1261 = _T_1260 & rdbuf_vld; // @[dma_ctrl.scala 473:60] + reg axi_mstr_priority; // @[Reg.scala 27:20] + wire axi_mstr_sel = _T_1261 ? axi_mstr_priority : _T_1260; // @[dma_ctrl.scala 473:31] + reg [31:0] wrbuf_addr; // @[lib.scala 374:16] + reg [31:0] rdbuf_addr; // @[lib.scala 374:16] + wire [31:0] bus_cmd_addr = axi_mstr_sel ? wrbuf_addr : rdbuf_addr; // @[dma_ctrl.scala 463:43] + wire [2:0] _GEN_90 = {{2'd0}, io_dbg_dma_dbg_ib_dbg_cmd_addr[2]}; // @[dma_ctrl.scala 195:91] + wire [3:0] _T_17 = 3'h4 * _GEN_90; // @[dma_ctrl.scala 195:91] + wire [18:0] _T_18 = 19'hf << _T_17; // @[dma_ctrl.scala 195:83] + reg [7:0] wrbuf_byteen; // @[Reg.scala 27:20] + wire [18:0] _T_20 = io_dbg_dma_dbg_ib_dbg_cmd_valid ? _T_18 : {{11'd0}, wrbuf_byteen}; // @[dma_ctrl.scala 195:34] + wire [2:0] _T_23 = {1'h0,io_dbg_cmd_size}; // @[Cat.scala 29:58] + reg [2:0] wrbuf_sz; // @[Reg.scala 27:20] + reg [2:0] rdbuf_sz; // @[Reg.scala 27:20] + wire [2:0] bus_cmd_sz = axi_mstr_sel ? wrbuf_sz : rdbuf_sz; // @[dma_ctrl.scala 464:45] + wire [2:0] fifo_sz_in = io_dbg_dma_dbg_ib_dbg_cmd_valid ? _T_23 : bus_cmd_sz; // @[dma_ctrl.scala 197:33] + wire fifo_write_in = io_dbg_dma_dbg_ib_dbg_cmd_valid ? io_dbg_dma_dbg_ib_dbg_cmd_write : axi_mstr_sel; // @[dma_ctrl.scala 199:33] + wire bus_cmd_valid = _T_1260 | rdbuf_vld; // @[dma_ctrl.scala 459:69] + reg fifo_full; // @[dma_ctrl.scala 373:12] + reg dbg_dma_bubble_bus; // @[dma_ctrl.scala 377:12] + wire _T_989 = fifo_full | dbg_dma_bubble_bus; // @[dma_ctrl.scala 299:39] + wire dma_fifo_ready = ~_T_989; // @[dma_ctrl.scala 299:27] + wire axi_mstr_prty_en = bus_cmd_valid & dma_fifo_ready; // @[dma_ctrl.scala 460:54] + wire _T_28 = axi_mstr_prty_en & io_dma_bus_clk_en; // @[dma_ctrl.scala 206:80] + wire _T_31 = io_dbg_dma_dbg_ib_dbg_cmd_valid & io_dbg_dma_dbg_ib_dbg_cmd_type[1]; // @[dma_ctrl.scala 206:136] + wire _T_32 = _T_28 | _T_31; // @[dma_ctrl.scala 206:101] + reg [2:0] WrPtr; // @[Reg.scala 27:20] + wire _T_33 = 3'h0 == WrPtr; // @[dma_ctrl.scala 206:188] + wire _T_34 = _T_32 & _T_33; // @[dma_ctrl.scala 206:181] + wire _T_41 = 3'h1 == WrPtr; // @[dma_ctrl.scala 206:188] + wire _T_42 = _T_32 & _T_41; // @[dma_ctrl.scala 206:181] + wire _T_49 = 3'h2 == WrPtr; // @[dma_ctrl.scala 206:188] + wire _T_50 = _T_32 & _T_49; // @[dma_ctrl.scala 206:181] + wire _T_57 = 3'h3 == WrPtr; // @[dma_ctrl.scala 206:188] + wire _T_58 = _T_32 & _T_57; // @[dma_ctrl.scala 206:181] + wire _T_65 = 3'h4 == WrPtr; // @[dma_ctrl.scala 206:188] + wire _T_66 = _T_32 & _T_65; // @[dma_ctrl.scala 206:181] + wire [4:0] fifo_cmd_en = {_T_66,_T_58,_T_50,_T_42,_T_34}; // @[Cat.scala 29:58] + wire _T_71 = axi_mstr_prty_en & fifo_write_in; // @[dma_ctrl.scala 208:73] + wire _T_72 = _T_71 & io_dma_bus_clk_en; // @[dma_ctrl.scala 208:89] + wire _T_75 = _T_31 & io_dbg_dma_dbg_ib_dbg_cmd_write; // @[dma_ctrl.scala 208:181] + wire _T_76 = _T_72 | _T_75; // @[dma_ctrl.scala 208:110] + wire _T_78 = _T_76 & _T_33; // @[dma_ctrl.scala 208:217] + reg _T_598; // @[dma_ctrl.scala 226:82] + reg _T_591; // @[dma_ctrl.scala 226:82] + reg _T_584; // @[dma_ctrl.scala 226:82] + reg _T_577; // @[dma_ctrl.scala 226:82] + reg _T_570; // @[dma_ctrl.scala 226:82] + wire [4:0] fifo_valid = {_T_598,_T_591,_T_584,_T_577,_T_570}; // @[Cat.scala 29:58] + wire [4:0] _T_990 = fifo_valid >> RdPtr; // @[dma_ctrl.scala 303:38] + reg _T_760; // @[dma_ctrl.scala 234:89] + reg _T_753; // @[dma_ctrl.scala 234:89] + reg _T_746; // @[dma_ctrl.scala 234:89] + reg _T_739; // @[dma_ctrl.scala 234:89] + reg _T_732; // @[dma_ctrl.scala 234:89] + wire [4:0] fifo_done = {_T_760,_T_753,_T_746,_T_739,_T_732}; // @[Cat.scala 29:58] + wire [4:0] _T_992 = fifo_done >> RdPtr; // @[dma_ctrl.scala 303:58] + wire _T_994 = ~_T_992[0]; // @[dma_ctrl.scala 303:48] + wire _T_995 = _T_990[0] & _T_994; // @[dma_ctrl.scala 303:46] + wire dma_buffer_c1_clk = dma_buffer_c1cgc_io_l1clk; // @[dma_ctrl.scala 172:31 dma_ctrl.scala 393:33] + reg _T_886; // @[Reg.scala 27:20] + reg _T_884; // @[Reg.scala 27:20] + reg _T_882; // @[Reg.scala 27:20] + reg _T_880; // @[Reg.scala 27:20] + reg _T_878; // @[Reg.scala 27:20] + wire [4:0] fifo_dbg = {_T_886,_T_884,_T_882,_T_880,_T_878}; // @[Cat.scala 29:58] + wire [4:0] _T_996 = fifo_dbg >> RdPtr; // @[dma_ctrl.scala 303:77] + wire _T_998 = ~_T_996[0]; // @[dma_ctrl.scala 303:68] + wire _T_999 = _T_995 & _T_998; // @[dma_ctrl.scala 303:66] + wire _T_1000 = dma_mem_addr_in_dccm | dma_mem_addr_in_iccm; // @[dma_ctrl.scala 303:111] + wire _T_1001 = ~_T_1000; // @[dma_ctrl.scala 303:88] + wire dma_address_error = _T_999 & _T_1001; // @[dma_ctrl.scala 303:85] + wire _T_1009 = ~dma_address_error; // @[dma_ctrl.scala 304:68] + wire _T_1010 = _T_995 & _T_1009; // @[dma_ctrl.scala 304:66] + reg [2:0] fifo_sz_4; // @[Reg.scala 27:20] + reg [2:0] fifo_sz_3; // @[Reg.scala 27:20] + reg [2:0] fifo_sz_2; // @[Reg.scala 27:20] + reg [2:0] fifo_sz_1; // @[Reg.scala 27:20] + reg [2:0] fifo_sz_0; // @[Reg.scala 27:20] + wire [2:0] _GEN_65 = 3'h1 == RdPtr ? fifo_sz_1 : fifo_sz_0; // @[dma_ctrl.scala 356:20] + wire [2:0] _GEN_66 = 3'h2 == RdPtr ? fifo_sz_2 : _GEN_65; // @[dma_ctrl.scala 356:20] + wire [2:0] _GEN_67 = 3'h3 == RdPtr ? fifo_sz_3 : _GEN_66; // @[dma_ctrl.scala 356:20] + wire [2:0] dma_mem_sz_int = 3'h4 == RdPtr ? fifo_sz_4 : _GEN_67; // @[dma_ctrl.scala 356:20] + wire _T_1012 = dma_mem_sz_int == 3'h1; // @[dma_ctrl.scala 305:28] + wire _T_1014 = _T_1012 & dma_mem_addr_int[0]; // @[dma_ctrl.scala 305:37] + wire _T_1016 = dma_mem_sz_int == 3'h2; // @[dma_ctrl.scala 306:29] + wire _T_1018 = |dma_mem_addr_int[1:0]; // @[dma_ctrl.scala 306:64] + wire _T_1019 = _T_1016 & _T_1018; // @[dma_ctrl.scala 306:38] + wire _T_1020 = _T_1014 | _T_1019; // @[dma_ctrl.scala 305:60] + wire _T_1022 = dma_mem_sz_int == 3'h3; // @[dma_ctrl.scala 307:29] + wire _T_1024 = |dma_mem_addr_int[2:0]; // @[dma_ctrl.scala 307:64] + wire _T_1025 = _T_1022 & _T_1024; // @[dma_ctrl.scala 307:38] + wire _T_1026 = _T_1020 | _T_1025; // @[dma_ctrl.scala 306:70] + wire _T_1028 = dma_mem_sz_int[1:0] == 2'h2; // @[dma_ctrl.scala 308:55] + wire _T_1030 = dma_mem_sz_int[1:0] == 2'h3; // @[dma_ctrl.scala 308:88] + wire _T_1031 = _T_1028 | _T_1030; // @[dma_ctrl.scala 308:64] + wire _T_1032 = ~_T_1031; // @[dma_ctrl.scala 308:31] + wire _T_1033 = dma_mem_addr_in_iccm & _T_1032; // @[dma_ctrl.scala 308:29] + wire _T_1034 = _T_1026 | _T_1033; // @[dma_ctrl.scala 307:70] + wire _T_1035 = dma_mem_addr_in_dccm & io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[dma_ctrl.scala 309:29] + wire _T_1042 = _T_1035 & _T_1032; // @[dma_ctrl.scala 309:68] + wire _T_1043 = _T_1034 | _T_1042; // @[dma_ctrl.scala 308:108] + wire _T_1046 = io_lsu_dma_dma_lsc_ctl_dma_mem_write & _T_1016; // @[dma_ctrl.scala 310:45] + wire _T_1048 = dma_mem_addr_int[2:0] == 3'h0; // @[dma_ctrl.scala 310:114] + reg [7:0] fifo_byteen_4; // @[Reg.scala 27:20] + reg [7:0] fifo_byteen_3; // @[Reg.scala 27:20] + reg [7:0] fifo_byteen_2; // @[Reg.scala 27:20] + reg [7:0] fifo_byteen_1; // @[Reg.scala 27:20] + reg [7:0] fifo_byteen_0; // @[Reg.scala 27:20] + wire [7:0] _GEN_70 = 3'h1 == RdPtr ? fifo_byteen_1 : fifo_byteen_0; // @[dma_ctrl.scala 359:20] + wire [7:0] _GEN_71 = 3'h2 == RdPtr ? fifo_byteen_2 : _GEN_70; // @[dma_ctrl.scala 359:20] + wire [7:0] _GEN_72 = 3'h3 == RdPtr ? fifo_byteen_3 : _GEN_71; // @[dma_ctrl.scala 359:20] + wire [7:0] dma_mem_byteen = 3'h4 == RdPtr ? fifo_byteen_4 : _GEN_72; // @[dma_ctrl.scala 359:20] + wire [3:0] _T_1071 = _T_1048 ? dma_mem_byteen[3:0] : 4'h0; // @[Mux.scala 27:72] + wire _T_1051 = dma_mem_addr_int[2:0] == 3'h1; // @[dma_ctrl.scala 311:32] + wire [3:0] _T_1072 = _T_1051 ? dma_mem_byteen[4:1] : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1079 = _T_1071 | _T_1072; // @[Mux.scala 27:72] + wire _T_1054 = dma_mem_addr_int[2:0] == 3'h2; // @[dma_ctrl.scala 312:32] + wire [3:0] _T_1073 = _T_1054 ? dma_mem_byteen[5:2] : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1080 = _T_1079 | _T_1073; // @[Mux.scala 27:72] + wire _T_1057 = dma_mem_addr_int[2:0] == 3'h3; // @[dma_ctrl.scala 313:32] + wire [3:0] _T_1074 = _T_1057 ? dma_mem_byteen[6:3] : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1081 = _T_1080 | _T_1074; // @[Mux.scala 27:72] + wire _T_1060 = dma_mem_addr_int[2:0] == 3'h4; // @[dma_ctrl.scala 314:32] + wire [3:0] _T_1075 = _T_1060 ? dma_mem_byteen[7:4] : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1082 = _T_1081 | _T_1075; // @[Mux.scala 27:72] + wire _T_1063 = dma_mem_addr_int[2:0] == 3'h5; // @[dma_ctrl.scala 315:32] + wire [2:0] _T_1076 = _T_1063 ? dma_mem_byteen[7:5] : 3'h0; // @[Mux.scala 27:72] + wire [3:0] _GEN_91 = {{1'd0}, _T_1076}; // @[Mux.scala 27:72] + wire [3:0] _T_1083 = _T_1082 | _GEN_91; // @[Mux.scala 27:72] + wire _T_1066 = dma_mem_addr_int[2:0] == 3'h6; // @[dma_ctrl.scala 316:32] + wire [1:0] _T_1077 = _T_1066 ? dma_mem_byteen[7:6] : 2'h0; // @[Mux.scala 27:72] + wire [3:0] _GEN_92 = {{2'd0}, _T_1077}; // @[Mux.scala 27:72] + wire [3:0] _T_1084 = _T_1083 | _GEN_92; // @[Mux.scala 27:72] + wire _T_1069 = dma_mem_addr_int[2:0] == 3'h7; // @[dma_ctrl.scala 317:32] + wire _T_1078 = _T_1069 & dma_mem_byteen[7]; // @[Mux.scala 27:72] + wire [3:0] _GEN_93 = {{3'd0}, _T_1078}; // @[Mux.scala 27:72] + wire [3:0] _T_1085 = _T_1084 | _GEN_93; // @[Mux.scala 27:72] + wire _T_1087 = _T_1085 != 4'hf; // @[dma_ctrl.scala 317:66] + wire _T_1088 = _T_1046 & _T_1087; // @[dma_ctrl.scala 310:78] + wire _T_1089 = _T_1043 | _T_1088; // @[dma_ctrl.scala 309:145] + wire _T_1092 = io_lsu_dma_dma_lsc_ctl_dma_mem_write & _T_1022; // @[dma_ctrl.scala 318:45] + wire _T_1094 = dma_mem_byteen == 8'hf; // @[dma_ctrl.scala 318:103] + wire _T_1096 = dma_mem_byteen == 8'hf0; // @[dma_ctrl.scala 318:139] + wire _T_1097 = _T_1094 | _T_1096; // @[dma_ctrl.scala 318:116] + wire _T_1099 = dma_mem_byteen == 8'hff; // @[dma_ctrl.scala 318:175] + wire _T_1100 = _T_1097 | _T_1099; // @[dma_ctrl.scala 318:152] + wire _T_1101 = ~_T_1100; // @[dma_ctrl.scala 318:80] + wire _T_1102 = _T_1092 & _T_1101; // @[dma_ctrl.scala 318:78] + wire _T_1103 = _T_1089 | _T_1102; // @[dma_ctrl.scala 317:79] + wire dma_alignment_error = _T_1010 & _T_1103; // @[dma_ctrl.scala 304:87] + wire _T_79 = dma_address_error | dma_alignment_error; // @[dma_ctrl.scala 208:258] + wire _T_80 = 3'h0 == RdPtr; // @[dma_ctrl.scala 208:288] + wire _T_81 = _T_79 & _T_80; // @[dma_ctrl.scala 208:281] + wire _T_82 = _T_78 | _T_81; // @[dma_ctrl.scala 208:236] + wire _T_83 = 3'h0 == io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag; // @[dma_ctrl.scala 208:350] + wire _T_84 = io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid & _T_83; // @[dma_ctrl.scala 208:343] + wire _T_85 = _T_82 | _T_84; // @[dma_ctrl.scala 208:300] + wire _T_86 = 3'h0 == io_iccm_dma_rtag; // @[dma_ctrl.scala 208:423] + wire _T_87 = io_iccm_dma_rvalid & _T_86; // @[dma_ctrl.scala 208:416] + wire _T_88 = _T_85 | _T_87; // @[dma_ctrl.scala 208:394] + wire _T_96 = _T_76 & _T_41; // @[dma_ctrl.scala 208:217] + wire _T_98 = 3'h1 == RdPtr; // @[dma_ctrl.scala 208:288] + wire _T_99 = _T_79 & _T_98; // @[dma_ctrl.scala 208:281] + wire _T_100 = _T_96 | _T_99; // @[dma_ctrl.scala 208:236] + wire _T_101 = 3'h1 == io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag; // @[dma_ctrl.scala 208:350] + wire _T_102 = io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid & _T_101; // @[dma_ctrl.scala 208:343] + wire _T_103 = _T_100 | _T_102; // @[dma_ctrl.scala 208:300] + wire _T_104 = 3'h1 == io_iccm_dma_rtag; // @[dma_ctrl.scala 208:423] + wire _T_105 = io_iccm_dma_rvalid & _T_104; // @[dma_ctrl.scala 208:416] + wire _T_106 = _T_103 | _T_105; // @[dma_ctrl.scala 208:394] + wire _T_114 = _T_76 & _T_49; // @[dma_ctrl.scala 208:217] + wire _T_116 = 3'h2 == RdPtr; // @[dma_ctrl.scala 208:288] + wire _T_117 = _T_79 & _T_116; // @[dma_ctrl.scala 208:281] + wire _T_118 = _T_114 | _T_117; // @[dma_ctrl.scala 208:236] + wire _T_119 = 3'h2 == io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag; // @[dma_ctrl.scala 208:350] + wire _T_120 = io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid & _T_119; // @[dma_ctrl.scala 208:343] + wire _T_121 = _T_118 | _T_120; // @[dma_ctrl.scala 208:300] + wire _T_122 = 3'h2 == io_iccm_dma_rtag; // @[dma_ctrl.scala 208:423] + wire _T_123 = io_iccm_dma_rvalid & _T_122; // @[dma_ctrl.scala 208:416] + wire _T_124 = _T_121 | _T_123; // @[dma_ctrl.scala 208:394] + wire _T_132 = _T_76 & _T_57; // @[dma_ctrl.scala 208:217] + wire _T_134 = 3'h3 == RdPtr; // @[dma_ctrl.scala 208:288] + wire _T_135 = _T_79 & _T_134; // @[dma_ctrl.scala 208:281] + wire _T_136 = _T_132 | _T_135; // @[dma_ctrl.scala 208:236] + wire _T_137 = 3'h3 == io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag; // @[dma_ctrl.scala 208:350] + wire _T_138 = io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid & _T_137; // @[dma_ctrl.scala 208:343] + wire _T_139 = _T_136 | _T_138; // @[dma_ctrl.scala 208:300] + wire _T_140 = 3'h3 == io_iccm_dma_rtag; // @[dma_ctrl.scala 208:423] + wire _T_141 = io_iccm_dma_rvalid & _T_140; // @[dma_ctrl.scala 208:416] + wire _T_142 = _T_139 | _T_141; // @[dma_ctrl.scala 208:394] + wire _T_150 = _T_76 & _T_65; // @[dma_ctrl.scala 208:217] + wire _T_152 = 3'h4 == RdPtr; // @[dma_ctrl.scala 208:288] + wire _T_153 = _T_79 & _T_152; // @[dma_ctrl.scala 208:281] + wire _T_154 = _T_150 | _T_153; // @[dma_ctrl.scala 208:236] + wire _T_155 = 3'h4 == io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag; // @[dma_ctrl.scala 208:350] + wire _T_156 = io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid & _T_155; // @[dma_ctrl.scala 208:343] + wire _T_157 = _T_154 | _T_156; // @[dma_ctrl.scala 208:300] + wire _T_158 = 3'h4 == io_iccm_dma_rtag; // @[dma_ctrl.scala 208:423] + wire _T_159 = io_iccm_dma_rvalid & _T_158; // @[dma_ctrl.scala 208:416] + wire _T_160 = _T_157 | _T_159; // @[dma_ctrl.scala 208:394] + wire [4:0] fifo_data_en = {_T_160,_T_142,_T_124,_T_106,_T_88}; // @[Cat.scala 29:58] + wire _T_165 = io_lsu_dma_dma_lsc_ctl_dma_dccm_req | io_ifu_dma_dma_mem_ctl_dma_iccm_req; // @[dma_ctrl.scala 210:95] + wire _T_166 = ~io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[dma_ctrl.scala 210:136] + wire _T_167 = _T_165 & _T_166; // @[dma_ctrl.scala 210:134] + wire _T_169 = _T_167 & _T_80; // @[dma_ctrl.scala 210:174] + wire _T_174 = _T_167 & _T_98; // @[dma_ctrl.scala 210:174] + wire _T_179 = _T_167 & _T_116; // @[dma_ctrl.scala 210:174] + wire _T_184 = _T_167 & _T_134; // @[dma_ctrl.scala 210:174] + wire _T_189 = _T_167 & _T_152; // @[dma_ctrl.scala 210:174] + wire [4:0] fifo_pend_en = {_T_189,_T_184,_T_179,_T_174,_T_169}; // @[Cat.scala 29:58] + wire _T_1127 = _T_995 & _T_996[0]; // @[dma_ctrl.scala 328:66] + wire _T_1129 = _T_1000 | dma_mem_addr_in_pic; // @[dma_ctrl.scala 328:134] + wire _T_1130 = ~_T_1129; // @[dma_ctrl.scala 328:88] + wire _T_1133 = dma_mem_sz_int[1:0] != 2'h2; // @[dma_ctrl.scala 328:191] + wire _T_1134 = _T_1130 | _T_1133; // @[dma_ctrl.scala 328:167] + wire dma_dbg_cmd_error = _T_1127 & _T_1134; // @[dma_ctrl.scala 328:84] + wire _T_197 = _T_79 | dma_dbg_cmd_error; // @[dma_ctrl.scala 212:114] + wire _T_199 = _T_197 & _T_80; // @[dma_ctrl.scala 212:135] + wire _T_200 = io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid & io_lsu_dma_dma_dccm_ctl_dccm_dma_ecc_error; // @[dma_ctrl.scala 212:198] + wire _T_202 = _T_200 & _T_83; // @[dma_ctrl.scala 212:244] + wire _T_203 = _T_199 | _T_202; // @[dma_ctrl.scala 212:154] + wire _T_204 = io_iccm_dma_rvalid & io_iccm_dma_ecc_error; // @[dma_ctrl.scala 212:318] + wire _T_206 = _T_204 & _T_86; // @[dma_ctrl.scala 212:343] + wire _T_207 = _T_203 | _T_206; // @[dma_ctrl.scala 212:295] + wire _T_213 = _T_197 & _T_98; // @[dma_ctrl.scala 212:135] + wire _T_216 = _T_200 & _T_101; // @[dma_ctrl.scala 212:244] + wire _T_217 = _T_213 | _T_216; // @[dma_ctrl.scala 212:154] + wire _T_220 = _T_204 & _T_104; // @[dma_ctrl.scala 212:343] + wire _T_221 = _T_217 | _T_220; // @[dma_ctrl.scala 212:295] + wire _T_227 = _T_197 & _T_116; // @[dma_ctrl.scala 212:135] + wire _T_230 = _T_200 & _T_119; // @[dma_ctrl.scala 212:244] + wire _T_231 = _T_227 | _T_230; // @[dma_ctrl.scala 212:154] + wire _T_234 = _T_204 & _T_122; // @[dma_ctrl.scala 212:343] + wire _T_235 = _T_231 | _T_234; // @[dma_ctrl.scala 212:295] + wire _T_241 = _T_197 & _T_134; // @[dma_ctrl.scala 212:135] + wire _T_244 = _T_200 & _T_137; // @[dma_ctrl.scala 212:244] + wire _T_245 = _T_241 | _T_244; // @[dma_ctrl.scala 212:154] + wire _T_248 = _T_204 & _T_140; // @[dma_ctrl.scala 212:343] + wire _T_249 = _T_245 | _T_248; // @[dma_ctrl.scala 212:295] + wire _T_255 = _T_197 & _T_152; // @[dma_ctrl.scala 212:135] + wire _T_258 = _T_200 & _T_155; // @[dma_ctrl.scala 212:244] + wire _T_259 = _T_255 | _T_258; // @[dma_ctrl.scala 212:154] + wire _T_262 = _T_204 & _T_158; // @[dma_ctrl.scala 212:343] + wire _T_263 = _T_259 | _T_262; // @[dma_ctrl.scala 212:295] + wire [4:0] fifo_error_en = {_T_263,_T_249,_T_235,_T_221,_T_207}; // @[Cat.scala 29:58] + wire [1:0] _T_436 = {1'h0,io_lsu_dma_dma_dccm_ctl_dccm_dma_ecc_error}; // @[Cat.scala 29:58] + wire [1:0] _T_439 = {1'h0,io_iccm_dma_ecc_error}; // @[Cat.scala 29:58] + wire [1:0] _T_442 = {_T_197,dma_alignment_error}; // @[Cat.scala 29:58] + wire [1:0] _T_443 = _T_87 ? _T_439 : _T_442; // @[dma_ctrl.scala 222:209] + wire [1:0] fifo_error_in_0 = _T_84 ? _T_436 : _T_443; // @[dma_ctrl.scala 222:60] + wire _T_269 = |fifo_error_in_0; // @[dma_ctrl.scala 214:83] + reg [1:0] fifo_error_0; // @[dma_ctrl.scala 228:85] + wire _T_272 = |fifo_error_0; // @[dma_ctrl.scala 214:125] + wire [1:0] _T_454 = _T_105 ? _T_439 : _T_442; // @[dma_ctrl.scala 222:209] + wire [1:0] fifo_error_in_1 = _T_102 ? _T_436 : _T_454; // @[dma_ctrl.scala 222:60] + wire _T_276 = |fifo_error_in_1; // @[dma_ctrl.scala 214:83] + reg [1:0] fifo_error_1; // @[dma_ctrl.scala 228:85] + wire _T_279 = |fifo_error_1; // @[dma_ctrl.scala 214:125] + wire [1:0] _T_465 = _T_123 ? _T_439 : _T_442; // @[dma_ctrl.scala 222:209] + wire [1:0] fifo_error_in_2 = _T_120 ? _T_436 : _T_465; // @[dma_ctrl.scala 222:60] + wire _T_283 = |fifo_error_in_2; // @[dma_ctrl.scala 214:83] + reg [1:0] fifo_error_2; // @[dma_ctrl.scala 228:85] + wire _T_286 = |fifo_error_2; // @[dma_ctrl.scala 214:125] + wire [1:0] _T_476 = _T_141 ? _T_439 : _T_442; // @[dma_ctrl.scala 222:209] + wire [1:0] fifo_error_in_3 = _T_138 ? _T_436 : _T_476; // @[dma_ctrl.scala 222:60] + wire _T_290 = |fifo_error_in_3; // @[dma_ctrl.scala 214:83] + reg [1:0] fifo_error_3; // @[dma_ctrl.scala 228:85] + wire _T_293 = |fifo_error_3; // @[dma_ctrl.scala 214:125] + wire [1:0] _T_487 = _T_159 ? _T_439 : _T_442; // @[dma_ctrl.scala 222:209] + wire [1:0] fifo_error_in_4 = _T_156 ? _T_436 : _T_487; // @[dma_ctrl.scala 222:60] + wire _T_297 = |fifo_error_in_4; // @[dma_ctrl.scala 214:83] + reg [1:0] fifo_error_4; // @[dma_ctrl.scala 228:85] + wire _T_300 = |fifo_error_4; // @[dma_ctrl.scala 214:125] + wire _T_309 = _T_272 | fifo_error_en[0]; // @[dma_ctrl.scala 216:78] + wire _T_311 = _T_165 & io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[dma_ctrl.scala 216:176] + wire _T_312 = _T_309 | _T_311; // @[dma_ctrl.scala 216:97] + wire _T_314 = _T_312 & _T_80; // @[dma_ctrl.scala 216:217] + wire _T_317 = _T_314 | _T_84; // @[dma_ctrl.scala 216:236] + wire _T_320 = _T_317 | _T_87; // @[dma_ctrl.scala 216:330] + wire _T_323 = _T_279 | fifo_error_en[1]; // @[dma_ctrl.scala 216:78] + wire _T_326 = _T_323 | _T_311; // @[dma_ctrl.scala 216:97] + wire _T_328 = _T_326 & _T_98; // @[dma_ctrl.scala 216:217] + wire _T_331 = _T_328 | _T_102; // @[dma_ctrl.scala 216:236] + wire _T_334 = _T_331 | _T_105; // @[dma_ctrl.scala 216:330] + wire _T_337 = _T_286 | fifo_error_en[2]; // @[dma_ctrl.scala 216:78] + wire _T_340 = _T_337 | _T_311; // @[dma_ctrl.scala 216:97] + wire _T_342 = _T_340 & _T_116; // @[dma_ctrl.scala 216:217] + wire _T_345 = _T_342 | _T_120; // @[dma_ctrl.scala 216:236] + wire _T_348 = _T_345 | _T_123; // @[dma_ctrl.scala 216:330] + wire _T_351 = _T_293 | fifo_error_en[3]; // @[dma_ctrl.scala 216:78] + wire _T_354 = _T_351 | _T_311; // @[dma_ctrl.scala 216:97] + wire _T_356 = _T_354 & _T_134; // @[dma_ctrl.scala 216:217] + wire _T_359 = _T_356 | _T_138; // @[dma_ctrl.scala 216:236] + wire _T_362 = _T_359 | _T_141; // @[dma_ctrl.scala 216:330] + wire _T_365 = _T_300 | fifo_error_en[4]; // @[dma_ctrl.scala 216:78] + wire _T_368 = _T_365 | _T_311; // @[dma_ctrl.scala 216:97] + wire _T_370 = _T_368 & _T_152; // @[dma_ctrl.scala 216:217] + wire _T_373 = _T_370 | _T_156; // @[dma_ctrl.scala 216:236] + wire _T_376 = _T_373 | _T_159; // @[dma_ctrl.scala 216:330] + wire [4:0] fifo_done_en = {_T_376,_T_362,_T_348,_T_334,_T_320}; // @[Cat.scala 29:58] + wire _T_383 = fifo_done_en[0] | fifo_done[0]; // @[dma_ctrl.scala 218:75] + wire _T_384 = _T_383 & io_dma_bus_clk_en; // @[dma_ctrl.scala 218:91] + wire _T_387 = fifo_done_en[1] | fifo_done[1]; // @[dma_ctrl.scala 218:75] + wire _T_388 = _T_387 & io_dma_bus_clk_en; // @[dma_ctrl.scala 218:91] + wire _T_391 = fifo_done_en[2] | fifo_done[2]; // @[dma_ctrl.scala 218:75] + wire _T_392 = _T_391 & io_dma_bus_clk_en; // @[dma_ctrl.scala 218:91] + wire _T_395 = fifo_done_en[3] | fifo_done[3]; // @[dma_ctrl.scala 218:75] + wire _T_396 = _T_395 & io_dma_bus_clk_en; // @[dma_ctrl.scala 218:91] + wire _T_399 = fifo_done_en[4] | fifo_done[4]; // @[dma_ctrl.scala 218:75] + wire _T_400 = _T_399 & io_dma_bus_clk_en; // @[dma_ctrl.scala 218:91] + wire [4:0] fifo_done_bus_en = {_T_400,_T_396,_T_392,_T_388,_T_384}; // @[Cat.scala 29:58] + wire _T_1285 = io_dma_axi_b_valid & io_dma_axi_b_ready; // @[dma_ctrl.scala 502:61] + wire _T_1286 = io_dma_axi_r_valid & io_dma_axi_r_ready; // @[dma_ctrl.scala 502:105] + wire bus_rsp_sent = _T_1285 | _T_1286; // @[dma_ctrl.scala 502:83] + wire _T_406 = bus_rsp_sent & io_dma_bus_clk_en; // @[dma_ctrl.scala 220:99] + wire _T_407 = _T_406 | io_dma_dbg_cmd_done; // @[dma_ctrl.scala 220:120] + reg [2:0] RspPtr; // @[Reg.scala 27:20] + wire _T_408 = 3'h0 == RspPtr; // @[dma_ctrl.scala 220:150] + wire _T_409 = _T_407 & _T_408; // @[dma_ctrl.scala 220:143] + wire _T_413 = 3'h1 == RspPtr; // @[dma_ctrl.scala 220:150] + wire _T_414 = _T_407 & _T_413; // @[dma_ctrl.scala 220:143] + wire _T_418 = 3'h2 == RspPtr; // @[dma_ctrl.scala 220:150] + wire _T_419 = _T_407 & _T_418; // @[dma_ctrl.scala 220:143] + wire _T_423 = 3'h3 == RspPtr; // @[dma_ctrl.scala 220:150] + wire _T_424 = _T_407 & _T_423; // @[dma_ctrl.scala 220:143] + wire _T_428 = 3'h4 == RspPtr; // @[dma_ctrl.scala 220:150] + wire _T_429 = _T_407 & _T_428; // @[dma_ctrl.scala 220:143] + wire [4:0] fifo_reset = {_T_429,_T_424,_T_419,_T_414,_T_409}; // @[Cat.scala 29:58] + wire _T_491 = fifo_error_en[0] & _T_269; // @[dma_ctrl.scala 224:77] + wire [63:0] _T_493 = {32'h0,fifo_addr_0}; // @[Cat.scala 29:58] + wire [63:0] _T_498 = {io_dbg_dma_dbg_dctl_dbg_cmd_wrdata,io_dbg_dma_dbg_dctl_dbg_cmd_wrdata}; // @[Cat.scala 29:58] + reg [63:0] wrbuf_data; // @[lib.scala 374:16] + wire [63:0] _T_500 = io_dbg_dma_dbg_ib_dbg_cmd_valid ? _T_498 : wrbuf_data; // @[dma_ctrl.scala 224:347] + wire _T_506 = fifo_error_en[1] & _T_276; // @[dma_ctrl.scala 224:77] + wire [63:0] _T_508 = {32'h0,fifo_addr_1}; // @[Cat.scala 29:58] + wire _T_521 = fifo_error_en[2] & _T_283; // @[dma_ctrl.scala 224:77] + wire [63:0] _T_523 = {32'h0,fifo_addr_2}; // @[Cat.scala 29:58] + wire _T_536 = fifo_error_en[3] & _T_290; // @[dma_ctrl.scala 224:77] + wire [63:0] _T_538 = {32'h0,fifo_addr_3}; // @[Cat.scala 29:58] + wire _T_551 = fifo_error_en[4] & _T_297; // @[dma_ctrl.scala 224:77] + wire [63:0] _T_553 = {32'h0,fifo_addr_4}; // @[Cat.scala 29:58] + wire _T_566 = fifo_cmd_en[0] | fifo_valid[0]; // @[dma_ctrl.scala 226:86] + wire _T_568 = ~fifo_reset[0]; // @[dma_ctrl.scala 226:125] + wire _T_573 = fifo_cmd_en[1] | fifo_valid[1]; // @[dma_ctrl.scala 226:86] + wire _T_575 = ~fifo_reset[1]; // @[dma_ctrl.scala 226:125] + wire _T_580 = fifo_cmd_en[2] | fifo_valid[2]; // @[dma_ctrl.scala 226:86] + wire _T_582 = ~fifo_reset[2]; // @[dma_ctrl.scala 226:125] + wire _T_587 = fifo_cmd_en[3] | fifo_valid[3]; // @[dma_ctrl.scala 226:86] + wire _T_589 = ~fifo_reset[3]; // @[dma_ctrl.scala 226:125] + wire _T_594 = fifo_cmd_en[4] | fifo_valid[4]; // @[dma_ctrl.scala 226:86] + wire _T_596 = ~fifo_reset[4]; // @[dma_ctrl.scala 226:125] + wire [1:0] _T_605 = fifo_error_en[0] ? fifo_error_in_0 : fifo_error_0; // @[dma_ctrl.scala 228:89] + wire [1:0] _T_609 = _T_568 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_614 = fifo_error_en[1] ? fifo_error_in_1 : fifo_error_1; // @[dma_ctrl.scala 228:89] + wire [1:0] _T_618 = _T_575 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_623 = fifo_error_en[2] ? fifo_error_in_2 : fifo_error_2; // @[dma_ctrl.scala 228:89] + wire [1:0] _T_627 = _T_582 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_632 = fifo_error_en[3] ? fifo_error_in_3 : fifo_error_3; // @[dma_ctrl.scala 228:89] + wire [1:0] _T_636 = _T_589 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_641 = fifo_error_en[4] ? fifo_error_in_4 : fifo_error_4; // @[dma_ctrl.scala 228:89] + wire [1:0] _T_645 = _T_596 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + reg _T_721; // @[dma_ctrl.scala 232:89] + reg _T_714; // @[dma_ctrl.scala 232:89] + reg _T_707; // @[dma_ctrl.scala 232:89] + reg _T_700; // @[dma_ctrl.scala 232:89] + reg _T_693; // @[dma_ctrl.scala 232:89] + wire [4:0] fifo_rpend = {_T_721,_T_714,_T_707,_T_700,_T_693}; // @[Cat.scala 29:58] + wire _T_689 = fifo_pend_en[0] | fifo_rpend[0]; // @[dma_ctrl.scala 232:93] + wire _T_696 = fifo_pend_en[1] | fifo_rpend[1]; // @[dma_ctrl.scala 232:93] + wire _T_703 = fifo_pend_en[2] | fifo_rpend[2]; // @[dma_ctrl.scala 232:93] + wire _T_710 = fifo_pend_en[3] | fifo_rpend[3]; // @[dma_ctrl.scala 232:93] + wire _T_717 = fifo_pend_en[4] | fifo_rpend[4]; // @[dma_ctrl.scala 232:93] + reg _T_799; // @[dma_ctrl.scala 236:89] + reg _T_792; // @[dma_ctrl.scala 236:89] + reg _T_785; // @[dma_ctrl.scala 236:89] + reg _T_778; // @[dma_ctrl.scala 236:89] + reg _T_771; // @[dma_ctrl.scala 236:89] + wire [4:0] fifo_done_bus = {_T_799,_T_792,_T_785,_T_778,_T_771}; // @[Cat.scala 29:58] + wire _T_767 = fifo_done_bus_en[0] | fifo_done_bus[0]; // @[dma_ctrl.scala 236:93] + wire _T_774 = fifo_done_bus_en[1] | fifo_done_bus[1]; // @[dma_ctrl.scala 236:93] + wire _T_781 = fifo_done_bus_en[2] | fifo_done_bus[2]; // @[dma_ctrl.scala 236:93] + wire _T_788 = fifo_done_bus_en[3] | fifo_done_bus[3]; // @[dma_ctrl.scala 236:93] + wire _T_795 = fifo_done_bus_en[4] | fifo_done_bus[4]; // @[dma_ctrl.scala 236:93] + wire [7:0] fifo_byteen_in = _T_20[7:0]; // @[dma_ctrl.scala 195:28] + reg _T_850; // @[Reg.scala 27:20] + reg _T_852; // @[Reg.scala 27:20] + reg _T_854; // @[Reg.scala 27:20] + reg _T_856; // @[Reg.scala 27:20] + reg _T_858; // @[Reg.scala 27:20] + wire [4:0] fifo_write = {_T_858,_T_856,_T_854,_T_852,_T_850}; // @[Cat.scala 29:58] + reg [63:0] fifo_data_0; // @[lib.scala 374:16] + reg [63:0] fifo_data_1; // @[lib.scala 374:16] + reg [63:0] fifo_data_2; // @[lib.scala 374:16] + reg [63:0] fifo_data_3; // @[lib.scala 374:16] + reg [63:0] fifo_data_4; // @[lib.scala 374:16] + reg fifo_tag_0; // @[Reg.scala 27:20] + reg wrbuf_tag; // @[Reg.scala 27:20] + reg rdbuf_tag; // @[Reg.scala 27:20] + wire bus_cmd_tag = axi_mstr_sel ? wrbuf_tag : rdbuf_tag; // @[dma_ctrl.scala 467:43] + reg fifo_tag_1; // @[Reg.scala 27:20] + reg fifo_tag_2; // @[Reg.scala 27:20] + reg fifo_tag_3; // @[Reg.scala 27:20] + reg fifo_tag_4; // @[Reg.scala 27:20] + wire _T_931 = WrPtr == 3'h4; // @[dma_ctrl.scala 260:30] + wire [2:0] _T_934 = WrPtr + 3'h1; // @[dma_ctrl.scala 260:76] + wire _T_936 = RdPtr == 3'h4; // @[dma_ctrl.scala 262:30] + wire [2:0] _T_939 = RdPtr + 3'h1; // @[dma_ctrl.scala 262:76] + wire _T_941 = RspPtr == 3'h4; // @[dma_ctrl.scala 264:31] + wire [2:0] _T_944 = RspPtr + 3'h1; // @[dma_ctrl.scala 264:78] + wire WrPtrEn = |fifo_cmd_en; // @[dma_ctrl.scala 266:30] + wire RdPtrEn = _T_165 | _T_197; // @[dma_ctrl.scala 268:93] + wire RspPtrEn = io_dma_dbg_cmd_done | _T_406; // @[dma_ctrl.scala 270:39] + wire [3:0] _T_959 = {3'h0,axi_mstr_prty_en}; // @[Cat.scala 29:58] + wire [3:0] _T_961 = {3'h0,bus_rsp_sent}; // @[Cat.scala 29:58] + wire [3:0] num_fifo_vld_tmp = _T_959 - _T_961; // @[dma_ctrl.scala 291:62] + wire [3:0] _T_966 = {3'h0,fifo_valid[0]}; // @[Cat.scala 29:58] + wire [3:0] _T_969 = {3'h0,fifo_valid[1]}; // @[Cat.scala 29:58] + wire [3:0] _T_972 = {3'h0,fifo_valid[2]}; // @[Cat.scala 29:58] + wire [3:0] _T_975 = {3'h0,fifo_valid[3]}; // @[Cat.scala 29:58] + wire [3:0] _T_978 = {3'h0,fifo_valid[4]}; // @[Cat.scala 29:58] + wire [3:0] _T_980 = _T_966 + _T_969; // @[dma_ctrl.scala 293:102] + wire [3:0] _T_982 = _T_980 + _T_972; // @[dma_ctrl.scala 293:102] + wire [3:0] _T_984 = _T_982 + _T_975; // @[dma_ctrl.scala 293:102] + wire [3:0] num_fifo_vld_tmp2 = _T_984 + _T_978; // @[dma_ctrl.scala 293:102] + wire [3:0] num_fifo_vld = num_fifo_vld_tmp + num_fifo_vld_tmp2; // @[dma_ctrl.scala 295:45] + wire _T_1143 = |fifo_valid; // @[dma_ctrl.scala 338:30] + wire fifo_empty = ~_T_1143; // @[dma_ctrl.scala 338:17] + wire [4:0] _T_1106 = fifo_valid >> RspPtr; // @[dma_ctrl.scala 324:39] + wire [4:0] _T_1108 = fifo_dbg >> RspPtr; // @[dma_ctrl.scala 324:58] + wire _T_1110 = _T_1106[0] & _T_1108[0]; // @[dma_ctrl.scala 324:48] + wire [4:0] _T_1111 = fifo_done >> RspPtr; // @[dma_ctrl.scala 324:78] + wire [31:0] _GEN_44 = 3'h1 == RspPtr ? fifo_addr_1 : fifo_addr_0; // @[dma_ctrl.scala 325:49] + wire [31:0] _GEN_45 = 3'h2 == RspPtr ? fifo_addr_2 : _GEN_44; // @[dma_ctrl.scala 325:49] + wire [31:0] _GEN_46 = 3'h3 == RspPtr ? fifo_addr_3 : _GEN_45; // @[dma_ctrl.scala 325:49] + wire [31:0] _GEN_47 = 3'h4 == RspPtr ? fifo_addr_4 : _GEN_46; // @[dma_ctrl.scala 325:49] + wire [63:0] _GEN_49 = 3'h1 == RspPtr ? fifo_data_1 : fifo_data_0; // @[dma_ctrl.scala 325:71] + wire [63:0] _GEN_50 = 3'h2 == RspPtr ? fifo_data_2 : _GEN_49; // @[dma_ctrl.scala 325:71] + wire [63:0] _GEN_51 = 3'h3 == RspPtr ? fifo_data_3 : _GEN_50; // @[dma_ctrl.scala 325:71] + wire [63:0] _GEN_52 = 3'h4 == RspPtr ? fifo_data_4 : _GEN_51; // @[dma_ctrl.scala 325:71] + wire [1:0] _GEN_54 = 3'h1 == RspPtr ? fifo_error_1 : fifo_error_0; // @[dma_ctrl.scala 326:47] + wire [1:0] _GEN_55 = 3'h2 == RspPtr ? fifo_error_2 : _GEN_54; // @[dma_ctrl.scala 326:47] + wire [1:0] _GEN_56 = 3'h3 == RspPtr ? fifo_error_3 : _GEN_55; // @[dma_ctrl.scala 326:47] + wire [1:0] _GEN_57 = 3'h4 == RspPtr ? fifo_error_4 : _GEN_56; // @[dma_ctrl.scala 326:47] + wire _T_1136 = dma_mem_addr_in_dccm | dma_mem_addr_in_pic; // @[dma_ctrl.scala 332:80] + wire [4:0] _T_1165 = fifo_rpend >> RdPtr; // @[dma_ctrl.scala 351:54] + wire _T_1167 = ~_T_1165[0]; // @[dma_ctrl.scala 351:43] + wire _T_1168 = _T_990[0] & _T_1167; // @[dma_ctrl.scala 351:41] + wire _T_1172 = _T_1168 & _T_994; // @[dma_ctrl.scala 351:62] + wire _T_1175 = ~_T_197; // @[dma_ctrl.scala 351:84] + wire dma_mem_req = _T_1172 & _T_1175; // @[dma_ctrl.scala 351:82] + wire _T_1137 = dma_mem_req & _T_1136; // @[dma_ctrl.scala 332:56] + reg [2:0] dma_nack_count; // @[Reg.scala 27:20] + wire _T_1138 = dma_nack_count >= io_dec_dma_tlu_dma_dec_tlu_dma_qos_prty; // @[dma_ctrl.scala 332:121] + wire _T_1140 = dma_mem_req & dma_mem_addr_in_iccm; // @[dma_ctrl.scala 333:56] + wire _T_1147 = ~_T_165; // @[dma_ctrl.scala 343:77] + wire [2:0] _T_1149 = _T_1147 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_1151 = _T_1149 & dma_nack_count; // @[dma_ctrl.scala 343:155] + wire _T_1155 = dma_mem_req & _T_1147; // @[dma_ctrl.scala 343:203] + wire [2:0] _T_1158 = dma_nack_count + 3'h1; // @[dma_ctrl.scala 343:304] + wire _T_1184 = io_lsu_dma_dma_lsc_ctl_dma_mem_write & _T_1096; // @[dma_ctrl.scala 357:84] + wire [31:0] _T_1188 = {dma_mem_addr_int[31:3],1'h1,dma_mem_addr_int[1:0]}; // @[Cat.scala 29:58] + wire _T_1196 = io_lsu_dma_dma_lsc_ctl_dma_mem_write & _T_1097; // @[dma_ctrl.scala 358:84] + wire [4:0] _T_1199 = fifo_write >> RdPtr; // @[dma_ctrl.scala 360:53] + wire [63:0] _GEN_75 = 3'h1 == RdPtr ? fifo_data_1 : fifo_data_0; // @[dma_ctrl.scala 361:40] + wire [63:0] _GEN_76 = 3'h2 == RdPtr ? fifo_data_2 : _GEN_75; // @[dma_ctrl.scala 361:40] + wire [63:0] _GEN_77 = 3'h3 == RdPtr ? fifo_data_3 : _GEN_76; // @[dma_ctrl.scala 361:40] + reg dma_dbg_cmd_done_q; // @[dma_ctrl.scala 381:12] + wire _T_1212 = bus_cmd_valid & io_dma_bus_clk_en; // @[dma_ctrl.scala 386:44] + wire _T_1213 = _T_1212 | io_dbg_dma_dbg_ib_dbg_cmd_valid; // @[dma_ctrl.scala 386:65] + wire bus_rsp_valid = io_dma_axi_b_valid | io_dma_axi_r_valid; // @[dma_ctrl.scala 501:60] + wire _T_1214 = bus_cmd_valid | bus_rsp_valid; // @[dma_ctrl.scala 387:44] + wire _T_1215 = _T_1214 | io_dbg_dma_dbg_ib_dbg_cmd_valid; // @[dma_ctrl.scala 387:60] + wire _T_1216 = _T_1215 | io_dma_dbg_cmd_done; // @[dma_ctrl.scala 387:94] + wire _T_1217 = _T_1216 | dma_dbg_cmd_done_q; // @[dma_ctrl.scala 387:116] + wire _T_1219 = _T_1217 | _T_1143; // @[dma_ctrl.scala 387:137] + wire wrbuf_en = io_dma_axi_aw_valid & io_dma_axi_aw_ready; // @[dma_ctrl.scala 409:47] + wire wrbuf_data_en = io_dma_axi_w_valid & io_dma_axi_w_ready; // @[dma_ctrl.scala 410:46] + wire wrbuf_cmd_sent = axi_mstr_prty_en & axi_mstr_sel; // @[dma_ctrl.scala 411:40] + wire _T_1221 = ~wrbuf_en; // @[dma_ctrl.scala 412:51] + wire wrbuf_rst = wrbuf_cmd_sent & _T_1221; // @[dma_ctrl.scala 412:49] + wire _T_1223 = ~wrbuf_data_en; // @[dma_ctrl.scala 413:51] + wire wrbuf_data_rst = wrbuf_cmd_sent & _T_1223; // @[dma_ctrl.scala 413:49] + wire _T_1224 = wrbuf_en | wrbuf_vld; // @[dma_ctrl.scala 415:63] + wire _T_1225 = ~wrbuf_rst; // @[dma_ctrl.scala 415:92] + wire _T_1228 = wrbuf_data_en | wrbuf_data_vld; // @[dma_ctrl.scala 417:63] + wire _T_1229 = ~wrbuf_data_rst; // @[dma_ctrl.scala 417:102] + wire rdbuf_en = io_dma_axi_ar_valid & io_dma_axi_ar_ready; // @[dma_ctrl.scala 437:59] + wire _T_1234 = ~axi_mstr_sel; // @[dma_ctrl.scala 438:44] + wire rdbuf_cmd_sent = axi_mstr_prty_en & _T_1234; // @[dma_ctrl.scala 438:42] + wire _T_1236 = ~rdbuf_en; // @[dma_ctrl.scala 439:63] + wire rdbuf_rst = rdbuf_cmd_sent & _T_1236; // @[dma_ctrl.scala 439:61] + wire _T_1237 = rdbuf_en | rdbuf_vld; // @[dma_ctrl.scala 441:51] + wire _T_1238 = ~rdbuf_rst; // @[dma_ctrl.scala 441:80] + wire _T_1242 = ~wrbuf_cmd_sent; // @[dma_ctrl.scala 453:44] + wire _T_1243 = wrbuf_vld & _T_1242; // @[dma_ctrl.scala 453:42] + wire _T_1246 = wrbuf_data_vld & _T_1242; // @[dma_ctrl.scala 454:47] + wire _T_1248 = ~rdbuf_cmd_sent; // @[dma_ctrl.scala 455:44] + wire _T_1249 = rdbuf_vld & _T_1248; // @[dma_ctrl.scala 455:42] + wire axi_mstr_prty_in = ~axi_mstr_priority; // @[dma_ctrl.scala 474:27] + wire _T_1271 = ~_T_1108[0]; // @[dma_ctrl.scala 481:50] + wire _T_1272 = _T_1106[0] & _T_1271; // @[dma_ctrl.scala 481:48] + wire [4:0] _T_1273 = fifo_done_bus >> RspPtr; // @[dma_ctrl.scala 481:83] + wire axi_rsp_valid = _T_1272 & _T_1273[0]; // @[dma_ctrl.scala 481:68] + wire [4:0] _T_1275 = fifo_write >> RspPtr; // @[dma_ctrl.scala 483:39] + wire axi_rsp_write = _T_1275[0]; // @[dma_ctrl.scala 483:39] + wire [1:0] _T_1278 = _GEN_57[1] ? 2'h3 : 2'h0; // @[dma_ctrl.scala 484:64] + wire _GEN_86 = 3'h1 == RspPtr ? fifo_tag_1 : fifo_tag_0; // @[dma_ctrl.scala 492:33] + wire _GEN_87 = 3'h2 == RspPtr ? fifo_tag_2 : _GEN_86; // @[dma_ctrl.scala 492:33] + wire _GEN_88 = 3'h3 == RspPtr ? fifo_tag_3 : _GEN_87; // @[dma_ctrl.scala 492:33] + wire _T_1281 = ~axi_rsp_write; // @[dma_ctrl.scala 494:46] + rvclkhdr rvclkhdr ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + rvclkhdr rvclkhdr_4 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_4_io_l1clk), + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en), + .io_scan_mode(rvclkhdr_4_io_scan_mode) + ); + rvclkhdr rvclkhdr_5 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_5_io_l1clk), + .io_clk(rvclkhdr_5_io_clk), + .io_en(rvclkhdr_5_io_en), + .io_scan_mode(rvclkhdr_5_io_scan_mode) + ); + rvclkhdr rvclkhdr_6 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_6_io_l1clk), + .io_clk(rvclkhdr_6_io_clk), + .io_en(rvclkhdr_6_io_en), + .io_scan_mode(rvclkhdr_6_io_scan_mode) + ); + rvclkhdr rvclkhdr_7 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_7_io_l1clk), + .io_clk(rvclkhdr_7_io_clk), + .io_en(rvclkhdr_7_io_en), + .io_scan_mode(rvclkhdr_7_io_scan_mode) + ); + rvclkhdr rvclkhdr_8 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_8_io_l1clk), + .io_clk(rvclkhdr_8_io_clk), + .io_en(rvclkhdr_8_io_en), + .io_scan_mode(rvclkhdr_8_io_scan_mode) + ); + rvclkhdr rvclkhdr_9 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_9_io_l1clk), + .io_clk(rvclkhdr_9_io_clk), + .io_en(rvclkhdr_9_io_en), + .io_scan_mode(rvclkhdr_9_io_scan_mode) + ); + rvclkhdr dma_buffer_c1cgc ( // @[dma_ctrl.scala 389:32] + .io_l1clk(dma_buffer_c1cgc_io_l1clk), + .io_clk(dma_buffer_c1cgc_io_clk), + .io_en(dma_buffer_c1cgc_io_en), + .io_scan_mode(dma_buffer_c1cgc_io_scan_mode) + ); + rvclkhdr dma_free_cgc ( // @[dma_ctrl.scala 395:28] + .io_l1clk(dma_free_cgc_io_l1clk), + .io_clk(dma_free_cgc_io_clk), + .io_en(dma_free_cgc_io_en), + .io_scan_mode(dma_free_cgc_io_scan_mode) + ); + rvclkhdr dma_bus_cgc ( // @[dma_ctrl.scala 401:27] + .io_l1clk(dma_bus_cgc_io_l1clk), + .io_clk(dma_bus_cgc_io_clk), + .io_en(dma_bus_cgc_io_en), + .io_scan_mode(dma_bus_cgc_io_scan_mode) + ); + rvclkhdr rvclkhdr_10 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_10_io_l1clk), + .io_clk(rvclkhdr_10_io_clk), + .io_en(rvclkhdr_10_io_en), + .io_scan_mode(rvclkhdr_10_io_scan_mode) + ); + rvclkhdr rvclkhdr_11 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_11_io_l1clk), + .io_clk(rvclkhdr_11_io_clk), + .io_en(rvclkhdr_11_io_en), + .io_scan_mode(rvclkhdr_11_io_scan_mode) + ); + rvclkhdr rvclkhdr_12 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_12_io_l1clk), + .io_clk(rvclkhdr_12_io_clk), + .io_en(rvclkhdr_12_io_en), + .io_scan_mode(rvclkhdr_12_io_scan_mode) + ); + assign io_dma_dbg_rddata = _GEN_47[2] ? _GEN_52[63:32] : _GEN_52[31:0]; // @[dma_ctrl.scala 325:25] + assign io_dma_dbg_cmd_done = _T_1110 & _T_1111[0]; // @[dma_ctrl.scala 324:25] + assign io_dma_dbg_cmd_fail = |_GEN_57; // @[dma_ctrl.scala 326:25] + assign io_dbg_dma_io_dma_dbg_ready = fifo_empty & dbg_dma_bubble_bus; // @[dma_ctrl.scala 323:36] + assign io_dec_dma_dctl_dma_dma_dccm_stall_any = io_dec_dma_tlu_dma_dma_dccm_stall_any; // @[dma_ctrl.scala 335:42] + assign io_dec_dma_tlu_dma_dma_pmu_dccm_read = io_lsu_dma_dma_lsc_ctl_dma_dccm_req & _T_166; // @[dma_ctrl.scala 365:42] + assign io_dec_dma_tlu_dma_dma_pmu_dccm_write = io_lsu_dma_dma_lsc_ctl_dma_dccm_req & io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[dma_ctrl.scala 366:42] + assign io_dec_dma_tlu_dma_dma_pmu_any_read = _T_165 & _T_166; // @[dma_ctrl.scala 367:42] + assign io_dec_dma_tlu_dma_dma_pmu_any_write = _T_165 & io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[dma_ctrl.scala 368:42] + assign io_dec_dma_tlu_dma_dma_dccm_stall_any = _T_1137 & _T_1138; // @[dma_ctrl.scala 332:41] + assign io_dec_dma_tlu_dma_dma_iccm_stall_any = io_ifu_dma_dma_ifc_dma_iccm_stall_any; // @[dma_ctrl.scala 334:41] + assign io_dma_axi_aw_ready = ~_T_1243; // @[dma_ctrl.scala 453:27] + assign io_dma_axi_w_ready = ~_T_1246; // @[dma_ctrl.scala 454:27] + assign io_dma_axi_b_valid = axi_rsp_valid & axi_rsp_write; // @[dma_ctrl.scala 490:27] + assign io_dma_axi_b_bits_resp = _GEN_57[0] ? 2'h2 : _T_1278; // @[dma_ctrl.scala 491:41] + assign io_dma_axi_b_bits_id = 3'h4 == RspPtr ? fifo_tag_4 : _GEN_88; // @[dma_ctrl.scala 492:33] + assign io_dma_axi_ar_ready = ~_T_1249; // @[dma_ctrl.scala 455:27] + assign io_dma_axi_r_valid = axi_rsp_valid & _T_1281; // @[dma_ctrl.scala 494:27] + assign io_dma_axi_r_bits_id = 3'h4 == RspPtr ? fifo_tag_4 : _GEN_88; // @[dma_ctrl.scala 498:37] + assign io_dma_axi_r_bits_data = 3'h4 == RspPtr ? fifo_data_4 : _GEN_51; // @[dma_ctrl.scala 496:43] + assign io_dma_axi_r_bits_resp = _GEN_57[0] ? 2'h2 : _T_1278; // @[dma_ctrl.scala 495:41] + assign io_dma_axi_r_bits_last = 1'h1; // @[dma_ctrl.scala 497:41] + assign io_lsu_dma_dma_lsc_ctl_dma_dccm_req = _T_1137 & io_lsu_dma_dccm_ready; // @[dma_ctrl.scala 352:40] + assign io_lsu_dma_dma_lsc_ctl_dma_mem_addr = _T_1184 ? _T_1188 : dma_mem_addr_int; // @[dma_ctrl.scala 357:40] + assign io_lsu_dma_dma_lsc_ctl_dma_mem_sz = _T_1196 ? 3'h2 : dma_mem_sz_int; // @[dma_ctrl.scala 358:40] + assign io_lsu_dma_dma_lsc_ctl_dma_mem_write = _T_1199[0]; // @[dma_ctrl.scala 360:40] + assign io_lsu_dma_dma_lsc_ctl_dma_mem_wdata = 3'h4 == RdPtr ? fifo_data_4 : _GEN_77; // @[dma_ctrl.scala 361:40] + assign io_lsu_dma_dma_dccm_ctl_dma_mem_addr = io_lsu_dma_dma_lsc_ctl_dma_mem_addr; // @[dma_ctrl.scala 503:40] + assign io_lsu_dma_dma_dccm_ctl_dma_mem_wdata = io_lsu_dma_dma_lsc_ctl_dma_mem_wdata; // @[dma_ctrl.scala 504:41] + assign io_lsu_dma_dma_mem_tag = RdPtr; // @[dma_ctrl.scala 354:28] + assign io_ifu_dma_dma_ifc_dma_iccm_stall_any = _T_1140 & _T_1138; // @[dma_ctrl.scala 333:41] + assign io_ifu_dma_dma_mem_ctl_dma_iccm_req = _T_1140 & io_iccm_ready; // @[dma_ctrl.scala 353:40] + assign io_ifu_dma_dma_mem_ctl_dma_mem_addr = io_lsu_dma_dma_lsc_ctl_dma_mem_addr; // @[dma_ctrl.scala 506:39] + assign io_ifu_dma_dma_mem_ctl_dma_mem_sz = io_lsu_dma_dma_lsc_ctl_dma_mem_sz; // @[dma_ctrl.scala 505:37] + assign io_ifu_dma_dma_mem_ctl_dma_mem_write = io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[dma_ctrl.scala 508:40] + assign io_ifu_dma_dma_mem_ctl_dma_mem_wdata = io_lsu_dma_dma_lsc_ctl_dma_mem_wdata; // @[dma_ctrl.scala 507:40] + assign io_ifu_dma_dma_mem_ctl_dma_mem_tag = io_lsu_dma_dma_mem_tag; // @[dma_ctrl.scala 509:38] + assign rvclkhdr_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_io_en = fifo_cmd_en[0]; // @[lib.scala 371:17] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_1_io_en = fifo_cmd_en[1]; // @[lib.scala 371:17] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_2_io_en = fifo_cmd_en[2]; // @[lib.scala 371:17] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_3_io_en = fifo_cmd_en[3]; // @[lib.scala 371:17] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_4_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_4_io_en = fifo_cmd_en[4]; // @[lib.scala 371:17] + assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_5_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_5_io_en = fifo_data_en[0]; // @[lib.scala 371:17] + assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_6_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_6_io_en = fifo_data_en[1]; // @[lib.scala 371:17] + assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_7_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_7_io_en = fifo_data_en[2]; // @[lib.scala 371:17] + assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_8_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_8_io_en = fifo_data_en[3]; // @[lib.scala 371:17] + assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_9_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_9_io_en = fifo_data_en[4]; // @[lib.scala 371:17] + assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign dma_buffer_c1cgc_io_clk = clock; // @[dma_ctrl.scala 392:33] + assign dma_buffer_c1cgc_io_en = _T_1213 | io_clk_override; // @[dma_ctrl.scala 390:33] + assign dma_buffer_c1cgc_io_scan_mode = io_scan_mode; // @[dma_ctrl.scala 391:33] + assign dma_free_cgc_io_clk = clock; // @[dma_ctrl.scala 398:29] + assign dma_free_cgc_io_en = _T_1219 | io_clk_override; // @[dma_ctrl.scala 396:29] + assign dma_free_cgc_io_scan_mode = io_scan_mode; // @[dma_ctrl.scala 397:29] + assign dma_bus_cgc_io_clk = clock; // @[dma_ctrl.scala 404:28] + assign dma_bus_cgc_io_en = io_dma_bus_clk_en; // @[dma_ctrl.scala 402:28] + assign dma_bus_cgc_io_scan_mode = io_scan_mode; // @[dma_ctrl.scala 403:28] + assign rvclkhdr_10_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_10_io_en = wrbuf_en & io_dma_bus_clk_en; // @[lib.scala 371:17] + assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_11_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_11_io_en = wrbuf_data_en & io_dma_bus_clk_en; // @[lib.scala 371:17] + assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_12_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_12_io_en = rdbuf_en & io_dma_bus_clk_en; // @[lib.scala 371:17] + assign rvclkhdr_12_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + RdPtr = _RAND_0[2:0]; + _RAND_1 = {1{`RANDOM}}; + fifo_addr_4 = _RAND_1[31:0]; + _RAND_2 = {1{`RANDOM}}; + fifo_addr_3 = _RAND_2[31:0]; + _RAND_3 = {1{`RANDOM}}; + fifo_addr_2 = _RAND_3[31:0]; + _RAND_4 = {1{`RANDOM}}; + fifo_addr_1 = _RAND_4[31:0]; + _RAND_5 = {1{`RANDOM}}; + fifo_addr_0 = _RAND_5[31:0]; + _RAND_6 = {1{`RANDOM}}; + wrbuf_vld = _RAND_6[0:0]; + _RAND_7 = {1{`RANDOM}}; + wrbuf_data_vld = _RAND_7[0:0]; + _RAND_8 = {1{`RANDOM}}; + rdbuf_vld = _RAND_8[0:0]; + _RAND_9 = {1{`RANDOM}}; + axi_mstr_priority = _RAND_9[0:0]; + _RAND_10 = {1{`RANDOM}}; + wrbuf_addr = _RAND_10[31:0]; + _RAND_11 = {1{`RANDOM}}; + rdbuf_addr = _RAND_11[31:0]; + _RAND_12 = {1{`RANDOM}}; + wrbuf_byteen = _RAND_12[7:0]; + _RAND_13 = {1{`RANDOM}}; + wrbuf_sz = _RAND_13[2:0]; + _RAND_14 = {1{`RANDOM}}; + rdbuf_sz = _RAND_14[2:0]; + _RAND_15 = {1{`RANDOM}}; + fifo_full = _RAND_15[0:0]; + _RAND_16 = {1{`RANDOM}}; + dbg_dma_bubble_bus = _RAND_16[0:0]; + _RAND_17 = {1{`RANDOM}}; + WrPtr = _RAND_17[2:0]; + _RAND_18 = {1{`RANDOM}}; + _T_598 = _RAND_18[0:0]; + _RAND_19 = {1{`RANDOM}}; + _T_591 = _RAND_19[0:0]; + _RAND_20 = {1{`RANDOM}}; + _T_584 = _RAND_20[0:0]; + _RAND_21 = {1{`RANDOM}}; + _T_577 = _RAND_21[0:0]; + _RAND_22 = {1{`RANDOM}}; + _T_570 = _RAND_22[0:0]; + _RAND_23 = {1{`RANDOM}}; + _T_760 = _RAND_23[0:0]; + _RAND_24 = {1{`RANDOM}}; + _T_753 = _RAND_24[0:0]; + _RAND_25 = {1{`RANDOM}}; + _T_746 = _RAND_25[0:0]; + _RAND_26 = {1{`RANDOM}}; + _T_739 = _RAND_26[0:0]; + _RAND_27 = {1{`RANDOM}}; + _T_732 = _RAND_27[0:0]; + _RAND_28 = {1{`RANDOM}}; + _T_886 = _RAND_28[0:0]; + _RAND_29 = {1{`RANDOM}}; + _T_884 = _RAND_29[0:0]; + _RAND_30 = {1{`RANDOM}}; + _T_882 = _RAND_30[0:0]; + _RAND_31 = {1{`RANDOM}}; + _T_880 = _RAND_31[0:0]; + _RAND_32 = {1{`RANDOM}}; + _T_878 = _RAND_32[0:0]; + _RAND_33 = {1{`RANDOM}}; + fifo_sz_4 = _RAND_33[2:0]; + _RAND_34 = {1{`RANDOM}}; + fifo_sz_3 = _RAND_34[2:0]; + _RAND_35 = {1{`RANDOM}}; + fifo_sz_2 = _RAND_35[2:0]; + _RAND_36 = {1{`RANDOM}}; + fifo_sz_1 = _RAND_36[2:0]; + _RAND_37 = {1{`RANDOM}}; + fifo_sz_0 = _RAND_37[2:0]; + _RAND_38 = {1{`RANDOM}}; + fifo_byteen_4 = _RAND_38[7:0]; + _RAND_39 = {1{`RANDOM}}; + fifo_byteen_3 = _RAND_39[7:0]; + _RAND_40 = {1{`RANDOM}}; + fifo_byteen_2 = _RAND_40[7:0]; + _RAND_41 = {1{`RANDOM}}; + fifo_byteen_1 = _RAND_41[7:0]; + _RAND_42 = {1{`RANDOM}}; + fifo_byteen_0 = _RAND_42[7:0]; + _RAND_43 = {1{`RANDOM}}; + fifo_error_0 = _RAND_43[1:0]; + _RAND_44 = {1{`RANDOM}}; + fifo_error_1 = _RAND_44[1:0]; + _RAND_45 = {1{`RANDOM}}; + fifo_error_2 = _RAND_45[1:0]; + _RAND_46 = {1{`RANDOM}}; + fifo_error_3 = _RAND_46[1:0]; + _RAND_47 = {1{`RANDOM}}; + fifo_error_4 = _RAND_47[1:0]; + _RAND_48 = {1{`RANDOM}}; + RspPtr = _RAND_48[2:0]; + _RAND_49 = {2{`RANDOM}}; + wrbuf_data = _RAND_49[63:0]; + _RAND_50 = {1{`RANDOM}}; + _T_721 = _RAND_50[0:0]; + _RAND_51 = {1{`RANDOM}}; + _T_714 = _RAND_51[0:0]; + _RAND_52 = {1{`RANDOM}}; + _T_707 = _RAND_52[0:0]; + _RAND_53 = {1{`RANDOM}}; + _T_700 = _RAND_53[0:0]; + _RAND_54 = {1{`RANDOM}}; + _T_693 = _RAND_54[0:0]; + _RAND_55 = {1{`RANDOM}}; + _T_799 = _RAND_55[0:0]; + _RAND_56 = {1{`RANDOM}}; + _T_792 = _RAND_56[0:0]; + _RAND_57 = {1{`RANDOM}}; + _T_785 = _RAND_57[0:0]; + _RAND_58 = {1{`RANDOM}}; + _T_778 = _RAND_58[0:0]; + _RAND_59 = {1{`RANDOM}}; + _T_771 = _RAND_59[0:0]; + _RAND_60 = {1{`RANDOM}}; + _T_850 = _RAND_60[0:0]; + _RAND_61 = {1{`RANDOM}}; + _T_852 = _RAND_61[0:0]; + _RAND_62 = {1{`RANDOM}}; + _T_854 = _RAND_62[0:0]; + _RAND_63 = {1{`RANDOM}}; + _T_856 = _RAND_63[0:0]; + _RAND_64 = {1{`RANDOM}}; + _T_858 = _RAND_64[0:0]; + _RAND_65 = {2{`RANDOM}}; + fifo_data_0 = _RAND_65[63:0]; + _RAND_66 = {2{`RANDOM}}; + fifo_data_1 = _RAND_66[63:0]; + _RAND_67 = {2{`RANDOM}}; + fifo_data_2 = _RAND_67[63:0]; + _RAND_68 = {2{`RANDOM}}; + fifo_data_3 = _RAND_68[63:0]; + _RAND_69 = {2{`RANDOM}}; + fifo_data_4 = _RAND_69[63:0]; + _RAND_70 = {1{`RANDOM}}; + fifo_tag_0 = _RAND_70[0:0]; + _RAND_71 = {1{`RANDOM}}; + wrbuf_tag = _RAND_71[0:0]; + _RAND_72 = {1{`RANDOM}}; + rdbuf_tag = _RAND_72[0:0]; + _RAND_73 = {1{`RANDOM}}; + fifo_tag_1 = _RAND_73[0:0]; + _RAND_74 = {1{`RANDOM}}; + fifo_tag_2 = _RAND_74[0:0]; + _RAND_75 = {1{`RANDOM}}; + fifo_tag_3 = _RAND_75[0:0]; + _RAND_76 = {1{`RANDOM}}; + fifo_tag_4 = _RAND_76[0:0]; + _RAND_77 = {1{`RANDOM}}; + dma_nack_count = _RAND_77[2:0]; + _RAND_78 = {1{`RANDOM}}; + dma_dbg_cmd_done_q = _RAND_78[0:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + RdPtr = 3'h0; + end + if (reset) begin + fifo_addr_4 = 32'h0; + end + if (reset) begin + fifo_addr_3 = 32'h0; + end + if (reset) begin + fifo_addr_2 = 32'h0; + end + if (reset) begin + fifo_addr_1 = 32'h0; + end + if (reset) begin + fifo_addr_0 = 32'h0; + end + if (reset) begin + wrbuf_vld = 1'h0; + end + if (reset) begin + wrbuf_data_vld = 1'h0; + end + if (reset) begin + rdbuf_vld = 1'h0; + end + if (reset) begin + axi_mstr_priority = 1'h0; + end + if (reset) begin + wrbuf_addr = 32'h0; + end + if (reset) begin + rdbuf_addr = 32'h0; + end + if (reset) begin + wrbuf_byteen = 8'h0; + end + if (reset) begin + wrbuf_sz = 3'h0; + end + if (reset) begin + rdbuf_sz = 3'h0; + end + if (reset) begin + fifo_full = 1'h0; + end + if (reset) begin + dbg_dma_bubble_bus = 1'h0; + end + if (reset) begin + WrPtr = 3'h0; + end + if (reset) begin + _T_598 = 1'h0; + end + if (reset) begin + _T_591 = 1'h0; + end + if (reset) begin + _T_584 = 1'h0; + end + if (reset) begin + _T_577 = 1'h0; + end + if (reset) begin + _T_570 = 1'h0; + end + if (reset) begin + _T_760 = 1'h0; + end + if (reset) begin + _T_753 = 1'h0; + end + if (reset) begin + _T_746 = 1'h0; + end + if (reset) begin + _T_739 = 1'h0; + end + if (reset) begin + _T_732 = 1'h0; + end + if (reset) begin + _T_886 = 1'h0; + end + if (reset) begin + _T_884 = 1'h0; + end + if (reset) begin + _T_882 = 1'h0; + end + if (reset) begin + _T_880 = 1'h0; + end + if (reset) begin + _T_878 = 1'h0; + end + if (reset) begin + fifo_sz_4 = 3'h0; + end + if (reset) begin + fifo_sz_3 = 3'h0; + end + if (reset) begin + fifo_sz_2 = 3'h0; + end + if (reset) begin + fifo_sz_1 = 3'h0; + end + if (reset) begin + fifo_sz_0 = 3'h0; + end + if (reset) begin + fifo_byteen_4 = 8'h0; + end + if (reset) begin + fifo_byteen_3 = 8'h0; + end + if (reset) begin + fifo_byteen_2 = 8'h0; + end + if (reset) begin + fifo_byteen_1 = 8'h0; + end + if (reset) begin + fifo_byteen_0 = 8'h0; + end + if (reset) begin + fifo_error_0 = 2'h0; + end + if (reset) begin + fifo_error_1 = 2'h0; + end + if (reset) begin + fifo_error_2 = 2'h0; + end + if (reset) begin + fifo_error_3 = 2'h0; + end + if (reset) begin + fifo_error_4 = 2'h0; + end + if (reset) begin + RspPtr = 3'h0; + end + if (reset) begin + wrbuf_data = 64'h0; + end + if (reset) begin + _T_721 = 1'h0; + end + if (reset) begin + _T_714 = 1'h0; + end + if (reset) begin + _T_707 = 1'h0; + end + if (reset) begin + _T_700 = 1'h0; + end + if (reset) begin + _T_693 = 1'h0; + end + if (reset) begin + _T_799 = 1'h0; + end + if (reset) begin + _T_792 = 1'h0; + end + if (reset) begin + _T_785 = 1'h0; + end + if (reset) begin + _T_778 = 1'h0; + end + if (reset) begin + _T_771 = 1'h0; + end + if (reset) begin + _T_850 = 1'h0; + end + if (reset) begin + _T_852 = 1'h0; + end + if (reset) begin + _T_854 = 1'h0; + end + if (reset) begin + _T_856 = 1'h0; + end + if (reset) begin + _T_858 = 1'h0; + end + if (reset) begin + fifo_data_0 = 64'h0; + end + if (reset) begin + fifo_data_1 = 64'h0; + end + if (reset) begin + fifo_data_2 = 64'h0; + end + if (reset) begin + fifo_data_3 = 64'h0; + end + if (reset) begin + fifo_data_4 = 64'h0; + end + if (reset) begin + fifo_tag_0 = 1'h0; + end + if (reset) begin + wrbuf_tag = 1'h0; + end + if (reset) begin + rdbuf_tag = 1'h0; + end + if (reset) begin + fifo_tag_1 = 1'h0; + end + if (reset) begin + fifo_tag_2 = 1'h0; + end + if (reset) begin + fifo_tag_3 = 1'h0; + end + if (reset) begin + fifo_tag_4 = 1'h0; + end + if (reset) begin + dma_nack_count = 3'h0; + end + if (reset) begin + dma_dbg_cmd_done_q = 1'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge dma_free_clk or posedge reset) begin + if (reset) begin + RdPtr <= 3'h0; + end else if (RdPtrEn) begin + if (_T_936) begin + RdPtr <= 3'h0; + end else begin + RdPtr <= _T_939; + end + end + end + always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + if (reset) begin + fifo_addr_4 <= 32'h0; + end else if (io_dbg_dma_dbg_ib_dbg_cmd_valid) begin + fifo_addr_4 <= io_dbg_dma_dbg_ib_dbg_cmd_addr; + end else if (axi_mstr_sel) begin + fifo_addr_4 <= wrbuf_addr; + end else begin + fifo_addr_4 <= rdbuf_addr; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + fifo_addr_3 <= 32'h0; + end else if (io_dbg_dma_dbg_ib_dbg_cmd_valid) begin + fifo_addr_3 <= io_dbg_dma_dbg_ib_dbg_cmd_addr; + end else if (axi_mstr_sel) begin + fifo_addr_3 <= wrbuf_addr; + end else begin + fifo_addr_3 <= rdbuf_addr; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + fifo_addr_2 <= 32'h0; + end else if (io_dbg_dma_dbg_ib_dbg_cmd_valid) begin + fifo_addr_2 <= io_dbg_dma_dbg_ib_dbg_cmd_addr; + end else if (axi_mstr_sel) begin + fifo_addr_2 <= wrbuf_addr; + end else begin + fifo_addr_2 <= rdbuf_addr; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + fifo_addr_1 <= 32'h0; + end else if (io_dbg_dma_dbg_ib_dbg_cmd_valid) begin + fifo_addr_1 <= io_dbg_dma_dbg_ib_dbg_cmd_addr; + end else if (axi_mstr_sel) begin + fifo_addr_1 <= wrbuf_addr; + end else begin + fifo_addr_1 <= rdbuf_addr; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + fifo_addr_0 <= 32'h0; + end else if (io_dbg_dma_dbg_ib_dbg_cmd_valid) begin + fifo_addr_0 <= io_dbg_dma_dbg_ib_dbg_cmd_addr; + end else begin + fifo_addr_0 <= bus_cmd_addr; + end + end + always @(posedge dma_bus_clk or posedge reset) begin + if (reset) begin + wrbuf_vld <= 1'h0; + end else begin + wrbuf_vld <= _T_1224 & _T_1225; + end + end + always @(posedge dma_bus_clk or posedge reset) begin + if (reset) begin + wrbuf_data_vld <= 1'h0; + end else begin + wrbuf_data_vld <= _T_1228 & _T_1229; + end + end + always @(posedge dma_bus_clk or posedge reset) begin + if (reset) begin + rdbuf_vld <= 1'h0; + end else begin + rdbuf_vld <= _T_1237 & _T_1238; + end + end + always @(posedge dma_bus_clk or posedge reset) begin + if (reset) begin + axi_mstr_priority <= 1'h0; + end else if (axi_mstr_prty_en) begin + axi_mstr_priority <= axi_mstr_prty_in; + end + end + always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin + if (reset) begin + wrbuf_addr <= 32'h0; + end else begin + wrbuf_addr <= io_dma_axi_aw_bits_addr; + end + end + always @(posedge rvclkhdr_12_io_l1clk or posedge reset) begin + if (reset) begin + rdbuf_addr <= 32'h0; + end else begin + rdbuf_addr <= io_dma_axi_ar_bits_addr; + end + end + always @(posedge dma_bus_clk or posedge reset) begin + if (reset) begin + wrbuf_byteen <= 8'h0; + end else if (wrbuf_data_en) begin + wrbuf_byteen <= io_dma_axi_w_bits_strb; + end + end + always @(posedge dma_bus_clk or posedge reset) begin + if (reset) begin + wrbuf_sz <= 3'h0; + end else if (wrbuf_en) begin + wrbuf_sz <= io_dma_axi_aw_bits_size; + end + end + always @(posedge dma_bus_clk or posedge reset) begin + if (reset) begin + rdbuf_sz <= 3'h0; + end else if (rdbuf_en) begin + rdbuf_sz <= io_dma_axi_ar_bits_size; + end + end + always @(posedge dma_bus_clk or posedge reset) begin + if (reset) begin + fifo_full <= 1'h0; + end else begin + fifo_full <= num_fifo_vld >= 4'h5; + end + end + always @(posedge dma_bus_clk or posedge reset) begin + if (reset) begin + dbg_dma_bubble_bus <= 1'h0; + end else begin + dbg_dma_bubble_bus <= io_dbg_dma_io_dbg_dma_bubble; + end + end + always @(posedge dma_free_clk or posedge reset) begin + if (reset) begin + WrPtr <= 3'h0; + end else if (WrPtrEn) begin + if (_T_931) begin + WrPtr <= 3'h0; + end else begin + WrPtr <= _T_934; + end + end + end + always @(posedge dma_free_clk or posedge reset) begin + if (reset) begin + _T_598 <= 1'h0; + end else begin + _T_598 <= _T_594 & _T_596; + end + end + always @(posedge dma_free_clk or posedge reset) begin + if (reset) begin + _T_591 <= 1'h0; + end else begin + _T_591 <= _T_587 & _T_589; + end + end + always @(posedge dma_free_clk or posedge reset) begin + if (reset) begin + _T_584 <= 1'h0; + end else begin + _T_584 <= _T_580 & _T_582; + end + end + always @(posedge dma_free_clk or posedge reset) begin + if (reset) begin + _T_577 <= 1'h0; + end else begin + _T_577 <= _T_573 & _T_575; + end + end + always @(posedge dma_free_clk or posedge reset) begin + if (reset) begin + _T_570 <= 1'h0; + end else begin + _T_570 <= _T_566 & _T_568; + end + end + always @(posedge dma_free_clk or posedge reset) begin + if (reset) begin + _T_760 <= 1'h0; + end else begin + _T_760 <= _T_399 & _T_596; + end + end + always @(posedge dma_free_clk or posedge reset) begin + if (reset) begin + _T_753 <= 1'h0; + end else begin + _T_753 <= _T_395 & _T_589; + end + end + always @(posedge dma_free_clk or posedge reset) begin + if (reset) begin + _T_746 <= 1'h0; + end else begin + _T_746 <= _T_391 & _T_582; + end + end + always @(posedge dma_free_clk or posedge reset) begin + if (reset) begin + _T_739 <= 1'h0; + end else begin + _T_739 <= _T_387 & _T_575; + end + end + always @(posedge dma_free_clk or posedge reset) begin + if (reset) begin + _T_732 <= 1'h0; + end else begin + _T_732 <= _T_383 & _T_568; + end + end + always @(posedge dma_buffer_c1_clk or posedge reset) begin + if (reset) begin + _T_886 <= 1'h0; + end else if (fifo_cmd_en[4]) begin + _T_886 <= io_dbg_dma_dbg_ib_dbg_cmd_valid; + end + end + always @(posedge dma_buffer_c1_clk or posedge reset) begin + if (reset) begin + _T_884 <= 1'h0; + end else if (fifo_cmd_en[3]) begin + _T_884 <= io_dbg_dma_dbg_ib_dbg_cmd_valid; + end + end + always @(posedge dma_buffer_c1_clk or posedge reset) begin + if (reset) begin + _T_882 <= 1'h0; + end else if (fifo_cmd_en[2]) begin + _T_882 <= io_dbg_dma_dbg_ib_dbg_cmd_valid; + end + end + always @(posedge dma_buffer_c1_clk or posedge reset) begin + if (reset) begin + _T_880 <= 1'h0; + end else if (fifo_cmd_en[1]) begin + _T_880 <= io_dbg_dma_dbg_ib_dbg_cmd_valid; + end + end + always @(posedge dma_buffer_c1_clk or posedge reset) begin + if (reset) begin + _T_878 <= 1'h0; + end else if (fifo_cmd_en[0]) begin + _T_878 <= io_dbg_dma_dbg_ib_dbg_cmd_valid; + end + end + always @(posedge dma_buffer_c1_clk or posedge reset) begin + if (reset) begin + fifo_sz_4 <= 3'h0; + end else if (fifo_cmd_en[4]) begin + if (io_dbg_dma_dbg_ib_dbg_cmd_valid) begin + fifo_sz_4 <= _T_23; + end else if (axi_mstr_sel) begin + fifo_sz_4 <= wrbuf_sz; + end else begin + fifo_sz_4 <= rdbuf_sz; + end + end + end + always @(posedge dma_buffer_c1_clk or posedge reset) begin + if (reset) begin + fifo_sz_3 <= 3'h0; + end else if (fifo_cmd_en[3]) begin + if (io_dbg_dma_dbg_ib_dbg_cmd_valid) begin + fifo_sz_3 <= _T_23; + end else if (axi_mstr_sel) begin + fifo_sz_3 <= wrbuf_sz; + end else begin + fifo_sz_3 <= rdbuf_sz; + end + end + end + always @(posedge dma_buffer_c1_clk or posedge reset) begin + if (reset) begin + fifo_sz_2 <= 3'h0; + end else if (fifo_cmd_en[2]) begin + if (io_dbg_dma_dbg_ib_dbg_cmd_valid) begin + fifo_sz_2 <= _T_23; + end else if (axi_mstr_sel) begin + fifo_sz_2 <= wrbuf_sz; + end else begin + fifo_sz_2 <= rdbuf_sz; + end + end + end + always @(posedge dma_buffer_c1_clk or posedge reset) begin + if (reset) begin + fifo_sz_1 <= 3'h0; + end else if (fifo_cmd_en[1]) begin + if (io_dbg_dma_dbg_ib_dbg_cmd_valid) begin + fifo_sz_1 <= _T_23; + end else if (axi_mstr_sel) begin + fifo_sz_1 <= wrbuf_sz; + end else begin + fifo_sz_1 <= rdbuf_sz; + end + end + end + always @(posedge dma_buffer_c1_clk or posedge reset) begin + if (reset) begin + fifo_sz_0 <= 3'h0; + end else if (fifo_cmd_en[0]) begin + fifo_sz_0 <= fifo_sz_in; + end + end + always @(posedge dma_buffer_c1_clk or posedge reset) begin + if (reset) begin + fifo_byteen_4 <= 8'h0; + end else if (fifo_cmd_en[4]) begin + fifo_byteen_4 <= fifo_byteen_in; + end + end + always @(posedge dma_buffer_c1_clk or posedge reset) begin + if (reset) begin + fifo_byteen_3 <= 8'h0; + end else if (fifo_cmd_en[3]) begin + fifo_byteen_3 <= fifo_byteen_in; + end + end + always @(posedge dma_buffer_c1_clk or posedge reset) begin + if (reset) begin + fifo_byteen_2 <= 8'h0; + end else if (fifo_cmd_en[2]) begin + fifo_byteen_2 <= fifo_byteen_in; + end + end + always @(posedge dma_buffer_c1_clk or posedge reset) begin + if (reset) begin + fifo_byteen_1 <= 8'h0; + end else if (fifo_cmd_en[1]) begin + fifo_byteen_1 <= fifo_byteen_in; + end + end + always @(posedge dma_buffer_c1_clk or posedge reset) begin + if (reset) begin + fifo_byteen_0 <= 8'h0; + end else if (fifo_cmd_en[0]) begin + fifo_byteen_0 <= fifo_byteen_in; + end + end + always @(posedge dma_free_clk or posedge reset) begin + if (reset) begin + fifo_error_0 <= 2'h0; + end else begin + fifo_error_0 <= _T_605 & _T_609; + end + end + always @(posedge dma_free_clk or posedge reset) begin + if (reset) begin + fifo_error_1 <= 2'h0; + end else begin + fifo_error_1 <= _T_614 & _T_618; + end + end + always @(posedge dma_free_clk or posedge reset) begin + if (reset) begin + fifo_error_2 <= 2'h0; + end else begin + fifo_error_2 <= _T_623 & _T_627; + end + end + always @(posedge dma_free_clk or posedge reset) begin + if (reset) begin + fifo_error_3 <= 2'h0; + end else begin + fifo_error_3 <= _T_632 & _T_636; + end + end + always @(posedge dma_free_clk or posedge reset) begin + if (reset) begin + fifo_error_4 <= 2'h0; + end else begin + fifo_error_4 <= _T_641 & _T_645; + end + end + always @(posedge dma_free_clk or posedge reset) begin + if (reset) begin + RspPtr <= 3'h0; + end else if (RspPtrEn) begin + if (_T_941) begin + RspPtr <= 3'h0; + end else begin + RspPtr <= _T_944; + end + end + end + always @(posedge rvclkhdr_11_io_l1clk or posedge reset) begin + if (reset) begin + wrbuf_data <= 64'h0; + end else begin + wrbuf_data <= io_dma_axi_w_bits_data; + end + end + always @(posedge dma_free_clk or posedge reset) begin + if (reset) begin + _T_721 <= 1'h0; + end else begin + _T_721 <= _T_717 & _T_596; + end + end + always @(posedge dma_free_clk or posedge reset) begin + if (reset) begin + _T_714 <= 1'h0; + end else begin + _T_714 <= _T_710 & _T_589; + end + end + always @(posedge dma_free_clk or posedge reset) begin + if (reset) begin + _T_707 <= 1'h0; + end else begin + _T_707 <= _T_703 & _T_582; + end + end + always @(posedge dma_free_clk or posedge reset) begin + if (reset) begin + _T_700 <= 1'h0; + end else begin + _T_700 <= _T_696 & _T_575; + end + end + always @(posedge dma_free_clk or posedge reset) begin + if (reset) begin + _T_693 <= 1'h0; + end else begin + _T_693 <= _T_689 & _T_568; + end + end + always @(posedge dma_free_clk or posedge reset) begin + if (reset) begin + _T_799 <= 1'h0; + end else begin + _T_799 <= _T_795 & _T_596; + end + end + always @(posedge dma_free_clk or posedge reset) begin + if (reset) begin + _T_792 <= 1'h0; + end else begin + _T_792 <= _T_788 & _T_589; + end + end + always @(posedge dma_free_clk or posedge reset) begin + if (reset) begin + _T_785 <= 1'h0; + end else begin + _T_785 <= _T_781 & _T_582; + end + end + always @(posedge dma_free_clk or posedge reset) begin + if (reset) begin + _T_778 <= 1'h0; + end else begin + _T_778 <= _T_774 & _T_575; + end + end + always @(posedge dma_free_clk or posedge reset) begin + if (reset) begin + _T_771 <= 1'h0; + end else begin + _T_771 <= _T_767 & _T_568; + end + end + always @(posedge dma_buffer_c1_clk or posedge reset) begin + if (reset) begin + _T_850 <= 1'h0; + end else if (fifo_cmd_en[0]) begin + if (io_dbg_dma_dbg_ib_dbg_cmd_valid) begin + _T_850 <= io_dbg_dma_dbg_ib_dbg_cmd_write; + end else if (_T_1261) begin + _T_850 <= axi_mstr_priority; + end else begin + _T_850 <= _T_1260; + end + end + end + always @(posedge dma_buffer_c1_clk or posedge reset) begin + if (reset) begin + _T_852 <= 1'h0; + end else if (fifo_cmd_en[1]) begin + if (io_dbg_dma_dbg_ib_dbg_cmd_valid) begin + _T_852 <= io_dbg_dma_dbg_ib_dbg_cmd_write; + end else if (_T_1261) begin + _T_852 <= axi_mstr_priority; + end else begin + _T_852 <= _T_1260; + end + end + end + always @(posedge dma_buffer_c1_clk or posedge reset) begin + if (reset) begin + _T_854 <= 1'h0; + end else if (fifo_cmd_en[2]) begin + if (io_dbg_dma_dbg_ib_dbg_cmd_valid) begin + _T_854 <= io_dbg_dma_dbg_ib_dbg_cmd_write; + end else if (_T_1261) begin + _T_854 <= axi_mstr_priority; + end else begin + _T_854 <= _T_1260; + end + end + end + always @(posedge dma_buffer_c1_clk or posedge reset) begin + if (reset) begin + _T_856 <= 1'h0; + end else if (fifo_cmd_en[3]) begin + if (io_dbg_dma_dbg_ib_dbg_cmd_valid) begin + _T_856 <= io_dbg_dma_dbg_ib_dbg_cmd_write; + end else if (_T_1261) begin + _T_856 <= axi_mstr_priority; + end else begin + _T_856 <= _T_1260; + end + end + end + always @(posedge dma_buffer_c1_clk or posedge reset) begin + if (reset) begin + _T_858 <= 1'h0; + end else if (fifo_cmd_en[4]) begin + _T_858 <= fifo_write_in; + end + end + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + if (reset) begin + fifo_data_0 <= 64'h0; + end else if (_T_491) begin + fifo_data_0 <= _T_493; + end else if (_T_84) begin + fifo_data_0 <= io_lsu_dma_dma_dccm_ctl_dccm_dma_rdata; + end else if (_T_87) begin + fifo_data_0 <= io_iccm_dma_rdata; + end else if (io_dbg_dma_dbg_ib_dbg_cmd_valid) begin + fifo_data_0 <= _T_498; + end else begin + fifo_data_0 <= wrbuf_data; + end + end + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + if (reset) begin + fifo_data_1 <= 64'h0; + end else if (_T_506) begin + fifo_data_1 <= _T_508; + end else if (_T_102) begin + fifo_data_1 <= io_lsu_dma_dma_dccm_ctl_dccm_dma_rdata; + end else if (_T_105) begin + fifo_data_1 <= io_iccm_dma_rdata; + end else if (io_dbg_dma_dbg_ib_dbg_cmd_valid) begin + fifo_data_1 <= _T_498; + end else begin + fifo_data_1 <= wrbuf_data; + end + end + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + if (reset) begin + fifo_data_2 <= 64'h0; + end else if (_T_521) begin + fifo_data_2 <= _T_523; + end else if (_T_120) begin + fifo_data_2 <= io_lsu_dma_dma_dccm_ctl_dccm_dma_rdata; + end else if (_T_123) begin + fifo_data_2 <= io_iccm_dma_rdata; + end else if (io_dbg_dma_dbg_ib_dbg_cmd_valid) begin + fifo_data_2 <= _T_498; + end else begin + fifo_data_2 <= wrbuf_data; + end + end + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin + if (reset) begin + fifo_data_3 <= 64'h0; + end else if (_T_536) begin + fifo_data_3 <= _T_538; + end else if (_T_138) begin + fifo_data_3 <= io_lsu_dma_dma_dccm_ctl_dccm_dma_rdata; + end else if (_T_141) begin + fifo_data_3 <= io_iccm_dma_rdata; + end else if (io_dbg_dma_dbg_ib_dbg_cmd_valid) begin + fifo_data_3 <= _T_498; + end else begin + fifo_data_3 <= wrbuf_data; + end + end + always @(posedge rvclkhdr_9_io_l1clk or posedge reset) begin + if (reset) begin + fifo_data_4 <= 64'h0; + end else if (_T_551) begin + fifo_data_4 <= _T_553; + end else if (_T_156) begin + fifo_data_4 <= io_lsu_dma_dma_dccm_ctl_dccm_dma_rdata; + end else if (_T_159) begin + fifo_data_4 <= io_iccm_dma_rdata; + end else begin + fifo_data_4 <= _T_500; + end + end + always @(posedge dma_buffer_c1_clk or posedge reset) begin + if (reset) begin + fifo_tag_0 <= 1'h0; + end else if (fifo_cmd_en[0]) begin + if (axi_mstr_sel) begin + fifo_tag_0 <= wrbuf_tag; + end else begin + fifo_tag_0 <= rdbuf_tag; + end + end + end + always @(posedge dma_bus_clk or posedge reset) begin + if (reset) begin + wrbuf_tag <= 1'h0; + end else if (wrbuf_en) begin + wrbuf_tag <= io_dma_axi_aw_bits_id; + end + end + always @(posedge dma_bus_clk or posedge reset) begin + if (reset) begin + rdbuf_tag <= 1'h0; + end else if (rdbuf_en) begin + rdbuf_tag <= io_dma_axi_ar_bits_id; + end + end + always @(posedge dma_buffer_c1_clk or posedge reset) begin + if (reset) begin + fifo_tag_1 <= 1'h0; + end else if (fifo_cmd_en[1]) begin + if (axi_mstr_sel) begin + fifo_tag_1 <= wrbuf_tag; + end else begin + fifo_tag_1 <= rdbuf_tag; + end + end + end + always @(posedge dma_buffer_c1_clk or posedge reset) begin + if (reset) begin + fifo_tag_2 <= 1'h0; + end else if (fifo_cmd_en[2]) begin + if (axi_mstr_sel) begin + fifo_tag_2 <= wrbuf_tag; + end else begin + fifo_tag_2 <= rdbuf_tag; + end + end + end + always @(posedge dma_buffer_c1_clk or posedge reset) begin + if (reset) begin + fifo_tag_3 <= 1'h0; + end else if (fifo_cmd_en[3]) begin + if (axi_mstr_sel) begin + fifo_tag_3 <= wrbuf_tag; + end else begin + fifo_tag_3 <= rdbuf_tag; + end + end + end + always @(posedge dma_buffer_c1_clk or posedge reset) begin + if (reset) begin + fifo_tag_4 <= 1'h0; + end else if (fifo_cmd_en[4]) begin + fifo_tag_4 <= bus_cmd_tag; + end + end + always @(posedge dma_free_clk or posedge reset) begin + if (reset) begin + dma_nack_count <= 3'h0; + end else if (dma_mem_req) begin + if (_T_1138) begin + dma_nack_count <= _T_1151; + end else if (_T_1155) begin + dma_nack_count <= _T_1158; + end else begin + dma_nack_count <= 3'h0; + end + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + dma_dbg_cmd_done_q <= 1'h0; + end else begin + dma_dbg_cmd_done_q <= io_dma_dbg_cmd_done; + end + end +endmodule diff --git a/exu.anno.json b/exu.anno.json new file mode 100644 index 00000000..97ee986d --- /dev/null +++ b/exu.anno.json @@ -0,0 +1,136 @@ +[ + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~exu|exu>io_lsu_exu_exu_lsu_rs1_d", + "sources":[ + "~exu|exu>io_dec_exu_gpr_exu_gpr_i0_rs1_d", + "~exu|exu>io_dec_exu_decode_exu_dec_extint_stall", + "~exu|exu>io_dec_exu_tlu_exu_dec_tlu_meihap", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_rs1_en_d", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_rs1_bypass_data_d", + "~exu|exu>io_dec_exu_decode_exu_exu_i0_result_x", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_rs1_bypass_en_d" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~exu|exu>io_exu_bp_exu_mp_fghr", + "sources":[ + "~exu|exu>io_dec_exu_tlu_exu_dec_tlu_flush_lower_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~exu|exu>io_exu_flush_final", + "sources":[ + "~exu|exu>io_dec_exu_tlu_exu_dec_tlu_flush_lower_r", + "~exu|exu>io_dec_exu_dec_alu_dec_i0_alu_decode_d", + "~exu|exu>io_dec_exu_decode_exu_i0_ap_jal", + "~exu|exu>io_dec_exu_decode_exu_i0_ap_predict_t", + "~exu|exu>io_dec_exu_decode_exu_i0_ap_predict_nt", + "~exu|exu>io_dec_exu_decode_exu_i0_ap_bge", + "~exu|exu>io_dec_exu_decode_exu_i0_ap_sub", + "~exu|exu>io_dec_exu_decode_exu_i0_ap_blt", + "~exu|exu>io_dec_exu_decode_exu_i0_ap_beq", + "~exu|exu>io_dec_exu_decode_exu_i0_ap_bne", + "~exu|exu>io_dec_exu_decode_exu_i0_ap_unsign", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pret", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_prett", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pja", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pcall", + "~exu|exu>io_dec_exu_gpr_exu_gpr_i0_rs1_d", + "~exu|exu>io_dec_exu_gpr_exu_gpr_i0_rs2_d", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_immed_d", + "~exu|exu>io_dbg_cmd_wrdata", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_rs1_en_d", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_rs2_en_d", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_rs2_bypass_en_d", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_rs2_bypass_data_d", + "~exu|exu>io_dec_exu_decode_exu_exu_i0_result_x", + "~exu|exu>io_dec_exu_ib_exu_dec_i0_pc_d", + "~exu|exu>io_dec_exu_ib_exu_dec_debug_wdata_rs1_d", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_select_pc_d", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_rs1_bypass_en_d", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_rs1_bypass_data_d" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~exu|exu>io_exu_bp_exu_i0_br_index_r", + "sources":[ + "~exu|exu>io_dec_exu_tlu_exu_exu_i0_br_index_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~exu|exu>io_exu_div_wren", + "sources":[ + "~exu|exu>io_dec_exu_dec_div_dec_div_cancel" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~exu|exu>io_exu_flush_path_final", + "sources":[ + "~exu|exu>io_dec_exu_tlu_exu_dec_tlu_flush_path_r", + "~exu|exu>io_dec_exu_tlu_exu_dec_tlu_flush_lower_r", + "~exu|exu>io_dec_exu_decode_exu_i0_ap_jal", + "~exu|exu>io_dec_exu_decode_exu_i0_ap_sub", + "~exu|exu>io_dec_exu_ib_exu_dec_i0_pc_d", + "~exu|exu>io_dec_exu_dec_alu_dec_i0_br_immed_d", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pret", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pja", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pcall", + "~exu|exu>io_dec_exu_gpr_exu_gpr_i0_rs2_d", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_immed_d", + "~exu|exu>io_dec_exu_gpr_exu_gpr_i0_rs1_d", + "~exu|exu>io_dbg_cmd_wrdata", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_rs2_en_d", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_rs2_bypass_en_d", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_rs2_bypass_data_d", + "~exu|exu>io_dec_exu_decode_exu_exu_i0_result_x", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_rs1_en_d", + "~exu|exu>io_dec_exu_ib_exu_dec_debug_wdata_rs1_d", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_select_pc_d", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_rs1_bypass_en_d", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_rs1_bypass_data_d" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~exu|exu>io_lsu_exu_exu_lsu_rs2_d", + "sources":[ + "~exu|exu>io_dec_exu_gpr_exu_gpr_i0_rs2_d", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_rs2_en_d", + "~exu|exu>io_dec_exu_decode_exu_dec_extint_stall", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_rs2_bypass_data_d", + "~exu|exu>io_dec_exu_decode_exu_exu_i0_result_x", + "~exu|exu>io_dec_exu_decode_exu_dec_i0_rs2_bypass_en_d" + ] + }, + { + "class":"firrtl.EmitCircuitAnnotation", + "emitter":"firrtl.VerilogEmitter" + }, + { + "class":"firrtl.transforms.BlackBoxResourceAnno", + "target":"exu.gated_latch", + "resourceId":"/vsrc/gated_latch.v" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~exu|exu>i0_rs2_d" + }, + { + "class":"firrtl.options.TargetDirAnnotation", + "directory":"." + }, + { + "class":"firrtl.options.OutputAnnotationFileAnnotation", + "file":"exu" + }, + { + "class":"firrtl.transforms.BlackBoxTargetDirAnno", + "targetDir":"." + } +] \ No newline at end of file diff --git a/exu.fir b/exu.fir new file mode 100644 index 00000000..030da059 --- /dev/null +++ b/exu.fir @@ -0,0 +1,3670 @@ +;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 +circuit exu : + extmodule gated_latch : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_1 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_1 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_1 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_2 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_2 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_2 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_3 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_3 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_3 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_4 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_4 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_4 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_5 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_5 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_5 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_6 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_6 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_6 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_7 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_7 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_7 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_8 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_8 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_8 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_9 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_9 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_9 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_10 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_10 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_10 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_11 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_11 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_11 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_12 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_12 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_12 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_13 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_13 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_13 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_14 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_14 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_14 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_15 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_15 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_15 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_16 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_16 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_16 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_17 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_17 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_17 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_18 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_18 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_18 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_19 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_19 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_19 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module exu_alu_ctl : + input clock : Clock + input reset : AsyncReset + output io : {dec_alu : {flip dec_i0_alu_decode_d : UInt<1>, flip dec_csr_ren_d : UInt<1>, flip dec_i0_br_immed_d : UInt<12>, exu_i0_pc_x : UInt<31>}, flip dec_i0_pc_d : UInt<31>, flip scan_mode : UInt<1>, flip flush_upper_x : UInt<1>, flip dec_tlu_flush_lower_r : UInt<1>, flip enable : UInt<1>, flip i0_ap : {land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, srl : UInt<1>, sra : UInt<1>, beq : UInt<1>, bne : UInt<1>, blt : UInt<1>, bge : UInt<1>, add : UInt<1>, sub : UInt<1>, slt : UInt<1>, unsign : UInt<1>, jal : UInt<1>, predict_t : UInt<1>, predict_nt : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>}, flip a_in : SInt<32>, flip b_in : UInt<32>, flip pp_in : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<31>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}}, result_ff : UInt<32>, flush_upper_out : UInt<1>, flush_final_out : UInt<1>, flush_path_out : UInt<31>, pred_correct_out : UInt<1>, predict_p_out : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<31>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}}} + + node _T = bits(io.scan_mode, 0, 0) @[exu_alu_ctl.scala 30:80] + inst rvclkhdr of rvclkhdr_18 @[lib.scala 368:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 370:18] + rvclkhdr.io.en <= io.enable @[lib.scala 371:17] + rvclkhdr.io.scan_mode <= _T @[lib.scala 372:24] + reg _T_1 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1 <= io.dec_i0_pc_d @[lib.scala 374:16] + io.dec_alu.exu_i0_pc_x <= _T_1 @[exu_alu_ctl.scala 30:26] + wire result : UInt<32> + result <= UInt<1>("h00") + node _T_2 = bits(io.scan_mode, 0, 0) @[exu_alu_ctl.scala 32:62] + inst rvclkhdr_1 of rvclkhdr_19 @[lib.scala 368:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_1.io.en <= io.enable @[lib.scala 371:17] + rvclkhdr_1.io.scan_mode <= _T_2 @[lib.scala 372:24] + reg _T_3 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_3 <= result @[lib.scala 374:16] + io.result_ff <= _T_3 @[exu_alu_ctl.scala 32:16] + node _T_4 = bits(io.i0_ap.sub, 0, 0) @[exu_alu_ctl.scala 34:32] + node _T_5 = not(io.b_in) @[exu_alu_ctl.scala 34:40] + node bm = mux(_T_4, _T_5, io.b_in) @[exu_alu_ctl.scala 34:17] + wire aout : UInt<33> + aout <= UInt<1>("h00") + node _T_6 = bits(io.i0_ap.sub, 0, 0) @[exu_alu_ctl.scala 37:28] + node _T_7 = asUInt(io.a_in) @[Cat.scala 29:58] + node _T_8 = cat(UInt<1>("h00"), _T_7) @[Cat.scala 29:58] + node _T_9 = not(io.b_in) @[exu_alu_ctl.scala 37:73] + node _T_10 = cat(UInt<1>("h00"), _T_9) @[Cat.scala 29:58] + node _T_11 = add(_T_8, _T_10) @[exu_alu_ctl.scala 37:58] + node _T_12 = tail(_T_11, 1) @[exu_alu_ctl.scala 37:58] + node _T_13 = cat(UInt<32>("h00"), io.i0_ap.sub) @[Cat.scala 29:58] + node _T_14 = add(_T_12, _T_13) @[exu_alu_ctl.scala 37:83] + node _T_15 = tail(_T_14, 1) @[exu_alu_ctl.scala 37:83] + node _T_16 = asUInt(io.a_in) @[Cat.scala 29:58] + node _T_17 = cat(UInt<1>("h00"), _T_16) @[Cat.scala 29:58] + node _T_18 = cat(UInt<1>("h00"), io.b_in) @[Cat.scala 29:58] + node _T_19 = add(_T_17, _T_18) @[exu_alu_ctl.scala 37:138] + node _T_20 = tail(_T_19, 1) @[exu_alu_ctl.scala 37:138] + node _T_21 = cat(UInt<32>("h00"), io.i0_ap.sub) @[Cat.scala 29:58] + node _T_22 = add(_T_20, _T_21) @[exu_alu_ctl.scala 37:163] + node _T_23 = tail(_T_22, 1) @[exu_alu_ctl.scala 37:163] + node _T_24 = mux(_T_6, _T_15, _T_23) @[exu_alu_ctl.scala 37:14] + aout <= _T_24 @[exu_alu_ctl.scala 37:8] + node cout = bits(aout, 32, 32) @[exu_alu_ctl.scala 38:18] + node _T_25 = bits(io.a_in, 31, 31) @[exu_alu_ctl.scala 40:22] + node _T_26 = eq(_T_25, UInt<1>("h00")) @[exu_alu_ctl.scala 40:14] + node _T_27 = bits(bm, 31, 31) @[exu_alu_ctl.scala 40:32] + node _T_28 = eq(_T_27, UInt<1>("h00")) @[exu_alu_ctl.scala 40:29] + node _T_29 = and(_T_26, _T_28) @[exu_alu_ctl.scala 40:27] + node _T_30 = bits(aout, 31, 31) @[exu_alu_ctl.scala 40:44] + node _T_31 = and(_T_29, _T_30) @[exu_alu_ctl.scala 40:37] + node _T_32 = bits(io.a_in, 31, 31) @[exu_alu_ctl.scala 40:61] + node _T_33 = bits(bm, 31, 31) @[exu_alu_ctl.scala 40:71] + node _T_34 = and(_T_32, _T_33) @[exu_alu_ctl.scala 40:66] + node _T_35 = bits(aout, 31, 31) @[exu_alu_ctl.scala 40:83] + node _T_36 = eq(_T_35, UInt<1>("h00")) @[exu_alu_ctl.scala 40:78] + node _T_37 = and(_T_34, _T_36) @[exu_alu_ctl.scala 40:76] + node ov = or(_T_31, _T_37) @[exu_alu_ctl.scala 40:50] + node _T_38 = asSInt(io.b_in) @[exu_alu_ctl.scala 42:50] + node eq = eq(io.a_in, _T_38) @[exu_alu_ctl.scala 42:38] + node ne = not(eq) @[exu_alu_ctl.scala 43:29] + node neg = bits(aout, 31, 31) @[exu_alu_ctl.scala 44:34] + node _T_39 = eq(io.i0_ap.unsign, UInt<1>("h00")) @[exu_alu_ctl.scala 45:30] + node _T_40 = xor(neg, ov) @[exu_alu_ctl.scala 45:54] + node _T_41 = and(_T_39, _T_40) @[exu_alu_ctl.scala 45:47] + node _T_42 = eq(cout, UInt<1>("h00")) @[exu_alu_ctl.scala 45:84] + node _T_43 = and(io.i0_ap.unsign, _T_42) @[exu_alu_ctl.scala 45:82] + node lt = or(_T_41, _T_43) @[exu_alu_ctl.scala 45:61] + node ge = eq(lt, UInt<1>("h00")) @[exu_alu_ctl.scala 46:29] + node _T_44 = bits(io.dec_alu.dec_csr_ren_d, 0, 0) @[exu_alu_ctl.scala 50:30] + node _T_45 = asSInt(io.b_in) @[exu_alu_ctl.scala 50:61] + node _T_46 = bits(io.i0_ap.land, 0, 0) @[exu_alu_ctl.scala 51:19] + node _T_47 = asSInt(io.b_in) @[exu_alu_ctl.scala 51:53] + node _T_48 = and(io.a_in, _T_47) @[exu_alu_ctl.scala 51:42] + node _T_49 = asSInt(_T_48) @[exu_alu_ctl.scala 51:42] + node _T_50 = bits(io.i0_ap.lor, 0, 0) @[exu_alu_ctl.scala 52:18] + node _T_51 = asSInt(io.b_in) @[exu_alu_ctl.scala 52:53] + node _T_52 = or(io.a_in, _T_51) @[exu_alu_ctl.scala 52:42] + node _T_53 = asSInt(_T_52) @[exu_alu_ctl.scala 52:42] + node _T_54 = bits(io.i0_ap.lxor, 0, 0) @[exu_alu_ctl.scala 53:19] + node _T_55 = asSInt(io.b_in) @[exu_alu_ctl.scala 53:53] + node _T_56 = xor(io.a_in, _T_55) @[exu_alu_ctl.scala 53:42] + node _T_57 = asSInt(_T_56) @[exu_alu_ctl.scala 53:42] + wire _T_58 : SInt<32> @[Mux.scala 27:72] + node _T_59 = asUInt(_T_45) @[Mux.scala 27:72] + node _T_60 = asSInt(_T_59) @[Mux.scala 27:72] + _T_58 <= _T_60 @[Mux.scala 27:72] + wire _T_61 : SInt<32> @[Mux.scala 27:72] + node _T_62 = asUInt(_T_49) @[Mux.scala 27:72] + node _T_63 = asSInt(_T_62) @[Mux.scala 27:72] + _T_61 <= _T_63 @[Mux.scala 27:72] + wire _T_64 : SInt<32> @[Mux.scala 27:72] + node _T_65 = asUInt(_T_53) @[Mux.scala 27:72] + node _T_66 = asSInt(_T_65) @[Mux.scala 27:72] + _T_64 <= _T_66 @[Mux.scala 27:72] + wire _T_67 : SInt<32> @[Mux.scala 27:72] + node _T_68 = asUInt(_T_57) @[Mux.scala 27:72] + node _T_69 = asSInt(_T_68) @[Mux.scala 27:72] + _T_67 <= _T_69 @[Mux.scala 27:72] + node _T_70 = mux(_T_44, _T_58, asSInt(UInt<1>("h00"))) @[Mux.scala 27:72] + node _T_71 = mux(_T_46, _T_61, asSInt(UInt<1>("h00"))) @[Mux.scala 27:72] + node _T_72 = mux(_T_50, _T_64, asSInt(UInt<1>("h00"))) @[Mux.scala 27:72] + node _T_73 = mux(_T_54, _T_67, asSInt(UInt<1>("h00"))) @[Mux.scala 27:72] + node _T_74 = or(_T_70, _T_71) @[Mux.scala 27:72] + node _T_75 = asSInt(_T_74) @[Mux.scala 27:72] + node _T_76 = or(_T_75, _T_72) @[Mux.scala 27:72] + node _T_77 = asSInt(_T_76) @[Mux.scala 27:72] + node _T_78 = or(_T_77, _T_73) @[Mux.scala 27:72] + node _T_79 = asSInt(_T_78) @[Mux.scala 27:72] + wire lout : SInt<32> @[Mux.scala 27:72] + node _T_80 = asUInt(_T_79) @[Mux.scala 27:72] + node _T_81 = asSInt(_T_80) @[Mux.scala 27:72] + lout <= _T_81 @[Mux.scala 27:72] + node _T_82 = bits(io.i0_ap.sll, 0, 0) @[exu_alu_ctl.scala 56:18] + node _T_83 = bits(io.b_in, 4, 0) @[exu_alu_ctl.scala 56:63] + node _T_84 = cat(UInt<1>("h00"), _T_83) @[Cat.scala 29:58] + node _T_85 = sub(UInt<6>("h020"), _T_84) @[exu_alu_ctl.scala 56:41] + node _T_86 = tail(_T_85, 1) @[exu_alu_ctl.scala 56:41] + node _T_87 = bits(io.i0_ap.srl, 0, 0) @[exu_alu_ctl.scala 57:18] + node _T_88 = bits(io.b_in, 4, 0) @[exu_alu_ctl.scala 57:63] + node _T_89 = cat(UInt<1>("h00"), _T_88) @[Cat.scala 29:58] + node _T_90 = bits(io.i0_ap.sra, 0, 0) @[exu_alu_ctl.scala 58:18] + node _T_91 = bits(io.b_in, 4, 0) @[exu_alu_ctl.scala 58:63] + node _T_92 = cat(UInt<1>("h00"), _T_91) @[Cat.scala 29:58] + node _T_93 = mux(_T_82, _T_86, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_94 = mux(_T_87, _T_89, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_95 = mux(_T_90, _T_92, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_96 = or(_T_93, _T_94) @[Mux.scala 27:72] + node _T_97 = or(_T_96, _T_95) @[Mux.scala 27:72] + wire shift_amount : UInt<6> @[Mux.scala 27:72] + shift_amount <= _T_97 @[Mux.scala 27:72] + wire shift_mask : UInt<32> + shift_mask <= UInt<1>("h00") + wire _T_98 : UInt<1>[5] @[lib.scala 12:48] + _T_98[0] <= io.i0_ap.sll @[lib.scala 12:48] + _T_98[1] <= io.i0_ap.sll @[lib.scala 12:48] + _T_98[2] <= io.i0_ap.sll @[lib.scala 12:48] + _T_98[3] <= io.i0_ap.sll @[lib.scala 12:48] + _T_98[4] <= io.i0_ap.sll @[lib.scala 12:48] + node _T_99 = cat(_T_98[0], _T_98[1]) @[Cat.scala 29:58] + node _T_100 = cat(_T_99, _T_98[2]) @[Cat.scala 29:58] + node _T_101 = cat(_T_100, _T_98[3]) @[Cat.scala 29:58] + node _T_102 = cat(_T_101, _T_98[4]) @[Cat.scala 29:58] + node _T_103 = bits(io.b_in, 4, 0) @[exu_alu_ctl.scala 61:73] + node _T_104 = and(_T_102, _T_103) @[exu_alu_ctl.scala 61:64] + node _T_105 = dshl(UInt<32>("h0ffffffff"), _T_104) @[exu_alu_ctl.scala 61:39] + shift_mask <= _T_105 @[exu_alu_ctl.scala 61:14] + wire shift_extend : UInt<63> + shift_extend <= UInt<1>("h00") + wire _T_106 : UInt<1>[31] @[lib.scala 12:48] + _T_106[0] <= io.i0_ap.sra @[lib.scala 12:48] + _T_106[1] <= io.i0_ap.sra @[lib.scala 12:48] + _T_106[2] <= io.i0_ap.sra @[lib.scala 12:48] + _T_106[3] <= io.i0_ap.sra @[lib.scala 12:48] + _T_106[4] <= io.i0_ap.sra @[lib.scala 12:48] + _T_106[5] <= io.i0_ap.sra @[lib.scala 12:48] + _T_106[6] <= io.i0_ap.sra @[lib.scala 12:48] + _T_106[7] <= io.i0_ap.sra @[lib.scala 12:48] + _T_106[8] <= io.i0_ap.sra @[lib.scala 12:48] + _T_106[9] <= io.i0_ap.sra @[lib.scala 12:48] + _T_106[10] <= io.i0_ap.sra @[lib.scala 12:48] + _T_106[11] <= io.i0_ap.sra @[lib.scala 12:48] + _T_106[12] <= io.i0_ap.sra @[lib.scala 12:48] + _T_106[13] <= io.i0_ap.sra @[lib.scala 12:48] + _T_106[14] <= io.i0_ap.sra @[lib.scala 12:48] + _T_106[15] <= io.i0_ap.sra @[lib.scala 12:48] + _T_106[16] <= io.i0_ap.sra @[lib.scala 12:48] + _T_106[17] <= io.i0_ap.sra @[lib.scala 12:48] + _T_106[18] <= io.i0_ap.sra @[lib.scala 12:48] + _T_106[19] <= io.i0_ap.sra @[lib.scala 12:48] + _T_106[20] <= io.i0_ap.sra @[lib.scala 12:48] + _T_106[21] <= io.i0_ap.sra @[lib.scala 12:48] + _T_106[22] <= io.i0_ap.sra @[lib.scala 12:48] + _T_106[23] <= io.i0_ap.sra @[lib.scala 12:48] + _T_106[24] <= io.i0_ap.sra @[lib.scala 12:48] + _T_106[25] <= io.i0_ap.sra @[lib.scala 12:48] + _T_106[26] <= io.i0_ap.sra @[lib.scala 12:48] + _T_106[27] <= io.i0_ap.sra @[lib.scala 12:48] + _T_106[28] <= io.i0_ap.sra @[lib.scala 12:48] + _T_106[29] <= io.i0_ap.sra @[lib.scala 12:48] + _T_106[30] <= io.i0_ap.sra @[lib.scala 12:48] + node _T_107 = cat(_T_106[0], _T_106[1]) @[Cat.scala 29:58] + node _T_108 = cat(_T_107, _T_106[2]) @[Cat.scala 29:58] + node _T_109 = cat(_T_108, _T_106[3]) @[Cat.scala 29:58] + node _T_110 = cat(_T_109, _T_106[4]) @[Cat.scala 29:58] + node _T_111 = cat(_T_110, _T_106[5]) @[Cat.scala 29:58] + node _T_112 = cat(_T_111, _T_106[6]) @[Cat.scala 29:58] + node _T_113 = cat(_T_112, _T_106[7]) @[Cat.scala 29:58] + node _T_114 = cat(_T_113, _T_106[8]) @[Cat.scala 29:58] + node _T_115 = cat(_T_114, _T_106[9]) @[Cat.scala 29:58] + node _T_116 = cat(_T_115, _T_106[10]) @[Cat.scala 29:58] + node _T_117 = cat(_T_116, _T_106[11]) @[Cat.scala 29:58] + node _T_118 = cat(_T_117, _T_106[12]) @[Cat.scala 29:58] + node _T_119 = cat(_T_118, _T_106[13]) @[Cat.scala 29:58] + node _T_120 = cat(_T_119, _T_106[14]) @[Cat.scala 29:58] + node _T_121 = cat(_T_120, _T_106[15]) @[Cat.scala 29:58] + node _T_122 = cat(_T_121, _T_106[16]) @[Cat.scala 29:58] + node _T_123 = cat(_T_122, _T_106[17]) @[Cat.scala 29:58] + node _T_124 = cat(_T_123, _T_106[18]) @[Cat.scala 29:58] + node _T_125 = cat(_T_124, _T_106[19]) @[Cat.scala 29:58] + node _T_126 = cat(_T_125, _T_106[20]) @[Cat.scala 29:58] + node _T_127 = cat(_T_126, _T_106[21]) @[Cat.scala 29:58] + node _T_128 = cat(_T_127, _T_106[22]) @[Cat.scala 29:58] + node _T_129 = cat(_T_128, _T_106[23]) @[Cat.scala 29:58] + node _T_130 = cat(_T_129, _T_106[24]) @[Cat.scala 29:58] + node _T_131 = cat(_T_130, _T_106[25]) @[Cat.scala 29:58] + node _T_132 = cat(_T_131, _T_106[26]) @[Cat.scala 29:58] + node _T_133 = cat(_T_132, _T_106[27]) @[Cat.scala 29:58] + node _T_134 = cat(_T_133, _T_106[28]) @[Cat.scala 29:58] + node _T_135 = cat(_T_134, _T_106[29]) @[Cat.scala 29:58] + node _T_136 = cat(_T_135, _T_106[30]) @[Cat.scala 29:58] + node _T_137 = bits(io.a_in, 31, 31) @[exu_alu_ctl.scala 64:64] + wire _T_138 : UInt<1>[31] @[lib.scala 12:48] + _T_138[0] <= _T_137 @[lib.scala 12:48] + _T_138[1] <= _T_137 @[lib.scala 12:48] + _T_138[2] <= _T_137 @[lib.scala 12:48] + _T_138[3] <= _T_137 @[lib.scala 12:48] + _T_138[4] <= _T_137 @[lib.scala 12:48] + _T_138[5] <= _T_137 @[lib.scala 12:48] + _T_138[6] <= _T_137 @[lib.scala 12:48] + _T_138[7] <= _T_137 @[lib.scala 12:48] + _T_138[8] <= _T_137 @[lib.scala 12:48] + _T_138[9] <= _T_137 @[lib.scala 12:48] + _T_138[10] <= _T_137 @[lib.scala 12:48] + _T_138[11] <= _T_137 @[lib.scala 12:48] + _T_138[12] <= _T_137 @[lib.scala 12:48] + _T_138[13] <= _T_137 @[lib.scala 12:48] + _T_138[14] <= _T_137 @[lib.scala 12:48] + _T_138[15] <= _T_137 @[lib.scala 12:48] + _T_138[16] <= _T_137 @[lib.scala 12:48] + _T_138[17] <= _T_137 @[lib.scala 12:48] + _T_138[18] <= _T_137 @[lib.scala 12:48] + _T_138[19] <= _T_137 @[lib.scala 12:48] + _T_138[20] <= _T_137 @[lib.scala 12:48] + _T_138[21] <= _T_137 @[lib.scala 12:48] + _T_138[22] <= _T_137 @[lib.scala 12:48] + _T_138[23] <= _T_137 @[lib.scala 12:48] + _T_138[24] <= _T_137 @[lib.scala 12:48] + _T_138[25] <= _T_137 @[lib.scala 12:48] + _T_138[26] <= _T_137 @[lib.scala 12:48] + _T_138[27] <= _T_137 @[lib.scala 12:48] + _T_138[28] <= _T_137 @[lib.scala 12:48] + _T_138[29] <= _T_137 @[lib.scala 12:48] + _T_138[30] <= _T_137 @[lib.scala 12:48] + node _T_139 = cat(_T_138[0], _T_138[1]) @[Cat.scala 29:58] + node _T_140 = cat(_T_139, _T_138[2]) @[Cat.scala 29:58] + node _T_141 = cat(_T_140, _T_138[3]) @[Cat.scala 29:58] + node _T_142 = cat(_T_141, _T_138[4]) @[Cat.scala 29:58] + node _T_143 = cat(_T_142, _T_138[5]) @[Cat.scala 29:58] + node _T_144 = cat(_T_143, _T_138[6]) @[Cat.scala 29:58] + node _T_145 = cat(_T_144, _T_138[7]) @[Cat.scala 29:58] + node _T_146 = cat(_T_145, _T_138[8]) @[Cat.scala 29:58] + node _T_147 = cat(_T_146, _T_138[9]) @[Cat.scala 29:58] + node _T_148 = cat(_T_147, _T_138[10]) @[Cat.scala 29:58] + node _T_149 = cat(_T_148, _T_138[11]) @[Cat.scala 29:58] + node _T_150 = cat(_T_149, _T_138[12]) @[Cat.scala 29:58] + node _T_151 = cat(_T_150, _T_138[13]) @[Cat.scala 29:58] + node _T_152 = cat(_T_151, _T_138[14]) @[Cat.scala 29:58] + node _T_153 = cat(_T_152, _T_138[15]) @[Cat.scala 29:58] + node _T_154 = cat(_T_153, _T_138[16]) @[Cat.scala 29:58] + node _T_155 = cat(_T_154, _T_138[17]) @[Cat.scala 29:58] + node _T_156 = cat(_T_155, _T_138[18]) @[Cat.scala 29:58] + node _T_157 = cat(_T_156, _T_138[19]) @[Cat.scala 29:58] + node _T_158 = cat(_T_157, _T_138[20]) @[Cat.scala 29:58] + node _T_159 = cat(_T_158, _T_138[21]) @[Cat.scala 29:58] + node _T_160 = cat(_T_159, _T_138[22]) @[Cat.scala 29:58] + node _T_161 = cat(_T_160, _T_138[23]) @[Cat.scala 29:58] + node _T_162 = cat(_T_161, _T_138[24]) @[Cat.scala 29:58] + node _T_163 = cat(_T_162, _T_138[25]) @[Cat.scala 29:58] + node _T_164 = cat(_T_163, _T_138[26]) @[Cat.scala 29:58] + node _T_165 = cat(_T_164, _T_138[27]) @[Cat.scala 29:58] + node _T_166 = cat(_T_165, _T_138[28]) @[Cat.scala 29:58] + node _T_167 = cat(_T_166, _T_138[29]) @[Cat.scala 29:58] + node _T_168 = cat(_T_167, _T_138[30]) @[Cat.scala 29:58] + node _T_169 = and(_T_136, _T_168) @[exu_alu_ctl.scala 64:47] + wire _T_170 : UInt<1>[31] @[lib.scala 12:48] + _T_170[0] <= io.i0_ap.sll @[lib.scala 12:48] + _T_170[1] <= io.i0_ap.sll @[lib.scala 12:48] + _T_170[2] <= io.i0_ap.sll @[lib.scala 12:48] + _T_170[3] <= io.i0_ap.sll @[lib.scala 12:48] + _T_170[4] <= io.i0_ap.sll @[lib.scala 12:48] + _T_170[5] <= io.i0_ap.sll @[lib.scala 12:48] + _T_170[6] <= io.i0_ap.sll @[lib.scala 12:48] + _T_170[7] <= io.i0_ap.sll @[lib.scala 12:48] + _T_170[8] <= io.i0_ap.sll @[lib.scala 12:48] + _T_170[9] <= io.i0_ap.sll @[lib.scala 12:48] + _T_170[10] <= io.i0_ap.sll @[lib.scala 12:48] + _T_170[11] <= io.i0_ap.sll @[lib.scala 12:48] + _T_170[12] <= io.i0_ap.sll @[lib.scala 12:48] + _T_170[13] <= io.i0_ap.sll @[lib.scala 12:48] + _T_170[14] <= io.i0_ap.sll @[lib.scala 12:48] + _T_170[15] <= io.i0_ap.sll @[lib.scala 12:48] + _T_170[16] <= io.i0_ap.sll @[lib.scala 12:48] + _T_170[17] <= io.i0_ap.sll @[lib.scala 12:48] + _T_170[18] <= io.i0_ap.sll @[lib.scala 12:48] + _T_170[19] <= io.i0_ap.sll @[lib.scala 12:48] + _T_170[20] <= io.i0_ap.sll @[lib.scala 12:48] + _T_170[21] <= io.i0_ap.sll @[lib.scala 12:48] + _T_170[22] <= io.i0_ap.sll @[lib.scala 12:48] + _T_170[23] <= io.i0_ap.sll @[lib.scala 12:48] + _T_170[24] <= io.i0_ap.sll @[lib.scala 12:48] + _T_170[25] <= io.i0_ap.sll @[lib.scala 12:48] + _T_170[26] <= io.i0_ap.sll @[lib.scala 12:48] + _T_170[27] <= io.i0_ap.sll @[lib.scala 12:48] + _T_170[28] <= io.i0_ap.sll @[lib.scala 12:48] + _T_170[29] <= io.i0_ap.sll @[lib.scala 12:48] + _T_170[30] <= io.i0_ap.sll @[lib.scala 12:48] + node _T_171 = cat(_T_170[0], _T_170[1]) @[Cat.scala 29:58] + node _T_172 = cat(_T_171, _T_170[2]) @[Cat.scala 29:58] + node _T_173 = cat(_T_172, _T_170[3]) @[Cat.scala 29:58] + node _T_174 = cat(_T_173, _T_170[4]) @[Cat.scala 29:58] + node _T_175 = cat(_T_174, _T_170[5]) @[Cat.scala 29:58] + node _T_176 = cat(_T_175, _T_170[6]) @[Cat.scala 29:58] + node _T_177 = cat(_T_176, _T_170[7]) @[Cat.scala 29:58] + node _T_178 = cat(_T_177, _T_170[8]) @[Cat.scala 29:58] + node _T_179 = cat(_T_178, _T_170[9]) @[Cat.scala 29:58] + node _T_180 = cat(_T_179, _T_170[10]) @[Cat.scala 29:58] + node _T_181 = cat(_T_180, _T_170[11]) @[Cat.scala 29:58] + node _T_182 = cat(_T_181, _T_170[12]) @[Cat.scala 29:58] + node _T_183 = cat(_T_182, _T_170[13]) @[Cat.scala 29:58] + node _T_184 = cat(_T_183, _T_170[14]) @[Cat.scala 29:58] + node _T_185 = cat(_T_184, _T_170[15]) @[Cat.scala 29:58] + node _T_186 = cat(_T_185, _T_170[16]) @[Cat.scala 29:58] + node _T_187 = cat(_T_186, _T_170[17]) @[Cat.scala 29:58] + node _T_188 = cat(_T_187, _T_170[18]) @[Cat.scala 29:58] + node _T_189 = cat(_T_188, _T_170[19]) @[Cat.scala 29:58] + node _T_190 = cat(_T_189, _T_170[20]) @[Cat.scala 29:58] + node _T_191 = cat(_T_190, _T_170[21]) @[Cat.scala 29:58] + node _T_192 = cat(_T_191, _T_170[22]) @[Cat.scala 29:58] + node _T_193 = cat(_T_192, _T_170[23]) @[Cat.scala 29:58] + node _T_194 = cat(_T_193, _T_170[24]) @[Cat.scala 29:58] + node _T_195 = cat(_T_194, _T_170[25]) @[Cat.scala 29:58] + node _T_196 = cat(_T_195, _T_170[26]) @[Cat.scala 29:58] + node _T_197 = cat(_T_196, _T_170[27]) @[Cat.scala 29:58] + node _T_198 = cat(_T_197, _T_170[28]) @[Cat.scala 29:58] + node _T_199 = cat(_T_198, _T_170[29]) @[Cat.scala 29:58] + node _T_200 = cat(_T_199, _T_170[30]) @[Cat.scala 29:58] + node _T_201 = bits(io.a_in, 30, 0) @[exu_alu_ctl.scala 64:105] + node _T_202 = and(_T_200, _T_201) @[exu_alu_ctl.scala 64:96] + node _T_203 = or(_T_169, _T_202) @[exu_alu_ctl.scala 64:71] + node _T_204 = asUInt(io.a_in) @[Cat.scala 29:58] + node _T_205 = cat(_T_203, _T_204) @[Cat.scala 29:58] + shift_extend <= _T_205 @[exu_alu_ctl.scala 64:16] + wire shift_long : UInt<63> + shift_long <= UInt<1>("h00") + node _T_206 = bits(shift_amount, 4, 0) @[exu_alu_ctl.scala 67:47] + node _T_207 = dshr(shift_extend, _T_206) @[exu_alu_ctl.scala 67:32] + shift_long <= _T_207 @[exu_alu_ctl.scala 67:14] + node _T_208 = bits(shift_long, 31, 0) @[exu_alu_ctl.scala 69:27] + node _T_209 = bits(shift_mask, 31, 0) @[exu_alu_ctl.scala 69:46] + node sout = and(_T_208, _T_209) @[exu_alu_ctl.scala 69:34] + node _T_210 = or(io.i0_ap.sll, io.i0_ap.srl) @[exu_alu_ctl.scala 72:44] + node sel_shift = or(_T_210, io.i0_ap.sra) @[exu_alu_ctl.scala 72:59] + node _T_211 = or(io.i0_ap.add, io.i0_ap.sub) @[exu_alu_ctl.scala 73:44] + node _T_212 = eq(io.i0_ap.slt, UInt<1>("h00")) @[exu_alu_ctl.scala 73:62] + node sel_adder = and(_T_211, _T_212) @[exu_alu_ctl.scala 73:60] + node _T_213 = or(io.i0_ap.jal, io.pp_in.bits.pcall) @[exu_alu_ctl.scala 74:44] + node _T_214 = or(_T_213, io.pp_in.bits.pja) @[exu_alu_ctl.scala 74:66] + node sel_pc = or(_T_214, io.pp_in.bits.pret) @[exu_alu_ctl.scala 74:86] + node _T_215 = bits(io.i0_ap.csr_imm, 0, 0) @[exu_alu_ctl.scala 75:50] + node _T_216 = asSInt(io.b_in) @[exu_alu_ctl.scala 75:66] + node csr_write_data = mux(_T_215, _T_216, io.a_in) @[exu_alu_ctl.scala 75:32] + node slt_one = and(io.i0_ap.slt, lt) @[exu_alu_ctl.scala 77:43] + node _T_217 = cat(io.dec_i0_pc_d, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_218 = cat(io.dec_alu.dec_i0_br_immed_d, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_219 = bits(_T_217, 12, 1) @[lib.scala 68:24] + node _T_220 = bits(_T_218, 12, 1) @[lib.scala 68:40] + node _T_221 = add(_T_219, _T_220) @[lib.scala 68:31] + node _T_222 = bits(_T_217, 31, 13) @[lib.scala 69:20] + node _T_223 = add(_T_222, UInt<1>("h01")) @[lib.scala 69:27] + node _T_224 = tail(_T_223, 1) @[lib.scala 69:27] + node _T_225 = bits(_T_217, 31, 13) @[lib.scala 70:20] + node _T_226 = sub(_T_225, UInt<1>("h01")) @[lib.scala 70:27] + node _T_227 = tail(_T_226, 1) @[lib.scala 70:27] + node _T_228 = bits(_T_218, 12, 12) @[lib.scala 71:22] + node _T_229 = bits(_T_221, 12, 12) @[lib.scala 72:39] + node _T_230 = eq(_T_229, UInt<1>("h00")) @[lib.scala 72:28] + node _T_231 = xor(_T_228, _T_230) @[lib.scala 72:26] + node _T_232 = bits(_T_231, 0, 0) @[lib.scala 72:64] + node _T_233 = bits(_T_217, 31, 13) @[lib.scala 72:76] + node _T_234 = eq(_T_228, UInt<1>("h00")) @[lib.scala 73:20] + node _T_235 = bits(_T_221, 12, 12) @[lib.scala 73:39] + node _T_236 = and(_T_234, _T_235) @[lib.scala 73:26] + node _T_237 = bits(_T_236, 0, 0) @[lib.scala 73:64] + node _T_238 = bits(_T_221, 12, 12) @[lib.scala 74:39] + node _T_239 = eq(_T_238, UInt<1>("h00")) @[lib.scala 74:28] + node _T_240 = and(_T_228, _T_239) @[lib.scala 74:26] + node _T_241 = bits(_T_240, 0, 0) @[lib.scala 74:64] + node _T_242 = mux(_T_232, _T_233, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_243 = mux(_T_237, _T_224, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_244 = mux(_T_241, _T_227, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_245 = or(_T_242, _T_243) @[Mux.scala 27:72] + node _T_246 = or(_T_245, _T_244) @[Mux.scala 27:72] + wire _T_247 : UInt<19> @[Mux.scala 27:72] + _T_247 <= _T_246 @[Mux.scala 27:72] + node _T_248 = bits(_T_221, 11, 0) @[lib.scala 74:94] + node _T_249 = cat(_T_247, _T_248) @[Cat.scala 29:58] + node pcout = cat(_T_249, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_250 = bits(lout, 31, 0) @[exu_alu_ctl.scala 83:24] + node _T_251 = cat(UInt<31>("h00"), slt_one) @[Cat.scala 29:58] + node _T_252 = or(_T_250, _T_251) @[exu_alu_ctl.scala 83:31] + node _T_253 = bits(sel_shift, 0, 0) @[exu_alu_ctl.scala 84:15] + node _T_254 = bits(sout, 31, 0) @[exu_alu_ctl.scala 84:41] + node _T_255 = bits(sel_adder, 0, 0) @[exu_alu_ctl.scala 85:15] + node _T_256 = bits(aout, 31, 0) @[exu_alu_ctl.scala 85:41] + node _T_257 = bits(sel_pc, 0, 0) @[exu_alu_ctl.scala 86:12] + node _T_258 = bits(io.i0_ap.csr_write, 0, 0) @[exu_alu_ctl.scala 87:24] + node _T_259 = bits(csr_write_data, 31, 0) @[exu_alu_ctl.scala 87:54] + node _T_260 = mux(_T_253, _T_254, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_261 = mux(_T_255, _T_256, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_262 = mux(_T_257, pcout, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_263 = mux(_T_258, _T_259, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_264 = or(_T_260, _T_261) @[Mux.scala 27:72] + node _T_265 = or(_T_264, _T_262) @[Mux.scala 27:72] + node _T_266 = or(_T_265, _T_263) @[Mux.scala 27:72] + wire _T_267 : UInt<32> @[Mux.scala 27:72] + _T_267 <= _T_266 @[Mux.scala 27:72] + node _T_268 = or(_T_252, _T_267) @[exu_alu_ctl.scala 83:56] + result <= _T_268 @[exu_alu_ctl.scala 83:16] + node _T_269 = or(io.i0_ap.jal, io.pp_in.bits.pcall) @[exu_alu_ctl.scala 91:48] + node _T_270 = or(_T_269, io.pp_in.bits.pja) @[exu_alu_ctl.scala 92:25] + node any_jal = or(_T_270, io.pp_in.bits.pret) @[exu_alu_ctl.scala 93:25] + node _T_271 = and(io.i0_ap.beq, eq) @[exu_alu_ctl.scala 96:43] + node _T_272 = and(io.i0_ap.bne, ne) @[exu_alu_ctl.scala 96:65] + node _T_273 = or(_T_271, _T_272) @[exu_alu_ctl.scala 96:49] + node _T_274 = and(io.i0_ap.blt, lt) @[exu_alu_ctl.scala 96:94] + node _T_275 = or(_T_273, _T_274) @[exu_alu_ctl.scala 96:78] + node _T_276 = and(io.i0_ap.bge, ge) @[exu_alu_ctl.scala 96:116] + node _T_277 = or(_T_275, _T_276) @[exu_alu_ctl.scala 96:100] + node actual_taken = or(_T_277, any_jal) @[exu_alu_ctl.scala 96:122] + node _T_278 = and(io.dec_alu.dec_i0_alu_decode_d, io.i0_ap.predict_nt) @[exu_alu_ctl.scala 101:61] + node _T_279 = eq(actual_taken, UInt<1>("h00")) @[exu_alu_ctl.scala 101:85] + node _T_280 = and(_T_278, _T_279) @[exu_alu_ctl.scala 101:83] + node _T_281 = eq(any_jal, UInt<1>("h00")) @[exu_alu_ctl.scala 101:101] + node _T_282 = and(_T_280, _T_281) @[exu_alu_ctl.scala 101:99] + node _T_283 = and(io.dec_alu.dec_i0_alu_decode_d, io.i0_ap.predict_t) @[exu_alu_ctl.scala 101:145] + node _T_284 = and(_T_283, actual_taken) @[exu_alu_ctl.scala 101:167] + node _T_285 = eq(any_jal, UInt<1>("h00")) @[exu_alu_ctl.scala 101:185] + node _T_286 = and(_T_284, _T_285) @[exu_alu_ctl.scala 101:183] + node _T_287 = or(_T_282, _T_286) @[exu_alu_ctl.scala 101:111] + io.pred_correct_out <= _T_287 @[exu_alu_ctl.scala 101:26] + node _T_288 = bits(any_jal, 0, 0) @[exu_alu_ctl.scala 103:37] + node _T_289 = bits(aout, 31, 1) @[exu_alu_ctl.scala 103:49] + node _T_290 = bits(pcout, 31, 1) @[exu_alu_ctl.scala 103:62] + node _T_291 = mux(_T_288, _T_289, _T_290) @[exu_alu_ctl.scala 103:28] + io.flush_path_out <= _T_291 @[exu_alu_ctl.scala 103:22] + node _T_292 = eq(actual_taken, UInt<1>("h00")) @[exu_alu_ctl.scala 106:50] + node _T_293 = and(io.i0_ap.predict_t, _T_292) @[exu_alu_ctl.scala 106:48] + node _T_294 = and(io.i0_ap.predict_nt, actual_taken) @[exu_alu_ctl.scala 106:88] + node cond_mispredict = or(_T_293, _T_294) @[exu_alu_ctl.scala 106:65] + node _T_295 = bits(aout, 31, 1) @[exu_alu_ctl.scala 109:80] + node _T_296 = neq(io.pp_in.bits.prett, _T_295) @[exu_alu_ctl.scala 109:72] + node target_mispredict = and(io.pp_in.bits.pret, _T_296) @[exu_alu_ctl.scala 109:49] + node _T_297 = or(io.i0_ap.jal, cond_mispredict) @[exu_alu_ctl.scala 111:45] + node _T_298 = or(_T_297, target_mispredict) @[exu_alu_ctl.scala 111:63] + node _T_299 = and(_T_298, io.dec_alu.dec_i0_alu_decode_d) @[exu_alu_ctl.scala 111:84] + node _T_300 = eq(io.flush_upper_x, UInt<1>("h00")) @[exu_alu_ctl.scala 111:119] + node _T_301 = and(_T_299, _T_300) @[exu_alu_ctl.scala 111:117] + node _T_302 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[exu_alu_ctl.scala 111:141] + node _T_303 = and(_T_301, _T_302) @[exu_alu_ctl.scala 111:139] + io.flush_upper_out <= _T_303 @[exu_alu_ctl.scala 111:26] + node _T_304 = or(io.i0_ap.jal, cond_mispredict) @[exu_alu_ctl.scala 112:45] + node _T_305 = or(_T_304, target_mispredict) @[exu_alu_ctl.scala 112:63] + node _T_306 = and(_T_305, io.dec_alu.dec_i0_alu_decode_d) @[exu_alu_ctl.scala 112:84] + node _T_307 = eq(io.flush_upper_x, UInt<1>("h00")) @[exu_alu_ctl.scala 112:119] + node _T_308 = and(_T_306, _T_307) @[exu_alu_ctl.scala 112:117] + node _T_309 = or(_T_308, io.dec_tlu_flush_lower_r) @[exu_alu_ctl.scala 112:139] + io.flush_final_out <= _T_309 @[exu_alu_ctl.scala 112:26] + wire newhist : UInt<2> + newhist <= UInt<1>("h00") + node _T_310 = bits(io.pp_in.bits.hist, 1, 1) @[exu_alu_ctl.scala 116:40] + node _T_311 = bits(io.pp_in.bits.hist, 0, 0) @[exu_alu_ctl.scala 116:65] + node _T_312 = and(_T_310, _T_311) @[exu_alu_ctl.scala 116:44] + node _T_313 = bits(io.pp_in.bits.hist, 0, 0) @[exu_alu_ctl.scala 116:92] + node _T_314 = eq(_T_313, UInt<1>("h00")) @[exu_alu_ctl.scala 116:73] + node _T_315 = and(_T_314, actual_taken) @[exu_alu_ctl.scala 116:96] + node _T_316 = or(_T_312, _T_315) @[exu_alu_ctl.scala 116:70] + node _T_317 = bits(io.pp_in.bits.hist, 1, 1) @[exu_alu_ctl.scala 117:25] + node _T_318 = eq(_T_317, UInt<1>("h00")) @[exu_alu_ctl.scala 117:6] + node _T_319 = eq(actual_taken, UInt<1>("h00")) @[exu_alu_ctl.scala 117:31] + node _T_320 = and(_T_318, _T_319) @[exu_alu_ctl.scala 117:29] + node _T_321 = bits(io.pp_in.bits.hist, 1, 1) @[exu_alu_ctl.scala 117:68] + node _T_322 = and(_T_321, actual_taken) @[exu_alu_ctl.scala 117:72] + node _T_323 = or(_T_320, _T_322) @[exu_alu_ctl.scala 117:47] + node _T_324 = cat(_T_316, _T_323) @[Cat.scala 29:58] + newhist <= _T_324 @[exu_alu_ctl.scala 116:14] + io.predict_p_out.bits.way <= io.pp_in.bits.way @[exu_alu_ctl.scala 119:30] + io.predict_p_out.bits.pja <= io.pp_in.bits.pja @[exu_alu_ctl.scala 119:30] + io.predict_p_out.bits.pret <= io.pp_in.bits.pret @[exu_alu_ctl.scala 119:30] + io.predict_p_out.bits.pcall <= io.pp_in.bits.pcall @[exu_alu_ctl.scala 119:30] + io.predict_p_out.bits.prett <= io.pp_in.bits.prett @[exu_alu_ctl.scala 119:30] + io.predict_p_out.bits.br_start_error <= io.pp_in.bits.br_start_error @[exu_alu_ctl.scala 119:30] + io.predict_p_out.bits.br_error <= io.pp_in.bits.br_error @[exu_alu_ctl.scala 119:30] + io.predict_p_out.bits.toffset <= io.pp_in.bits.toffset @[exu_alu_ctl.scala 119:30] + io.predict_p_out.bits.hist <= io.pp_in.bits.hist @[exu_alu_ctl.scala 119:30] + io.predict_p_out.bits.pc4 <= io.pp_in.bits.pc4 @[exu_alu_ctl.scala 119:30] + io.predict_p_out.bits.boffset <= io.pp_in.bits.boffset @[exu_alu_ctl.scala 119:30] + io.predict_p_out.bits.ataken <= io.pp_in.bits.ataken @[exu_alu_ctl.scala 119:30] + io.predict_p_out.bits.misp <= io.pp_in.bits.misp @[exu_alu_ctl.scala 119:30] + io.predict_p_out.valid <= io.pp_in.valid @[exu_alu_ctl.scala 119:30] + node _T_325 = eq(io.flush_upper_x, UInt<1>("h00")) @[exu_alu_ctl.scala 120:38] + node _T_326 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[exu_alu_ctl.scala 120:58] + node _T_327 = and(_T_325, _T_326) @[exu_alu_ctl.scala 120:56] + node _T_328 = or(cond_mispredict, target_mispredict) @[exu_alu_ctl.scala 120:103] + node _T_329 = and(_T_327, _T_328) @[exu_alu_ctl.scala 120:84] + io.predict_p_out.bits.misp <= _T_329 @[exu_alu_ctl.scala 120:35] + io.predict_p_out.bits.ataken <= actual_taken @[exu_alu_ctl.scala 121:35] + io.predict_p_out.bits.hist <= newhist @[exu_alu_ctl.scala 122:35] + + extmodule gated_latch_20 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_20 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_20 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_21 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_21 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_21 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_22 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_22 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_22 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module exu_mul_ctl : + input clock : Clock + input reset : AsyncReset + output io : {flip scan_mode : UInt<1>, flip mul_p : {valid : UInt<1>, bits : {rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, bext : UInt<1>, bdep : UInt<1>, clmul : UInt<1>, clmulh : UInt<1>, clmulr : UInt<1>, grev : UInt<1>, shfl : UInt<1>, unshfl : UInt<1>, crc32_b : UInt<1>, crc32_h : UInt<1>, crc32_w : UInt<1>, crc32c_b : UInt<1>, crc32c_h : UInt<1>, crc32c_w : UInt<1>, bfp : UInt<1>}}, flip rs1_in : UInt<32>, flip rs2_in : UInt<32>, result_x : UInt<32>} + + wire rs1_ext_in : SInt<33> + rs1_ext_in <= asSInt(UInt<1>("h00")) + wire rs2_ext_in : SInt<33> + rs2_ext_in <= asSInt(UInt<1>("h00")) + wire rs1_x : SInt<33> + rs1_x <= asSInt(UInt<1>("h00")) + wire rs2_x : SInt<33> + rs2_x <= asSInt(UInt<1>("h00")) + wire prod_x : SInt<66> + prod_x <= asSInt(UInt<1>("h00")) + wire low_x : UInt<1> + low_x <= UInt<1>("h00") + node _T = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 26:55] + node _T_1 = and(io.mul_p.bits.rs1_sign, _T) @[exu_mul_ctl.scala 26:44] + node _T_2 = cat(_T_1, io.rs1_in) @[Cat.scala 29:58] + node _T_3 = asSInt(_T_2) @[exu_mul_ctl.scala 26:71] + rs1_ext_in <= _T_3 @[exu_mul_ctl.scala 26:14] + node _T_4 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 27:55] + node _T_5 = and(io.mul_p.bits.rs2_sign, _T_4) @[exu_mul_ctl.scala 27:44] + node _T_6 = cat(_T_5, io.rs2_in) @[Cat.scala 29:58] + node _T_7 = asSInt(_T_6) @[exu_mul_ctl.scala 27:71] + rs2_ext_in <= _T_7 @[exu_mul_ctl.scala 27:14] + node _T_8 = bits(io.mul_p.valid, 0, 0) @[exu_mul_ctl.scala 29:52] + inst rvclkhdr of rvclkhdr_20 @[lib.scala 368:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 370:18] + rvclkhdr.io.en <= _T_8 @[lib.scala 371:17] + rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_9 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_9 <= io.mul_p.bits.low @[lib.scala 374:16] + low_x <= _T_9 @[exu_mul_ctl.scala 29:9] + node _T_10 = bits(io.mul_p.valid, 0, 0) @[exu_mul_ctl.scala 30:44] + inst rvclkhdr_1 of rvclkhdr_21 @[lib.scala 388:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 390:18] + rvclkhdr_1.io.en <= _T_10 @[lib.scala 391:17] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 392:24] + reg _T_11 : SInt, rvclkhdr_1.io.l1clk with : (reset => (reset, asSInt(UInt<1>("h00")))) @[lib.scala 394:16] + _T_11 <= rs1_ext_in @[lib.scala 394:16] + rs1_x <= _T_11 @[exu_mul_ctl.scala 30:9] + node _T_12 = bits(io.mul_p.valid, 0, 0) @[exu_mul_ctl.scala 31:45] + inst rvclkhdr_2 of rvclkhdr_22 @[lib.scala 388:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 390:18] + rvclkhdr_2.io.en <= _T_12 @[lib.scala 391:17] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 392:24] + reg _T_13 : SInt, rvclkhdr_2.io.l1clk with : (reset => (reset, asSInt(UInt<1>("h00")))) @[lib.scala 394:16] + _T_13 <= rs2_ext_in @[lib.scala 394:16] + rs2_x <= _T_13 @[exu_mul_ctl.scala 31:9] + node _T_14 = mul(rs1_x, rs2_x) @[exu_mul_ctl.scala 33:20] + prod_x <= _T_14 @[exu_mul_ctl.scala 33:10] + node _T_15 = bits(low_x, 0, 0) @[exu_mul_ctl.scala 34:36] + node _T_16 = eq(_T_15, UInt<1>("h00")) @[exu_mul_ctl.scala 34:29] + node _T_17 = bits(prod_x, 63, 32) @[exu_mul_ctl.scala 34:52] + node _T_18 = bits(low_x, 0, 0) @[exu_mul_ctl.scala 34:67] + node _T_19 = bits(prod_x, 31, 0) @[exu_mul_ctl.scala 34:83] + node _T_20 = mux(_T_16, _T_17, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21 = mux(_T_18, _T_19, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22 = or(_T_20, _T_21) @[Mux.scala 27:72] + wire _T_23 : UInt<32> @[Mux.scala 27:72] + _T_23 <= _T_22 @[Mux.scala 27:72] + io.result_x <= _T_23 @[exu_mul_ctl.scala 34:15] + + extmodule gated_latch_23 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_23 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_23 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_24 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_24 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_24 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_25 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_25 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_25 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_26 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_26 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_26 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module exu_div_ctl : + input clock : Clock + input reset : AsyncReset + output io : {flip scan_mode : UInt<1>, flip dividend : UInt<32>, flip divisor : UInt<32>, exu_div_result : UInt<32>, exu_div_wren : UInt<1>, dec_div : {flip div_p : {valid : UInt<1>, bits : {unsign : UInt<1>, rem : UInt<1>}}, flip dec_div_cancel : UInt<1>}} + + wire run_state : UInt<1> + run_state <= UInt<1>("h00") + wire count : UInt<6> + count <= UInt<6>("h00") + wire m_ff : UInt<33> + m_ff <= UInt<33>("h00") + wire q_in : UInt<33> + q_in <= UInt<33>("h00") + wire q_ff : UInt<33> + q_ff <= UInt<33>("h00") + wire a_in : UInt<33> + a_in <= UInt<33>("h00") + wire a_ff : UInt<33> + a_ff <= UInt<33>("h00") + wire m_eff : UInt<33> + m_eff <= UInt<33>("h00") + wire dividend_neg_ff : UInt<1> + dividend_neg_ff <= UInt<1>("h00") + wire divisor_neg_ff : UInt<1> + divisor_neg_ff <= UInt<1>("h00") + wire dividend_comp : UInt<32> + dividend_comp <= UInt<32>("h00") + wire q_ff_comp : UInt<32> + q_ff_comp <= UInt<32>("h00") + wire a_ff_comp : UInt<32> + a_ff_comp <= UInt<32>("h00") + wire sign_ff : UInt<1> + sign_ff <= UInt<1>("h00") + wire rem_ff : UInt<1> + rem_ff <= UInt<1>("h00") + wire add : UInt<1> + add <= UInt<1>("h00") + wire a_eff : UInt<33> + a_eff <= UInt<33>("h00") + wire a_eff_shift : UInt<56> + a_eff_shift <= UInt<56>("h00") + wire rem_correct : UInt<1> + rem_correct <= UInt<1>("h00") + wire valid_ff_x : UInt<1> + valid_ff_x <= UInt<1>("h00") + wire finish_ff : UInt<1> + finish_ff <= UInt<1>("h00") + wire smallnum_case_ff : UInt<1> + smallnum_case_ff <= UInt<1>("h00") + wire smallnum_ff : UInt<4> + smallnum_ff <= UInt<4>("h00") + wire smallnum_case : UInt<1> + smallnum_case <= UInt<1>("h00") + wire count_in : UInt<6> + count_in <= UInt<6>("h00") + wire dividend_eff : UInt<32> + dividend_eff <= UInt<32>("h00") + wire a_shift : UInt<33> + a_shift <= UInt<33>("h00") + node _T = eq(io.dec_div.dec_div_cancel, UInt<1>("h00")) @[exu_div_ctl.scala 46:30] + node valid_x = and(valid_ff_x, _T) @[exu_div_ctl.scala 46:28] + node _T_1 = bits(q_ff, 31, 4) @[exu_div_ctl.scala 52:27] + node _T_2 = eq(_T_1, UInt<1>("h00")) @[exu_div_ctl.scala 52:34] + node _T_3 = bits(m_ff, 31, 4) @[exu_div_ctl.scala 52:50] + node _T_4 = eq(_T_3, UInt<1>("h00")) @[exu_div_ctl.scala 52:57] + node _T_5 = and(_T_2, _T_4) @[exu_div_ctl.scala 52:43] + node _T_6 = bits(m_ff, 31, 0) @[exu_div_ctl.scala 52:73] + node _T_7 = neq(_T_6, UInt<1>("h00")) @[exu_div_ctl.scala 52:80] + node _T_8 = and(_T_5, _T_7) @[exu_div_ctl.scala 52:66] + node _T_9 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 52:91] + node _T_10 = and(_T_8, _T_9) @[exu_div_ctl.scala 52:89] + node _T_11 = and(_T_10, valid_x) @[exu_div_ctl.scala 52:99] + node _T_12 = bits(q_ff, 31, 0) @[exu_div_ctl.scala 53:11] + node _T_13 = eq(_T_12, UInt<1>("h00")) @[exu_div_ctl.scala 53:18] + node _T_14 = bits(m_ff, 31, 0) @[exu_div_ctl.scala 53:34] + node _T_15 = neq(_T_14, UInt<1>("h00")) @[exu_div_ctl.scala 53:41] + node _T_16 = and(_T_13, _T_15) @[exu_div_ctl.scala 53:27] + node _T_17 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 53:52] + node _T_18 = and(_T_16, _T_17) @[exu_div_ctl.scala 53:50] + node _T_19 = and(_T_18, valid_x) @[exu_div_ctl.scala 53:60] + node _T_20 = or(_T_11, _T_19) @[exu_div_ctl.scala 52:110] + smallnum_case <= _T_20 @[exu_div_ctl.scala 52:17] + node _T_21 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 56:57] + node _T_22 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 57:74] + node _T_23 = eq(_T_22, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_24 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 57:74] + node _T_25 = eq(_T_24, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_26 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 57:74] + node _T_27 = eq(_T_26, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_28 = and(_T_23, _T_25) @[exu_div_ctl.scala 57:94] + node _T_29 = and(_T_28, _T_27) @[exu_div_ctl.scala 57:94] + node _T_30 = and(_T_21, _T_29) @[exu_div_ctl.scala 58:10] + node _T_31 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 56:57] + node _T_32 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 57:74] + node _T_33 = eq(_T_32, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_34 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 57:74] + node _T_35 = eq(_T_34, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_36 = and(_T_33, _T_35) @[exu_div_ctl.scala 57:94] + node _T_37 = and(_T_31, _T_36) @[exu_div_ctl.scala 58:10] + node _T_38 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 64:37] + node _T_39 = eq(_T_38, UInt<1>("h00")) @[exu_div_ctl.scala 64:32] + node _T_40 = and(_T_37, _T_39) @[exu_div_ctl.scala 64:30] + node _T_41 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 56:57] + node _T_42 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 57:74] + node _T_43 = eq(_T_42, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_44 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 57:74] + node _T_45 = eq(_T_44, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_46 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 57:74] + node _T_47 = eq(_T_46, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_48 = and(_T_43, _T_45) @[exu_div_ctl.scala 57:94] + node _T_49 = and(_T_48, _T_47) @[exu_div_ctl.scala 57:94] + node _T_50 = and(_T_41, _T_49) @[exu_div_ctl.scala 58:10] + node _T_51 = or(_T_40, _T_50) @[exu_div_ctl.scala 64:41] + node _T_52 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 56:57] + node _T_53 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 56:57] + node _T_54 = and(_T_52, _T_53) @[exu_div_ctl.scala 56:94] + node _T_55 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 57:74] + node _T_56 = eq(_T_55, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_57 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 57:74] + node _T_58 = eq(_T_57, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_59 = and(_T_56, _T_58) @[exu_div_ctl.scala 57:94] + node _T_60 = and(_T_54, _T_59) @[exu_div_ctl.scala 58:10] + node _T_61 = or(_T_51, _T_60) @[exu_div_ctl.scala 64:73] + node _T_62 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 56:57] + node _T_63 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 57:74] + node _T_64 = eq(_T_63, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_65 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 57:74] + node _T_66 = eq(_T_65, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_67 = and(_T_64, _T_66) @[exu_div_ctl.scala 57:94] + node _T_68 = and(_T_62, _T_67) @[exu_div_ctl.scala 58:10] + node _T_69 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 66:37] + node _T_70 = eq(_T_69, UInt<1>("h00")) @[exu_div_ctl.scala 66:32] + node _T_71 = and(_T_68, _T_70) @[exu_div_ctl.scala 66:30] + node _T_72 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 56:57] + node _T_73 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 57:74] + node _T_74 = eq(_T_73, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_75 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 57:74] + node _T_76 = eq(_T_75, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_77 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 57:74] + node _T_78 = eq(_T_77, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_79 = and(_T_74, _T_76) @[exu_div_ctl.scala 57:94] + node _T_80 = and(_T_79, _T_78) @[exu_div_ctl.scala 57:94] + node _T_81 = and(_T_72, _T_80) @[exu_div_ctl.scala 58:10] + node _T_82 = or(_T_71, _T_81) @[exu_div_ctl.scala 66:41] + node _T_83 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 56:57] + node _T_84 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 57:74] + node _T_85 = eq(_T_84, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_86 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 57:74] + node _T_87 = eq(_T_86, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_88 = and(_T_85, _T_87) @[exu_div_ctl.scala 57:94] + node _T_89 = and(_T_83, _T_88) @[exu_div_ctl.scala 58:10] + node _T_90 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 66:110] + node _T_91 = eq(_T_90, UInt<1>("h00")) @[exu_div_ctl.scala 66:105] + node _T_92 = and(_T_89, _T_91) @[exu_div_ctl.scala 66:103] + node _T_93 = or(_T_82, _T_92) @[exu_div_ctl.scala 66:76] + node _T_94 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 56:57] + node _T_95 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 56:74] + node _T_96 = eq(_T_95, UInt<1>("h00")) @[exu_div_ctl.scala 56:69] + node _T_97 = and(_T_94, _T_96) @[exu_div_ctl.scala 56:94] + node _T_98 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 57:74] + node _T_99 = eq(_T_98, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_100 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 57:74] + node _T_101 = eq(_T_100, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_102 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 57:57] + node _T_103 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 57:57] + node _T_104 = and(_T_99, _T_101) @[exu_div_ctl.scala 57:94] + node _T_105 = and(_T_104, _T_102) @[exu_div_ctl.scala 57:94] + node _T_106 = and(_T_105, _T_103) @[exu_div_ctl.scala 57:94] + node _T_107 = and(_T_97, _T_106) @[exu_div_ctl.scala 58:10] + node _T_108 = or(_T_93, _T_107) @[exu_div_ctl.scala 66:114] + node _T_109 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 56:74] + node _T_110 = eq(_T_109, UInt<1>("h00")) @[exu_div_ctl.scala 56:69] + node _T_111 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 56:57] + node _T_112 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 56:57] + node _T_113 = and(_T_110, _T_111) @[exu_div_ctl.scala 56:94] + node _T_114 = and(_T_113, _T_112) @[exu_div_ctl.scala 56:94] + node _T_115 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 57:74] + node _T_116 = eq(_T_115, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_117 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 57:74] + node _T_118 = eq(_T_117, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_119 = and(_T_116, _T_118) @[exu_div_ctl.scala 57:94] + node _T_120 = and(_T_114, _T_119) @[exu_div_ctl.scala 58:10] + node _T_121 = or(_T_108, _T_120) @[exu_div_ctl.scala 67:43] + node _T_122 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 56:57] + node _T_123 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 56:57] + node _T_124 = and(_T_122, _T_123) @[exu_div_ctl.scala 56:94] + node _T_125 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 57:74] + node _T_126 = eq(_T_125, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_127 = and(_T_124, _T_126) @[exu_div_ctl.scala 58:10] + node _T_128 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 67:111] + node _T_129 = eq(_T_128, UInt<1>("h00")) @[exu_div_ctl.scala 67:106] + node _T_130 = and(_T_127, _T_129) @[exu_div_ctl.scala 67:104] + node _T_131 = or(_T_121, _T_130) @[exu_div_ctl.scala 67:78] + node _T_132 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 56:57] + node _T_133 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 56:57] + node _T_134 = and(_T_132, _T_133) @[exu_div_ctl.scala 56:94] + node _T_135 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 57:74] + node _T_136 = eq(_T_135, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_137 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 57:57] + node _T_138 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 57:74] + node _T_139 = eq(_T_138, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_140 = and(_T_136, _T_137) @[exu_div_ctl.scala 57:94] + node _T_141 = and(_T_140, _T_139) @[exu_div_ctl.scala 57:94] + node _T_142 = and(_T_134, _T_141) @[exu_div_ctl.scala 58:10] + node _T_143 = or(_T_131, _T_142) @[exu_div_ctl.scala 67:116] + node _T_144 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 56:57] + node _T_145 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 56:57] + node _T_146 = and(_T_144, _T_145) @[exu_div_ctl.scala 56:94] + node _T_147 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 57:74] + node _T_148 = eq(_T_147, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_149 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 57:74] + node _T_150 = eq(_T_149, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_151 = and(_T_148, _T_150) @[exu_div_ctl.scala 57:94] + node _T_152 = and(_T_146, _T_151) @[exu_div_ctl.scala 58:10] + node _T_153 = or(_T_143, _T_152) @[exu_div_ctl.scala 68:43] + node _T_154 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 56:57] + node _T_155 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 56:57] + node _T_156 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 56:57] + node _T_157 = and(_T_154, _T_155) @[exu_div_ctl.scala 56:94] + node _T_158 = and(_T_157, _T_156) @[exu_div_ctl.scala 56:94] + node _T_159 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 57:74] + node _T_160 = eq(_T_159, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_161 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 57:57] + node _T_162 = and(_T_160, _T_161) @[exu_div_ctl.scala 57:94] + node _T_163 = and(_T_158, _T_162) @[exu_div_ctl.scala 58:10] + node _T_164 = or(_T_153, _T_163) @[exu_div_ctl.scala 68:77] + node _T_165 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 56:57] + node _T_166 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 56:57] + node _T_167 = bits(q_ff, 0, 0) @[exu_div_ctl.scala 56:57] + node _T_168 = and(_T_165, _T_166) @[exu_div_ctl.scala 56:94] + node _T_169 = and(_T_168, _T_167) @[exu_div_ctl.scala 56:94] + node _T_170 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 57:74] + node _T_171 = eq(_T_170, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_172 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 57:74] + node _T_173 = eq(_T_172, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_174 = and(_T_171, _T_173) @[exu_div_ctl.scala 57:94] + node _T_175 = and(_T_169, _T_174) @[exu_div_ctl.scala 58:10] + node _T_176 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 56:57] + node _T_177 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 56:74] + node _T_178 = eq(_T_177, UInt<1>("h00")) @[exu_div_ctl.scala 56:69] + node _T_179 = bits(q_ff, 0, 0) @[exu_div_ctl.scala 56:57] + node _T_180 = and(_T_176, _T_178) @[exu_div_ctl.scala 56:94] + node _T_181 = and(_T_180, _T_179) @[exu_div_ctl.scala 56:94] + node _T_182 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 57:74] + node _T_183 = eq(_T_182, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_184 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 57:57] + node _T_185 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 57:57] + node _T_186 = and(_T_183, _T_184) @[exu_div_ctl.scala 57:94] + node _T_187 = and(_T_186, _T_185) @[exu_div_ctl.scala 57:94] + node _T_188 = and(_T_181, _T_187) @[exu_div_ctl.scala 58:10] + node _T_189 = or(_T_175, _T_188) @[exu_div_ctl.scala 70:44] + node _T_190 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 56:57] + node _T_191 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 57:74] + node _T_192 = eq(_T_191, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_193 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 57:74] + node _T_194 = eq(_T_193, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_195 = and(_T_192, _T_194) @[exu_div_ctl.scala 57:94] + node _T_196 = and(_T_190, _T_195) @[exu_div_ctl.scala 58:10] + node _T_197 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 70:118] + node _T_198 = eq(_T_197, UInt<1>("h00")) @[exu_div_ctl.scala 70:113] + node _T_199 = and(_T_196, _T_198) @[exu_div_ctl.scala 70:111] + node _T_200 = or(_T_189, _T_199) @[exu_div_ctl.scala 70:84] + node _T_201 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 56:57] + node _T_202 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 57:74] + node _T_203 = eq(_T_202, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_204 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 57:74] + node _T_205 = eq(_T_204, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_206 = and(_T_203, _T_205) @[exu_div_ctl.scala 57:94] + node _T_207 = and(_T_201, _T_206) @[exu_div_ctl.scala 58:10] + node _T_208 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 71:39] + node _T_209 = eq(_T_208, UInt<1>("h00")) @[exu_div_ctl.scala 71:34] + node _T_210 = and(_T_207, _T_209) @[exu_div_ctl.scala 71:32] + node _T_211 = or(_T_200, _T_210) @[exu_div_ctl.scala 70:126] + node _T_212 = bits(q_ff, 0, 0) @[exu_div_ctl.scala 56:57] + node _T_213 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 57:74] + node _T_214 = eq(_T_213, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_215 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 57:74] + node _T_216 = eq(_T_215, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_217 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 57:74] + node _T_218 = eq(_T_217, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_219 = and(_T_214, _T_216) @[exu_div_ctl.scala 57:94] + node _T_220 = and(_T_219, _T_218) @[exu_div_ctl.scala 57:94] + node _T_221 = and(_T_212, _T_220) @[exu_div_ctl.scala 58:10] + node _T_222 = or(_T_211, _T_221) @[exu_div_ctl.scala 71:46] + node _T_223 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 56:74] + node _T_224 = eq(_T_223, UInt<1>("h00")) @[exu_div_ctl.scala 56:69] + node _T_225 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 56:57] + node _T_226 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 56:74] + node _T_227 = eq(_T_226, UInt<1>("h00")) @[exu_div_ctl.scala 56:69] + node _T_228 = and(_T_224, _T_225) @[exu_div_ctl.scala 56:94] + node _T_229 = and(_T_228, _T_227) @[exu_div_ctl.scala 56:94] + node _T_230 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 57:74] + node _T_231 = eq(_T_230, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_232 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 57:74] + node _T_233 = eq(_T_232, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_234 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 57:57] + node _T_235 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 57:57] + node _T_236 = and(_T_231, _T_233) @[exu_div_ctl.scala 57:94] + node _T_237 = and(_T_236, _T_234) @[exu_div_ctl.scala 57:94] + node _T_238 = and(_T_237, _T_235) @[exu_div_ctl.scala 57:94] + node _T_239 = and(_T_229, _T_238) @[exu_div_ctl.scala 58:10] + node _T_240 = or(_T_222, _T_239) @[exu_div_ctl.scala 71:86] + node _T_241 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 56:74] + node _T_242 = eq(_T_241, UInt<1>("h00")) @[exu_div_ctl.scala 56:69] + node _T_243 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 56:57] + node _T_244 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 56:57] + node _T_245 = and(_T_242, _T_243) @[exu_div_ctl.scala 56:94] + node _T_246 = and(_T_245, _T_244) @[exu_div_ctl.scala 56:94] + node _T_247 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 57:74] + node _T_248 = eq(_T_247, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_249 = and(_T_246, _T_248) @[exu_div_ctl.scala 58:10] + node _T_250 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 72:42] + node _T_251 = eq(_T_250, UInt<1>("h00")) @[exu_div_ctl.scala 72:37] + node _T_252 = and(_T_249, _T_251) @[exu_div_ctl.scala 72:35] + node _T_253 = or(_T_240, _T_252) @[exu_div_ctl.scala 71:128] + node _T_254 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 56:57] + node _T_255 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 57:74] + node _T_256 = eq(_T_255, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_257 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 57:74] + node _T_258 = eq(_T_257, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_259 = and(_T_256, _T_258) @[exu_div_ctl.scala 57:94] + node _T_260 = and(_T_254, _T_259) @[exu_div_ctl.scala 58:10] + node _T_261 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 72:81] + node _T_262 = eq(_T_261, UInt<1>("h00")) @[exu_div_ctl.scala 72:76] + node _T_263 = and(_T_260, _T_262) @[exu_div_ctl.scala 72:74] + node _T_264 = or(_T_253, _T_263) @[exu_div_ctl.scala 72:46] + node _T_265 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 56:57] + node _T_266 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 56:74] + node _T_267 = eq(_T_266, UInt<1>("h00")) @[exu_div_ctl.scala 56:69] + node _T_268 = and(_T_265, _T_267) @[exu_div_ctl.scala 56:94] + node _T_269 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 57:74] + node _T_270 = eq(_T_269, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_271 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 57:57] + node _T_272 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 57:57] + node _T_273 = and(_T_270, _T_271) @[exu_div_ctl.scala 57:94] + node _T_274 = and(_T_273, _T_272) @[exu_div_ctl.scala 57:94] + node _T_275 = and(_T_268, _T_274) @[exu_div_ctl.scala 58:10] + node _T_276 = or(_T_264, _T_275) @[exu_div_ctl.scala 72:86] + node _T_277 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 56:74] + node _T_278 = eq(_T_277, UInt<1>("h00")) @[exu_div_ctl.scala 56:69] + node _T_279 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 56:57] + node _T_280 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 56:57] + node _T_281 = and(_T_278, _T_279) @[exu_div_ctl.scala 56:94] + node _T_282 = and(_T_281, _T_280) @[exu_div_ctl.scala 56:94] + node _T_283 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 57:74] + node _T_284 = eq(_T_283, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_285 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 57:57] + node _T_286 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 57:74] + node _T_287 = eq(_T_286, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_288 = and(_T_284, _T_285) @[exu_div_ctl.scala 57:94] + node _T_289 = and(_T_288, _T_287) @[exu_div_ctl.scala 57:94] + node _T_290 = and(_T_282, _T_289) @[exu_div_ctl.scala 58:10] + node _T_291 = or(_T_276, _T_290) @[exu_div_ctl.scala 72:128] + node _T_292 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 56:74] + node _T_293 = eq(_T_292, UInt<1>("h00")) @[exu_div_ctl.scala 56:69] + node _T_294 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 56:57] + node _T_295 = bits(q_ff, 0, 0) @[exu_div_ctl.scala 56:57] + node _T_296 = and(_T_293, _T_294) @[exu_div_ctl.scala 56:94] + node _T_297 = and(_T_296, _T_295) @[exu_div_ctl.scala 56:94] + node _T_298 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 57:74] + node _T_299 = eq(_T_298, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_300 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 57:74] + node _T_301 = eq(_T_300, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_302 = and(_T_299, _T_301) @[exu_div_ctl.scala 57:94] + node _T_303 = and(_T_297, _T_302) @[exu_div_ctl.scala 58:10] + node _T_304 = or(_T_291, _T_303) @[exu_div_ctl.scala 73:46] + node _T_305 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 56:57] + node _T_306 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 56:74] + node _T_307 = eq(_T_306, UInt<1>("h00")) @[exu_div_ctl.scala 56:69] + node _T_308 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 56:74] + node _T_309 = eq(_T_308, UInt<1>("h00")) @[exu_div_ctl.scala 56:69] + node _T_310 = and(_T_305, _T_307) @[exu_div_ctl.scala 56:94] + node _T_311 = and(_T_310, _T_309) @[exu_div_ctl.scala 56:94] + node _T_312 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 57:74] + node _T_313 = eq(_T_312, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_314 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 57:57] + node _T_315 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 57:57] + node _T_316 = and(_T_313, _T_314) @[exu_div_ctl.scala 57:94] + node _T_317 = and(_T_316, _T_315) @[exu_div_ctl.scala 57:94] + node _T_318 = and(_T_311, _T_317) @[exu_div_ctl.scala 58:10] + node _T_319 = or(_T_304, _T_318) @[exu_div_ctl.scala 73:86] + node _T_320 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 56:74] + node _T_321 = eq(_T_320, UInt<1>("h00")) @[exu_div_ctl.scala 56:69] + node _T_322 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 56:57] + node _T_323 = bits(q_ff, 0, 0) @[exu_div_ctl.scala 56:57] + node _T_324 = and(_T_321, _T_322) @[exu_div_ctl.scala 56:94] + node _T_325 = and(_T_324, _T_323) @[exu_div_ctl.scala 56:94] + node _T_326 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 57:74] + node _T_327 = eq(_T_326, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_328 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 57:74] + node _T_329 = eq(_T_328, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_330 = and(_T_327, _T_329) @[exu_div_ctl.scala 57:94] + node _T_331 = and(_T_325, _T_330) @[exu_div_ctl.scala 58:10] + node _T_332 = or(_T_319, _T_331) @[exu_div_ctl.scala 73:128] + node _T_333 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 56:57] + node _T_334 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 56:57] + node _T_335 = and(_T_333, _T_334) @[exu_div_ctl.scala 56:94] + node _T_336 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 57:74] + node _T_337 = eq(_T_336, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_338 = and(_T_335, _T_337) @[exu_div_ctl.scala 58:10] + node _T_339 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 74:80] + node _T_340 = eq(_T_339, UInt<1>("h00")) @[exu_div_ctl.scala 74:75] + node _T_341 = and(_T_338, _T_340) @[exu_div_ctl.scala 74:73] + node _T_342 = or(_T_332, _T_341) @[exu_div_ctl.scala 74:46] + node _T_343 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 56:74] + node _T_344 = eq(_T_343, UInt<1>("h00")) @[exu_div_ctl.scala 56:69] + node _T_345 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 56:57] + node _T_346 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 56:57] + node _T_347 = bits(q_ff, 0, 0) @[exu_div_ctl.scala 56:57] + node _T_348 = and(_T_344, _T_345) @[exu_div_ctl.scala 56:94] + node _T_349 = and(_T_348, _T_346) @[exu_div_ctl.scala 56:94] + node _T_350 = and(_T_349, _T_347) @[exu_div_ctl.scala 56:94] + node _T_351 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 57:74] + node _T_352 = eq(_T_351, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_353 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 57:57] + node _T_354 = and(_T_352, _T_353) @[exu_div_ctl.scala 57:94] + node _T_355 = and(_T_350, _T_354) @[exu_div_ctl.scala 58:10] + node _T_356 = or(_T_342, _T_355) @[exu_div_ctl.scala 74:86] + node _T_357 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 56:57] + node _T_358 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 56:57] + node _T_359 = and(_T_357, _T_358) @[exu_div_ctl.scala 56:94] + node _T_360 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 57:57] + node _T_361 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 57:74] + node _T_362 = eq(_T_361, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_363 = and(_T_360, _T_362) @[exu_div_ctl.scala 57:94] + node _T_364 = and(_T_359, _T_363) @[exu_div_ctl.scala 58:10] + node _T_365 = or(_T_356, _T_364) @[exu_div_ctl.scala 74:128] + node _T_366 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 56:57] + node _T_367 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 56:57] + node _T_368 = and(_T_366, _T_367) @[exu_div_ctl.scala 56:94] + node _T_369 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 57:57] + node _T_370 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 57:74] + node _T_371 = eq(_T_370, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_372 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 57:74] + node _T_373 = eq(_T_372, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_374 = and(_T_369, _T_371) @[exu_div_ctl.scala 57:94] + node _T_375 = and(_T_374, _T_373) @[exu_div_ctl.scala 57:94] + node _T_376 = and(_T_368, _T_375) @[exu_div_ctl.scala 58:10] + node _T_377 = or(_T_365, _T_376) @[exu_div_ctl.scala 75:46] + node _T_378 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 56:57] + node _T_379 = bits(q_ff, 0, 0) @[exu_div_ctl.scala 56:57] + node _T_380 = and(_T_378, _T_379) @[exu_div_ctl.scala 56:94] + node _T_381 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 57:74] + node _T_382 = eq(_T_381, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_383 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 57:74] + node _T_384 = eq(_T_383, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_385 = and(_T_382, _T_384) @[exu_div_ctl.scala 57:94] + node _T_386 = and(_T_380, _T_385) @[exu_div_ctl.scala 58:10] + node _T_387 = or(_T_377, _T_386) @[exu_div_ctl.scala 75:86] + node _T_388 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 56:57] + node _T_389 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 56:74] + node _T_390 = eq(_T_389, UInt<1>("h00")) @[exu_div_ctl.scala 56:69] + node _T_391 = and(_T_388, _T_390) @[exu_div_ctl.scala 56:94] + node _T_392 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 57:74] + node _T_393 = eq(_T_392, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_394 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 57:57] + node _T_395 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 57:57] + node _T_396 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 57:57] + node _T_397 = and(_T_393, _T_394) @[exu_div_ctl.scala 57:94] + node _T_398 = and(_T_397, _T_395) @[exu_div_ctl.scala 57:94] + node _T_399 = and(_T_398, _T_396) @[exu_div_ctl.scala 57:94] + node _T_400 = and(_T_391, _T_399) @[exu_div_ctl.scala 58:10] + node _T_401 = or(_T_387, _T_400) @[exu_div_ctl.scala 75:128] + node _T_402 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 56:57] + node _T_403 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 56:57] + node _T_404 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 56:57] + node _T_405 = and(_T_402, _T_403) @[exu_div_ctl.scala 56:94] + node _T_406 = and(_T_405, _T_404) @[exu_div_ctl.scala 56:94] + node _T_407 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 57:57] + node _T_408 = and(_T_406, _T_407) @[exu_div_ctl.scala 58:10] + node _T_409 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 76:82] + node _T_410 = eq(_T_409, UInt<1>("h00")) @[exu_div_ctl.scala 76:77] + node _T_411 = and(_T_408, _T_410) @[exu_div_ctl.scala 76:75] + node _T_412 = or(_T_401, _T_411) @[exu_div_ctl.scala 76:46] + node _T_413 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 56:57] + node _T_414 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 56:57] + node _T_415 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 56:57] + node _T_416 = and(_T_413, _T_414) @[exu_div_ctl.scala 56:94] + node _T_417 = and(_T_416, _T_415) @[exu_div_ctl.scala 56:94] + node _T_418 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 57:57] + node _T_419 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 57:74] + node _T_420 = eq(_T_419, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_421 = and(_T_418, _T_420) @[exu_div_ctl.scala 57:94] + node _T_422 = and(_T_417, _T_421) @[exu_div_ctl.scala 58:10] + node _T_423 = or(_T_412, _T_422) @[exu_div_ctl.scala 76:86] + node _T_424 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 56:57] + node _T_425 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 56:57] + node _T_426 = bits(q_ff, 0, 0) @[exu_div_ctl.scala 56:57] + node _T_427 = and(_T_424, _T_425) @[exu_div_ctl.scala 56:94] + node _T_428 = and(_T_427, _T_426) @[exu_div_ctl.scala 56:94] + node _T_429 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 57:57] + node _T_430 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 57:74] + node _T_431 = eq(_T_430, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_432 = and(_T_429, _T_431) @[exu_div_ctl.scala 57:94] + node _T_433 = and(_T_428, _T_432) @[exu_div_ctl.scala 58:10] + node _T_434 = or(_T_423, _T_433) @[exu_div_ctl.scala 76:128] + node _T_435 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 56:57] + node _T_436 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 56:74] + node _T_437 = eq(_T_436, UInt<1>("h00")) @[exu_div_ctl.scala 56:69] + node _T_438 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 56:57] + node _T_439 = and(_T_435, _T_437) @[exu_div_ctl.scala 56:94] + node _T_440 = and(_T_439, _T_438) @[exu_div_ctl.scala 56:94] + node _T_441 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 57:74] + node _T_442 = eq(_T_441, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_443 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 57:57] + node _T_444 = and(_T_442, _T_443) @[exu_div_ctl.scala 57:94] + node _T_445 = and(_T_440, _T_444) @[exu_div_ctl.scala 58:10] + node _T_446 = or(_T_434, _T_445) @[exu_div_ctl.scala 77:46] + node _T_447 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 56:57] + node _T_448 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 56:57] + node _T_449 = bits(q_ff, 0, 0) @[exu_div_ctl.scala 56:57] + node _T_450 = and(_T_447, _T_448) @[exu_div_ctl.scala 56:94] + node _T_451 = and(_T_450, _T_449) @[exu_div_ctl.scala 56:94] + node _T_452 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 57:74] + node _T_453 = eq(_T_452, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_454 = and(_T_451, _T_453) @[exu_div_ctl.scala 58:10] + node _T_455 = or(_T_446, _T_454) @[exu_div_ctl.scala 77:86] + node _T_456 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 56:57] + node _T_457 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 56:57] + node _T_458 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 56:57] + node _T_459 = bits(q_ff, 0, 0) @[exu_div_ctl.scala 56:57] + node _T_460 = and(_T_456, _T_457) @[exu_div_ctl.scala 56:94] + node _T_461 = and(_T_460, _T_458) @[exu_div_ctl.scala 56:94] + node _T_462 = and(_T_461, _T_459) @[exu_div_ctl.scala 56:94] + node _T_463 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 57:57] + node _T_464 = and(_T_462, _T_463) @[exu_div_ctl.scala 58:10] + node _T_465 = or(_T_455, _T_464) @[exu_div_ctl.scala 77:128] + node _T_466 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 56:57] + node _T_467 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 56:57] + node _T_468 = and(_T_466, _T_467) @[exu_div_ctl.scala 56:94] + node _T_469 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 57:74] + node _T_470 = eq(_T_469, UInt<1>("h00")) @[exu_div_ctl.scala 57:69] + node _T_471 = and(_T_468, _T_470) @[exu_div_ctl.scala 58:10] + node _T_472 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 78:79] + node _T_473 = eq(_T_472, UInt<1>("h00")) @[exu_div_ctl.scala 78:74] + node _T_474 = and(_T_471, _T_473) @[exu_div_ctl.scala 78:72] + node _T_475 = or(_T_465, _T_474) @[exu_div_ctl.scala 78:46] + node _T_476 = cat(_T_164, _T_475) @[Cat.scala 29:58] + node _T_477 = cat(_T_30, _T_61) @[Cat.scala 29:58] + node smallnum = cat(_T_477, _T_476) @[Cat.scala 29:58] + wire shortq_enable_ff : UInt<1> + shortq_enable_ff <= UInt<1>("h00") + wire short_dividend : UInt<33> + short_dividend <= UInt<33>("h00") + wire shortq_shift_xx : UInt<4> + shortq_shift_xx <= UInt<4>("h00") + node _T_478 = bits(q_ff, 31, 31) @[exu_div_ctl.scala 87:40] + node _T_479 = and(sign_ff, _T_478) @[exu_div_ctl.scala 87:34] + node _T_480 = bits(q_ff, 31, 0) @[exu_div_ctl.scala 87:49] + node _T_481 = cat(_T_479, _T_480) @[Cat.scala 29:58] + short_dividend <= _T_481 @[exu_div_ctl.scala 87:18] + node _T_482 = bits(short_dividend, 32, 32) @[exu_div_ctl.scala 92:22] + node _T_483 = bits(_T_482, 0, 0) @[exu_div_ctl.scala 92:27] + node _T_484 = eq(_T_483, UInt<1>("h00")) @[exu_div_ctl.scala 92:7] + node _T_485 = bits(short_dividend, 31, 24) @[exu_div_ctl.scala 92:52] + node _T_486 = mux(UInt<1>("h00"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_487 = neq(_T_485, _T_486) @[exu_div_ctl.scala 92:60] + node _T_488 = bits(short_dividend, 32, 32) @[exu_div_ctl.scala 93:21] + node _T_489 = bits(_T_488, 0, 0) @[exu_div_ctl.scala 93:26] + node _T_490 = bits(short_dividend, 31, 23) @[exu_div_ctl.scala 93:51] + node _T_491 = mux(UInt<1>("h01"), UInt<9>("h01ff"), UInt<9>("h00")) @[Bitwise.scala 72:12] + node _T_492 = neq(_T_490, _T_491) @[exu_div_ctl.scala 93:59] + node _T_493 = mux(_T_484, _T_487, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_494 = mux(_T_489, _T_492, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_495 = or(_T_493, _T_494) @[Mux.scala 27:72] + wire _T_496 : UInt<1> @[Mux.scala 27:72] + _T_496 <= _T_495 @[Mux.scala 27:72] + node _T_497 = bits(short_dividend, 32, 32) @[exu_div_ctl.scala 96:22] + node _T_498 = bits(_T_497, 0, 0) @[exu_div_ctl.scala 96:27] + node _T_499 = eq(_T_498, UInt<1>("h00")) @[exu_div_ctl.scala 96:7] + node _T_500 = bits(short_dividend, 23, 16) @[exu_div_ctl.scala 96:52] + node _T_501 = mux(UInt<1>("h00"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_502 = neq(_T_500, _T_501) @[exu_div_ctl.scala 96:60] + node _T_503 = bits(short_dividend, 32, 32) @[exu_div_ctl.scala 97:21] + node _T_504 = bits(_T_503, 0, 0) @[exu_div_ctl.scala 97:26] + node _T_505 = bits(short_dividend, 22, 15) @[exu_div_ctl.scala 97:51] + node _T_506 = mux(UInt<1>("h01"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_507 = neq(_T_505, _T_506) @[exu_div_ctl.scala 97:59] + node _T_508 = mux(_T_499, _T_502, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_509 = mux(_T_504, _T_507, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_510 = or(_T_508, _T_509) @[Mux.scala 27:72] + wire _T_511 : UInt<1> @[Mux.scala 27:72] + _T_511 <= _T_510 @[Mux.scala 27:72] + node _T_512 = bits(short_dividend, 32, 32) @[exu_div_ctl.scala 100:22] + node _T_513 = bits(_T_512, 0, 0) @[exu_div_ctl.scala 100:27] + node _T_514 = eq(_T_513, UInt<1>("h00")) @[exu_div_ctl.scala 100:7] + node _T_515 = bits(short_dividend, 15, 8) @[exu_div_ctl.scala 100:52] + node _T_516 = mux(UInt<1>("h00"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_517 = neq(_T_515, _T_516) @[exu_div_ctl.scala 100:59] + node _T_518 = bits(short_dividend, 32, 32) @[exu_div_ctl.scala 101:21] + node _T_519 = bits(_T_518, 0, 0) @[exu_div_ctl.scala 101:26] + node _T_520 = bits(short_dividend, 14, 7) @[exu_div_ctl.scala 101:51] + node _T_521 = mux(UInt<1>("h01"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_522 = neq(_T_520, _T_521) @[exu_div_ctl.scala 101:58] + node _T_523 = mux(_T_514, _T_517, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_524 = mux(_T_519, _T_522, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_525 = or(_T_523, _T_524) @[Mux.scala 27:72] + wire _T_526 : UInt<1> @[Mux.scala 27:72] + _T_526 <= _T_525 @[Mux.scala 27:72] + node _T_527 = cat(_T_496, _T_511) @[Cat.scala 29:58] + node a_cls = cat(_T_527, _T_526) @[Cat.scala 29:58] + node _T_528 = bits(m_ff, 32, 32) @[exu_div_ctl.scala 106:12] + node _T_529 = bits(_T_528, 0, 0) @[exu_div_ctl.scala 106:17] + node _T_530 = eq(_T_529, UInt<1>("h00")) @[exu_div_ctl.scala 106:7] + node _T_531 = bits(m_ff, 31, 24) @[exu_div_ctl.scala 106:32] + node _T_532 = mux(UInt<1>("h00"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_533 = neq(_T_531, _T_532) @[exu_div_ctl.scala 106:40] + node _T_534 = bits(m_ff, 32, 32) @[exu_div_ctl.scala 107:11] + node _T_535 = bits(_T_534, 0, 0) @[exu_div_ctl.scala 107:16] + node _T_536 = bits(m_ff, 31, 24) @[exu_div_ctl.scala 107:31] + node _T_537 = mux(UInt<1>("h01"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_538 = neq(_T_536, _T_537) @[exu_div_ctl.scala 107:39] + node _T_539 = mux(_T_530, _T_533, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_540 = mux(_T_535, _T_538, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_541 = or(_T_539, _T_540) @[Mux.scala 27:72] + wire _T_542 : UInt<1> @[Mux.scala 27:72] + _T_542 <= _T_541 @[Mux.scala 27:72] + node _T_543 = bits(m_ff, 32, 32) @[exu_div_ctl.scala 110:12] + node _T_544 = bits(_T_543, 0, 0) @[exu_div_ctl.scala 110:17] + node _T_545 = eq(_T_544, UInt<1>("h00")) @[exu_div_ctl.scala 110:7] + node _T_546 = bits(m_ff, 23, 16) @[exu_div_ctl.scala 110:32] + node _T_547 = mux(UInt<1>("h00"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_548 = neq(_T_546, _T_547) @[exu_div_ctl.scala 110:40] + node _T_549 = bits(m_ff, 32, 32) @[exu_div_ctl.scala 111:11] + node _T_550 = bits(_T_549, 0, 0) @[exu_div_ctl.scala 111:16] + node _T_551 = bits(m_ff, 23, 16) @[exu_div_ctl.scala 111:31] + node _T_552 = mux(UInt<1>("h01"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_553 = neq(_T_551, _T_552) @[exu_div_ctl.scala 111:39] + node _T_554 = mux(_T_545, _T_548, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_555 = mux(_T_550, _T_553, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_556 = or(_T_554, _T_555) @[Mux.scala 27:72] + wire _T_557 : UInt<1> @[Mux.scala 27:72] + _T_557 <= _T_556 @[Mux.scala 27:72] + node _T_558 = bits(m_ff, 32, 32) @[exu_div_ctl.scala 114:12] + node _T_559 = bits(_T_558, 0, 0) @[exu_div_ctl.scala 114:17] + node _T_560 = eq(_T_559, UInt<1>("h00")) @[exu_div_ctl.scala 114:7] + node _T_561 = bits(m_ff, 15, 8) @[exu_div_ctl.scala 114:32] + node _T_562 = mux(UInt<1>("h00"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_563 = neq(_T_561, _T_562) @[exu_div_ctl.scala 114:39] + node _T_564 = bits(m_ff, 32, 32) @[exu_div_ctl.scala 115:11] + node _T_565 = bits(_T_564, 0, 0) @[exu_div_ctl.scala 115:16] + node _T_566 = bits(m_ff, 15, 8) @[exu_div_ctl.scala 115:31] + node _T_567 = mux(UInt<1>("h01"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_568 = neq(_T_566, _T_567) @[exu_div_ctl.scala 115:38] + node _T_569 = mux(_T_560, _T_563, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_570 = mux(_T_565, _T_568, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_571 = or(_T_569, _T_570) @[Mux.scala 27:72] + wire _T_572 : UInt<1> @[Mux.scala 27:72] + _T_572 <= _T_571 @[Mux.scala 27:72] + node _T_573 = cat(_T_542, _T_557) @[Cat.scala 29:58] + node b_cls = cat(_T_573, _T_572) @[Cat.scala 29:58] + node _T_574 = bits(a_cls, 2, 1) @[exu_div_ctl.scala 119:13] + node _T_575 = eq(_T_574, UInt<1>("h01")) @[exu_div_ctl.scala 119:19] + node _T_576 = bits(b_cls, 2, 2) @[exu_div_ctl.scala 119:42] + node _T_577 = eq(_T_576, UInt<1>("h01")) @[exu_div_ctl.scala 119:48] + node _T_578 = and(_T_575, _T_577) @[exu_div_ctl.scala 119:34] + node _T_579 = bits(a_cls, 2, 0) @[exu_div_ctl.scala 120:15] + node _T_580 = eq(_T_579, UInt<1>("h01")) @[exu_div_ctl.scala 120:21] + node _T_581 = bits(b_cls, 2, 2) @[exu_div_ctl.scala 120:44] + node _T_582 = eq(_T_581, UInt<1>("h01")) @[exu_div_ctl.scala 120:50] + node _T_583 = and(_T_580, _T_582) @[exu_div_ctl.scala 120:36] + node _T_584 = or(_T_578, _T_583) @[exu_div_ctl.scala 119:65] + node _T_585 = bits(a_cls, 2, 0) @[exu_div_ctl.scala 121:15] + node _T_586 = eq(_T_585, UInt<1>("h00")) @[exu_div_ctl.scala 121:21] + node _T_587 = bits(b_cls, 2, 2) @[exu_div_ctl.scala 121:44] + node _T_588 = eq(_T_587, UInt<1>("h01")) @[exu_div_ctl.scala 121:50] + node _T_589 = and(_T_586, _T_588) @[exu_div_ctl.scala 121:36] + node _T_590 = or(_T_584, _T_589) @[exu_div_ctl.scala 120:67] + node _T_591 = bits(a_cls, 2, 0) @[exu_div_ctl.scala 122:15] + node _T_592 = eq(_T_591, UInt<1>("h01")) @[exu_div_ctl.scala 122:21] + node _T_593 = bits(b_cls, 2, 1) @[exu_div_ctl.scala 122:44] + node _T_594 = eq(_T_593, UInt<1>("h01")) @[exu_div_ctl.scala 122:50] + node _T_595 = and(_T_592, _T_594) @[exu_div_ctl.scala 122:36] + node _T_596 = or(_T_590, _T_595) @[exu_div_ctl.scala 121:67] + node _T_597 = bits(a_cls, 2, 0) @[exu_div_ctl.scala 123:15] + node _T_598 = eq(_T_597, UInt<1>("h00")) @[exu_div_ctl.scala 123:21] + node _T_599 = bits(b_cls, 2, 1) @[exu_div_ctl.scala 123:44] + node _T_600 = eq(_T_599, UInt<1>("h01")) @[exu_div_ctl.scala 123:50] + node _T_601 = and(_T_598, _T_600) @[exu_div_ctl.scala 123:36] + node _T_602 = or(_T_596, _T_601) @[exu_div_ctl.scala 122:67] + node _T_603 = bits(a_cls, 2, 0) @[exu_div_ctl.scala 124:15] + node _T_604 = eq(_T_603, UInt<1>("h00")) @[exu_div_ctl.scala 124:21] + node _T_605 = bits(b_cls, 2, 0) @[exu_div_ctl.scala 124:44] + node _T_606 = eq(_T_605, UInt<1>("h01")) @[exu_div_ctl.scala 124:50] + node _T_607 = and(_T_604, _T_606) @[exu_div_ctl.scala 124:36] + node _T_608 = or(_T_602, _T_607) @[exu_div_ctl.scala 123:67] + node _T_609 = bits(a_cls, 2, 2) @[exu_div_ctl.scala 126:13] + node _T_610 = eq(_T_609, UInt<1>("h01")) @[exu_div_ctl.scala 126:19] + node _T_611 = bits(b_cls, 2, 2) @[exu_div_ctl.scala 126:42] + node _T_612 = eq(_T_611, UInt<1>("h01")) @[exu_div_ctl.scala 126:48] + node _T_613 = and(_T_610, _T_612) @[exu_div_ctl.scala 126:34] + node _T_614 = bits(a_cls, 2, 1) @[exu_div_ctl.scala 127:15] + node _T_615 = eq(_T_614, UInt<1>("h01")) @[exu_div_ctl.scala 127:21] + node _T_616 = bits(b_cls, 2, 1) @[exu_div_ctl.scala 127:44] + node _T_617 = eq(_T_616, UInt<1>("h01")) @[exu_div_ctl.scala 127:50] + node _T_618 = and(_T_615, _T_617) @[exu_div_ctl.scala 127:36] + node _T_619 = or(_T_613, _T_618) @[exu_div_ctl.scala 126:65] + node _T_620 = bits(a_cls, 2, 0) @[exu_div_ctl.scala 128:15] + node _T_621 = eq(_T_620, UInt<1>("h01")) @[exu_div_ctl.scala 128:21] + node _T_622 = bits(b_cls, 2, 0) @[exu_div_ctl.scala 128:44] + node _T_623 = eq(_T_622, UInt<1>("h01")) @[exu_div_ctl.scala 128:50] + node _T_624 = and(_T_621, _T_623) @[exu_div_ctl.scala 128:36] + node _T_625 = or(_T_619, _T_624) @[exu_div_ctl.scala 127:67] + node _T_626 = bits(a_cls, 2, 0) @[exu_div_ctl.scala 129:15] + node _T_627 = eq(_T_626, UInt<1>("h00")) @[exu_div_ctl.scala 129:21] + node _T_628 = bits(b_cls, 2, 0) @[exu_div_ctl.scala 129:44] + node _T_629 = eq(_T_628, UInt<1>("h00")) @[exu_div_ctl.scala 129:50] + node _T_630 = and(_T_627, _T_629) @[exu_div_ctl.scala 129:36] + node _T_631 = or(_T_625, _T_630) @[exu_div_ctl.scala 128:67] + node _T_632 = bits(a_cls, 2, 2) @[exu_div_ctl.scala 131:13] + node _T_633 = eq(_T_632, UInt<1>("h01")) @[exu_div_ctl.scala 131:19] + node _T_634 = bits(b_cls, 2, 1) @[exu_div_ctl.scala 131:42] + node _T_635 = eq(_T_634, UInt<1>("h01")) @[exu_div_ctl.scala 131:48] + node _T_636 = and(_T_633, _T_635) @[exu_div_ctl.scala 131:34] + node _T_637 = bits(a_cls, 2, 1) @[exu_div_ctl.scala 132:15] + node _T_638 = eq(_T_637, UInt<1>("h01")) @[exu_div_ctl.scala 132:21] + node _T_639 = bits(b_cls, 2, 0) @[exu_div_ctl.scala 132:44] + node _T_640 = eq(_T_639, UInt<1>("h01")) @[exu_div_ctl.scala 132:50] + node _T_641 = and(_T_638, _T_640) @[exu_div_ctl.scala 132:36] + node _T_642 = or(_T_636, _T_641) @[exu_div_ctl.scala 131:65] + node _T_643 = bits(a_cls, 2, 0) @[exu_div_ctl.scala 133:15] + node _T_644 = eq(_T_643, UInt<1>("h01")) @[exu_div_ctl.scala 133:21] + node _T_645 = bits(b_cls, 2, 0) @[exu_div_ctl.scala 133:44] + node _T_646 = eq(_T_645, UInt<1>("h00")) @[exu_div_ctl.scala 133:50] + node _T_647 = and(_T_644, _T_646) @[exu_div_ctl.scala 133:36] + node _T_648 = or(_T_642, _T_647) @[exu_div_ctl.scala 132:67] + node _T_649 = bits(a_cls, 2, 2) @[exu_div_ctl.scala 135:13] + node _T_650 = eq(_T_649, UInt<1>("h01")) @[exu_div_ctl.scala 135:19] + node _T_651 = bits(b_cls, 2, 0) @[exu_div_ctl.scala 135:42] + node _T_652 = eq(_T_651, UInt<1>("h01")) @[exu_div_ctl.scala 135:48] + node _T_653 = and(_T_650, _T_652) @[exu_div_ctl.scala 135:34] + node _T_654 = bits(a_cls, 2, 1) @[exu_div_ctl.scala 136:15] + node _T_655 = eq(_T_654, UInt<1>("h01")) @[exu_div_ctl.scala 136:21] + node _T_656 = bits(b_cls, 2, 0) @[exu_div_ctl.scala 136:44] + node _T_657 = eq(_T_656, UInt<1>("h00")) @[exu_div_ctl.scala 136:50] + node _T_658 = and(_T_655, _T_657) @[exu_div_ctl.scala 136:36] + node _T_659 = or(_T_653, _T_658) @[exu_div_ctl.scala 135:65] + node _T_660 = cat(_T_648, _T_659) @[Cat.scala 29:58] + node _T_661 = cat(_T_608, _T_631) @[Cat.scala 29:58] + node shortq_raw = cat(_T_661, _T_660) @[Cat.scala 29:58] + node _T_662 = bits(m_ff, 31, 0) @[exu_div_ctl.scala 139:42] + node _T_663 = neq(_T_662, UInt<32>("h00")) @[exu_div_ctl.scala 139:49] + node _T_664 = and(valid_ff_x, _T_663) @[exu_div_ctl.scala 139:35] + node _T_665 = neq(shortq_raw, UInt<4>("h00")) @[exu_div_ctl.scala 139:78] + node shortq_enable = and(_T_664, _T_665) @[exu_div_ctl.scala 139:64] + node _T_666 = bits(shortq_enable, 0, 0) @[Bitwise.scala 72:15] + node _T_667 = mux(_T_666, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node shortq_shift = and(_T_667, shortq_raw) @[exu_div_ctl.scala 140:44] + node _T_668 = bits(shortq_shift_xx, 3, 3) @[exu_div_ctl.scala 143:20] + node _T_669 = bits(_T_668, 0, 0) @[exu_div_ctl.scala 143:24] + node _T_670 = bits(shortq_shift_xx, 2, 2) @[exu_div_ctl.scala 144:20] + node _T_671 = bits(_T_670, 0, 0) @[exu_div_ctl.scala 144:24] + node _T_672 = bits(shortq_shift_xx, 1, 1) @[exu_div_ctl.scala 145:20] + node _T_673 = bits(_T_672, 0, 0) @[exu_div_ctl.scala 145:24] + node _T_674 = bits(shortq_shift_xx, 0, 0) @[exu_div_ctl.scala 146:20] + node _T_675 = bits(_T_674, 0, 0) @[exu_div_ctl.scala 146:24] + node _T_676 = mux(_T_669, UInt<5>("h01f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_677 = mux(_T_671, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_678 = mux(_T_673, UInt<5>("h010"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_679 = mux(_T_675, UInt<4>("h08"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_680 = or(_T_676, _T_677) @[Mux.scala 27:72] + node _T_681 = or(_T_680, _T_678) @[Mux.scala 27:72] + node _T_682 = or(_T_681, _T_679) @[Mux.scala 27:72] + wire shortq_shift_ff : UInt<5> @[Mux.scala 27:72] + shortq_shift_ff <= _T_682 @[Mux.scala 27:72] + node _T_683 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 150:40] + node _T_684 = eq(count, UInt<6>("h020")) @[exu_div_ctl.scala 150:55] + node _T_685 = eq(count, UInt<6>("h021")) @[exu_div_ctl.scala 150:76] + node _T_686 = mux(_T_683, _T_684, _T_685) @[exu_div_ctl.scala 150:39] + node finish = or(smallnum_case, _T_686) @[exu_div_ctl.scala 150:34] + node _T_687 = or(io.dec_div.div_p.valid, run_state) @[exu_div_ctl.scala 151:43] + node _T_688 = or(_T_687, finish) @[exu_div_ctl.scala 151:55] + node div_clken = or(_T_688, finish_ff) @[exu_div_ctl.scala 151:64] + node _T_689 = or(io.dec_div.div_p.valid, run_state) @[exu_div_ctl.scala 152:44] + node _T_690 = eq(finish, UInt<1>("h00")) @[exu_div_ctl.scala 152:59] + node _T_691 = and(_T_689, _T_690) @[exu_div_ctl.scala 152:57] + node _T_692 = eq(io.dec_div.dec_div_cancel, UInt<1>("h00")) @[exu_div_ctl.scala 152:69] + node run_in = and(_T_691, _T_692) @[exu_div_ctl.scala 152:67] + node _T_693 = eq(finish, UInt<1>("h00")) @[exu_div_ctl.scala 153:37] + node _T_694 = and(run_state, _T_693) @[exu_div_ctl.scala 153:35] + node _T_695 = eq(io.dec_div.dec_div_cancel, UInt<1>("h00")) @[exu_div_ctl.scala 153:47] + node _T_696 = and(_T_694, _T_695) @[exu_div_ctl.scala 153:45] + node _T_697 = eq(shortq_enable, UInt<1>("h00")) @[exu_div_ctl.scala 153:76] + node _T_698 = and(_T_696, _T_697) @[exu_div_ctl.scala 153:74] + node _T_699 = bits(_T_698, 0, 0) @[Bitwise.scala 72:15] + node _T_700 = mux(_T_699, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] + node _T_701 = cat(UInt<1>("h00"), shortq_shift_ff) @[Cat.scala 29:58] + node _T_702 = add(count, _T_701) @[exu_div_ctl.scala 153:102] + node _T_703 = tail(_T_702, 1) @[exu_div_ctl.scala 153:102] + node _T_704 = add(_T_703, UInt<6>("h01")) @[exu_div_ctl.scala 153:129] + node _T_705 = tail(_T_704, 1) @[exu_div_ctl.scala 153:129] + node _T_706 = and(_T_700, _T_705) @[exu_div_ctl.scala 153:93] + count_in <= _T_706 @[exu_div_ctl.scala 153:14] + node _T_707 = eq(io.dec_div.dec_div_cancel, UInt<1>("h00")) @[exu_div_ctl.scala 156:36] + node _T_708 = and(finish_ff, _T_707) @[exu_div_ctl.scala 156:34] + io.exu_div_wren <= _T_708 @[exu_div_ctl.scala 156:20] + node _T_709 = eq(io.dec_div.div_p.bits.unsign, UInt<1>("h00")) @[exu_div_ctl.scala 157:20] + node _T_710 = neq(io.divisor, UInt<32>("h00")) @[exu_div_ctl.scala 157:64] + node sign_eff = and(_T_709, _T_710) @[exu_div_ctl.scala 157:50] + node _T_711 = eq(run_state, UInt<1>("h00")) @[exu_div_ctl.scala 161:6] + node _T_712 = bits(_T_711, 0, 0) @[exu_div_ctl.scala 161:18] + node _T_713 = cat(UInt<1>("h00"), io.dividend) @[Cat.scala 29:58] + node _T_714 = or(valid_ff_x, shortq_enable_ff) @[exu_div_ctl.scala 162:30] + node _T_715 = and(run_state, _T_714) @[exu_div_ctl.scala 162:16] + node _T_716 = bits(_T_715, 0, 0) @[exu_div_ctl.scala 162:51] + node _T_717 = bits(dividend_eff, 31, 0) @[exu_div_ctl.scala 162:78] + node _T_718 = bits(a_in, 32, 32) @[exu_div_ctl.scala 162:90] + node _T_719 = eq(_T_718, UInt<1>("h00")) @[exu_div_ctl.scala 162:85] + node _T_720 = cat(_T_717, _T_719) @[Cat.scala 29:58] + node _T_721 = dshl(_T_720, shortq_shift_ff) @[exu_div_ctl.scala 162:96] + node _T_722 = or(valid_ff_x, shortq_enable_ff) @[exu_div_ctl.scala 163:31] + node _T_723 = eq(_T_722, UInt<1>("h00")) @[exu_div_ctl.scala 163:18] + node _T_724 = and(run_state, _T_723) @[exu_div_ctl.scala 163:16] + node _T_725 = bits(_T_724, 0, 0) @[exu_div_ctl.scala 163:52] + node _T_726 = bits(q_ff, 31, 0) @[exu_div_ctl.scala 163:70] + node _T_727 = bits(a_in, 32, 32) @[exu_div_ctl.scala 163:82] + node _T_728 = eq(_T_727, UInt<1>("h00")) @[exu_div_ctl.scala 163:77] + node _T_729 = cat(_T_726, _T_728) @[Cat.scala 29:58] + node _T_730 = mux(_T_712, _T_713, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_731 = mux(_T_716, _T_721, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_732 = mux(_T_725, _T_729, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_733 = or(_T_730, _T_731) @[Mux.scala 27:72] + node _T_734 = or(_T_733, _T_732) @[Mux.scala 27:72] + wire _T_735 : UInt<64> @[Mux.scala 27:72] + _T_735 <= _T_734 @[Mux.scala 27:72] + q_in <= _T_735 @[exu_div_ctl.scala 160:8] + node _T_736 = eq(shortq_enable, UInt<1>("h00")) @[exu_div_ctl.scala 165:61] + node _T_737 = and(run_state, _T_736) @[exu_div_ctl.scala 165:59] + node qff_enable = or(io.dec_div.div_p.valid, _T_737) @[exu_div_ctl.scala 165:46] + node _T_738 = and(sign_ff, dividend_neg_ff) @[exu_div_ctl.scala 166:32] + node _T_739 = bits(_T_738, 0, 0) @[exu_div_ctl.scala 166:51] + node _T_740 = bits(q_ff, 31, 0) @[exu_div_ctl.scala 166:74] + wire _T_741 : UInt<1>[31] @[lib.scala 401:20] + node _T_742 = bits(_T_740, 0, 0) @[lib.scala 403:27] + node _T_743 = orr(_T_742) @[lib.scala 403:35] + node _T_744 = bits(_T_740, 1, 1) @[lib.scala 403:44] + node _T_745 = not(_T_744) @[lib.scala 403:40] + node _T_746 = bits(_T_740, 1, 1) @[lib.scala 403:51] + node _T_747 = mux(_T_743, _T_745, _T_746) @[lib.scala 403:23] + _T_741[0] <= _T_747 @[lib.scala 403:17] + node _T_748 = bits(_T_740, 1, 0) @[lib.scala 403:27] + node _T_749 = orr(_T_748) @[lib.scala 403:35] + node _T_750 = bits(_T_740, 2, 2) @[lib.scala 403:44] + node _T_751 = not(_T_750) @[lib.scala 403:40] + node _T_752 = bits(_T_740, 2, 2) @[lib.scala 403:51] + node _T_753 = mux(_T_749, _T_751, _T_752) @[lib.scala 403:23] + _T_741[1] <= _T_753 @[lib.scala 403:17] + node _T_754 = bits(_T_740, 2, 0) @[lib.scala 403:27] + node _T_755 = orr(_T_754) @[lib.scala 403:35] + node _T_756 = bits(_T_740, 3, 3) @[lib.scala 403:44] + node _T_757 = not(_T_756) @[lib.scala 403:40] + node _T_758 = bits(_T_740, 3, 3) @[lib.scala 403:51] + node _T_759 = mux(_T_755, _T_757, _T_758) @[lib.scala 403:23] + _T_741[2] <= _T_759 @[lib.scala 403:17] + node _T_760 = bits(_T_740, 3, 0) @[lib.scala 403:27] + node _T_761 = orr(_T_760) @[lib.scala 403:35] + node _T_762 = bits(_T_740, 4, 4) @[lib.scala 403:44] + node _T_763 = not(_T_762) @[lib.scala 403:40] + node _T_764 = bits(_T_740, 4, 4) @[lib.scala 403:51] + node _T_765 = mux(_T_761, _T_763, _T_764) @[lib.scala 403:23] + _T_741[3] <= _T_765 @[lib.scala 403:17] + node _T_766 = bits(_T_740, 4, 0) @[lib.scala 403:27] + node _T_767 = orr(_T_766) @[lib.scala 403:35] + node _T_768 = bits(_T_740, 5, 5) @[lib.scala 403:44] + node _T_769 = not(_T_768) @[lib.scala 403:40] + node _T_770 = bits(_T_740, 5, 5) @[lib.scala 403:51] + node _T_771 = mux(_T_767, _T_769, _T_770) @[lib.scala 403:23] + _T_741[4] <= _T_771 @[lib.scala 403:17] + node _T_772 = bits(_T_740, 5, 0) @[lib.scala 403:27] + node _T_773 = orr(_T_772) @[lib.scala 403:35] + node _T_774 = bits(_T_740, 6, 6) @[lib.scala 403:44] + node _T_775 = not(_T_774) @[lib.scala 403:40] + node _T_776 = bits(_T_740, 6, 6) @[lib.scala 403:51] + node _T_777 = mux(_T_773, _T_775, _T_776) @[lib.scala 403:23] + _T_741[5] <= _T_777 @[lib.scala 403:17] + node _T_778 = bits(_T_740, 6, 0) @[lib.scala 403:27] + node _T_779 = orr(_T_778) @[lib.scala 403:35] + node _T_780 = bits(_T_740, 7, 7) @[lib.scala 403:44] + node _T_781 = not(_T_780) @[lib.scala 403:40] + node _T_782 = bits(_T_740, 7, 7) @[lib.scala 403:51] + node _T_783 = mux(_T_779, _T_781, _T_782) @[lib.scala 403:23] + _T_741[6] <= _T_783 @[lib.scala 403:17] + node _T_784 = bits(_T_740, 7, 0) @[lib.scala 403:27] + node _T_785 = orr(_T_784) @[lib.scala 403:35] + node _T_786 = bits(_T_740, 8, 8) @[lib.scala 403:44] + node _T_787 = not(_T_786) @[lib.scala 403:40] + node _T_788 = bits(_T_740, 8, 8) @[lib.scala 403:51] + node _T_789 = mux(_T_785, _T_787, _T_788) @[lib.scala 403:23] + _T_741[7] <= _T_789 @[lib.scala 403:17] + node _T_790 = bits(_T_740, 8, 0) @[lib.scala 403:27] + node _T_791 = orr(_T_790) @[lib.scala 403:35] + node _T_792 = bits(_T_740, 9, 9) @[lib.scala 403:44] + node _T_793 = not(_T_792) @[lib.scala 403:40] + node _T_794 = bits(_T_740, 9, 9) @[lib.scala 403:51] + node _T_795 = mux(_T_791, _T_793, _T_794) @[lib.scala 403:23] + _T_741[8] <= _T_795 @[lib.scala 403:17] + node _T_796 = bits(_T_740, 9, 0) @[lib.scala 403:27] + node _T_797 = orr(_T_796) @[lib.scala 403:35] + node _T_798 = bits(_T_740, 10, 10) @[lib.scala 403:44] + node _T_799 = not(_T_798) @[lib.scala 403:40] + node _T_800 = bits(_T_740, 10, 10) @[lib.scala 403:51] + node _T_801 = mux(_T_797, _T_799, _T_800) @[lib.scala 403:23] + _T_741[9] <= _T_801 @[lib.scala 403:17] + node _T_802 = bits(_T_740, 10, 0) @[lib.scala 403:27] + node _T_803 = orr(_T_802) @[lib.scala 403:35] + node _T_804 = bits(_T_740, 11, 11) @[lib.scala 403:44] + node _T_805 = not(_T_804) @[lib.scala 403:40] + node _T_806 = bits(_T_740, 11, 11) @[lib.scala 403:51] + node _T_807 = mux(_T_803, _T_805, _T_806) @[lib.scala 403:23] + _T_741[10] <= _T_807 @[lib.scala 403:17] + node _T_808 = bits(_T_740, 11, 0) @[lib.scala 403:27] + node _T_809 = orr(_T_808) @[lib.scala 403:35] + node _T_810 = bits(_T_740, 12, 12) @[lib.scala 403:44] + node _T_811 = not(_T_810) @[lib.scala 403:40] + node _T_812 = bits(_T_740, 12, 12) @[lib.scala 403:51] + node _T_813 = mux(_T_809, _T_811, _T_812) @[lib.scala 403:23] + _T_741[11] <= _T_813 @[lib.scala 403:17] + node _T_814 = bits(_T_740, 12, 0) @[lib.scala 403:27] + node _T_815 = orr(_T_814) @[lib.scala 403:35] + node _T_816 = bits(_T_740, 13, 13) @[lib.scala 403:44] + node _T_817 = not(_T_816) @[lib.scala 403:40] + node _T_818 = bits(_T_740, 13, 13) @[lib.scala 403:51] + node _T_819 = mux(_T_815, _T_817, _T_818) @[lib.scala 403:23] + _T_741[12] <= _T_819 @[lib.scala 403:17] + node _T_820 = bits(_T_740, 13, 0) @[lib.scala 403:27] + node _T_821 = orr(_T_820) @[lib.scala 403:35] + node _T_822 = bits(_T_740, 14, 14) @[lib.scala 403:44] + node _T_823 = not(_T_822) @[lib.scala 403:40] + node _T_824 = bits(_T_740, 14, 14) @[lib.scala 403:51] + node _T_825 = mux(_T_821, _T_823, _T_824) @[lib.scala 403:23] + _T_741[13] <= _T_825 @[lib.scala 403:17] + node _T_826 = bits(_T_740, 14, 0) @[lib.scala 403:27] + node _T_827 = orr(_T_826) @[lib.scala 403:35] + node _T_828 = bits(_T_740, 15, 15) @[lib.scala 403:44] + node _T_829 = not(_T_828) @[lib.scala 403:40] + node _T_830 = bits(_T_740, 15, 15) @[lib.scala 403:51] + node _T_831 = mux(_T_827, _T_829, _T_830) @[lib.scala 403:23] + _T_741[14] <= _T_831 @[lib.scala 403:17] + node _T_832 = bits(_T_740, 15, 0) @[lib.scala 403:27] + node _T_833 = orr(_T_832) @[lib.scala 403:35] + node _T_834 = bits(_T_740, 16, 16) @[lib.scala 403:44] + node _T_835 = not(_T_834) @[lib.scala 403:40] + node _T_836 = bits(_T_740, 16, 16) @[lib.scala 403:51] + node _T_837 = mux(_T_833, _T_835, _T_836) @[lib.scala 403:23] + _T_741[15] <= _T_837 @[lib.scala 403:17] + node _T_838 = bits(_T_740, 16, 0) @[lib.scala 403:27] + node _T_839 = orr(_T_838) @[lib.scala 403:35] + node _T_840 = bits(_T_740, 17, 17) @[lib.scala 403:44] + node _T_841 = not(_T_840) @[lib.scala 403:40] + node _T_842 = bits(_T_740, 17, 17) @[lib.scala 403:51] + node _T_843 = mux(_T_839, _T_841, _T_842) @[lib.scala 403:23] + _T_741[16] <= _T_843 @[lib.scala 403:17] + node _T_844 = bits(_T_740, 17, 0) @[lib.scala 403:27] + node _T_845 = orr(_T_844) @[lib.scala 403:35] + node _T_846 = bits(_T_740, 18, 18) @[lib.scala 403:44] + node _T_847 = not(_T_846) @[lib.scala 403:40] + node _T_848 = bits(_T_740, 18, 18) @[lib.scala 403:51] + node _T_849 = mux(_T_845, _T_847, _T_848) @[lib.scala 403:23] + _T_741[17] <= _T_849 @[lib.scala 403:17] + node _T_850 = bits(_T_740, 18, 0) @[lib.scala 403:27] + node _T_851 = orr(_T_850) @[lib.scala 403:35] + node _T_852 = bits(_T_740, 19, 19) @[lib.scala 403:44] + node _T_853 = not(_T_852) @[lib.scala 403:40] + node _T_854 = bits(_T_740, 19, 19) @[lib.scala 403:51] + node _T_855 = mux(_T_851, _T_853, _T_854) @[lib.scala 403:23] + _T_741[18] <= _T_855 @[lib.scala 403:17] + node _T_856 = bits(_T_740, 19, 0) @[lib.scala 403:27] + node _T_857 = orr(_T_856) @[lib.scala 403:35] + node _T_858 = bits(_T_740, 20, 20) @[lib.scala 403:44] + node _T_859 = not(_T_858) @[lib.scala 403:40] + node _T_860 = bits(_T_740, 20, 20) @[lib.scala 403:51] + node _T_861 = mux(_T_857, _T_859, _T_860) @[lib.scala 403:23] + _T_741[19] <= _T_861 @[lib.scala 403:17] + node _T_862 = bits(_T_740, 20, 0) @[lib.scala 403:27] + node _T_863 = orr(_T_862) @[lib.scala 403:35] + node _T_864 = bits(_T_740, 21, 21) @[lib.scala 403:44] + node _T_865 = not(_T_864) @[lib.scala 403:40] + node _T_866 = bits(_T_740, 21, 21) @[lib.scala 403:51] + node _T_867 = mux(_T_863, _T_865, _T_866) @[lib.scala 403:23] + _T_741[20] <= _T_867 @[lib.scala 403:17] + node _T_868 = bits(_T_740, 21, 0) @[lib.scala 403:27] + node _T_869 = orr(_T_868) @[lib.scala 403:35] + node _T_870 = bits(_T_740, 22, 22) @[lib.scala 403:44] + node _T_871 = not(_T_870) @[lib.scala 403:40] + node _T_872 = bits(_T_740, 22, 22) @[lib.scala 403:51] + node _T_873 = mux(_T_869, _T_871, _T_872) @[lib.scala 403:23] + _T_741[21] <= _T_873 @[lib.scala 403:17] + node _T_874 = bits(_T_740, 22, 0) @[lib.scala 403:27] + node _T_875 = orr(_T_874) @[lib.scala 403:35] + node _T_876 = bits(_T_740, 23, 23) @[lib.scala 403:44] + node _T_877 = not(_T_876) @[lib.scala 403:40] + node _T_878 = bits(_T_740, 23, 23) @[lib.scala 403:51] + node _T_879 = mux(_T_875, _T_877, _T_878) @[lib.scala 403:23] + _T_741[22] <= _T_879 @[lib.scala 403:17] + node _T_880 = bits(_T_740, 23, 0) @[lib.scala 403:27] + node _T_881 = orr(_T_880) @[lib.scala 403:35] + node _T_882 = bits(_T_740, 24, 24) @[lib.scala 403:44] + node _T_883 = not(_T_882) @[lib.scala 403:40] + node _T_884 = bits(_T_740, 24, 24) @[lib.scala 403:51] + node _T_885 = mux(_T_881, _T_883, _T_884) @[lib.scala 403:23] + _T_741[23] <= _T_885 @[lib.scala 403:17] + node _T_886 = bits(_T_740, 24, 0) @[lib.scala 403:27] + node _T_887 = orr(_T_886) @[lib.scala 403:35] + node _T_888 = bits(_T_740, 25, 25) @[lib.scala 403:44] + node _T_889 = not(_T_888) @[lib.scala 403:40] + node _T_890 = bits(_T_740, 25, 25) @[lib.scala 403:51] + node _T_891 = mux(_T_887, _T_889, _T_890) @[lib.scala 403:23] + _T_741[24] <= _T_891 @[lib.scala 403:17] + node _T_892 = bits(_T_740, 25, 0) @[lib.scala 403:27] + node _T_893 = orr(_T_892) @[lib.scala 403:35] + node _T_894 = bits(_T_740, 26, 26) @[lib.scala 403:44] + node _T_895 = not(_T_894) @[lib.scala 403:40] + node _T_896 = bits(_T_740, 26, 26) @[lib.scala 403:51] + node _T_897 = mux(_T_893, _T_895, _T_896) @[lib.scala 403:23] + _T_741[25] <= _T_897 @[lib.scala 403:17] + node _T_898 = bits(_T_740, 26, 0) @[lib.scala 403:27] + node _T_899 = orr(_T_898) @[lib.scala 403:35] + node _T_900 = bits(_T_740, 27, 27) @[lib.scala 403:44] + node _T_901 = not(_T_900) @[lib.scala 403:40] + node _T_902 = bits(_T_740, 27, 27) @[lib.scala 403:51] + node _T_903 = mux(_T_899, _T_901, _T_902) @[lib.scala 403:23] + _T_741[26] <= _T_903 @[lib.scala 403:17] + node _T_904 = bits(_T_740, 27, 0) @[lib.scala 403:27] + node _T_905 = orr(_T_904) @[lib.scala 403:35] + node _T_906 = bits(_T_740, 28, 28) @[lib.scala 403:44] + node _T_907 = not(_T_906) @[lib.scala 403:40] + node _T_908 = bits(_T_740, 28, 28) @[lib.scala 403:51] + node _T_909 = mux(_T_905, _T_907, _T_908) @[lib.scala 403:23] + _T_741[27] <= _T_909 @[lib.scala 403:17] + node _T_910 = bits(_T_740, 28, 0) @[lib.scala 403:27] + node _T_911 = orr(_T_910) @[lib.scala 403:35] + node _T_912 = bits(_T_740, 29, 29) @[lib.scala 403:44] + node _T_913 = not(_T_912) @[lib.scala 403:40] + node _T_914 = bits(_T_740, 29, 29) @[lib.scala 403:51] + node _T_915 = mux(_T_911, _T_913, _T_914) @[lib.scala 403:23] + _T_741[28] <= _T_915 @[lib.scala 403:17] + node _T_916 = bits(_T_740, 29, 0) @[lib.scala 403:27] + node _T_917 = orr(_T_916) @[lib.scala 403:35] + node _T_918 = bits(_T_740, 30, 30) @[lib.scala 403:44] + node _T_919 = not(_T_918) @[lib.scala 403:40] + node _T_920 = bits(_T_740, 30, 30) @[lib.scala 403:51] + node _T_921 = mux(_T_917, _T_919, _T_920) @[lib.scala 403:23] + _T_741[29] <= _T_921 @[lib.scala 403:17] + node _T_922 = bits(_T_740, 30, 0) @[lib.scala 403:27] + node _T_923 = orr(_T_922) @[lib.scala 403:35] + node _T_924 = bits(_T_740, 31, 31) @[lib.scala 403:44] + node _T_925 = not(_T_924) @[lib.scala 403:40] + node _T_926 = bits(_T_740, 31, 31) @[lib.scala 403:51] + node _T_927 = mux(_T_923, _T_925, _T_926) @[lib.scala 403:23] + _T_741[30] <= _T_927 @[lib.scala 403:17] + node _T_928 = cat(_T_741[2], _T_741[1]) @[lib.scala 405:14] + node _T_929 = cat(_T_928, _T_741[0]) @[lib.scala 405:14] + node _T_930 = cat(_T_741[4], _T_741[3]) @[lib.scala 405:14] + node _T_931 = cat(_T_741[6], _T_741[5]) @[lib.scala 405:14] + node _T_932 = cat(_T_931, _T_930) @[lib.scala 405:14] + node _T_933 = cat(_T_932, _T_929) @[lib.scala 405:14] + node _T_934 = cat(_T_741[8], _T_741[7]) @[lib.scala 405:14] + node _T_935 = cat(_T_741[10], _T_741[9]) @[lib.scala 405:14] + node _T_936 = cat(_T_935, _T_934) @[lib.scala 405:14] + node _T_937 = cat(_T_741[12], _T_741[11]) @[lib.scala 405:14] + node _T_938 = cat(_T_741[14], _T_741[13]) @[lib.scala 405:14] + node _T_939 = cat(_T_938, _T_937) @[lib.scala 405:14] + node _T_940 = cat(_T_939, _T_936) @[lib.scala 405:14] + node _T_941 = cat(_T_940, _T_933) @[lib.scala 405:14] + node _T_942 = cat(_T_741[16], _T_741[15]) @[lib.scala 405:14] + node _T_943 = cat(_T_741[18], _T_741[17]) @[lib.scala 405:14] + node _T_944 = cat(_T_943, _T_942) @[lib.scala 405:14] + node _T_945 = cat(_T_741[20], _T_741[19]) @[lib.scala 405:14] + node _T_946 = cat(_T_741[22], _T_741[21]) @[lib.scala 405:14] + node _T_947 = cat(_T_946, _T_945) @[lib.scala 405:14] + node _T_948 = cat(_T_947, _T_944) @[lib.scala 405:14] + node _T_949 = cat(_T_741[24], _T_741[23]) @[lib.scala 405:14] + node _T_950 = cat(_T_741[26], _T_741[25]) @[lib.scala 405:14] + node _T_951 = cat(_T_950, _T_949) @[lib.scala 405:14] + node _T_952 = cat(_T_741[28], _T_741[27]) @[lib.scala 405:14] + node _T_953 = cat(_T_741[30], _T_741[29]) @[lib.scala 405:14] + node _T_954 = cat(_T_953, _T_952) @[lib.scala 405:14] + node _T_955 = cat(_T_954, _T_951) @[lib.scala 405:14] + node _T_956 = cat(_T_955, _T_948) @[lib.scala 405:14] + node _T_957 = cat(_T_956, _T_941) @[lib.scala 405:14] + node _T_958 = bits(_T_740, 0, 0) @[lib.scala 405:24] + node _T_959 = cat(_T_957, _T_958) @[Cat.scala 29:58] + node _T_960 = bits(q_ff, 31, 0) @[exu_div_ctl.scala 166:86] + node _T_961 = mux(_T_739, _T_959, _T_960) @[exu_div_ctl.scala 166:22] + dividend_eff <= _T_961 @[exu_div_ctl.scala 166:16] + node _T_962 = bits(add, 0, 0) @[exu_div_ctl.scala 169:20] + node _T_963 = not(m_ff) @[exu_div_ctl.scala 169:35] + node _T_964 = mux(_T_962, m_ff, _T_963) @[exu_div_ctl.scala 169:15] + m_eff <= _T_964 @[exu_div_ctl.scala 169:9] + node _T_965 = cat(UInt<24>("h00"), dividend_eff) @[Cat.scala 29:58] + node _T_966 = dshl(_T_965, shortq_shift_ff) @[exu_div_ctl.scala 170:47] + a_eff_shift <= _T_966 @[exu_div_ctl.scala 170:15] + node _T_967 = bits(rem_correct, 0, 0) @[exu_div_ctl.scala 172:17] + node _T_968 = eq(rem_correct, UInt<1>("h00")) @[exu_div_ctl.scala 173:6] + node _T_969 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 173:21] + node _T_970 = and(_T_968, _T_969) @[exu_div_ctl.scala 173:19] + node _T_971 = bits(_T_970, 0, 0) @[exu_div_ctl.scala 173:40] + node _T_972 = bits(a_ff, 31, 0) @[exu_div_ctl.scala 173:58] + node _T_973 = bits(q_ff, 32, 32) @[exu_div_ctl.scala 173:70] + node _T_974 = cat(_T_972, _T_973) @[Cat.scala 29:58] + node _T_975 = eq(rem_correct, UInt<1>("h00")) @[exu_div_ctl.scala 174:6] + node _T_976 = and(_T_975, shortq_enable_ff) @[exu_div_ctl.scala 174:19] + node _T_977 = bits(_T_976, 0, 0) @[exu_div_ctl.scala 174:40] + node _T_978 = bits(a_eff_shift, 55, 32) @[exu_div_ctl.scala 174:74] + node _T_979 = cat(UInt<9>("h00"), _T_978) @[Cat.scala 29:58] + node _T_980 = mux(_T_967, a_ff, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_981 = mux(_T_971, _T_974, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_982 = mux(_T_977, _T_979, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_983 = or(_T_980, _T_981) @[Mux.scala 27:72] + node _T_984 = or(_T_983, _T_982) @[Mux.scala 27:72] + wire _T_985 : UInt<33> @[Mux.scala 27:72] + _T_985 <= _T_984 @[Mux.scala 27:72] + a_eff <= _T_985 @[exu_div_ctl.scala 171:9] + node _T_986 = eq(shortq_enable, UInt<1>("h00")) @[exu_div_ctl.scala 176:60] + node _T_987 = and(run_state, _T_986) @[exu_div_ctl.scala 176:58] + node _T_988 = neq(count, UInt<6>("h021")) @[exu_div_ctl.scala 176:84] + node _T_989 = and(_T_987, _T_988) @[exu_div_ctl.scala 176:75] + node _T_990 = or(io.dec_div.div_p.valid, _T_989) @[exu_div_ctl.scala 176:45] + node aff_enable = or(_T_990, rem_correct) @[exu_div_ctl.scala 176:100] + node _T_991 = bits(run_state, 0, 0) @[Bitwise.scala 72:15] + node _T_992 = mux(_T_991, UInt<33>("h01ffffffff"), UInt<33>("h00")) @[Bitwise.scala 72:12] + node _T_993 = and(_T_992, a_eff) @[exu_div_ctl.scala 177:33] + a_shift <= _T_993 @[exu_div_ctl.scala 177:11] + node _T_994 = bits(run_state, 0, 0) @[Bitwise.scala 72:15] + node _T_995 = mux(_T_994, UInt<33>("h01ffffffff"), UInt<33>("h00")) @[Bitwise.scala 72:12] + node _T_996 = add(a_shift, m_eff) @[exu_div_ctl.scala 178:41] + node _T_997 = tail(_T_996, 1) @[exu_div_ctl.scala 178:41] + node _T_998 = eq(add, UInt<1>("h00")) @[exu_div_ctl.scala 178:65] + node _T_999 = cat(UInt<32>("h00"), _T_998) @[Cat.scala 29:58] + node _T_1000 = add(_T_997, _T_999) @[exu_div_ctl.scala 178:49] + node _T_1001 = tail(_T_1000, 1) @[exu_div_ctl.scala 178:49] + node _T_1002 = and(_T_995, _T_1001) @[exu_div_ctl.scala 178:30] + a_in <= _T_1002 @[exu_div_ctl.scala 178:8] + node m_already_comp = and(divisor_neg_ff, sign_ff) @[exu_div_ctl.scala 179:48] + node _T_1003 = bits(a_ff, 32, 32) @[exu_div_ctl.scala 181:16] + node _T_1004 = or(_T_1003, rem_correct) @[exu_div_ctl.scala 181:21] + node _T_1005 = xor(_T_1004, m_already_comp) @[exu_div_ctl.scala 181:36] + add <= _T_1005 @[exu_div_ctl.scala 181:8] + node _T_1006 = eq(count, UInt<6>("h021")) @[exu_div_ctl.scala 182:26] + node _T_1007 = and(_T_1006, rem_ff) @[exu_div_ctl.scala 182:41] + node _T_1008 = bits(a_ff, 32, 32) @[exu_div_ctl.scala 182:56] + node _T_1009 = and(_T_1007, _T_1008) @[exu_div_ctl.scala 182:50] + rem_correct <= _T_1009 @[exu_div_ctl.scala 182:16] + node _T_1010 = xor(dividend_neg_ff, divisor_neg_ff) @[exu_div_ctl.scala 183:50] + node _T_1011 = and(sign_ff, _T_1010) @[exu_div_ctl.scala 183:31] + node _T_1012 = bits(_T_1011, 0, 0) @[exu_div_ctl.scala 183:69] + node _T_1013 = bits(q_ff, 31, 0) @[exu_div_ctl.scala 183:91] + wire _T_1014 : UInt<1>[31] @[lib.scala 401:20] + node _T_1015 = bits(_T_1013, 0, 0) @[lib.scala 403:27] + node _T_1016 = orr(_T_1015) @[lib.scala 403:35] + node _T_1017 = bits(_T_1013, 1, 1) @[lib.scala 403:44] + node _T_1018 = not(_T_1017) @[lib.scala 403:40] + node _T_1019 = bits(_T_1013, 1, 1) @[lib.scala 403:51] + node _T_1020 = mux(_T_1016, _T_1018, _T_1019) @[lib.scala 403:23] + _T_1014[0] <= _T_1020 @[lib.scala 403:17] + node _T_1021 = bits(_T_1013, 1, 0) @[lib.scala 403:27] + node _T_1022 = orr(_T_1021) @[lib.scala 403:35] + node _T_1023 = bits(_T_1013, 2, 2) @[lib.scala 403:44] + node _T_1024 = not(_T_1023) @[lib.scala 403:40] + node _T_1025 = bits(_T_1013, 2, 2) @[lib.scala 403:51] + node _T_1026 = mux(_T_1022, _T_1024, _T_1025) @[lib.scala 403:23] + _T_1014[1] <= _T_1026 @[lib.scala 403:17] + node _T_1027 = bits(_T_1013, 2, 0) @[lib.scala 403:27] + node _T_1028 = orr(_T_1027) @[lib.scala 403:35] + node _T_1029 = bits(_T_1013, 3, 3) @[lib.scala 403:44] + node _T_1030 = not(_T_1029) @[lib.scala 403:40] + node _T_1031 = bits(_T_1013, 3, 3) @[lib.scala 403:51] + node _T_1032 = mux(_T_1028, _T_1030, _T_1031) @[lib.scala 403:23] + _T_1014[2] <= _T_1032 @[lib.scala 403:17] + node _T_1033 = bits(_T_1013, 3, 0) @[lib.scala 403:27] + node _T_1034 = orr(_T_1033) @[lib.scala 403:35] + node _T_1035 = bits(_T_1013, 4, 4) @[lib.scala 403:44] + node _T_1036 = not(_T_1035) @[lib.scala 403:40] + node _T_1037 = bits(_T_1013, 4, 4) @[lib.scala 403:51] + node _T_1038 = mux(_T_1034, _T_1036, _T_1037) @[lib.scala 403:23] + _T_1014[3] <= _T_1038 @[lib.scala 403:17] + node _T_1039 = bits(_T_1013, 4, 0) @[lib.scala 403:27] + node _T_1040 = orr(_T_1039) @[lib.scala 403:35] + node _T_1041 = bits(_T_1013, 5, 5) @[lib.scala 403:44] + node _T_1042 = not(_T_1041) @[lib.scala 403:40] + node _T_1043 = bits(_T_1013, 5, 5) @[lib.scala 403:51] + node _T_1044 = mux(_T_1040, _T_1042, _T_1043) @[lib.scala 403:23] + _T_1014[4] <= _T_1044 @[lib.scala 403:17] + node _T_1045 = bits(_T_1013, 5, 0) @[lib.scala 403:27] + node _T_1046 = orr(_T_1045) @[lib.scala 403:35] + node _T_1047 = bits(_T_1013, 6, 6) @[lib.scala 403:44] + node _T_1048 = not(_T_1047) @[lib.scala 403:40] + node _T_1049 = bits(_T_1013, 6, 6) @[lib.scala 403:51] + node _T_1050 = mux(_T_1046, _T_1048, _T_1049) @[lib.scala 403:23] + _T_1014[5] <= _T_1050 @[lib.scala 403:17] + node _T_1051 = bits(_T_1013, 6, 0) @[lib.scala 403:27] + node _T_1052 = orr(_T_1051) @[lib.scala 403:35] + node _T_1053 = bits(_T_1013, 7, 7) @[lib.scala 403:44] + node _T_1054 = not(_T_1053) @[lib.scala 403:40] + node _T_1055 = bits(_T_1013, 7, 7) @[lib.scala 403:51] + node _T_1056 = mux(_T_1052, _T_1054, _T_1055) @[lib.scala 403:23] + _T_1014[6] <= _T_1056 @[lib.scala 403:17] + node _T_1057 = bits(_T_1013, 7, 0) @[lib.scala 403:27] + node _T_1058 = orr(_T_1057) @[lib.scala 403:35] + node _T_1059 = bits(_T_1013, 8, 8) @[lib.scala 403:44] + node _T_1060 = not(_T_1059) @[lib.scala 403:40] + node _T_1061 = bits(_T_1013, 8, 8) @[lib.scala 403:51] + node _T_1062 = mux(_T_1058, _T_1060, _T_1061) @[lib.scala 403:23] + _T_1014[7] <= _T_1062 @[lib.scala 403:17] + node _T_1063 = bits(_T_1013, 8, 0) @[lib.scala 403:27] + node _T_1064 = orr(_T_1063) @[lib.scala 403:35] + node _T_1065 = bits(_T_1013, 9, 9) @[lib.scala 403:44] + node _T_1066 = not(_T_1065) @[lib.scala 403:40] + node _T_1067 = bits(_T_1013, 9, 9) @[lib.scala 403:51] + node _T_1068 = mux(_T_1064, _T_1066, _T_1067) @[lib.scala 403:23] + _T_1014[8] <= _T_1068 @[lib.scala 403:17] + node _T_1069 = bits(_T_1013, 9, 0) @[lib.scala 403:27] + node _T_1070 = orr(_T_1069) @[lib.scala 403:35] + node _T_1071 = bits(_T_1013, 10, 10) @[lib.scala 403:44] + node _T_1072 = not(_T_1071) @[lib.scala 403:40] + node _T_1073 = bits(_T_1013, 10, 10) @[lib.scala 403:51] + node _T_1074 = mux(_T_1070, _T_1072, _T_1073) @[lib.scala 403:23] + _T_1014[9] <= _T_1074 @[lib.scala 403:17] + node _T_1075 = bits(_T_1013, 10, 0) @[lib.scala 403:27] + node _T_1076 = orr(_T_1075) @[lib.scala 403:35] + node _T_1077 = bits(_T_1013, 11, 11) @[lib.scala 403:44] + node _T_1078 = not(_T_1077) @[lib.scala 403:40] + node _T_1079 = bits(_T_1013, 11, 11) @[lib.scala 403:51] + node _T_1080 = mux(_T_1076, _T_1078, _T_1079) @[lib.scala 403:23] + _T_1014[10] <= _T_1080 @[lib.scala 403:17] + node _T_1081 = bits(_T_1013, 11, 0) @[lib.scala 403:27] + node _T_1082 = orr(_T_1081) @[lib.scala 403:35] + node _T_1083 = bits(_T_1013, 12, 12) @[lib.scala 403:44] + node _T_1084 = not(_T_1083) @[lib.scala 403:40] + node _T_1085 = bits(_T_1013, 12, 12) @[lib.scala 403:51] + node _T_1086 = mux(_T_1082, _T_1084, _T_1085) @[lib.scala 403:23] + _T_1014[11] <= _T_1086 @[lib.scala 403:17] + node _T_1087 = bits(_T_1013, 12, 0) @[lib.scala 403:27] + node _T_1088 = orr(_T_1087) @[lib.scala 403:35] + node _T_1089 = bits(_T_1013, 13, 13) @[lib.scala 403:44] + node _T_1090 = not(_T_1089) @[lib.scala 403:40] + node _T_1091 = bits(_T_1013, 13, 13) @[lib.scala 403:51] + node _T_1092 = mux(_T_1088, _T_1090, _T_1091) @[lib.scala 403:23] + _T_1014[12] <= _T_1092 @[lib.scala 403:17] + node _T_1093 = bits(_T_1013, 13, 0) @[lib.scala 403:27] + node _T_1094 = orr(_T_1093) @[lib.scala 403:35] + node _T_1095 = bits(_T_1013, 14, 14) @[lib.scala 403:44] + node _T_1096 = not(_T_1095) @[lib.scala 403:40] + node _T_1097 = bits(_T_1013, 14, 14) @[lib.scala 403:51] + node _T_1098 = mux(_T_1094, _T_1096, _T_1097) @[lib.scala 403:23] + _T_1014[13] <= _T_1098 @[lib.scala 403:17] + node _T_1099 = bits(_T_1013, 14, 0) @[lib.scala 403:27] + node _T_1100 = orr(_T_1099) @[lib.scala 403:35] + node _T_1101 = bits(_T_1013, 15, 15) @[lib.scala 403:44] + node _T_1102 = not(_T_1101) @[lib.scala 403:40] + node _T_1103 = bits(_T_1013, 15, 15) @[lib.scala 403:51] + node _T_1104 = mux(_T_1100, _T_1102, _T_1103) @[lib.scala 403:23] + _T_1014[14] <= _T_1104 @[lib.scala 403:17] + node _T_1105 = bits(_T_1013, 15, 0) @[lib.scala 403:27] + node _T_1106 = orr(_T_1105) @[lib.scala 403:35] + node _T_1107 = bits(_T_1013, 16, 16) @[lib.scala 403:44] + node _T_1108 = not(_T_1107) @[lib.scala 403:40] + node _T_1109 = bits(_T_1013, 16, 16) @[lib.scala 403:51] + node _T_1110 = mux(_T_1106, _T_1108, _T_1109) @[lib.scala 403:23] + _T_1014[15] <= _T_1110 @[lib.scala 403:17] + node _T_1111 = bits(_T_1013, 16, 0) @[lib.scala 403:27] + node _T_1112 = orr(_T_1111) @[lib.scala 403:35] + node _T_1113 = bits(_T_1013, 17, 17) @[lib.scala 403:44] + node _T_1114 = not(_T_1113) @[lib.scala 403:40] + node _T_1115 = bits(_T_1013, 17, 17) @[lib.scala 403:51] + node _T_1116 = mux(_T_1112, _T_1114, _T_1115) @[lib.scala 403:23] + _T_1014[16] <= _T_1116 @[lib.scala 403:17] + node _T_1117 = bits(_T_1013, 17, 0) @[lib.scala 403:27] + node _T_1118 = orr(_T_1117) @[lib.scala 403:35] + node _T_1119 = bits(_T_1013, 18, 18) @[lib.scala 403:44] + node _T_1120 = not(_T_1119) @[lib.scala 403:40] + node _T_1121 = bits(_T_1013, 18, 18) @[lib.scala 403:51] + node _T_1122 = mux(_T_1118, _T_1120, _T_1121) @[lib.scala 403:23] + _T_1014[17] <= _T_1122 @[lib.scala 403:17] + node _T_1123 = bits(_T_1013, 18, 0) @[lib.scala 403:27] + node _T_1124 = orr(_T_1123) @[lib.scala 403:35] + node _T_1125 = bits(_T_1013, 19, 19) @[lib.scala 403:44] + node _T_1126 = not(_T_1125) @[lib.scala 403:40] + node _T_1127 = bits(_T_1013, 19, 19) @[lib.scala 403:51] + node _T_1128 = mux(_T_1124, _T_1126, _T_1127) @[lib.scala 403:23] + _T_1014[18] <= _T_1128 @[lib.scala 403:17] + node _T_1129 = bits(_T_1013, 19, 0) @[lib.scala 403:27] + node _T_1130 = orr(_T_1129) @[lib.scala 403:35] + node _T_1131 = bits(_T_1013, 20, 20) @[lib.scala 403:44] + node _T_1132 = not(_T_1131) @[lib.scala 403:40] + node _T_1133 = bits(_T_1013, 20, 20) @[lib.scala 403:51] + node _T_1134 = mux(_T_1130, _T_1132, _T_1133) @[lib.scala 403:23] + _T_1014[19] <= _T_1134 @[lib.scala 403:17] + node _T_1135 = bits(_T_1013, 20, 0) @[lib.scala 403:27] + node _T_1136 = orr(_T_1135) @[lib.scala 403:35] + node _T_1137 = bits(_T_1013, 21, 21) @[lib.scala 403:44] + node _T_1138 = not(_T_1137) @[lib.scala 403:40] + node _T_1139 = bits(_T_1013, 21, 21) @[lib.scala 403:51] + node _T_1140 = mux(_T_1136, _T_1138, _T_1139) @[lib.scala 403:23] + _T_1014[20] <= _T_1140 @[lib.scala 403:17] + node _T_1141 = bits(_T_1013, 21, 0) @[lib.scala 403:27] + node _T_1142 = orr(_T_1141) @[lib.scala 403:35] + node _T_1143 = bits(_T_1013, 22, 22) @[lib.scala 403:44] + node _T_1144 = not(_T_1143) @[lib.scala 403:40] + node _T_1145 = bits(_T_1013, 22, 22) @[lib.scala 403:51] + node _T_1146 = mux(_T_1142, _T_1144, _T_1145) @[lib.scala 403:23] + _T_1014[21] <= _T_1146 @[lib.scala 403:17] + node _T_1147 = bits(_T_1013, 22, 0) @[lib.scala 403:27] + node _T_1148 = orr(_T_1147) @[lib.scala 403:35] + node _T_1149 = bits(_T_1013, 23, 23) @[lib.scala 403:44] + node _T_1150 = not(_T_1149) @[lib.scala 403:40] + node _T_1151 = bits(_T_1013, 23, 23) @[lib.scala 403:51] + node _T_1152 = mux(_T_1148, _T_1150, _T_1151) @[lib.scala 403:23] + _T_1014[22] <= _T_1152 @[lib.scala 403:17] + node _T_1153 = bits(_T_1013, 23, 0) @[lib.scala 403:27] + node _T_1154 = orr(_T_1153) @[lib.scala 403:35] + node _T_1155 = bits(_T_1013, 24, 24) @[lib.scala 403:44] + node _T_1156 = not(_T_1155) @[lib.scala 403:40] + node _T_1157 = bits(_T_1013, 24, 24) @[lib.scala 403:51] + node _T_1158 = mux(_T_1154, _T_1156, _T_1157) @[lib.scala 403:23] + _T_1014[23] <= _T_1158 @[lib.scala 403:17] + node _T_1159 = bits(_T_1013, 24, 0) @[lib.scala 403:27] + node _T_1160 = orr(_T_1159) @[lib.scala 403:35] + node _T_1161 = bits(_T_1013, 25, 25) @[lib.scala 403:44] + node _T_1162 = not(_T_1161) @[lib.scala 403:40] + node _T_1163 = bits(_T_1013, 25, 25) @[lib.scala 403:51] + node _T_1164 = mux(_T_1160, _T_1162, _T_1163) @[lib.scala 403:23] + _T_1014[24] <= _T_1164 @[lib.scala 403:17] + node _T_1165 = bits(_T_1013, 25, 0) @[lib.scala 403:27] + node _T_1166 = orr(_T_1165) @[lib.scala 403:35] + node _T_1167 = bits(_T_1013, 26, 26) @[lib.scala 403:44] + node _T_1168 = not(_T_1167) @[lib.scala 403:40] + node _T_1169 = bits(_T_1013, 26, 26) @[lib.scala 403:51] + node _T_1170 = mux(_T_1166, _T_1168, _T_1169) @[lib.scala 403:23] + _T_1014[25] <= _T_1170 @[lib.scala 403:17] + node _T_1171 = bits(_T_1013, 26, 0) @[lib.scala 403:27] + node _T_1172 = orr(_T_1171) @[lib.scala 403:35] + node _T_1173 = bits(_T_1013, 27, 27) @[lib.scala 403:44] + node _T_1174 = not(_T_1173) @[lib.scala 403:40] + node _T_1175 = bits(_T_1013, 27, 27) @[lib.scala 403:51] + node _T_1176 = mux(_T_1172, _T_1174, _T_1175) @[lib.scala 403:23] + _T_1014[26] <= _T_1176 @[lib.scala 403:17] + node _T_1177 = bits(_T_1013, 27, 0) @[lib.scala 403:27] + node _T_1178 = orr(_T_1177) @[lib.scala 403:35] + node _T_1179 = bits(_T_1013, 28, 28) @[lib.scala 403:44] + node _T_1180 = not(_T_1179) @[lib.scala 403:40] + node _T_1181 = bits(_T_1013, 28, 28) @[lib.scala 403:51] + node _T_1182 = mux(_T_1178, _T_1180, _T_1181) @[lib.scala 403:23] + _T_1014[27] <= _T_1182 @[lib.scala 403:17] + node _T_1183 = bits(_T_1013, 28, 0) @[lib.scala 403:27] + node _T_1184 = orr(_T_1183) @[lib.scala 403:35] + node _T_1185 = bits(_T_1013, 29, 29) @[lib.scala 403:44] + node _T_1186 = not(_T_1185) @[lib.scala 403:40] + node _T_1187 = bits(_T_1013, 29, 29) @[lib.scala 403:51] + node _T_1188 = mux(_T_1184, _T_1186, _T_1187) @[lib.scala 403:23] + _T_1014[28] <= _T_1188 @[lib.scala 403:17] + node _T_1189 = bits(_T_1013, 29, 0) @[lib.scala 403:27] + node _T_1190 = orr(_T_1189) @[lib.scala 403:35] + node _T_1191 = bits(_T_1013, 30, 30) @[lib.scala 403:44] + node _T_1192 = not(_T_1191) @[lib.scala 403:40] + node _T_1193 = bits(_T_1013, 30, 30) @[lib.scala 403:51] + node _T_1194 = mux(_T_1190, _T_1192, _T_1193) @[lib.scala 403:23] + _T_1014[29] <= _T_1194 @[lib.scala 403:17] + node _T_1195 = bits(_T_1013, 30, 0) @[lib.scala 403:27] + node _T_1196 = orr(_T_1195) @[lib.scala 403:35] + node _T_1197 = bits(_T_1013, 31, 31) @[lib.scala 403:44] + node _T_1198 = not(_T_1197) @[lib.scala 403:40] + node _T_1199 = bits(_T_1013, 31, 31) @[lib.scala 403:51] + node _T_1200 = mux(_T_1196, _T_1198, _T_1199) @[lib.scala 403:23] + _T_1014[30] <= _T_1200 @[lib.scala 403:17] + node _T_1201 = cat(_T_1014[2], _T_1014[1]) @[lib.scala 405:14] + node _T_1202 = cat(_T_1201, _T_1014[0]) @[lib.scala 405:14] + node _T_1203 = cat(_T_1014[4], _T_1014[3]) @[lib.scala 405:14] + node _T_1204 = cat(_T_1014[6], _T_1014[5]) @[lib.scala 405:14] + node _T_1205 = cat(_T_1204, _T_1203) @[lib.scala 405:14] + node _T_1206 = cat(_T_1205, _T_1202) @[lib.scala 405:14] + node _T_1207 = cat(_T_1014[8], _T_1014[7]) @[lib.scala 405:14] + node _T_1208 = cat(_T_1014[10], _T_1014[9]) @[lib.scala 405:14] + node _T_1209 = cat(_T_1208, _T_1207) @[lib.scala 405:14] + node _T_1210 = cat(_T_1014[12], _T_1014[11]) @[lib.scala 405:14] + node _T_1211 = cat(_T_1014[14], _T_1014[13]) @[lib.scala 405:14] + node _T_1212 = cat(_T_1211, _T_1210) @[lib.scala 405:14] + node _T_1213 = cat(_T_1212, _T_1209) @[lib.scala 405:14] + node _T_1214 = cat(_T_1213, _T_1206) @[lib.scala 405:14] + node _T_1215 = cat(_T_1014[16], _T_1014[15]) @[lib.scala 405:14] + node _T_1216 = cat(_T_1014[18], _T_1014[17]) @[lib.scala 405:14] + node _T_1217 = cat(_T_1216, _T_1215) @[lib.scala 405:14] + node _T_1218 = cat(_T_1014[20], _T_1014[19]) @[lib.scala 405:14] + node _T_1219 = cat(_T_1014[22], _T_1014[21]) @[lib.scala 405:14] + node _T_1220 = cat(_T_1219, _T_1218) @[lib.scala 405:14] + node _T_1221 = cat(_T_1220, _T_1217) @[lib.scala 405:14] + node _T_1222 = cat(_T_1014[24], _T_1014[23]) @[lib.scala 405:14] + node _T_1223 = cat(_T_1014[26], _T_1014[25]) @[lib.scala 405:14] + node _T_1224 = cat(_T_1223, _T_1222) @[lib.scala 405:14] + node _T_1225 = cat(_T_1014[28], _T_1014[27]) @[lib.scala 405:14] + node _T_1226 = cat(_T_1014[30], _T_1014[29]) @[lib.scala 405:14] + node _T_1227 = cat(_T_1226, _T_1225) @[lib.scala 405:14] + node _T_1228 = cat(_T_1227, _T_1224) @[lib.scala 405:14] + node _T_1229 = cat(_T_1228, _T_1221) @[lib.scala 405:14] + node _T_1230 = cat(_T_1229, _T_1214) @[lib.scala 405:14] + node _T_1231 = bits(_T_1013, 0, 0) @[lib.scala 405:24] + node _T_1232 = cat(_T_1230, _T_1231) @[Cat.scala 29:58] + node _T_1233 = bits(q_ff, 31, 0) @[exu_div_ctl.scala 183:104] + node q_ff_eff = mux(_T_1012, _T_1232, _T_1233) @[exu_div_ctl.scala 183:21] + node _T_1234 = and(sign_ff, dividend_neg_ff) @[exu_div_ctl.scala 184:31] + node _T_1235 = bits(_T_1234, 0, 0) @[exu_div_ctl.scala 184:51] + node _T_1236 = bits(a_ff, 31, 0) @[exu_div_ctl.scala 184:74] + wire _T_1237 : UInt<1>[31] @[lib.scala 401:20] + node _T_1238 = bits(_T_1236, 0, 0) @[lib.scala 403:27] + node _T_1239 = orr(_T_1238) @[lib.scala 403:35] + node _T_1240 = bits(_T_1236, 1, 1) @[lib.scala 403:44] + node _T_1241 = not(_T_1240) @[lib.scala 403:40] + node _T_1242 = bits(_T_1236, 1, 1) @[lib.scala 403:51] + node _T_1243 = mux(_T_1239, _T_1241, _T_1242) @[lib.scala 403:23] + _T_1237[0] <= _T_1243 @[lib.scala 403:17] + node _T_1244 = bits(_T_1236, 1, 0) @[lib.scala 403:27] + node _T_1245 = orr(_T_1244) @[lib.scala 403:35] + node _T_1246 = bits(_T_1236, 2, 2) @[lib.scala 403:44] + node _T_1247 = not(_T_1246) @[lib.scala 403:40] + node _T_1248 = bits(_T_1236, 2, 2) @[lib.scala 403:51] + node _T_1249 = mux(_T_1245, _T_1247, _T_1248) @[lib.scala 403:23] + _T_1237[1] <= _T_1249 @[lib.scala 403:17] + node _T_1250 = bits(_T_1236, 2, 0) @[lib.scala 403:27] + node _T_1251 = orr(_T_1250) @[lib.scala 403:35] + node _T_1252 = bits(_T_1236, 3, 3) @[lib.scala 403:44] + node _T_1253 = not(_T_1252) @[lib.scala 403:40] + node _T_1254 = bits(_T_1236, 3, 3) @[lib.scala 403:51] + node _T_1255 = mux(_T_1251, _T_1253, _T_1254) @[lib.scala 403:23] + _T_1237[2] <= _T_1255 @[lib.scala 403:17] + node _T_1256 = bits(_T_1236, 3, 0) @[lib.scala 403:27] + node _T_1257 = orr(_T_1256) @[lib.scala 403:35] + node _T_1258 = bits(_T_1236, 4, 4) @[lib.scala 403:44] + node _T_1259 = not(_T_1258) @[lib.scala 403:40] + node _T_1260 = bits(_T_1236, 4, 4) @[lib.scala 403:51] + node _T_1261 = mux(_T_1257, _T_1259, _T_1260) @[lib.scala 403:23] + _T_1237[3] <= _T_1261 @[lib.scala 403:17] + node _T_1262 = bits(_T_1236, 4, 0) @[lib.scala 403:27] + node _T_1263 = orr(_T_1262) @[lib.scala 403:35] + node _T_1264 = bits(_T_1236, 5, 5) @[lib.scala 403:44] + node _T_1265 = not(_T_1264) @[lib.scala 403:40] + node _T_1266 = bits(_T_1236, 5, 5) @[lib.scala 403:51] + node _T_1267 = mux(_T_1263, _T_1265, _T_1266) @[lib.scala 403:23] + _T_1237[4] <= _T_1267 @[lib.scala 403:17] + node _T_1268 = bits(_T_1236, 5, 0) @[lib.scala 403:27] + node _T_1269 = orr(_T_1268) @[lib.scala 403:35] + node _T_1270 = bits(_T_1236, 6, 6) @[lib.scala 403:44] + node _T_1271 = not(_T_1270) @[lib.scala 403:40] + node _T_1272 = bits(_T_1236, 6, 6) @[lib.scala 403:51] + node _T_1273 = mux(_T_1269, _T_1271, _T_1272) @[lib.scala 403:23] + _T_1237[5] <= _T_1273 @[lib.scala 403:17] + node _T_1274 = bits(_T_1236, 6, 0) @[lib.scala 403:27] + node _T_1275 = orr(_T_1274) @[lib.scala 403:35] + node _T_1276 = bits(_T_1236, 7, 7) @[lib.scala 403:44] + node _T_1277 = not(_T_1276) @[lib.scala 403:40] + node _T_1278 = bits(_T_1236, 7, 7) @[lib.scala 403:51] + node _T_1279 = mux(_T_1275, _T_1277, _T_1278) @[lib.scala 403:23] + _T_1237[6] <= _T_1279 @[lib.scala 403:17] + node _T_1280 = bits(_T_1236, 7, 0) @[lib.scala 403:27] + node _T_1281 = orr(_T_1280) @[lib.scala 403:35] + node _T_1282 = bits(_T_1236, 8, 8) @[lib.scala 403:44] + node _T_1283 = not(_T_1282) @[lib.scala 403:40] + node _T_1284 = bits(_T_1236, 8, 8) @[lib.scala 403:51] + node _T_1285 = mux(_T_1281, _T_1283, _T_1284) @[lib.scala 403:23] + _T_1237[7] <= _T_1285 @[lib.scala 403:17] + node _T_1286 = bits(_T_1236, 8, 0) @[lib.scala 403:27] + node _T_1287 = orr(_T_1286) @[lib.scala 403:35] + node _T_1288 = bits(_T_1236, 9, 9) @[lib.scala 403:44] + node _T_1289 = not(_T_1288) @[lib.scala 403:40] + node _T_1290 = bits(_T_1236, 9, 9) @[lib.scala 403:51] + node _T_1291 = mux(_T_1287, _T_1289, _T_1290) @[lib.scala 403:23] + _T_1237[8] <= _T_1291 @[lib.scala 403:17] + node _T_1292 = bits(_T_1236, 9, 0) @[lib.scala 403:27] + node _T_1293 = orr(_T_1292) @[lib.scala 403:35] + node _T_1294 = bits(_T_1236, 10, 10) @[lib.scala 403:44] + node _T_1295 = not(_T_1294) @[lib.scala 403:40] + node _T_1296 = bits(_T_1236, 10, 10) @[lib.scala 403:51] + node _T_1297 = mux(_T_1293, _T_1295, _T_1296) @[lib.scala 403:23] + _T_1237[9] <= _T_1297 @[lib.scala 403:17] + node _T_1298 = bits(_T_1236, 10, 0) @[lib.scala 403:27] + node _T_1299 = orr(_T_1298) @[lib.scala 403:35] + node _T_1300 = bits(_T_1236, 11, 11) @[lib.scala 403:44] + node _T_1301 = not(_T_1300) @[lib.scala 403:40] + node _T_1302 = bits(_T_1236, 11, 11) @[lib.scala 403:51] + node _T_1303 = mux(_T_1299, _T_1301, _T_1302) @[lib.scala 403:23] + _T_1237[10] <= _T_1303 @[lib.scala 403:17] + node _T_1304 = bits(_T_1236, 11, 0) @[lib.scala 403:27] + node _T_1305 = orr(_T_1304) @[lib.scala 403:35] + node _T_1306 = bits(_T_1236, 12, 12) @[lib.scala 403:44] + node _T_1307 = not(_T_1306) @[lib.scala 403:40] + node _T_1308 = bits(_T_1236, 12, 12) @[lib.scala 403:51] + node _T_1309 = mux(_T_1305, _T_1307, _T_1308) @[lib.scala 403:23] + _T_1237[11] <= _T_1309 @[lib.scala 403:17] + node _T_1310 = bits(_T_1236, 12, 0) @[lib.scala 403:27] + node _T_1311 = orr(_T_1310) @[lib.scala 403:35] + node _T_1312 = bits(_T_1236, 13, 13) @[lib.scala 403:44] + node _T_1313 = not(_T_1312) @[lib.scala 403:40] + node _T_1314 = bits(_T_1236, 13, 13) @[lib.scala 403:51] + node _T_1315 = mux(_T_1311, _T_1313, _T_1314) @[lib.scala 403:23] + _T_1237[12] <= _T_1315 @[lib.scala 403:17] + node _T_1316 = bits(_T_1236, 13, 0) @[lib.scala 403:27] + node _T_1317 = orr(_T_1316) @[lib.scala 403:35] + node _T_1318 = bits(_T_1236, 14, 14) @[lib.scala 403:44] + node _T_1319 = not(_T_1318) @[lib.scala 403:40] + node _T_1320 = bits(_T_1236, 14, 14) @[lib.scala 403:51] + node _T_1321 = mux(_T_1317, _T_1319, _T_1320) @[lib.scala 403:23] + _T_1237[13] <= _T_1321 @[lib.scala 403:17] + node _T_1322 = bits(_T_1236, 14, 0) @[lib.scala 403:27] + node _T_1323 = orr(_T_1322) @[lib.scala 403:35] + node _T_1324 = bits(_T_1236, 15, 15) @[lib.scala 403:44] + node _T_1325 = not(_T_1324) @[lib.scala 403:40] + node _T_1326 = bits(_T_1236, 15, 15) @[lib.scala 403:51] + node _T_1327 = mux(_T_1323, _T_1325, _T_1326) @[lib.scala 403:23] + _T_1237[14] <= _T_1327 @[lib.scala 403:17] + node _T_1328 = bits(_T_1236, 15, 0) @[lib.scala 403:27] + node _T_1329 = orr(_T_1328) @[lib.scala 403:35] + node _T_1330 = bits(_T_1236, 16, 16) @[lib.scala 403:44] + node _T_1331 = not(_T_1330) @[lib.scala 403:40] + node _T_1332 = bits(_T_1236, 16, 16) @[lib.scala 403:51] + node _T_1333 = mux(_T_1329, _T_1331, _T_1332) @[lib.scala 403:23] + _T_1237[15] <= _T_1333 @[lib.scala 403:17] + node _T_1334 = bits(_T_1236, 16, 0) @[lib.scala 403:27] + node _T_1335 = orr(_T_1334) @[lib.scala 403:35] + node _T_1336 = bits(_T_1236, 17, 17) @[lib.scala 403:44] + node _T_1337 = not(_T_1336) @[lib.scala 403:40] + node _T_1338 = bits(_T_1236, 17, 17) @[lib.scala 403:51] + node _T_1339 = mux(_T_1335, _T_1337, _T_1338) @[lib.scala 403:23] + _T_1237[16] <= _T_1339 @[lib.scala 403:17] + node _T_1340 = bits(_T_1236, 17, 0) @[lib.scala 403:27] + node _T_1341 = orr(_T_1340) @[lib.scala 403:35] + node _T_1342 = bits(_T_1236, 18, 18) @[lib.scala 403:44] + node _T_1343 = not(_T_1342) @[lib.scala 403:40] + node _T_1344 = bits(_T_1236, 18, 18) @[lib.scala 403:51] + node _T_1345 = mux(_T_1341, _T_1343, _T_1344) @[lib.scala 403:23] + _T_1237[17] <= _T_1345 @[lib.scala 403:17] + node _T_1346 = bits(_T_1236, 18, 0) @[lib.scala 403:27] + node _T_1347 = orr(_T_1346) @[lib.scala 403:35] + node _T_1348 = bits(_T_1236, 19, 19) @[lib.scala 403:44] + node _T_1349 = not(_T_1348) @[lib.scala 403:40] + node _T_1350 = bits(_T_1236, 19, 19) @[lib.scala 403:51] + node _T_1351 = mux(_T_1347, _T_1349, _T_1350) @[lib.scala 403:23] + _T_1237[18] <= _T_1351 @[lib.scala 403:17] + node _T_1352 = bits(_T_1236, 19, 0) @[lib.scala 403:27] + node _T_1353 = orr(_T_1352) @[lib.scala 403:35] + node _T_1354 = bits(_T_1236, 20, 20) @[lib.scala 403:44] + node _T_1355 = not(_T_1354) @[lib.scala 403:40] + node _T_1356 = bits(_T_1236, 20, 20) @[lib.scala 403:51] + node _T_1357 = mux(_T_1353, _T_1355, _T_1356) @[lib.scala 403:23] + _T_1237[19] <= _T_1357 @[lib.scala 403:17] + node _T_1358 = bits(_T_1236, 20, 0) @[lib.scala 403:27] + node _T_1359 = orr(_T_1358) @[lib.scala 403:35] + node _T_1360 = bits(_T_1236, 21, 21) @[lib.scala 403:44] + node _T_1361 = not(_T_1360) @[lib.scala 403:40] + node _T_1362 = bits(_T_1236, 21, 21) @[lib.scala 403:51] + node _T_1363 = mux(_T_1359, _T_1361, _T_1362) @[lib.scala 403:23] + _T_1237[20] <= _T_1363 @[lib.scala 403:17] + node _T_1364 = bits(_T_1236, 21, 0) @[lib.scala 403:27] + node _T_1365 = orr(_T_1364) @[lib.scala 403:35] + node _T_1366 = bits(_T_1236, 22, 22) @[lib.scala 403:44] + node _T_1367 = not(_T_1366) @[lib.scala 403:40] + node _T_1368 = bits(_T_1236, 22, 22) @[lib.scala 403:51] + node _T_1369 = mux(_T_1365, _T_1367, _T_1368) @[lib.scala 403:23] + _T_1237[21] <= _T_1369 @[lib.scala 403:17] + node _T_1370 = bits(_T_1236, 22, 0) @[lib.scala 403:27] + node _T_1371 = orr(_T_1370) @[lib.scala 403:35] + node _T_1372 = bits(_T_1236, 23, 23) @[lib.scala 403:44] + node _T_1373 = not(_T_1372) @[lib.scala 403:40] + node _T_1374 = bits(_T_1236, 23, 23) @[lib.scala 403:51] + node _T_1375 = mux(_T_1371, _T_1373, _T_1374) @[lib.scala 403:23] + _T_1237[22] <= _T_1375 @[lib.scala 403:17] + node _T_1376 = bits(_T_1236, 23, 0) @[lib.scala 403:27] + node _T_1377 = orr(_T_1376) @[lib.scala 403:35] + node _T_1378 = bits(_T_1236, 24, 24) @[lib.scala 403:44] + node _T_1379 = not(_T_1378) @[lib.scala 403:40] + node _T_1380 = bits(_T_1236, 24, 24) @[lib.scala 403:51] + node _T_1381 = mux(_T_1377, _T_1379, _T_1380) @[lib.scala 403:23] + _T_1237[23] <= _T_1381 @[lib.scala 403:17] + node _T_1382 = bits(_T_1236, 24, 0) @[lib.scala 403:27] + node _T_1383 = orr(_T_1382) @[lib.scala 403:35] + node _T_1384 = bits(_T_1236, 25, 25) @[lib.scala 403:44] + node _T_1385 = not(_T_1384) @[lib.scala 403:40] + node _T_1386 = bits(_T_1236, 25, 25) @[lib.scala 403:51] + node _T_1387 = mux(_T_1383, _T_1385, _T_1386) @[lib.scala 403:23] + _T_1237[24] <= _T_1387 @[lib.scala 403:17] + node _T_1388 = bits(_T_1236, 25, 0) @[lib.scala 403:27] + node _T_1389 = orr(_T_1388) @[lib.scala 403:35] + node _T_1390 = bits(_T_1236, 26, 26) @[lib.scala 403:44] + node _T_1391 = not(_T_1390) @[lib.scala 403:40] + node _T_1392 = bits(_T_1236, 26, 26) @[lib.scala 403:51] + node _T_1393 = mux(_T_1389, _T_1391, _T_1392) @[lib.scala 403:23] + _T_1237[25] <= _T_1393 @[lib.scala 403:17] + node _T_1394 = bits(_T_1236, 26, 0) @[lib.scala 403:27] + node _T_1395 = orr(_T_1394) @[lib.scala 403:35] + node _T_1396 = bits(_T_1236, 27, 27) @[lib.scala 403:44] + node _T_1397 = not(_T_1396) @[lib.scala 403:40] + node _T_1398 = bits(_T_1236, 27, 27) @[lib.scala 403:51] + node _T_1399 = mux(_T_1395, _T_1397, _T_1398) @[lib.scala 403:23] + _T_1237[26] <= _T_1399 @[lib.scala 403:17] + node _T_1400 = bits(_T_1236, 27, 0) @[lib.scala 403:27] + node _T_1401 = orr(_T_1400) @[lib.scala 403:35] + node _T_1402 = bits(_T_1236, 28, 28) @[lib.scala 403:44] + node _T_1403 = not(_T_1402) @[lib.scala 403:40] + node _T_1404 = bits(_T_1236, 28, 28) @[lib.scala 403:51] + node _T_1405 = mux(_T_1401, _T_1403, _T_1404) @[lib.scala 403:23] + _T_1237[27] <= _T_1405 @[lib.scala 403:17] + node _T_1406 = bits(_T_1236, 28, 0) @[lib.scala 403:27] + node _T_1407 = orr(_T_1406) @[lib.scala 403:35] + node _T_1408 = bits(_T_1236, 29, 29) @[lib.scala 403:44] + node _T_1409 = not(_T_1408) @[lib.scala 403:40] + node _T_1410 = bits(_T_1236, 29, 29) @[lib.scala 403:51] + node _T_1411 = mux(_T_1407, _T_1409, _T_1410) @[lib.scala 403:23] + _T_1237[28] <= _T_1411 @[lib.scala 403:17] + node _T_1412 = bits(_T_1236, 29, 0) @[lib.scala 403:27] + node _T_1413 = orr(_T_1412) @[lib.scala 403:35] + node _T_1414 = bits(_T_1236, 30, 30) @[lib.scala 403:44] + node _T_1415 = not(_T_1414) @[lib.scala 403:40] + node _T_1416 = bits(_T_1236, 30, 30) @[lib.scala 403:51] + node _T_1417 = mux(_T_1413, _T_1415, _T_1416) @[lib.scala 403:23] + _T_1237[29] <= _T_1417 @[lib.scala 403:17] + node _T_1418 = bits(_T_1236, 30, 0) @[lib.scala 403:27] + node _T_1419 = orr(_T_1418) @[lib.scala 403:35] + node _T_1420 = bits(_T_1236, 31, 31) @[lib.scala 403:44] + node _T_1421 = not(_T_1420) @[lib.scala 403:40] + node _T_1422 = bits(_T_1236, 31, 31) @[lib.scala 403:51] + node _T_1423 = mux(_T_1419, _T_1421, _T_1422) @[lib.scala 403:23] + _T_1237[30] <= _T_1423 @[lib.scala 403:17] + node _T_1424 = cat(_T_1237[2], _T_1237[1]) @[lib.scala 405:14] + node _T_1425 = cat(_T_1424, _T_1237[0]) @[lib.scala 405:14] + node _T_1426 = cat(_T_1237[4], _T_1237[3]) @[lib.scala 405:14] + node _T_1427 = cat(_T_1237[6], _T_1237[5]) @[lib.scala 405:14] + node _T_1428 = cat(_T_1427, _T_1426) @[lib.scala 405:14] + node _T_1429 = cat(_T_1428, _T_1425) @[lib.scala 405:14] + node _T_1430 = cat(_T_1237[8], _T_1237[7]) @[lib.scala 405:14] + node _T_1431 = cat(_T_1237[10], _T_1237[9]) @[lib.scala 405:14] + node _T_1432 = cat(_T_1431, _T_1430) @[lib.scala 405:14] + node _T_1433 = cat(_T_1237[12], _T_1237[11]) @[lib.scala 405:14] + node _T_1434 = cat(_T_1237[14], _T_1237[13]) @[lib.scala 405:14] + node _T_1435 = cat(_T_1434, _T_1433) @[lib.scala 405:14] + node _T_1436 = cat(_T_1435, _T_1432) @[lib.scala 405:14] + node _T_1437 = cat(_T_1436, _T_1429) @[lib.scala 405:14] + node _T_1438 = cat(_T_1237[16], _T_1237[15]) @[lib.scala 405:14] + node _T_1439 = cat(_T_1237[18], _T_1237[17]) @[lib.scala 405:14] + node _T_1440 = cat(_T_1439, _T_1438) @[lib.scala 405:14] + node _T_1441 = cat(_T_1237[20], _T_1237[19]) @[lib.scala 405:14] + node _T_1442 = cat(_T_1237[22], _T_1237[21]) @[lib.scala 405:14] + node _T_1443 = cat(_T_1442, _T_1441) @[lib.scala 405:14] + node _T_1444 = cat(_T_1443, _T_1440) @[lib.scala 405:14] + node _T_1445 = cat(_T_1237[24], _T_1237[23]) @[lib.scala 405:14] + node _T_1446 = cat(_T_1237[26], _T_1237[25]) @[lib.scala 405:14] + node _T_1447 = cat(_T_1446, _T_1445) @[lib.scala 405:14] + node _T_1448 = cat(_T_1237[28], _T_1237[27]) @[lib.scala 405:14] + node _T_1449 = cat(_T_1237[30], _T_1237[29]) @[lib.scala 405:14] + node _T_1450 = cat(_T_1449, _T_1448) @[lib.scala 405:14] + node _T_1451 = cat(_T_1450, _T_1447) @[lib.scala 405:14] + node _T_1452 = cat(_T_1451, _T_1444) @[lib.scala 405:14] + node _T_1453 = cat(_T_1452, _T_1437) @[lib.scala 405:14] + node _T_1454 = bits(_T_1236, 0, 0) @[lib.scala 405:24] + node _T_1455 = cat(_T_1453, _T_1454) @[Cat.scala 29:58] + node _T_1456 = bits(a_ff, 31, 0) @[exu_div_ctl.scala 184:87] + node a_ff_eff = mux(_T_1235, _T_1455, _T_1456) @[exu_div_ctl.scala 184:21] + node _T_1457 = bits(smallnum_case_ff, 0, 0) @[exu_div_ctl.scala 187:22] + node _T_1458 = cat(UInt<28>("h00"), smallnum_ff) @[Cat.scala 29:58] + node _T_1459 = bits(rem_ff, 0, 0) @[exu_div_ctl.scala 188:12] + node _T_1460 = eq(smallnum_case_ff, UInt<1>("h00")) @[exu_div_ctl.scala 189:6] + node _T_1461 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 189:26] + node _T_1462 = and(_T_1460, _T_1461) @[exu_div_ctl.scala 189:24] + node _T_1463 = bits(_T_1462, 0, 0) @[exu_div_ctl.scala 189:35] + node _T_1464 = mux(_T_1457, _T_1458, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1465 = mux(_T_1459, a_ff_eff, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1466 = mux(_T_1463, q_ff_eff, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1467 = or(_T_1464, _T_1465) @[Mux.scala 27:72] + node _T_1468 = or(_T_1467, _T_1466) @[Mux.scala 27:72] + wire _T_1469 : UInt<32> @[Mux.scala 27:72] + _T_1469 <= _T_1468 @[Mux.scala 27:72] + io.exu_div_result <= _T_1469 @[exu_div_ctl.scala 186:21] + node _T_1470 = bits(div_clken, 0, 0) @[exu_div_ctl.scala 192:46] + inst rvclkhdr of rvclkhdr_23 @[lib.scala 343:22] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 344:17] + rvclkhdr.io.en <= _T_1470 @[lib.scala 345:16] + rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + node _T_1471 = eq(io.dec_div.dec_div_cancel, UInt<1>("h00")) @[exu_div_ctl.scala 195:52] + node _T_1472 = and(io.dec_div.div_p.valid, _T_1471) @[exu_div_ctl.scala 195:50] + reg _T_1473 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[exu_div_ctl.scala 195:26] + _T_1473 <= _T_1472 @[exu_div_ctl.scala 195:26] + valid_ff_x <= _T_1473 @[exu_div_ctl.scala 195:16] + node _T_1474 = eq(io.dec_div.dec_div_cancel, UInt<1>("h00")) @[exu_div_ctl.scala 196:35] + node _T_1475 = and(finish, _T_1474) @[exu_div_ctl.scala 196:33] + reg _T_1476 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[exu_div_ctl.scala 196:25] + _T_1476 <= _T_1475 @[exu_div_ctl.scala 196:25] + finish_ff <= _T_1476 @[exu_div_ctl.scala 196:15] + reg _T_1477 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[exu_div_ctl.scala 197:25] + _T_1477 <= run_in @[exu_div_ctl.scala 197:25] + run_state <= _T_1477 @[exu_div_ctl.scala 197:15] + reg _T_1478 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[exu_div_ctl.scala 198:21] + _T_1478 <= count_in @[exu_div_ctl.scala 198:21] + count <= _T_1478 @[exu_div_ctl.scala 198:11] + node _T_1479 = bits(io.dividend, 31, 31) @[exu_div_ctl.scala 199:45] + node _T_1480 = bits(io.dec_div.div_p.valid, 0, 0) @[exu_div_ctl.scala 199:79] + reg _T_1481 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1480 : @[Reg.scala 28:19] + _T_1481 <= _T_1479 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + dividend_neg_ff <= _T_1481 @[exu_div_ctl.scala 199:21] + node _T_1482 = bits(io.divisor, 31, 31) @[exu_div_ctl.scala 200:43] + node _T_1483 = bits(io.dec_div.div_p.valid, 0, 0) @[exu_div_ctl.scala 200:77] + reg _T_1484 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1483 : @[Reg.scala 28:19] + _T_1484 <= _T_1482 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + divisor_neg_ff <= _T_1484 @[exu_div_ctl.scala 200:20] + node _T_1485 = bits(io.dec_div.div_p.valid, 0, 0) @[exu_div_ctl.scala 201:64] + reg _T_1486 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1485 : @[Reg.scala 28:19] + _T_1486 <= sign_eff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + sign_ff <= _T_1486 @[exu_div_ctl.scala 201:13] + node _T_1487 = bits(io.dec_div.div_p.valid, 0, 0) @[exu_div_ctl.scala 202:80] + reg _T_1488 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1487 : @[Reg.scala 28:19] + _T_1488 <= io.dec_div.div_p.bits.rem @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + rem_ff <= _T_1488 @[exu_div_ctl.scala 202:12] + reg _T_1489 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[exu_div_ctl.scala 203:32] + _T_1489 <= smallnum_case @[exu_div_ctl.scala 203:32] + smallnum_case_ff <= _T_1489 @[exu_div_ctl.scala 203:22] + reg _T_1490 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[exu_div_ctl.scala 204:27] + _T_1490 <= smallnum @[exu_div_ctl.scala 204:27] + smallnum_ff <= _T_1490 @[exu_div_ctl.scala 204:17] + reg _T_1491 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[exu_div_ctl.scala 205:32] + _T_1491 <= shortq_enable @[exu_div_ctl.scala 205:32] + shortq_enable_ff <= _T_1491 @[exu_div_ctl.scala 205:22] + reg _T_1492 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[exu_div_ctl.scala 206:31] + _T_1492 <= shortq_shift @[exu_div_ctl.scala 206:31] + shortq_shift_xx <= _T_1492 @[exu_div_ctl.scala 206:21] + node _T_1493 = bits(qff_enable, 0, 0) @[exu_div_ctl.scala 208:35] + inst rvclkhdr_1 of rvclkhdr_24 @[lib.scala 368:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_1.io.en <= _T_1493 @[lib.scala 371:17] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_1494 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1494 <= q_in @[lib.scala 374:16] + q_ff <= _T_1494 @[exu_div_ctl.scala 208:8] + node _T_1495 = bits(aff_enable, 0, 0) @[exu_div_ctl.scala 209:35] + inst rvclkhdr_2 of rvclkhdr_25 @[lib.scala 368:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_2.io.en <= _T_1495 @[lib.scala 371:17] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_1496 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1496 <= a_in @[lib.scala 374:16] + a_ff <= _T_1496 @[exu_div_ctl.scala 209:8] + node _T_1497 = eq(io.dec_div.div_p.bits.unsign, UInt<1>("h00")) @[exu_div_ctl.scala 210:22] + node _T_1498 = bits(io.divisor, 31, 31) @[exu_div_ctl.scala 210:64] + node _T_1499 = and(_T_1497, _T_1498) @[exu_div_ctl.scala 210:52] + node _T_1500 = cat(_T_1499, io.divisor) @[Cat.scala 29:58] + node _T_1501 = bits(io.dec_div.div_p.valid, 0, 0) @[exu_div_ctl.scala 210:106] + inst rvclkhdr_3 of rvclkhdr_26 @[lib.scala 368:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_3.io.en <= _T_1501 @[lib.scala 371:17] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_1502 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1502 <= _T_1500 @[lib.scala 374:16] + m_ff <= _T_1502 @[exu_div_ctl.scala 210:8] + + module exu : + input clock : Clock + input reset : AsyncReset + output io : {flip scan_mode : UInt<1>, dec_exu : {dec_alu : {flip dec_i0_alu_decode_d : UInt<1>, flip dec_csr_ren_d : UInt<1>, flip dec_i0_br_immed_d : UInt<12>, exu_i0_pc_x : UInt<31>}, dec_div : {flip div_p : {valid : UInt<1>, bits : {unsign : UInt<1>, rem : UInt<1>}}, flip dec_div_cancel : UInt<1>}, decode_exu : {flip dec_data_en : UInt<2>, flip dec_ctl_en : UInt<2>, flip i0_ap : {land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, srl : UInt<1>, sra : UInt<1>, beq : UInt<1>, bne : UInt<1>, blt : UInt<1>, bge : UInt<1>, add : UInt<1>, sub : UInt<1>, slt : UInt<1>, unsign : UInt<1>, jal : UInt<1>, predict_t : UInt<1>, predict_nt : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>}, flip dec_i0_predict_p_d : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<31>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}}, flip i0_predict_fghr_d : UInt<8>, flip i0_predict_index_d : UInt<8>, flip i0_predict_btag_d : UInt<5>, flip dec_i0_rs1_en_d : UInt<1>, flip dec_i0_rs2_en_d : UInt<1>, flip dec_i0_immed_d : UInt<32>, flip dec_i0_rs1_bypass_data_d : UInt<32>, flip dec_i0_rs2_bypass_data_d : UInt<32>, flip dec_i0_select_pc_d : UInt<1>, flip dec_i0_rs1_bypass_en_d : UInt<2>, flip dec_i0_rs2_bypass_en_d : UInt<2>, flip mul_p : {valid : UInt<1>, bits : {rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, bext : UInt<1>, bdep : UInt<1>, clmul : UInt<1>, clmulh : UInt<1>, clmulr : UInt<1>, grev : UInt<1>, shfl : UInt<1>, unshfl : UInt<1>, crc32_b : UInt<1>, crc32_h : UInt<1>, crc32_w : UInt<1>, crc32c_b : UInt<1>, crc32c_h : UInt<1>, crc32c_w : UInt<1>, bfp : UInt<1>}}, flip pred_correct_npc_x : UInt<31>, flip dec_extint_stall : UInt<1>, exu_i0_result_x : UInt<32>, exu_csr_rs1_x : UInt<32>}, tlu_exu : {flip dec_tlu_meihap : UInt<30>, flip dec_tlu_flush_lower_r : UInt<1>, flip dec_tlu_flush_path_r : UInt<31>, exu_i0_br_hist_r : UInt<2>, exu_i0_br_error_r : UInt<1>, exu_i0_br_start_error_r : UInt<1>, exu_i0_br_index_r : UInt<8>, exu_i0_br_valid_r : UInt<1>, exu_i0_br_mp_r : UInt<1>, exu_i0_br_middle_r : UInt<1>, exu_pmu_i0_br_misp : UInt<1>, exu_pmu_i0_br_ataken : UInt<1>, exu_pmu_i0_pc4 : UInt<1>, exu_npc_r : UInt<31>}, ib_exu : {flip dec_i0_pc_d : UInt<31>, flip dec_debug_wdata_rs1_d : UInt<1>}, gpr_exu : {flip gpr_i0_rs1_d : UInt<32>, flip gpr_i0_rs2_d : UInt<32>}}, exu_bp : {exu_i0_br_index_r : UInt<8>, exu_i0_br_fghr_r : UInt<8>, exu_i0_br_way_r : UInt<1>, exu_mp_pkt : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<31>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}}, exu_mp_eghr : UInt<8>, exu_mp_fghr : UInt<8>, exu_mp_index : UInt<8>, exu_mp_btag : UInt<5>}, exu_flush_final : UInt<1>, exu_div_result : UInt<32>, exu_div_wren : UInt<1>, flip dbg_cmd_wrdata : UInt<32>, flip lsu_exu : {flip exu_lsu_rs1_d : UInt<32>, flip exu_lsu_rs2_d : UInt<32>}, exu_flush_path_final : UInt<31>} + + wire ghr_x_ns : UInt<8> @[exu.scala 32:57] + wire ghr_d_ns : UInt<8> @[exu.scala 33:57] + wire ghr_d : UInt<8> @[exu.scala 34:67] + wire i0_taken_d : UInt<1> @[exu.scala 35:63] + wire mul_valid_x : UInt<1> @[exu.scala 36:63] + wire i0_valid_d : UInt<1> @[exu.scala 37:63] + wire flush_lower_ff : UInt<1> @[exu.scala 38:53] + wire data_gate_en : UInt<1> @[exu.scala 39:55] + wire csr_rs1_in_d : UInt<32> @[exu.scala 40:55] + wire i0_predict_newp_d : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<31>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}} @[exu.scala 41:51] + wire i0_flush_path_d : UInt<31> @[exu.scala 42:53] + wire i0_predict_p_d : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<31>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}} @[exu.scala 43:53] + wire i0_pp_r : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<31>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}} @[exu.scala 44:65] + wire i0_predict_p_x : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<31>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}} @[exu.scala 45:53] + wire final_predict_mp : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<31>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}} @[exu.scala 46:45] + wire pred_correct_npc_r : UInt<32> @[exu.scala 47:51] + wire i0_pred_correct_upper_d : UInt<1> @[exu.scala 48:41] + wire i0_flush_upper_d : UInt<1> @[exu.scala 49:45] + io.exu_bp.exu_mp_pkt.bits.prett <= UInt<1>("h00") @[exu.scala 50:57] + io.exu_bp.exu_mp_pkt.bits.br_start_error <= UInt<1>("h00") @[exu.scala 51:43] + io.exu_bp.exu_mp_pkt.bits.br_error <= UInt<1>("h00") @[exu.scala 52:49] + io.exu_bp.exu_mp_pkt.valid <= UInt<1>("h00") @[exu.scala 53:55] + i0_pp_r.bits.toffset <= UInt<1>("h00") @[exu.scala 54:33] + node x_data_en = bits(io.dec_exu.decode_exu.dec_data_en, 1, 1) @[exu.scala 56:69] + node r_data_en = bits(io.dec_exu.decode_exu.dec_data_en, 0, 0) @[exu.scala 57:69] + node x_ctl_en = bits(io.dec_exu.decode_exu.dec_ctl_en, 1, 1) @[exu.scala 58:68] + node r_ctl_en = bits(io.dec_exu.decode_exu.dec_ctl_en, 0, 0) @[exu.scala 59:68] + node _T = cat(io.dec_exu.decode_exu.i0_predict_fghr_d, io.dec_exu.decode_exu.i0_predict_index_d) @[Cat.scala 29:58] + node predpipe_d = cat(_T, io.dec_exu.decode_exu.i0_predict_btag_d) @[Cat.scala 29:58] + node _T_1 = bits(x_data_en, 0, 0) @[exu.scala 63:59] + inst rvclkhdr of rvclkhdr @[lib.scala 368:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 370:18] + rvclkhdr.io.en <= _T_1 @[lib.scala 371:17] + rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg i0_flush_path_x : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + i0_flush_path_x <= i0_flush_path_d @[lib.scala 374:16] + node _T_2 = bits(x_data_en, 0, 0) @[exu.scala 64:89] + inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 368:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_1.io.en <= _T_2 @[lib.scala 371:17] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_3 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_3 <= csr_rs1_in_d @[lib.scala 374:16] + io.dec_exu.decode_exu.exu_csr_rs1_x <= _T_3 @[exu.scala 64:57] + node _T_4 = bits(x_data_en, 0, 0) @[exu.scala 65:83] + inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 378:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 380:18] + rvclkhdr_2.io.en <= _T_4 @[lib.scala 381:17] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 382:24] + wire _T_5 : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<31>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}} @[lib.scala 384:33] + _T_5.bits.way <= UInt<1>("h00") @[lib.scala 384:33] + _T_5.bits.pja <= UInt<1>("h00") @[lib.scala 384:33] + _T_5.bits.pret <= UInt<1>("h00") @[lib.scala 384:33] + _T_5.bits.pcall <= UInt<1>("h00") @[lib.scala 384:33] + _T_5.bits.prett <= UInt<31>("h00") @[lib.scala 384:33] + _T_5.bits.br_start_error <= UInt<1>("h00") @[lib.scala 384:33] + _T_5.bits.br_error <= UInt<1>("h00") @[lib.scala 384:33] + _T_5.bits.toffset <= UInt<12>("h00") @[lib.scala 384:33] + _T_5.bits.hist <= UInt<2>("h00") @[lib.scala 384:33] + _T_5.bits.pc4 <= UInt<1>("h00") @[lib.scala 384:33] + _T_5.bits.boffset <= UInt<1>("h00") @[lib.scala 384:33] + _T_5.bits.ataken <= UInt<1>("h00") @[lib.scala 384:33] + _T_5.bits.misp <= UInt<1>("h00") @[lib.scala 384:33] + _T_5.valid <= UInt<1>("h00") @[lib.scala 384:33] + reg _T_6 : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<31>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}}, rvclkhdr_2.io.l1clk with : (reset => (reset, _T_5)) @[lib.scala 384:16] + _T_6.bits.way <= i0_predict_p_d.bits.way @[lib.scala 384:16] + _T_6.bits.pja <= i0_predict_p_d.bits.pja @[lib.scala 384:16] + _T_6.bits.pret <= i0_predict_p_d.bits.pret @[lib.scala 384:16] + _T_6.bits.pcall <= i0_predict_p_d.bits.pcall @[lib.scala 384:16] + _T_6.bits.prett <= i0_predict_p_d.bits.prett @[lib.scala 384:16] + _T_6.bits.br_start_error <= i0_predict_p_d.bits.br_start_error @[lib.scala 384:16] + _T_6.bits.br_error <= i0_predict_p_d.bits.br_error @[lib.scala 384:16] + _T_6.bits.toffset <= i0_predict_p_d.bits.toffset @[lib.scala 384:16] + _T_6.bits.hist <= i0_predict_p_d.bits.hist @[lib.scala 384:16] + _T_6.bits.pc4 <= i0_predict_p_d.bits.pc4 @[lib.scala 384:16] + _T_6.bits.boffset <= i0_predict_p_d.bits.boffset @[lib.scala 384:16] + _T_6.bits.ataken <= i0_predict_p_d.bits.ataken @[lib.scala 384:16] + _T_6.bits.misp <= i0_predict_p_d.bits.misp @[lib.scala 384:16] + _T_6.valid <= i0_predict_p_d.valid @[lib.scala 384:16] + i0_predict_p_x.bits.way <= _T_6.bits.way @[exu.scala 65:49] + i0_predict_p_x.bits.pja <= _T_6.bits.pja @[exu.scala 65:49] + i0_predict_p_x.bits.pret <= _T_6.bits.pret @[exu.scala 65:49] + i0_predict_p_x.bits.pcall <= _T_6.bits.pcall @[exu.scala 65:49] + i0_predict_p_x.bits.prett <= _T_6.bits.prett @[exu.scala 65:49] + i0_predict_p_x.bits.br_start_error <= _T_6.bits.br_start_error @[exu.scala 65:49] + i0_predict_p_x.bits.br_error <= _T_6.bits.br_error @[exu.scala 65:49] + i0_predict_p_x.bits.toffset <= _T_6.bits.toffset @[exu.scala 65:49] + i0_predict_p_x.bits.hist <= _T_6.bits.hist @[exu.scala 65:49] + i0_predict_p_x.bits.pc4 <= _T_6.bits.pc4 @[exu.scala 65:49] + i0_predict_p_x.bits.boffset <= _T_6.bits.boffset @[exu.scala 65:49] + i0_predict_p_x.bits.ataken <= _T_6.bits.ataken @[exu.scala 65:49] + i0_predict_p_x.bits.misp <= _T_6.bits.misp @[exu.scala 65:49] + i0_predict_p_x.valid <= _T_6.valid @[exu.scala 65:49] + node _T_7 = bits(x_data_en, 0, 0) @[exu.scala 66:70] + inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 368:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_3.io.en <= _T_7 @[lib.scala 371:17] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg predpipe_x : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + predpipe_x <= predpipe_d @[lib.scala 374:16] + node _T_8 = bits(r_data_en, 0, 0) @[exu.scala 67:79] + inst rvclkhdr_4 of rvclkhdr_4 @[lib.scala 368:23] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_4.io.en <= _T_8 @[lib.scala 371:17] + rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg predpipe_r : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + predpipe_r <= predpipe_x @[lib.scala 374:16] + node _T_9 = bits(x_ctl_en, 0, 0) @[exu.scala 68:80] + inst rvclkhdr_5 of rvclkhdr_5 @[lib.scala 368:23] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_5.io.en <= _T_9 @[lib.scala 371:17] + rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg ghr_x : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + ghr_x <= ghr_x_ns @[lib.scala 374:16] + node _T_10 = bits(x_ctl_en, 0, 0) @[exu.scala 69:75] + inst rvclkhdr_6 of rvclkhdr_6 @[lib.scala 368:23] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= reset + rvclkhdr_6.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_6.io.en <= _T_10 @[lib.scala 371:17] + rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg i0_pred_correct_upper_x : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + i0_pred_correct_upper_x <= i0_pred_correct_upper_d @[lib.scala 374:16] + node _T_11 = bits(x_ctl_en, 0, 0) @[exu.scala 70:60] + inst rvclkhdr_7 of rvclkhdr_7 @[lib.scala 368:23] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= reset + rvclkhdr_7.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_7.io.en <= _T_11 @[lib.scala 371:17] + rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg i0_flush_upper_x : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + i0_flush_upper_x <= i0_flush_upper_d @[lib.scala 374:16] + node _T_12 = bits(x_ctl_en, 0, 0) @[exu.scala 71:78] + inst rvclkhdr_8 of rvclkhdr_8 @[lib.scala 368:23] + rvclkhdr_8.clock <= clock + rvclkhdr_8.reset <= reset + rvclkhdr_8.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_8.io.en <= _T_12 @[lib.scala 371:17] + rvclkhdr_8.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg i0_taken_x : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + i0_taken_x <= i0_taken_d @[lib.scala 374:16] + node _T_13 = bits(x_ctl_en, 0, 0) @[exu.scala 72:78] + inst rvclkhdr_9 of rvclkhdr_9 @[lib.scala 368:23] + rvclkhdr_9.clock <= clock + rvclkhdr_9.reset <= reset + rvclkhdr_9.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_9.io.en <= _T_13 @[lib.scala 371:17] + rvclkhdr_9.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg i0_valid_x : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + i0_valid_x <= i0_valid_d @[lib.scala 374:16] + node _T_14 = bits(r_ctl_en, 0, 0) @[exu.scala 73:58] + inst rvclkhdr_10 of rvclkhdr_10 @[lib.scala 378:23] + rvclkhdr_10.clock <= clock + rvclkhdr_10.reset <= reset + rvclkhdr_10.io.clk <= clock @[lib.scala 380:18] + rvclkhdr_10.io.en <= _T_14 @[lib.scala 381:17] + rvclkhdr_10.io.scan_mode <= io.scan_mode @[lib.scala 382:24] + wire _T_15 : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<31>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}} @[lib.scala 384:33] + _T_15.bits.way <= UInt<1>("h00") @[lib.scala 384:33] + _T_15.bits.pja <= UInt<1>("h00") @[lib.scala 384:33] + _T_15.bits.pret <= UInt<1>("h00") @[lib.scala 384:33] + _T_15.bits.pcall <= UInt<1>("h00") @[lib.scala 384:33] + _T_15.bits.prett <= UInt<31>("h00") @[lib.scala 384:33] + _T_15.bits.br_start_error <= UInt<1>("h00") @[lib.scala 384:33] + _T_15.bits.br_error <= UInt<1>("h00") @[lib.scala 384:33] + _T_15.bits.toffset <= UInt<12>("h00") @[lib.scala 384:33] + _T_15.bits.hist <= UInt<2>("h00") @[lib.scala 384:33] + _T_15.bits.pc4 <= UInt<1>("h00") @[lib.scala 384:33] + _T_15.bits.boffset <= UInt<1>("h00") @[lib.scala 384:33] + _T_15.bits.ataken <= UInt<1>("h00") @[lib.scala 384:33] + _T_15.bits.misp <= UInt<1>("h00") @[lib.scala 384:33] + _T_15.valid <= UInt<1>("h00") @[lib.scala 384:33] + reg _T_16 : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<31>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}}, rvclkhdr_10.io.l1clk with : (reset => (reset, _T_15)) @[lib.scala 384:16] + _T_16.bits.way <= i0_predict_p_x.bits.way @[lib.scala 384:16] + _T_16.bits.pja <= i0_predict_p_x.bits.pja @[lib.scala 384:16] + _T_16.bits.pret <= i0_predict_p_x.bits.pret @[lib.scala 384:16] + _T_16.bits.pcall <= i0_predict_p_x.bits.pcall @[lib.scala 384:16] + _T_16.bits.prett <= i0_predict_p_x.bits.prett @[lib.scala 384:16] + _T_16.bits.br_start_error <= i0_predict_p_x.bits.br_start_error @[lib.scala 384:16] + _T_16.bits.br_error <= i0_predict_p_x.bits.br_error @[lib.scala 384:16] + _T_16.bits.toffset <= i0_predict_p_x.bits.toffset @[lib.scala 384:16] + _T_16.bits.hist <= i0_predict_p_x.bits.hist @[lib.scala 384:16] + _T_16.bits.pc4 <= i0_predict_p_x.bits.pc4 @[lib.scala 384:16] + _T_16.bits.boffset <= i0_predict_p_x.bits.boffset @[lib.scala 384:16] + _T_16.bits.ataken <= i0_predict_p_x.bits.ataken @[lib.scala 384:16] + _T_16.bits.misp <= i0_predict_p_x.bits.misp @[lib.scala 384:16] + _T_16.valid <= i0_predict_p_x.valid @[lib.scala 384:16] + i0_pp_r.bits.way <= _T_16.bits.way @[exu.scala 73:25] + i0_pp_r.bits.pja <= _T_16.bits.pja @[exu.scala 73:25] + i0_pp_r.bits.pret <= _T_16.bits.pret @[exu.scala 73:25] + i0_pp_r.bits.pcall <= _T_16.bits.pcall @[exu.scala 73:25] + i0_pp_r.bits.prett <= _T_16.bits.prett @[exu.scala 73:25] + i0_pp_r.bits.br_start_error <= _T_16.bits.br_start_error @[exu.scala 73:25] + i0_pp_r.bits.br_error <= _T_16.bits.br_error @[exu.scala 73:25] + i0_pp_r.bits.toffset <= _T_16.bits.toffset @[exu.scala 73:25] + i0_pp_r.bits.hist <= _T_16.bits.hist @[exu.scala 73:25] + i0_pp_r.bits.pc4 <= _T_16.bits.pc4 @[exu.scala 73:25] + i0_pp_r.bits.boffset <= _T_16.bits.boffset @[exu.scala 73:25] + i0_pp_r.bits.ataken <= _T_16.bits.ataken @[exu.scala 73:25] + i0_pp_r.bits.misp <= _T_16.bits.misp @[exu.scala 73:25] + i0_pp_r.valid <= _T_16.valid @[exu.scala 73:25] + node _T_17 = bits(io.dec_exu.decode_exu.pred_correct_npc_x, 5, 0) @[exu.scala 74:85] + node _T_18 = bits(r_ctl_en, 0, 0) @[exu.scala 74:101] + inst rvclkhdr_11 of rvclkhdr_11 @[lib.scala 368:23] + rvclkhdr_11.clock <= clock + rvclkhdr_11.reset <= reset + rvclkhdr_11.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_11.io.en <= _T_18 @[lib.scala 371:17] + rvclkhdr_11.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg pred_temp1 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + pred_temp1 <= _T_17 @[lib.scala 374:16] + node _T_19 = bits(r_ctl_en, 0, 0) @[exu.scala 75:75] + inst rvclkhdr_12 of rvclkhdr_12 @[lib.scala 368:23] + rvclkhdr_12.clock <= clock + rvclkhdr_12.reset <= reset + rvclkhdr_12.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_12.io.en <= _T_19 @[lib.scala 371:17] + rvclkhdr_12.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg i0_pred_correct_upper_r : UInt, rvclkhdr_12.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + i0_pred_correct_upper_r <= i0_pred_correct_upper_x @[lib.scala 374:16] + node _T_20 = bits(r_data_en, 0, 0) @[exu.scala 76:68] + inst rvclkhdr_13 of rvclkhdr_13 @[lib.scala 368:23] + rvclkhdr_13.clock <= clock + rvclkhdr_13.reset <= reset + rvclkhdr_13.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_13.io.en <= _T_20 @[lib.scala 371:17] + rvclkhdr_13.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg i0_flush_path_upper_r : UInt, rvclkhdr_13.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + i0_flush_path_upper_r <= i0_flush_path_x @[lib.scala 374:16] + node _T_21 = bits(io.dec_exu.decode_exu.pred_correct_npc_x, 30, 6) @[exu.scala 77:97] + node _T_22 = bits(r_data_en, 0, 0) @[exu.scala 77:115] + inst rvclkhdr_14 of rvclkhdr_14 @[lib.scala 368:23] + rvclkhdr_14.clock <= clock + rvclkhdr_14.reset <= reset + rvclkhdr_14.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_14.io.en <= _T_22 @[lib.scala 371:17] + rvclkhdr_14.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg pred_temp2 : UInt, rvclkhdr_14.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + pred_temp2 <= _T_21 @[lib.scala 374:16] + node _T_23 = cat(pred_temp2, pred_temp1) @[Cat.scala 29:58] + pred_correct_npc_r <= _T_23 @[exu.scala 78:41] + node _T_24 = eq(UInt<10>("h0200"), UInt<6>("h020")) @[exu.scala 80:24] + node _T_25 = eq(UInt<10>("h0200"), UInt<7>("h040")) @[exu.scala 80:50] + node _T_26 = or(_T_24, _T_25) @[exu.scala 80:32] + when _T_26 : @[exu.scala 80:58] + node _T_27 = bits(data_gate_en, 0, 0) @[exu.scala 81:71] + reg _T_28 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_27 : @[Reg.scala 28:19] + _T_28 <= ghr_d_ns @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ghr_d <= _T_28 @[exu.scala 81:33] + node _T_29 = bits(data_gate_en, 0, 0) @[exu.scala 82:88] + reg _T_30 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_29 : @[Reg.scala 28:19] + _T_30 <= io.dec_exu.decode_exu.mul_p.valid @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + mul_valid_x <= _T_30 @[exu.scala 82:25] + node _T_31 = bits(data_gate_en, 0, 0) @[exu.scala 83:95] + reg _T_32 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_31 : @[Reg.scala 28:19] + _T_32 <= io.dec_exu.tlu_exu.dec_tlu_flush_lower_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + flush_lower_ff <= _T_32 @[exu.scala 83:25] + skip @[exu.scala 80:58] + else : @[exu.scala 84:14] + node _T_33 = bits(data_gate_en, 0, 0) @[exu.scala 85:65] + inst rvclkhdr_15 of rvclkhdr_15 @[lib.scala 368:23] + rvclkhdr_15.clock <= clock + rvclkhdr_15.reset <= reset + rvclkhdr_15.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_15.io.en <= _T_33 @[lib.scala 371:17] + rvclkhdr_15.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_34 : UInt, rvclkhdr_15.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_34 <= ghr_d_ns @[lib.scala 374:16] + ghr_d <= _T_34 @[exu.scala 85:33] + node _T_35 = bits(data_gate_en, 0, 0) @[exu.scala 86:82] + inst rvclkhdr_16 of rvclkhdr_16 @[lib.scala 368:23] + rvclkhdr_16.clock <= clock + rvclkhdr_16.reset <= reset + rvclkhdr_16.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_16.io.en <= _T_35 @[lib.scala 371:17] + rvclkhdr_16.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_36 : UInt<1>, rvclkhdr_16.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_36 <= io.dec_exu.decode_exu.mul_p.valid @[lib.scala 374:16] + mul_valid_x <= _T_36 @[exu.scala 86:25] + node _T_37 = bits(data_gate_en, 0, 0) @[exu.scala 87:89] + inst rvclkhdr_17 of rvclkhdr_17 @[lib.scala 368:23] + rvclkhdr_17.clock <= clock + rvclkhdr_17.reset <= reset + rvclkhdr_17.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_17.io.en <= _T_37 @[lib.scala 371:17] + rvclkhdr_17.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_38 : UInt, rvclkhdr_17.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_38 <= io.dec_exu.tlu_exu.dec_tlu_flush_lower_r @[lib.scala 374:16] + flush_lower_ff <= _T_38 @[exu.scala 87:25] + skip @[exu.scala 84:14] + node _T_39 = neq(ghr_d_ns, ghr_d) @[exu.scala 91:39] + node _T_40 = neq(io.dec_exu.decode_exu.mul_p.valid, mul_valid_x) @[exu.scala 91:89] + node _T_41 = or(_T_39, _T_40) @[exu.scala 91:50] + node _T_42 = neq(io.dec_exu.tlu_exu.dec_tlu_flush_lower_r, flush_lower_ff) @[exu.scala 91:151] + node _T_43 = or(_T_41, _T_42) @[exu.scala 91:106] + data_gate_en <= _T_43 @[exu.scala 91:25] + node _T_44 = bits(io.dec_exu.decode_exu.dec_i0_rs1_bypass_en_d, 0, 0) @[exu.scala 92:80] + node _T_45 = bits(io.dec_exu.decode_exu.dec_i0_rs1_bypass_en_d, 1, 1) @[exu.scala 92:130] + node i0_rs1_bypass_en_d = or(_T_44, _T_45) @[exu.scala 92:84] + node _T_46 = bits(io.dec_exu.decode_exu.dec_i0_rs2_bypass_en_d, 0, 0) @[exu.scala 93:80] + node _T_47 = bits(io.dec_exu.decode_exu.dec_i0_rs2_bypass_en_d, 1, 1) @[exu.scala 93:130] + node i0_rs2_bypass_en_d = or(_T_46, _T_47) @[exu.scala 93:84] + node _T_48 = bits(io.dec_exu.decode_exu.dec_i0_rs1_bypass_en_d, 0, 0) @[exu.scala 96:49] + node _T_49 = bits(_T_48, 0, 0) @[exu.scala 96:53] + node _T_50 = bits(io.dec_exu.decode_exu.dec_i0_rs1_bypass_en_d, 1, 1) @[exu.scala 97:49] + node _T_51 = bits(_T_50, 0, 0) @[exu.scala 97:53] + node _T_52 = mux(_T_49, io.dec_exu.decode_exu.dec_i0_rs1_bypass_data_d, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_53 = mux(_T_51, io.dec_exu.decode_exu.exu_i0_result_x, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_54 = or(_T_52, _T_53) @[Mux.scala 27:72] + wire i0_rs1_bypass_data_d : UInt<32> @[Mux.scala 27:72] + i0_rs1_bypass_data_d <= _T_54 @[Mux.scala 27:72] + node _T_55 = bits(io.dec_exu.decode_exu.dec_i0_rs2_bypass_en_d, 0, 0) @[exu.scala 101:49] + node _T_56 = bits(_T_55, 0, 0) @[exu.scala 101:53] + node _T_57 = bits(io.dec_exu.decode_exu.dec_i0_rs2_bypass_en_d, 1, 1) @[exu.scala 102:49] + node _T_58 = bits(_T_57, 0, 0) @[exu.scala 102:53] + node _T_59 = mux(_T_56, io.dec_exu.decode_exu.dec_i0_rs2_bypass_data_d, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_60 = mux(_T_58, io.dec_exu.decode_exu.exu_i0_result_x, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_61 = or(_T_59, _T_60) @[Mux.scala 27:72] + wire i0_rs2_bypass_data_d : UInt<32> @[Mux.scala 27:72] + i0_rs2_bypass_data_d <= _T_61 @[Mux.scala 27:72] + node _T_62 = bits(i0_rs1_bypass_en_d, 0, 0) @[exu.scala 106:24] + node _T_63 = eq(i0_rs1_bypass_en_d, UInt<1>("h00")) @[exu.scala 107:6] + node _T_64 = and(_T_63, io.dec_exu.decode_exu.dec_i0_select_pc_d) @[exu.scala 107:26] + node _T_65 = bits(_T_64, 0, 0) @[exu.scala 107:71] + node _T_66 = cat(io.dec_exu.ib_exu.dec_i0_pc_d, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_67 = eq(i0_rs1_bypass_en_d, UInt<1>("h00")) @[exu.scala 108:6] + node _T_68 = and(_T_67, io.dec_exu.ib_exu.dec_debug_wdata_rs1_d) @[exu.scala 108:26] + node _T_69 = bits(_T_68, 0, 0) @[exu.scala 108:70] + node _T_70 = eq(i0_rs1_bypass_en_d, UInt<1>("h00")) @[exu.scala 109:6] + node _T_71 = eq(io.dec_exu.ib_exu.dec_debug_wdata_rs1_d, UInt<1>("h00")) @[exu.scala 109:28] + node _T_72 = and(_T_70, _T_71) @[exu.scala 109:26] + node _T_73 = and(_T_72, io.dec_exu.decode_exu.dec_i0_rs1_en_d) @[exu.scala 109:69] + node _T_74 = bits(_T_73, 0, 0) @[exu.scala 109:110] + node _T_75 = mux(_T_62, i0_rs1_bypass_data_d, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_76 = mux(_T_65, _T_66, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_77 = mux(_T_69, io.dbg_cmd_wrdata, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_78 = mux(_T_74, io.dec_exu.gpr_exu.gpr_i0_rs1_d, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_79 = or(_T_75, _T_76) @[Mux.scala 27:72] + node _T_80 = or(_T_79, _T_77) @[Mux.scala 27:72] + node _T_81 = or(_T_80, _T_78) @[Mux.scala 27:72] + wire i0_rs1_d : UInt<32> @[Mux.scala 27:72] + i0_rs1_d <= _T_81 @[Mux.scala 27:72] + node _T_82 = eq(i0_rs2_bypass_en_d, UInt<1>("h00")) @[exu.scala 113:6] + node _T_83 = and(_T_82, io.dec_exu.decode_exu.dec_i0_rs2_en_d) @[exu.scala 113:26] + node _T_84 = bits(_T_83, 0, 0) @[exu.scala 113:67] + node _T_85 = eq(i0_rs2_bypass_en_d, UInt<1>("h00")) @[exu.scala 114:6] + node _T_86 = bits(_T_85, 0, 0) @[exu.scala 114:27] + node _T_87 = bits(i0_rs2_bypass_en_d, 0, 0) @[exu.scala 115:26] + node _T_88 = mux(_T_84, io.dec_exu.gpr_exu.gpr_i0_rs2_d, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_89 = mux(_T_86, io.dec_exu.decode_exu.dec_i0_immed_d, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_90 = mux(_T_87, i0_rs2_bypass_data_d, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_91 = or(_T_88, _T_89) @[Mux.scala 27:72] + node _T_92 = or(_T_91, _T_90) @[Mux.scala 27:72] + wire i0_rs2_d : UInt<32> @[Mux.scala 27:72] + i0_rs2_d <= _T_92 @[Mux.scala 27:72] + node _T_93 = eq(i0_rs1_bypass_en_d, UInt<1>("h00")) @[exu.scala 120:6] + node _T_94 = eq(io.dec_exu.decode_exu.dec_extint_stall, UInt<1>("h00")) @[exu.scala 120:28] + node _T_95 = and(_T_93, _T_94) @[exu.scala 120:26] + node _T_96 = and(_T_95, io.dec_exu.decode_exu.dec_i0_rs1_en_d) @[exu.scala 120:68] + node _T_97 = bits(_T_96, 0, 0) @[exu.scala 120:109] + node _T_98 = eq(io.dec_exu.decode_exu.dec_extint_stall, UInt<1>("h00")) @[exu.scala 121:27] + node _T_99 = and(i0_rs1_bypass_en_d, _T_98) @[exu.scala 121:25] + node _T_100 = bits(_T_99, 0, 0) @[exu.scala 121:68] + node _T_101 = bits(io.dec_exu.decode_exu.dec_extint_stall, 0, 0) @[exu.scala 122:46] + node _T_102 = cat(io.dec_exu.tlu_exu.dec_tlu_meihap, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_103 = mux(_T_97, io.dec_exu.gpr_exu.gpr_i0_rs1_d, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_104 = mux(_T_100, i0_rs1_bypass_data_d, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_105 = mux(_T_101, _T_102, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_106 = or(_T_103, _T_104) @[Mux.scala 27:72] + node _T_107 = or(_T_106, _T_105) @[Mux.scala 27:72] + wire _T_108 : UInt<32> @[Mux.scala 27:72] + _T_108 <= _T_107 @[Mux.scala 27:72] + io.lsu_exu.exu_lsu_rs1_d <= _T_108 @[exu.scala 119:27] + node _T_109 = eq(i0_rs2_bypass_en_d, UInt<1>("h00")) @[exu.scala 126:6] + node _T_110 = eq(io.dec_exu.decode_exu.dec_extint_stall, UInt<1>("h00")) @[exu.scala 126:28] + node _T_111 = and(_T_109, _T_110) @[exu.scala 126:26] + node _T_112 = and(_T_111, io.dec_exu.decode_exu.dec_i0_rs2_en_d) @[exu.scala 126:68] + node _T_113 = bits(_T_112, 0, 0) @[exu.scala 126:109] + node _T_114 = eq(io.dec_exu.decode_exu.dec_extint_stall, UInt<1>("h00")) @[exu.scala 127:27] + node _T_115 = and(i0_rs2_bypass_en_d, _T_114) @[exu.scala 127:25] + node _T_116 = bits(_T_115, 0, 0) @[exu.scala 127:68] + node _T_117 = mux(_T_113, io.dec_exu.gpr_exu.gpr_i0_rs2_d, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_118 = mux(_T_116, i0_rs2_bypass_data_d, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_119 = or(_T_117, _T_118) @[Mux.scala 27:72] + wire _T_120 : UInt<32> @[Mux.scala 27:72] + _T_120 <= _T_119 @[Mux.scala 27:72] + io.lsu_exu.exu_lsu_rs2_d <= _T_120 @[exu.scala 125:27] + node _T_121 = eq(i0_rs1_bypass_en_d, UInt<1>("h00")) @[exu.scala 131:6] + node _T_122 = and(_T_121, io.dec_exu.decode_exu.dec_i0_rs1_en_d) @[exu.scala 131:26] + node _T_123 = bits(_T_122, 0, 0) @[exu.scala 131:67] + node _T_124 = bits(i0_rs1_bypass_en_d, 0, 0) @[exu.scala 132:26] + node _T_125 = mux(_T_123, io.dec_exu.gpr_exu.gpr_i0_rs1_d, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_126 = mux(_T_124, i0_rs1_bypass_data_d, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_127 = or(_T_125, _T_126) @[Mux.scala 27:72] + wire muldiv_rs1_d : UInt<32> @[Mux.scala 27:72] + muldiv_rs1_d <= _T_127 @[Mux.scala 27:72] + node _T_128 = eq(i0_rs2_bypass_en_d, UInt<1>("h00")) @[exu.scala 136:6] + node _T_129 = and(_T_128, io.dec_exu.decode_exu.dec_i0_rs2_en_d) @[exu.scala 136:26] + node _T_130 = bits(_T_129, 0, 0) @[exu.scala 136:67] + node _T_131 = eq(i0_rs2_bypass_en_d, UInt<1>("h00")) @[exu.scala 137:6] + node _T_132 = bits(_T_131, 0, 0) @[exu.scala 137:27] + node _T_133 = bits(i0_rs2_bypass_en_d, 0, 0) @[exu.scala 138:26] + node _T_134 = mux(_T_130, io.dec_exu.gpr_exu.gpr_i0_rs2_d, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_135 = mux(_T_132, io.dec_exu.decode_exu.dec_i0_immed_d, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_136 = mux(_T_133, i0_rs2_bypass_data_d, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_137 = or(_T_134, _T_135) @[Mux.scala 27:72] + node _T_138 = or(_T_137, _T_136) @[Mux.scala 27:72] + wire muldiv_rs2_d : UInt<32> @[Mux.scala 27:72] + muldiv_rs2_d <= _T_138 @[Mux.scala 27:72] + node _T_139 = bits(io.dec_exu.dec_alu.dec_csr_ren_d, 0, 0) @[exu.scala 141:63] + node _T_140 = mux(_T_139, i0_rs1_d, io.dec_exu.decode_exu.exu_csr_rs1_x) @[exu.scala 141:28] + csr_rs1_in_d <= _T_140 @[exu.scala 141:22] + inst i_alu of exu_alu_ctl @[exu.scala 144:19] + i_alu.clock <= clock + i_alu.reset <= reset + io.dec_exu.dec_alu.exu_i0_pc_x <= i_alu.io.dec_alu.exu_i0_pc_x @[exu.scala 145:20] + i_alu.io.dec_alu.dec_i0_br_immed_d <= io.dec_exu.dec_alu.dec_i0_br_immed_d @[exu.scala 145:20] + i_alu.io.dec_alu.dec_csr_ren_d <= io.dec_exu.dec_alu.dec_csr_ren_d @[exu.scala 145:20] + i_alu.io.dec_alu.dec_i0_alu_decode_d <= io.dec_exu.dec_alu.dec_i0_alu_decode_d @[exu.scala 145:20] + i_alu.io.scan_mode <= io.scan_mode @[exu.scala 146:33] + i_alu.io.enable <= x_ctl_en @[exu.scala 147:41] + i_alu.io.pp_in.bits.way <= i0_predict_newp_d.bits.way @[exu.scala 148:41] + i_alu.io.pp_in.bits.pja <= i0_predict_newp_d.bits.pja @[exu.scala 148:41] + i_alu.io.pp_in.bits.pret <= i0_predict_newp_d.bits.pret @[exu.scala 148:41] + i_alu.io.pp_in.bits.pcall <= i0_predict_newp_d.bits.pcall @[exu.scala 148:41] + i_alu.io.pp_in.bits.prett <= i0_predict_newp_d.bits.prett @[exu.scala 148:41] + i_alu.io.pp_in.bits.br_start_error <= i0_predict_newp_d.bits.br_start_error @[exu.scala 148:41] + i_alu.io.pp_in.bits.br_error <= i0_predict_newp_d.bits.br_error @[exu.scala 148:41] + i_alu.io.pp_in.bits.toffset <= i0_predict_newp_d.bits.toffset @[exu.scala 148:41] + i_alu.io.pp_in.bits.hist <= i0_predict_newp_d.bits.hist @[exu.scala 148:41] + i_alu.io.pp_in.bits.pc4 <= i0_predict_newp_d.bits.pc4 @[exu.scala 148:41] + i_alu.io.pp_in.bits.boffset <= i0_predict_newp_d.bits.boffset @[exu.scala 148:41] + i_alu.io.pp_in.bits.ataken <= i0_predict_newp_d.bits.ataken @[exu.scala 148:41] + i_alu.io.pp_in.bits.misp <= i0_predict_newp_d.bits.misp @[exu.scala 148:41] + i_alu.io.pp_in.valid <= i0_predict_newp_d.valid @[exu.scala 148:41] + i_alu.io.flush_upper_x <= i0_flush_upper_x @[exu.scala 149:33] + i_alu.io.dec_tlu_flush_lower_r <= io.dec_exu.tlu_exu.dec_tlu_flush_lower_r @[exu.scala 150:41] + node _T_141 = asSInt(i0_rs1_d) @[exu.scala 151:44] + i_alu.io.a_in <= _T_141 @[exu.scala 151:33] + i_alu.io.b_in <= i0_rs2_d @[exu.scala 152:33] + i_alu.io.dec_i0_pc_d <= io.dec_exu.ib_exu.dec_i0_pc_d @[exu.scala 153:41] + i_alu.io.i0_ap.csr_imm <= io.dec_exu.decode_exu.i0_ap.csr_imm @[exu.scala 154:49] + i_alu.io.i0_ap.csr_write <= io.dec_exu.decode_exu.i0_ap.csr_write @[exu.scala 154:49] + i_alu.io.i0_ap.predict_nt <= io.dec_exu.decode_exu.i0_ap.predict_nt @[exu.scala 154:49] + i_alu.io.i0_ap.predict_t <= io.dec_exu.decode_exu.i0_ap.predict_t @[exu.scala 154:49] + i_alu.io.i0_ap.jal <= io.dec_exu.decode_exu.i0_ap.jal @[exu.scala 154:49] + i_alu.io.i0_ap.unsign <= io.dec_exu.decode_exu.i0_ap.unsign @[exu.scala 154:49] + i_alu.io.i0_ap.slt <= io.dec_exu.decode_exu.i0_ap.slt @[exu.scala 154:49] + i_alu.io.i0_ap.sub <= io.dec_exu.decode_exu.i0_ap.sub @[exu.scala 154:49] + i_alu.io.i0_ap.add <= io.dec_exu.decode_exu.i0_ap.add @[exu.scala 154:49] + i_alu.io.i0_ap.bge <= io.dec_exu.decode_exu.i0_ap.bge @[exu.scala 154:49] + i_alu.io.i0_ap.blt <= io.dec_exu.decode_exu.i0_ap.blt @[exu.scala 154:49] + i_alu.io.i0_ap.bne <= io.dec_exu.decode_exu.i0_ap.bne @[exu.scala 154:49] + i_alu.io.i0_ap.beq <= io.dec_exu.decode_exu.i0_ap.beq @[exu.scala 154:49] + i_alu.io.i0_ap.sra <= io.dec_exu.decode_exu.i0_ap.sra @[exu.scala 154:49] + i_alu.io.i0_ap.srl <= io.dec_exu.decode_exu.i0_ap.srl @[exu.scala 154:49] + i_alu.io.i0_ap.sll <= io.dec_exu.decode_exu.i0_ap.sll @[exu.scala 154:49] + i_alu.io.i0_ap.lxor <= io.dec_exu.decode_exu.i0_ap.lxor @[exu.scala 154:49] + i_alu.io.i0_ap.lor <= io.dec_exu.decode_exu.i0_ap.lor @[exu.scala 154:49] + i_alu.io.i0_ap.land <= io.dec_exu.decode_exu.i0_ap.land @[exu.scala 154:49] + i0_flush_upper_d <= i_alu.io.flush_upper_out @[exu.scala 156:33] + i0_flush_path_d <= i_alu.io.flush_path_out @[exu.scala 157:41] + io.exu_flush_final <= i_alu.io.flush_final_out @[exu.scala 158:22] + i0_predict_p_d.bits.way <= i_alu.io.predict_p_out.bits.way @[exu.scala 159:41] + i0_predict_p_d.bits.pja <= i_alu.io.predict_p_out.bits.pja @[exu.scala 159:41] + i0_predict_p_d.bits.pret <= i_alu.io.predict_p_out.bits.pret @[exu.scala 159:41] + i0_predict_p_d.bits.pcall <= i_alu.io.predict_p_out.bits.pcall @[exu.scala 159:41] + i0_predict_p_d.bits.prett <= i_alu.io.predict_p_out.bits.prett @[exu.scala 159:41] + i0_predict_p_d.bits.br_start_error <= i_alu.io.predict_p_out.bits.br_start_error @[exu.scala 159:41] + i0_predict_p_d.bits.br_error <= i_alu.io.predict_p_out.bits.br_error @[exu.scala 159:41] + i0_predict_p_d.bits.toffset <= i_alu.io.predict_p_out.bits.toffset @[exu.scala 159:41] + i0_predict_p_d.bits.hist <= i_alu.io.predict_p_out.bits.hist @[exu.scala 159:41] + i0_predict_p_d.bits.pc4 <= i_alu.io.predict_p_out.bits.pc4 @[exu.scala 159:41] + i0_predict_p_d.bits.boffset <= i_alu.io.predict_p_out.bits.boffset @[exu.scala 159:41] + i0_predict_p_d.bits.ataken <= i_alu.io.predict_p_out.bits.ataken @[exu.scala 159:41] + i0_predict_p_d.bits.misp <= i_alu.io.predict_p_out.bits.misp @[exu.scala 159:41] + i0_predict_p_d.valid <= i_alu.io.predict_p_out.valid @[exu.scala 159:41] + i0_pred_correct_upper_d <= i_alu.io.pred_correct_out @[exu.scala 160:27] + inst i_mul of exu_mul_ctl @[exu.scala 162:19] + i_mul.clock <= clock + i_mul.reset <= reset + i_mul.io.scan_mode <= io.scan_mode @[exu.scala 163:33] + i_mul.io.mul_p.bits.bfp <= io.dec_exu.decode_exu.mul_p.bits.bfp @[exu.scala 164:41] + i_mul.io.mul_p.bits.crc32c_w <= io.dec_exu.decode_exu.mul_p.bits.crc32c_w @[exu.scala 164:41] + i_mul.io.mul_p.bits.crc32c_h <= io.dec_exu.decode_exu.mul_p.bits.crc32c_h @[exu.scala 164:41] + i_mul.io.mul_p.bits.crc32c_b <= io.dec_exu.decode_exu.mul_p.bits.crc32c_b @[exu.scala 164:41] + i_mul.io.mul_p.bits.crc32_w <= io.dec_exu.decode_exu.mul_p.bits.crc32_w @[exu.scala 164:41] + i_mul.io.mul_p.bits.crc32_h <= io.dec_exu.decode_exu.mul_p.bits.crc32_h @[exu.scala 164:41] + i_mul.io.mul_p.bits.crc32_b <= io.dec_exu.decode_exu.mul_p.bits.crc32_b @[exu.scala 164:41] + i_mul.io.mul_p.bits.unshfl <= io.dec_exu.decode_exu.mul_p.bits.unshfl @[exu.scala 164:41] + i_mul.io.mul_p.bits.shfl <= io.dec_exu.decode_exu.mul_p.bits.shfl @[exu.scala 164:41] + i_mul.io.mul_p.bits.grev <= io.dec_exu.decode_exu.mul_p.bits.grev @[exu.scala 164:41] + i_mul.io.mul_p.bits.clmulr <= io.dec_exu.decode_exu.mul_p.bits.clmulr @[exu.scala 164:41] + i_mul.io.mul_p.bits.clmulh <= io.dec_exu.decode_exu.mul_p.bits.clmulh @[exu.scala 164:41] + i_mul.io.mul_p.bits.clmul <= io.dec_exu.decode_exu.mul_p.bits.clmul @[exu.scala 164:41] + i_mul.io.mul_p.bits.bdep <= io.dec_exu.decode_exu.mul_p.bits.bdep @[exu.scala 164:41] + i_mul.io.mul_p.bits.bext <= io.dec_exu.decode_exu.mul_p.bits.bext @[exu.scala 164:41] + i_mul.io.mul_p.bits.low <= io.dec_exu.decode_exu.mul_p.bits.low @[exu.scala 164:41] + i_mul.io.mul_p.bits.rs2_sign <= io.dec_exu.decode_exu.mul_p.bits.rs2_sign @[exu.scala 164:41] + i_mul.io.mul_p.bits.rs1_sign <= io.dec_exu.decode_exu.mul_p.bits.rs1_sign @[exu.scala 164:41] + i_mul.io.mul_p.valid <= io.dec_exu.decode_exu.mul_p.valid @[exu.scala 164:41] + i_mul.io.rs1_in <= muldiv_rs1_d @[exu.scala 165:41] + i_mul.io.rs2_in <= muldiv_rs2_d @[exu.scala 166:41] + inst i_div of exu_div_ctl @[exu.scala 169:19] + i_div.clock <= clock + i_div.reset <= reset + i_div.io.dec_div.dec_div_cancel <= io.dec_exu.dec_div.dec_div_cancel @[exu.scala 170:20] + i_div.io.dec_div.div_p.bits.rem <= io.dec_exu.dec_div.div_p.bits.rem @[exu.scala 170:20] + i_div.io.dec_div.div_p.bits.unsign <= io.dec_exu.dec_div.div_p.bits.unsign @[exu.scala 170:20] + i_div.io.dec_div.div_p.valid <= io.dec_exu.dec_div.div_p.valid @[exu.scala 170:20] + i_div.io.scan_mode <= io.scan_mode @[exu.scala 171:33] + i_div.io.dividend <= muldiv_rs1_d @[exu.scala 173:33] + i_div.io.divisor <= muldiv_rs2_d @[exu.scala 174:33] + io.exu_div_wren <= i_div.io.exu_div_wren @[exu.scala 175:41] + io.exu_div_result <= i_div.io.exu_div_result @[exu.scala 176:33] + node _T_142 = bits(mul_valid_x, 0, 0) @[exu.scala 178:77] + node _T_143 = mux(_T_142, i_mul.io.result_x, i_alu.io.result_ff) @[exu.scala 178:64] + io.dec_exu.decode_exu.exu_i0_result_x <= _T_143 @[exu.scala 178:58] + i0_predict_newp_d.bits.way <= io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.way @[exu.scala 179:32] + i0_predict_newp_d.bits.pja <= io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pja @[exu.scala 179:32] + i0_predict_newp_d.bits.pret <= io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pret @[exu.scala 179:32] + i0_predict_newp_d.bits.pcall <= io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pcall @[exu.scala 179:32] + i0_predict_newp_d.bits.prett <= io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.prett @[exu.scala 179:32] + i0_predict_newp_d.bits.br_start_error <= io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.br_start_error @[exu.scala 179:32] + i0_predict_newp_d.bits.br_error <= io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.br_error @[exu.scala 179:32] + i0_predict_newp_d.bits.toffset <= io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.toffset @[exu.scala 179:32] + i0_predict_newp_d.bits.hist <= io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.hist @[exu.scala 179:32] + i0_predict_newp_d.bits.pc4 <= io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pc4 @[exu.scala 179:32] + i0_predict_newp_d.bits.boffset <= io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.boffset @[exu.scala 179:32] + i0_predict_newp_d.bits.ataken <= io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.ataken @[exu.scala 179:32] + i0_predict_newp_d.bits.misp <= io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.misp @[exu.scala 179:32] + i0_predict_newp_d.valid <= io.dec_exu.decode_exu.dec_i0_predict_p_d.valid @[exu.scala 179:32] + node _T_144 = bits(io.dec_exu.ib_exu.dec_i0_pc_d, 0, 0) @[exu.scala 180:70] + i0_predict_newp_d.bits.boffset <= _T_144 @[exu.scala 180:37] + io.dec_exu.tlu_exu.exu_pmu_i0_br_misp <= i0_pp_r.bits.misp @[exu.scala 182:47] + io.dec_exu.tlu_exu.exu_pmu_i0_br_ataken <= i0_pp_r.bits.ataken @[exu.scala 183:47] + io.dec_exu.tlu_exu.exu_pmu_i0_pc4 <= i0_pp_r.bits.pc4 @[exu.scala 184:47] + node _T_145 = and(i0_predict_p_d.valid, io.dec_exu.dec_alu.dec_i0_alu_decode_d) @[exu.scala 187:54] + node _T_146 = eq(io.dec_exu.tlu_exu.dec_tlu_flush_lower_r, UInt<1>("h00")) @[exu.scala 187:97] + node _T_147 = and(_T_145, _T_146) @[exu.scala 187:95] + i0_valid_d <= _T_147 @[exu.scala 187:28] + node _T_148 = and(i0_predict_p_d.bits.ataken, io.dec_exu.dec_alu.dec_i0_alu_decode_d) @[exu.scala 188:59] + i0_taken_d <= _T_148 @[exu.scala 188:28] + node _T_149 = eq(io.dec_exu.tlu_exu.dec_tlu_flush_lower_r, UInt<1>("h00")) @[exu.scala 194:6] + node _T_150 = and(_T_149, i0_valid_d) @[exu.scala 194:48] + node _T_151 = bits(_T_150, 0, 0) @[exu.scala 194:63] + node _T_152 = bits(ghr_d, 6, 0) @[exu.scala 194:94] + node _T_153 = cat(_T_152, i0_taken_d) @[Cat.scala 29:58] + node _T_154 = eq(io.dec_exu.tlu_exu.dec_tlu_flush_lower_r, UInt<1>("h00")) @[exu.scala 195:6] + node _T_155 = eq(i0_valid_d, UInt<1>("h00")) @[exu.scala 195:50] + node _T_156 = and(_T_154, _T_155) @[exu.scala 195:48] + node _T_157 = bits(_T_156, 0, 0) @[exu.scala 195:63] + node _T_158 = bits(io.dec_exu.tlu_exu.dec_tlu_flush_lower_r, 0, 0) @[exu.scala 196:48] + node _T_159 = mux(_T_151, _T_153, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_160 = mux(_T_157, ghr_d, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_161 = mux(_T_158, ghr_x, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_162 = or(_T_159, _T_160) @[Mux.scala 27:72] + node _T_163 = or(_T_162, _T_161) @[Mux.scala 27:72] + wire _T_164 : UInt @[Mux.scala 27:72] + _T_164 <= _T_163 @[Mux.scala 27:72] + ghr_d_ns <= _T_164 @[exu.scala 193:11] + node _T_165 = eq(i0_valid_x, UInt<1>("h01")) @[exu.scala 200:27] + node _T_166 = bits(ghr_x, 6, 0) @[exu.scala 200:44] + node _T_167 = cat(_T_166, i0_taken_x) @[Cat.scala 29:58] + node _T_168 = mux(_T_165, _T_167, ghr_x) @[exu.scala 200:16] + ghr_x_ns <= _T_168 @[exu.scala 200:11] + io.dec_exu.tlu_exu.exu_i0_br_valid_r <= i0_pp_r.valid @[exu.scala 202:52] + io.dec_exu.tlu_exu.exu_i0_br_mp_r <= i0_pp_r.bits.misp @[exu.scala 203:52] + io.exu_bp.exu_i0_br_way_r <= i0_pp_r.bits.way @[exu.scala 204:43] + io.dec_exu.tlu_exu.exu_i0_br_hist_r <= i0_pp_r.bits.hist @[exu.scala 205:66] + io.dec_exu.tlu_exu.exu_i0_br_error_r <= i0_pp_r.bits.br_error @[exu.scala 206:58] + node _T_169 = xor(i0_pp_r.bits.pc4, i0_pp_r.bits.boffset) @[exu.scala 207:73] + io.dec_exu.tlu_exu.exu_i0_br_middle_r <= _T_169 @[exu.scala 207:52] + io.dec_exu.tlu_exu.exu_i0_br_start_error_r <= i0_pp_r.bits.br_start_error @[exu.scala 208:52] + node _T_170 = bits(predpipe_r, 20, 13) @[exu.scala 209:72] + io.exu_bp.exu_i0_br_fghr_r <= _T_170 @[exu.scala 209:58] + node _T_171 = bits(predpipe_r, 12, 5) @[exu.scala 210:72] + io.dec_exu.tlu_exu.exu_i0_br_index_r <= _T_171 @[exu.scala 210:58] + io.exu_bp.exu_i0_br_index_r <= io.dec_exu.tlu_exu.exu_i0_br_index_r @[exu.scala 211:31] + node _T_172 = eq(i0_flush_upper_x, UInt<1>("h01")) @[exu.scala 212:74] + wire _T_173 : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<31>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}} @[exu.scala 212:108] + _T_173.bits.way <= UInt<1>("h00") @[exu.scala 212:108] + _T_173.bits.pja <= UInt<1>("h00") @[exu.scala 212:108] + _T_173.bits.pret <= UInt<1>("h00") @[exu.scala 212:108] + _T_173.bits.pcall <= UInt<1>("h00") @[exu.scala 212:108] + _T_173.bits.prett <= UInt<31>("h00") @[exu.scala 212:108] + _T_173.bits.br_start_error <= UInt<1>("h00") @[exu.scala 212:108] + _T_173.bits.br_error <= UInt<1>("h00") @[exu.scala 212:108] + _T_173.bits.toffset <= UInt<12>("h00") @[exu.scala 212:108] + _T_173.bits.hist <= UInt<2>("h00") @[exu.scala 212:108] + _T_173.bits.pc4 <= UInt<1>("h00") @[exu.scala 212:108] + _T_173.bits.boffset <= UInt<1>("h00") @[exu.scala 212:108] + _T_173.bits.ataken <= UInt<1>("h00") @[exu.scala 212:108] + _T_173.bits.misp <= UInt<1>("h00") @[exu.scala 212:108] + _T_173.valid <= UInt<1>("h00") @[exu.scala 212:108] + node _T_174 = mux(_T_172, i0_predict_p_x, _T_173) @[exu.scala 212:57] + final_predict_mp.bits.way <= _T_174.bits.way @[exu.scala 212:50] + final_predict_mp.bits.pja <= _T_174.bits.pja @[exu.scala 212:50] + final_predict_mp.bits.pret <= _T_174.bits.pret @[exu.scala 212:50] + final_predict_mp.bits.pcall <= _T_174.bits.pcall @[exu.scala 212:50] + final_predict_mp.bits.prett <= _T_174.bits.prett @[exu.scala 212:50] + final_predict_mp.bits.br_start_error <= _T_174.bits.br_start_error @[exu.scala 212:50] + final_predict_mp.bits.br_error <= _T_174.bits.br_error @[exu.scala 212:50] + final_predict_mp.bits.toffset <= _T_174.bits.toffset @[exu.scala 212:50] + final_predict_mp.bits.hist <= _T_174.bits.hist @[exu.scala 212:50] + final_predict_mp.bits.pc4 <= _T_174.bits.pc4 @[exu.scala 212:50] + final_predict_mp.bits.boffset <= _T_174.bits.boffset @[exu.scala 212:50] + final_predict_mp.bits.ataken <= _T_174.bits.ataken @[exu.scala 212:50] + final_predict_mp.bits.misp <= _T_174.bits.misp @[exu.scala 212:50] + final_predict_mp.valid <= _T_174.valid @[exu.scala 212:50] + node _T_175 = eq(i0_flush_upper_x, UInt<1>("h01")) @[exu.scala 213:66] + node final_predpipe_mp = mux(_T_175, predpipe_x, UInt<1>("h00")) @[exu.scala 213:49] + node _T_176 = eq(i0_flush_upper_x, UInt<1>("h01")) @[exu.scala 215:60] + node _T_177 = eq(io.dec_exu.tlu_exu.dec_tlu_flush_lower_r, UInt<1>("h01")) @[exu.scala 215:111] + node _T_178 = eq(_T_177, UInt<1>("h00")) @[exu.scala 215:69] + node _T_179 = and(_T_176, _T_178) @[exu.scala 215:67] + node after_flush_eghr = mux(_T_179, ghr_d, ghr_x) @[exu.scala 215:42] + io.exu_bp.exu_mp_pkt.bits.way <= final_predict_mp.bits.way @[exu.scala 218:48] + io.exu_bp.exu_mp_pkt.bits.misp <= final_predict_mp.bits.misp @[exu.scala 219:48] + io.exu_bp.exu_mp_pkt.bits.pcall <= final_predict_mp.bits.pcall @[exu.scala 220:48] + io.exu_bp.exu_mp_pkt.bits.pja <= final_predict_mp.bits.pja @[exu.scala 221:48] + io.exu_bp.exu_mp_pkt.bits.pret <= final_predict_mp.bits.pret @[exu.scala 222:48] + io.exu_bp.exu_mp_pkt.bits.ataken <= final_predict_mp.bits.ataken @[exu.scala 223:48] + io.exu_bp.exu_mp_pkt.bits.boffset <= final_predict_mp.bits.boffset @[exu.scala 224:48] + io.exu_bp.exu_mp_pkt.bits.pc4 <= final_predict_mp.bits.pc4 @[exu.scala 225:48] + node _T_180 = bits(final_predict_mp.bits.hist, 1, 0) @[exu.scala 226:96] + io.exu_bp.exu_mp_pkt.bits.hist <= _T_180 @[exu.scala 226:66] + node _T_181 = bits(final_predict_mp.bits.toffset, 11, 0) @[exu.scala 227:91] + io.exu_bp.exu_mp_pkt.bits.toffset <= _T_181 @[exu.scala 227:58] + io.exu_bp.exu_mp_fghr <= after_flush_eghr @[exu.scala 228:43] + node _T_182 = bits(final_predpipe_mp, 12, 5) @[exu.scala 229:87] + io.exu_bp.exu_mp_index <= _T_182 @[exu.scala 229:66] + node _T_183 = bits(final_predpipe_mp, 4, 0) @[exu.scala 230:79] + io.exu_bp.exu_mp_btag <= _T_183 @[exu.scala 230:58] + node _T_184 = bits(final_predpipe_mp, 20, 13) @[exu.scala 231:64] + io.exu_bp.exu_mp_eghr <= _T_184 @[exu.scala 231:43] + node _T_185 = bits(io.dec_exu.tlu_exu.dec_tlu_flush_lower_r, 0, 0) @[exu.scala 232:98] + node _T_186 = mux(_T_185, io.dec_exu.tlu_exu.dec_tlu_flush_path_r, i0_flush_path_d) @[exu.scala 232:56] + io.exu_flush_path_final <= _T_186 @[exu.scala 232:50] + node _T_187 = eq(i0_pred_correct_upper_r, UInt<1>("h01")) @[exu.scala 233:96] + node _T_188 = mux(_T_187, pred_correct_npc_r, i0_flush_path_upper_r) @[exu.scala 233:72] + io.dec_exu.tlu_exu.exu_npc_r <= _T_188 @[exu.scala 233:66] + diff --git a/exu.v b/exu.v new file mode 100644 index 00000000..e6f8cfd2 --- /dev/null +++ b/exu.v @@ -0,0 +1,2605 @@ +module rvclkhdr( + output io_l1clk, + input io_clk, + input io_en, + input io_scan_mode +); + wire clkhdr_Q; // @[lib.scala 334:26] + wire clkhdr_CK; // @[lib.scala 334:26] + wire clkhdr_EN; // @[lib.scala 334:26] + wire clkhdr_SE; // @[lib.scala 334:26] + gated_latch clkhdr ( // @[lib.scala 334:26] + .Q(clkhdr_Q), + .CK(clkhdr_CK), + .EN(clkhdr_EN), + .SE(clkhdr_SE) + ); + assign io_l1clk = clkhdr_Q; // @[lib.scala 335:14] + assign clkhdr_CK = io_clk; // @[lib.scala 336:18] + assign clkhdr_EN = io_en; // @[lib.scala 337:18] + assign clkhdr_SE = io_scan_mode; // @[lib.scala 338:18] +endmodule +module exu_alu_ctl( + input clock, + input reset, + input io_dec_alu_dec_i0_alu_decode_d, + input io_dec_alu_dec_csr_ren_d, + input [11:0] io_dec_alu_dec_i0_br_immed_d, + output [30:0] io_dec_alu_exu_i0_pc_x, + input [30:0] io_dec_i0_pc_d, + input io_scan_mode, + input io_flush_upper_x, + input io_dec_tlu_flush_lower_r, + input io_enable, + input io_i0_ap_land, + input io_i0_ap_lor, + input io_i0_ap_lxor, + input io_i0_ap_sll, + input io_i0_ap_srl, + input io_i0_ap_sra, + input io_i0_ap_beq, + input io_i0_ap_bne, + input io_i0_ap_blt, + input io_i0_ap_bge, + input io_i0_ap_add, + input io_i0_ap_sub, + input io_i0_ap_slt, + input io_i0_ap_unsign, + input io_i0_ap_jal, + input io_i0_ap_predict_t, + input io_i0_ap_predict_nt, + input io_i0_ap_csr_write, + input io_i0_ap_csr_imm, + input [31:0] io_a_in, + input [31:0] io_b_in, + input io_pp_in_valid, + input io_pp_in_bits_boffset, + input io_pp_in_bits_pc4, + input [1:0] io_pp_in_bits_hist, + input [11:0] io_pp_in_bits_toffset, + input io_pp_in_bits_br_error, + input io_pp_in_bits_br_start_error, + input [30:0] io_pp_in_bits_prett, + input io_pp_in_bits_pcall, + input io_pp_in_bits_pret, + input io_pp_in_bits_pja, + input io_pp_in_bits_way, + output [31:0] io_result_ff, + output io_flush_upper_out, + output io_flush_final_out, + output [30:0] io_flush_path_out, + output io_pred_correct_out, + output io_predict_p_out_valid, + output io_predict_p_out_bits_misp, + output io_predict_p_out_bits_ataken, + output io_predict_p_out_bits_boffset, + output io_predict_p_out_bits_pc4, + output [1:0] io_predict_p_out_bits_hist, + output [11:0] io_predict_p_out_bits_toffset, + output io_predict_p_out_bits_br_error, + output io_predict_p_out_bits_br_start_error, + output io_predict_p_out_bits_pcall, + output io_predict_p_out_bits_pret, + output io_predict_p_out_bits_pja, + output io_predict_p_out_bits_way +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_io_en; // @[lib.scala 368:23] + wire rvclkhdr_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_en; // @[lib.scala 368:23] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 368:23] + reg [30:0] _T_1; // @[lib.scala 374:16] + reg [31:0] _T_3; // @[lib.scala 374:16] + wire [31:0] _T_5 = ~io_b_in; // @[exu_alu_ctl.scala 34:40] + wire [31:0] bm = io_i0_ap_sub ? _T_5 : io_b_in; // @[exu_alu_ctl.scala 34:17] + wire [32:0] _T_8 = {1'h0,io_a_in}; // @[Cat.scala 29:58] + wire [32:0] _T_10 = {1'h0,_T_5}; // @[Cat.scala 29:58] + wire [32:0] _T_12 = _T_8 + _T_10; // @[exu_alu_ctl.scala 37:58] + wire [32:0] _T_13 = {32'h0,io_i0_ap_sub}; // @[Cat.scala 29:58] + wire [32:0] _T_15 = _T_12 + _T_13; // @[exu_alu_ctl.scala 37:83] + wire [32:0] _T_18 = {1'h0,io_b_in}; // @[Cat.scala 29:58] + wire [32:0] _T_20 = _T_8 + _T_18; // @[exu_alu_ctl.scala 37:138] + wire [32:0] _T_23 = _T_20 + _T_13; // @[exu_alu_ctl.scala 37:163] + wire [32:0] aout = io_i0_ap_sub ? _T_15 : _T_23; // @[exu_alu_ctl.scala 37:14] + wire cout = aout[32]; // @[exu_alu_ctl.scala 38:18] + wire _T_26 = ~io_a_in[31]; // @[exu_alu_ctl.scala 40:14] + wire _T_28 = ~bm[31]; // @[exu_alu_ctl.scala 40:29] + wire _T_29 = _T_26 & _T_28; // @[exu_alu_ctl.scala 40:27] + wire _T_31 = _T_29 & aout[31]; // @[exu_alu_ctl.scala 40:37] + wire _T_34 = io_a_in[31] & bm[31]; // @[exu_alu_ctl.scala 40:66] + wire _T_36 = ~aout[31]; // @[exu_alu_ctl.scala 40:78] + wire _T_37 = _T_34 & _T_36; // @[exu_alu_ctl.scala 40:76] + wire ov = _T_31 | _T_37; // @[exu_alu_ctl.scala 40:50] + wire eq = $signed(io_a_in) == $signed(io_b_in); // @[exu_alu_ctl.scala 42:38] + wire ne = ~eq; // @[exu_alu_ctl.scala 43:29] + wire _T_39 = ~io_i0_ap_unsign; // @[exu_alu_ctl.scala 45:30] + wire _T_40 = aout[31] ^ ov; // @[exu_alu_ctl.scala 45:54] + wire _T_41 = _T_39 & _T_40; // @[exu_alu_ctl.scala 45:47] + wire _T_42 = ~cout; // @[exu_alu_ctl.scala 45:84] + wire _T_43 = io_i0_ap_unsign & _T_42; // @[exu_alu_ctl.scala 45:82] + wire lt = _T_41 | _T_43; // @[exu_alu_ctl.scala 45:61] + wire ge = ~lt; // @[exu_alu_ctl.scala 46:29] + wire [31:0] _T_63 = $signed(io_a_in) & $signed(io_b_in); // @[Mux.scala 27:72] + wire [31:0] _T_66 = $signed(io_a_in) | $signed(io_b_in); // @[Mux.scala 27:72] + wire [31:0] _T_69 = $signed(io_a_in) ^ $signed(io_b_in); // @[Mux.scala 27:72] + wire [31:0] _T_70 = io_dec_alu_dec_csr_ren_d ? $signed(io_b_in) : $signed(32'sh0); // @[Mux.scala 27:72] + wire [31:0] _T_71 = io_i0_ap_land ? $signed(_T_63) : $signed(32'sh0); // @[Mux.scala 27:72] + wire [31:0] _T_72 = io_i0_ap_lor ? $signed(_T_66) : $signed(32'sh0); // @[Mux.scala 27:72] + wire [31:0] _T_73 = io_i0_ap_lxor ? $signed(_T_69) : $signed(32'sh0); // @[Mux.scala 27:72] + wire [31:0] _T_75 = $signed(_T_70) | $signed(_T_71); // @[Mux.scala 27:72] + wire [31:0] _T_77 = $signed(_T_75) | $signed(_T_72); // @[Mux.scala 27:72] + wire [5:0] _T_84 = {1'h0,io_b_in[4:0]}; // @[Cat.scala 29:58] + wire [5:0] _T_86 = 6'h20 - _T_84; // @[exu_alu_ctl.scala 56:41] + wire [5:0] _T_93 = io_i0_ap_sll ? _T_86 : 6'h0; // @[Mux.scala 27:72] + wire [5:0] _T_94 = io_i0_ap_srl ? _T_84 : 6'h0; // @[Mux.scala 27:72] + wire [5:0] _T_95 = io_i0_ap_sra ? _T_84 : 6'h0; // @[Mux.scala 27:72] + wire [5:0] _T_96 = _T_93 | _T_94; // @[Mux.scala 27:72] + wire [5:0] shift_amount = _T_96 | _T_95; // @[Mux.scala 27:72] + wire [4:0] _T_102 = {io_i0_ap_sll,io_i0_ap_sll,io_i0_ap_sll,io_i0_ap_sll,io_i0_ap_sll}; // @[Cat.scala 29:58] + wire [4:0] _T_104 = _T_102 & io_b_in[4:0]; // @[exu_alu_ctl.scala 61:64] + wire [62:0] _T_105 = 63'hffffffff << _T_104; // @[exu_alu_ctl.scala 61:39] + wire [9:0] _T_115 = {io_i0_ap_sra,io_i0_ap_sra,io_i0_ap_sra,io_i0_ap_sra,io_i0_ap_sra,io_i0_ap_sra,io_i0_ap_sra,io_i0_ap_sra,io_i0_ap_sra,io_i0_ap_sra}; // @[Cat.scala 29:58] + wire [18:0] _T_124 = {_T_115,io_i0_ap_sra,io_i0_ap_sra,io_i0_ap_sra,io_i0_ap_sra,io_i0_ap_sra,io_i0_ap_sra,io_i0_ap_sra,io_i0_ap_sra,io_i0_ap_sra}; // @[Cat.scala 29:58] + wire [27:0] _T_133 = {_T_124,io_i0_ap_sra,io_i0_ap_sra,io_i0_ap_sra,io_i0_ap_sra,io_i0_ap_sra,io_i0_ap_sra,io_i0_ap_sra,io_i0_ap_sra,io_i0_ap_sra}; // @[Cat.scala 29:58] + wire [30:0] _T_136 = {_T_133,io_i0_ap_sra,io_i0_ap_sra,io_i0_ap_sra}; // @[Cat.scala 29:58] + wire [9:0] _T_147 = {io_a_in[31],io_a_in[31],io_a_in[31],io_a_in[31],io_a_in[31],io_a_in[31],io_a_in[31],io_a_in[31],io_a_in[31],io_a_in[31]}; // @[Cat.scala 29:58] + wire [18:0] _T_156 = {_T_147,io_a_in[31],io_a_in[31],io_a_in[31],io_a_in[31],io_a_in[31],io_a_in[31],io_a_in[31],io_a_in[31],io_a_in[31]}; // @[Cat.scala 29:58] + wire [27:0] _T_165 = {_T_156,io_a_in[31],io_a_in[31],io_a_in[31],io_a_in[31],io_a_in[31],io_a_in[31],io_a_in[31],io_a_in[31],io_a_in[31]}; // @[Cat.scala 29:58] + wire [30:0] _T_168 = {_T_165,io_a_in[31],io_a_in[31],io_a_in[31]}; // @[Cat.scala 29:58] + wire [30:0] _T_169 = _T_136 & _T_168; // @[exu_alu_ctl.scala 64:47] + wire [9:0] _T_179 = {io_i0_ap_sll,io_i0_ap_sll,io_i0_ap_sll,io_i0_ap_sll,io_i0_ap_sll,io_i0_ap_sll,io_i0_ap_sll,io_i0_ap_sll,io_i0_ap_sll,io_i0_ap_sll}; // @[Cat.scala 29:58] + wire [18:0] _T_188 = {_T_179,io_i0_ap_sll,io_i0_ap_sll,io_i0_ap_sll,io_i0_ap_sll,io_i0_ap_sll,io_i0_ap_sll,io_i0_ap_sll,io_i0_ap_sll,io_i0_ap_sll}; // @[Cat.scala 29:58] + wire [27:0] _T_197 = {_T_188,io_i0_ap_sll,io_i0_ap_sll,io_i0_ap_sll,io_i0_ap_sll,io_i0_ap_sll,io_i0_ap_sll,io_i0_ap_sll,io_i0_ap_sll,io_i0_ap_sll}; // @[Cat.scala 29:58] + wire [30:0] _T_200 = {_T_197,io_i0_ap_sll,io_i0_ap_sll,io_i0_ap_sll}; // @[Cat.scala 29:58] + wire [30:0] _T_202 = _T_200 & io_a_in[30:0]; // @[exu_alu_ctl.scala 64:96] + wire [30:0] _T_203 = _T_169 | _T_202; // @[exu_alu_ctl.scala 64:71] + wire [62:0] shift_extend = {_T_203,io_a_in}; // @[Cat.scala 29:58] + wire [62:0] shift_long = shift_extend >> shift_amount[4:0]; // @[exu_alu_ctl.scala 67:32] + wire [31:0] shift_mask = _T_105[31:0]; // @[exu_alu_ctl.scala 61:14] + wire [31:0] sout = shift_long[31:0] & shift_mask; // @[exu_alu_ctl.scala 69:34] + wire _T_210 = io_i0_ap_sll | io_i0_ap_srl; // @[exu_alu_ctl.scala 72:44] + wire sel_shift = _T_210 | io_i0_ap_sra; // @[exu_alu_ctl.scala 72:59] + wire _T_211 = io_i0_ap_add | io_i0_ap_sub; // @[exu_alu_ctl.scala 73:44] + wire _T_212 = ~io_i0_ap_slt; // @[exu_alu_ctl.scala 73:62] + wire sel_adder = _T_211 & _T_212; // @[exu_alu_ctl.scala 73:60] + wire _T_213 = io_i0_ap_jal | io_pp_in_bits_pcall; // @[exu_alu_ctl.scala 74:44] + wire _T_214 = _T_213 | io_pp_in_bits_pja; // @[exu_alu_ctl.scala 74:66] + wire sel_pc = _T_214 | io_pp_in_bits_pret; // @[exu_alu_ctl.scala 74:86] + wire slt_one = io_i0_ap_slt & lt; // @[exu_alu_ctl.scala 77:43] + wire [31:0] _T_217 = {io_dec_i0_pc_d,1'h0}; // @[Cat.scala 29:58] + wire [12:0] _T_218 = {io_dec_alu_dec_i0_br_immed_d,1'h0}; // @[Cat.scala 29:58] + wire [12:0] _T_221 = _T_217[12:1] + _T_218[12:1]; // @[lib.scala 68:31] + wire [18:0] _T_224 = _T_217[31:13] + 19'h1; // @[lib.scala 69:27] + wire [18:0] _T_227 = _T_217[31:13] - 19'h1; // @[lib.scala 70:27] + wire _T_230 = ~_T_221[12]; // @[lib.scala 72:28] + wire _T_231 = _T_218[12] ^ _T_230; // @[lib.scala 72:26] + wire _T_234 = ~_T_218[12]; // @[lib.scala 73:20] + wire _T_236 = _T_234 & _T_221[12]; // @[lib.scala 73:26] + wire _T_240 = _T_218[12] & _T_230; // @[lib.scala 74:26] + wire [18:0] _T_242 = _T_231 ? _T_217[31:13] : 19'h0; // @[Mux.scala 27:72] + wire [18:0] _T_243 = _T_236 ? _T_224 : 19'h0; // @[Mux.scala 27:72] + wire [18:0] _T_244 = _T_240 ? _T_227 : 19'h0; // @[Mux.scala 27:72] + wire [18:0] _T_245 = _T_242 | _T_243; // @[Mux.scala 27:72] + wire [18:0] _T_246 = _T_245 | _T_244; // @[Mux.scala 27:72] + wire [31:0] pcout = {_T_246,_T_221[11:0],1'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_250 = $signed(_T_77) | $signed(_T_73); // @[exu_alu_ctl.scala 83:24] + wire [31:0] _T_251 = {31'h0,slt_one}; // @[Cat.scala 29:58] + wire [31:0] _T_252 = _T_250 | _T_251; // @[exu_alu_ctl.scala 83:31] + wire [31:0] _T_259 = io_i0_ap_csr_imm ? $signed(io_b_in) : $signed(io_a_in); // @[exu_alu_ctl.scala 87:54] + wire [31:0] _T_260 = sel_shift ? sout : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_261 = sel_adder ? aout[31:0] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_262 = sel_pc ? pcout : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_263 = io_i0_ap_csr_write ? _T_259 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_264 = _T_260 | _T_261; // @[Mux.scala 27:72] + wire [31:0] _T_265 = _T_264 | _T_262; // @[Mux.scala 27:72] + wire [31:0] _T_266 = _T_265 | _T_263; // @[Mux.scala 27:72] + wire _T_271 = io_i0_ap_beq & eq; // @[exu_alu_ctl.scala 96:43] + wire _T_272 = io_i0_ap_bne & ne; // @[exu_alu_ctl.scala 96:65] + wire _T_273 = _T_271 | _T_272; // @[exu_alu_ctl.scala 96:49] + wire _T_274 = io_i0_ap_blt & lt; // @[exu_alu_ctl.scala 96:94] + wire _T_275 = _T_273 | _T_274; // @[exu_alu_ctl.scala 96:78] + wire _T_276 = io_i0_ap_bge & ge; // @[exu_alu_ctl.scala 96:116] + wire _T_277 = _T_275 | _T_276; // @[exu_alu_ctl.scala 96:100] + wire actual_taken = _T_277 | sel_pc; // @[exu_alu_ctl.scala 96:122] + wire _T_278 = io_dec_alu_dec_i0_alu_decode_d & io_i0_ap_predict_nt; // @[exu_alu_ctl.scala 101:61] + wire _T_279 = ~actual_taken; // @[exu_alu_ctl.scala 101:85] + wire _T_280 = _T_278 & _T_279; // @[exu_alu_ctl.scala 101:83] + wire _T_281 = ~sel_pc; // @[exu_alu_ctl.scala 101:101] + wire _T_282 = _T_280 & _T_281; // @[exu_alu_ctl.scala 101:99] + wire _T_283 = io_dec_alu_dec_i0_alu_decode_d & io_i0_ap_predict_t; // @[exu_alu_ctl.scala 101:145] + wire _T_284 = _T_283 & actual_taken; // @[exu_alu_ctl.scala 101:167] + wire _T_286 = _T_284 & _T_281; // @[exu_alu_ctl.scala 101:183] + wire _T_293 = io_i0_ap_predict_t & _T_279; // @[exu_alu_ctl.scala 106:48] + wire _T_294 = io_i0_ap_predict_nt & actual_taken; // @[exu_alu_ctl.scala 106:88] + wire cond_mispredict = _T_293 | _T_294; // @[exu_alu_ctl.scala 106:65] + wire _T_296 = io_pp_in_bits_prett != aout[31:1]; // @[exu_alu_ctl.scala 109:72] + wire target_mispredict = io_pp_in_bits_pret & _T_296; // @[exu_alu_ctl.scala 109:49] + wire _T_297 = io_i0_ap_jal | cond_mispredict; // @[exu_alu_ctl.scala 111:45] + wire _T_298 = _T_297 | target_mispredict; // @[exu_alu_ctl.scala 111:63] + wire _T_299 = _T_298 & io_dec_alu_dec_i0_alu_decode_d; // @[exu_alu_ctl.scala 111:84] + wire _T_300 = ~io_flush_upper_x; // @[exu_alu_ctl.scala 111:119] + wire _T_301 = _T_299 & _T_300; // @[exu_alu_ctl.scala 111:117] + wire _T_302 = ~io_dec_tlu_flush_lower_r; // @[exu_alu_ctl.scala 111:141] + wire _T_312 = io_pp_in_bits_hist[1] & io_pp_in_bits_hist[0]; // @[exu_alu_ctl.scala 116:44] + wire _T_314 = ~io_pp_in_bits_hist[0]; // @[exu_alu_ctl.scala 116:73] + wire _T_315 = _T_314 & actual_taken; // @[exu_alu_ctl.scala 116:96] + wire _T_316 = _T_312 | _T_315; // @[exu_alu_ctl.scala 116:70] + wire _T_318 = ~io_pp_in_bits_hist[1]; // @[exu_alu_ctl.scala 117:6] + wire _T_320 = _T_318 & _T_279; // @[exu_alu_ctl.scala 117:29] + wire _T_322 = io_pp_in_bits_hist[1] & actual_taken; // @[exu_alu_ctl.scala 117:72] + wire _T_323 = _T_320 | _T_322; // @[exu_alu_ctl.scala 117:47] + wire _T_327 = _T_300 & _T_302; // @[exu_alu_ctl.scala 120:56] + wire _T_328 = cond_mispredict | target_mispredict; // @[exu_alu_ctl.scala 120:103] + rvclkhdr rvclkhdr ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + assign io_dec_alu_exu_i0_pc_x = _T_1; // @[exu_alu_ctl.scala 30:26] + assign io_result_ff = _T_3; // @[exu_alu_ctl.scala 32:16] + assign io_flush_upper_out = _T_301 & _T_302; // @[exu_alu_ctl.scala 111:26] + assign io_flush_final_out = _T_301 | io_dec_tlu_flush_lower_r; // @[exu_alu_ctl.scala 112:26] + assign io_flush_path_out = sel_pc ? aout[31:1] : pcout[31:1]; // @[exu_alu_ctl.scala 103:22] + assign io_pred_correct_out = _T_282 | _T_286; // @[exu_alu_ctl.scala 101:26] + assign io_predict_p_out_valid = io_pp_in_valid; // @[exu_alu_ctl.scala 119:30] + assign io_predict_p_out_bits_misp = _T_327 & _T_328; // @[exu_alu_ctl.scala 119:30 exu_alu_ctl.scala 120:35] + assign io_predict_p_out_bits_ataken = _T_277 | sel_pc; // @[exu_alu_ctl.scala 119:30 exu_alu_ctl.scala 121:35] + assign io_predict_p_out_bits_boffset = io_pp_in_bits_boffset; // @[exu_alu_ctl.scala 119:30] + assign io_predict_p_out_bits_pc4 = io_pp_in_bits_pc4; // @[exu_alu_ctl.scala 119:30] + assign io_predict_p_out_bits_hist = {_T_316,_T_323}; // @[exu_alu_ctl.scala 119:30 exu_alu_ctl.scala 122:35] + assign io_predict_p_out_bits_toffset = io_pp_in_bits_toffset; // @[exu_alu_ctl.scala 119:30] + assign io_predict_p_out_bits_br_error = io_pp_in_bits_br_error; // @[exu_alu_ctl.scala 119:30] + assign io_predict_p_out_bits_br_start_error = io_pp_in_bits_br_start_error; // @[exu_alu_ctl.scala 119:30] + assign io_predict_p_out_bits_pcall = io_pp_in_bits_pcall; // @[exu_alu_ctl.scala 119:30] + assign io_predict_p_out_bits_pret = io_pp_in_bits_pret; // @[exu_alu_ctl.scala 119:30] + assign io_predict_p_out_bits_pja = io_pp_in_bits_pja; // @[exu_alu_ctl.scala 119:30] + assign io_predict_p_out_bits_way = io_pp_in_bits_way; // @[exu_alu_ctl.scala 119:30] + assign rvclkhdr_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_io_en = io_enable; // @[lib.scala 371:17] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_1_io_en = io_enable; // @[lib.scala 371:17] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + _T_1 = _RAND_0[30:0]; + _RAND_1 = {1{`RANDOM}}; + _T_3 = _RAND_1[31:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + _T_1 = 31'h0; + end + if (reset) begin + _T_3 = 32'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + _T_1 <= 31'h0; + end else begin + _T_1 <= io_dec_i0_pc_d; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + _T_3 <= 32'h0; + end else begin + _T_3 <= _T_252 | _T_266; + end + end +endmodule +module exu_mul_ctl( + input clock, + input reset, + input io_scan_mode, + input io_mul_p_valid, + input io_mul_p_bits_rs1_sign, + input io_mul_p_bits_rs2_sign, + input io_mul_p_bits_low, + input [31:0] io_rs1_in, + input [31:0] io_rs2_in, + output [31:0] io_result_x +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [63:0] _RAND_1; + reg [63:0] _RAND_2; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_io_en; // @[lib.scala 368:23] + wire rvclkhdr_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 388:23] + wire rvclkhdr_1_io_clk; // @[lib.scala 388:23] + wire rvclkhdr_1_io_en; // @[lib.scala 388:23] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 388:23] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 388:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 388:23] + wire rvclkhdr_2_io_en; // @[lib.scala 388:23] + wire rvclkhdr_2_io_scan_mode; // @[lib.scala 388:23] + wire _T_1 = io_mul_p_bits_rs1_sign & io_rs1_in[31]; // @[exu_mul_ctl.scala 26:44] + wire _T_5 = io_mul_p_bits_rs2_sign & io_rs2_in[31]; // @[exu_mul_ctl.scala 27:44] + reg low_x; // @[lib.scala 374:16] + reg [32:0] rs1_x; // @[lib.scala 394:16] + reg [32:0] rs2_x; // @[lib.scala 394:16] + wire [65:0] prod_x = $signed(rs1_x) * $signed(rs2_x); // @[exu_mul_ctl.scala 33:20] + wire _T_16 = ~low_x; // @[exu_mul_ctl.scala 34:29] + wire [31:0] _T_20 = _T_16 ? prod_x[63:32] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_21 = low_x ? prod_x[31:0] : 32'h0; // @[Mux.scala 27:72] + rvclkhdr rvclkhdr ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 388:23] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 388:23] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + assign io_result_x = _T_20 | _T_21; // @[exu_mul_ctl.scala 34:15] + assign rvclkhdr_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_io_en = io_mul_p_valid; // @[lib.scala 371:17] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 390:18] + assign rvclkhdr_1_io_en = io_mul_p_valid; // @[lib.scala 391:17] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 392:24] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 390:18] + assign rvclkhdr_2_io_en = io_mul_p_valid; // @[lib.scala 391:17] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 392:24] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + low_x = _RAND_0[0:0]; + _RAND_1 = {2{`RANDOM}}; + rs1_x = _RAND_1[32:0]; + _RAND_2 = {2{`RANDOM}}; + rs2_x = _RAND_2[32:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + low_x = 1'h0; + end + if (reset) begin + rs1_x = 33'sh0; + end + if (reset) begin + rs2_x = 33'sh0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + low_x <= 1'h0; + end else begin + low_x <= io_mul_p_bits_low; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + rs1_x <= 33'sh0; + end else begin + rs1_x <= {_T_1,io_rs1_in}; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + rs2_x <= 33'sh0; + end else begin + rs2_x <= {_T_5,io_rs2_in}; + end + end +endmodule +module exu_div_ctl( + input clock, + input reset, + input io_scan_mode, + input [31:0] io_dividend, + input [31:0] io_divisor, + output [31:0] io_exu_div_result, + output io_exu_div_wren, + input io_dec_div_div_p_valid, + input io_dec_div_div_p_bits_unsign, + input io_dec_div_div_p_bits_rem, + input io_dec_div_dec_div_cancel +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [63:0] _RAND_1; + reg [63:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; + reg [63:0] _RAND_11; + reg [31:0] _RAND_12; + reg [31:0] _RAND_13; + reg [31:0] _RAND_14; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_io_en; // @[lib.scala 343:22] + wire rvclkhdr_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_en; // @[lib.scala 368:23] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_en; // @[lib.scala 368:23] + wire rvclkhdr_2_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_en; // @[lib.scala 368:23] + wire rvclkhdr_3_io_scan_mode; // @[lib.scala 368:23] + wire _T = ~io_dec_div_dec_div_cancel; // @[exu_div_ctl.scala 46:30] + reg valid_ff_x; // @[exu_div_ctl.scala 195:26] + wire valid_x = valid_ff_x & _T; // @[exu_div_ctl.scala 46:28] + reg [32:0] q_ff; // @[lib.scala 374:16] + wire _T_2 = q_ff[31:4] == 28'h0; // @[exu_div_ctl.scala 52:34] + reg [32:0] m_ff; // @[lib.scala 374:16] + wire _T_4 = m_ff[31:4] == 28'h0; // @[exu_div_ctl.scala 52:57] + wire _T_5 = _T_2 & _T_4; // @[exu_div_ctl.scala 52:43] + wire _T_7 = m_ff[31:0] != 32'h0; // @[exu_div_ctl.scala 52:80] + wire _T_8 = _T_5 & _T_7; // @[exu_div_ctl.scala 52:66] + reg rem_ff; // @[Reg.scala 27:20] + wire _T_9 = ~rem_ff; // @[exu_div_ctl.scala 52:91] + wire _T_10 = _T_8 & _T_9; // @[exu_div_ctl.scala 52:89] + wire _T_11 = _T_10 & valid_x; // @[exu_div_ctl.scala 52:99] + wire _T_13 = q_ff[31:0] == 32'h0; // @[exu_div_ctl.scala 53:18] + wire _T_16 = _T_13 & _T_7; // @[exu_div_ctl.scala 53:27] + wire _T_18 = _T_16 & _T_9; // @[exu_div_ctl.scala 53:50] + wire _T_19 = _T_18 & valid_x; // @[exu_div_ctl.scala 53:60] + wire smallnum_case = _T_11 | _T_19; // @[exu_div_ctl.scala 52:110] + wire _T_23 = ~m_ff[3]; // @[exu_div_ctl.scala 57:69] + wire _T_25 = ~m_ff[2]; // @[exu_div_ctl.scala 57:69] + wire _T_27 = ~m_ff[1]; // @[exu_div_ctl.scala 57:69] + wire _T_28 = _T_23 & _T_25; // @[exu_div_ctl.scala 57:94] + wire _T_29 = _T_28 & _T_27; // @[exu_div_ctl.scala 57:94] + wire _T_30 = q_ff[3] & _T_29; // @[exu_div_ctl.scala 58:10] + wire _T_37 = q_ff[3] & _T_28; // @[exu_div_ctl.scala 58:10] + wire _T_39 = ~m_ff[0]; // @[exu_div_ctl.scala 64:32] + wire _T_40 = _T_37 & _T_39; // @[exu_div_ctl.scala 64:30] + wire _T_50 = q_ff[2] & _T_29; // @[exu_div_ctl.scala 58:10] + wire _T_51 = _T_40 | _T_50; // @[exu_div_ctl.scala 64:41] + wire _T_54 = q_ff[3] & q_ff[2]; // @[exu_div_ctl.scala 56:94] + wire _T_60 = _T_54 & _T_28; // @[exu_div_ctl.scala 58:10] + wire _T_61 = _T_51 | _T_60; // @[exu_div_ctl.scala 64:73] + wire _T_68 = q_ff[2] & _T_28; // @[exu_div_ctl.scala 58:10] + wire _T_71 = _T_68 & _T_39; // @[exu_div_ctl.scala 66:30] + wire _T_81 = q_ff[1] & _T_29; // @[exu_div_ctl.scala 58:10] + wire _T_82 = _T_71 | _T_81; // @[exu_div_ctl.scala 66:41] + wire _T_88 = _T_23 & _T_27; // @[exu_div_ctl.scala 57:94] + wire _T_89 = q_ff[3] & _T_88; // @[exu_div_ctl.scala 58:10] + wire _T_92 = _T_89 & _T_39; // @[exu_div_ctl.scala 66:103] + wire _T_93 = _T_82 | _T_92; // @[exu_div_ctl.scala 66:76] + wire _T_96 = ~q_ff[2]; // @[exu_div_ctl.scala 56:69] + wire _T_97 = q_ff[3] & _T_96; // @[exu_div_ctl.scala 56:94] + wire _T_105 = _T_28 & m_ff[1]; // @[exu_div_ctl.scala 57:94] + wire _T_106 = _T_105 & m_ff[0]; // @[exu_div_ctl.scala 57:94] + wire _T_107 = _T_97 & _T_106; // @[exu_div_ctl.scala 58:10] + wire _T_108 = _T_93 | _T_107; // @[exu_div_ctl.scala 66:114] + wire _T_110 = ~q_ff[3]; // @[exu_div_ctl.scala 56:69] + wire _T_113 = _T_110 & q_ff[2]; // @[exu_div_ctl.scala 56:94] + wire _T_114 = _T_113 & q_ff[1]; // @[exu_div_ctl.scala 56:94] + wire _T_120 = _T_114 & _T_28; // @[exu_div_ctl.scala 58:10] + wire _T_121 = _T_108 | _T_120; // @[exu_div_ctl.scala 67:43] + wire _T_127 = _T_54 & _T_23; // @[exu_div_ctl.scala 58:10] + wire _T_130 = _T_127 & _T_39; // @[exu_div_ctl.scala 67:104] + wire _T_131 = _T_121 | _T_130; // @[exu_div_ctl.scala 67:78] + wire _T_140 = _T_23 & m_ff[2]; // @[exu_div_ctl.scala 57:94] + wire _T_141 = _T_140 & _T_27; // @[exu_div_ctl.scala 57:94] + wire _T_142 = _T_54 & _T_141; // @[exu_div_ctl.scala 58:10] + wire _T_143 = _T_131 | _T_142; // @[exu_div_ctl.scala 67:116] + wire _T_146 = q_ff[3] & q_ff[1]; // @[exu_div_ctl.scala 56:94] + wire _T_152 = _T_146 & _T_88; // @[exu_div_ctl.scala 58:10] + wire _T_153 = _T_143 | _T_152; // @[exu_div_ctl.scala 68:43] + wire _T_158 = _T_54 & q_ff[1]; // @[exu_div_ctl.scala 56:94] + wire _T_163 = _T_158 & _T_140; // @[exu_div_ctl.scala 58:10] + wire _T_164 = _T_153 | _T_163; // @[exu_div_ctl.scala 68:77] + wire _T_168 = q_ff[2] & q_ff[1]; // @[exu_div_ctl.scala 56:94] + wire _T_169 = _T_168 & q_ff[0]; // @[exu_div_ctl.scala 56:94] + wire _T_175 = _T_169 & _T_88; // @[exu_div_ctl.scala 58:10] + wire _T_181 = _T_97 & q_ff[0]; // @[exu_div_ctl.scala 56:94] + wire _T_186 = _T_23 & m_ff[1]; // @[exu_div_ctl.scala 57:94] + wire _T_187 = _T_186 & m_ff[0]; // @[exu_div_ctl.scala 57:94] + wire _T_188 = _T_181 & _T_187; // @[exu_div_ctl.scala 58:10] + wire _T_189 = _T_175 | _T_188; // @[exu_div_ctl.scala 70:44] + wire _T_196 = q_ff[2] & _T_88; // @[exu_div_ctl.scala 58:10] + wire _T_199 = _T_196 & _T_39; // @[exu_div_ctl.scala 70:111] + wire _T_200 = _T_189 | _T_199; // @[exu_div_ctl.scala 70:84] + wire _T_207 = q_ff[1] & _T_28; // @[exu_div_ctl.scala 58:10] + wire _T_210 = _T_207 & _T_39; // @[exu_div_ctl.scala 71:32] + wire _T_211 = _T_200 | _T_210; // @[exu_div_ctl.scala 70:126] + wire _T_221 = q_ff[0] & _T_29; // @[exu_div_ctl.scala 58:10] + wire _T_222 = _T_211 | _T_221; // @[exu_div_ctl.scala 71:46] + wire _T_227 = ~q_ff[1]; // @[exu_div_ctl.scala 56:69] + wire _T_229 = _T_113 & _T_227; // @[exu_div_ctl.scala 56:94] + wire _T_239 = _T_229 & _T_106; // @[exu_div_ctl.scala 58:10] + wire _T_240 = _T_222 | _T_239; // @[exu_div_ctl.scala 71:86] + wire _T_249 = _T_114 & _T_23; // @[exu_div_ctl.scala 58:10] + wire _T_252 = _T_249 & _T_39; // @[exu_div_ctl.scala 72:35] + wire _T_253 = _T_240 | _T_252; // @[exu_div_ctl.scala 71:128] + wire _T_259 = _T_25 & _T_27; // @[exu_div_ctl.scala 57:94] + wire _T_260 = q_ff[3] & _T_259; // @[exu_div_ctl.scala 58:10] + wire _T_263 = _T_260 & _T_39; // @[exu_div_ctl.scala 72:74] + wire _T_264 = _T_253 | _T_263; // @[exu_div_ctl.scala 72:46] + wire _T_274 = _T_140 & m_ff[1]; // @[exu_div_ctl.scala 57:94] + wire _T_275 = _T_97 & _T_274; // @[exu_div_ctl.scala 58:10] + wire _T_276 = _T_264 | _T_275; // @[exu_div_ctl.scala 72:86] + wire _T_290 = _T_114 & _T_141; // @[exu_div_ctl.scala 58:10] + wire _T_291 = _T_276 | _T_290; // @[exu_div_ctl.scala 72:128] + wire _T_297 = _T_113 & q_ff[0]; // @[exu_div_ctl.scala 56:94] + wire _T_303 = _T_297 & _T_88; // @[exu_div_ctl.scala 58:10] + wire _T_304 = _T_291 | _T_303; // @[exu_div_ctl.scala 73:46] + wire _T_311 = _T_97 & _T_227; // @[exu_div_ctl.scala 56:94] + wire _T_317 = _T_140 & m_ff[0]; // @[exu_div_ctl.scala 57:94] + wire _T_318 = _T_311 & _T_317; // @[exu_div_ctl.scala 58:10] + wire _T_319 = _T_304 | _T_318; // @[exu_div_ctl.scala 73:86] + wire _T_324 = _T_96 & q_ff[1]; // @[exu_div_ctl.scala 56:94] + wire _T_325 = _T_324 & q_ff[0]; // @[exu_div_ctl.scala 56:94] + wire _T_331 = _T_325 & _T_28; // @[exu_div_ctl.scala 58:10] + wire _T_332 = _T_319 | _T_331; // @[exu_div_ctl.scala 73:128] + wire _T_338 = _T_54 & _T_27; // @[exu_div_ctl.scala 58:10] + wire _T_341 = _T_338 & _T_39; // @[exu_div_ctl.scala 74:73] + wire _T_342 = _T_332 | _T_341; // @[exu_div_ctl.scala 74:46] + wire _T_350 = _T_114 & q_ff[0]; // @[exu_div_ctl.scala 56:94] + wire _T_355 = _T_350 & _T_140; // @[exu_div_ctl.scala 58:10] + wire _T_356 = _T_342 | _T_355; // @[exu_div_ctl.scala 74:86] + wire _T_363 = m_ff[3] & _T_25; // @[exu_div_ctl.scala 57:94] + wire _T_364 = _T_54 & _T_363; // @[exu_div_ctl.scala 58:10] + wire _T_365 = _T_356 | _T_364; // @[exu_div_ctl.scala 74:128] + wire _T_375 = _T_363 & _T_27; // @[exu_div_ctl.scala 57:94] + wire _T_376 = _T_146 & _T_375; // @[exu_div_ctl.scala 58:10] + wire _T_377 = _T_365 | _T_376; // @[exu_div_ctl.scala 75:46] + wire _T_380 = q_ff[3] & q_ff[0]; // @[exu_div_ctl.scala 56:94] + wire _T_386 = _T_380 & _T_259; // @[exu_div_ctl.scala 58:10] + wire _T_387 = _T_377 | _T_386; // @[exu_div_ctl.scala 75:86] + wire _T_391 = q_ff[3] & _T_227; // @[exu_div_ctl.scala 56:94] + wire _T_399 = _T_274 & m_ff[0]; // @[exu_div_ctl.scala 57:94] + wire _T_400 = _T_391 & _T_399; // @[exu_div_ctl.scala 58:10] + wire _T_401 = _T_387 | _T_400; // @[exu_div_ctl.scala 75:128] + wire _T_408 = _T_158 & m_ff[3]; // @[exu_div_ctl.scala 58:10] + wire _T_411 = _T_408 & _T_39; // @[exu_div_ctl.scala 76:75] + wire _T_412 = _T_401 | _T_411; // @[exu_div_ctl.scala 76:46] + wire _T_421 = m_ff[3] & _T_27; // @[exu_div_ctl.scala 57:94] + wire _T_422 = _T_158 & _T_421; // @[exu_div_ctl.scala 58:10] + wire _T_423 = _T_412 | _T_422; // @[exu_div_ctl.scala 76:86] + wire _T_428 = _T_54 & q_ff[0]; // @[exu_div_ctl.scala 56:94] + wire _T_433 = _T_428 & _T_421; // @[exu_div_ctl.scala 58:10] + wire _T_434 = _T_423 | _T_433; // @[exu_div_ctl.scala 76:128] + wire _T_440 = _T_97 & q_ff[1]; // @[exu_div_ctl.scala 56:94] + wire _T_445 = _T_440 & _T_186; // @[exu_div_ctl.scala 58:10] + wire _T_446 = _T_434 | _T_445; // @[exu_div_ctl.scala 77:46] + wire _T_451 = _T_146 & q_ff[0]; // @[exu_div_ctl.scala 56:94] + wire _T_454 = _T_451 & _T_25; // @[exu_div_ctl.scala 58:10] + wire _T_455 = _T_446 | _T_454; // @[exu_div_ctl.scala 77:86] + wire _T_462 = _T_158 & q_ff[0]; // @[exu_div_ctl.scala 56:94] + wire _T_464 = _T_462 & m_ff[3]; // @[exu_div_ctl.scala 58:10] + wire _T_465 = _T_455 | _T_464; // @[exu_div_ctl.scala 77:128] + wire _T_471 = _T_146 & _T_25; // @[exu_div_ctl.scala 58:10] + wire _T_474 = _T_471 & _T_39; // @[exu_div_ctl.scala 78:72] + wire _T_475 = _T_465 | _T_474; // @[exu_div_ctl.scala 78:46] + wire [1:0] _T_476 = {_T_164,_T_475}; // @[Cat.scala 29:58] + wire [1:0] _T_477 = {_T_30,_T_61}; // @[Cat.scala 29:58] + reg sign_ff; // @[Reg.scala 27:20] + wire _T_479 = sign_ff & q_ff[31]; // @[exu_div_ctl.scala 87:34] + wire [32:0] short_dividend = {_T_479,q_ff[31:0]}; // @[Cat.scala 29:58] + wire _T_484 = ~short_dividend[32]; // @[exu_div_ctl.scala 92:7] + wire _T_487 = short_dividend[31:24] != 8'h0; // @[exu_div_ctl.scala 92:60] + wire _T_492 = short_dividend[31:23] != 9'h1ff; // @[exu_div_ctl.scala 93:59] + wire _T_493 = _T_484 & _T_487; // @[Mux.scala 27:72] + wire _T_494 = short_dividend[32] & _T_492; // @[Mux.scala 27:72] + wire _T_495 = _T_493 | _T_494; // @[Mux.scala 27:72] + wire _T_502 = short_dividend[23:16] != 8'h0; // @[exu_div_ctl.scala 96:60] + wire _T_507 = short_dividend[22:15] != 8'hff; // @[exu_div_ctl.scala 97:59] + wire _T_508 = _T_484 & _T_502; // @[Mux.scala 27:72] + wire _T_509 = short_dividend[32] & _T_507; // @[Mux.scala 27:72] + wire _T_510 = _T_508 | _T_509; // @[Mux.scala 27:72] + wire _T_517 = short_dividend[15:8] != 8'h0; // @[exu_div_ctl.scala 100:59] + wire _T_522 = short_dividend[14:7] != 8'hff; // @[exu_div_ctl.scala 101:58] + wire _T_523 = _T_484 & _T_517; // @[Mux.scala 27:72] + wire _T_524 = short_dividend[32] & _T_522; // @[Mux.scala 27:72] + wire _T_525 = _T_523 | _T_524; // @[Mux.scala 27:72] + wire [2:0] a_cls = {_T_495,_T_510,_T_525}; // @[Cat.scala 29:58] + wire _T_530 = ~m_ff[32]; // @[exu_div_ctl.scala 106:7] + wire _T_533 = m_ff[31:24] != 8'h0; // @[exu_div_ctl.scala 106:40] + wire _T_538 = m_ff[31:24] != 8'hff; // @[exu_div_ctl.scala 107:39] + wire _T_539 = _T_530 & _T_533; // @[Mux.scala 27:72] + wire _T_540 = m_ff[32] & _T_538; // @[Mux.scala 27:72] + wire _T_541 = _T_539 | _T_540; // @[Mux.scala 27:72] + wire _T_548 = m_ff[23:16] != 8'h0; // @[exu_div_ctl.scala 110:40] + wire _T_553 = m_ff[23:16] != 8'hff; // @[exu_div_ctl.scala 111:39] + wire _T_554 = _T_530 & _T_548; // @[Mux.scala 27:72] + wire _T_555 = m_ff[32] & _T_553; // @[Mux.scala 27:72] + wire _T_556 = _T_554 | _T_555; // @[Mux.scala 27:72] + wire _T_563 = m_ff[15:8] != 8'h0; // @[exu_div_ctl.scala 114:39] + wire _T_568 = m_ff[15:8] != 8'hff; // @[exu_div_ctl.scala 115:38] + wire _T_569 = _T_530 & _T_563; // @[Mux.scala 27:72] + wire _T_570 = m_ff[32] & _T_568; // @[Mux.scala 27:72] + wire _T_571 = _T_569 | _T_570; // @[Mux.scala 27:72] + wire [2:0] b_cls = {_T_541,_T_556,_T_571}; // @[Cat.scala 29:58] + wire _T_575 = a_cls[2:1] == 2'h1; // @[exu_div_ctl.scala 119:19] + wire _T_578 = _T_575 & b_cls[2]; // @[exu_div_ctl.scala 119:34] + wire _T_580 = a_cls == 3'h1; // @[exu_div_ctl.scala 120:21] + wire _T_583 = _T_580 & b_cls[2]; // @[exu_div_ctl.scala 120:36] + wire _T_584 = _T_578 | _T_583; // @[exu_div_ctl.scala 119:65] + wire _T_586 = a_cls == 3'h0; // @[exu_div_ctl.scala 121:21] + wire _T_589 = _T_586 & b_cls[2]; // @[exu_div_ctl.scala 121:36] + wire _T_590 = _T_584 | _T_589; // @[exu_div_ctl.scala 120:67] + wire _T_594 = b_cls[2:1] == 2'h1; // @[exu_div_ctl.scala 122:50] + wire _T_595 = _T_580 & _T_594; // @[exu_div_ctl.scala 122:36] + wire _T_596 = _T_590 | _T_595; // @[exu_div_ctl.scala 121:67] + wire _T_601 = _T_586 & _T_594; // @[exu_div_ctl.scala 123:36] + wire _T_602 = _T_596 | _T_601; // @[exu_div_ctl.scala 122:67] + wire _T_606 = b_cls == 3'h1; // @[exu_div_ctl.scala 124:50] + wire _T_607 = _T_586 & _T_606; // @[exu_div_ctl.scala 124:36] + wire _T_608 = _T_602 | _T_607; // @[exu_div_ctl.scala 123:67] + wire _T_613 = a_cls[2] & b_cls[2]; // @[exu_div_ctl.scala 126:34] + wire _T_618 = _T_575 & _T_594; // @[exu_div_ctl.scala 127:36] + wire _T_619 = _T_613 | _T_618; // @[exu_div_ctl.scala 126:65] + wire _T_624 = _T_580 & _T_606; // @[exu_div_ctl.scala 128:36] + wire _T_625 = _T_619 | _T_624; // @[exu_div_ctl.scala 127:67] + wire _T_629 = b_cls == 3'h0; // @[exu_div_ctl.scala 129:50] + wire _T_630 = _T_586 & _T_629; // @[exu_div_ctl.scala 129:36] + wire _T_631 = _T_625 | _T_630; // @[exu_div_ctl.scala 128:67] + wire _T_636 = a_cls[2] & _T_594; // @[exu_div_ctl.scala 131:34] + wire _T_641 = _T_575 & _T_606; // @[exu_div_ctl.scala 132:36] + wire _T_642 = _T_636 | _T_641; // @[exu_div_ctl.scala 131:65] + wire _T_647 = _T_580 & _T_629; // @[exu_div_ctl.scala 133:36] + wire _T_648 = _T_642 | _T_647; // @[exu_div_ctl.scala 132:67] + wire _T_653 = a_cls[2] & _T_606; // @[exu_div_ctl.scala 135:34] + wire _T_658 = _T_575 & _T_629; // @[exu_div_ctl.scala 136:36] + wire _T_659 = _T_653 | _T_658; // @[exu_div_ctl.scala 135:65] + wire [3:0] shortq_raw = {_T_608,_T_631,_T_648,_T_659}; // @[Cat.scala 29:58] + wire _T_664 = valid_ff_x & _T_7; // @[exu_div_ctl.scala 139:35] + wire _T_665 = shortq_raw != 4'h0; // @[exu_div_ctl.scala 139:78] + wire shortq_enable = _T_664 & _T_665; // @[exu_div_ctl.scala 139:64] + wire [3:0] _T_667 = shortq_enable ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + reg [3:0] shortq_shift_xx; // @[exu_div_ctl.scala 206:31] + wire [4:0] _T_676 = shortq_shift_xx[3] ? 5'h1f : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_677 = shortq_shift_xx[2] ? 5'h18 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_678 = shortq_shift_xx[1] ? 5'h10 : 5'h0; // @[Mux.scala 27:72] + wire [3:0] _T_679 = shortq_shift_xx[0] ? 4'h8 : 4'h0; // @[Mux.scala 27:72] + wire [4:0] _T_680 = _T_676 | _T_677; // @[Mux.scala 27:72] + wire [4:0] _T_681 = _T_680 | _T_678; // @[Mux.scala 27:72] + wire [4:0] _GEN_4 = {{1'd0}, _T_679}; // @[Mux.scala 27:72] + wire [4:0] shortq_shift_ff = _T_681 | _GEN_4; // @[Mux.scala 27:72] + reg [5:0] count; // @[exu_div_ctl.scala 198:21] + wire _T_684 = count == 6'h20; // @[exu_div_ctl.scala 150:55] + wire _T_685 = count == 6'h21; // @[exu_div_ctl.scala 150:76] + wire _T_686 = _T_9 ? _T_684 : _T_685; // @[exu_div_ctl.scala 150:39] + wire finish = smallnum_case | _T_686; // @[exu_div_ctl.scala 150:34] + reg run_state; // @[exu_div_ctl.scala 197:25] + wire _T_687 = io_dec_div_div_p_valid | run_state; // @[exu_div_ctl.scala 151:43] + wire _T_688 = _T_687 | finish; // @[exu_div_ctl.scala 151:55] + reg finish_ff; // @[exu_div_ctl.scala 196:25] + wire _T_690 = ~finish; // @[exu_div_ctl.scala 152:59] + wire _T_691 = _T_687 & _T_690; // @[exu_div_ctl.scala 152:57] + wire _T_694 = run_state & _T_690; // @[exu_div_ctl.scala 153:35] + wire _T_696 = _T_694 & _T; // @[exu_div_ctl.scala 153:45] + wire _T_697 = ~shortq_enable; // @[exu_div_ctl.scala 153:76] + wire _T_698 = _T_696 & _T_697; // @[exu_div_ctl.scala 153:74] + wire [5:0] _T_700 = _T_698 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] + wire [5:0] _T_701 = {1'h0,shortq_shift_ff}; // @[Cat.scala 29:58] + wire [5:0] _T_703 = count + _T_701; // @[exu_div_ctl.scala 153:102] + wire [5:0] _T_705 = _T_703 + 6'h1; // @[exu_div_ctl.scala 153:129] + wire _T_709 = ~io_dec_div_div_p_bits_unsign; // @[exu_div_ctl.scala 157:20] + wire _T_710 = io_divisor != 32'h0; // @[exu_div_ctl.scala 157:64] + wire sign_eff = _T_709 & _T_710; // @[exu_div_ctl.scala 157:50] + wire _T_711 = ~run_state; // @[exu_div_ctl.scala 161:6] + wire [32:0] _T_713 = {1'h0,io_dividend}; // @[Cat.scala 29:58] + reg shortq_enable_ff; // @[exu_div_ctl.scala 205:32] + wire _T_714 = valid_ff_x | shortq_enable_ff; // @[exu_div_ctl.scala 162:30] + wire _T_715 = run_state & _T_714; // @[exu_div_ctl.scala 162:16] + reg dividend_neg_ff; // @[Reg.scala 27:20] + wire _T_738 = sign_ff & dividend_neg_ff; // @[exu_div_ctl.scala 166:32] + wire _T_923 = |q_ff[30:0]; // @[lib.scala 403:35] + wire _T_925 = ~q_ff[31]; // @[lib.scala 403:40] + wire _T_927 = _T_923 ? _T_925 : q_ff[31]; // @[lib.scala 403:23] + wire _T_917 = |q_ff[29:0]; // @[lib.scala 403:35] + wire _T_919 = ~q_ff[30]; // @[lib.scala 403:40] + wire _T_921 = _T_917 ? _T_919 : q_ff[30]; // @[lib.scala 403:23] + wire _T_911 = |q_ff[28:0]; // @[lib.scala 403:35] + wire _T_913 = ~q_ff[29]; // @[lib.scala 403:40] + wire _T_915 = _T_911 ? _T_913 : q_ff[29]; // @[lib.scala 403:23] + wire _T_905 = |q_ff[27:0]; // @[lib.scala 403:35] + wire _T_907 = ~q_ff[28]; // @[lib.scala 403:40] + wire _T_909 = _T_905 ? _T_907 : q_ff[28]; // @[lib.scala 403:23] + wire _T_899 = |q_ff[26:0]; // @[lib.scala 403:35] + wire _T_901 = ~q_ff[27]; // @[lib.scala 403:40] + wire _T_903 = _T_899 ? _T_901 : q_ff[27]; // @[lib.scala 403:23] + wire _T_893 = |q_ff[25:0]; // @[lib.scala 403:35] + wire _T_895 = ~q_ff[26]; // @[lib.scala 403:40] + wire _T_897 = _T_893 ? _T_895 : q_ff[26]; // @[lib.scala 403:23] + wire _T_887 = |q_ff[24:0]; // @[lib.scala 403:35] + wire _T_889 = ~q_ff[25]; // @[lib.scala 403:40] + wire _T_891 = _T_887 ? _T_889 : q_ff[25]; // @[lib.scala 403:23] + wire _T_881 = |q_ff[23:0]; // @[lib.scala 403:35] + wire _T_883 = ~q_ff[24]; // @[lib.scala 403:40] + wire _T_885 = _T_881 ? _T_883 : q_ff[24]; // @[lib.scala 403:23] + wire _T_875 = |q_ff[22:0]; // @[lib.scala 403:35] + wire _T_877 = ~q_ff[23]; // @[lib.scala 403:40] + wire _T_879 = _T_875 ? _T_877 : q_ff[23]; // @[lib.scala 403:23] + wire _T_869 = |q_ff[21:0]; // @[lib.scala 403:35] + wire _T_871 = ~q_ff[22]; // @[lib.scala 403:40] + wire _T_873 = _T_869 ? _T_871 : q_ff[22]; // @[lib.scala 403:23] + wire _T_863 = |q_ff[20:0]; // @[lib.scala 403:35] + wire _T_865 = ~q_ff[21]; // @[lib.scala 403:40] + wire _T_867 = _T_863 ? _T_865 : q_ff[21]; // @[lib.scala 403:23] + wire _T_857 = |q_ff[19:0]; // @[lib.scala 403:35] + wire _T_859 = ~q_ff[20]; // @[lib.scala 403:40] + wire _T_861 = _T_857 ? _T_859 : q_ff[20]; // @[lib.scala 403:23] + wire _T_851 = |q_ff[18:0]; // @[lib.scala 403:35] + wire _T_853 = ~q_ff[19]; // @[lib.scala 403:40] + wire _T_855 = _T_851 ? _T_853 : q_ff[19]; // @[lib.scala 403:23] + wire _T_845 = |q_ff[17:0]; // @[lib.scala 403:35] + wire _T_847 = ~q_ff[18]; // @[lib.scala 403:40] + wire _T_849 = _T_845 ? _T_847 : q_ff[18]; // @[lib.scala 403:23] + wire _T_839 = |q_ff[16:0]; // @[lib.scala 403:35] + wire _T_841 = ~q_ff[17]; // @[lib.scala 403:40] + wire _T_843 = _T_839 ? _T_841 : q_ff[17]; // @[lib.scala 403:23] + wire _T_833 = |q_ff[15:0]; // @[lib.scala 403:35] + wire _T_835 = ~q_ff[16]; // @[lib.scala 403:40] + wire _T_837 = _T_833 ? _T_835 : q_ff[16]; // @[lib.scala 403:23] + wire [7:0] _T_948 = {_T_879,_T_873,_T_867,_T_861,_T_855,_T_849,_T_843,_T_837}; // @[lib.scala 405:14] + wire _T_827 = |q_ff[14:0]; // @[lib.scala 403:35] + wire _T_829 = ~q_ff[15]; // @[lib.scala 403:40] + wire _T_831 = _T_827 ? _T_829 : q_ff[15]; // @[lib.scala 403:23] + wire _T_821 = |q_ff[13:0]; // @[lib.scala 403:35] + wire _T_823 = ~q_ff[14]; // @[lib.scala 403:40] + wire _T_825 = _T_821 ? _T_823 : q_ff[14]; // @[lib.scala 403:23] + wire _T_815 = |q_ff[12:0]; // @[lib.scala 403:35] + wire _T_817 = ~q_ff[13]; // @[lib.scala 403:40] + wire _T_819 = _T_815 ? _T_817 : q_ff[13]; // @[lib.scala 403:23] + wire _T_809 = |q_ff[11:0]; // @[lib.scala 403:35] + wire _T_811 = ~q_ff[12]; // @[lib.scala 403:40] + wire _T_813 = _T_809 ? _T_811 : q_ff[12]; // @[lib.scala 403:23] + wire _T_803 = |q_ff[10:0]; // @[lib.scala 403:35] + wire _T_805 = ~q_ff[11]; // @[lib.scala 403:40] + wire _T_807 = _T_803 ? _T_805 : q_ff[11]; // @[lib.scala 403:23] + wire _T_797 = |q_ff[9:0]; // @[lib.scala 403:35] + wire _T_799 = ~q_ff[10]; // @[lib.scala 403:40] + wire _T_801 = _T_797 ? _T_799 : q_ff[10]; // @[lib.scala 403:23] + wire _T_791 = |q_ff[8:0]; // @[lib.scala 403:35] + wire _T_793 = ~q_ff[9]; // @[lib.scala 403:40] + wire _T_795 = _T_791 ? _T_793 : q_ff[9]; // @[lib.scala 403:23] + wire _T_785 = |q_ff[7:0]; // @[lib.scala 403:35] + wire _T_787 = ~q_ff[8]; // @[lib.scala 403:40] + wire _T_789 = _T_785 ? _T_787 : q_ff[8]; // @[lib.scala 403:23] + wire _T_779 = |q_ff[6:0]; // @[lib.scala 403:35] + wire _T_781 = ~q_ff[7]; // @[lib.scala 403:40] + wire _T_783 = _T_779 ? _T_781 : q_ff[7]; // @[lib.scala 403:23] + wire _T_773 = |q_ff[5:0]; // @[lib.scala 403:35] + wire _T_775 = ~q_ff[6]; // @[lib.scala 403:40] + wire _T_777 = _T_773 ? _T_775 : q_ff[6]; // @[lib.scala 403:23] + wire _T_767 = |q_ff[4:0]; // @[lib.scala 403:35] + wire _T_769 = ~q_ff[5]; // @[lib.scala 403:40] + wire _T_771 = _T_767 ? _T_769 : q_ff[5]; // @[lib.scala 403:23] + wire _T_761 = |q_ff[3:0]; // @[lib.scala 403:35] + wire _T_763 = ~q_ff[4]; // @[lib.scala 403:40] + wire _T_765 = _T_761 ? _T_763 : q_ff[4]; // @[lib.scala 403:23] + wire _T_755 = |q_ff[2:0]; // @[lib.scala 403:35] + wire _T_757 = ~q_ff[3]; // @[lib.scala 403:40] + wire _T_759 = _T_755 ? _T_757 : q_ff[3]; // @[lib.scala 403:23] + wire _T_749 = |q_ff[1:0]; // @[lib.scala 403:35] + wire _T_751 = ~q_ff[2]; // @[lib.scala 403:40] + wire _T_753 = _T_749 ? _T_751 : q_ff[2]; // @[lib.scala 403:23] + wire _T_743 = |q_ff[0]; // @[lib.scala 403:35] + wire _T_745 = ~q_ff[1]; // @[lib.scala 403:40] + wire _T_747 = _T_743 ? _T_745 : q_ff[1]; // @[lib.scala 403:23] + wire [6:0] _T_933 = {_T_783,_T_777,_T_771,_T_765,_T_759,_T_753,_T_747}; // @[lib.scala 405:14] + wire [14:0] _T_941 = {_T_831,_T_825,_T_819,_T_813,_T_807,_T_801,_T_795,_T_789,_T_933}; // @[lib.scala 405:14] + wire [30:0] _T_957 = {_T_927,_T_921,_T_915,_T_909,_T_903,_T_897,_T_891,_T_885,_T_948,_T_941}; // @[lib.scala 405:14] + wire [31:0] _T_959 = {_T_957,q_ff[0]}; // @[Cat.scala 29:58] + wire [31:0] dividend_eff = _T_738 ? _T_959 : q_ff[31:0]; // @[exu_div_ctl.scala 166:22] + wire [32:0] _T_995 = run_state ? 33'h1ffffffff : 33'h0; // @[Bitwise.scala 72:12] + wire _T_1007 = _T_685 & rem_ff; // @[exu_div_ctl.scala 182:41] + reg [32:0] a_ff; // @[lib.scala 374:16] + wire rem_correct = _T_1007 & a_ff[32]; // @[exu_div_ctl.scala 182:50] + wire [32:0] _T_980 = rem_correct ? a_ff : 33'h0; // @[Mux.scala 27:72] + wire _T_968 = ~rem_correct; // @[exu_div_ctl.scala 173:6] + wire _T_969 = ~shortq_enable_ff; // @[exu_div_ctl.scala 173:21] + wire _T_970 = _T_968 & _T_969; // @[exu_div_ctl.scala 173:19] + wire [32:0] _T_974 = {a_ff[31:0],q_ff[32]}; // @[Cat.scala 29:58] + wire [32:0] _T_981 = _T_970 ? _T_974 : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_983 = _T_980 | _T_981; // @[Mux.scala 27:72] + wire _T_976 = _T_968 & shortq_enable_ff; // @[exu_div_ctl.scala 174:19] + wire [55:0] _T_965 = {24'h0,dividend_eff}; // @[Cat.scala 29:58] + wire [86:0] _GEN_5 = {{31'd0}, _T_965}; // @[exu_div_ctl.scala 170:47] + wire [86:0] _T_966 = _GEN_5 << shortq_shift_ff; // @[exu_div_ctl.scala 170:47] + wire [55:0] a_eff_shift = _T_966[55:0]; // @[exu_div_ctl.scala 170:15] + wire [32:0] _T_979 = {9'h0,a_eff_shift[55:32]}; // @[Cat.scala 29:58] + wire [32:0] _T_982 = _T_976 ? _T_979 : 33'h0; // @[Mux.scala 27:72] + wire [32:0] a_eff = _T_983 | _T_982; // @[Mux.scala 27:72] + wire [32:0] a_shift = _T_995 & a_eff; // @[exu_div_ctl.scala 177:33] + wire _T_1004 = a_ff[32] | rem_correct; // @[exu_div_ctl.scala 181:21] + reg divisor_neg_ff; // @[Reg.scala 27:20] + wire m_already_comp = divisor_neg_ff & sign_ff; // @[exu_div_ctl.scala 179:48] + wire add = _T_1004 ^ m_already_comp; // @[exu_div_ctl.scala 181:36] + wire [32:0] _T_963 = ~m_ff; // @[exu_div_ctl.scala 169:35] + wire [32:0] m_eff = add ? m_ff : _T_963; // @[exu_div_ctl.scala 169:15] + wire [32:0] _T_997 = a_shift + m_eff; // @[exu_div_ctl.scala 178:41] + wire _T_998 = ~add; // @[exu_div_ctl.scala 178:65] + wire [32:0] _T_999 = {32'h0,_T_998}; // @[Cat.scala 29:58] + wire [32:0] _T_1001 = _T_997 + _T_999; // @[exu_div_ctl.scala 178:49] + wire [32:0] a_in = _T_995 & _T_1001; // @[exu_div_ctl.scala 178:30] + wire _T_719 = ~a_in[32]; // @[exu_div_ctl.scala 162:85] + wire [32:0] _T_720 = {dividend_eff,_T_719}; // @[Cat.scala 29:58] + wire [63:0] _GEN_6 = {{31'd0}, _T_720}; // @[exu_div_ctl.scala 162:96] + wire [63:0] _T_721 = _GEN_6 << shortq_shift_ff; // @[exu_div_ctl.scala 162:96] + wire _T_723 = ~_T_714; // @[exu_div_ctl.scala 163:18] + wire _T_724 = run_state & _T_723; // @[exu_div_ctl.scala 163:16] + wire [32:0] _T_729 = {q_ff[31:0],_T_719}; // @[Cat.scala 29:58] + wire [32:0] _T_730 = _T_711 ? _T_713 : 33'h0; // @[Mux.scala 27:72] + wire [63:0] _T_731 = _T_715 ? _T_721 : 64'h0; // @[Mux.scala 27:72] + wire [32:0] _T_732 = _T_724 ? _T_729 : 33'h0; // @[Mux.scala 27:72] + wire [63:0] _GEN_7 = {{31'd0}, _T_730}; // @[Mux.scala 27:72] + wire [63:0] _T_733 = _GEN_7 | _T_731; // @[Mux.scala 27:72] + wire [63:0] _GEN_8 = {{31'd0}, _T_732}; // @[Mux.scala 27:72] + wire [63:0] _T_734 = _T_733 | _GEN_8; // @[Mux.scala 27:72] + wire _T_737 = run_state & _T_697; // @[exu_div_ctl.scala 165:59] + wire _T_988 = count != 6'h21; // @[exu_div_ctl.scala 176:84] + wire _T_989 = _T_737 & _T_988; // @[exu_div_ctl.scala 176:75] + wire _T_990 = io_dec_div_div_p_valid | _T_989; // @[exu_div_ctl.scala 176:45] + wire _T_1010 = dividend_neg_ff ^ divisor_neg_ff; // @[exu_div_ctl.scala 183:50] + wire _T_1011 = sign_ff & _T_1010; // @[exu_div_ctl.scala 183:31] + wire [31:0] q_ff_eff = _T_1011 ? _T_959 : q_ff[31:0]; // @[exu_div_ctl.scala 183:21] + wire _T_1239 = |a_ff[0]; // @[lib.scala 403:35] + wire _T_1241 = ~a_ff[1]; // @[lib.scala 403:40] + wire _T_1243 = _T_1239 ? _T_1241 : a_ff[1]; // @[lib.scala 403:23] + wire _T_1245 = |a_ff[1:0]; // @[lib.scala 403:35] + wire _T_1247 = ~a_ff[2]; // @[lib.scala 403:40] + wire _T_1249 = _T_1245 ? _T_1247 : a_ff[2]; // @[lib.scala 403:23] + wire _T_1251 = |a_ff[2:0]; // @[lib.scala 403:35] + wire _T_1253 = ~a_ff[3]; // @[lib.scala 403:40] + wire _T_1255 = _T_1251 ? _T_1253 : a_ff[3]; // @[lib.scala 403:23] + wire _T_1257 = |a_ff[3:0]; // @[lib.scala 403:35] + wire _T_1259 = ~a_ff[4]; // @[lib.scala 403:40] + wire _T_1261 = _T_1257 ? _T_1259 : a_ff[4]; // @[lib.scala 403:23] + wire _T_1263 = |a_ff[4:0]; // @[lib.scala 403:35] + wire _T_1265 = ~a_ff[5]; // @[lib.scala 403:40] + wire _T_1267 = _T_1263 ? _T_1265 : a_ff[5]; // @[lib.scala 403:23] + wire _T_1269 = |a_ff[5:0]; // @[lib.scala 403:35] + wire _T_1271 = ~a_ff[6]; // @[lib.scala 403:40] + wire _T_1273 = _T_1269 ? _T_1271 : a_ff[6]; // @[lib.scala 403:23] + wire _T_1275 = |a_ff[6:0]; // @[lib.scala 403:35] + wire _T_1277 = ~a_ff[7]; // @[lib.scala 403:40] + wire _T_1279 = _T_1275 ? _T_1277 : a_ff[7]; // @[lib.scala 403:23] + wire _T_1281 = |a_ff[7:0]; // @[lib.scala 403:35] + wire _T_1283 = ~a_ff[8]; // @[lib.scala 403:40] + wire _T_1285 = _T_1281 ? _T_1283 : a_ff[8]; // @[lib.scala 403:23] + wire _T_1287 = |a_ff[8:0]; // @[lib.scala 403:35] + wire _T_1289 = ~a_ff[9]; // @[lib.scala 403:40] + wire _T_1291 = _T_1287 ? _T_1289 : a_ff[9]; // @[lib.scala 403:23] + wire _T_1293 = |a_ff[9:0]; // @[lib.scala 403:35] + wire _T_1295 = ~a_ff[10]; // @[lib.scala 403:40] + wire _T_1297 = _T_1293 ? _T_1295 : a_ff[10]; // @[lib.scala 403:23] + wire _T_1299 = |a_ff[10:0]; // @[lib.scala 403:35] + wire _T_1301 = ~a_ff[11]; // @[lib.scala 403:40] + wire _T_1303 = _T_1299 ? _T_1301 : a_ff[11]; // @[lib.scala 403:23] + wire _T_1305 = |a_ff[11:0]; // @[lib.scala 403:35] + wire _T_1307 = ~a_ff[12]; // @[lib.scala 403:40] + wire _T_1309 = _T_1305 ? _T_1307 : a_ff[12]; // @[lib.scala 403:23] + wire _T_1311 = |a_ff[12:0]; // @[lib.scala 403:35] + wire _T_1313 = ~a_ff[13]; // @[lib.scala 403:40] + wire _T_1315 = _T_1311 ? _T_1313 : a_ff[13]; // @[lib.scala 403:23] + wire _T_1317 = |a_ff[13:0]; // @[lib.scala 403:35] + wire _T_1319 = ~a_ff[14]; // @[lib.scala 403:40] + wire _T_1321 = _T_1317 ? _T_1319 : a_ff[14]; // @[lib.scala 403:23] + wire _T_1323 = |a_ff[14:0]; // @[lib.scala 403:35] + wire _T_1325 = ~a_ff[15]; // @[lib.scala 403:40] + wire _T_1327 = _T_1323 ? _T_1325 : a_ff[15]; // @[lib.scala 403:23] + wire _T_1329 = |a_ff[15:0]; // @[lib.scala 403:35] + wire _T_1331 = ~a_ff[16]; // @[lib.scala 403:40] + wire _T_1333 = _T_1329 ? _T_1331 : a_ff[16]; // @[lib.scala 403:23] + wire _T_1335 = |a_ff[16:0]; // @[lib.scala 403:35] + wire _T_1337 = ~a_ff[17]; // @[lib.scala 403:40] + wire _T_1339 = _T_1335 ? _T_1337 : a_ff[17]; // @[lib.scala 403:23] + wire _T_1341 = |a_ff[17:0]; // @[lib.scala 403:35] + wire _T_1343 = ~a_ff[18]; // @[lib.scala 403:40] + wire _T_1345 = _T_1341 ? _T_1343 : a_ff[18]; // @[lib.scala 403:23] + wire _T_1347 = |a_ff[18:0]; // @[lib.scala 403:35] + wire _T_1349 = ~a_ff[19]; // @[lib.scala 403:40] + wire _T_1351 = _T_1347 ? _T_1349 : a_ff[19]; // @[lib.scala 403:23] + wire _T_1353 = |a_ff[19:0]; // @[lib.scala 403:35] + wire _T_1355 = ~a_ff[20]; // @[lib.scala 403:40] + wire _T_1357 = _T_1353 ? _T_1355 : a_ff[20]; // @[lib.scala 403:23] + wire _T_1359 = |a_ff[20:0]; // @[lib.scala 403:35] + wire _T_1361 = ~a_ff[21]; // @[lib.scala 403:40] + wire _T_1363 = _T_1359 ? _T_1361 : a_ff[21]; // @[lib.scala 403:23] + wire _T_1365 = |a_ff[21:0]; // @[lib.scala 403:35] + wire _T_1367 = ~a_ff[22]; // @[lib.scala 403:40] + wire _T_1369 = _T_1365 ? _T_1367 : a_ff[22]; // @[lib.scala 403:23] + wire _T_1371 = |a_ff[22:0]; // @[lib.scala 403:35] + wire _T_1373 = ~a_ff[23]; // @[lib.scala 403:40] + wire _T_1375 = _T_1371 ? _T_1373 : a_ff[23]; // @[lib.scala 403:23] + wire _T_1377 = |a_ff[23:0]; // @[lib.scala 403:35] + wire _T_1379 = ~a_ff[24]; // @[lib.scala 403:40] + wire _T_1381 = _T_1377 ? _T_1379 : a_ff[24]; // @[lib.scala 403:23] + wire _T_1383 = |a_ff[24:0]; // @[lib.scala 403:35] + wire _T_1385 = ~a_ff[25]; // @[lib.scala 403:40] + wire _T_1387 = _T_1383 ? _T_1385 : a_ff[25]; // @[lib.scala 403:23] + wire _T_1389 = |a_ff[25:0]; // @[lib.scala 403:35] + wire _T_1391 = ~a_ff[26]; // @[lib.scala 403:40] + wire _T_1393 = _T_1389 ? _T_1391 : a_ff[26]; // @[lib.scala 403:23] + wire _T_1395 = |a_ff[26:0]; // @[lib.scala 403:35] + wire _T_1397 = ~a_ff[27]; // @[lib.scala 403:40] + wire _T_1399 = _T_1395 ? _T_1397 : a_ff[27]; // @[lib.scala 403:23] + wire _T_1401 = |a_ff[27:0]; // @[lib.scala 403:35] + wire _T_1403 = ~a_ff[28]; // @[lib.scala 403:40] + wire _T_1405 = _T_1401 ? _T_1403 : a_ff[28]; // @[lib.scala 403:23] + wire _T_1407 = |a_ff[28:0]; // @[lib.scala 403:35] + wire _T_1409 = ~a_ff[29]; // @[lib.scala 403:40] + wire _T_1411 = _T_1407 ? _T_1409 : a_ff[29]; // @[lib.scala 403:23] + wire _T_1413 = |a_ff[29:0]; // @[lib.scala 403:35] + wire _T_1415 = ~a_ff[30]; // @[lib.scala 403:40] + wire _T_1417 = _T_1413 ? _T_1415 : a_ff[30]; // @[lib.scala 403:23] + wire _T_1419 = |a_ff[30:0]; // @[lib.scala 403:35] + wire _T_1421 = ~a_ff[31]; // @[lib.scala 403:40] + wire _T_1423 = _T_1419 ? _T_1421 : a_ff[31]; // @[lib.scala 403:23] + wire [6:0] _T_1429 = {_T_1279,_T_1273,_T_1267,_T_1261,_T_1255,_T_1249,_T_1243}; // @[lib.scala 405:14] + wire [14:0] _T_1437 = {_T_1327,_T_1321,_T_1315,_T_1309,_T_1303,_T_1297,_T_1291,_T_1285,_T_1429}; // @[lib.scala 405:14] + wire [7:0] _T_1444 = {_T_1375,_T_1369,_T_1363,_T_1357,_T_1351,_T_1345,_T_1339,_T_1333}; // @[lib.scala 405:14] + wire [30:0] _T_1453 = {_T_1423,_T_1417,_T_1411,_T_1405,_T_1399,_T_1393,_T_1387,_T_1381,_T_1444,_T_1437}; // @[lib.scala 405:14] + wire [31:0] _T_1455 = {_T_1453,a_ff[0]}; // @[Cat.scala 29:58] + wire [31:0] a_ff_eff = _T_738 ? _T_1455 : a_ff[31:0]; // @[exu_div_ctl.scala 184:21] + reg smallnum_case_ff; // @[exu_div_ctl.scala 203:32] + reg [3:0] smallnum_ff; // @[exu_div_ctl.scala 204:27] + wire [31:0] _T_1458 = {28'h0,smallnum_ff}; // @[Cat.scala 29:58] + wire _T_1460 = ~smallnum_case_ff; // @[exu_div_ctl.scala 189:6] + wire _T_1462 = _T_1460 & _T_9; // @[exu_div_ctl.scala 189:24] + wire [31:0] _T_1464 = smallnum_case_ff ? _T_1458 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1465 = rem_ff ? a_ff_eff : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1466 = _T_1462 ? q_ff_eff : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1467 = _T_1464 | _T_1465; // @[Mux.scala 27:72] + wire _T_1499 = _T_709 & io_divisor[31]; // @[exu_div_ctl.scala 210:52] + rvclkhdr rvclkhdr ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + assign io_exu_div_result = _T_1467 | _T_1466; // @[exu_div_ctl.scala 186:21] + assign io_exu_div_wren = finish_ff & _T; // @[exu_div_ctl.scala 156:20] + assign rvclkhdr_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_io_en = _T_688 | finish_ff; // @[lib.scala 345:16] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_1_io_en = io_dec_div_div_p_valid | _T_737; // @[lib.scala 371:17] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_2_io_en = _T_990 | rem_correct; // @[lib.scala 371:17] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_3_io_en = io_dec_div_div_p_valid; // @[lib.scala 371:17] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + valid_ff_x = _RAND_0[0:0]; + _RAND_1 = {2{`RANDOM}}; + q_ff = _RAND_1[32:0]; + _RAND_2 = {2{`RANDOM}}; + m_ff = _RAND_2[32:0]; + _RAND_3 = {1{`RANDOM}}; + rem_ff = _RAND_3[0:0]; + _RAND_4 = {1{`RANDOM}}; + sign_ff = _RAND_4[0:0]; + _RAND_5 = {1{`RANDOM}}; + shortq_shift_xx = _RAND_5[3:0]; + _RAND_6 = {1{`RANDOM}}; + count = _RAND_6[5:0]; + _RAND_7 = {1{`RANDOM}}; + run_state = _RAND_7[0:0]; + _RAND_8 = {1{`RANDOM}}; + finish_ff = _RAND_8[0:0]; + _RAND_9 = {1{`RANDOM}}; + shortq_enable_ff = _RAND_9[0:0]; + _RAND_10 = {1{`RANDOM}}; + dividend_neg_ff = _RAND_10[0:0]; + _RAND_11 = {2{`RANDOM}}; + a_ff = _RAND_11[32:0]; + _RAND_12 = {1{`RANDOM}}; + divisor_neg_ff = _RAND_12[0:0]; + _RAND_13 = {1{`RANDOM}}; + smallnum_case_ff = _RAND_13[0:0]; + _RAND_14 = {1{`RANDOM}}; + smallnum_ff = _RAND_14[3:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + valid_ff_x = 1'h0; + end + if (reset) begin + q_ff = 33'h0; + end + if (reset) begin + m_ff = 33'h0; + end + if (reset) begin + rem_ff = 1'h0; + end + if (reset) begin + sign_ff = 1'h0; + end + if (reset) begin + shortq_shift_xx = 4'h0; + end + if (reset) begin + count = 6'h0; + end + if (reset) begin + run_state = 1'h0; + end + if (reset) begin + finish_ff = 1'h0; + end + if (reset) begin + shortq_enable_ff = 1'h0; + end + if (reset) begin + dividend_neg_ff = 1'h0; + end + if (reset) begin + a_ff = 33'h0; + end + if (reset) begin + divisor_neg_ff = 1'h0; + end + if (reset) begin + smallnum_case_ff = 1'h0; + end + if (reset) begin + smallnum_ff = 4'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + valid_ff_x <= 1'h0; + end else begin + valid_ff_x <= io_dec_div_div_p_valid & _T; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + q_ff <= 33'h0; + end else begin + q_ff <= _T_734[32:0]; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + m_ff <= 33'h0; + end else begin + m_ff <= {_T_1499,io_divisor}; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + rem_ff <= 1'h0; + end else if (io_dec_div_div_p_valid) begin + rem_ff <= io_dec_div_div_p_bits_rem; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + sign_ff <= 1'h0; + end else if (io_dec_div_div_p_valid) begin + sign_ff <= sign_eff; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + shortq_shift_xx <= 4'h0; + end else begin + shortq_shift_xx <= _T_667 & shortq_raw; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + count <= 6'h0; + end else begin + count <= _T_700 & _T_705; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + run_state <= 1'h0; + end else begin + run_state <= _T_691 & _T; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + finish_ff <= 1'h0; + end else begin + finish_ff <= finish & _T; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + shortq_enable_ff <= 1'h0; + end else begin + shortq_enable_ff <= _T_664 & _T_665; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + dividend_neg_ff <= 1'h0; + end else if (io_dec_div_div_p_valid) begin + dividend_neg_ff <= io_dividend[31]; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + a_ff <= 33'h0; + end else begin + a_ff <= _T_995 & _T_1001; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + divisor_neg_ff <= 1'h0; + end else if (io_dec_div_div_p_valid) begin + divisor_neg_ff <= io_divisor[31]; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + smallnum_case_ff <= 1'h0; + end else begin + smallnum_case_ff <= _T_11 | _T_19; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + smallnum_ff <= 4'h0; + end else begin + smallnum_ff <= {_T_477,_T_476}; + end + end +endmodule +module exu( + input clock, + input reset, + input io_scan_mode, + input io_dec_exu_dec_alu_dec_i0_alu_decode_d, + input io_dec_exu_dec_alu_dec_csr_ren_d, + input [11:0] io_dec_exu_dec_alu_dec_i0_br_immed_d, + output [30:0] io_dec_exu_dec_alu_exu_i0_pc_x, + input io_dec_exu_dec_div_div_p_valid, + input io_dec_exu_dec_div_div_p_bits_unsign, + input io_dec_exu_dec_div_div_p_bits_rem, + input io_dec_exu_dec_div_dec_div_cancel, + input [1:0] io_dec_exu_decode_exu_dec_data_en, + input [1:0] io_dec_exu_decode_exu_dec_ctl_en, + input io_dec_exu_decode_exu_i0_ap_land, + input io_dec_exu_decode_exu_i0_ap_lor, + input io_dec_exu_decode_exu_i0_ap_lxor, + input io_dec_exu_decode_exu_i0_ap_sll, + input io_dec_exu_decode_exu_i0_ap_srl, + input io_dec_exu_decode_exu_i0_ap_sra, + input io_dec_exu_decode_exu_i0_ap_beq, + input io_dec_exu_decode_exu_i0_ap_bne, + input io_dec_exu_decode_exu_i0_ap_blt, + input io_dec_exu_decode_exu_i0_ap_bge, + input io_dec_exu_decode_exu_i0_ap_add, + input io_dec_exu_decode_exu_i0_ap_sub, + input io_dec_exu_decode_exu_i0_ap_slt, + input io_dec_exu_decode_exu_i0_ap_unsign, + input io_dec_exu_decode_exu_i0_ap_jal, + input io_dec_exu_decode_exu_i0_ap_predict_t, + input io_dec_exu_decode_exu_i0_ap_predict_nt, + input io_dec_exu_decode_exu_i0_ap_csr_write, + input io_dec_exu_decode_exu_i0_ap_csr_imm, + input io_dec_exu_decode_exu_dec_i0_predict_p_d_valid, + input io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_misp, + input io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_ataken, + input io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_boffset, + input io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pc4, + input [1:0] io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_hist, + input [11:0] io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_toffset, + input io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_error, + input io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_start_error, + input [30:0] io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_prett, + input io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pcall, + input io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pret, + input io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pja, + input io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_way, + input [7:0] io_dec_exu_decode_exu_i0_predict_fghr_d, + input [7:0] io_dec_exu_decode_exu_i0_predict_index_d, + input [4:0] io_dec_exu_decode_exu_i0_predict_btag_d, + input io_dec_exu_decode_exu_dec_i0_rs1_en_d, + input io_dec_exu_decode_exu_dec_i0_rs2_en_d, + input [31:0] io_dec_exu_decode_exu_dec_i0_immed_d, + input [31:0] io_dec_exu_decode_exu_dec_i0_rs1_bypass_data_d, + input [31:0] io_dec_exu_decode_exu_dec_i0_rs2_bypass_data_d, + input io_dec_exu_decode_exu_dec_i0_select_pc_d, + input [1:0] io_dec_exu_decode_exu_dec_i0_rs1_bypass_en_d, + input [1:0] io_dec_exu_decode_exu_dec_i0_rs2_bypass_en_d, + input io_dec_exu_decode_exu_mul_p_valid, + input io_dec_exu_decode_exu_mul_p_bits_rs1_sign, + input io_dec_exu_decode_exu_mul_p_bits_rs2_sign, + input io_dec_exu_decode_exu_mul_p_bits_low, + input io_dec_exu_decode_exu_mul_p_bits_bext, + input io_dec_exu_decode_exu_mul_p_bits_bdep, + input io_dec_exu_decode_exu_mul_p_bits_clmul, + input io_dec_exu_decode_exu_mul_p_bits_clmulh, + input io_dec_exu_decode_exu_mul_p_bits_clmulr, + input io_dec_exu_decode_exu_mul_p_bits_grev, + input io_dec_exu_decode_exu_mul_p_bits_shfl, + input io_dec_exu_decode_exu_mul_p_bits_unshfl, + input io_dec_exu_decode_exu_mul_p_bits_crc32_b, + input io_dec_exu_decode_exu_mul_p_bits_crc32_h, + input io_dec_exu_decode_exu_mul_p_bits_crc32_w, + input io_dec_exu_decode_exu_mul_p_bits_crc32c_b, + input io_dec_exu_decode_exu_mul_p_bits_crc32c_h, + input io_dec_exu_decode_exu_mul_p_bits_crc32c_w, + input io_dec_exu_decode_exu_mul_p_bits_bfp, + input [30:0] io_dec_exu_decode_exu_pred_correct_npc_x, + input io_dec_exu_decode_exu_dec_extint_stall, + output [31:0] io_dec_exu_decode_exu_exu_i0_result_x, + output [31:0] io_dec_exu_decode_exu_exu_csr_rs1_x, + input [29:0] io_dec_exu_tlu_exu_dec_tlu_meihap, + input io_dec_exu_tlu_exu_dec_tlu_flush_lower_r, + input [30:0] io_dec_exu_tlu_exu_dec_tlu_flush_path_r, + output [1:0] io_dec_exu_tlu_exu_exu_i0_br_hist_r, + output io_dec_exu_tlu_exu_exu_i0_br_error_r, + output io_dec_exu_tlu_exu_exu_i0_br_start_error_r, + output [7:0] io_dec_exu_tlu_exu_exu_i0_br_index_r, + output io_dec_exu_tlu_exu_exu_i0_br_valid_r, + output io_dec_exu_tlu_exu_exu_i0_br_mp_r, + output io_dec_exu_tlu_exu_exu_i0_br_middle_r, + output io_dec_exu_tlu_exu_exu_pmu_i0_br_misp, + output io_dec_exu_tlu_exu_exu_pmu_i0_br_ataken, + output io_dec_exu_tlu_exu_exu_pmu_i0_pc4, + output [30:0] io_dec_exu_tlu_exu_exu_npc_r, + input [30:0] io_dec_exu_ib_exu_dec_i0_pc_d, + input io_dec_exu_ib_exu_dec_debug_wdata_rs1_d, + input [31:0] io_dec_exu_gpr_exu_gpr_i0_rs1_d, + input [31:0] io_dec_exu_gpr_exu_gpr_i0_rs2_d, + output [7:0] io_exu_bp_exu_i0_br_index_r, + output [7:0] io_exu_bp_exu_i0_br_fghr_r, + output io_exu_bp_exu_i0_br_way_r, + output io_exu_bp_exu_mp_pkt_valid, + output io_exu_bp_exu_mp_pkt_bits_misp, + output io_exu_bp_exu_mp_pkt_bits_ataken, + output io_exu_bp_exu_mp_pkt_bits_boffset, + output io_exu_bp_exu_mp_pkt_bits_pc4, + output [1:0] io_exu_bp_exu_mp_pkt_bits_hist, + output [11:0] io_exu_bp_exu_mp_pkt_bits_toffset, + output io_exu_bp_exu_mp_pkt_bits_br_error, + output io_exu_bp_exu_mp_pkt_bits_br_start_error, + output [30:0] io_exu_bp_exu_mp_pkt_bits_prett, + output io_exu_bp_exu_mp_pkt_bits_pcall, + output io_exu_bp_exu_mp_pkt_bits_pret, + output io_exu_bp_exu_mp_pkt_bits_pja, + output io_exu_bp_exu_mp_pkt_bits_way, + output [7:0] io_exu_bp_exu_mp_eghr, + output [7:0] io_exu_bp_exu_mp_fghr, + output [7:0] io_exu_bp_exu_mp_index, + output [4:0] io_exu_bp_exu_mp_btag, + output io_exu_flush_final, + output [31:0] io_exu_div_result, + output io_exu_div_wren, + input [31:0] io_dbg_cmd_wrdata, + output [31:0] io_lsu_exu_exu_lsu_rs1_d, + output [31:0] io_lsu_exu_exu_lsu_rs2_d, + output [30:0] io_exu_flush_path_final +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; + reg [31:0] _RAND_11; + reg [31:0] _RAND_12; + reg [31:0] _RAND_13; + reg [31:0] _RAND_14; + reg [31:0] _RAND_15; + reg [31:0] _RAND_16; + reg [31:0] _RAND_17; + reg [31:0] _RAND_18; + reg [31:0] _RAND_19; + reg [31:0] _RAND_20; + reg [31:0] _RAND_21; + reg [31:0] _RAND_22; + reg [31:0] _RAND_23; + reg [31:0] _RAND_24; + reg [31:0] _RAND_25; + reg [31:0] _RAND_26; + reg [31:0] _RAND_27; + reg [31:0] _RAND_28; + reg [31:0] _RAND_29; + reg [31:0] _RAND_30; + reg [31:0] _RAND_31; + reg [31:0] _RAND_32; + reg [31:0] _RAND_33; + reg [31:0] _RAND_34; + reg [31:0] _RAND_35; + reg [31:0] _RAND_36; + reg [31:0] _RAND_37; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_io_en; // @[lib.scala 368:23] + wire rvclkhdr_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_en; // @[lib.scala 368:23] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 378:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 378:23] + wire rvclkhdr_2_io_en; // @[lib.scala 378:23] + wire rvclkhdr_2_io_scan_mode; // @[lib.scala 378:23] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_en; // @[lib.scala 368:23] + wire rvclkhdr_3_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_4_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_en; // @[lib.scala 368:23] + wire rvclkhdr_4_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_5_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_en; // @[lib.scala 368:23] + wire rvclkhdr_5_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_6_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_6_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_6_io_en; // @[lib.scala 368:23] + wire rvclkhdr_6_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_7_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_7_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_7_io_en; // @[lib.scala 368:23] + wire rvclkhdr_7_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_8_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_8_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_8_io_en; // @[lib.scala 368:23] + wire rvclkhdr_8_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_9_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_9_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_9_io_en; // @[lib.scala 368:23] + wire rvclkhdr_9_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_10_io_l1clk; // @[lib.scala 378:23] + wire rvclkhdr_10_io_clk; // @[lib.scala 378:23] + wire rvclkhdr_10_io_en; // @[lib.scala 378:23] + wire rvclkhdr_10_io_scan_mode; // @[lib.scala 378:23] + wire rvclkhdr_11_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_11_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_11_io_en; // @[lib.scala 368:23] + wire rvclkhdr_11_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_12_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_12_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_12_io_en; // @[lib.scala 368:23] + wire rvclkhdr_12_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_13_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_13_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_13_io_en; // @[lib.scala 368:23] + wire rvclkhdr_13_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_14_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_14_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_14_io_en; // @[lib.scala 368:23] + wire rvclkhdr_14_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_15_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_15_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_15_io_en; // @[lib.scala 368:23] + wire rvclkhdr_15_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_16_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_16_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_16_io_en; // @[lib.scala 368:23] + wire rvclkhdr_16_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_17_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_17_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_17_io_en; // @[lib.scala 368:23] + wire rvclkhdr_17_io_scan_mode; // @[lib.scala 368:23] + wire i_alu_clock; // @[exu.scala 144:19] + wire i_alu_reset; // @[exu.scala 144:19] + wire i_alu_io_dec_alu_dec_i0_alu_decode_d; // @[exu.scala 144:19] + wire i_alu_io_dec_alu_dec_csr_ren_d; // @[exu.scala 144:19] + wire [11:0] i_alu_io_dec_alu_dec_i0_br_immed_d; // @[exu.scala 144:19] + wire [30:0] i_alu_io_dec_alu_exu_i0_pc_x; // @[exu.scala 144:19] + wire [30:0] i_alu_io_dec_i0_pc_d; // @[exu.scala 144:19] + wire i_alu_io_scan_mode; // @[exu.scala 144:19] + wire i_alu_io_flush_upper_x; // @[exu.scala 144:19] + wire i_alu_io_dec_tlu_flush_lower_r; // @[exu.scala 144:19] + wire i_alu_io_enable; // @[exu.scala 144:19] + wire i_alu_io_i0_ap_land; // @[exu.scala 144:19] + wire i_alu_io_i0_ap_lor; // @[exu.scala 144:19] + wire i_alu_io_i0_ap_lxor; // @[exu.scala 144:19] + wire i_alu_io_i0_ap_sll; // @[exu.scala 144:19] + wire i_alu_io_i0_ap_srl; // @[exu.scala 144:19] + wire i_alu_io_i0_ap_sra; // @[exu.scala 144:19] + wire i_alu_io_i0_ap_beq; // @[exu.scala 144:19] + wire i_alu_io_i0_ap_bne; // @[exu.scala 144:19] + wire i_alu_io_i0_ap_blt; // @[exu.scala 144:19] + wire i_alu_io_i0_ap_bge; // @[exu.scala 144:19] + wire i_alu_io_i0_ap_add; // @[exu.scala 144:19] + wire i_alu_io_i0_ap_sub; // @[exu.scala 144:19] + wire i_alu_io_i0_ap_slt; // @[exu.scala 144:19] + wire i_alu_io_i0_ap_unsign; // @[exu.scala 144:19] + wire i_alu_io_i0_ap_jal; // @[exu.scala 144:19] + wire i_alu_io_i0_ap_predict_t; // @[exu.scala 144:19] + wire i_alu_io_i0_ap_predict_nt; // @[exu.scala 144:19] + wire i_alu_io_i0_ap_csr_write; // @[exu.scala 144:19] + wire i_alu_io_i0_ap_csr_imm; // @[exu.scala 144:19] + wire [31:0] i_alu_io_a_in; // @[exu.scala 144:19] + wire [31:0] i_alu_io_b_in; // @[exu.scala 144:19] + wire i_alu_io_pp_in_valid; // @[exu.scala 144:19] + wire i_alu_io_pp_in_bits_boffset; // @[exu.scala 144:19] + wire i_alu_io_pp_in_bits_pc4; // @[exu.scala 144:19] + wire [1:0] i_alu_io_pp_in_bits_hist; // @[exu.scala 144:19] + wire [11:0] i_alu_io_pp_in_bits_toffset; // @[exu.scala 144:19] + wire i_alu_io_pp_in_bits_br_error; // @[exu.scala 144:19] + wire i_alu_io_pp_in_bits_br_start_error; // @[exu.scala 144:19] + wire [30:0] i_alu_io_pp_in_bits_prett; // @[exu.scala 144:19] + wire i_alu_io_pp_in_bits_pcall; // @[exu.scala 144:19] + wire i_alu_io_pp_in_bits_pret; // @[exu.scala 144:19] + wire i_alu_io_pp_in_bits_pja; // @[exu.scala 144:19] + wire i_alu_io_pp_in_bits_way; // @[exu.scala 144:19] + wire [31:0] i_alu_io_result_ff; // @[exu.scala 144:19] + wire i_alu_io_flush_upper_out; // @[exu.scala 144:19] + wire i_alu_io_flush_final_out; // @[exu.scala 144:19] + wire [30:0] i_alu_io_flush_path_out; // @[exu.scala 144:19] + wire i_alu_io_pred_correct_out; // @[exu.scala 144:19] + wire i_alu_io_predict_p_out_valid; // @[exu.scala 144:19] + wire i_alu_io_predict_p_out_bits_misp; // @[exu.scala 144:19] + wire i_alu_io_predict_p_out_bits_ataken; // @[exu.scala 144:19] + wire i_alu_io_predict_p_out_bits_boffset; // @[exu.scala 144:19] + wire i_alu_io_predict_p_out_bits_pc4; // @[exu.scala 144:19] + wire [1:0] i_alu_io_predict_p_out_bits_hist; // @[exu.scala 144:19] + wire [11:0] i_alu_io_predict_p_out_bits_toffset; // @[exu.scala 144:19] + wire i_alu_io_predict_p_out_bits_br_error; // @[exu.scala 144:19] + wire i_alu_io_predict_p_out_bits_br_start_error; // @[exu.scala 144:19] + wire i_alu_io_predict_p_out_bits_pcall; // @[exu.scala 144:19] + wire i_alu_io_predict_p_out_bits_pret; // @[exu.scala 144:19] + wire i_alu_io_predict_p_out_bits_pja; // @[exu.scala 144:19] + wire i_alu_io_predict_p_out_bits_way; // @[exu.scala 144:19] + wire i_mul_clock; // @[exu.scala 162:19] + wire i_mul_reset; // @[exu.scala 162:19] + wire i_mul_io_scan_mode; // @[exu.scala 162:19] + wire i_mul_io_mul_p_valid; // @[exu.scala 162:19] + wire i_mul_io_mul_p_bits_rs1_sign; // @[exu.scala 162:19] + wire i_mul_io_mul_p_bits_rs2_sign; // @[exu.scala 162:19] + wire i_mul_io_mul_p_bits_low; // @[exu.scala 162:19] + wire [31:0] i_mul_io_rs1_in; // @[exu.scala 162:19] + wire [31:0] i_mul_io_rs2_in; // @[exu.scala 162:19] + wire [31:0] i_mul_io_result_x; // @[exu.scala 162:19] + wire i_div_clock; // @[exu.scala 169:19] + wire i_div_reset; // @[exu.scala 169:19] + wire i_div_io_scan_mode; // @[exu.scala 169:19] + wire [31:0] i_div_io_dividend; // @[exu.scala 169:19] + wire [31:0] i_div_io_divisor; // @[exu.scala 169:19] + wire [31:0] i_div_io_exu_div_result; // @[exu.scala 169:19] + wire i_div_io_exu_div_wren; // @[exu.scala 169:19] + wire i_div_io_dec_div_div_p_valid; // @[exu.scala 169:19] + wire i_div_io_dec_div_div_p_bits_unsign; // @[exu.scala 169:19] + wire i_div_io_dec_div_div_p_bits_rem; // @[exu.scala 169:19] + wire i_div_io_dec_div_dec_div_cancel; // @[exu.scala 169:19] + wire [15:0] _T = {io_dec_exu_decode_exu_i0_predict_fghr_d,io_dec_exu_decode_exu_i0_predict_index_d}; // @[Cat.scala 29:58] + reg [30:0] i0_flush_path_x; // @[lib.scala 374:16] + reg [31:0] _T_3; // @[lib.scala 374:16] + reg i0_predict_p_x_valid; // @[lib.scala 384:16] + reg i0_predict_p_x_bits_misp; // @[lib.scala 384:16] + reg i0_predict_p_x_bits_ataken; // @[lib.scala 384:16] + reg i0_predict_p_x_bits_boffset; // @[lib.scala 384:16] + reg i0_predict_p_x_bits_pc4; // @[lib.scala 384:16] + reg [1:0] i0_predict_p_x_bits_hist; // @[lib.scala 384:16] + reg [11:0] i0_predict_p_x_bits_toffset; // @[lib.scala 384:16] + reg i0_predict_p_x_bits_br_error; // @[lib.scala 384:16] + reg i0_predict_p_x_bits_br_start_error; // @[lib.scala 384:16] + reg i0_predict_p_x_bits_pcall; // @[lib.scala 384:16] + reg i0_predict_p_x_bits_pret; // @[lib.scala 384:16] + reg i0_predict_p_x_bits_pja; // @[lib.scala 384:16] + reg i0_predict_p_x_bits_way; // @[lib.scala 384:16] + reg [20:0] predpipe_x; // @[lib.scala 374:16] + reg [20:0] predpipe_r; // @[lib.scala 374:16] + reg [7:0] ghr_x; // @[lib.scala 374:16] + reg i0_pred_correct_upper_x; // @[lib.scala 374:16] + reg i0_flush_upper_x; // @[lib.scala 374:16] + reg i0_taken_x; // @[lib.scala 374:16] + reg i0_valid_x; // @[lib.scala 374:16] + reg i0_pp_r_valid; // @[lib.scala 384:16] + reg i0_pp_r_bits_misp; // @[lib.scala 384:16] + reg i0_pp_r_bits_ataken; // @[lib.scala 384:16] + reg i0_pp_r_bits_boffset; // @[lib.scala 384:16] + reg i0_pp_r_bits_pc4; // @[lib.scala 384:16] + reg [1:0] i0_pp_r_bits_hist; // @[lib.scala 384:16] + reg i0_pp_r_bits_br_error; // @[lib.scala 384:16] + reg i0_pp_r_bits_br_start_error; // @[lib.scala 384:16] + reg i0_pp_r_bits_way; // @[lib.scala 384:16] + reg [5:0] pred_temp1; // @[lib.scala 374:16] + reg i0_pred_correct_upper_r; // @[lib.scala 374:16] + reg [30:0] i0_flush_path_upper_r; // @[lib.scala 374:16] + reg [24:0] pred_temp2; // @[lib.scala 374:16] + wire [30:0] _T_23 = {pred_temp2,pred_temp1}; // @[Cat.scala 29:58] + wire _T_149 = ~io_dec_exu_tlu_exu_dec_tlu_flush_lower_r; // @[exu.scala 194:6] + wire i0_predict_p_d_valid = i_alu_io_predict_p_out_valid; // @[exu.scala 43:53 exu.scala 159:41] + wire _T_145 = i0_predict_p_d_valid & io_dec_exu_dec_alu_dec_i0_alu_decode_d; // @[exu.scala 187:54] + wire i0_valid_d = _T_145 & _T_149; // @[exu.scala 187:95] + wire _T_150 = _T_149 & i0_valid_d; // @[exu.scala 194:48] + reg [7:0] ghr_d; // @[lib.scala 374:16] + wire i0_predict_p_d_bits_ataken = i_alu_io_predict_p_out_bits_ataken; // @[exu.scala 43:53 exu.scala 159:41] + wire i0_taken_d = i0_predict_p_d_bits_ataken & io_dec_exu_dec_alu_dec_i0_alu_decode_d; // @[exu.scala 188:59] + wire [7:0] _T_153 = {ghr_d[6:0],i0_taken_d}; // @[Cat.scala 29:58] + wire [7:0] _T_159 = _T_150 ? _T_153 : 8'h0; // @[Mux.scala 27:72] + wire _T_155 = ~i0_valid_d; // @[exu.scala 195:50] + wire _T_156 = _T_149 & _T_155; // @[exu.scala 195:48] + wire [7:0] _T_160 = _T_156 ? ghr_d : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_162 = _T_159 | _T_160; // @[Mux.scala 27:72] + wire [7:0] _T_161 = io_dec_exu_tlu_exu_dec_tlu_flush_lower_r ? ghr_x : 8'h0; // @[Mux.scala 27:72] + wire [7:0] ghr_d_ns = _T_162 | _T_161; // @[Mux.scala 27:72] + wire _T_39 = ghr_d_ns != ghr_d; // @[exu.scala 91:39] + reg mul_valid_x; // @[lib.scala 374:16] + wire _T_40 = io_dec_exu_decode_exu_mul_p_valid != mul_valid_x; // @[exu.scala 91:89] + wire _T_41 = _T_39 | _T_40; // @[exu.scala 91:50] + reg flush_lower_ff; // @[lib.scala 374:16] + wire _T_42 = io_dec_exu_tlu_exu_dec_tlu_flush_lower_r != flush_lower_ff; // @[exu.scala 91:151] + wire i0_rs1_bypass_en_d = io_dec_exu_decode_exu_dec_i0_rs1_bypass_en_d[0] | io_dec_exu_decode_exu_dec_i0_rs1_bypass_en_d[1]; // @[exu.scala 92:84] + wire i0_rs2_bypass_en_d = io_dec_exu_decode_exu_dec_i0_rs2_bypass_en_d[0] | io_dec_exu_decode_exu_dec_i0_rs2_bypass_en_d[1]; // @[exu.scala 93:84] + wire [31:0] _T_52 = io_dec_exu_decode_exu_dec_i0_rs1_bypass_en_d[0] ? io_dec_exu_decode_exu_dec_i0_rs1_bypass_data_d : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_53 = io_dec_exu_decode_exu_dec_i0_rs1_bypass_en_d[1] ? io_dec_exu_decode_exu_exu_i0_result_x : 32'h0; // @[Mux.scala 27:72] + wire [31:0] i0_rs1_bypass_data_d = _T_52 | _T_53; // @[Mux.scala 27:72] + wire [31:0] _T_59 = io_dec_exu_decode_exu_dec_i0_rs2_bypass_en_d[0] ? io_dec_exu_decode_exu_dec_i0_rs2_bypass_data_d : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_60 = io_dec_exu_decode_exu_dec_i0_rs2_bypass_en_d[1] ? io_dec_exu_decode_exu_exu_i0_result_x : 32'h0; // @[Mux.scala 27:72] + wire [31:0] i0_rs2_bypass_data_d = _T_59 | _T_60; // @[Mux.scala 27:72] + wire _T_63 = ~i0_rs1_bypass_en_d; // @[exu.scala 107:6] + wire _T_64 = _T_63 & io_dec_exu_decode_exu_dec_i0_select_pc_d; // @[exu.scala 107:26] + wire [31:0] _T_66 = {io_dec_exu_ib_exu_dec_i0_pc_d,1'h0}; // @[Cat.scala 29:58] + wire _T_68 = _T_63 & io_dec_exu_ib_exu_dec_debug_wdata_rs1_d; // @[exu.scala 108:26] + wire _T_71 = ~io_dec_exu_ib_exu_dec_debug_wdata_rs1_d; // @[exu.scala 109:28] + wire _T_72 = _T_63 & _T_71; // @[exu.scala 109:26] + wire _T_73 = _T_72 & io_dec_exu_decode_exu_dec_i0_rs1_en_d; // @[exu.scala 109:69] + wire [31:0] _T_75 = i0_rs1_bypass_en_d ? i0_rs1_bypass_data_d : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_76 = _T_64 ? _T_66 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_77 = _T_68 ? io_dbg_cmd_wrdata : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_78 = _T_73 ? io_dec_exu_gpr_exu_gpr_i0_rs1_d : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_79 = _T_75 | _T_76; // @[Mux.scala 27:72] + wire [31:0] _T_80 = _T_79 | _T_77; // @[Mux.scala 27:72] + wire [31:0] i0_rs1_d = _T_80 | _T_78; // @[Mux.scala 27:72] + wire _T_82 = ~i0_rs2_bypass_en_d; // @[exu.scala 113:6] + wire _T_83 = _T_82 & io_dec_exu_decode_exu_dec_i0_rs2_en_d; // @[exu.scala 113:26] + wire [31:0] _T_88 = _T_83 ? io_dec_exu_gpr_exu_gpr_i0_rs2_d : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_89 = _T_82 ? io_dec_exu_decode_exu_dec_i0_immed_d : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_90 = i0_rs2_bypass_en_d ? i0_rs2_bypass_data_d : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_91 = _T_88 | _T_89; // @[Mux.scala 27:72] + wire [31:0] _T_92 = _T_91 | _T_90; // @[Mux.scala 27:72] + wire _T_94 = ~io_dec_exu_decode_exu_dec_extint_stall; // @[exu.scala 120:28] + wire _T_95 = _T_63 & _T_94; // @[exu.scala 120:26] + wire _T_96 = _T_95 & io_dec_exu_decode_exu_dec_i0_rs1_en_d; // @[exu.scala 120:68] + wire _T_99 = i0_rs1_bypass_en_d & _T_94; // @[exu.scala 121:25] + wire [31:0] _T_102 = {io_dec_exu_tlu_exu_dec_tlu_meihap,2'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_103 = _T_96 ? io_dec_exu_gpr_exu_gpr_i0_rs1_d : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_104 = _T_99 ? i0_rs1_bypass_data_d : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_105 = io_dec_exu_decode_exu_dec_extint_stall ? _T_102 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_106 = _T_103 | _T_104; // @[Mux.scala 27:72] + wire _T_111 = _T_82 & _T_94; // @[exu.scala 126:26] + wire _T_112 = _T_111 & io_dec_exu_decode_exu_dec_i0_rs2_en_d; // @[exu.scala 126:68] + wire _T_115 = i0_rs2_bypass_en_d & _T_94; // @[exu.scala 127:25] + wire [31:0] _T_117 = _T_112 ? io_dec_exu_gpr_exu_gpr_i0_rs2_d : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_118 = _T_115 ? i0_rs2_bypass_data_d : 32'h0; // @[Mux.scala 27:72] + wire _T_122 = _T_63 & io_dec_exu_decode_exu_dec_i0_rs1_en_d; // @[exu.scala 131:26] + wire [31:0] _T_125 = _T_122 ? io_dec_exu_gpr_exu_gpr_i0_rs1_d : 32'h0; // @[Mux.scala 27:72] + wire [7:0] _T_167 = {ghr_x[6:0],i0_taken_x}; // @[Cat.scala 29:58] + wire [20:0] final_predpipe_mp = i0_flush_upper_x ? predpipe_x : 21'h0; // @[exu.scala 213:49] + wire _T_179 = i0_flush_upper_x & _T_149; // @[exu.scala 215:67] + wire [30:0] i0_flush_path_d = i_alu_io_flush_path_out; // @[exu.scala 42:53 exu.scala 157:41] + wire [31:0] pred_correct_npc_r = {{1'd0}, _T_23}; // @[exu.scala 47:51 exu.scala 78:41] + wire [31:0] _T_188 = i0_pred_correct_upper_r ? pred_correct_npc_r : {{1'd0}, i0_flush_path_upper_r}; // @[exu.scala 233:72] + wire [31:0] i0_rs2_d = _T_92; // @[Mux.scala 27:72 Mux.scala 27:72] + rvclkhdr rvclkhdr ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 378:23] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + rvclkhdr rvclkhdr_4 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_4_io_l1clk), + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en), + .io_scan_mode(rvclkhdr_4_io_scan_mode) + ); + rvclkhdr rvclkhdr_5 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_5_io_l1clk), + .io_clk(rvclkhdr_5_io_clk), + .io_en(rvclkhdr_5_io_en), + .io_scan_mode(rvclkhdr_5_io_scan_mode) + ); + rvclkhdr rvclkhdr_6 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_6_io_l1clk), + .io_clk(rvclkhdr_6_io_clk), + .io_en(rvclkhdr_6_io_en), + .io_scan_mode(rvclkhdr_6_io_scan_mode) + ); + rvclkhdr rvclkhdr_7 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_7_io_l1clk), + .io_clk(rvclkhdr_7_io_clk), + .io_en(rvclkhdr_7_io_en), + .io_scan_mode(rvclkhdr_7_io_scan_mode) + ); + rvclkhdr rvclkhdr_8 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_8_io_l1clk), + .io_clk(rvclkhdr_8_io_clk), + .io_en(rvclkhdr_8_io_en), + .io_scan_mode(rvclkhdr_8_io_scan_mode) + ); + rvclkhdr rvclkhdr_9 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_9_io_l1clk), + .io_clk(rvclkhdr_9_io_clk), + .io_en(rvclkhdr_9_io_en), + .io_scan_mode(rvclkhdr_9_io_scan_mode) + ); + rvclkhdr rvclkhdr_10 ( // @[lib.scala 378:23] + .io_l1clk(rvclkhdr_10_io_l1clk), + .io_clk(rvclkhdr_10_io_clk), + .io_en(rvclkhdr_10_io_en), + .io_scan_mode(rvclkhdr_10_io_scan_mode) + ); + rvclkhdr rvclkhdr_11 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_11_io_l1clk), + .io_clk(rvclkhdr_11_io_clk), + .io_en(rvclkhdr_11_io_en), + .io_scan_mode(rvclkhdr_11_io_scan_mode) + ); + rvclkhdr rvclkhdr_12 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_12_io_l1clk), + .io_clk(rvclkhdr_12_io_clk), + .io_en(rvclkhdr_12_io_en), + .io_scan_mode(rvclkhdr_12_io_scan_mode) + ); + rvclkhdr rvclkhdr_13 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_13_io_l1clk), + .io_clk(rvclkhdr_13_io_clk), + .io_en(rvclkhdr_13_io_en), + .io_scan_mode(rvclkhdr_13_io_scan_mode) + ); + rvclkhdr rvclkhdr_14 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_14_io_l1clk), + .io_clk(rvclkhdr_14_io_clk), + .io_en(rvclkhdr_14_io_en), + .io_scan_mode(rvclkhdr_14_io_scan_mode) + ); + rvclkhdr rvclkhdr_15 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_15_io_l1clk), + .io_clk(rvclkhdr_15_io_clk), + .io_en(rvclkhdr_15_io_en), + .io_scan_mode(rvclkhdr_15_io_scan_mode) + ); + rvclkhdr rvclkhdr_16 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_16_io_l1clk), + .io_clk(rvclkhdr_16_io_clk), + .io_en(rvclkhdr_16_io_en), + .io_scan_mode(rvclkhdr_16_io_scan_mode) + ); + rvclkhdr rvclkhdr_17 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_17_io_l1clk), + .io_clk(rvclkhdr_17_io_clk), + .io_en(rvclkhdr_17_io_en), + .io_scan_mode(rvclkhdr_17_io_scan_mode) + ); + exu_alu_ctl i_alu ( // @[exu.scala 144:19] + .clock(i_alu_clock), + .reset(i_alu_reset), + .io_dec_alu_dec_i0_alu_decode_d(i_alu_io_dec_alu_dec_i0_alu_decode_d), + .io_dec_alu_dec_csr_ren_d(i_alu_io_dec_alu_dec_csr_ren_d), + .io_dec_alu_dec_i0_br_immed_d(i_alu_io_dec_alu_dec_i0_br_immed_d), + .io_dec_alu_exu_i0_pc_x(i_alu_io_dec_alu_exu_i0_pc_x), + .io_dec_i0_pc_d(i_alu_io_dec_i0_pc_d), + .io_scan_mode(i_alu_io_scan_mode), + .io_flush_upper_x(i_alu_io_flush_upper_x), + .io_dec_tlu_flush_lower_r(i_alu_io_dec_tlu_flush_lower_r), + .io_enable(i_alu_io_enable), + .io_i0_ap_land(i_alu_io_i0_ap_land), + .io_i0_ap_lor(i_alu_io_i0_ap_lor), + .io_i0_ap_lxor(i_alu_io_i0_ap_lxor), + .io_i0_ap_sll(i_alu_io_i0_ap_sll), + .io_i0_ap_srl(i_alu_io_i0_ap_srl), + .io_i0_ap_sra(i_alu_io_i0_ap_sra), + .io_i0_ap_beq(i_alu_io_i0_ap_beq), + .io_i0_ap_bne(i_alu_io_i0_ap_bne), + .io_i0_ap_blt(i_alu_io_i0_ap_blt), + .io_i0_ap_bge(i_alu_io_i0_ap_bge), + .io_i0_ap_add(i_alu_io_i0_ap_add), + .io_i0_ap_sub(i_alu_io_i0_ap_sub), + .io_i0_ap_slt(i_alu_io_i0_ap_slt), + .io_i0_ap_unsign(i_alu_io_i0_ap_unsign), + .io_i0_ap_jal(i_alu_io_i0_ap_jal), + .io_i0_ap_predict_t(i_alu_io_i0_ap_predict_t), + .io_i0_ap_predict_nt(i_alu_io_i0_ap_predict_nt), + .io_i0_ap_csr_write(i_alu_io_i0_ap_csr_write), + .io_i0_ap_csr_imm(i_alu_io_i0_ap_csr_imm), + .io_a_in(i_alu_io_a_in), + .io_b_in(i_alu_io_b_in), + .io_pp_in_valid(i_alu_io_pp_in_valid), + .io_pp_in_bits_boffset(i_alu_io_pp_in_bits_boffset), + .io_pp_in_bits_pc4(i_alu_io_pp_in_bits_pc4), + .io_pp_in_bits_hist(i_alu_io_pp_in_bits_hist), + .io_pp_in_bits_toffset(i_alu_io_pp_in_bits_toffset), + .io_pp_in_bits_br_error(i_alu_io_pp_in_bits_br_error), + .io_pp_in_bits_br_start_error(i_alu_io_pp_in_bits_br_start_error), + .io_pp_in_bits_prett(i_alu_io_pp_in_bits_prett), + .io_pp_in_bits_pcall(i_alu_io_pp_in_bits_pcall), + .io_pp_in_bits_pret(i_alu_io_pp_in_bits_pret), + .io_pp_in_bits_pja(i_alu_io_pp_in_bits_pja), + .io_pp_in_bits_way(i_alu_io_pp_in_bits_way), + .io_result_ff(i_alu_io_result_ff), + .io_flush_upper_out(i_alu_io_flush_upper_out), + .io_flush_final_out(i_alu_io_flush_final_out), + .io_flush_path_out(i_alu_io_flush_path_out), + .io_pred_correct_out(i_alu_io_pred_correct_out), + .io_predict_p_out_valid(i_alu_io_predict_p_out_valid), + .io_predict_p_out_bits_misp(i_alu_io_predict_p_out_bits_misp), + .io_predict_p_out_bits_ataken(i_alu_io_predict_p_out_bits_ataken), + .io_predict_p_out_bits_boffset(i_alu_io_predict_p_out_bits_boffset), + .io_predict_p_out_bits_pc4(i_alu_io_predict_p_out_bits_pc4), + .io_predict_p_out_bits_hist(i_alu_io_predict_p_out_bits_hist), + .io_predict_p_out_bits_toffset(i_alu_io_predict_p_out_bits_toffset), + .io_predict_p_out_bits_br_error(i_alu_io_predict_p_out_bits_br_error), + .io_predict_p_out_bits_br_start_error(i_alu_io_predict_p_out_bits_br_start_error), + .io_predict_p_out_bits_pcall(i_alu_io_predict_p_out_bits_pcall), + .io_predict_p_out_bits_pret(i_alu_io_predict_p_out_bits_pret), + .io_predict_p_out_bits_pja(i_alu_io_predict_p_out_bits_pja), + .io_predict_p_out_bits_way(i_alu_io_predict_p_out_bits_way) + ); + exu_mul_ctl i_mul ( // @[exu.scala 162:19] + .clock(i_mul_clock), + .reset(i_mul_reset), + .io_scan_mode(i_mul_io_scan_mode), + .io_mul_p_valid(i_mul_io_mul_p_valid), + .io_mul_p_bits_rs1_sign(i_mul_io_mul_p_bits_rs1_sign), + .io_mul_p_bits_rs2_sign(i_mul_io_mul_p_bits_rs2_sign), + .io_mul_p_bits_low(i_mul_io_mul_p_bits_low), + .io_rs1_in(i_mul_io_rs1_in), + .io_rs2_in(i_mul_io_rs2_in), + .io_result_x(i_mul_io_result_x) + ); + exu_div_ctl i_div ( // @[exu.scala 169:19] + .clock(i_div_clock), + .reset(i_div_reset), + .io_scan_mode(i_div_io_scan_mode), + .io_dividend(i_div_io_dividend), + .io_divisor(i_div_io_divisor), + .io_exu_div_result(i_div_io_exu_div_result), + .io_exu_div_wren(i_div_io_exu_div_wren), + .io_dec_div_div_p_valid(i_div_io_dec_div_div_p_valid), + .io_dec_div_div_p_bits_unsign(i_div_io_dec_div_div_p_bits_unsign), + .io_dec_div_div_p_bits_rem(i_div_io_dec_div_div_p_bits_rem), + .io_dec_div_dec_div_cancel(i_div_io_dec_div_dec_div_cancel) + ); + assign io_dec_exu_dec_alu_exu_i0_pc_x = i_alu_io_dec_alu_exu_i0_pc_x; // @[exu.scala 145:20] + assign io_dec_exu_decode_exu_exu_i0_result_x = mul_valid_x ? i_mul_io_result_x : i_alu_io_result_ff; // @[exu.scala 178:58] + assign io_dec_exu_decode_exu_exu_csr_rs1_x = _T_3; // @[exu.scala 64:57] + assign io_dec_exu_tlu_exu_exu_i0_br_hist_r = i0_pp_r_bits_hist; // @[exu.scala 205:66] + assign io_dec_exu_tlu_exu_exu_i0_br_error_r = i0_pp_r_bits_br_error; // @[exu.scala 206:58] + assign io_dec_exu_tlu_exu_exu_i0_br_start_error_r = i0_pp_r_bits_br_start_error; // @[exu.scala 208:52] + assign io_dec_exu_tlu_exu_exu_i0_br_index_r = predpipe_r[12:5]; // @[exu.scala 210:58] + assign io_dec_exu_tlu_exu_exu_i0_br_valid_r = i0_pp_r_valid; // @[exu.scala 202:52] + assign io_dec_exu_tlu_exu_exu_i0_br_mp_r = i0_pp_r_bits_misp; // @[exu.scala 203:52] + assign io_dec_exu_tlu_exu_exu_i0_br_middle_r = i0_pp_r_bits_pc4 ^ i0_pp_r_bits_boffset; // @[exu.scala 207:52] + assign io_dec_exu_tlu_exu_exu_pmu_i0_br_misp = i0_pp_r_bits_misp; // @[exu.scala 182:47] + assign io_dec_exu_tlu_exu_exu_pmu_i0_br_ataken = i0_pp_r_bits_ataken; // @[exu.scala 183:47] + assign io_dec_exu_tlu_exu_exu_pmu_i0_pc4 = i0_pp_r_bits_pc4; // @[exu.scala 184:47] + assign io_dec_exu_tlu_exu_exu_npc_r = _T_188[30:0]; // @[exu.scala 233:66] + assign io_exu_bp_exu_i0_br_index_r = io_dec_exu_tlu_exu_exu_i0_br_index_r; // @[exu.scala 211:31] + assign io_exu_bp_exu_i0_br_fghr_r = predpipe_r[20:13]; // @[exu.scala 209:58] + assign io_exu_bp_exu_i0_br_way_r = i0_pp_r_bits_way; // @[exu.scala 204:43] + assign io_exu_bp_exu_mp_pkt_valid = 1'h0; // @[exu.scala 53:55] + assign io_exu_bp_exu_mp_pkt_bits_misp = i0_flush_upper_x & i0_predict_p_x_bits_misp; // @[exu.scala 219:48] + assign io_exu_bp_exu_mp_pkt_bits_ataken = i0_flush_upper_x & i0_predict_p_x_bits_ataken; // @[exu.scala 223:48] + assign io_exu_bp_exu_mp_pkt_bits_boffset = i0_flush_upper_x & i0_predict_p_x_bits_boffset; // @[exu.scala 224:48] + assign io_exu_bp_exu_mp_pkt_bits_pc4 = i0_flush_upper_x & i0_predict_p_x_bits_pc4; // @[exu.scala 225:48] + assign io_exu_bp_exu_mp_pkt_bits_hist = i0_flush_upper_x ? i0_predict_p_x_bits_hist : 2'h0; // @[exu.scala 226:66] + assign io_exu_bp_exu_mp_pkt_bits_toffset = i0_flush_upper_x ? i0_predict_p_x_bits_toffset : 12'h0; // @[exu.scala 227:58] + assign io_exu_bp_exu_mp_pkt_bits_br_error = 1'h0; // @[exu.scala 52:49] + assign io_exu_bp_exu_mp_pkt_bits_br_start_error = 1'h0; // @[exu.scala 51:43] + assign io_exu_bp_exu_mp_pkt_bits_prett = 31'h0; // @[exu.scala 50:57] + assign io_exu_bp_exu_mp_pkt_bits_pcall = i0_flush_upper_x & i0_predict_p_x_bits_pcall; // @[exu.scala 220:48] + assign io_exu_bp_exu_mp_pkt_bits_pret = i0_flush_upper_x & i0_predict_p_x_bits_pret; // @[exu.scala 222:48] + assign io_exu_bp_exu_mp_pkt_bits_pja = i0_flush_upper_x & i0_predict_p_x_bits_pja; // @[exu.scala 221:48] + assign io_exu_bp_exu_mp_pkt_bits_way = i0_flush_upper_x & i0_predict_p_x_bits_way; // @[exu.scala 218:48] + assign io_exu_bp_exu_mp_eghr = final_predpipe_mp[20:13]; // @[exu.scala 231:43] + assign io_exu_bp_exu_mp_fghr = _T_179 ? ghr_d : ghr_x; // @[exu.scala 228:43] + assign io_exu_bp_exu_mp_index = final_predpipe_mp[12:5]; // @[exu.scala 229:66] + assign io_exu_bp_exu_mp_btag = final_predpipe_mp[4:0]; // @[exu.scala 230:58] + assign io_exu_flush_final = i_alu_io_flush_final_out; // @[exu.scala 158:22] + assign io_exu_div_result = i_div_io_exu_div_result; // @[exu.scala 176:33] + assign io_exu_div_wren = i_div_io_exu_div_wren; // @[exu.scala 175:41] + assign io_lsu_exu_exu_lsu_rs1_d = _T_106 | _T_105; // @[exu.scala 119:27] + assign io_lsu_exu_exu_lsu_rs2_d = _T_117 | _T_118; // @[exu.scala 125:27] + assign io_exu_flush_path_final = io_dec_exu_tlu_exu_dec_tlu_flush_lower_r ? io_dec_exu_tlu_exu_dec_tlu_flush_path_r : i0_flush_path_d; // @[exu.scala 232:50] + assign rvclkhdr_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_io_en = io_dec_exu_decode_exu_dec_data_en[1]; // @[lib.scala 371:17] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_1_io_en = io_dec_exu_decode_exu_dec_data_en[1]; // @[lib.scala 371:17] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 380:18] + assign rvclkhdr_2_io_en = io_dec_exu_decode_exu_dec_data_en[1]; // @[lib.scala 381:17] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 382:24] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_3_io_en = io_dec_exu_decode_exu_dec_data_en[1]; // @[lib.scala 371:17] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_4_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_4_io_en = io_dec_exu_decode_exu_dec_data_en[0]; // @[lib.scala 371:17] + assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_5_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_5_io_en = io_dec_exu_decode_exu_dec_ctl_en[1]; // @[lib.scala 371:17] + assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_6_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_6_io_en = io_dec_exu_decode_exu_dec_ctl_en[1]; // @[lib.scala 371:17] + assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_7_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_7_io_en = io_dec_exu_decode_exu_dec_ctl_en[1]; // @[lib.scala 371:17] + assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_8_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_8_io_en = io_dec_exu_decode_exu_dec_ctl_en[1]; // @[lib.scala 371:17] + assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_9_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_9_io_en = io_dec_exu_decode_exu_dec_ctl_en[1]; // @[lib.scala 371:17] + assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_10_io_clk = clock; // @[lib.scala 380:18] + assign rvclkhdr_10_io_en = io_dec_exu_decode_exu_dec_ctl_en[0]; // @[lib.scala 381:17] + assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[lib.scala 382:24] + assign rvclkhdr_11_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_11_io_en = io_dec_exu_decode_exu_dec_ctl_en[0]; // @[lib.scala 371:17] + assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_12_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_12_io_en = io_dec_exu_decode_exu_dec_ctl_en[0]; // @[lib.scala 371:17] + assign rvclkhdr_12_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_13_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_13_io_en = io_dec_exu_decode_exu_dec_data_en[0]; // @[lib.scala 371:17] + assign rvclkhdr_13_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_14_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_14_io_en = io_dec_exu_decode_exu_dec_data_en[0]; // @[lib.scala 371:17] + assign rvclkhdr_14_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_15_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_15_io_en = _T_41 | _T_42; // @[lib.scala 371:17] + assign rvclkhdr_15_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_16_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_16_io_en = _T_41 | _T_42; // @[lib.scala 371:17] + assign rvclkhdr_16_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_17_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_17_io_en = _T_41 | _T_42; // @[lib.scala 371:17] + assign rvclkhdr_17_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign i_alu_clock = clock; + assign i_alu_reset = reset; + assign i_alu_io_dec_alu_dec_i0_alu_decode_d = io_dec_exu_dec_alu_dec_i0_alu_decode_d; // @[exu.scala 145:20] + assign i_alu_io_dec_alu_dec_csr_ren_d = io_dec_exu_dec_alu_dec_csr_ren_d; // @[exu.scala 145:20] + assign i_alu_io_dec_alu_dec_i0_br_immed_d = io_dec_exu_dec_alu_dec_i0_br_immed_d; // @[exu.scala 145:20] + assign i_alu_io_dec_i0_pc_d = io_dec_exu_ib_exu_dec_i0_pc_d; // @[exu.scala 153:41] + assign i_alu_io_scan_mode = io_scan_mode; // @[exu.scala 146:33] + assign i_alu_io_flush_upper_x = i0_flush_upper_x; // @[exu.scala 149:33] + assign i_alu_io_dec_tlu_flush_lower_r = io_dec_exu_tlu_exu_dec_tlu_flush_lower_r; // @[exu.scala 150:41] + assign i_alu_io_enable = io_dec_exu_decode_exu_dec_ctl_en[1]; // @[exu.scala 147:41] + assign i_alu_io_i0_ap_land = io_dec_exu_decode_exu_i0_ap_land; // @[exu.scala 154:49] + assign i_alu_io_i0_ap_lor = io_dec_exu_decode_exu_i0_ap_lor; // @[exu.scala 154:49] + assign i_alu_io_i0_ap_lxor = io_dec_exu_decode_exu_i0_ap_lxor; // @[exu.scala 154:49] + assign i_alu_io_i0_ap_sll = io_dec_exu_decode_exu_i0_ap_sll; // @[exu.scala 154:49] + assign i_alu_io_i0_ap_srl = io_dec_exu_decode_exu_i0_ap_srl; // @[exu.scala 154:49] + assign i_alu_io_i0_ap_sra = io_dec_exu_decode_exu_i0_ap_sra; // @[exu.scala 154:49] + assign i_alu_io_i0_ap_beq = io_dec_exu_decode_exu_i0_ap_beq; // @[exu.scala 154:49] + assign i_alu_io_i0_ap_bne = io_dec_exu_decode_exu_i0_ap_bne; // @[exu.scala 154:49] + assign i_alu_io_i0_ap_blt = io_dec_exu_decode_exu_i0_ap_blt; // @[exu.scala 154:49] + assign i_alu_io_i0_ap_bge = io_dec_exu_decode_exu_i0_ap_bge; // @[exu.scala 154:49] + assign i_alu_io_i0_ap_add = io_dec_exu_decode_exu_i0_ap_add; // @[exu.scala 154:49] + assign i_alu_io_i0_ap_sub = io_dec_exu_decode_exu_i0_ap_sub; // @[exu.scala 154:49] + assign i_alu_io_i0_ap_slt = io_dec_exu_decode_exu_i0_ap_slt; // @[exu.scala 154:49] + assign i_alu_io_i0_ap_unsign = io_dec_exu_decode_exu_i0_ap_unsign; // @[exu.scala 154:49] + assign i_alu_io_i0_ap_jal = io_dec_exu_decode_exu_i0_ap_jal; // @[exu.scala 154:49] + assign i_alu_io_i0_ap_predict_t = io_dec_exu_decode_exu_i0_ap_predict_t; // @[exu.scala 154:49] + assign i_alu_io_i0_ap_predict_nt = io_dec_exu_decode_exu_i0_ap_predict_nt; // @[exu.scala 154:49] + assign i_alu_io_i0_ap_csr_write = io_dec_exu_decode_exu_i0_ap_csr_write; // @[exu.scala 154:49] + assign i_alu_io_i0_ap_csr_imm = io_dec_exu_decode_exu_i0_ap_csr_imm; // @[exu.scala 154:49] + assign i_alu_io_a_in = _T_80 | _T_78; // @[exu.scala 151:33] + assign i_alu_io_b_in = i0_rs2_d; // @[exu.scala 152:33] + assign i_alu_io_pp_in_valid = io_dec_exu_decode_exu_dec_i0_predict_p_d_valid; // @[exu.scala 148:41] + assign i_alu_io_pp_in_bits_boffset = io_dec_exu_ib_exu_dec_i0_pc_d[0]; // @[exu.scala 148:41] + assign i_alu_io_pp_in_bits_pc4 = io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pc4; // @[exu.scala 148:41] + assign i_alu_io_pp_in_bits_hist = io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_hist; // @[exu.scala 148:41] + assign i_alu_io_pp_in_bits_toffset = io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_toffset; // @[exu.scala 148:41] + assign i_alu_io_pp_in_bits_br_error = io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_error; // @[exu.scala 148:41] + assign i_alu_io_pp_in_bits_br_start_error = io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_start_error; // @[exu.scala 148:41] + assign i_alu_io_pp_in_bits_prett = io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_prett; // @[exu.scala 148:41] + assign i_alu_io_pp_in_bits_pcall = io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pcall; // @[exu.scala 148:41] + assign i_alu_io_pp_in_bits_pret = io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pret; // @[exu.scala 148:41] + assign i_alu_io_pp_in_bits_pja = io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pja; // @[exu.scala 148:41] + assign i_alu_io_pp_in_bits_way = io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_way; // @[exu.scala 148:41] + assign i_mul_clock = clock; + assign i_mul_reset = reset; + assign i_mul_io_scan_mode = io_scan_mode; // @[exu.scala 163:33] + assign i_mul_io_mul_p_valid = io_dec_exu_decode_exu_mul_p_valid; // @[exu.scala 164:41] + assign i_mul_io_mul_p_bits_rs1_sign = io_dec_exu_decode_exu_mul_p_bits_rs1_sign; // @[exu.scala 164:41] + assign i_mul_io_mul_p_bits_rs2_sign = io_dec_exu_decode_exu_mul_p_bits_rs2_sign; // @[exu.scala 164:41] + assign i_mul_io_mul_p_bits_low = io_dec_exu_decode_exu_mul_p_bits_low; // @[exu.scala 164:41] + assign i_mul_io_rs1_in = _T_125 | _T_75; // @[exu.scala 165:41] + assign i_mul_io_rs2_in = _T_91 | _T_90; // @[exu.scala 166:41] + assign i_div_clock = clock; + assign i_div_reset = reset; + assign i_div_io_scan_mode = io_scan_mode; // @[exu.scala 171:33] + assign i_div_io_dividend = _T_125 | _T_75; // @[exu.scala 173:33] + assign i_div_io_divisor = _T_91 | _T_90; // @[exu.scala 174:33] + assign i_div_io_dec_div_div_p_valid = io_dec_exu_dec_div_div_p_valid; // @[exu.scala 170:20] + assign i_div_io_dec_div_div_p_bits_unsign = io_dec_exu_dec_div_div_p_bits_unsign; // @[exu.scala 170:20] + assign i_div_io_dec_div_div_p_bits_rem = io_dec_exu_dec_div_div_p_bits_rem; // @[exu.scala 170:20] + assign i_div_io_dec_div_dec_div_cancel = io_dec_exu_dec_div_dec_div_cancel; // @[exu.scala 170:20] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + i0_flush_path_x = _RAND_0[30:0]; + _RAND_1 = {1{`RANDOM}}; + _T_3 = _RAND_1[31:0]; + _RAND_2 = {1{`RANDOM}}; + i0_predict_p_x_valid = _RAND_2[0:0]; + _RAND_3 = {1{`RANDOM}}; + i0_predict_p_x_bits_misp = _RAND_3[0:0]; + _RAND_4 = {1{`RANDOM}}; + i0_predict_p_x_bits_ataken = _RAND_4[0:0]; + _RAND_5 = {1{`RANDOM}}; + i0_predict_p_x_bits_boffset = _RAND_5[0:0]; + _RAND_6 = {1{`RANDOM}}; + i0_predict_p_x_bits_pc4 = _RAND_6[0:0]; + _RAND_7 = {1{`RANDOM}}; + i0_predict_p_x_bits_hist = _RAND_7[1:0]; + _RAND_8 = {1{`RANDOM}}; + i0_predict_p_x_bits_toffset = _RAND_8[11:0]; + _RAND_9 = {1{`RANDOM}}; + i0_predict_p_x_bits_br_error = _RAND_9[0:0]; + _RAND_10 = {1{`RANDOM}}; + i0_predict_p_x_bits_br_start_error = _RAND_10[0:0]; + _RAND_11 = {1{`RANDOM}}; + i0_predict_p_x_bits_pcall = _RAND_11[0:0]; + _RAND_12 = {1{`RANDOM}}; + i0_predict_p_x_bits_pret = _RAND_12[0:0]; + _RAND_13 = {1{`RANDOM}}; + i0_predict_p_x_bits_pja = _RAND_13[0:0]; + _RAND_14 = {1{`RANDOM}}; + i0_predict_p_x_bits_way = _RAND_14[0:0]; + _RAND_15 = {1{`RANDOM}}; + predpipe_x = _RAND_15[20:0]; + _RAND_16 = {1{`RANDOM}}; + predpipe_r = _RAND_16[20:0]; + _RAND_17 = {1{`RANDOM}}; + ghr_x = _RAND_17[7:0]; + _RAND_18 = {1{`RANDOM}}; + i0_pred_correct_upper_x = _RAND_18[0:0]; + _RAND_19 = {1{`RANDOM}}; + i0_flush_upper_x = _RAND_19[0:0]; + _RAND_20 = {1{`RANDOM}}; + i0_taken_x = _RAND_20[0:0]; + _RAND_21 = {1{`RANDOM}}; + i0_valid_x = _RAND_21[0:0]; + _RAND_22 = {1{`RANDOM}}; + i0_pp_r_valid = _RAND_22[0:0]; + _RAND_23 = {1{`RANDOM}}; + i0_pp_r_bits_misp = _RAND_23[0:0]; + _RAND_24 = {1{`RANDOM}}; + i0_pp_r_bits_ataken = _RAND_24[0:0]; + _RAND_25 = {1{`RANDOM}}; + i0_pp_r_bits_boffset = _RAND_25[0:0]; + _RAND_26 = {1{`RANDOM}}; + i0_pp_r_bits_pc4 = _RAND_26[0:0]; + _RAND_27 = {1{`RANDOM}}; + i0_pp_r_bits_hist = _RAND_27[1:0]; + _RAND_28 = {1{`RANDOM}}; + i0_pp_r_bits_br_error = _RAND_28[0:0]; + _RAND_29 = {1{`RANDOM}}; + i0_pp_r_bits_br_start_error = _RAND_29[0:0]; + _RAND_30 = {1{`RANDOM}}; + i0_pp_r_bits_way = _RAND_30[0:0]; + _RAND_31 = {1{`RANDOM}}; + pred_temp1 = _RAND_31[5:0]; + _RAND_32 = {1{`RANDOM}}; + i0_pred_correct_upper_r = _RAND_32[0:0]; + _RAND_33 = {1{`RANDOM}}; + i0_flush_path_upper_r = _RAND_33[30:0]; + _RAND_34 = {1{`RANDOM}}; + pred_temp2 = _RAND_34[24:0]; + _RAND_35 = {1{`RANDOM}}; + ghr_d = _RAND_35[7:0]; + _RAND_36 = {1{`RANDOM}}; + mul_valid_x = _RAND_36[0:0]; + _RAND_37 = {1{`RANDOM}}; + flush_lower_ff = _RAND_37[0:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + i0_flush_path_x = 31'h0; + end + if (reset) begin + _T_3 = 32'h0; + end + if (reset) begin + i0_predict_p_x_valid = 1'h0; + end + if (reset) begin + i0_predict_p_x_bits_misp = 1'h0; + end + if (reset) begin + i0_predict_p_x_bits_ataken = 1'h0; + end + if (reset) begin + i0_predict_p_x_bits_boffset = 1'h0; + end + if (reset) begin + i0_predict_p_x_bits_pc4 = 1'h0; + end + if (reset) begin + i0_predict_p_x_bits_hist = 2'h0; + end + if (reset) begin + i0_predict_p_x_bits_toffset = 12'h0; + end + if (reset) begin + i0_predict_p_x_bits_br_error = 1'h0; + end + if (reset) begin + i0_predict_p_x_bits_br_start_error = 1'h0; + end + if (reset) begin + i0_predict_p_x_bits_pcall = 1'h0; + end + if (reset) begin + i0_predict_p_x_bits_pret = 1'h0; + end + if (reset) begin + i0_predict_p_x_bits_pja = 1'h0; + end + if (reset) begin + i0_predict_p_x_bits_way = 1'h0; + end + if (reset) begin + predpipe_x = 21'h0; + end + if (reset) begin + predpipe_r = 21'h0; + end + if (reset) begin + ghr_x = 8'h0; + end + if (reset) begin + i0_pred_correct_upper_x = 1'h0; + end + if (reset) begin + i0_flush_upper_x = 1'h0; + end + if (reset) begin + i0_taken_x = 1'h0; + end + if (reset) begin + i0_valid_x = 1'h0; + end + if (reset) begin + i0_pp_r_valid = 1'h0; + end + if (reset) begin + i0_pp_r_bits_misp = 1'h0; + end + if (reset) begin + i0_pp_r_bits_ataken = 1'h0; + end + if (reset) begin + i0_pp_r_bits_boffset = 1'h0; + end + if (reset) begin + i0_pp_r_bits_pc4 = 1'h0; + end + if (reset) begin + i0_pp_r_bits_hist = 2'h0; + end + if (reset) begin + i0_pp_r_bits_br_error = 1'h0; + end + if (reset) begin + i0_pp_r_bits_br_start_error = 1'h0; + end + if (reset) begin + i0_pp_r_bits_way = 1'h0; + end + if (reset) begin + pred_temp1 = 6'h0; + end + if (reset) begin + i0_pred_correct_upper_r = 1'h0; + end + if (reset) begin + i0_flush_path_upper_r = 31'h0; + end + if (reset) begin + pred_temp2 = 25'h0; + end + if (reset) begin + ghr_d = 8'h0; + end + if (reset) begin + mul_valid_x = 1'h0; + end + if (reset) begin + flush_lower_ff = 1'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + i0_flush_path_x <= 31'h0; + end else begin + i0_flush_path_x <= i_alu_io_flush_path_out; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + _T_3 <= 32'h0; + end else if (io_dec_exu_dec_alu_dec_csr_ren_d) begin + _T_3 <= i0_rs1_d; + end else begin + _T_3 <= io_dec_exu_decode_exu_exu_csr_rs1_x; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + i0_predict_p_x_valid <= 1'h0; + end else begin + i0_predict_p_x_valid <= i_alu_io_predict_p_out_valid; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + i0_predict_p_x_bits_misp <= 1'h0; + end else begin + i0_predict_p_x_bits_misp <= i_alu_io_predict_p_out_bits_misp; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + i0_predict_p_x_bits_ataken <= 1'h0; + end else begin + i0_predict_p_x_bits_ataken <= i_alu_io_predict_p_out_bits_ataken; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + i0_predict_p_x_bits_boffset <= 1'h0; + end else begin + i0_predict_p_x_bits_boffset <= i_alu_io_predict_p_out_bits_boffset; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + i0_predict_p_x_bits_pc4 <= 1'h0; + end else begin + i0_predict_p_x_bits_pc4 <= i_alu_io_predict_p_out_bits_pc4; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + i0_predict_p_x_bits_hist <= 2'h0; + end else begin + i0_predict_p_x_bits_hist <= i_alu_io_predict_p_out_bits_hist; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + i0_predict_p_x_bits_toffset <= 12'h0; + end else begin + i0_predict_p_x_bits_toffset <= i_alu_io_predict_p_out_bits_toffset; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + i0_predict_p_x_bits_br_error <= 1'h0; + end else begin + i0_predict_p_x_bits_br_error <= i_alu_io_predict_p_out_bits_br_error; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + i0_predict_p_x_bits_br_start_error <= 1'h0; + end else begin + i0_predict_p_x_bits_br_start_error <= i_alu_io_predict_p_out_bits_br_start_error; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + i0_predict_p_x_bits_pcall <= 1'h0; + end else begin + i0_predict_p_x_bits_pcall <= i_alu_io_predict_p_out_bits_pcall; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + i0_predict_p_x_bits_pret <= 1'h0; + end else begin + i0_predict_p_x_bits_pret <= i_alu_io_predict_p_out_bits_pret; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + i0_predict_p_x_bits_pja <= 1'h0; + end else begin + i0_predict_p_x_bits_pja <= i_alu_io_predict_p_out_bits_pja; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + i0_predict_p_x_bits_way <= 1'h0; + end else begin + i0_predict_p_x_bits_way <= i_alu_io_predict_p_out_bits_way; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + predpipe_x <= 21'h0; + end else begin + predpipe_x <= {_T,io_dec_exu_decode_exu_i0_predict_btag_d}; + end + end + always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + if (reset) begin + predpipe_r <= 21'h0; + end else begin + predpipe_r <= predpipe_x; + end + end + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + if (reset) begin + ghr_x <= 8'h0; + end else if (i0_valid_x) begin + ghr_x <= _T_167; + end + end + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + if (reset) begin + i0_pred_correct_upper_x <= 1'h0; + end else begin + i0_pred_correct_upper_x <= i_alu_io_pred_correct_out; + end + end + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + if (reset) begin + i0_flush_upper_x <= 1'h0; + end else begin + i0_flush_upper_x <= i_alu_io_flush_upper_out; + end + end + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin + if (reset) begin + i0_taken_x <= 1'h0; + end else begin + i0_taken_x <= i0_predict_p_d_bits_ataken & io_dec_exu_dec_alu_dec_i0_alu_decode_d; + end + end + always @(posedge rvclkhdr_9_io_l1clk or posedge reset) begin + if (reset) begin + i0_valid_x <= 1'h0; + end else begin + i0_valid_x <= _T_145 & _T_149; + end + end + always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin + if (reset) begin + i0_pp_r_valid <= 1'h0; + end else begin + i0_pp_r_valid <= i0_predict_p_x_valid; + end + end + always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin + if (reset) begin + i0_pp_r_bits_misp <= 1'h0; + end else begin + i0_pp_r_bits_misp <= i0_predict_p_x_bits_misp; + end + end + always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin + if (reset) begin + i0_pp_r_bits_ataken <= 1'h0; + end else begin + i0_pp_r_bits_ataken <= i0_predict_p_x_bits_ataken; + end + end + always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin + if (reset) begin + i0_pp_r_bits_boffset <= 1'h0; + end else begin + i0_pp_r_bits_boffset <= i0_predict_p_x_bits_boffset; + end + end + always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin + if (reset) begin + i0_pp_r_bits_pc4 <= 1'h0; + end else begin + i0_pp_r_bits_pc4 <= i0_predict_p_x_bits_pc4; + end + end + always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin + if (reset) begin + i0_pp_r_bits_hist <= 2'h0; + end else begin + i0_pp_r_bits_hist <= i0_predict_p_x_bits_hist; + end + end + always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin + if (reset) begin + i0_pp_r_bits_br_error <= 1'h0; + end else begin + i0_pp_r_bits_br_error <= i0_predict_p_x_bits_br_error; + end + end + always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin + if (reset) begin + i0_pp_r_bits_br_start_error <= 1'h0; + end else begin + i0_pp_r_bits_br_start_error <= i0_predict_p_x_bits_br_start_error; + end + end + always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin + if (reset) begin + i0_pp_r_bits_way <= 1'h0; + end else begin + i0_pp_r_bits_way <= i0_predict_p_x_bits_way; + end + end + always @(posedge rvclkhdr_11_io_l1clk or posedge reset) begin + if (reset) begin + pred_temp1 <= 6'h0; + end else begin + pred_temp1 <= io_dec_exu_decode_exu_pred_correct_npc_x[5:0]; + end + end + always @(posedge rvclkhdr_12_io_l1clk or posedge reset) begin + if (reset) begin + i0_pred_correct_upper_r <= 1'h0; + end else begin + i0_pred_correct_upper_r <= i0_pred_correct_upper_x; + end + end + always @(posedge rvclkhdr_13_io_l1clk or posedge reset) begin + if (reset) begin + i0_flush_path_upper_r <= 31'h0; + end else begin + i0_flush_path_upper_r <= i0_flush_path_x; + end + end + always @(posedge rvclkhdr_14_io_l1clk or posedge reset) begin + if (reset) begin + pred_temp2 <= 25'h0; + end else begin + pred_temp2 <= io_dec_exu_decode_exu_pred_correct_npc_x[30:6]; + end + end + always @(posedge rvclkhdr_15_io_l1clk or posedge reset) begin + if (reset) begin + ghr_d <= 8'h0; + end else begin + ghr_d <= _T_162 | _T_161; + end + end + always @(posedge rvclkhdr_16_io_l1clk or posedge reset) begin + if (reset) begin + mul_valid_x <= 1'h0; + end else begin + mul_valid_x <= io_dec_exu_decode_exu_mul_p_valid; + end + end + always @(posedge rvclkhdr_17_io_l1clk or posedge reset) begin + if (reset) begin + flush_lower_ff <= 1'h0; + end else begin + flush_lower_ff <= io_dec_exu_tlu_exu_dec_tlu_flush_lower_r; + end + end +endmodule diff --git a/firrtl_black_box_resource_files.f b/firrtl_black_box_resource_files.f index 89983276..3db924db 100644 --- a/firrtl_black_box_resource_files.f +++ b/firrtl_black_box_resource_files.f @@ -1,3 +1,3 @@ -/home/laraibkhan/Desktop/SweRV-Chislified/gated_latch.sv -/home/laraibkhan/Desktop/SweRV-Chislified/dmi_wrapper.sv -/home/laraibkhan/Desktop/SweRV-Chislified/mem.sv \ No newline at end of file +/home/abdulhameed.akram/Videos/Quasar/gated_latch.v +/home/abdulhameed.akram/Videos/Quasar/dmi_wrapper.sv +/home/abdulhameed.akram/Videos/Quasar/mem.sv \ No newline at end of file diff --git a/gated_latch.v b/gated_latch.v index 51b96c9d..36570337 100644 --- a/gated_latch.v +++ b/gated_latch.v @@ -1,10 +1,10 @@ module gated_latch ( - input logic SE, EN, CK, + input wire SE, EN, CK, output Q ); - logic en_ff; - logic enable; + reg en_ff; + wire enable; assign enable = EN | SE; always @(CK, enable) begin if(!CK) diff --git a/ifu.anno.json b/ifu.anno.json new file mode 100644 index 00000000..5f4225dc --- /dev/null +++ b/ifu.anno.json @@ -0,0 +1,367 @@ +[ + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~ifu|ifu>io_ic_sel_premux_data", + "sources":[ + "~ifu|ifu>io_exu_flush_final", + "~ifu|ifu>io_ic_rd_hit", + "~ifu|ifu>io_ifu_r_bits_id", + "~ifu|ifu>io_ifu_r_valid", + "~ifu|ifu>io_ifu_bus_clk_en", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_bpred_disable", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_flush_leak_one_wb", + "~ifu|ifu>io_dec_tlu_flush_lower_wb", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_error", + "~ifu|ifu>io_exu_ifu_exu_bp_exu_i0_br_index_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~ifu|ifu>io_ic_rw_addr", + "sources":[ + "~ifu|ifu>io_exu_flush_path_final", + "~ifu|ifu>io_exu_flush_final", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_flush_leak_one_wb", + "~ifu|ifu>io_dec_tlu_flush_lower_wb", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_error", + "~ifu|ifu>io_exu_ifu_exu_bp_exu_i0_br_index_r", + "~ifu|ifu>io_ic_rd_hit", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_bpred_disable" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~ifu|ifu>io_iccm_wr_size", + "sources":[ + "~ifu|ifu>io_ifu_dma_dma_mem_ctl_dma_mem_sz", + "~ifu|ifu>io_ifu_dma_dma_mem_ctl_dma_iccm_req" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~ifu|ifu>io_ifu_dec_dec_aln_ifu_pmu_instr_aligned", + "sources":[ + "~ifu|ifu>io_ifu_dec_dec_aln_aln_dec_dec_i0_decode_d" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~ifu|ifu>io_iccm_ready", + "sources":[ + "~ifu|ifu>io_ifu_dec_dec_mem_ctrl_dec_tlu_core_ecc_disable", + "~ifu|ifu>io_iccm_rd_data_ecc", + "~ifu|ifu>io_exu_flush_final", + "~ifu|ifu>io_ic_rd_hit", + "~ifu|ifu>io_ifu_dec_dec_ifc_dec_tlu_flush_noredir_wb", + "~ifu|ifu>io_exu_flush_path_final", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_bpred_disable", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_flush_leak_one_wb", + "~ifu|ifu>io_dec_tlu_flush_lower_wb", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_error", + "~ifu|ifu>io_exu_ifu_exu_bp_exu_i0_br_index_r", + "~ifu|ifu>io_ifu_dec_dec_aln_aln_dec_dec_i0_decode_d", + "~ifu|ifu>io_ifu_dec_dec_mem_ctrl_dec_tlu_flush_err_wb", + "~ifu|ifu>io_ifu_dec_dec_mem_ctrl_dec_tlu_i0_commit_cmt", + "~ifu|ifu>io_ic_rd_data", + "~ifu|ifu>io_ifu_r_bits_id", + "~ifu|ifu>io_ifu_r_valid", + "~ifu|ifu>io_ifu_bus_clk_en" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~ifu|ifu>io_iccm_wr_data", + "sources":[ + "~ifu|ifu>io_ifu_dma_dma_mem_ctl_dma_iccm_req", + "~ifu|ifu>io_ifu_dma_dma_mem_ctl_dma_mem_wdata", + "~ifu|ifu>io_ifu_dec_dec_mem_ctrl_dec_tlu_core_ecc_disable", + "~ifu|ifu>io_iccm_rd_data_ecc", + "~ifu|ifu>io_exu_flush_final", + "~ifu|ifu>io_ic_rd_hit", + "~ifu|ifu>io_ifu_dec_dec_ifc_dec_tlu_flush_noredir_wb", + "~ifu|ifu>io_exu_flush_path_final", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_bpred_disable", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_flush_leak_one_wb", + "~ifu|ifu>io_dec_tlu_flush_lower_wb", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_error", + "~ifu|ifu>io_exu_ifu_exu_bp_exu_i0_br_index_r", + "~ifu|ifu>io_ifu_dec_dec_aln_aln_dec_dec_i0_decode_d", + "~ifu|ifu>io_ifu_dec_dec_mem_ctrl_dec_tlu_flush_err_wb", + "~ifu|ifu>io_ifu_dec_dec_mem_ctrl_dec_tlu_i0_commit_cmt", + "~ifu|ifu>io_ic_rd_data", + "~ifu|ifu>io_ifu_r_bits_id", + "~ifu|ifu>io_ifu_r_valid", + "~ifu|ifu>io_ifu_bus_clk_en" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~ifu|ifu>io_iccm_dma_sb_error", + "sources":[ + "~ifu|ifu>io_ifu_dec_dec_mem_ctrl_dec_tlu_core_ecc_disable", + "~ifu|ifu>io_iccm_rd_data_ecc", + "~ifu|ifu>io_exu_flush_final", + "~ifu|ifu>io_ic_rd_hit", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_bpred_disable", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_flush_leak_one_wb", + "~ifu|ifu>io_dec_tlu_flush_lower_wb", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_error", + "~ifu|ifu>io_exu_ifu_exu_bp_exu_i0_br_index_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~ifu|ifu>io_ic_debug_wr_data", + "sources":[ + "~ifu|ifu>io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wrdata" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~ifu|ifu>io_ic_debug_rd_en", + "sources":[ + "~ifu|ifu>io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_rd_valid" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~ifu|ifu>io_ic_debug_wr_en", + "sources":[ + "~ifu|ifu>io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wr_valid" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~ifu|ifu>io_ifu_dec_dec_mem_ctrl_ifu_iccm_rd_ecc_single_err", + "sources":[ + "~ifu|ifu>io_exu_flush_final", + "~ifu|ifu>io_ifu_dec_dec_mem_ctrl_dec_tlu_core_ecc_disable", + "~ifu|ifu>io_iccm_rd_data_ecc", + "~ifu|ifu>io_ic_rd_hit", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_bpred_disable", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_flush_leak_one_wb", + "~ifu|ifu>io_dec_tlu_flush_lower_wb", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_error", + "~ifu|ifu>io_exu_ifu_exu_bp_exu_i0_br_index_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~ifu|ifu>io_iccm_wren", + "sources":[ + "~ifu|ifu>io_ifu_dma_dma_mem_ctl_dma_mem_write", + "~ifu|ifu>io_ifu_dma_dma_mem_ctl_dma_iccm_req", + "~ifu|ifu>io_ifu_dec_dec_mem_ctrl_dec_tlu_core_ecc_disable", + "~ifu|ifu>io_iccm_rd_data_ecc", + "~ifu|ifu>io_exu_flush_final", + "~ifu|ifu>io_ic_rd_hit", + "~ifu|ifu>io_ifu_dec_dec_ifc_dec_tlu_flush_noredir_wb", + "~ifu|ifu>io_exu_flush_path_final", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_bpred_disable", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_flush_leak_one_wb", + "~ifu|ifu>io_dec_tlu_flush_lower_wb", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_error", + "~ifu|ifu>io_exu_ifu_exu_bp_exu_i0_br_index_r", + "~ifu|ifu>io_ifu_dec_dec_aln_aln_dec_dec_i0_decode_d", + "~ifu|ifu>io_ifu_dec_dec_mem_ctrl_dec_tlu_flush_err_wb", + "~ifu|ifu>io_ifu_dec_dec_mem_ctrl_dec_tlu_i0_commit_cmt", + "~ifu|ifu>io_ic_rd_data", + "~ifu|ifu>io_ifu_r_bits_id", + "~ifu|ifu>io_ifu_r_valid", + "~ifu|ifu>io_ifu_bus_clk_en" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~ifu|ifu>io_iccm_rw_addr", + "sources":[ + "~ifu|ifu>io_ifu_dma_dma_mem_ctl_dma_mem_addr", + "~ifu|ifu>io_ifu_dma_dma_mem_ctl_dma_iccm_req", + "~ifu|ifu>io_ifu_dec_dec_mem_ctrl_dec_tlu_core_ecc_disable", + "~ifu|ifu>io_iccm_rd_data_ecc", + "~ifu|ifu>io_exu_flush_final", + "~ifu|ifu>io_ic_rd_hit", + "~ifu|ifu>io_exu_flush_path_final", + "~ifu|ifu>io_ifu_dec_dec_ifc_dec_tlu_flush_noredir_wb", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_bpred_disable", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_flush_leak_one_wb", + "~ifu|ifu>io_dec_tlu_flush_lower_wb", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_error", + "~ifu|ifu>io_exu_ifu_exu_bp_exu_i0_br_index_r", + "~ifu|ifu>io_ifu_dec_dec_aln_aln_dec_dec_i0_decode_d", + "~ifu|ifu>io_ifu_dec_dec_mem_ctrl_dec_tlu_flush_err_wb", + "~ifu|ifu>io_ifu_dec_dec_mem_ctrl_dec_tlu_i0_commit_cmt", + "~ifu|ifu>io_ic_rd_data", + "~ifu|ifu>io_ifu_r_bits_id", + "~ifu|ifu>io_ifu_r_valid", + "~ifu|ifu>io_ifu_bus_clk_en" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~ifu|ifu>io_ic_debug_addr", + "sources":[ + "~ifu|ifu>io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_dicawics" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~ifu|ifu>io_ifu_dec_dec_ifc_ifu_pmu_fetch_stall", + "sources":[ + "~ifu|ifu>io_exu_flush_final", + "~ifu|ifu>io_ifu_dec_dec_mem_ctrl_dec_tlu_flush_err_wb", + "~ifu|ifu>io_ifu_dec_dec_mem_ctrl_dec_tlu_i0_commit_cmt", + "~ifu|ifu>io_ic_rd_data", + "~ifu|ifu>io_ic_rd_hit", + "~ifu|ifu>io_ifu_r_bits_id", + "~ifu|ifu>io_ifu_r_valid", + "~ifu|ifu>io_ifu_bus_clk_en", + "~ifu|ifu>io_ifu_dec_dec_aln_aln_dec_dec_i0_decode_d", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_bpred_disable", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_flush_leak_one_wb", + "~ifu|ifu>io_dec_tlu_flush_lower_wb", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_error", + "~ifu|ifu>io_exu_ifu_exu_bp_exu_i0_br_index_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~ifu|ifu>io_ifu_dec_dec_mem_ctrl_ifu_ic_error_start", + "sources":[ + "~ifu|ifu>io_ic_eccerr", + "~ifu|ifu>io_ic_tag_perr", + "~ifu|ifu>io_ic_rd_hit", + "~ifu|ifu>io_exu_flush_final", + "~ifu|ifu>io_ifu_r_bits_id", + "~ifu|ifu>io_ifu_r_valid", + "~ifu|ifu>io_ifu_bus_clk_en", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_bpred_disable", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_flush_leak_one_wb", + "~ifu|ifu>io_dec_tlu_flush_lower_wb", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_error", + "~ifu|ifu>io_exu_ifu_exu_bp_exu_i0_br_index_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~ifu|ifu>io_ic_debug_tag_array", + "sources":[ + "~ifu|ifu>io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_dicawics" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~ifu|ifu>io_ic_rd_en", + "sources":[ + "~ifu|ifu>io_exu_flush_final", + "~ifu|ifu>io_ifu_dec_dec_mem_ctrl_dec_tlu_force_halt", + "~ifu|ifu>io_ic_rd_hit", + "~ifu|ifu>io_exu_flush_path_final", + "~ifu|ifu>io_ifu_dec_dec_ifc_dec_tlu_flush_noredir_wb", + "~ifu|ifu>io_ifu_dec_dec_ifc_dec_tlu_mrac_ff", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_bpred_disable", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_flush_leak_one_wb", + "~ifu|ifu>io_dec_tlu_flush_lower_wb", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_error", + "~ifu|ifu>io_exu_ifu_exu_bp_exu_i0_br_index_r", + "~ifu|ifu>io_ifu_dec_dec_mem_ctrl_dec_tlu_flush_err_wb", + "~ifu|ifu>io_ifu_dec_dec_mem_ctrl_dec_tlu_i0_commit_cmt", + "~ifu|ifu>io_ic_rd_data", + "~ifu|ifu>io_ifu_r_bits_id", + "~ifu|ifu>io_ifu_r_valid", + "~ifu|ifu>io_ifu_bus_clk_en", + "~ifu|ifu>io_ifu_dec_dec_aln_aln_dec_dec_i0_decode_d" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~ifu|ifu>io_ic_premux_data", + "sources":[ + "~ifu|ifu>io_iccm_rd_data", + "~ifu|ifu>io_exu_flush_final", + "~ifu|ifu>io_ic_rd_hit", + "~ifu|ifu>io_ifu_r_bits_id", + "~ifu|ifu>io_ifu_r_valid", + "~ifu|ifu>io_ifu_bus_clk_en", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_bpred_disable", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_flush_leak_one_wb", + "~ifu|ifu>io_dec_tlu_flush_lower_wb", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_error", + "~ifu|ifu>io_exu_ifu_exu_bp_exu_i0_br_index_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~ifu|ifu>io_ic_debug_way", + "sources":[ + "~ifu|ifu>io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_dicawics" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~ifu|ifu>io_ic_tag_valid", + "sources":[ + "~ifu|ifu>io_exu_flush_final" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~ifu|ifu>io_iccm_rden", + "sources":[ + "~ifu|ifu>io_ifu_dma_dma_mem_ctl_dma_iccm_req", + "~ifu|ifu>io_ifu_dma_dma_mem_ctl_dma_mem_write", + "~ifu|ifu>io_ifu_dec_dec_mem_ctrl_dec_tlu_core_ecc_disable", + "~ifu|ifu>io_iccm_rd_data_ecc", + "~ifu|ifu>io_exu_flush_final", + "~ifu|ifu>io_ic_rd_hit", + "~ifu|ifu>io_exu_flush_path_final", + "~ifu|ifu>io_ifu_dec_dec_ifc_dec_tlu_flush_noredir_wb", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_bpred_disable", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_flush_leak_one_wb", + "~ifu|ifu>io_dec_tlu_flush_lower_wb", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error", + "~ifu|ifu>io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_error", + "~ifu|ifu>io_exu_ifu_exu_bp_exu_i0_br_index_r", + "~ifu|ifu>io_ifu_dec_dec_mem_ctrl_dec_tlu_flush_err_wb", + "~ifu|ifu>io_ifu_dec_dec_mem_ctrl_dec_tlu_i0_commit_cmt", + "~ifu|ifu>io_ic_rd_data", + "~ifu|ifu>io_ifu_r_bits_id", + "~ifu|ifu>io_ifu_r_valid", + "~ifu|ifu>io_ifu_bus_clk_en", + "~ifu|ifu>io_ifu_dec_dec_aln_aln_dec_dec_i0_decode_d" + ] + }, + { + "class":"firrtl.EmitCircuitAnnotation", + "emitter":"firrtl.VerilogEmitter" + }, + { + "class":"firrtl.transforms.BlackBoxResourceAnno", + "target":"ifu.gated_latch", + "resourceId":"/vsrc/gated_latch.v" + }, + { + "class":"firrtl.options.TargetDirAnnotation", + "directory":"." + }, + { + "class":"firrtl.options.OutputAnnotationFileAnnotation", + "file":"ifu" + }, + { + "class":"firrtl.transforms.BlackBoxTargetDirAnno", + "targetDir":"." + } +] \ No newline at end of file diff --git a/ifu.fir b/ifu.fir new file mode 100644 index 00000000..38bf4287 --- /dev/null +++ b/ifu.fir @@ -0,0 +1,64189 @@ +;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 +circuit ifu : + extmodule gated_latch : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_1 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_1 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_1 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_2 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_2 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_2 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_3 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_3 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_3 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_4 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_4 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_4 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_5 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_5 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_5 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_6 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_6 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_6 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_7 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_7 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_7 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_8 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_8 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_8 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_9 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_9 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_9 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_10 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_10 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_10 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_11 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_11 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_11 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_12 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_12 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_12 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_13 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_13 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_13 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_14 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_14 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_14 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_15 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_15 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_15 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_16 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_16 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_16 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_17 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_17 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_17 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_18 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_18 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_18 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_19 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_19 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_19 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_20 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_20 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_20 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_21 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_21 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_21 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_22 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_22 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_22 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_23 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_23 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_23 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_24 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_24 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_24 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_25 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_25 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_25 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_26 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_26 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_26 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_27 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_27 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_27 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_28 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_28 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_28 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_29 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_29 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_29 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_30 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_30 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_30 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_31 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_31 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_31 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_32 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_32 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_32 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_33 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_33 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_33 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_34 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_34 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_34 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_35 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_35 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_35 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_36 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_36 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_36 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_37 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_37 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_37 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_38 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_38 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_38 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_39 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_39 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_39 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_40 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_40 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_40 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_41 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_41 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_41 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_42 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_42 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_42 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_43 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_43 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_43 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_44 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_44 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_44 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_45 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_45 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_45 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_46 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_46 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_46 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_47 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_47 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_47 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_48 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_48 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_48 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_49 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_49 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_49 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_50 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_50 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_50 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_51 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_51 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_51 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_52 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_52 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_52 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_53 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_53 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_53 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_54 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_54 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_54 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_55 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_55 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_55 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_56 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_56 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_56 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_57 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_57 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_57 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_58 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_58 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_58 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_59 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_59 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_59 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_60 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_60 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_60 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_61 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_61 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_61 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_62 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_62 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_62 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_63 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_63 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_63 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_64 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_64 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_64 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_65 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_65 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_65 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_66 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_66 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_66 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_67 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_67 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_67 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_68 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_68 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_68 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_69 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_69 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_69 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_70 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_70 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_70 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_71 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_71 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_71 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_72 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_72 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_72 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_73 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_73 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_73 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_74 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_74 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_74 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_75 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_75 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_75 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_76 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_76 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_76 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_77 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_77 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_77 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_78 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_78 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_78 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_79 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_79 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_79 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_80 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_80 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_80 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_81 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_81 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_81 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_82 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_82 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_82 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_83 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_83 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_83 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_84 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_84 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_84 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_85 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_85 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_85 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_86 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_86 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_86 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_87 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_87 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_87 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_88 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_88 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_88 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_89 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_89 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_89 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_90 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_90 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_90 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_91 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_91 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_91 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_92 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_92 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_92 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_93 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_93 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_93 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module ifu_mem_ctl : + input clock : Clock + input reset : AsyncReset + output io : {flip free_clk : Clock, flip active_clk : Clock, flip exu_flush_final : UInt<1>, dec_mem_ctrl : {flip dec_tlu_flush_err_wb : UInt<1>, flip dec_tlu_i0_commit_cmt : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip dec_tlu_fence_i_wb : UInt<1>, flip dec_tlu_ic_diag_pkt : {icache_wrdata : UInt<71>, icache_dicawics : UInt<17>, icache_rd_valid : UInt<1>, icache_wr_valid : UInt<1>}, flip dec_tlu_core_ecc_disable : UInt<1>, ifu_pmu_ic_miss : UInt<1>, ifu_pmu_ic_hit : UInt<1>, ifu_pmu_bus_error : UInt<1>, ifu_pmu_bus_busy : UInt<1>, ifu_pmu_bus_trxn : UInt<1>, ifu_ic_error_start : UInt<1>, ifu_iccm_rd_ecc_single_err : UInt<1>, ifu_ic_debug_rd_data : UInt<71>, ifu_ic_debug_rd_data_valid : UInt<1>, ifu_miss_state_idle : UInt<1>}, flip ifc_fetch_addr_bf : UInt<31>, flip ifc_fetch_uncacheable_bf : UInt<1>, flip ifc_fetch_req_bf : UInt<1>, flip ifc_fetch_req_bf_raw : UInt<1>, flip ifc_iccm_access_bf : UInt<1>, flip ifc_region_acc_fault_bf : UInt<1>, flip ifc_dma_access_ok : UInt<1>, flip ifu_bp_hit_taken_f : UInt<1>, flip ifu_bp_inst_mask_f : UInt<1>, ifu_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip ifu_bus_clk_en : UInt<1>, dma_mem_ctl : {flip dma_iccm_req : UInt<1>, flip dma_mem_addr : UInt<32>, flip dma_mem_sz : UInt<3>, flip dma_mem_write : UInt<1>, flip dma_mem_wdata : UInt<64>, flip dma_mem_tag : UInt<3>}, iccm : {rw_addr : UInt<15>, buf_correct_ecc : UInt<1>, correction_state : UInt<1>, wren : UInt<1>, rden : UInt<1>, wr_size : UInt<3>, wr_data : UInt<78>, flip rd_data : UInt<64>, flip rd_data_ecc : UInt<78>}, ic : {rw_addr : UInt<31>, tag_valid : UInt<2>, wr_en : UInt<2>, rd_en : UInt<1>, wr_data : UInt<71>[2], debug_wr_data : UInt<71>, debug_addr : UInt<10>, flip rd_data : UInt<64>, flip debug_rd_data : UInt<71>, flip tag_debug_rd_data : UInt<26>, flip eccerr : UInt<2>, flip parerr : UInt<2>, flip rd_hit : UInt<2>, flip tag_perr : UInt<1>, debug_rd_en : UInt<1>, debug_wr_en : UInt<1>, debug_tag_array : UInt<1>, debug_way : UInt<2>, premux_data : UInt<64>, sel_premux_data : UInt<1>}, flip ifu_fetch_val : UInt<2>, ifu_ic_mb_empty : UInt<1>, ic_dma_active : UInt<1>, ic_write_stall : UInt<1>, iccm_dma_ecc_error : UInt<1>, iccm_dma_rvalid : UInt<1>, iccm_dma_rdata : UInt<64>, iccm_dma_rtag : UInt<3>, iccm_ready : UInt<1>, flip dec_tlu_flush_lower_wb : UInt<1>, iccm_rd_ecc_double_err : UInt<1>, iccm_dma_sb_error : UInt<1>, ic_hit_f : UInt<1>, ic_access_fault_f : UInt<1>, ic_access_fault_type_f : UInt<2>, ifu_async_error_start : UInt<1>, ic_fetch_val_f : UInt<2>, ic_data_f : UInt<32>, flip scan_mode : UInt<1>} + + wire iccm_single_ecc_error : UInt<2> + iccm_single_ecc_error <= UInt<1>("h00") + wire ifc_fetch_req_f : UInt<1> + ifc_fetch_req_f <= UInt<1>("h00") + wire miss_pending : UInt<1> + miss_pending <= UInt<1>("h00") + wire scnd_miss_req : UInt<1> + scnd_miss_req <= UInt<1>("h00") + wire dma_iccm_req_f : UInt<1> + dma_iccm_req_f <= UInt<1>("h00") + wire iccm_correct_ecc : UInt<1> + iccm_correct_ecc <= UInt<1>("h00") + wire perr_state : UInt<3> + perr_state <= UInt<1>("h00") + wire err_stop_state : UInt<2> + err_stop_state <= UInt<1>("h00") + wire err_stop_fetch : UInt<1> + err_stop_fetch <= UInt<1>("h00") + wire miss_state : UInt<3> + miss_state <= UInt<1>("h00") + wire miss_nxtstate : UInt<3> + miss_nxtstate <= UInt<1>("h00") + wire miss_state_en : UInt<1> + miss_state_en <= UInt<1>("h00") + wire ifu_bus_rsp_valid : UInt<1> + ifu_bus_rsp_valid <= UInt<1>("h00") + wire bus_ifu_bus_clk_en : UInt<1> + bus_ifu_bus_clk_en <= UInt<1>("h00") + wire ifu_bus_rsp_ready : UInt<1> + ifu_bus_rsp_ready <= UInt<1>("h00") + wire uncacheable_miss_ff : UInt<1> + uncacheable_miss_ff <= UInt<1>("h00") + wire ic_act_miss_f : UInt<1> + ic_act_miss_f <= UInt<1>("h00") + wire ic_byp_hit_f : UInt<1> + ic_byp_hit_f <= UInt<1>("h00") + wire bus_new_data_beat_count : UInt<3> + bus_new_data_beat_count <= UInt<1>("h00") + wire bus_ifu_wr_en_ff : UInt<1> + bus_ifu_wr_en_ff <= UInt<1>("h00") + wire last_beat : UInt<1> + last_beat <= UInt<1>("h00") + wire last_data_recieved_ff : UInt<1> + last_data_recieved_ff <= UInt<1>("h00") + wire stream_eol_f : UInt<1> + stream_eol_f <= UInt<1>("h00") + wire ic_miss_under_miss_f : UInt<1> + ic_miss_under_miss_f <= UInt<1>("h00") + wire ic_ignore_2nd_miss_f : UInt<1> + ic_ignore_2nd_miss_f <= UInt<1>("h00") + wire ic_debug_rd_en_ff : UInt<1> + ic_debug_rd_en_ff <= UInt<1>("h00") + inst rvclkhdr of rvclkhdr @[lib.scala 343:22] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 344:17] + rvclkhdr.io.en <= ic_debug_rd_en_ff @[lib.scala 345:16] + rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + reg flush_final_f : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 90:53] + flush_final_f <= io.exu_flush_final @[ifu_mem_ctl.scala 90:53] + node _T = or(io.ifc_fetch_req_bf_raw, ifc_fetch_req_f) @[ifu_mem_ctl.scala 91:53] + node _T_1 = or(_T, miss_pending) @[ifu_mem_ctl.scala 91:71] + node _T_2 = or(_T_1, io.exu_flush_final) @[ifu_mem_ctl.scala 91:86] + node fetch_bf_f_c1_clken = or(_T_2, scnd_miss_req) @[ifu_mem_ctl.scala 91:107] + node debug_c1_clken = or(io.ic.debug_rd_en, io.ic.debug_wr_en) @[ifu_mem_ctl.scala 92:42] + inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 343:22] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_1.io.en <= debug_c1_clken @[lib.scala 345:16] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 343:22] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_2.io.en <= fetch_bf_f_c1_clken @[lib.scala 345:16] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + node _T_3 = orr(iccm_single_ecc_error) @[ifu_mem_ctl.scala 95:52] + node _T_4 = bits(dma_iccm_req_f, 0, 0) @[ifu_mem_ctl.scala 95:78] + node _T_5 = and(_T_3, _T_4) @[ifu_mem_ctl.scala 95:55] + io.iccm_dma_sb_error <= _T_5 @[ifu_mem_ctl.scala 95:24] + node _T_6 = or(io.dec_mem_ctrl.ifu_iccm_rd_ecc_single_err, io.dec_mem_ctrl.ifu_ic_error_start) @[ifu_mem_ctl.scala 96:74] + io.ifu_async_error_start <= _T_6 @[ifu_mem_ctl.scala 96:28] + node _T_7 = eq(perr_state, UInt<3>("h04")) @[ifu_mem_ctl.scala 97:54] + node _T_8 = or(iccm_correct_ecc, _T_7) @[ifu_mem_ctl.scala 97:40] + node _T_9 = eq(err_stop_state, UInt<2>("h03")) @[ifu_mem_ctl.scala 97:90] + node _T_10 = or(_T_8, _T_9) @[ifu_mem_ctl.scala 97:72] + node _T_11 = or(_T_10, err_stop_fetch) @[ifu_mem_ctl.scala 97:112] + node _T_12 = or(_T_11, io.dec_mem_ctrl.dec_tlu_flush_err_wb) @[ifu_mem_ctl.scala 97:129] + io.ic_dma_active <= _T_12 @[ifu_mem_ctl.scala 97:20] + node _T_13 = and(ifu_bus_rsp_valid, bus_ifu_bus_clk_en) @[ifu_mem_ctl.scala 99:44] + node _T_14 = and(_T_13, ifu_bus_rsp_ready) @[ifu_mem_ctl.scala 99:65] + node _T_15 = andr(bus_new_data_beat_count) @[ifu_mem_ctl.scala 99:112] + node _T_16 = and(_T_14, _T_15) @[ifu_mem_ctl.scala 99:85] + node _T_17 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[ifu_mem_ctl.scala 100:5] + node _T_18 = and(_T_16, _T_17) @[ifu_mem_ctl.scala 99:118] + node _T_19 = eq(miss_state, UInt<3>("h05")) @[ifu_mem_ctl.scala 100:41] + node _T_20 = eq(miss_nxtstate, UInt<3>("h05")) @[ifu_mem_ctl.scala 100:73] + node _T_21 = or(_T_19, _T_20) @[ifu_mem_ctl.scala 100:57] + node _T_22 = and(_T_18, _T_21) @[ifu_mem_ctl.scala 100:26] + node _T_23 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_mem_ctl.scala 100:93] + node scnd_miss_req_in = and(_T_22, _T_23) @[ifu_mem_ctl.scala 100:91] + node ifu_bp_hit_taken_q_f = and(io.ifu_bp_hit_taken_f, io.ic_hit_f) @[ifu_mem_ctl.scala 102:52] + node _T_24 = eq(UInt<3>("h00"), miss_state) @[Conditional.scala 37:30] + when _T_24 : @[Conditional.scala 40:58] + node _T_25 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_mem_ctl.scala 106:45] + node _T_26 = and(ic_act_miss_f, _T_25) @[ifu_mem_ctl.scala 106:43] + node _T_27 = bits(_T_26, 0, 0) @[ifu_mem_ctl.scala 106:66] + node _T_28 = mux(_T_27, UInt<3>("h01"), UInt<3>("h02")) @[ifu_mem_ctl.scala 106:27] + miss_nxtstate <= _T_28 @[ifu_mem_ctl.scala 106:21] + node _T_29 = eq(io.dec_mem_ctrl.dec_tlu_force_halt, UInt<1>("h00")) @[ifu_mem_ctl.scala 107:40] + node _T_30 = and(ic_act_miss_f, _T_29) @[ifu_mem_ctl.scala 107:38] + miss_state_en <= _T_30 @[ifu_mem_ctl.scala 107:21] + skip @[Conditional.scala 40:58] + else : @[Conditional.scala 39:67] + node _T_31 = eq(UInt<3>("h01"), miss_state) @[Conditional.scala 37:30] + when _T_31 : @[Conditional.scala 39:67] + node _T_32 = and(bus_ifu_wr_en_ff, last_beat) @[ifu_mem_ctl.scala 110:126] + node _T_33 = or(last_data_recieved_ff, _T_32) @[ifu_mem_ctl.scala 110:106] + node _T_34 = and(ic_byp_hit_f, _T_33) @[ifu_mem_ctl.scala 110:80] + node _T_35 = and(_T_34, uncacheable_miss_ff) @[ifu_mem_ctl.scala 110:140] + node _T_36 = or(io.dec_mem_ctrl.dec_tlu_force_halt, _T_35) @[ifu_mem_ctl.scala 110:64] + node _T_37 = bits(_T_36, 0, 0) @[ifu_mem_ctl.scala 110:165] + node _T_38 = eq(last_data_recieved_ff, UInt<1>("h00")) @[ifu_mem_ctl.scala 111:30] + node _T_39 = and(ic_byp_hit_f, _T_38) @[ifu_mem_ctl.scala 111:27] + node _T_40 = and(_T_39, uncacheable_miss_ff) @[ifu_mem_ctl.scala 111:53] + node _T_41 = bits(_T_40, 0, 0) @[ifu_mem_ctl.scala 111:77] + node _T_42 = eq(ic_byp_hit_f, UInt<1>("h00")) @[ifu_mem_ctl.scala 112:16] + node _T_43 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_mem_ctl.scala 112:32] + node _T_44 = and(_T_42, _T_43) @[ifu_mem_ctl.scala 112:30] + node _T_45 = and(bus_ifu_wr_en_ff, last_beat) @[ifu_mem_ctl.scala 112:72] + node _T_46 = and(_T_44, _T_45) @[ifu_mem_ctl.scala 112:52] + node _T_47 = and(_T_46, uncacheable_miss_ff) @[ifu_mem_ctl.scala 112:85] + node _T_48 = bits(_T_47, 0, 0) @[ifu_mem_ctl.scala 112:109] + node _T_49 = and(bus_ifu_wr_en_ff, last_beat) @[ifu_mem_ctl.scala 113:36] + node _T_50 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[ifu_mem_ctl.scala 113:51] + node _T_51 = and(_T_49, _T_50) @[ifu_mem_ctl.scala 113:49] + node _T_52 = bits(_T_51, 0, 0) @[ifu_mem_ctl.scala 113:73] + node _T_53 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_mem_ctl.scala 114:35] + node _T_54 = and(ic_byp_hit_f, _T_53) @[ifu_mem_ctl.scala 114:33] + node _T_55 = and(bus_ifu_wr_en_ff, last_beat) @[ifu_mem_ctl.scala 114:76] + node _T_56 = eq(_T_55, UInt<1>("h00")) @[ifu_mem_ctl.scala 114:57] + node _T_57 = and(_T_54, _T_56) @[ifu_mem_ctl.scala 114:55] + node _T_58 = eq(ifu_bp_hit_taken_q_f, UInt<1>("h00")) @[ifu_mem_ctl.scala 114:91] + node _T_59 = and(_T_57, _T_58) @[ifu_mem_ctl.scala 114:89] + node _T_60 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[ifu_mem_ctl.scala 114:115] + node _T_61 = and(_T_59, _T_60) @[ifu_mem_ctl.scala 114:113] + node _T_62 = bits(_T_61, 0, 0) @[ifu_mem_ctl.scala 114:137] + node _T_63 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_mem_ctl.scala 115:41] + node _T_64 = and(bus_ifu_wr_en_ff, _T_63) @[ifu_mem_ctl.scala 115:39] + node _T_65 = and(bus_ifu_wr_en_ff, last_beat) @[ifu_mem_ctl.scala 115:82] + node _T_66 = eq(_T_65, UInt<1>("h00")) @[ifu_mem_ctl.scala 115:63] + node _T_67 = and(_T_64, _T_66) @[ifu_mem_ctl.scala 115:61] + node _T_68 = eq(ifu_bp_hit_taken_q_f, UInt<1>("h00")) @[ifu_mem_ctl.scala 115:97] + node _T_69 = and(_T_67, _T_68) @[ifu_mem_ctl.scala 115:95] + node _T_70 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[ifu_mem_ctl.scala 115:121] + node _T_71 = and(_T_69, _T_70) @[ifu_mem_ctl.scala 115:119] + node _T_72 = bits(_T_71, 0, 0) @[ifu_mem_ctl.scala 115:143] + node _T_73 = eq(ic_byp_hit_f, UInt<1>("h00")) @[ifu_mem_ctl.scala 116:22] + node _T_74 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_mem_ctl.scala 116:40] + node _T_75 = and(_T_73, _T_74) @[ifu_mem_ctl.scala 116:37] + node _T_76 = and(bus_ifu_wr_en_ff, last_beat) @[ifu_mem_ctl.scala 116:81] + node _T_77 = and(_T_75, _T_76) @[ifu_mem_ctl.scala 116:60] + node _T_78 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[ifu_mem_ctl.scala 116:102] + node _T_79 = and(_T_77, _T_78) @[ifu_mem_ctl.scala 116:100] + node _T_80 = bits(_T_79, 0, 0) @[ifu_mem_ctl.scala 116:124] + node _T_81 = or(io.exu_flush_final, ifu_bp_hit_taken_q_f) @[ifu_mem_ctl.scala 117:44] + node _T_82 = and(bus_ifu_wr_en_ff, last_beat) @[ifu_mem_ctl.scala 117:89] + node _T_83 = eq(_T_82, UInt<1>("h00")) @[ifu_mem_ctl.scala 117:70] + node _T_84 = and(_T_81, _T_83) @[ifu_mem_ctl.scala 117:68] + node _T_85 = bits(_T_84, 0, 0) @[ifu_mem_ctl.scala 117:103] + node _T_86 = mux(_T_85, UInt<3>("h02"), UInt<3>("h00")) @[ifu_mem_ctl.scala 117:22] + node _T_87 = mux(_T_80, UInt<3>("h00"), _T_86) @[ifu_mem_ctl.scala 116:20] + node _T_88 = mux(_T_72, UInt<3>("h06"), _T_87) @[ifu_mem_ctl.scala 115:20] + node _T_89 = mux(_T_62, UInt<3>("h06"), _T_88) @[ifu_mem_ctl.scala 114:18] + node _T_90 = mux(_T_52, UInt<3>("h00"), _T_89) @[ifu_mem_ctl.scala 113:16] + node _T_91 = mux(_T_48, UInt<3>("h04"), _T_90) @[ifu_mem_ctl.scala 112:14] + node _T_92 = mux(_T_41, UInt<3>("h03"), _T_91) @[ifu_mem_ctl.scala 111:12] + node _T_93 = mux(_T_37, UInt<3>("h00"), _T_92) @[ifu_mem_ctl.scala 110:27] + miss_nxtstate <= _T_93 @[ifu_mem_ctl.scala 110:21] + node _T_94 = or(io.dec_mem_ctrl.dec_tlu_force_halt, io.exu_flush_final) @[ifu_mem_ctl.scala 118:59] + node _T_95 = or(_T_94, ic_byp_hit_f) @[ifu_mem_ctl.scala 118:80] + node _T_96 = or(_T_95, ifu_bp_hit_taken_q_f) @[ifu_mem_ctl.scala 118:95] + node _T_97 = and(bus_ifu_wr_en_ff, last_beat) @[ifu_mem_ctl.scala 118:138] + node _T_98 = or(_T_96, _T_97) @[ifu_mem_ctl.scala 118:118] + node _T_99 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[ifu_mem_ctl.scala 118:173] + node _T_100 = and(bus_ifu_wr_en_ff, _T_99) @[ifu_mem_ctl.scala 118:171] + node _T_101 = or(_T_98, _T_100) @[ifu_mem_ctl.scala 118:151] + miss_state_en <= _T_101 @[ifu_mem_ctl.scala 118:21] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_102 = eq(UInt<3>("h04"), miss_state) @[Conditional.scala 37:30] + when _T_102 : @[Conditional.scala 39:67] + miss_nxtstate <= UInt<3>("h00") @[ifu_mem_ctl.scala 121:21] + node _T_103 = or(io.exu_flush_final, flush_final_f) @[ifu_mem_ctl.scala 122:43] + node _T_104 = or(_T_103, ic_byp_hit_f) @[ifu_mem_ctl.scala 122:59] + node _T_105 = or(_T_104, io.dec_mem_ctrl.dec_tlu_force_halt) @[ifu_mem_ctl.scala 122:74] + miss_state_en <= _T_105 @[ifu_mem_ctl.scala 122:21] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_106 = eq(UInt<3>("h06"), miss_state) @[Conditional.scala 37:30] + when _T_106 : @[Conditional.scala 39:67] + node _T_107 = or(io.exu_flush_final, ifu_bp_hit_taken_q_f) @[ifu_mem_ctl.scala 125:49] + node _T_108 = or(_T_107, stream_eol_f) @[ifu_mem_ctl.scala 125:72] + node _T_109 = and(bus_ifu_wr_en_ff, last_beat) @[ifu_mem_ctl.scala 125:108] + node _T_110 = eq(_T_109, UInt<1>("h00")) @[ifu_mem_ctl.scala 125:89] + node _T_111 = and(_T_108, _T_110) @[ifu_mem_ctl.scala 125:87] + node _T_112 = eq(io.dec_mem_ctrl.dec_tlu_force_halt, UInt<1>("h00")) @[ifu_mem_ctl.scala 125:124] + node _T_113 = and(_T_111, _T_112) @[ifu_mem_ctl.scala 125:122] + node _T_114 = bits(_T_113, 0, 0) @[ifu_mem_ctl.scala 125:161] + node _T_115 = mux(_T_114, UInt<3>("h02"), UInt<3>("h00")) @[ifu_mem_ctl.scala 125:27] + miss_nxtstate <= _T_115 @[ifu_mem_ctl.scala 125:21] + node _T_116 = or(io.exu_flush_final, ifu_bp_hit_taken_q_f) @[ifu_mem_ctl.scala 126:43] + node _T_117 = or(_T_116, stream_eol_f) @[ifu_mem_ctl.scala 126:67] + node _T_118 = and(bus_ifu_wr_en_ff, last_beat) @[ifu_mem_ctl.scala 126:105] + node _T_119 = or(_T_117, _T_118) @[ifu_mem_ctl.scala 126:84] + node _T_120 = or(_T_119, io.dec_mem_ctrl.dec_tlu_force_halt) @[ifu_mem_ctl.scala 126:118] + miss_state_en <= _T_120 @[ifu_mem_ctl.scala 126:21] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_121 = eq(UInt<3>("h03"), miss_state) @[Conditional.scala 37:30] + when _T_121 : @[Conditional.scala 39:67] + node _T_122 = and(bus_ifu_wr_en_ff, last_beat) @[ifu_mem_ctl.scala 129:69] + node _T_123 = eq(_T_122, UInt<1>("h00")) @[ifu_mem_ctl.scala 129:50] + node _T_124 = and(io.exu_flush_final, _T_123) @[ifu_mem_ctl.scala 129:48] + node _T_125 = eq(io.dec_mem_ctrl.dec_tlu_force_halt, UInt<1>("h00")) @[ifu_mem_ctl.scala 129:84] + node _T_126 = and(_T_124, _T_125) @[ifu_mem_ctl.scala 129:82] + node _T_127 = bits(_T_126, 0, 0) @[ifu_mem_ctl.scala 129:121] + node _T_128 = mux(_T_127, UInt<3>("h02"), UInt<3>("h00")) @[ifu_mem_ctl.scala 129:27] + miss_nxtstate <= _T_128 @[ifu_mem_ctl.scala 129:21] + node _T_129 = and(bus_ifu_wr_en_ff, last_beat) @[ifu_mem_ctl.scala 130:63] + node _T_130 = or(io.exu_flush_final, _T_129) @[ifu_mem_ctl.scala 130:43] + node _T_131 = or(_T_130, io.dec_mem_ctrl.dec_tlu_force_halt) @[ifu_mem_ctl.scala 130:76] + miss_state_en <= _T_131 @[ifu_mem_ctl.scala 130:21] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_132 = eq(UInt<3>("h02"), miss_state) @[Conditional.scala 37:30] + when _T_132 : @[Conditional.scala 39:67] + node _T_133 = and(bus_ifu_wr_en_ff, last_beat) @[ifu_mem_ctl.scala 133:71] + node _T_134 = eq(_T_133, UInt<1>("h00")) @[ifu_mem_ctl.scala 133:52] + node _T_135 = and(ic_miss_under_miss_f, _T_134) @[ifu_mem_ctl.scala 133:50] + node _T_136 = eq(io.dec_mem_ctrl.dec_tlu_force_halt, UInt<1>("h00")) @[ifu_mem_ctl.scala 133:86] + node _T_137 = and(_T_135, _T_136) @[ifu_mem_ctl.scala 133:84] + node _T_138 = bits(_T_137, 0, 0) @[ifu_mem_ctl.scala 133:123] + node _T_139 = and(bus_ifu_wr_en_ff, last_beat) @[ifu_mem_ctl.scala 134:56] + node _T_140 = eq(_T_139, UInt<1>("h00")) @[ifu_mem_ctl.scala 134:37] + node _T_141 = and(ic_ignore_2nd_miss_f, _T_140) @[ifu_mem_ctl.scala 134:35] + node _T_142 = eq(io.dec_mem_ctrl.dec_tlu_force_halt, UInt<1>("h00")) @[ifu_mem_ctl.scala 134:71] + node _T_143 = and(_T_141, _T_142) @[ifu_mem_ctl.scala 134:69] + node _T_144 = bits(_T_143, 0, 0) @[ifu_mem_ctl.scala 134:108] + node _T_145 = mux(_T_144, UInt<3>("h07"), UInt<3>("h00")) @[ifu_mem_ctl.scala 134:12] + node _T_146 = mux(_T_138, UInt<3>("h05"), _T_145) @[ifu_mem_ctl.scala 133:27] + miss_nxtstate <= _T_146 @[ifu_mem_ctl.scala 133:21] + node _T_147 = and(bus_ifu_wr_en_ff, last_beat) @[ifu_mem_ctl.scala 135:42] + node _T_148 = or(_T_147, ic_miss_under_miss_f) @[ifu_mem_ctl.scala 135:55] + node _T_149 = or(_T_148, ic_ignore_2nd_miss_f) @[ifu_mem_ctl.scala 135:78] + node _T_150 = or(_T_149, io.dec_mem_ctrl.dec_tlu_force_halt) @[ifu_mem_ctl.scala 135:101] + miss_state_en <= _T_150 @[ifu_mem_ctl.scala 135:21] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_151 = eq(UInt<3>("h05"), miss_state) @[Conditional.scala 37:30] + when _T_151 : @[Conditional.scala 39:67] + node _T_152 = and(bus_ifu_wr_en_ff, last_beat) @[ifu_mem_ctl.scala 139:31] + node _T_153 = bits(_T_152, 0, 0) @[ifu_mem_ctl.scala 139:44] + node _T_154 = mux(_T_153, UInt<3>("h00"), UInt<3>("h02")) @[ifu_mem_ctl.scala 139:12] + node _T_155 = mux(io.exu_flush_final, _T_154, UInt<3>("h01")) @[ifu_mem_ctl.scala 138:75] + node _T_156 = mux(io.dec_mem_ctrl.dec_tlu_force_halt, UInt<3>("h00"), _T_155) @[ifu_mem_ctl.scala 138:27] + miss_nxtstate <= _T_156 @[ifu_mem_ctl.scala 138:21] + node _T_157 = and(bus_ifu_wr_en_ff, last_beat) @[ifu_mem_ctl.scala 140:42] + node _T_158 = or(_T_157, io.exu_flush_final) @[ifu_mem_ctl.scala 140:55] + node _T_159 = or(_T_158, io.dec_mem_ctrl.dec_tlu_force_halt) @[ifu_mem_ctl.scala 140:76] + miss_state_en <= _T_159 @[ifu_mem_ctl.scala 140:21] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_160 = eq(UInt<3>("h07"), miss_state) @[Conditional.scala 37:30] + when _T_160 : @[Conditional.scala 39:67] + node _T_161 = and(bus_ifu_wr_en_ff, last_beat) @[ifu_mem_ctl.scala 144:31] + node _T_162 = bits(_T_161, 0, 0) @[ifu_mem_ctl.scala 144:44] + node _T_163 = mux(_T_162, UInt<3>("h00"), UInt<3>("h02")) @[ifu_mem_ctl.scala 144:12] + node _T_164 = mux(io.exu_flush_final, _T_163, UInt<3>("h00")) @[ifu_mem_ctl.scala 143:75] + node _T_165 = mux(io.dec_mem_ctrl.dec_tlu_force_halt, UInt<3>("h00"), _T_164) @[ifu_mem_ctl.scala 143:27] + miss_nxtstate <= _T_165 @[ifu_mem_ctl.scala 143:21] + node _T_166 = and(bus_ifu_wr_en_ff, last_beat) @[ifu_mem_ctl.scala 145:42] + node _T_167 = or(_T_166, io.exu_flush_final) @[ifu_mem_ctl.scala 145:55] + node _T_168 = or(_T_167, io.dec_mem_ctrl.dec_tlu_force_halt) @[ifu_mem_ctl.scala 145:76] + miss_state_en <= _T_168 @[ifu_mem_ctl.scala 145:21] + skip @[Conditional.scala 39:67] + node _T_169 = bits(miss_state_en, 0, 0) @[ifu_mem_ctl.scala 148:84] + reg _T_170 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_169 : @[Reg.scala 28:19] + _T_170 <= miss_nxtstate @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + miss_state <= _T_170 @[ifu_mem_ctl.scala 148:14] + wire crit_byp_hit_f : UInt<1> + crit_byp_hit_f <= UInt<1>("h00") + wire way_status_mb_scnd_ff : UInt<1> + way_status_mb_scnd_ff <= UInt<1>("h00") + wire way_status : UInt<1> + way_status <= UInt<1>("h00") + wire tagv_mb_scnd_ff : UInt<2> + tagv_mb_scnd_ff <= UInt<1>("h00") + wire uncacheable_miss_scnd_ff : UInt<1> + uncacheable_miss_scnd_ff <= UInt<1>("h00") + wire imb_scnd_ff : UInt<31> + imb_scnd_ff <= UInt<1>("h00") + wire reset_all_tags : UInt<1> + reset_all_tags <= UInt<1>("h00") + wire bus_rd_addr_count : UInt<3> + bus_rd_addr_count <= UInt<1>("h00") + wire ifu_bus_rid_ff : UInt<3> + ifu_bus_rid_ff <= UInt<1>("h00") + node _T_171 = neq(miss_state, UInt<3>("h00")) @[ifu_mem_ctl.scala 159:30] + miss_pending <= _T_171 @[ifu_mem_ctl.scala 159:16] + node _T_172 = eq(miss_state, UInt<3>("h01")) @[ifu_mem_ctl.scala 160:39] + node _T_173 = eq(miss_state, UInt<3>("h04")) @[ifu_mem_ctl.scala 160:73] + node _T_174 = eq(flush_final_f, UInt<1>("h00")) @[ifu_mem_ctl.scala 160:95] + node _T_175 = and(_T_173, _T_174) @[ifu_mem_ctl.scala 160:93] + node crit_wd_byp_ok_ff = or(_T_172, _T_175) @[ifu_mem_ctl.scala 160:58] + node _T_176 = and(bus_ifu_wr_en_ff, last_beat) @[ifu_mem_ctl.scala 161:57] + node _T_177 = eq(_T_176, UInt<1>("h00")) @[ifu_mem_ctl.scala 161:38] + node _T_178 = and(miss_pending, _T_177) @[ifu_mem_ctl.scala 161:36] + node _T_179 = eq(miss_state, UInt<3>("h04")) @[ifu_mem_ctl.scala 161:86] + node _T_180 = and(_T_179, io.exu_flush_final) @[ifu_mem_ctl.scala 161:106] + node _T_181 = eq(_T_180, UInt<1>("h00")) @[ifu_mem_ctl.scala 161:72] + node _T_182 = and(_T_178, _T_181) @[ifu_mem_ctl.scala 161:70] + node _T_183 = eq(miss_state, UInt<3>("h04")) @[ifu_mem_ctl.scala 162:37] + node _T_184 = and(_T_183, crit_byp_hit_f) @[ifu_mem_ctl.scala 162:57] + node _T_185 = eq(_T_184, UInt<1>("h00")) @[ifu_mem_ctl.scala 162:23] + node _T_186 = and(_T_182, _T_185) @[ifu_mem_ctl.scala 161:128] + node _T_187 = or(_T_186, ic_act_miss_f) @[ifu_mem_ctl.scala 162:77] + node _T_188 = eq(miss_nxtstate, UInt<3>("h04")) @[ifu_mem_ctl.scala 163:36] + node _T_189 = and(miss_pending, _T_188) @[ifu_mem_ctl.scala 163:19] + node sel_hold_imb = or(_T_187, _T_189) @[ifu_mem_ctl.scala 162:93] + node _T_190 = eq(miss_state, UInt<3>("h05")) @[ifu_mem_ctl.scala 165:40] + node _T_191 = or(_T_190, ic_miss_under_miss_f) @[ifu_mem_ctl.scala 165:57] + node _T_192 = eq(flush_final_f, UInt<1>("h00")) @[ifu_mem_ctl.scala 165:83] + node sel_hold_imb_scnd = and(_T_191, _T_192) @[ifu_mem_ctl.scala 165:81] + node _T_193 = eq(miss_state, UInt<3>("h05")) @[ifu_mem_ctl.scala 166:46] + node way_status_mb_scnd_in = mux(_T_193, way_status_mb_scnd_ff, way_status) @[ifu_mem_ctl.scala 166:34] + node _T_194 = eq(miss_state, UInt<3>("h05")) @[ifu_mem_ctl.scala 168:40] + node _T_195 = eq(reset_all_tags, UInt<1>("h00")) @[ifu_mem_ctl.scala 168:96] + node _T_196 = bits(_T_195, 0, 0) @[Bitwise.scala 72:15] + node _T_197 = mux(_T_196, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_198 = and(_T_197, io.ic.tag_valid) @[ifu_mem_ctl.scala 168:113] + node tagv_mb_scnd_in = mux(_T_194, tagv_mb_scnd_ff, _T_198) @[ifu_mem_ctl.scala 168:28] + node _T_199 = bits(sel_hold_imb_scnd, 0, 0) @[ifu_mem_ctl.scala 169:56] + node uncacheable_miss_scnd_in = mux(_T_199, uncacheable_miss_scnd_ff, io.ifc_fetch_uncacheable_bf) @[ifu_mem_ctl.scala 169:37] + reg _T_200 : UInt<1>, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 170:67] + _T_200 <= uncacheable_miss_scnd_in @[ifu_mem_ctl.scala 170:67] + uncacheable_miss_scnd_ff <= _T_200 @[ifu_mem_ctl.scala 170:28] + node _T_201 = bits(sel_hold_imb_scnd, 0, 0) @[ifu_mem_ctl.scala 171:43] + node imb_scnd_in = mux(_T_201, imb_scnd_ff, io.ifc_fetch_addr_bf) @[ifu_mem_ctl.scala 171:24] + reg _T_202 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 172:54] + _T_202 <= imb_scnd_in @[ifu_mem_ctl.scala 172:54] + imb_scnd_ff <= _T_202 @[ifu_mem_ctl.scala 172:15] + reg _T_203 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 173:64] + _T_203 <= way_status_mb_scnd_in @[ifu_mem_ctl.scala 173:64] + way_status_mb_scnd_ff <= _T_203 @[ifu_mem_ctl.scala 173:25] + reg _T_204 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 174:58] + _T_204 <= tagv_mb_scnd_in @[ifu_mem_ctl.scala 174:58] + tagv_mb_scnd_ff <= _T_204 @[ifu_mem_ctl.scala 174:19] + node _T_205 = bits(bus_ifu_wr_en_ff, 0, 0) @[Bitwise.scala 72:15] + node _T_206 = mux(_T_205, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node ic_wr_addr_bits_hi_3 = and(ifu_bus_rid_ff, _T_206) @[ifu_mem_ctl.scala 177:45] + wire ifc_iccm_access_f : UInt<1> + ifc_iccm_access_f <= UInt<1>("h00") + wire ifc_region_acc_fault_final_f : UInt<1> + ifc_region_acc_fault_final_f <= UInt<1>("h00") + node _T_207 = eq(ifc_iccm_access_f, UInt<1>("h00")) @[ifu_mem_ctl.scala 180:48] + node _T_208 = and(ifc_fetch_req_f, _T_207) @[ifu_mem_ctl.scala 180:46] + node _T_209 = eq(ifc_region_acc_fault_final_f, UInt<1>("h00")) @[ifu_mem_ctl.scala 180:69] + node fetch_req_icache_f = and(_T_208, _T_209) @[ifu_mem_ctl.scala 180:67] + node fetch_req_iccm_f = and(ifc_fetch_req_f, ifc_iccm_access_f) @[ifu_mem_ctl.scala 181:46] + node _T_210 = eq(miss_pending, UInt<1>("h00")) @[ifu_mem_ctl.scala 182:45] + node _T_211 = eq(miss_state, UInt<3>("h02")) @[ifu_mem_ctl.scala 182:73] + node _T_212 = or(_T_210, _T_211) @[ifu_mem_ctl.scala 182:59] + node _T_213 = eq(miss_state, UInt<3>("h06")) @[ifu_mem_ctl.scala 182:105] + node _T_214 = or(_T_212, _T_213) @[ifu_mem_ctl.scala 182:91] + node ic_iccm_hit_f = and(fetch_req_iccm_f, _T_214) @[ifu_mem_ctl.scala 182:41] + wire stream_hit_f : UInt<1> + stream_hit_f <= UInt<1>("h00") + node _T_215 = or(crit_byp_hit_f, stream_hit_f) @[ifu_mem_ctl.scala 184:35] + node _T_216 = and(_T_215, fetch_req_icache_f) @[ifu_mem_ctl.scala 184:52] + node _T_217 = and(_T_216, miss_pending) @[ifu_mem_ctl.scala 184:73] + ic_byp_hit_f <= _T_217 @[ifu_mem_ctl.scala 184:16] + wire sel_mb_addr_ff : UInt<1> + sel_mb_addr_ff <= UInt<1>("h00") + wire imb_ff : UInt<31> + imb_ff <= UInt<1>("h00") + wire ifu_fetch_addr_int_f : UInt<31> + ifu_fetch_addr_int_f <= UInt<1>("h00") + node _T_218 = orr(io.ic.rd_hit) @[ifu_mem_ctl.scala 188:35] + node _T_219 = and(_T_218, fetch_req_icache_f) @[ifu_mem_ctl.scala 188:39] + node _T_220 = eq(reset_all_tags, UInt<1>("h00")) @[ifu_mem_ctl.scala 188:62] + node _T_221 = and(_T_219, _T_220) @[ifu_mem_ctl.scala 188:60] + node _T_222 = eq(miss_pending, UInt<1>("h00")) @[ifu_mem_ctl.scala 188:81] + node _T_223 = eq(miss_state, UInt<3>("h02")) @[ifu_mem_ctl.scala 188:108] + node _T_224 = or(_T_222, _T_223) @[ifu_mem_ctl.scala 188:95] + node _T_225 = and(_T_221, _T_224) @[ifu_mem_ctl.scala 188:78] + node _T_226 = eq(sel_mb_addr_ff, UInt<1>("h00")) @[ifu_mem_ctl.scala 188:128] + node ic_act_hit_f = and(_T_225, _T_226) @[ifu_mem_ctl.scala 188:126] + node _T_227 = orr(io.ic.rd_hit) @[ifu_mem_ctl.scala 189:37] + node _T_228 = eq(_T_227, UInt<1>("h00")) @[ifu_mem_ctl.scala 189:23] + node _T_229 = or(_T_228, reset_all_tags) @[ifu_mem_ctl.scala 189:41] + node _T_230 = and(_T_229, fetch_req_icache_f) @[ifu_mem_ctl.scala 189:59] + node _T_231 = eq(miss_pending, UInt<1>("h00")) @[ifu_mem_ctl.scala 189:82] + node _T_232 = and(_T_230, _T_231) @[ifu_mem_ctl.scala 189:80] + node _T_233 = or(_T_232, scnd_miss_req) @[ifu_mem_ctl.scala 189:97] + node _T_234 = eq(ifc_region_acc_fault_final_f, UInt<1>("h00")) @[ifu_mem_ctl.scala 189:116] + node _T_235 = and(_T_233, _T_234) @[ifu_mem_ctl.scala 189:114] + ic_act_miss_f <= _T_235 @[ifu_mem_ctl.scala 189:17] + node _T_236 = eq(io.ic.rd_hit, UInt<1>("h00")) @[ifu_mem_ctl.scala 190:28] + node _T_237 = or(_T_236, reset_all_tags) @[ifu_mem_ctl.scala 190:42] + node _T_238 = and(_T_237, fetch_req_icache_f) @[ifu_mem_ctl.scala 190:60] + node _T_239 = eq(miss_state, UInt<3>("h02")) @[ifu_mem_ctl.scala 190:94] + node _T_240 = and(_T_238, _T_239) @[ifu_mem_ctl.scala 190:81] + node _T_241 = bits(imb_ff, 30, 5) @[ifu_mem_ctl.scala 191:12] + node _T_242 = bits(ifu_fetch_addr_int_f, 30, 5) @[ifu_mem_ctl.scala 191:63] + node _T_243 = neq(_T_241, _T_242) @[ifu_mem_ctl.scala 191:39] + node _T_244 = and(_T_240, _T_243) @[ifu_mem_ctl.scala 190:111] + node _T_245 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[ifu_mem_ctl.scala 191:93] + node _T_246 = and(_T_244, _T_245) @[ifu_mem_ctl.scala 191:91] + node _T_247 = eq(sel_mb_addr_ff, UInt<1>("h00")) @[ifu_mem_ctl.scala 191:116] + node _T_248 = and(_T_246, _T_247) @[ifu_mem_ctl.scala 191:114] + node _T_249 = eq(ifc_region_acc_fault_final_f, UInt<1>("h00")) @[ifu_mem_ctl.scala 191:134] + node _T_250 = and(_T_248, _T_249) @[ifu_mem_ctl.scala 191:132] + ic_miss_under_miss_f <= _T_250 @[ifu_mem_ctl.scala 190:24] + node _T_251 = orr(io.ic.rd_hit) @[ifu_mem_ctl.scala 192:42] + node _T_252 = eq(_T_251, UInt<1>("h00")) @[ifu_mem_ctl.scala 192:28] + node _T_253 = or(_T_252, reset_all_tags) @[ifu_mem_ctl.scala 192:46] + node _T_254 = and(_T_253, fetch_req_icache_f) @[ifu_mem_ctl.scala 192:64] + node _T_255 = eq(miss_state, UInt<3>("h02")) @[ifu_mem_ctl.scala 192:99] + node _T_256 = and(_T_254, _T_255) @[ifu_mem_ctl.scala 192:85] + node _T_257 = bits(imb_ff, 30, 5) @[ifu_mem_ctl.scala 193:13] + node _T_258 = bits(ifu_fetch_addr_int_f, 30, 5) @[ifu_mem_ctl.scala 193:62] + node _T_259 = eq(_T_257, _T_258) @[ifu_mem_ctl.scala 193:39] + node _T_260 = or(_T_259, uncacheable_miss_ff) @[ifu_mem_ctl.scala 193:91] + node _T_261 = and(_T_256, _T_260) @[ifu_mem_ctl.scala 192:117] + ic_ignore_2nd_miss_f <= _T_261 @[ifu_mem_ctl.scala 192:24] + node _T_262 = or(ic_act_hit_f, ic_byp_hit_f) @[ifu_mem_ctl.scala 195:31] + node _T_263 = or(_T_262, ic_iccm_hit_f) @[ifu_mem_ctl.scala 195:46] + node _T_264 = and(ifc_region_acc_fault_final_f, ifc_fetch_req_f) @[ifu_mem_ctl.scala 195:94] + node _T_265 = or(_T_263, _T_264) @[ifu_mem_ctl.scala 195:62] + io.ic_hit_f <= _T_265 @[ifu_mem_ctl.scala 195:15] + node _T_266 = bits(scnd_miss_req, 0, 0) @[ifu_mem_ctl.scala 196:47] + node _T_267 = bits(sel_hold_imb, 0, 0) @[ifu_mem_ctl.scala 196:98] + node _T_268 = mux(_T_267, uncacheable_miss_ff, io.ifc_fetch_uncacheable_bf) @[ifu_mem_ctl.scala 196:84] + node uncacheable_miss_in = mux(_T_266, uncacheable_miss_scnd_ff, _T_268) @[ifu_mem_ctl.scala 196:32] + node _T_269 = bits(scnd_miss_req, 0, 0) @[ifu_mem_ctl.scala 197:34] + node _T_270 = bits(sel_hold_imb, 0, 0) @[ifu_mem_ctl.scala 197:72] + node _T_271 = mux(_T_270, imb_ff, io.ifc_fetch_addr_bf) @[ifu_mem_ctl.scala 197:58] + node imb_in = mux(_T_269, imb_scnd_ff, _T_271) @[ifu_mem_ctl.scala 197:19] + wire ifu_wr_cumulative_err_data : UInt<1> + ifu_wr_cumulative_err_data <= UInt<1>("h00") + node _T_272 = bits(imb_ff, 11, 5) @[ifu_mem_ctl.scala 199:38] + node _T_273 = bits(imb_scnd_ff, 11, 5) @[ifu_mem_ctl.scala 199:93] + node _T_274 = eq(_T_272, _T_273) @[ifu_mem_ctl.scala 199:79] + node _T_275 = and(_T_274, scnd_miss_req) @[ifu_mem_ctl.scala 199:135] + node _T_276 = eq(ifu_wr_cumulative_err_data, UInt<1>("h00")) @[ifu_mem_ctl.scala 199:153] + node scnd_miss_index_match = and(_T_275, _T_276) @[ifu_mem_ctl.scala 199:151] + wire way_status_mb_ff : UInt<1> + way_status_mb_ff <= UInt<1>("h00") + wire way_status_rep_new : UInt<1> + way_status_rep_new <= UInt<1>("h00") + node _T_277 = eq(scnd_miss_index_match, UInt<1>("h00")) @[ifu_mem_ctl.scala 202:47] + node _T_278 = and(scnd_miss_req, _T_277) @[ifu_mem_ctl.scala 202:45] + node _T_279 = bits(_T_278, 0, 0) @[ifu_mem_ctl.scala 202:71] + node _T_280 = and(scnd_miss_req, scnd_miss_index_match) @[ifu_mem_ctl.scala 203:26] + node _T_281 = bits(_T_280, 0, 0) @[ifu_mem_ctl.scala 203:52] + node _T_282 = bits(miss_pending, 0, 0) @[ifu_mem_ctl.scala 204:26] + node _T_283 = mux(_T_282, way_status_mb_ff, way_status) @[ifu_mem_ctl.scala 204:12] + node _T_284 = mux(_T_281, way_status_rep_new, _T_283) @[ifu_mem_ctl.scala 203:10] + node way_status_mb_in = mux(_T_279, way_status_mb_scnd_ff, _T_284) @[ifu_mem_ctl.scala 202:29] + wire replace_way_mb_any : UInt<1>[2] @[ifu_mem_ctl.scala 205:32] + wire tagv_mb_ff : UInt<2> + tagv_mb_ff <= UInt<1>("h00") + node _T_285 = bits(scnd_miss_req, 0, 0) @[ifu_mem_ctl.scala 207:38] + node _T_286 = bits(scnd_miss_index_match, 0, 0) @[Bitwise.scala 72:15] + node _T_287 = mux(_T_286, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_288 = cat(replace_way_mb_any[1], replace_way_mb_any[0]) @[Cat.scala 29:58] + node _T_289 = and(_T_287, _T_288) @[ifu_mem_ctl.scala 207:110] + node _T_290 = or(tagv_mb_scnd_ff, _T_289) @[ifu_mem_ctl.scala 207:62] + node _T_291 = bits(miss_pending, 0, 0) @[ifu_mem_ctl.scala 208:20] + node _T_292 = eq(reset_all_tags, UInt<1>("h00")) @[ifu_mem_ctl.scala 208:80] + node _T_293 = bits(_T_292, 0, 0) @[Bitwise.scala 72:15] + node _T_294 = mux(_T_293, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_295 = and(io.ic.tag_valid, _T_294) @[ifu_mem_ctl.scala 208:56] + node _T_296 = mux(_T_291, tagv_mb_ff, _T_295) @[ifu_mem_ctl.scala 208:6] + node tagv_mb_in = mux(_T_285, _T_290, _T_296) @[ifu_mem_ctl.scala 207:23] + wire scnd_miss_req_q : UInt<1> + scnd_miss_req_q <= UInt<1>("h00") + wire reset_ic_ff : UInt<1> + reset_ic_ff <= UInt<1>("h00") + node _T_297 = eq(scnd_miss_req_q, UInt<1>("h00")) @[ifu_mem_ctl.scala 211:36] + node _T_298 = and(miss_pending, _T_297) @[ifu_mem_ctl.scala 211:34] + node _T_299 = or(reset_all_tags, reset_ic_ff) @[ifu_mem_ctl.scala 211:72] + node reset_ic_in = and(_T_298, _T_299) @[ifu_mem_ctl.scala 211:53] + reg _T_300 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 212:48] + _T_300 <= reset_ic_in @[ifu_mem_ctl.scala 212:48] + reset_ic_ff <= _T_300 @[ifu_mem_ctl.scala 212:15] + reg fetch_uncacheable_ff : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 213:62] + fetch_uncacheable_ff <= io.ifc_fetch_uncacheable_bf @[ifu_mem_ctl.scala 213:62] + reg _T_301 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 214:63] + _T_301 <= io.ifc_fetch_addr_bf @[ifu_mem_ctl.scala 214:63] + ifu_fetch_addr_int_f <= _T_301 @[ifu_mem_ctl.scala 214:24] + node vaddr_f = bits(ifu_fetch_addr_int_f, 4, 0) @[ifu_mem_ctl.scala 215:37] + reg _T_302 : UInt<1>, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 216:62] + _T_302 <= uncacheable_miss_in @[ifu_mem_ctl.scala 216:62] + uncacheable_miss_ff <= _T_302 @[ifu_mem_ctl.scala 216:23] + reg _T_303 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 217:49] + _T_303 <= imb_in @[ifu_mem_ctl.scala 217:49] + imb_ff <= _T_303 @[ifu_mem_ctl.scala 217:10] + wire miss_addr : UInt<26> + miss_addr <= UInt<1>("h00") + node _T_304 = eq(miss_pending, UInt<1>("h00")) @[ifu_mem_ctl.scala 219:26] + node _T_305 = bits(imb_ff, 30, 5) @[ifu_mem_ctl.scala 219:47] + node _T_306 = bits(scnd_miss_req_q, 0, 0) @[ifu_mem_ctl.scala 220:25] + node _T_307 = bits(imb_scnd_ff, 30, 5) @[ifu_mem_ctl.scala 220:44] + node _T_308 = mux(_T_306, _T_307, miss_addr) @[ifu_mem_ctl.scala 220:8] + node miss_addr_in = mux(_T_304, _T_305, _T_308) @[ifu_mem_ctl.scala 219:25] + node _T_309 = or(bus_ifu_bus_clk_en, ic_act_miss_f) @[ifu_mem_ctl.scala 221:57] + node _T_310 = or(_T_309, io.dec_mem_ctrl.dec_tlu_force_halt) @[ifu_mem_ctl.scala 221:73] + inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 343:22] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_3.io.en <= _T_310 @[lib.scala 345:16] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + reg _T_311 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 222:48] + _T_311 <= miss_addr_in @[ifu_mem_ctl.scala 222:48] + miss_addr <= _T_311 @[ifu_mem_ctl.scala 222:13] + reg _T_312 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 223:59] + _T_312 <= way_status_mb_in @[ifu_mem_ctl.scala 223:59] + way_status_mb_ff <= _T_312 @[ifu_mem_ctl.scala 223:20] + reg _T_313 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 224:53] + _T_313 <= tagv_mb_in @[ifu_mem_ctl.scala 224:53] + tagv_mb_ff <= _T_313 @[ifu_mem_ctl.scala 224:14] + wire stream_miss_f : UInt<1> + stream_miss_f <= UInt<1>("h00") + node _T_314 = eq(miss_state, UInt<3>("h04")) @[ifu_mem_ctl.scala 226:68] + node _T_315 = and(_T_314, flush_final_f) @[ifu_mem_ctl.scala 226:87] + node _T_316 = eq(_T_315, UInt<1>("h00")) @[ifu_mem_ctl.scala 226:55] + node _T_317 = and(io.ifc_fetch_req_bf, _T_316) @[ifu_mem_ctl.scala 226:53] + node _T_318 = eq(stream_miss_f, UInt<1>("h00")) @[ifu_mem_ctl.scala 226:106] + node ifc_fetch_req_qual_bf = and(_T_317, _T_318) @[ifu_mem_ctl.scala 226:104] + reg ifc_fetch_req_f_raw : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 227:61] + ifc_fetch_req_f_raw <= ifc_fetch_req_qual_bf @[ifu_mem_ctl.scala 227:61] + node _T_319 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_mem_ctl.scala 228:44] + node _T_320 = and(ifc_fetch_req_f_raw, _T_319) @[ifu_mem_ctl.scala 228:42] + ifc_fetch_req_f <= _T_320 @[ifu_mem_ctl.scala 228:19] + reg _T_321 : UInt<1>, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 229:60] + _T_321 <= io.ifc_iccm_access_bf @[ifu_mem_ctl.scala 229:60] + ifc_iccm_access_f <= _T_321 @[ifu_mem_ctl.scala 229:21] + wire ifc_region_acc_fault_final_bf : UInt<1> + ifc_region_acc_fault_final_bf <= UInt<1>("h00") + reg _T_322 : UInt<1>, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 231:71] + _T_322 <= ifc_region_acc_fault_final_bf @[ifu_mem_ctl.scala 231:71] + ifc_region_acc_fault_final_f <= _T_322 @[ifu_mem_ctl.scala 231:32] + reg ifc_region_acc_fault_f : UInt<1>, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 232:68] + ifc_region_acc_fault_f <= io.ifc_region_acc_fault_bf @[ifu_mem_ctl.scala 232:68] + node ifu_ic_req_addr_f = cat(miss_addr, bus_rd_addr_count) @[Cat.scala 29:58] + node _T_323 = eq(miss_state, UInt<3>("h02")) @[ifu_mem_ctl.scala 234:38] + node _T_324 = eq(miss_state, UInt<3>("h06")) @[ifu_mem_ctl.scala 234:68] + node _T_325 = or(_T_323, _T_324) @[ifu_mem_ctl.scala 234:55] + node _T_326 = and(bus_ifu_wr_en_ff, last_beat) @[ifu_mem_ctl.scala 234:103] + node _T_327 = eq(_T_326, UInt<1>("h00")) @[ifu_mem_ctl.scala 234:84] + node _T_328 = and(_T_325, _T_327) @[ifu_mem_ctl.scala 234:82] + node _T_329 = eq(miss_pending, UInt<1>("h00")) @[ifu_mem_ctl.scala 234:119] + node _T_330 = or(_T_328, _T_329) @[ifu_mem_ctl.scala 234:117] + io.ifu_ic_mb_empty <= _T_330 @[ifu_mem_ctl.scala 234:22] + node _T_331 = eq(miss_state, UInt<3>("h00")) @[ifu_mem_ctl.scala 235:53] + io.dec_mem_ctrl.ifu_miss_state_idle <= _T_331 @[ifu_mem_ctl.scala 235:39] + wire write_ic_16_bytes : UInt<1> + write_ic_16_bytes <= UInt<1>("h00") + wire reset_tag_valid_for_miss : UInt<1> + reset_tag_valid_for_miss <= UInt<1>("h00") + node _T_332 = and(miss_pending, write_ic_16_bytes) @[ifu_mem_ctl.scala 238:35] + node _T_333 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[ifu_mem_ctl.scala 238:57] + node _T_334 = and(_T_332, _T_333) @[ifu_mem_ctl.scala 238:55] + node sel_mb_addr = or(_T_334, reset_tag_valid_for_miss) @[ifu_mem_ctl.scala 238:79] + node _T_335 = bits(imb_ff, 30, 5) @[ifu_mem_ctl.scala 239:63] + node _T_336 = bits(imb_ff, 1, 0) @[ifu_mem_ctl.scala 239:119] + node _T_337 = cat(_T_335, ic_wr_addr_bits_hi_3) @[Cat.scala 29:58] + node _T_338 = cat(_T_337, _T_336) @[Cat.scala 29:58] + node _T_339 = eq(sel_mb_addr, UInt<1>("h00")) @[ifu_mem_ctl.scala 240:37] + node _T_340 = mux(sel_mb_addr, _T_338, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_341 = mux(_T_339, io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_342 = or(_T_340, _T_341) @[Mux.scala 27:72] + wire ifu_ic_rw_int_addr : UInt<31> @[Mux.scala 27:72] + ifu_ic_rw_int_addr <= _T_342 @[Mux.scala 27:72] + wire bus_ifu_wr_en_ff_q : UInt<1> + bus_ifu_wr_en_ff_q <= UInt<1>("h00") + node _T_343 = and(miss_pending, write_ic_16_bytes) @[ifu_mem_ctl.scala 242:42] + node _T_344 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[ifu_mem_ctl.scala 242:64] + node _T_345 = and(_T_343, _T_344) @[ifu_mem_ctl.scala 242:62] + node _T_346 = and(_T_345, last_beat) @[ifu_mem_ctl.scala 242:85] + node _T_347 = and(_T_346, bus_ifu_wr_en_ff_q) @[ifu_mem_ctl.scala 242:97] + node sel_mb_status_addr = or(_T_347, reset_tag_valid_for_miss) @[ifu_mem_ctl.scala 242:119] + node _T_348 = bits(imb_ff, 30, 5) @[ifu_mem_ctl.scala 243:62] + node _T_349 = bits(imb_ff, 1, 0) @[ifu_mem_ctl.scala 243:116] + node _T_350 = cat(_T_348, ic_wr_addr_bits_hi_3) @[Cat.scala 29:58] + node _T_351 = cat(_T_350, _T_349) @[Cat.scala 29:58] + node ifu_status_wr_addr = mux(sel_mb_status_addr, _T_351, ifu_fetch_addr_int_f) @[ifu_mem_ctl.scala 243:31] + io.ic.rw_addr <= ifu_ic_rw_int_addr @[ifu_mem_ctl.scala 244:17] + reg _T_352 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 245:51] + _T_352 <= sel_mb_addr @[ifu_mem_ctl.scala 245:51] + sel_mb_addr_ff <= _T_352 @[ifu_mem_ctl.scala 245:18] + wire ifu_bus_rdata_ff : UInt<64> + ifu_bus_rdata_ff <= UInt<1>("h00") + wire ic_miss_buff_half : UInt<64> + ic_miss_buff_half <= UInt<1>("h00") + wire _T_353 : UInt<1>[35] @[lib.scala 255:18] + wire _T_354 : UInt<1>[35] @[lib.scala 256:18] + wire _T_355 : UInt<1>[35] @[lib.scala 257:18] + wire _T_356 : UInt<1>[31] @[lib.scala 258:18] + wire _T_357 : UInt<1>[31] @[lib.scala 259:18] + wire _T_358 : UInt<1>[31] @[lib.scala 260:18] + wire _T_359 : UInt<1>[7] @[lib.scala 261:18] + node _T_360 = bits(ifu_bus_rdata_ff, 0, 0) @[lib.scala 268:36] + _T_353[0] <= _T_360 @[lib.scala 268:30] + node _T_361 = bits(ifu_bus_rdata_ff, 0, 0) @[lib.scala 269:36] + _T_354[0] <= _T_361 @[lib.scala 269:30] + node _T_362 = bits(ifu_bus_rdata_ff, 1, 1) @[lib.scala 268:36] + _T_353[1] <= _T_362 @[lib.scala 268:30] + node _T_363 = bits(ifu_bus_rdata_ff, 1, 1) @[lib.scala 270:36] + _T_355[0] <= _T_363 @[lib.scala 270:30] + node _T_364 = bits(ifu_bus_rdata_ff, 2, 2) @[lib.scala 269:36] + _T_354[1] <= _T_364 @[lib.scala 269:30] + node _T_365 = bits(ifu_bus_rdata_ff, 2, 2) @[lib.scala 270:36] + _T_355[1] <= _T_365 @[lib.scala 270:30] + node _T_366 = bits(ifu_bus_rdata_ff, 3, 3) @[lib.scala 268:36] + _T_353[2] <= _T_366 @[lib.scala 268:30] + node _T_367 = bits(ifu_bus_rdata_ff, 3, 3) @[lib.scala 269:36] + _T_354[2] <= _T_367 @[lib.scala 269:30] + node _T_368 = bits(ifu_bus_rdata_ff, 3, 3) @[lib.scala 270:36] + _T_355[2] <= _T_368 @[lib.scala 270:30] + node _T_369 = bits(ifu_bus_rdata_ff, 4, 4) @[lib.scala 268:36] + _T_353[3] <= _T_369 @[lib.scala 268:30] + node _T_370 = bits(ifu_bus_rdata_ff, 4, 4) @[lib.scala 271:36] + _T_356[0] <= _T_370 @[lib.scala 271:30] + node _T_371 = bits(ifu_bus_rdata_ff, 5, 5) @[lib.scala 269:36] + _T_354[3] <= _T_371 @[lib.scala 269:30] + node _T_372 = bits(ifu_bus_rdata_ff, 5, 5) @[lib.scala 271:36] + _T_356[1] <= _T_372 @[lib.scala 271:30] + node _T_373 = bits(ifu_bus_rdata_ff, 6, 6) @[lib.scala 268:36] + _T_353[4] <= _T_373 @[lib.scala 268:30] + node _T_374 = bits(ifu_bus_rdata_ff, 6, 6) @[lib.scala 269:36] + _T_354[4] <= _T_374 @[lib.scala 269:30] + node _T_375 = bits(ifu_bus_rdata_ff, 6, 6) @[lib.scala 271:36] + _T_356[2] <= _T_375 @[lib.scala 271:30] + node _T_376 = bits(ifu_bus_rdata_ff, 7, 7) @[lib.scala 270:36] + _T_355[3] <= _T_376 @[lib.scala 270:30] + node _T_377 = bits(ifu_bus_rdata_ff, 7, 7) @[lib.scala 271:36] + _T_356[3] <= _T_377 @[lib.scala 271:30] + node _T_378 = bits(ifu_bus_rdata_ff, 8, 8) @[lib.scala 268:36] + _T_353[5] <= _T_378 @[lib.scala 268:30] + node _T_379 = bits(ifu_bus_rdata_ff, 8, 8) @[lib.scala 270:36] + _T_355[4] <= _T_379 @[lib.scala 270:30] + node _T_380 = bits(ifu_bus_rdata_ff, 8, 8) @[lib.scala 271:36] + _T_356[4] <= _T_380 @[lib.scala 271:30] + node _T_381 = bits(ifu_bus_rdata_ff, 9, 9) @[lib.scala 269:36] + _T_354[5] <= _T_381 @[lib.scala 269:30] + node _T_382 = bits(ifu_bus_rdata_ff, 9, 9) @[lib.scala 270:36] + _T_355[5] <= _T_382 @[lib.scala 270:30] + node _T_383 = bits(ifu_bus_rdata_ff, 9, 9) @[lib.scala 271:36] + _T_356[5] <= _T_383 @[lib.scala 271:30] + node _T_384 = bits(ifu_bus_rdata_ff, 10, 10) @[lib.scala 268:36] + _T_353[6] <= _T_384 @[lib.scala 268:30] + node _T_385 = bits(ifu_bus_rdata_ff, 10, 10) @[lib.scala 269:36] + _T_354[6] <= _T_385 @[lib.scala 269:30] + node _T_386 = bits(ifu_bus_rdata_ff, 10, 10) @[lib.scala 270:36] + _T_355[6] <= _T_386 @[lib.scala 270:30] + node _T_387 = bits(ifu_bus_rdata_ff, 10, 10) @[lib.scala 271:36] + _T_356[6] <= _T_387 @[lib.scala 271:30] + node _T_388 = bits(ifu_bus_rdata_ff, 11, 11) @[lib.scala 268:36] + _T_353[7] <= _T_388 @[lib.scala 268:30] + node _T_389 = bits(ifu_bus_rdata_ff, 11, 11) @[lib.scala 272:36] + _T_357[0] <= _T_389 @[lib.scala 272:30] + node _T_390 = bits(ifu_bus_rdata_ff, 12, 12) @[lib.scala 269:36] + _T_354[7] <= _T_390 @[lib.scala 269:30] + node _T_391 = bits(ifu_bus_rdata_ff, 12, 12) @[lib.scala 272:36] + _T_357[1] <= _T_391 @[lib.scala 272:30] + node _T_392 = bits(ifu_bus_rdata_ff, 13, 13) @[lib.scala 268:36] + _T_353[8] <= _T_392 @[lib.scala 268:30] + node _T_393 = bits(ifu_bus_rdata_ff, 13, 13) @[lib.scala 269:36] + _T_354[8] <= _T_393 @[lib.scala 269:30] + node _T_394 = bits(ifu_bus_rdata_ff, 13, 13) @[lib.scala 272:36] + _T_357[2] <= _T_394 @[lib.scala 272:30] + node _T_395 = bits(ifu_bus_rdata_ff, 14, 14) @[lib.scala 270:36] + _T_355[7] <= _T_395 @[lib.scala 270:30] + node _T_396 = bits(ifu_bus_rdata_ff, 14, 14) @[lib.scala 272:36] + _T_357[3] <= _T_396 @[lib.scala 272:30] + node _T_397 = bits(ifu_bus_rdata_ff, 15, 15) @[lib.scala 268:36] + _T_353[9] <= _T_397 @[lib.scala 268:30] + node _T_398 = bits(ifu_bus_rdata_ff, 15, 15) @[lib.scala 270:36] + _T_355[8] <= _T_398 @[lib.scala 270:30] + node _T_399 = bits(ifu_bus_rdata_ff, 15, 15) @[lib.scala 272:36] + _T_357[4] <= _T_399 @[lib.scala 272:30] + node _T_400 = bits(ifu_bus_rdata_ff, 16, 16) @[lib.scala 269:36] + _T_354[9] <= _T_400 @[lib.scala 269:30] + node _T_401 = bits(ifu_bus_rdata_ff, 16, 16) @[lib.scala 270:36] + _T_355[9] <= _T_401 @[lib.scala 270:30] + node _T_402 = bits(ifu_bus_rdata_ff, 16, 16) @[lib.scala 272:36] + _T_357[5] <= _T_402 @[lib.scala 272:30] + node _T_403 = bits(ifu_bus_rdata_ff, 17, 17) @[lib.scala 268:36] + _T_353[10] <= _T_403 @[lib.scala 268:30] + node _T_404 = bits(ifu_bus_rdata_ff, 17, 17) @[lib.scala 269:36] + _T_354[10] <= _T_404 @[lib.scala 269:30] + node _T_405 = bits(ifu_bus_rdata_ff, 17, 17) @[lib.scala 270:36] + _T_355[10] <= _T_405 @[lib.scala 270:30] + node _T_406 = bits(ifu_bus_rdata_ff, 17, 17) @[lib.scala 272:36] + _T_357[6] <= _T_406 @[lib.scala 272:30] + node _T_407 = bits(ifu_bus_rdata_ff, 18, 18) @[lib.scala 271:36] + _T_356[7] <= _T_407 @[lib.scala 271:30] + node _T_408 = bits(ifu_bus_rdata_ff, 18, 18) @[lib.scala 272:36] + _T_357[7] <= _T_408 @[lib.scala 272:30] + node _T_409 = bits(ifu_bus_rdata_ff, 19, 19) @[lib.scala 268:36] + _T_353[11] <= _T_409 @[lib.scala 268:30] + node _T_410 = bits(ifu_bus_rdata_ff, 19, 19) @[lib.scala 271:36] + _T_356[8] <= _T_410 @[lib.scala 271:30] + node _T_411 = bits(ifu_bus_rdata_ff, 19, 19) @[lib.scala 272:36] + _T_357[8] <= _T_411 @[lib.scala 272:30] + node _T_412 = bits(ifu_bus_rdata_ff, 20, 20) @[lib.scala 269:36] + _T_354[11] <= _T_412 @[lib.scala 269:30] + node _T_413 = bits(ifu_bus_rdata_ff, 20, 20) @[lib.scala 271:36] + _T_356[9] <= _T_413 @[lib.scala 271:30] + node _T_414 = bits(ifu_bus_rdata_ff, 20, 20) @[lib.scala 272:36] + _T_357[9] <= _T_414 @[lib.scala 272:30] + node _T_415 = bits(ifu_bus_rdata_ff, 21, 21) @[lib.scala 268:36] + _T_353[12] <= _T_415 @[lib.scala 268:30] + node _T_416 = bits(ifu_bus_rdata_ff, 21, 21) @[lib.scala 269:36] + _T_354[12] <= _T_416 @[lib.scala 269:30] + node _T_417 = bits(ifu_bus_rdata_ff, 21, 21) @[lib.scala 271:36] + _T_356[10] <= _T_417 @[lib.scala 271:30] + node _T_418 = bits(ifu_bus_rdata_ff, 21, 21) @[lib.scala 272:36] + _T_357[10] <= _T_418 @[lib.scala 272:30] + node _T_419 = bits(ifu_bus_rdata_ff, 22, 22) @[lib.scala 270:36] + _T_355[11] <= _T_419 @[lib.scala 270:30] + node _T_420 = bits(ifu_bus_rdata_ff, 22, 22) @[lib.scala 271:36] + _T_356[11] <= _T_420 @[lib.scala 271:30] + node _T_421 = bits(ifu_bus_rdata_ff, 22, 22) @[lib.scala 272:36] + _T_357[11] <= _T_421 @[lib.scala 272:30] + node _T_422 = bits(ifu_bus_rdata_ff, 23, 23) @[lib.scala 268:36] + _T_353[13] <= _T_422 @[lib.scala 268:30] + node _T_423 = bits(ifu_bus_rdata_ff, 23, 23) @[lib.scala 270:36] + _T_355[12] <= _T_423 @[lib.scala 270:30] + node _T_424 = bits(ifu_bus_rdata_ff, 23, 23) @[lib.scala 271:36] + _T_356[12] <= _T_424 @[lib.scala 271:30] + node _T_425 = bits(ifu_bus_rdata_ff, 23, 23) @[lib.scala 272:36] + _T_357[12] <= _T_425 @[lib.scala 272:30] + node _T_426 = bits(ifu_bus_rdata_ff, 24, 24) @[lib.scala 269:36] + _T_354[13] <= _T_426 @[lib.scala 269:30] + node _T_427 = bits(ifu_bus_rdata_ff, 24, 24) @[lib.scala 270:36] + _T_355[13] <= _T_427 @[lib.scala 270:30] + node _T_428 = bits(ifu_bus_rdata_ff, 24, 24) @[lib.scala 271:36] + _T_356[13] <= _T_428 @[lib.scala 271:30] + node _T_429 = bits(ifu_bus_rdata_ff, 24, 24) @[lib.scala 272:36] + _T_357[13] <= _T_429 @[lib.scala 272:30] + node _T_430 = bits(ifu_bus_rdata_ff, 25, 25) @[lib.scala 268:36] + _T_353[14] <= _T_430 @[lib.scala 268:30] + node _T_431 = bits(ifu_bus_rdata_ff, 25, 25) @[lib.scala 269:36] + _T_354[14] <= _T_431 @[lib.scala 269:30] + node _T_432 = bits(ifu_bus_rdata_ff, 25, 25) @[lib.scala 270:36] + _T_355[14] <= _T_432 @[lib.scala 270:30] + node _T_433 = bits(ifu_bus_rdata_ff, 25, 25) @[lib.scala 271:36] + _T_356[14] <= _T_433 @[lib.scala 271:30] + node _T_434 = bits(ifu_bus_rdata_ff, 25, 25) @[lib.scala 272:36] + _T_357[14] <= _T_434 @[lib.scala 272:30] + node _T_435 = bits(ifu_bus_rdata_ff, 26, 26) @[lib.scala 268:36] + _T_353[15] <= _T_435 @[lib.scala 268:30] + node _T_436 = bits(ifu_bus_rdata_ff, 26, 26) @[lib.scala 273:36] + _T_358[0] <= _T_436 @[lib.scala 273:30] + node _T_437 = bits(ifu_bus_rdata_ff, 27, 27) @[lib.scala 269:36] + _T_354[15] <= _T_437 @[lib.scala 269:30] + node _T_438 = bits(ifu_bus_rdata_ff, 27, 27) @[lib.scala 273:36] + _T_358[1] <= _T_438 @[lib.scala 273:30] + node _T_439 = bits(ifu_bus_rdata_ff, 28, 28) @[lib.scala 268:36] + _T_353[16] <= _T_439 @[lib.scala 268:30] + node _T_440 = bits(ifu_bus_rdata_ff, 28, 28) @[lib.scala 269:36] + _T_354[16] <= _T_440 @[lib.scala 269:30] + node _T_441 = bits(ifu_bus_rdata_ff, 28, 28) @[lib.scala 273:36] + _T_358[2] <= _T_441 @[lib.scala 273:30] + node _T_442 = bits(ifu_bus_rdata_ff, 29, 29) @[lib.scala 270:36] + _T_355[15] <= _T_442 @[lib.scala 270:30] + node _T_443 = bits(ifu_bus_rdata_ff, 29, 29) @[lib.scala 273:36] + _T_358[3] <= _T_443 @[lib.scala 273:30] + node _T_444 = bits(ifu_bus_rdata_ff, 30, 30) @[lib.scala 268:36] + _T_353[17] <= _T_444 @[lib.scala 268:30] + node _T_445 = bits(ifu_bus_rdata_ff, 30, 30) @[lib.scala 270:36] + _T_355[16] <= _T_445 @[lib.scala 270:30] + node _T_446 = bits(ifu_bus_rdata_ff, 30, 30) @[lib.scala 273:36] + _T_358[4] <= _T_446 @[lib.scala 273:30] + node _T_447 = bits(ifu_bus_rdata_ff, 31, 31) @[lib.scala 269:36] + _T_354[17] <= _T_447 @[lib.scala 269:30] + node _T_448 = bits(ifu_bus_rdata_ff, 31, 31) @[lib.scala 270:36] + _T_355[17] <= _T_448 @[lib.scala 270:30] + node _T_449 = bits(ifu_bus_rdata_ff, 31, 31) @[lib.scala 273:36] + _T_358[5] <= _T_449 @[lib.scala 273:30] + node _T_450 = bits(ifu_bus_rdata_ff, 32, 32) @[lib.scala 268:36] + _T_353[18] <= _T_450 @[lib.scala 268:30] + node _T_451 = bits(ifu_bus_rdata_ff, 32, 32) @[lib.scala 269:36] + _T_354[18] <= _T_451 @[lib.scala 269:30] + node _T_452 = bits(ifu_bus_rdata_ff, 32, 32) @[lib.scala 270:36] + _T_355[18] <= _T_452 @[lib.scala 270:30] + node _T_453 = bits(ifu_bus_rdata_ff, 32, 32) @[lib.scala 273:36] + _T_358[6] <= _T_453 @[lib.scala 273:30] + node _T_454 = bits(ifu_bus_rdata_ff, 33, 33) @[lib.scala 271:36] + _T_356[15] <= _T_454 @[lib.scala 271:30] + node _T_455 = bits(ifu_bus_rdata_ff, 33, 33) @[lib.scala 273:36] + _T_358[7] <= _T_455 @[lib.scala 273:30] + node _T_456 = bits(ifu_bus_rdata_ff, 34, 34) @[lib.scala 268:36] + _T_353[19] <= _T_456 @[lib.scala 268:30] + node _T_457 = bits(ifu_bus_rdata_ff, 34, 34) @[lib.scala 271:36] + _T_356[16] <= _T_457 @[lib.scala 271:30] + node _T_458 = bits(ifu_bus_rdata_ff, 34, 34) @[lib.scala 273:36] + _T_358[8] <= _T_458 @[lib.scala 273:30] + node _T_459 = bits(ifu_bus_rdata_ff, 35, 35) @[lib.scala 269:36] + _T_354[19] <= _T_459 @[lib.scala 269:30] + node _T_460 = bits(ifu_bus_rdata_ff, 35, 35) @[lib.scala 271:36] + _T_356[17] <= _T_460 @[lib.scala 271:30] + node _T_461 = bits(ifu_bus_rdata_ff, 35, 35) @[lib.scala 273:36] + _T_358[9] <= _T_461 @[lib.scala 273:30] + node _T_462 = bits(ifu_bus_rdata_ff, 36, 36) @[lib.scala 268:36] + _T_353[20] <= _T_462 @[lib.scala 268:30] + node _T_463 = bits(ifu_bus_rdata_ff, 36, 36) @[lib.scala 269:36] + _T_354[20] <= _T_463 @[lib.scala 269:30] + node _T_464 = bits(ifu_bus_rdata_ff, 36, 36) @[lib.scala 271:36] + _T_356[18] <= _T_464 @[lib.scala 271:30] + node _T_465 = bits(ifu_bus_rdata_ff, 36, 36) @[lib.scala 273:36] + _T_358[10] <= _T_465 @[lib.scala 273:30] + node _T_466 = bits(ifu_bus_rdata_ff, 37, 37) @[lib.scala 270:36] + _T_355[19] <= _T_466 @[lib.scala 270:30] + node _T_467 = bits(ifu_bus_rdata_ff, 37, 37) @[lib.scala 271:36] + _T_356[19] <= _T_467 @[lib.scala 271:30] + node _T_468 = bits(ifu_bus_rdata_ff, 37, 37) @[lib.scala 273:36] + _T_358[11] <= _T_468 @[lib.scala 273:30] + node _T_469 = bits(ifu_bus_rdata_ff, 38, 38) @[lib.scala 268:36] + _T_353[21] <= _T_469 @[lib.scala 268:30] + node _T_470 = bits(ifu_bus_rdata_ff, 38, 38) @[lib.scala 270:36] + _T_355[20] <= _T_470 @[lib.scala 270:30] + node _T_471 = bits(ifu_bus_rdata_ff, 38, 38) @[lib.scala 271:36] + _T_356[20] <= _T_471 @[lib.scala 271:30] + node _T_472 = bits(ifu_bus_rdata_ff, 38, 38) @[lib.scala 273:36] + _T_358[12] <= _T_472 @[lib.scala 273:30] + node _T_473 = bits(ifu_bus_rdata_ff, 39, 39) @[lib.scala 269:36] + _T_354[21] <= _T_473 @[lib.scala 269:30] + node _T_474 = bits(ifu_bus_rdata_ff, 39, 39) @[lib.scala 270:36] + _T_355[21] <= _T_474 @[lib.scala 270:30] + node _T_475 = bits(ifu_bus_rdata_ff, 39, 39) @[lib.scala 271:36] + _T_356[21] <= _T_475 @[lib.scala 271:30] + node _T_476 = bits(ifu_bus_rdata_ff, 39, 39) @[lib.scala 273:36] + _T_358[13] <= _T_476 @[lib.scala 273:30] + node _T_477 = bits(ifu_bus_rdata_ff, 40, 40) @[lib.scala 268:36] + _T_353[22] <= _T_477 @[lib.scala 268:30] + node _T_478 = bits(ifu_bus_rdata_ff, 40, 40) @[lib.scala 269:36] + _T_354[22] <= _T_478 @[lib.scala 269:30] + node _T_479 = bits(ifu_bus_rdata_ff, 40, 40) @[lib.scala 270:36] + _T_355[22] <= _T_479 @[lib.scala 270:30] + node _T_480 = bits(ifu_bus_rdata_ff, 40, 40) @[lib.scala 271:36] + _T_356[22] <= _T_480 @[lib.scala 271:30] + node _T_481 = bits(ifu_bus_rdata_ff, 40, 40) @[lib.scala 273:36] + _T_358[14] <= _T_481 @[lib.scala 273:30] + node _T_482 = bits(ifu_bus_rdata_ff, 41, 41) @[lib.scala 272:36] + _T_357[15] <= _T_482 @[lib.scala 272:30] + node _T_483 = bits(ifu_bus_rdata_ff, 41, 41) @[lib.scala 273:36] + _T_358[15] <= _T_483 @[lib.scala 273:30] + node _T_484 = bits(ifu_bus_rdata_ff, 42, 42) @[lib.scala 268:36] + _T_353[23] <= _T_484 @[lib.scala 268:30] + node _T_485 = bits(ifu_bus_rdata_ff, 42, 42) @[lib.scala 272:36] + _T_357[16] <= _T_485 @[lib.scala 272:30] + node _T_486 = bits(ifu_bus_rdata_ff, 42, 42) @[lib.scala 273:36] + _T_358[16] <= _T_486 @[lib.scala 273:30] + node _T_487 = bits(ifu_bus_rdata_ff, 43, 43) @[lib.scala 269:36] + _T_354[23] <= _T_487 @[lib.scala 269:30] + node _T_488 = bits(ifu_bus_rdata_ff, 43, 43) @[lib.scala 272:36] + _T_357[17] <= _T_488 @[lib.scala 272:30] + node _T_489 = bits(ifu_bus_rdata_ff, 43, 43) @[lib.scala 273:36] + _T_358[17] <= _T_489 @[lib.scala 273:30] + node _T_490 = bits(ifu_bus_rdata_ff, 44, 44) @[lib.scala 268:36] + _T_353[24] <= _T_490 @[lib.scala 268:30] + node _T_491 = bits(ifu_bus_rdata_ff, 44, 44) @[lib.scala 269:36] + _T_354[24] <= _T_491 @[lib.scala 269:30] + node _T_492 = bits(ifu_bus_rdata_ff, 44, 44) @[lib.scala 272:36] + _T_357[18] <= _T_492 @[lib.scala 272:30] + node _T_493 = bits(ifu_bus_rdata_ff, 44, 44) @[lib.scala 273:36] + _T_358[18] <= _T_493 @[lib.scala 273:30] + node _T_494 = bits(ifu_bus_rdata_ff, 45, 45) @[lib.scala 270:36] + _T_355[23] <= _T_494 @[lib.scala 270:30] + node _T_495 = bits(ifu_bus_rdata_ff, 45, 45) @[lib.scala 272:36] + _T_357[19] <= _T_495 @[lib.scala 272:30] + node _T_496 = bits(ifu_bus_rdata_ff, 45, 45) @[lib.scala 273:36] + _T_358[19] <= _T_496 @[lib.scala 273:30] + node _T_497 = bits(ifu_bus_rdata_ff, 46, 46) @[lib.scala 268:36] + _T_353[25] <= _T_497 @[lib.scala 268:30] + node _T_498 = bits(ifu_bus_rdata_ff, 46, 46) @[lib.scala 270:36] + _T_355[24] <= _T_498 @[lib.scala 270:30] + node _T_499 = bits(ifu_bus_rdata_ff, 46, 46) @[lib.scala 272:36] + _T_357[20] <= _T_499 @[lib.scala 272:30] + node _T_500 = bits(ifu_bus_rdata_ff, 46, 46) @[lib.scala 273:36] + _T_358[20] <= _T_500 @[lib.scala 273:30] + node _T_501 = bits(ifu_bus_rdata_ff, 47, 47) @[lib.scala 269:36] + _T_354[25] <= _T_501 @[lib.scala 269:30] + node _T_502 = bits(ifu_bus_rdata_ff, 47, 47) @[lib.scala 270:36] + _T_355[25] <= _T_502 @[lib.scala 270:30] + node _T_503 = bits(ifu_bus_rdata_ff, 47, 47) @[lib.scala 272:36] + _T_357[21] <= _T_503 @[lib.scala 272:30] + node _T_504 = bits(ifu_bus_rdata_ff, 47, 47) @[lib.scala 273:36] + _T_358[21] <= _T_504 @[lib.scala 273:30] + node _T_505 = bits(ifu_bus_rdata_ff, 48, 48) @[lib.scala 268:36] + _T_353[26] <= _T_505 @[lib.scala 268:30] + node _T_506 = bits(ifu_bus_rdata_ff, 48, 48) @[lib.scala 269:36] + _T_354[26] <= _T_506 @[lib.scala 269:30] + node _T_507 = bits(ifu_bus_rdata_ff, 48, 48) @[lib.scala 270:36] + _T_355[26] <= _T_507 @[lib.scala 270:30] + node _T_508 = bits(ifu_bus_rdata_ff, 48, 48) @[lib.scala 272:36] + _T_357[22] <= _T_508 @[lib.scala 272:30] + node _T_509 = bits(ifu_bus_rdata_ff, 48, 48) @[lib.scala 273:36] + _T_358[22] <= _T_509 @[lib.scala 273:30] + node _T_510 = bits(ifu_bus_rdata_ff, 49, 49) @[lib.scala 271:36] + _T_356[23] <= _T_510 @[lib.scala 271:30] + node _T_511 = bits(ifu_bus_rdata_ff, 49, 49) @[lib.scala 272:36] + _T_357[23] <= _T_511 @[lib.scala 272:30] + node _T_512 = bits(ifu_bus_rdata_ff, 49, 49) @[lib.scala 273:36] + _T_358[23] <= _T_512 @[lib.scala 273:30] + node _T_513 = bits(ifu_bus_rdata_ff, 50, 50) @[lib.scala 268:36] + _T_353[27] <= _T_513 @[lib.scala 268:30] + node _T_514 = bits(ifu_bus_rdata_ff, 50, 50) @[lib.scala 271:36] + _T_356[24] <= _T_514 @[lib.scala 271:30] + node _T_515 = bits(ifu_bus_rdata_ff, 50, 50) @[lib.scala 272:36] + _T_357[24] <= _T_515 @[lib.scala 272:30] + node _T_516 = bits(ifu_bus_rdata_ff, 50, 50) @[lib.scala 273:36] + _T_358[24] <= _T_516 @[lib.scala 273:30] + node _T_517 = bits(ifu_bus_rdata_ff, 51, 51) @[lib.scala 269:36] + _T_354[27] <= _T_517 @[lib.scala 269:30] + node _T_518 = bits(ifu_bus_rdata_ff, 51, 51) @[lib.scala 271:36] + _T_356[25] <= _T_518 @[lib.scala 271:30] + node _T_519 = bits(ifu_bus_rdata_ff, 51, 51) @[lib.scala 272:36] + _T_357[25] <= _T_519 @[lib.scala 272:30] + node _T_520 = bits(ifu_bus_rdata_ff, 51, 51) @[lib.scala 273:36] + _T_358[25] <= _T_520 @[lib.scala 273:30] + node _T_521 = bits(ifu_bus_rdata_ff, 52, 52) @[lib.scala 268:36] + _T_353[28] <= _T_521 @[lib.scala 268:30] + node _T_522 = bits(ifu_bus_rdata_ff, 52, 52) @[lib.scala 269:36] + _T_354[28] <= _T_522 @[lib.scala 269:30] + node _T_523 = bits(ifu_bus_rdata_ff, 52, 52) @[lib.scala 271:36] + _T_356[26] <= _T_523 @[lib.scala 271:30] + node _T_524 = bits(ifu_bus_rdata_ff, 52, 52) @[lib.scala 272:36] + _T_357[26] <= _T_524 @[lib.scala 272:30] + node _T_525 = bits(ifu_bus_rdata_ff, 52, 52) @[lib.scala 273:36] + _T_358[26] <= _T_525 @[lib.scala 273:30] + node _T_526 = bits(ifu_bus_rdata_ff, 53, 53) @[lib.scala 270:36] + _T_355[27] <= _T_526 @[lib.scala 270:30] + node _T_527 = bits(ifu_bus_rdata_ff, 53, 53) @[lib.scala 271:36] + _T_356[27] <= _T_527 @[lib.scala 271:30] + node _T_528 = bits(ifu_bus_rdata_ff, 53, 53) @[lib.scala 272:36] + _T_357[27] <= _T_528 @[lib.scala 272:30] + node _T_529 = bits(ifu_bus_rdata_ff, 53, 53) @[lib.scala 273:36] + _T_358[27] <= _T_529 @[lib.scala 273:30] + node _T_530 = bits(ifu_bus_rdata_ff, 54, 54) @[lib.scala 268:36] + _T_353[29] <= _T_530 @[lib.scala 268:30] + node _T_531 = bits(ifu_bus_rdata_ff, 54, 54) @[lib.scala 270:36] + _T_355[28] <= _T_531 @[lib.scala 270:30] + node _T_532 = bits(ifu_bus_rdata_ff, 54, 54) @[lib.scala 271:36] + _T_356[28] <= _T_532 @[lib.scala 271:30] + node _T_533 = bits(ifu_bus_rdata_ff, 54, 54) @[lib.scala 272:36] + _T_357[28] <= _T_533 @[lib.scala 272:30] + node _T_534 = bits(ifu_bus_rdata_ff, 54, 54) @[lib.scala 273:36] + _T_358[28] <= _T_534 @[lib.scala 273:30] + node _T_535 = bits(ifu_bus_rdata_ff, 55, 55) @[lib.scala 269:36] + _T_354[29] <= _T_535 @[lib.scala 269:30] + node _T_536 = bits(ifu_bus_rdata_ff, 55, 55) @[lib.scala 270:36] + _T_355[29] <= _T_536 @[lib.scala 270:30] + node _T_537 = bits(ifu_bus_rdata_ff, 55, 55) @[lib.scala 271:36] + _T_356[29] <= _T_537 @[lib.scala 271:30] + node _T_538 = bits(ifu_bus_rdata_ff, 55, 55) @[lib.scala 272:36] + _T_357[29] <= _T_538 @[lib.scala 272:30] + node _T_539 = bits(ifu_bus_rdata_ff, 55, 55) @[lib.scala 273:36] + _T_358[29] <= _T_539 @[lib.scala 273:30] + node _T_540 = bits(ifu_bus_rdata_ff, 56, 56) @[lib.scala 268:36] + _T_353[30] <= _T_540 @[lib.scala 268:30] + node _T_541 = bits(ifu_bus_rdata_ff, 56, 56) @[lib.scala 269:36] + _T_354[30] <= _T_541 @[lib.scala 269:30] + node _T_542 = bits(ifu_bus_rdata_ff, 56, 56) @[lib.scala 270:36] + _T_355[30] <= _T_542 @[lib.scala 270:30] + node _T_543 = bits(ifu_bus_rdata_ff, 56, 56) @[lib.scala 271:36] + _T_356[30] <= _T_543 @[lib.scala 271:30] + node _T_544 = bits(ifu_bus_rdata_ff, 56, 56) @[lib.scala 272:36] + _T_357[30] <= _T_544 @[lib.scala 272:30] + node _T_545 = bits(ifu_bus_rdata_ff, 56, 56) @[lib.scala 273:36] + _T_358[30] <= _T_545 @[lib.scala 273:30] + node _T_546 = bits(ifu_bus_rdata_ff, 57, 57) @[lib.scala 268:36] + _T_353[31] <= _T_546 @[lib.scala 268:30] + node _T_547 = bits(ifu_bus_rdata_ff, 57, 57) @[lib.scala 274:36] + _T_359[0] <= _T_547 @[lib.scala 274:30] + node _T_548 = bits(ifu_bus_rdata_ff, 58, 58) @[lib.scala 269:36] + _T_354[31] <= _T_548 @[lib.scala 269:30] + node _T_549 = bits(ifu_bus_rdata_ff, 58, 58) @[lib.scala 274:36] + _T_359[1] <= _T_549 @[lib.scala 274:30] + node _T_550 = bits(ifu_bus_rdata_ff, 59, 59) @[lib.scala 268:36] + _T_353[32] <= _T_550 @[lib.scala 268:30] + node _T_551 = bits(ifu_bus_rdata_ff, 59, 59) @[lib.scala 269:36] + _T_354[32] <= _T_551 @[lib.scala 269:30] + node _T_552 = bits(ifu_bus_rdata_ff, 59, 59) @[lib.scala 274:36] + _T_359[2] <= _T_552 @[lib.scala 274:30] + node _T_553 = bits(ifu_bus_rdata_ff, 60, 60) @[lib.scala 270:36] + _T_355[31] <= _T_553 @[lib.scala 270:30] + node _T_554 = bits(ifu_bus_rdata_ff, 60, 60) @[lib.scala 274:36] + _T_359[3] <= _T_554 @[lib.scala 274:30] + node _T_555 = bits(ifu_bus_rdata_ff, 61, 61) @[lib.scala 268:36] + _T_353[33] <= _T_555 @[lib.scala 268:30] + node _T_556 = bits(ifu_bus_rdata_ff, 61, 61) @[lib.scala 270:36] + _T_355[32] <= _T_556 @[lib.scala 270:30] + node _T_557 = bits(ifu_bus_rdata_ff, 61, 61) @[lib.scala 274:36] + _T_359[4] <= _T_557 @[lib.scala 274:30] + node _T_558 = bits(ifu_bus_rdata_ff, 62, 62) @[lib.scala 269:36] + _T_354[33] <= _T_558 @[lib.scala 269:30] + node _T_559 = bits(ifu_bus_rdata_ff, 62, 62) @[lib.scala 270:36] + _T_355[33] <= _T_559 @[lib.scala 270:30] + node _T_560 = bits(ifu_bus_rdata_ff, 62, 62) @[lib.scala 274:36] + _T_359[5] <= _T_560 @[lib.scala 274:30] + node _T_561 = bits(ifu_bus_rdata_ff, 63, 63) @[lib.scala 268:36] + _T_353[34] <= _T_561 @[lib.scala 268:30] + node _T_562 = bits(ifu_bus_rdata_ff, 63, 63) @[lib.scala 269:36] + _T_354[34] <= _T_562 @[lib.scala 269:30] + node _T_563 = bits(ifu_bus_rdata_ff, 63, 63) @[lib.scala 270:36] + _T_355[34] <= _T_563 @[lib.scala 270:30] + node _T_564 = bits(ifu_bus_rdata_ff, 63, 63) @[lib.scala 274:36] + _T_359[6] <= _T_564 @[lib.scala 274:30] + node _T_565 = cat(_T_359[2], _T_359[1]) @[lib.scala 276:13] + node _T_566 = cat(_T_565, _T_359[0]) @[lib.scala 276:13] + node _T_567 = cat(_T_359[4], _T_359[3]) @[lib.scala 276:13] + node _T_568 = cat(_T_359[6], _T_359[5]) @[lib.scala 276:13] + node _T_569 = cat(_T_568, _T_567) @[lib.scala 276:13] + node _T_570 = cat(_T_569, _T_566) @[lib.scala 276:13] + node _T_571 = xorr(_T_570) @[lib.scala 276:20] + node _T_572 = cat(_T_358[2], _T_358[1]) @[lib.scala 276:30] + node _T_573 = cat(_T_572, _T_358[0]) @[lib.scala 276:30] + node _T_574 = cat(_T_358[4], _T_358[3]) @[lib.scala 276:30] + node _T_575 = cat(_T_358[6], _T_358[5]) @[lib.scala 276:30] + node _T_576 = cat(_T_575, _T_574) @[lib.scala 276:30] + node _T_577 = cat(_T_576, _T_573) @[lib.scala 276:30] + node _T_578 = cat(_T_358[8], _T_358[7]) @[lib.scala 276:30] + node _T_579 = cat(_T_358[10], _T_358[9]) @[lib.scala 276:30] + node _T_580 = cat(_T_579, _T_578) @[lib.scala 276:30] + node _T_581 = cat(_T_358[12], _T_358[11]) @[lib.scala 276:30] + node _T_582 = cat(_T_358[14], _T_358[13]) @[lib.scala 276:30] + node _T_583 = cat(_T_582, _T_581) @[lib.scala 276:30] + node _T_584 = cat(_T_583, _T_580) @[lib.scala 276:30] + node _T_585 = cat(_T_584, _T_577) @[lib.scala 276:30] + node _T_586 = cat(_T_358[16], _T_358[15]) @[lib.scala 276:30] + node _T_587 = cat(_T_358[18], _T_358[17]) @[lib.scala 276:30] + node _T_588 = cat(_T_587, _T_586) @[lib.scala 276:30] + node _T_589 = cat(_T_358[20], _T_358[19]) @[lib.scala 276:30] + node _T_590 = cat(_T_358[22], _T_358[21]) @[lib.scala 276:30] + node _T_591 = cat(_T_590, _T_589) @[lib.scala 276:30] + node _T_592 = cat(_T_591, _T_588) @[lib.scala 276:30] + node _T_593 = cat(_T_358[24], _T_358[23]) @[lib.scala 276:30] + node _T_594 = cat(_T_358[26], _T_358[25]) @[lib.scala 276:30] + node _T_595 = cat(_T_594, _T_593) @[lib.scala 276:30] + node _T_596 = cat(_T_358[28], _T_358[27]) @[lib.scala 276:30] + node _T_597 = cat(_T_358[30], _T_358[29]) @[lib.scala 276:30] + node _T_598 = cat(_T_597, _T_596) @[lib.scala 276:30] + node _T_599 = cat(_T_598, _T_595) @[lib.scala 276:30] + node _T_600 = cat(_T_599, _T_592) @[lib.scala 276:30] + node _T_601 = cat(_T_600, _T_585) @[lib.scala 276:30] + node _T_602 = xorr(_T_601) @[lib.scala 276:37] + node _T_603 = cat(_T_357[2], _T_357[1]) @[lib.scala 276:47] + node _T_604 = cat(_T_603, _T_357[0]) @[lib.scala 276:47] + node _T_605 = cat(_T_357[4], _T_357[3]) @[lib.scala 276:47] + node _T_606 = cat(_T_357[6], _T_357[5]) @[lib.scala 276:47] + node _T_607 = cat(_T_606, _T_605) @[lib.scala 276:47] + node _T_608 = cat(_T_607, _T_604) @[lib.scala 276:47] + node _T_609 = cat(_T_357[8], _T_357[7]) @[lib.scala 276:47] + node _T_610 = cat(_T_357[10], _T_357[9]) @[lib.scala 276:47] + node _T_611 = cat(_T_610, _T_609) @[lib.scala 276:47] + node _T_612 = cat(_T_357[12], _T_357[11]) @[lib.scala 276:47] + node _T_613 = cat(_T_357[14], _T_357[13]) @[lib.scala 276:47] + node _T_614 = cat(_T_613, _T_612) @[lib.scala 276:47] + node _T_615 = cat(_T_614, _T_611) @[lib.scala 276:47] + node _T_616 = cat(_T_615, _T_608) @[lib.scala 276:47] + node _T_617 = cat(_T_357[16], _T_357[15]) @[lib.scala 276:47] + node _T_618 = cat(_T_357[18], _T_357[17]) @[lib.scala 276:47] + node _T_619 = cat(_T_618, _T_617) @[lib.scala 276:47] + node _T_620 = cat(_T_357[20], _T_357[19]) @[lib.scala 276:47] + node _T_621 = cat(_T_357[22], _T_357[21]) @[lib.scala 276:47] + node _T_622 = cat(_T_621, _T_620) @[lib.scala 276:47] + node _T_623 = cat(_T_622, _T_619) @[lib.scala 276:47] + node _T_624 = cat(_T_357[24], _T_357[23]) @[lib.scala 276:47] + node _T_625 = cat(_T_357[26], _T_357[25]) @[lib.scala 276:47] + node _T_626 = cat(_T_625, _T_624) @[lib.scala 276:47] + node _T_627 = cat(_T_357[28], _T_357[27]) @[lib.scala 276:47] + node _T_628 = cat(_T_357[30], _T_357[29]) @[lib.scala 276:47] + node _T_629 = cat(_T_628, _T_627) @[lib.scala 276:47] + node _T_630 = cat(_T_629, _T_626) @[lib.scala 276:47] + node _T_631 = cat(_T_630, _T_623) @[lib.scala 276:47] + node _T_632 = cat(_T_631, _T_616) @[lib.scala 276:47] + node _T_633 = xorr(_T_632) @[lib.scala 276:54] + node _T_634 = cat(_T_356[2], _T_356[1]) @[lib.scala 276:64] + node _T_635 = cat(_T_634, _T_356[0]) @[lib.scala 276:64] + node _T_636 = cat(_T_356[4], _T_356[3]) @[lib.scala 276:64] + node _T_637 = cat(_T_356[6], _T_356[5]) @[lib.scala 276:64] + node _T_638 = cat(_T_637, _T_636) @[lib.scala 276:64] + node _T_639 = cat(_T_638, _T_635) @[lib.scala 276:64] + node _T_640 = cat(_T_356[8], _T_356[7]) @[lib.scala 276:64] + node _T_641 = cat(_T_356[10], _T_356[9]) @[lib.scala 276:64] + node _T_642 = cat(_T_641, _T_640) @[lib.scala 276:64] + node _T_643 = cat(_T_356[12], _T_356[11]) @[lib.scala 276:64] + node _T_644 = cat(_T_356[14], _T_356[13]) @[lib.scala 276:64] + node _T_645 = cat(_T_644, _T_643) @[lib.scala 276:64] + node _T_646 = cat(_T_645, _T_642) @[lib.scala 276:64] + node _T_647 = cat(_T_646, _T_639) @[lib.scala 276:64] + node _T_648 = cat(_T_356[16], _T_356[15]) @[lib.scala 276:64] + node _T_649 = cat(_T_356[18], _T_356[17]) @[lib.scala 276:64] + node _T_650 = cat(_T_649, _T_648) @[lib.scala 276:64] + node _T_651 = cat(_T_356[20], _T_356[19]) @[lib.scala 276:64] + node _T_652 = cat(_T_356[22], _T_356[21]) @[lib.scala 276:64] + node _T_653 = cat(_T_652, _T_651) @[lib.scala 276:64] + node _T_654 = cat(_T_653, _T_650) @[lib.scala 276:64] + node _T_655 = cat(_T_356[24], _T_356[23]) @[lib.scala 276:64] + node _T_656 = cat(_T_356[26], _T_356[25]) @[lib.scala 276:64] + node _T_657 = cat(_T_656, _T_655) @[lib.scala 276:64] + node _T_658 = cat(_T_356[28], _T_356[27]) @[lib.scala 276:64] + node _T_659 = cat(_T_356[30], _T_356[29]) @[lib.scala 276:64] + node _T_660 = cat(_T_659, _T_658) @[lib.scala 276:64] + node _T_661 = cat(_T_660, _T_657) @[lib.scala 276:64] + node _T_662 = cat(_T_661, _T_654) @[lib.scala 276:64] + node _T_663 = cat(_T_662, _T_647) @[lib.scala 276:64] + node _T_664 = xorr(_T_663) @[lib.scala 276:71] + node _T_665 = cat(_T_355[1], _T_355[0]) @[lib.scala 276:81] + node _T_666 = cat(_T_355[3], _T_355[2]) @[lib.scala 276:81] + node _T_667 = cat(_T_666, _T_665) @[lib.scala 276:81] + node _T_668 = cat(_T_355[5], _T_355[4]) @[lib.scala 276:81] + node _T_669 = cat(_T_355[7], _T_355[6]) @[lib.scala 276:81] + node _T_670 = cat(_T_669, _T_668) @[lib.scala 276:81] + node _T_671 = cat(_T_670, _T_667) @[lib.scala 276:81] + node _T_672 = cat(_T_355[9], _T_355[8]) @[lib.scala 276:81] + node _T_673 = cat(_T_355[11], _T_355[10]) @[lib.scala 276:81] + node _T_674 = cat(_T_673, _T_672) @[lib.scala 276:81] + node _T_675 = cat(_T_355[13], _T_355[12]) @[lib.scala 276:81] + node _T_676 = cat(_T_355[16], _T_355[15]) @[lib.scala 276:81] + node _T_677 = cat(_T_676, _T_355[14]) @[lib.scala 276:81] + node _T_678 = cat(_T_677, _T_675) @[lib.scala 276:81] + node _T_679 = cat(_T_678, _T_674) @[lib.scala 276:81] + node _T_680 = cat(_T_679, _T_671) @[lib.scala 276:81] + node _T_681 = cat(_T_355[18], _T_355[17]) @[lib.scala 276:81] + node _T_682 = cat(_T_355[20], _T_355[19]) @[lib.scala 276:81] + node _T_683 = cat(_T_682, _T_681) @[lib.scala 276:81] + node _T_684 = cat(_T_355[22], _T_355[21]) @[lib.scala 276:81] + node _T_685 = cat(_T_355[25], _T_355[24]) @[lib.scala 276:81] + node _T_686 = cat(_T_685, _T_355[23]) @[lib.scala 276:81] + node _T_687 = cat(_T_686, _T_684) @[lib.scala 276:81] + node _T_688 = cat(_T_687, _T_683) @[lib.scala 276:81] + node _T_689 = cat(_T_355[27], _T_355[26]) @[lib.scala 276:81] + node _T_690 = cat(_T_355[29], _T_355[28]) @[lib.scala 276:81] + node _T_691 = cat(_T_690, _T_689) @[lib.scala 276:81] + node _T_692 = cat(_T_355[31], _T_355[30]) @[lib.scala 276:81] + node _T_693 = cat(_T_355[34], _T_355[33]) @[lib.scala 276:81] + node _T_694 = cat(_T_693, _T_355[32]) @[lib.scala 276:81] + node _T_695 = cat(_T_694, _T_692) @[lib.scala 276:81] + node _T_696 = cat(_T_695, _T_691) @[lib.scala 276:81] + node _T_697 = cat(_T_696, _T_688) @[lib.scala 276:81] + node _T_698 = cat(_T_697, _T_680) @[lib.scala 276:81] + node _T_699 = xorr(_T_698) @[lib.scala 276:88] + node _T_700 = cat(_T_354[1], _T_354[0]) @[lib.scala 276:98] + node _T_701 = cat(_T_354[3], _T_354[2]) @[lib.scala 276:98] + node _T_702 = cat(_T_701, _T_700) @[lib.scala 276:98] + node _T_703 = cat(_T_354[5], _T_354[4]) @[lib.scala 276:98] + node _T_704 = cat(_T_354[7], _T_354[6]) @[lib.scala 276:98] + node _T_705 = cat(_T_704, _T_703) @[lib.scala 276:98] + node _T_706 = cat(_T_705, _T_702) @[lib.scala 276:98] + node _T_707 = cat(_T_354[9], _T_354[8]) @[lib.scala 276:98] + node _T_708 = cat(_T_354[11], _T_354[10]) @[lib.scala 276:98] + node _T_709 = cat(_T_708, _T_707) @[lib.scala 276:98] + node _T_710 = cat(_T_354[13], _T_354[12]) @[lib.scala 276:98] + node _T_711 = cat(_T_354[16], _T_354[15]) @[lib.scala 276:98] + node _T_712 = cat(_T_711, _T_354[14]) @[lib.scala 276:98] + node _T_713 = cat(_T_712, _T_710) @[lib.scala 276:98] + node _T_714 = cat(_T_713, _T_709) @[lib.scala 276:98] + node _T_715 = cat(_T_714, _T_706) @[lib.scala 276:98] + node _T_716 = cat(_T_354[18], _T_354[17]) @[lib.scala 276:98] + node _T_717 = cat(_T_354[20], _T_354[19]) @[lib.scala 276:98] + node _T_718 = cat(_T_717, _T_716) @[lib.scala 276:98] + node _T_719 = cat(_T_354[22], _T_354[21]) @[lib.scala 276:98] + node _T_720 = cat(_T_354[25], _T_354[24]) @[lib.scala 276:98] + node _T_721 = cat(_T_720, _T_354[23]) @[lib.scala 276:98] + node _T_722 = cat(_T_721, _T_719) @[lib.scala 276:98] + node _T_723 = cat(_T_722, _T_718) @[lib.scala 276:98] + node _T_724 = cat(_T_354[27], _T_354[26]) @[lib.scala 276:98] + node _T_725 = cat(_T_354[29], _T_354[28]) @[lib.scala 276:98] + node _T_726 = cat(_T_725, _T_724) @[lib.scala 276:98] + node _T_727 = cat(_T_354[31], _T_354[30]) @[lib.scala 276:98] + node _T_728 = cat(_T_354[34], _T_354[33]) @[lib.scala 276:98] + node _T_729 = cat(_T_728, _T_354[32]) @[lib.scala 276:98] + node _T_730 = cat(_T_729, _T_727) @[lib.scala 276:98] + node _T_731 = cat(_T_730, _T_726) @[lib.scala 276:98] + node _T_732 = cat(_T_731, _T_723) @[lib.scala 276:98] + node _T_733 = cat(_T_732, _T_715) @[lib.scala 276:98] + node _T_734 = xorr(_T_733) @[lib.scala 276:105] + node _T_735 = cat(_T_353[1], _T_353[0]) @[lib.scala 276:115] + node _T_736 = cat(_T_353[3], _T_353[2]) @[lib.scala 276:115] + node _T_737 = cat(_T_736, _T_735) @[lib.scala 276:115] + node _T_738 = cat(_T_353[5], _T_353[4]) @[lib.scala 276:115] + node _T_739 = cat(_T_353[7], _T_353[6]) @[lib.scala 276:115] + node _T_740 = cat(_T_739, _T_738) @[lib.scala 276:115] + node _T_741 = cat(_T_740, _T_737) @[lib.scala 276:115] + node _T_742 = cat(_T_353[9], _T_353[8]) @[lib.scala 276:115] + node _T_743 = cat(_T_353[11], _T_353[10]) @[lib.scala 276:115] + node _T_744 = cat(_T_743, _T_742) @[lib.scala 276:115] + node _T_745 = cat(_T_353[13], _T_353[12]) @[lib.scala 276:115] + node _T_746 = cat(_T_353[16], _T_353[15]) @[lib.scala 276:115] + node _T_747 = cat(_T_746, _T_353[14]) @[lib.scala 276:115] + node _T_748 = cat(_T_747, _T_745) @[lib.scala 276:115] + node _T_749 = cat(_T_748, _T_744) @[lib.scala 276:115] + node _T_750 = cat(_T_749, _T_741) @[lib.scala 276:115] + node _T_751 = cat(_T_353[18], _T_353[17]) @[lib.scala 276:115] + node _T_752 = cat(_T_353[20], _T_353[19]) @[lib.scala 276:115] + node _T_753 = cat(_T_752, _T_751) @[lib.scala 276:115] + node _T_754 = cat(_T_353[22], _T_353[21]) @[lib.scala 276:115] + node _T_755 = cat(_T_353[25], _T_353[24]) @[lib.scala 276:115] + node _T_756 = cat(_T_755, _T_353[23]) @[lib.scala 276:115] + node _T_757 = cat(_T_756, _T_754) @[lib.scala 276:115] + node _T_758 = cat(_T_757, _T_753) @[lib.scala 276:115] + node _T_759 = cat(_T_353[27], _T_353[26]) @[lib.scala 276:115] + node _T_760 = cat(_T_353[29], _T_353[28]) @[lib.scala 276:115] + node _T_761 = cat(_T_760, _T_759) @[lib.scala 276:115] + node _T_762 = cat(_T_353[31], _T_353[30]) @[lib.scala 276:115] + node _T_763 = cat(_T_353[34], _T_353[33]) @[lib.scala 276:115] + node _T_764 = cat(_T_763, _T_353[32]) @[lib.scala 276:115] + node _T_765 = cat(_T_764, _T_762) @[lib.scala 276:115] + node _T_766 = cat(_T_765, _T_761) @[lib.scala 276:115] + node _T_767 = cat(_T_766, _T_758) @[lib.scala 276:115] + node _T_768 = cat(_T_767, _T_750) @[lib.scala 276:115] + node _T_769 = xorr(_T_768) @[lib.scala 276:122] + node _T_770 = cat(_T_699, _T_734) @[Cat.scala 29:58] + node _T_771 = cat(_T_770, _T_769) @[Cat.scala 29:58] + node _T_772 = cat(_T_633, _T_664) @[Cat.scala 29:58] + node _T_773 = cat(_T_571, _T_602) @[Cat.scala 29:58] + node _T_774 = cat(_T_773, _T_772) @[Cat.scala 29:58] + node ic_wr_ecc = cat(_T_774, _T_771) @[Cat.scala 29:58] + wire _T_775 : UInt<1>[35] @[lib.scala 255:18] + wire _T_776 : UInt<1>[35] @[lib.scala 256:18] + wire _T_777 : UInt<1>[35] @[lib.scala 257:18] + wire _T_778 : UInt<1>[31] @[lib.scala 258:18] + wire _T_779 : UInt<1>[31] @[lib.scala 259:18] + wire _T_780 : UInt<1>[31] @[lib.scala 260:18] + wire _T_781 : UInt<1>[7] @[lib.scala 261:18] + node _T_782 = bits(ic_miss_buff_half, 0, 0) @[lib.scala 268:36] + _T_775[0] <= _T_782 @[lib.scala 268:30] + node _T_783 = bits(ic_miss_buff_half, 0, 0) @[lib.scala 269:36] + _T_776[0] <= _T_783 @[lib.scala 269:30] + node _T_784 = bits(ic_miss_buff_half, 1, 1) @[lib.scala 268:36] + _T_775[1] <= _T_784 @[lib.scala 268:30] + node _T_785 = bits(ic_miss_buff_half, 1, 1) @[lib.scala 270:36] + _T_777[0] <= _T_785 @[lib.scala 270:30] + node _T_786 = bits(ic_miss_buff_half, 2, 2) @[lib.scala 269:36] + _T_776[1] <= _T_786 @[lib.scala 269:30] + node _T_787 = bits(ic_miss_buff_half, 2, 2) @[lib.scala 270:36] + _T_777[1] <= _T_787 @[lib.scala 270:30] + node _T_788 = bits(ic_miss_buff_half, 3, 3) @[lib.scala 268:36] + _T_775[2] <= _T_788 @[lib.scala 268:30] + node _T_789 = bits(ic_miss_buff_half, 3, 3) @[lib.scala 269:36] + _T_776[2] <= _T_789 @[lib.scala 269:30] + node _T_790 = bits(ic_miss_buff_half, 3, 3) @[lib.scala 270:36] + _T_777[2] <= _T_790 @[lib.scala 270:30] + node _T_791 = bits(ic_miss_buff_half, 4, 4) @[lib.scala 268:36] + _T_775[3] <= _T_791 @[lib.scala 268:30] + node _T_792 = bits(ic_miss_buff_half, 4, 4) @[lib.scala 271:36] + _T_778[0] <= _T_792 @[lib.scala 271:30] + node _T_793 = bits(ic_miss_buff_half, 5, 5) @[lib.scala 269:36] + _T_776[3] <= _T_793 @[lib.scala 269:30] + node _T_794 = bits(ic_miss_buff_half, 5, 5) @[lib.scala 271:36] + _T_778[1] <= _T_794 @[lib.scala 271:30] + node _T_795 = bits(ic_miss_buff_half, 6, 6) @[lib.scala 268:36] + _T_775[4] <= _T_795 @[lib.scala 268:30] + node _T_796 = bits(ic_miss_buff_half, 6, 6) @[lib.scala 269:36] + _T_776[4] <= _T_796 @[lib.scala 269:30] + node _T_797 = bits(ic_miss_buff_half, 6, 6) @[lib.scala 271:36] + _T_778[2] <= _T_797 @[lib.scala 271:30] + node _T_798 = bits(ic_miss_buff_half, 7, 7) @[lib.scala 270:36] + _T_777[3] <= _T_798 @[lib.scala 270:30] + node _T_799 = bits(ic_miss_buff_half, 7, 7) @[lib.scala 271:36] + _T_778[3] <= _T_799 @[lib.scala 271:30] + node _T_800 = bits(ic_miss_buff_half, 8, 8) @[lib.scala 268:36] + _T_775[5] <= _T_800 @[lib.scala 268:30] + node _T_801 = bits(ic_miss_buff_half, 8, 8) @[lib.scala 270:36] + _T_777[4] <= _T_801 @[lib.scala 270:30] + node _T_802 = bits(ic_miss_buff_half, 8, 8) @[lib.scala 271:36] + _T_778[4] <= _T_802 @[lib.scala 271:30] + node _T_803 = bits(ic_miss_buff_half, 9, 9) @[lib.scala 269:36] + _T_776[5] <= _T_803 @[lib.scala 269:30] + node _T_804 = bits(ic_miss_buff_half, 9, 9) @[lib.scala 270:36] + _T_777[5] <= _T_804 @[lib.scala 270:30] + node _T_805 = bits(ic_miss_buff_half, 9, 9) @[lib.scala 271:36] + _T_778[5] <= _T_805 @[lib.scala 271:30] + node _T_806 = bits(ic_miss_buff_half, 10, 10) @[lib.scala 268:36] + _T_775[6] <= _T_806 @[lib.scala 268:30] + node _T_807 = bits(ic_miss_buff_half, 10, 10) @[lib.scala 269:36] + _T_776[6] <= _T_807 @[lib.scala 269:30] + node _T_808 = bits(ic_miss_buff_half, 10, 10) @[lib.scala 270:36] + _T_777[6] <= _T_808 @[lib.scala 270:30] + node _T_809 = bits(ic_miss_buff_half, 10, 10) @[lib.scala 271:36] + _T_778[6] <= _T_809 @[lib.scala 271:30] + node _T_810 = bits(ic_miss_buff_half, 11, 11) @[lib.scala 268:36] + _T_775[7] <= _T_810 @[lib.scala 268:30] + node _T_811 = bits(ic_miss_buff_half, 11, 11) @[lib.scala 272:36] + _T_779[0] <= _T_811 @[lib.scala 272:30] + node _T_812 = bits(ic_miss_buff_half, 12, 12) @[lib.scala 269:36] + _T_776[7] <= _T_812 @[lib.scala 269:30] + node _T_813 = bits(ic_miss_buff_half, 12, 12) @[lib.scala 272:36] + _T_779[1] <= _T_813 @[lib.scala 272:30] + node _T_814 = bits(ic_miss_buff_half, 13, 13) @[lib.scala 268:36] + _T_775[8] <= _T_814 @[lib.scala 268:30] + node _T_815 = bits(ic_miss_buff_half, 13, 13) @[lib.scala 269:36] + _T_776[8] <= _T_815 @[lib.scala 269:30] + node _T_816 = bits(ic_miss_buff_half, 13, 13) @[lib.scala 272:36] + _T_779[2] <= _T_816 @[lib.scala 272:30] + node _T_817 = bits(ic_miss_buff_half, 14, 14) @[lib.scala 270:36] + _T_777[7] <= _T_817 @[lib.scala 270:30] + node _T_818 = bits(ic_miss_buff_half, 14, 14) @[lib.scala 272:36] + _T_779[3] <= _T_818 @[lib.scala 272:30] + node _T_819 = bits(ic_miss_buff_half, 15, 15) @[lib.scala 268:36] + _T_775[9] <= _T_819 @[lib.scala 268:30] + node _T_820 = bits(ic_miss_buff_half, 15, 15) @[lib.scala 270:36] + _T_777[8] <= _T_820 @[lib.scala 270:30] + node _T_821 = bits(ic_miss_buff_half, 15, 15) @[lib.scala 272:36] + _T_779[4] <= _T_821 @[lib.scala 272:30] + node _T_822 = bits(ic_miss_buff_half, 16, 16) @[lib.scala 269:36] + _T_776[9] <= _T_822 @[lib.scala 269:30] + node _T_823 = bits(ic_miss_buff_half, 16, 16) @[lib.scala 270:36] + _T_777[9] <= _T_823 @[lib.scala 270:30] + node _T_824 = bits(ic_miss_buff_half, 16, 16) @[lib.scala 272:36] + _T_779[5] <= _T_824 @[lib.scala 272:30] + node _T_825 = bits(ic_miss_buff_half, 17, 17) @[lib.scala 268:36] + _T_775[10] <= _T_825 @[lib.scala 268:30] + node _T_826 = bits(ic_miss_buff_half, 17, 17) @[lib.scala 269:36] + _T_776[10] <= _T_826 @[lib.scala 269:30] + node _T_827 = bits(ic_miss_buff_half, 17, 17) @[lib.scala 270:36] + _T_777[10] <= _T_827 @[lib.scala 270:30] + node _T_828 = bits(ic_miss_buff_half, 17, 17) @[lib.scala 272:36] + _T_779[6] <= _T_828 @[lib.scala 272:30] + node _T_829 = bits(ic_miss_buff_half, 18, 18) @[lib.scala 271:36] + _T_778[7] <= _T_829 @[lib.scala 271:30] + node _T_830 = bits(ic_miss_buff_half, 18, 18) @[lib.scala 272:36] + _T_779[7] <= _T_830 @[lib.scala 272:30] + node _T_831 = bits(ic_miss_buff_half, 19, 19) @[lib.scala 268:36] + _T_775[11] <= _T_831 @[lib.scala 268:30] + node _T_832 = bits(ic_miss_buff_half, 19, 19) @[lib.scala 271:36] + _T_778[8] <= _T_832 @[lib.scala 271:30] + node _T_833 = bits(ic_miss_buff_half, 19, 19) @[lib.scala 272:36] + _T_779[8] <= _T_833 @[lib.scala 272:30] + node _T_834 = bits(ic_miss_buff_half, 20, 20) @[lib.scala 269:36] + _T_776[11] <= _T_834 @[lib.scala 269:30] + node _T_835 = bits(ic_miss_buff_half, 20, 20) @[lib.scala 271:36] + _T_778[9] <= _T_835 @[lib.scala 271:30] + node _T_836 = bits(ic_miss_buff_half, 20, 20) @[lib.scala 272:36] + _T_779[9] <= _T_836 @[lib.scala 272:30] + node _T_837 = bits(ic_miss_buff_half, 21, 21) @[lib.scala 268:36] + _T_775[12] <= _T_837 @[lib.scala 268:30] + node _T_838 = bits(ic_miss_buff_half, 21, 21) @[lib.scala 269:36] + _T_776[12] <= _T_838 @[lib.scala 269:30] + node _T_839 = bits(ic_miss_buff_half, 21, 21) @[lib.scala 271:36] + _T_778[10] <= _T_839 @[lib.scala 271:30] + node _T_840 = bits(ic_miss_buff_half, 21, 21) @[lib.scala 272:36] + _T_779[10] <= _T_840 @[lib.scala 272:30] + node _T_841 = bits(ic_miss_buff_half, 22, 22) @[lib.scala 270:36] + _T_777[11] <= _T_841 @[lib.scala 270:30] + node _T_842 = bits(ic_miss_buff_half, 22, 22) @[lib.scala 271:36] + _T_778[11] <= _T_842 @[lib.scala 271:30] + node _T_843 = bits(ic_miss_buff_half, 22, 22) @[lib.scala 272:36] + _T_779[11] <= _T_843 @[lib.scala 272:30] + node _T_844 = bits(ic_miss_buff_half, 23, 23) @[lib.scala 268:36] + _T_775[13] <= _T_844 @[lib.scala 268:30] + node _T_845 = bits(ic_miss_buff_half, 23, 23) @[lib.scala 270:36] + _T_777[12] <= _T_845 @[lib.scala 270:30] + node _T_846 = bits(ic_miss_buff_half, 23, 23) @[lib.scala 271:36] + _T_778[12] <= _T_846 @[lib.scala 271:30] + node _T_847 = bits(ic_miss_buff_half, 23, 23) @[lib.scala 272:36] + _T_779[12] <= _T_847 @[lib.scala 272:30] + node _T_848 = bits(ic_miss_buff_half, 24, 24) @[lib.scala 269:36] + _T_776[13] <= _T_848 @[lib.scala 269:30] + node _T_849 = bits(ic_miss_buff_half, 24, 24) @[lib.scala 270:36] + _T_777[13] <= _T_849 @[lib.scala 270:30] + node _T_850 = bits(ic_miss_buff_half, 24, 24) @[lib.scala 271:36] + _T_778[13] <= _T_850 @[lib.scala 271:30] + node _T_851 = bits(ic_miss_buff_half, 24, 24) @[lib.scala 272:36] + _T_779[13] <= _T_851 @[lib.scala 272:30] + node _T_852 = bits(ic_miss_buff_half, 25, 25) @[lib.scala 268:36] + _T_775[14] <= _T_852 @[lib.scala 268:30] + node _T_853 = bits(ic_miss_buff_half, 25, 25) @[lib.scala 269:36] + _T_776[14] <= _T_853 @[lib.scala 269:30] + node _T_854 = bits(ic_miss_buff_half, 25, 25) @[lib.scala 270:36] + _T_777[14] <= _T_854 @[lib.scala 270:30] + node _T_855 = bits(ic_miss_buff_half, 25, 25) @[lib.scala 271:36] + _T_778[14] <= _T_855 @[lib.scala 271:30] + node _T_856 = bits(ic_miss_buff_half, 25, 25) @[lib.scala 272:36] + _T_779[14] <= _T_856 @[lib.scala 272:30] + node _T_857 = bits(ic_miss_buff_half, 26, 26) @[lib.scala 268:36] + _T_775[15] <= _T_857 @[lib.scala 268:30] + node _T_858 = bits(ic_miss_buff_half, 26, 26) @[lib.scala 273:36] + _T_780[0] <= _T_858 @[lib.scala 273:30] + node _T_859 = bits(ic_miss_buff_half, 27, 27) @[lib.scala 269:36] + _T_776[15] <= _T_859 @[lib.scala 269:30] + node _T_860 = bits(ic_miss_buff_half, 27, 27) @[lib.scala 273:36] + _T_780[1] <= _T_860 @[lib.scala 273:30] + node _T_861 = bits(ic_miss_buff_half, 28, 28) @[lib.scala 268:36] + _T_775[16] <= _T_861 @[lib.scala 268:30] + node _T_862 = bits(ic_miss_buff_half, 28, 28) @[lib.scala 269:36] + _T_776[16] <= _T_862 @[lib.scala 269:30] + node _T_863 = bits(ic_miss_buff_half, 28, 28) @[lib.scala 273:36] + _T_780[2] <= _T_863 @[lib.scala 273:30] + node _T_864 = bits(ic_miss_buff_half, 29, 29) @[lib.scala 270:36] + _T_777[15] <= _T_864 @[lib.scala 270:30] + node _T_865 = bits(ic_miss_buff_half, 29, 29) @[lib.scala 273:36] + _T_780[3] <= _T_865 @[lib.scala 273:30] + node _T_866 = bits(ic_miss_buff_half, 30, 30) @[lib.scala 268:36] + _T_775[17] <= _T_866 @[lib.scala 268:30] + node _T_867 = bits(ic_miss_buff_half, 30, 30) @[lib.scala 270:36] + _T_777[16] <= _T_867 @[lib.scala 270:30] + node _T_868 = bits(ic_miss_buff_half, 30, 30) @[lib.scala 273:36] + _T_780[4] <= _T_868 @[lib.scala 273:30] + node _T_869 = bits(ic_miss_buff_half, 31, 31) @[lib.scala 269:36] + _T_776[17] <= _T_869 @[lib.scala 269:30] + node _T_870 = bits(ic_miss_buff_half, 31, 31) @[lib.scala 270:36] + _T_777[17] <= _T_870 @[lib.scala 270:30] + node _T_871 = bits(ic_miss_buff_half, 31, 31) @[lib.scala 273:36] + _T_780[5] <= _T_871 @[lib.scala 273:30] + node _T_872 = bits(ic_miss_buff_half, 32, 32) @[lib.scala 268:36] + _T_775[18] <= _T_872 @[lib.scala 268:30] + node _T_873 = bits(ic_miss_buff_half, 32, 32) @[lib.scala 269:36] + _T_776[18] <= _T_873 @[lib.scala 269:30] + node _T_874 = bits(ic_miss_buff_half, 32, 32) @[lib.scala 270:36] + _T_777[18] <= _T_874 @[lib.scala 270:30] + node _T_875 = bits(ic_miss_buff_half, 32, 32) @[lib.scala 273:36] + _T_780[6] <= _T_875 @[lib.scala 273:30] + node _T_876 = bits(ic_miss_buff_half, 33, 33) @[lib.scala 271:36] + _T_778[15] <= _T_876 @[lib.scala 271:30] + node _T_877 = bits(ic_miss_buff_half, 33, 33) @[lib.scala 273:36] + _T_780[7] <= _T_877 @[lib.scala 273:30] + node _T_878 = bits(ic_miss_buff_half, 34, 34) @[lib.scala 268:36] + _T_775[19] <= _T_878 @[lib.scala 268:30] + node _T_879 = bits(ic_miss_buff_half, 34, 34) @[lib.scala 271:36] + _T_778[16] <= _T_879 @[lib.scala 271:30] + node _T_880 = bits(ic_miss_buff_half, 34, 34) @[lib.scala 273:36] + _T_780[8] <= _T_880 @[lib.scala 273:30] + node _T_881 = bits(ic_miss_buff_half, 35, 35) @[lib.scala 269:36] + _T_776[19] <= _T_881 @[lib.scala 269:30] + node _T_882 = bits(ic_miss_buff_half, 35, 35) @[lib.scala 271:36] + _T_778[17] <= _T_882 @[lib.scala 271:30] + node _T_883 = bits(ic_miss_buff_half, 35, 35) @[lib.scala 273:36] + _T_780[9] <= _T_883 @[lib.scala 273:30] + node _T_884 = bits(ic_miss_buff_half, 36, 36) @[lib.scala 268:36] + _T_775[20] <= _T_884 @[lib.scala 268:30] + node _T_885 = bits(ic_miss_buff_half, 36, 36) @[lib.scala 269:36] + _T_776[20] <= _T_885 @[lib.scala 269:30] + node _T_886 = bits(ic_miss_buff_half, 36, 36) @[lib.scala 271:36] + _T_778[18] <= _T_886 @[lib.scala 271:30] + node _T_887 = bits(ic_miss_buff_half, 36, 36) @[lib.scala 273:36] + _T_780[10] <= _T_887 @[lib.scala 273:30] + node _T_888 = bits(ic_miss_buff_half, 37, 37) @[lib.scala 270:36] + _T_777[19] <= _T_888 @[lib.scala 270:30] + node _T_889 = bits(ic_miss_buff_half, 37, 37) @[lib.scala 271:36] + _T_778[19] <= _T_889 @[lib.scala 271:30] + node _T_890 = bits(ic_miss_buff_half, 37, 37) @[lib.scala 273:36] + _T_780[11] <= _T_890 @[lib.scala 273:30] + node _T_891 = bits(ic_miss_buff_half, 38, 38) @[lib.scala 268:36] + _T_775[21] <= _T_891 @[lib.scala 268:30] + node _T_892 = bits(ic_miss_buff_half, 38, 38) @[lib.scala 270:36] + _T_777[20] <= _T_892 @[lib.scala 270:30] + node _T_893 = bits(ic_miss_buff_half, 38, 38) @[lib.scala 271:36] + _T_778[20] <= _T_893 @[lib.scala 271:30] + node _T_894 = bits(ic_miss_buff_half, 38, 38) @[lib.scala 273:36] + _T_780[12] <= _T_894 @[lib.scala 273:30] + node _T_895 = bits(ic_miss_buff_half, 39, 39) @[lib.scala 269:36] + _T_776[21] <= _T_895 @[lib.scala 269:30] + node _T_896 = bits(ic_miss_buff_half, 39, 39) @[lib.scala 270:36] + _T_777[21] <= _T_896 @[lib.scala 270:30] + node _T_897 = bits(ic_miss_buff_half, 39, 39) @[lib.scala 271:36] + _T_778[21] <= _T_897 @[lib.scala 271:30] + node _T_898 = bits(ic_miss_buff_half, 39, 39) @[lib.scala 273:36] + _T_780[13] <= _T_898 @[lib.scala 273:30] + node _T_899 = bits(ic_miss_buff_half, 40, 40) @[lib.scala 268:36] + _T_775[22] <= _T_899 @[lib.scala 268:30] + node _T_900 = bits(ic_miss_buff_half, 40, 40) @[lib.scala 269:36] + _T_776[22] <= _T_900 @[lib.scala 269:30] + node _T_901 = bits(ic_miss_buff_half, 40, 40) @[lib.scala 270:36] + _T_777[22] <= _T_901 @[lib.scala 270:30] + node _T_902 = bits(ic_miss_buff_half, 40, 40) @[lib.scala 271:36] + _T_778[22] <= _T_902 @[lib.scala 271:30] + node _T_903 = bits(ic_miss_buff_half, 40, 40) @[lib.scala 273:36] + _T_780[14] <= _T_903 @[lib.scala 273:30] + node _T_904 = bits(ic_miss_buff_half, 41, 41) @[lib.scala 272:36] + _T_779[15] <= _T_904 @[lib.scala 272:30] + node _T_905 = bits(ic_miss_buff_half, 41, 41) @[lib.scala 273:36] + _T_780[15] <= _T_905 @[lib.scala 273:30] + node _T_906 = bits(ic_miss_buff_half, 42, 42) @[lib.scala 268:36] + _T_775[23] <= _T_906 @[lib.scala 268:30] + node _T_907 = bits(ic_miss_buff_half, 42, 42) @[lib.scala 272:36] + _T_779[16] <= _T_907 @[lib.scala 272:30] + node _T_908 = bits(ic_miss_buff_half, 42, 42) @[lib.scala 273:36] + _T_780[16] <= _T_908 @[lib.scala 273:30] + node _T_909 = bits(ic_miss_buff_half, 43, 43) @[lib.scala 269:36] + _T_776[23] <= _T_909 @[lib.scala 269:30] + node _T_910 = bits(ic_miss_buff_half, 43, 43) @[lib.scala 272:36] + _T_779[17] <= _T_910 @[lib.scala 272:30] + node _T_911 = bits(ic_miss_buff_half, 43, 43) @[lib.scala 273:36] + _T_780[17] <= _T_911 @[lib.scala 273:30] + node _T_912 = bits(ic_miss_buff_half, 44, 44) @[lib.scala 268:36] + _T_775[24] <= _T_912 @[lib.scala 268:30] + node _T_913 = bits(ic_miss_buff_half, 44, 44) @[lib.scala 269:36] + _T_776[24] <= _T_913 @[lib.scala 269:30] + node _T_914 = bits(ic_miss_buff_half, 44, 44) @[lib.scala 272:36] + _T_779[18] <= _T_914 @[lib.scala 272:30] + node _T_915 = bits(ic_miss_buff_half, 44, 44) @[lib.scala 273:36] + _T_780[18] <= _T_915 @[lib.scala 273:30] + node _T_916 = bits(ic_miss_buff_half, 45, 45) @[lib.scala 270:36] + _T_777[23] <= _T_916 @[lib.scala 270:30] + node _T_917 = bits(ic_miss_buff_half, 45, 45) @[lib.scala 272:36] + _T_779[19] <= _T_917 @[lib.scala 272:30] + node _T_918 = bits(ic_miss_buff_half, 45, 45) @[lib.scala 273:36] + _T_780[19] <= _T_918 @[lib.scala 273:30] + node _T_919 = bits(ic_miss_buff_half, 46, 46) @[lib.scala 268:36] + _T_775[25] <= _T_919 @[lib.scala 268:30] + node _T_920 = bits(ic_miss_buff_half, 46, 46) @[lib.scala 270:36] + _T_777[24] <= _T_920 @[lib.scala 270:30] + node _T_921 = bits(ic_miss_buff_half, 46, 46) @[lib.scala 272:36] + _T_779[20] <= _T_921 @[lib.scala 272:30] + node _T_922 = bits(ic_miss_buff_half, 46, 46) @[lib.scala 273:36] + _T_780[20] <= _T_922 @[lib.scala 273:30] + node _T_923 = bits(ic_miss_buff_half, 47, 47) @[lib.scala 269:36] + _T_776[25] <= _T_923 @[lib.scala 269:30] + node _T_924 = bits(ic_miss_buff_half, 47, 47) @[lib.scala 270:36] + _T_777[25] <= _T_924 @[lib.scala 270:30] + node _T_925 = bits(ic_miss_buff_half, 47, 47) @[lib.scala 272:36] + _T_779[21] <= _T_925 @[lib.scala 272:30] + node _T_926 = bits(ic_miss_buff_half, 47, 47) @[lib.scala 273:36] + _T_780[21] <= _T_926 @[lib.scala 273:30] + node _T_927 = bits(ic_miss_buff_half, 48, 48) @[lib.scala 268:36] + _T_775[26] <= _T_927 @[lib.scala 268:30] + node _T_928 = bits(ic_miss_buff_half, 48, 48) @[lib.scala 269:36] + _T_776[26] <= _T_928 @[lib.scala 269:30] + node _T_929 = bits(ic_miss_buff_half, 48, 48) @[lib.scala 270:36] + _T_777[26] <= _T_929 @[lib.scala 270:30] + node _T_930 = bits(ic_miss_buff_half, 48, 48) @[lib.scala 272:36] + _T_779[22] <= _T_930 @[lib.scala 272:30] + node _T_931 = bits(ic_miss_buff_half, 48, 48) @[lib.scala 273:36] + _T_780[22] <= _T_931 @[lib.scala 273:30] + node _T_932 = bits(ic_miss_buff_half, 49, 49) @[lib.scala 271:36] + _T_778[23] <= _T_932 @[lib.scala 271:30] + node _T_933 = bits(ic_miss_buff_half, 49, 49) @[lib.scala 272:36] + _T_779[23] <= _T_933 @[lib.scala 272:30] + node _T_934 = bits(ic_miss_buff_half, 49, 49) @[lib.scala 273:36] + _T_780[23] <= _T_934 @[lib.scala 273:30] + node _T_935 = bits(ic_miss_buff_half, 50, 50) @[lib.scala 268:36] + _T_775[27] <= _T_935 @[lib.scala 268:30] + node _T_936 = bits(ic_miss_buff_half, 50, 50) @[lib.scala 271:36] + _T_778[24] <= _T_936 @[lib.scala 271:30] + node _T_937 = bits(ic_miss_buff_half, 50, 50) @[lib.scala 272:36] + _T_779[24] <= _T_937 @[lib.scala 272:30] + node _T_938 = bits(ic_miss_buff_half, 50, 50) @[lib.scala 273:36] + _T_780[24] <= _T_938 @[lib.scala 273:30] + node _T_939 = bits(ic_miss_buff_half, 51, 51) @[lib.scala 269:36] + _T_776[27] <= _T_939 @[lib.scala 269:30] + node _T_940 = bits(ic_miss_buff_half, 51, 51) @[lib.scala 271:36] + _T_778[25] <= _T_940 @[lib.scala 271:30] + node _T_941 = bits(ic_miss_buff_half, 51, 51) @[lib.scala 272:36] + _T_779[25] <= _T_941 @[lib.scala 272:30] + node _T_942 = bits(ic_miss_buff_half, 51, 51) @[lib.scala 273:36] + _T_780[25] <= _T_942 @[lib.scala 273:30] + node _T_943 = bits(ic_miss_buff_half, 52, 52) @[lib.scala 268:36] + _T_775[28] <= _T_943 @[lib.scala 268:30] + node _T_944 = bits(ic_miss_buff_half, 52, 52) @[lib.scala 269:36] + _T_776[28] <= _T_944 @[lib.scala 269:30] + node _T_945 = bits(ic_miss_buff_half, 52, 52) @[lib.scala 271:36] + _T_778[26] <= _T_945 @[lib.scala 271:30] + node _T_946 = bits(ic_miss_buff_half, 52, 52) @[lib.scala 272:36] + _T_779[26] <= _T_946 @[lib.scala 272:30] + node _T_947 = bits(ic_miss_buff_half, 52, 52) @[lib.scala 273:36] + _T_780[26] <= _T_947 @[lib.scala 273:30] + node _T_948 = bits(ic_miss_buff_half, 53, 53) @[lib.scala 270:36] + _T_777[27] <= _T_948 @[lib.scala 270:30] + node _T_949 = bits(ic_miss_buff_half, 53, 53) @[lib.scala 271:36] + _T_778[27] <= _T_949 @[lib.scala 271:30] + node _T_950 = bits(ic_miss_buff_half, 53, 53) @[lib.scala 272:36] + _T_779[27] <= _T_950 @[lib.scala 272:30] + node _T_951 = bits(ic_miss_buff_half, 53, 53) @[lib.scala 273:36] + _T_780[27] <= _T_951 @[lib.scala 273:30] + node _T_952 = bits(ic_miss_buff_half, 54, 54) @[lib.scala 268:36] + _T_775[29] <= _T_952 @[lib.scala 268:30] + node _T_953 = bits(ic_miss_buff_half, 54, 54) @[lib.scala 270:36] + _T_777[28] <= _T_953 @[lib.scala 270:30] + node _T_954 = bits(ic_miss_buff_half, 54, 54) @[lib.scala 271:36] + _T_778[28] <= _T_954 @[lib.scala 271:30] + node _T_955 = bits(ic_miss_buff_half, 54, 54) @[lib.scala 272:36] + _T_779[28] <= _T_955 @[lib.scala 272:30] + node _T_956 = bits(ic_miss_buff_half, 54, 54) @[lib.scala 273:36] + _T_780[28] <= _T_956 @[lib.scala 273:30] + node _T_957 = bits(ic_miss_buff_half, 55, 55) @[lib.scala 269:36] + _T_776[29] <= _T_957 @[lib.scala 269:30] + node _T_958 = bits(ic_miss_buff_half, 55, 55) @[lib.scala 270:36] + _T_777[29] <= _T_958 @[lib.scala 270:30] + node _T_959 = bits(ic_miss_buff_half, 55, 55) @[lib.scala 271:36] + _T_778[29] <= _T_959 @[lib.scala 271:30] + node _T_960 = bits(ic_miss_buff_half, 55, 55) @[lib.scala 272:36] + _T_779[29] <= _T_960 @[lib.scala 272:30] + node _T_961 = bits(ic_miss_buff_half, 55, 55) @[lib.scala 273:36] + _T_780[29] <= _T_961 @[lib.scala 273:30] + node _T_962 = bits(ic_miss_buff_half, 56, 56) @[lib.scala 268:36] + _T_775[30] <= _T_962 @[lib.scala 268:30] + node _T_963 = bits(ic_miss_buff_half, 56, 56) @[lib.scala 269:36] + _T_776[30] <= _T_963 @[lib.scala 269:30] + node _T_964 = bits(ic_miss_buff_half, 56, 56) @[lib.scala 270:36] + _T_777[30] <= _T_964 @[lib.scala 270:30] + node _T_965 = bits(ic_miss_buff_half, 56, 56) @[lib.scala 271:36] + _T_778[30] <= _T_965 @[lib.scala 271:30] + node _T_966 = bits(ic_miss_buff_half, 56, 56) @[lib.scala 272:36] + _T_779[30] <= _T_966 @[lib.scala 272:30] + node _T_967 = bits(ic_miss_buff_half, 56, 56) @[lib.scala 273:36] + _T_780[30] <= _T_967 @[lib.scala 273:30] + node _T_968 = bits(ic_miss_buff_half, 57, 57) @[lib.scala 268:36] + _T_775[31] <= _T_968 @[lib.scala 268:30] + node _T_969 = bits(ic_miss_buff_half, 57, 57) @[lib.scala 274:36] + _T_781[0] <= _T_969 @[lib.scala 274:30] + node _T_970 = bits(ic_miss_buff_half, 58, 58) @[lib.scala 269:36] + _T_776[31] <= _T_970 @[lib.scala 269:30] + node _T_971 = bits(ic_miss_buff_half, 58, 58) @[lib.scala 274:36] + _T_781[1] <= _T_971 @[lib.scala 274:30] + node _T_972 = bits(ic_miss_buff_half, 59, 59) @[lib.scala 268:36] + _T_775[32] <= _T_972 @[lib.scala 268:30] + node _T_973 = bits(ic_miss_buff_half, 59, 59) @[lib.scala 269:36] + _T_776[32] <= _T_973 @[lib.scala 269:30] + node _T_974 = bits(ic_miss_buff_half, 59, 59) @[lib.scala 274:36] + _T_781[2] <= _T_974 @[lib.scala 274:30] + node _T_975 = bits(ic_miss_buff_half, 60, 60) @[lib.scala 270:36] + _T_777[31] <= _T_975 @[lib.scala 270:30] + node _T_976 = bits(ic_miss_buff_half, 60, 60) @[lib.scala 274:36] + _T_781[3] <= _T_976 @[lib.scala 274:30] + node _T_977 = bits(ic_miss_buff_half, 61, 61) @[lib.scala 268:36] + _T_775[33] <= _T_977 @[lib.scala 268:30] + node _T_978 = bits(ic_miss_buff_half, 61, 61) @[lib.scala 270:36] + _T_777[32] <= _T_978 @[lib.scala 270:30] + node _T_979 = bits(ic_miss_buff_half, 61, 61) @[lib.scala 274:36] + _T_781[4] <= _T_979 @[lib.scala 274:30] + node _T_980 = bits(ic_miss_buff_half, 62, 62) @[lib.scala 269:36] + _T_776[33] <= _T_980 @[lib.scala 269:30] + node _T_981 = bits(ic_miss_buff_half, 62, 62) @[lib.scala 270:36] + _T_777[33] <= _T_981 @[lib.scala 270:30] + node _T_982 = bits(ic_miss_buff_half, 62, 62) @[lib.scala 274:36] + _T_781[5] <= _T_982 @[lib.scala 274:30] + node _T_983 = bits(ic_miss_buff_half, 63, 63) @[lib.scala 268:36] + _T_775[34] <= _T_983 @[lib.scala 268:30] + node _T_984 = bits(ic_miss_buff_half, 63, 63) @[lib.scala 269:36] + _T_776[34] <= _T_984 @[lib.scala 269:30] + node _T_985 = bits(ic_miss_buff_half, 63, 63) @[lib.scala 270:36] + _T_777[34] <= _T_985 @[lib.scala 270:30] + node _T_986 = bits(ic_miss_buff_half, 63, 63) @[lib.scala 274:36] + _T_781[6] <= _T_986 @[lib.scala 274:30] + node _T_987 = cat(_T_781[2], _T_781[1]) @[lib.scala 276:13] + node _T_988 = cat(_T_987, _T_781[0]) @[lib.scala 276:13] + node _T_989 = cat(_T_781[4], _T_781[3]) @[lib.scala 276:13] + node _T_990 = cat(_T_781[6], _T_781[5]) @[lib.scala 276:13] + node _T_991 = cat(_T_990, _T_989) @[lib.scala 276:13] + node _T_992 = cat(_T_991, _T_988) @[lib.scala 276:13] + node _T_993 = xorr(_T_992) @[lib.scala 276:20] + node _T_994 = cat(_T_780[2], _T_780[1]) @[lib.scala 276:30] + node _T_995 = cat(_T_994, _T_780[0]) @[lib.scala 276:30] + node _T_996 = cat(_T_780[4], _T_780[3]) @[lib.scala 276:30] + node _T_997 = cat(_T_780[6], _T_780[5]) @[lib.scala 276:30] + node _T_998 = cat(_T_997, _T_996) @[lib.scala 276:30] + node _T_999 = cat(_T_998, _T_995) @[lib.scala 276:30] + node _T_1000 = cat(_T_780[8], _T_780[7]) @[lib.scala 276:30] + node _T_1001 = cat(_T_780[10], _T_780[9]) @[lib.scala 276:30] + node _T_1002 = cat(_T_1001, _T_1000) @[lib.scala 276:30] + node _T_1003 = cat(_T_780[12], _T_780[11]) @[lib.scala 276:30] + node _T_1004 = cat(_T_780[14], _T_780[13]) @[lib.scala 276:30] + node _T_1005 = cat(_T_1004, _T_1003) @[lib.scala 276:30] + node _T_1006 = cat(_T_1005, _T_1002) @[lib.scala 276:30] + node _T_1007 = cat(_T_1006, _T_999) @[lib.scala 276:30] + node _T_1008 = cat(_T_780[16], _T_780[15]) @[lib.scala 276:30] + node _T_1009 = cat(_T_780[18], _T_780[17]) @[lib.scala 276:30] + node _T_1010 = cat(_T_1009, _T_1008) @[lib.scala 276:30] + node _T_1011 = cat(_T_780[20], _T_780[19]) @[lib.scala 276:30] + node _T_1012 = cat(_T_780[22], _T_780[21]) @[lib.scala 276:30] + node _T_1013 = cat(_T_1012, _T_1011) @[lib.scala 276:30] + node _T_1014 = cat(_T_1013, _T_1010) @[lib.scala 276:30] + node _T_1015 = cat(_T_780[24], _T_780[23]) @[lib.scala 276:30] + node _T_1016 = cat(_T_780[26], _T_780[25]) @[lib.scala 276:30] + node _T_1017 = cat(_T_1016, _T_1015) @[lib.scala 276:30] + node _T_1018 = cat(_T_780[28], _T_780[27]) @[lib.scala 276:30] + node _T_1019 = cat(_T_780[30], _T_780[29]) @[lib.scala 276:30] + node _T_1020 = cat(_T_1019, _T_1018) @[lib.scala 276:30] + node _T_1021 = cat(_T_1020, _T_1017) @[lib.scala 276:30] + node _T_1022 = cat(_T_1021, _T_1014) @[lib.scala 276:30] + node _T_1023 = cat(_T_1022, _T_1007) @[lib.scala 276:30] + node _T_1024 = xorr(_T_1023) @[lib.scala 276:37] + node _T_1025 = cat(_T_779[2], _T_779[1]) @[lib.scala 276:47] + node _T_1026 = cat(_T_1025, _T_779[0]) @[lib.scala 276:47] + node _T_1027 = cat(_T_779[4], _T_779[3]) @[lib.scala 276:47] + node _T_1028 = cat(_T_779[6], _T_779[5]) @[lib.scala 276:47] + node _T_1029 = cat(_T_1028, _T_1027) @[lib.scala 276:47] + node _T_1030 = cat(_T_1029, _T_1026) @[lib.scala 276:47] + node _T_1031 = cat(_T_779[8], _T_779[7]) @[lib.scala 276:47] + node _T_1032 = cat(_T_779[10], _T_779[9]) @[lib.scala 276:47] + node _T_1033 = cat(_T_1032, _T_1031) @[lib.scala 276:47] + node _T_1034 = cat(_T_779[12], _T_779[11]) @[lib.scala 276:47] + node _T_1035 = cat(_T_779[14], _T_779[13]) @[lib.scala 276:47] + node _T_1036 = cat(_T_1035, _T_1034) @[lib.scala 276:47] + node _T_1037 = cat(_T_1036, _T_1033) @[lib.scala 276:47] + node _T_1038 = cat(_T_1037, _T_1030) @[lib.scala 276:47] + node _T_1039 = cat(_T_779[16], _T_779[15]) @[lib.scala 276:47] + node _T_1040 = cat(_T_779[18], _T_779[17]) @[lib.scala 276:47] + node _T_1041 = cat(_T_1040, _T_1039) @[lib.scala 276:47] + node _T_1042 = cat(_T_779[20], _T_779[19]) @[lib.scala 276:47] + node _T_1043 = cat(_T_779[22], _T_779[21]) @[lib.scala 276:47] + node _T_1044 = cat(_T_1043, _T_1042) @[lib.scala 276:47] + node _T_1045 = cat(_T_1044, _T_1041) @[lib.scala 276:47] + node _T_1046 = cat(_T_779[24], _T_779[23]) @[lib.scala 276:47] + node _T_1047 = cat(_T_779[26], _T_779[25]) @[lib.scala 276:47] + node _T_1048 = cat(_T_1047, _T_1046) @[lib.scala 276:47] + node _T_1049 = cat(_T_779[28], _T_779[27]) @[lib.scala 276:47] + node _T_1050 = cat(_T_779[30], _T_779[29]) @[lib.scala 276:47] + node _T_1051 = cat(_T_1050, _T_1049) @[lib.scala 276:47] + node _T_1052 = cat(_T_1051, _T_1048) @[lib.scala 276:47] + node _T_1053 = cat(_T_1052, _T_1045) @[lib.scala 276:47] + node _T_1054 = cat(_T_1053, _T_1038) @[lib.scala 276:47] + node _T_1055 = xorr(_T_1054) @[lib.scala 276:54] + node _T_1056 = cat(_T_778[2], _T_778[1]) @[lib.scala 276:64] + node _T_1057 = cat(_T_1056, _T_778[0]) @[lib.scala 276:64] + node _T_1058 = cat(_T_778[4], _T_778[3]) @[lib.scala 276:64] + node _T_1059 = cat(_T_778[6], _T_778[5]) @[lib.scala 276:64] + node _T_1060 = cat(_T_1059, _T_1058) @[lib.scala 276:64] + node _T_1061 = cat(_T_1060, _T_1057) @[lib.scala 276:64] + node _T_1062 = cat(_T_778[8], _T_778[7]) @[lib.scala 276:64] + node _T_1063 = cat(_T_778[10], _T_778[9]) @[lib.scala 276:64] + node _T_1064 = cat(_T_1063, _T_1062) @[lib.scala 276:64] + node _T_1065 = cat(_T_778[12], _T_778[11]) @[lib.scala 276:64] + node _T_1066 = cat(_T_778[14], _T_778[13]) @[lib.scala 276:64] + node _T_1067 = cat(_T_1066, _T_1065) @[lib.scala 276:64] + node _T_1068 = cat(_T_1067, _T_1064) @[lib.scala 276:64] + node _T_1069 = cat(_T_1068, _T_1061) @[lib.scala 276:64] + node _T_1070 = cat(_T_778[16], _T_778[15]) @[lib.scala 276:64] + node _T_1071 = cat(_T_778[18], _T_778[17]) @[lib.scala 276:64] + node _T_1072 = cat(_T_1071, _T_1070) @[lib.scala 276:64] + node _T_1073 = cat(_T_778[20], _T_778[19]) @[lib.scala 276:64] + node _T_1074 = cat(_T_778[22], _T_778[21]) @[lib.scala 276:64] + node _T_1075 = cat(_T_1074, _T_1073) @[lib.scala 276:64] + node _T_1076 = cat(_T_1075, _T_1072) @[lib.scala 276:64] + node _T_1077 = cat(_T_778[24], _T_778[23]) @[lib.scala 276:64] + node _T_1078 = cat(_T_778[26], _T_778[25]) @[lib.scala 276:64] + node _T_1079 = cat(_T_1078, _T_1077) @[lib.scala 276:64] + node _T_1080 = cat(_T_778[28], _T_778[27]) @[lib.scala 276:64] + node _T_1081 = cat(_T_778[30], _T_778[29]) @[lib.scala 276:64] + node _T_1082 = cat(_T_1081, _T_1080) @[lib.scala 276:64] + node _T_1083 = cat(_T_1082, _T_1079) @[lib.scala 276:64] + node _T_1084 = cat(_T_1083, _T_1076) @[lib.scala 276:64] + node _T_1085 = cat(_T_1084, _T_1069) @[lib.scala 276:64] + node _T_1086 = xorr(_T_1085) @[lib.scala 276:71] + node _T_1087 = cat(_T_777[1], _T_777[0]) @[lib.scala 276:81] + node _T_1088 = cat(_T_777[3], _T_777[2]) @[lib.scala 276:81] + node _T_1089 = cat(_T_1088, _T_1087) @[lib.scala 276:81] + node _T_1090 = cat(_T_777[5], _T_777[4]) @[lib.scala 276:81] + node _T_1091 = cat(_T_777[7], _T_777[6]) @[lib.scala 276:81] + node _T_1092 = cat(_T_1091, _T_1090) @[lib.scala 276:81] + node _T_1093 = cat(_T_1092, _T_1089) @[lib.scala 276:81] + node _T_1094 = cat(_T_777[9], _T_777[8]) @[lib.scala 276:81] + node _T_1095 = cat(_T_777[11], _T_777[10]) @[lib.scala 276:81] + node _T_1096 = cat(_T_1095, _T_1094) @[lib.scala 276:81] + node _T_1097 = cat(_T_777[13], _T_777[12]) @[lib.scala 276:81] + node _T_1098 = cat(_T_777[16], _T_777[15]) @[lib.scala 276:81] + node _T_1099 = cat(_T_1098, _T_777[14]) @[lib.scala 276:81] + node _T_1100 = cat(_T_1099, _T_1097) @[lib.scala 276:81] + node _T_1101 = cat(_T_1100, _T_1096) @[lib.scala 276:81] + node _T_1102 = cat(_T_1101, _T_1093) @[lib.scala 276:81] + node _T_1103 = cat(_T_777[18], _T_777[17]) @[lib.scala 276:81] + node _T_1104 = cat(_T_777[20], _T_777[19]) @[lib.scala 276:81] + node _T_1105 = cat(_T_1104, _T_1103) @[lib.scala 276:81] + node _T_1106 = cat(_T_777[22], _T_777[21]) @[lib.scala 276:81] + node _T_1107 = cat(_T_777[25], _T_777[24]) @[lib.scala 276:81] + node _T_1108 = cat(_T_1107, _T_777[23]) @[lib.scala 276:81] + node _T_1109 = cat(_T_1108, _T_1106) @[lib.scala 276:81] + node _T_1110 = cat(_T_1109, _T_1105) @[lib.scala 276:81] + node _T_1111 = cat(_T_777[27], _T_777[26]) @[lib.scala 276:81] + node _T_1112 = cat(_T_777[29], _T_777[28]) @[lib.scala 276:81] + node _T_1113 = cat(_T_1112, _T_1111) @[lib.scala 276:81] + node _T_1114 = cat(_T_777[31], _T_777[30]) @[lib.scala 276:81] + node _T_1115 = cat(_T_777[34], _T_777[33]) @[lib.scala 276:81] + node _T_1116 = cat(_T_1115, _T_777[32]) @[lib.scala 276:81] + node _T_1117 = cat(_T_1116, _T_1114) @[lib.scala 276:81] + node _T_1118 = cat(_T_1117, _T_1113) @[lib.scala 276:81] + node _T_1119 = cat(_T_1118, _T_1110) @[lib.scala 276:81] + node _T_1120 = cat(_T_1119, _T_1102) @[lib.scala 276:81] + node _T_1121 = xorr(_T_1120) @[lib.scala 276:88] + node _T_1122 = cat(_T_776[1], _T_776[0]) @[lib.scala 276:98] + node _T_1123 = cat(_T_776[3], _T_776[2]) @[lib.scala 276:98] + node _T_1124 = cat(_T_1123, _T_1122) @[lib.scala 276:98] + node _T_1125 = cat(_T_776[5], _T_776[4]) @[lib.scala 276:98] + node _T_1126 = cat(_T_776[7], _T_776[6]) @[lib.scala 276:98] + node _T_1127 = cat(_T_1126, _T_1125) @[lib.scala 276:98] + node _T_1128 = cat(_T_1127, _T_1124) @[lib.scala 276:98] + node _T_1129 = cat(_T_776[9], _T_776[8]) @[lib.scala 276:98] + node _T_1130 = cat(_T_776[11], _T_776[10]) @[lib.scala 276:98] + node _T_1131 = cat(_T_1130, _T_1129) @[lib.scala 276:98] + node _T_1132 = cat(_T_776[13], _T_776[12]) @[lib.scala 276:98] + node _T_1133 = cat(_T_776[16], _T_776[15]) @[lib.scala 276:98] + node _T_1134 = cat(_T_1133, _T_776[14]) @[lib.scala 276:98] + node _T_1135 = cat(_T_1134, _T_1132) @[lib.scala 276:98] + node _T_1136 = cat(_T_1135, _T_1131) @[lib.scala 276:98] + node _T_1137 = cat(_T_1136, _T_1128) @[lib.scala 276:98] + node _T_1138 = cat(_T_776[18], _T_776[17]) @[lib.scala 276:98] + node _T_1139 = cat(_T_776[20], _T_776[19]) @[lib.scala 276:98] + node _T_1140 = cat(_T_1139, _T_1138) @[lib.scala 276:98] + node _T_1141 = cat(_T_776[22], _T_776[21]) @[lib.scala 276:98] + node _T_1142 = cat(_T_776[25], _T_776[24]) @[lib.scala 276:98] + node _T_1143 = cat(_T_1142, _T_776[23]) @[lib.scala 276:98] + node _T_1144 = cat(_T_1143, _T_1141) @[lib.scala 276:98] + node _T_1145 = cat(_T_1144, _T_1140) @[lib.scala 276:98] + node _T_1146 = cat(_T_776[27], _T_776[26]) @[lib.scala 276:98] + node _T_1147 = cat(_T_776[29], _T_776[28]) @[lib.scala 276:98] + node _T_1148 = cat(_T_1147, _T_1146) @[lib.scala 276:98] + node _T_1149 = cat(_T_776[31], _T_776[30]) @[lib.scala 276:98] + node _T_1150 = cat(_T_776[34], _T_776[33]) @[lib.scala 276:98] + node _T_1151 = cat(_T_1150, _T_776[32]) @[lib.scala 276:98] + node _T_1152 = cat(_T_1151, _T_1149) @[lib.scala 276:98] + node _T_1153 = cat(_T_1152, _T_1148) @[lib.scala 276:98] + node _T_1154 = cat(_T_1153, _T_1145) @[lib.scala 276:98] + node _T_1155 = cat(_T_1154, _T_1137) @[lib.scala 276:98] + node _T_1156 = xorr(_T_1155) @[lib.scala 276:105] + node _T_1157 = cat(_T_775[1], _T_775[0]) @[lib.scala 276:115] + node _T_1158 = cat(_T_775[3], _T_775[2]) @[lib.scala 276:115] + node _T_1159 = cat(_T_1158, _T_1157) @[lib.scala 276:115] + node _T_1160 = cat(_T_775[5], _T_775[4]) @[lib.scala 276:115] + node _T_1161 = cat(_T_775[7], _T_775[6]) @[lib.scala 276:115] + node _T_1162 = cat(_T_1161, _T_1160) @[lib.scala 276:115] + node _T_1163 = cat(_T_1162, _T_1159) @[lib.scala 276:115] + node _T_1164 = cat(_T_775[9], _T_775[8]) @[lib.scala 276:115] + node _T_1165 = cat(_T_775[11], _T_775[10]) @[lib.scala 276:115] + node _T_1166 = cat(_T_1165, _T_1164) @[lib.scala 276:115] + node _T_1167 = cat(_T_775[13], _T_775[12]) @[lib.scala 276:115] + node _T_1168 = cat(_T_775[16], _T_775[15]) @[lib.scala 276:115] + node _T_1169 = cat(_T_1168, _T_775[14]) @[lib.scala 276:115] + node _T_1170 = cat(_T_1169, _T_1167) @[lib.scala 276:115] + node _T_1171 = cat(_T_1170, _T_1166) @[lib.scala 276:115] + node _T_1172 = cat(_T_1171, _T_1163) @[lib.scala 276:115] + node _T_1173 = cat(_T_775[18], _T_775[17]) @[lib.scala 276:115] + node _T_1174 = cat(_T_775[20], _T_775[19]) @[lib.scala 276:115] + node _T_1175 = cat(_T_1174, _T_1173) @[lib.scala 276:115] + node _T_1176 = cat(_T_775[22], _T_775[21]) @[lib.scala 276:115] + node _T_1177 = cat(_T_775[25], _T_775[24]) @[lib.scala 276:115] + node _T_1178 = cat(_T_1177, _T_775[23]) @[lib.scala 276:115] + node _T_1179 = cat(_T_1178, _T_1176) @[lib.scala 276:115] + node _T_1180 = cat(_T_1179, _T_1175) @[lib.scala 276:115] + node _T_1181 = cat(_T_775[27], _T_775[26]) @[lib.scala 276:115] + node _T_1182 = cat(_T_775[29], _T_775[28]) @[lib.scala 276:115] + node _T_1183 = cat(_T_1182, _T_1181) @[lib.scala 276:115] + node _T_1184 = cat(_T_775[31], _T_775[30]) @[lib.scala 276:115] + node _T_1185 = cat(_T_775[34], _T_775[33]) @[lib.scala 276:115] + node _T_1186 = cat(_T_1185, _T_775[32]) @[lib.scala 276:115] + node _T_1187 = cat(_T_1186, _T_1184) @[lib.scala 276:115] + node _T_1188 = cat(_T_1187, _T_1183) @[lib.scala 276:115] + node _T_1189 = cat(_T_1188, _T_1180) @[lib.scala 276:115] + node _T_1190 = cat(_T_1189, _T_1172) @[lib.scala 276:115] + node _T_1191 = xorr(_T_1190) @[lib.scala 276:122] + node _T_1192 = cat(_T_1121, _T_1156) @[Cat.scala 29:58] + node _T_1193 = cat(_T_1192, _T_1191) @[Cat.scala 29:58] + node _T_1194 = cat(_T_1055, _T_1086) @[Cat.scala 29:58] + node _T_1195 = cat(_T_993, _T_1024) @[Cat.scala 29:58] + node _T_1196 = cat(_T_1195, _T_1194) @[Cat.scala 29:58] + node ic_miss_buff_ecc = cat(_T_1196, _T_1193) @[Cat.scala 29:58] + wire ic_wr_16bytes_data : UInt<142> + ic_wr_16bytes_data <= UInt<1>("h00") + node _T_1197 = bits(ic_wr_16bytes_data, 70, 0) @[ifu_mem_ctl.scala 253:72] + node _T_1198 = bits(ic_wr_16bytes_data, 141, 71) @[ifu_mem_ctl.scala 253:72] + io.ic.wr_data[0] <= _T_1197 @[ifu_mem_ctl.scala 253:17] + io.ic.wr_data[1] <= _T_1198 @[ifu_mem_ctl.scala 253:17] + io.ic.debug_wr_data <= io.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wrdata @[ifu_mem_ctl.scala 254:23] + wire ic_rd_parity_final_err : UInt<1> + ic_rd_parity_final_err <= UInt<1>("h00") + node _T_1199 = orr(io.ic.eccerr) @[ifu_mem_ctl.scala 256:73] + node _T_1200 = and(_T_1199, ic_act_hit_f) @[ifu_mem_ctl.scala 256:100] + node _T_1201 = or(_T_1200, ic_rd_parity_final_err) @[ifu_mem_ctl.scala 256:116] + io.dec_mem_ctrl.ifu_ic_error_start <= _T_1201 @[ifu_mem_ctl.scala 256:38] + wire ic_debug_tag_val_rd_out : UInt<1> + ic_debug_tag_val_rd_out <= UInt<1>("h00") + wire ic_debug_ict_array_sel_ff : UInt<1> + ic_debug_ict_array_sel_ff <= UInt<1>("h00") + node _T_1202 = bits(ic_debug_ict_array_sel_ff, 0, 0) @[ifu_mem_ctl.scala 260:63] + node _T_1203 = bits(io.ic.tag_debug_rd_data, 25, 21) @[ifu_mem_ctl.scala 260:122] + node _T_1204 = bits(io.ic.tag_debug_rd_data, 20, 0) @[ifu_mem_ctl.scala 260:163] + node _T_1205 = cat(UInt<3>("h00"), ic_debug_tag_val_rd_out) @[Cat.scala 29:58] + node _T_1206 = cat(UInt<6>("h00"), way_status) @[Cat.scala 29:58] + node _T_1207 = cat(_T_1206, _T_1205) @[Cat.scala 29:58] + node _T_1208 = cat(UInt<32>("h00"), _T_1204) @[Cat.scala 29:58] + node _T_1209 = cat(UInt<2>("h00"), _T_1203) @[Cat.scala 29:58] + node _T_1210 = cat(_T_1209, _T_1208) @[Cat.scala 29:58] + node _T_1211 = cat(_T_1210, _T_1207) @[Cat.scala 29:58] + node ifu_ic_debug_rd_data_in = mux(_T_1202, _T_1211, io.ic.debug_rd_data) @[ifu_mem_ctl.scala 260:36] + reg _T_1212 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 263:76] + _T_1212 <= ifu_ic_debug_rd_data_in @[ifu_mem_ctl.scala 263:76] + io.dec_mem_ctrl.ifu_ic_debug_rd_data <= _T_1212 @[ifu_mem_ctl.scala 263:40] + node _T_1213 = bits(ifu_bus_rdata_ff, 15, 0) @[ifu_mem_ctl.scala 264:74] + node _T_1214 = xorr(_T_1213) @[lib.scala 64:13] + node _T_1215 = bits(ifu_bus_rdata_ff, 31, 16) @[ifu_mem_ctl.scala 264:74] + node _T_1216 = xorr(_T_1215) @[lib.scala 64:13] + node _T_1217 = bits(ifu_bus_rdata_ff, 47, 32) @[ifu_mem_ctl.scala 264:74] + node _T_1218 = xorr(_T_1217) @[lib.scala 64:13] + node _T_1219 = bits(ifu_bus_rdata_ff, 63, 48) @[ifu_mem_ctl.scala 264:74] + node _T_1220 = xorr(_T_1219) @[lib.scala 64:13] + node _T_1221 = cat(_T_1220, _T_1218) @[Cat.scala 29:58] + node _T_1222 = cat(_T_1221, _T_1216) @[Cat.scala 29:58] + node ic_wr_parity = cat(_T_1222, _T_1214) @[Cat.scala 29:58] + node _T_1223 = bits(ic_miss_buff_half, 15, 0) @[ifu_mem_ctl.scala 265:82] + node _T_1224 = xorr(_T_1223) @[lib.scala 64:13] + node _T_1225 = bits(ic_miss_buff_half, 31, 16) @[ifu_mem_ctl.scala 265:82] + node _T_1226 = xorr(_T_1225) @[lib.scala 64:13] + node _T_1227 = bits(ic_miss_buff_half, 47, 32) @[ifu_mem_ctl.scala 265:82] + node _T_1228 = xorr(_T_1227) @[lib.scala 64:13] + node _T_1229 = bits(ic_miss_buff_half, 63, 48) @[ifu_mem_ctl.scala 265:82] + node _T_1230 = xorr(_T_1229) @[lib.scala 64:13] + node _T_1231 = cat(_T_1230, _T_1228) @[Cat.scala 29:58] + node _T_1232 = cat(_T_1231, _T_1226) @[Cat.scala 29:58] + node ic_miss_buff_parity = cat(_T_1232, _T_1224) @[Cat.scala 29:58] + node _T_1233 = bits(ifu_bus_rid_ff, 0, 0) @[ifu_mem_ctl.scala 267:43] + node _T_1234 = bits(_T_1233, 0, 0) @[ifu_mem_ctl.scala 267:47] + node _T_1235 = cat(ic_miss_buff_ecc, ic_miss_buff_half) @[Cat.scala 29:58] + node _T_1236 = cat(ic_wr_ecc, ifu_bus_rdata_ff) @[Cat.scala 29:58] + node _T_1237 = cat(_T_1236, _T_1235) @[Cat.scala 29:58] + node _T_1238 = cat(ic_wr_ecc, ifu_bus_rdata_ff) @[Cat.scala 29:58] + node _T_1239 = cat(ic_miss_buff_ecc, ic_miss_buff_half) @[Cat.scala 29:58] + node _T_1240 = cat(_T_1239, _T_1238) @[Cat.scala 29:58] + node _T_1241 = mux(_T_1234, _T_1237, _T_1240) @[ifu_mem_ctl.scala 267:28] + ic_wr_16bytes_data <= _T_1241 @[ifu_mem_ctl.scala 267:22] + wire bus_ifu_wr_data_error_ff : UInt<1> + bus_ifu_wr_data_error_ff <= UInt<1>("h00") + wire ifu_wr_data_comb_err_ff : UInt<1> + ifu_wr_data_comb_err_ff <= UInt<1>("h00") + wire reset_beat_cnt : UInt<1> + reset_beat_cnt <= UInt<1>("h00") + node _T_1242 = or(bus_ifu_wr_data_error_ff, ifu_wr_data_comb_err_ff) @[ifu_mem_ctl.scala 274:53] + node _T_1243 = eq(reset_beat_cnt, UInt<1>("h00")) @[ifu_mem_ctl.scala 274:82] + node ifu_wr_cumulative_err = and(_T_1242, _T_1243) @[ifu_mem_ctl.scala 274:80] + node _T_1244 = or(bus_ifu_wr_data_error_ff, ifu_wr_data_comb_err_ff) @[ifu_mem_ctl.scala 275:55] + ifu_wr_cumulative_err_data <= _T_1244 @[ifu_mem_ctl.scala 275:30] + reg _T_1245 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 276:61] + _T_1245 <= ifu_wr_cumulative_err @[ifu_mem_ctl.scala 276:61] + ifu_wr_data_comb_err_ff <= _T_1245 @[ifu_mem_ctl.scala 276:27] + wire ic_crit_wd_rdy : UInt<1> + ic_crit_wd_rdy <= UInt<1>("h00") + wire ifu_byp_data_err_new : UInt<1> + ifu_byp_data_err_new <= UInt<1>("h00") + node _T_1246 = eq(miss_state, UInt<3>("h06")) @[ifu_mem_ctl.scala 279:51] + node _T_1247 = or(ic_crit_wd_rdy, _T_1246) @[ifu_mem_ctl.scala 279:38] + node _T_1248 = eq(miss_state, UInt<3>("h01")) @[ifu_mem_ctl.scala 279:77] + node _T_1249 = or(_T_1247, _T_1248) @[ifu_mem_ctl.scala 279:64] + node _T_1250 = eq(ifu_byp_data_err_new, UInt<1>("h00")) @[ifu_mem_ctl.scala 279:98] + node sel_byp_data = and(_T_1249, _T_1250) @[ifu_mem_ctl.scala 279:96] + node _T_1251 = eq(miss_state, UInt<3>("h06")) @[ifu_mem_ctl.scala 280:51] + node _T_1252 = or(ic_crit_wd_rdy, _T_1251) @[ifu_mem_ctl.scala 280:38] + node _T_1253 = eq(miss_state, UInt<3>("h01")) @[ifu_mem_ctl.scala 280:77] + node _T_1254 = or(_T_1252, _T_1253) @[ifu_mem_ctl.scala 280:64] + node _T_1255 = eq(_T_1254, UInt<1>("h00")) @[ifu_mem_ctl.scala 280:21] + node _T_1256 = eq(fetch_req_iccm_f, UInt<1>("h00")) @[ifu_mem_ctl.scala 280:98] + node sel_ic_data = and(_T_1255, _T_1256) @[ifu_mem_ctl.scala 280:96] + wire ic_byp_data_only_new : UInt<80> + ic_byp_data_only_new <= UInt<1>("h00") + node _T_1257 = or(sel_byp_data, fetch_req_iccm_f) @[ifu_mem_ctl.scala 284:46] + node _T_1258 = or(_T_1257, sel_ic_data) @[ifu_mem_ctl.scala 284:62] + node _T_1259 = or(sel_byp_data, sel_ic_data) @[ifu_mem_ctl.scala 284:104] + wire final_data_sel1 : UInt<1>[4] @[ifu_mem_ctl.scala 284:32] + final_data_sel1[0] <= _T_1258 @[ifu_mem_ctl.scala 284:32] + final_data_sel1[1] <= sel_byp_data @[ifu_mem_ctl.scala 284:32] + final_data_sel1[2] <= _T_1259 @[ifu_mem_ctl.scala 284:32] + final_data_sel1[3] <= sel_byp_data @[ifu_mem_ctl.scala 284:32] + wire final_data_sel2 : UInt<1>[4] @[ifu_mem_ctl.scala 285:32] + final_data_sel2[0] <= UInt<1>("h01") @[ifu_mem_ctl.scala 285:32] + final_data_sel2[1] <= fetch_req_iccm_f @[ifu_mem_ctl.scala 285:32] + final_data_sel2[2] <= UInt<1>("h01") @[ifu_mem_ctl.scala 285:32] + final_data_sel2[3] <= UInt<1>("h01") @[ifu_mem_ctl.scala 285:32] + wire final_data_out1 : UInt<80>[4] @[ifu_mem_ctl.scala 286:32] + final_data_out1[0] <= io.ic.rd_data @[ifu_mem_ctl.scala 286:32] + final_data_out1[1] <= ic_byp_data_only_new @[ifu_mem_ctl.scala 286:32] + final_data_out1[2] <= io.ic.rd_data @[ifu_mem_ctl.scala 286:32] + final_data_out1[3] <= ic_byp_data_only_new @[ifu_mem_ctl.scala 286:32] + wire final_data_out2 : UInt<64>[4] @[ifu_mem_ctl.scala 287:32] + final_data_out2[0] <= UInt<1>("h01") @[ifu_mem_ctl.scala 287:32] + final_data_out2[1] <= io.iccm.rd_data @[ifu_mem_ctl.scala 287:32] + final_data_out2[2] <= UInt<1>("h01") @[ifu_mem_ctl.scala 287:32] + final_data_out2[3] <= UInt<1>("h01") @[ifu_mem_ctl.scala 287:32] + node _T_1260 = or(sel_byp_data, fetch_req_iccm_f) @[ifu_mem_ctl.scala 288:61] + node _T_1261 = or(_T_1260, sel_ic_data) @[ifu_mem_ctl.scala 288:77] + node _T_1262 = bits(_T_1261, 0, 0) @[Bitwise.scala 72:15] + node _T_1263 = mux(_T_1262, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node ic_final_data = and(_T_1263, io.ic.rd_data) @[ifu_mem_ctl.scala 288:92] + node _T_1264 = bits(fetch_req_iccm_f, 0, 0) @[Bitwise.scala 72:15] + node _T_1265 = mux(_T_1264, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_1266 = and(_T_1265, io.iccm.rd_data) @[ifu_mem_ctl.scala 292:69] + node _T_1267 = bits(sel_byp_data, 0, 0) @[Bitwise.scala 72:15] + node _T_1268 = mux(_T_1267, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_1269 = and(_T_1268, ic_byp_data_only_new) @[ifu_mem_ctl.scala 292:114] + node ic_premux_data_temp = or(_T_1266, _T_1269) @[ifu_mem_ctl.scala 292:88] + node ic_sel_premux_data_temp = or(fetch_req_iccm_f, sel_byp_data) @[ifu_mem_ctl.scala 294:63] + io.ic.premux_data <= ic_premux_data_temp @[ifu_mem_ctl.scala 295:21] + io.ic.sel_premux_data <= ic_sel_premux_data_temp @[ifu_mem_ctl.scala 296:25] + node ifc_bus_acc_fault_f = and(ic_byp_hit_f, ifu_byp_data_err_new) @[ifu_mem_ctl.scala 297:42] + io.ic_data_f <= ic_final_data @[ifu_mem_ctl.scala 298:16] + node _T_1270 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_mem_ctl.scala 299:40] + node fetch_req_f_qual = and(io.ic_hit_f, _T_1270) @[ifu_mem_ctl.scala 299:38] + wire ifc_region_acc_fault_memory_f : UInt<1> + ifc_region_acc_fault_memory_f <= UInt<1>("h00") + node _T_1271 = or(ifc_region_acc_fault_final_f, ifc_bus_acc_fault_f) @[ifu_mem_ctl.scala 301:57] + node _T_1272 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_mem_ctl.scala 301:82] + node _T_1273 = and(_T_1271, _T_1272) @[ifu_mem_ctl.scala 301:80] + io.ic_access_fault_f <= _T_1273 @[ifu_mem_ctl.scala 301:24] + node _T_1274 = bits(io.iccm_rd_ecc_double_err, 0, 0) @[ifu_mem_ctl.scala 302:62] + node _T_1275 = bits(ifc_region_acc_fault_f, 0, 0) @[ifu_mem_ctl.scala 303:32] + node _T_1276 = bits(ifc_region_acc_fault_memory_f, 0, 0) @[ifu_mem_ctl.scala 304:47] + node _T_1277 = mux(_T_1276, UInt<2>("h03"), UInt<1>("h00")) @[ifu_mem_ctl.scala 304:10] + node _T_1278 = mux(_T_1275, UInt<2>("h02"), _T_1277) @[ifu_mem_ctl.scala 303:8] + node _T_1279 = mux(_T_1274, UInt<1>("h01"), _T_1278) @[ifu_mem_ctl.scala 302:35] + io.ic_access_fault_type_f <= _T_1279 @[ifu_mem_ctl.scala 302:29] + node _T_1280 = and(fetch_req_f_qual, io.ifu_bp_inst_mask_f) @[ifu_mem_ctl.scala 305:45] + node _T_1281 = mux(UInt<1>("h01"), UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_1282 = eq(vaddr_f, _T_1281) @[ifu_mem_ctl.scala 305:80] + node _T_1283 = eq(_T_1282, UInt<1>("h00")) @[ifu_mem_ctl.scala 305:71] + node _T_1284 = and(_T_1280, _T_1283) @[ifu_mem_ctl.scala 305:69] + node _T_1285 = neq(err_stop_state, UInt<2>("h02")) @[ifu_mem_ctl.scala 305:131] + node _T_1286 = and(_T_1284, _T_1285) @[ifu_mem_ctl.scala 305:114] + node _T_1287 = cat(_T_1286, fetch_req_f_qual) @[Cat.scala 29:58] + io.ic_fetch_val_f <= _T_1287 @[ifu_mem_ctl.scala 305:21] + node _T_1288 = bits(io.ic_data_f, 1, 0) @[ifu_mem_ctl.scala 306:36] + node two_byte_instr = neq(_T_1288, UInt<2>("h03")) @[ifu_mem_ctl.scala 306:42] + wire ic_miss_buff_data_in : UInt<64> + ic_miss_buff_data_in <= UInt<1>("h00") + wire ifu_bus_rsp_tag : UInt<3> + ifu_bus_rsp_tag <= UInt<1>("h00") + wire bus_ifu_wr_en : UInt<1> + bus_ifu_wr_en <= UInt<1>("h00") + node _T_1289 = eq(ifu_bus_rsp_tag, UInt<1>("h00")) @[ifu_mem_ctl.scala 312:91] + node write_fill_data_0 = and(bus_ifu_wr_en, _T_1289) @[ifu_mem_ctl.scala 312:73] + node _T_1290 = eq(ifu_bus_rsp_tag, UInt<1>("h01")) @[ifu_mem_ctl.scala 312:91] + node write_fill_data_1 = and(bus_ifu_wr_en, _T_1290) @[ifu_mem_ctl.scala 312:73] + node _T_1291 = eq(ifu_bus_rsp_tag, UInt<2>("h02")) @[ifu_mem_ctl.scala 312:91] + node write_fill_data_2 = and(bus_ifu_wr_en, _T_1291) @[ifu_mem_ctl.scala 312:73] + node _T_1292 = eq(ifu_bus_rsp_tag, UInt<2>("h03")) @[ifu_mem_ctl.scala 312:91] + node write_fill_data_3 = and(bus_ifu_wr_en, _T_1292) @[ifu_mem_ctl.scala 312:73] + node _T_1293 = eq(ifu_bus_rsp_tag, UInt<3>("h04")) @[ifu_mem_ctl.scala 312:91] + node write_fill_data_4 = and(bus_ifu_wr_en, _T_1293) @[ifu_mem_ctl.scala 312:73] + node _T_1294 = eq(ifu_bus_rsp_tag, UInt<3>("h05")) @[ifu_mem_ctl.scala 312:91] + node write_fill_data_5 = and(bus_ifu_wr_en, _T_1294) @[ifu_mem_ctl.scala 312:73] + node _T_1295 = eq(ifu_bus_rsp_tag, UInt<3>("h06")) @[ifu_mem_ctl.scala 312:91] + node write_fill_data_6 = and(bus_ifu_wr_en, _T_1295) @[ifu_mem_ctl.scala 312:73] + node _T_1296 = eq(ifu_bus_rsp_tag, UInt<3>("h07")) @[ifu_mem_ctl.scala 312:91] + node write_fill_data_7 = and(bus_ifu_wr_en, _T_1296) @[ifu_mem_ctl.scala 312:73] + wire ic_miss_buff_data : UInt<32>[16] @[ifu_mem_ctl.scala 313:31] + inst rvclkhdr_4 of rvclkhdr_4 @[lib.scala 343:22] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_4.io.en <= write_fill_data_0 @[lib.scala 345:16] + rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_5 of rvclkhdr_5 @[lib.scala 343:22] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_5.io.en <= write_fill_data_1 @[lib.scala 345:16] + rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_6 of rvclkhdr_6 @[lib.scala 343:22] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= reset + rvclkhdr_6.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_6.io.en <= write_fill_data_2 @[lib.scala 345:16] + rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_7 of rvclkhdr_7 @[lib.scala 343:22] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= reset + rvclkhdr_7.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_7.io.en <= write_fill_data_3 @[lib.scala 345:16] + rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_8 of rvclkhdr_8 @[lib.scala 343:22] + rvclkhdr_8.clock <= clock + rvclkhdr_8.reset <= reset + rvclkhdr_8.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_8.io.en <= write_fill_data_4 @[lib.scala 345:16] + rvclkhdr_8.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_9 of rvclkhdr_9 @[lib.scala 343:22] + rvclkhdr_9.clock <= clock + rvclkhdr_9.reset <= reset + rvclkhdr_9.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_9.io.en <= write_fill_data_5 @[lib.scala 345:16] + rvclkhdr_9.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_10 of rvclkhdr_10 @[lib.scala 343:22] + rvclkhdr_10.clock <= clock + rvclkhdr_10.reset <= reset + rvclkhdr_10.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_10.io.en <= write_fill_data_6 @[lib.scala 345:16] + rvclkhdr_10.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_11 of rvclkhdr_11 @[lib.scala 343:22] + rvclkhdr_11.clock <= clock + rvclkhdr_11.reset <= reset + rvclkhdr_11.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_11.io.en <= write_fill_data_7 @[lib.scala 345:16] + rvclkhdr_11.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + node _T_1297 = bits(ic_miss_buff_data_in, 31, 0) @[ifu_mem_ctl.scala 316:86] + reg _T_1298 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 316:65] + _T_1298 <= _T_1297 @[ifu_mem_ctl.scala 316:65] + ic_miss_buff_data[0] <= _T_1298 @[ifu_mem_ctl.scala 316:26] + node _T_1299 = bits(ic_miss_buff_data_in, 63, 32) @[ifu_mem_ctl.scala 317:88] + reg _T_1300 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 317:67] + _T_1300 <= _T_1299 @[ifu_mem_ctl.scala 317:67] + ic_miss_buff_data[1] <= _T_1300 @[ifu_mem_ctl.scala 317:28] + inst rvclkhdr_12 of rvclkhdr_12 @[lib.scala 343:22] + rvclkhdr_12.clock <= clock + rvclkhdr_12.reset <= reset + rvclkhdr_12.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_12.io.en <= write_fill_data_0 @[lib.scala 345:16] + rvclkhdr_12.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_13 of rvclkhdr_13 @[lib.scala 343:22] + rvclkhdr_13.clock <= clock + rvclkhdr_13.reset <= reset + rvclkhdr_13.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_13.io.en <= write_fill_data_1 @[lib.scala 345:16] + rvclkhdr_13.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_14 of rvclkhdr_14 @[lib.scala 343:22] + rvclkhdr_14.clock <= clock + rvclkhdr_14.reset <= reset + rvclkhdr_14.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_14.io.en <= write_fill_data_2 @[lib.scala 345:16] + rvclkhdr_14.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_15 of rvclkhdr_15 @[lib.scala 343:22] + rvclkhdr_15.clock <= clock + rvclkhdr_15.reset <= reset + rvclkhdr_15.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_15.io.en <= write_fill_data_3 @[lib.scala 345:16] + rvclkhdr_15.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_16 of rvclkhdr_16 @[lib.scala 343:22] + rvclkhdr_16.clock <= clock + rvclkhdr_16.reset <= reset + rvclkhdr_16.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_16.io.en <= write_fill_data_4 @[lib.scala 345:16] + rvclkhdr_16.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_17 of rvclkhdr_17 @[lib.scala 343:22] + rvclkhdr_17.clock <= clock + rvclkhdr_17.reset <= reset + rvclkhdr_17.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_17.io.en <= write_fill_data_5 @[lib.scala 345:16] + rvclkhdr_17.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_18 of rvclkhdr_18 @[lib.scala 343:22] + rvclkhdr_18.clock <= clock + rvclkhdr_18.reset <= reset + rvclkhdr_18.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_18.io.en <= write_fill_data_6 @[lib.scala 345:16] + rvclkhdr_18.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_19 of rvclkhdr_19 @[lib.scala 343:22] + rvclkhdr_19.clock <= clock + rvclkhdr_19.reset <= reset + rvclkhdr_19.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_19.io.en <= write_fill_data_7 @[lib.scala 345:16] + rvclkhdr_19.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + node _T_1301 = bits(ic_miss_buff_data_in, 31, 0) @[ifu_mem_ctl.scala 316:86] + reg _T_1302 : UInt, rvclkhdr_13.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 316:65] + _T_1302 <= _T_1301 @[ifu_mem_ctl.scala 316:65] + ic_miss_buff_data[2] <= _T_1302 @[ifu_mem_ctl.scala 316:26] + node _T_1303 = bits(ic_miss_buff_data_in, 63, 32) @[ifu_mem_ctl.scala 317:88] + reg _T_1304 : UInt, rvclkhdr_13.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 317:67] + _T_1304 <= _T_1303 @[ifu_mem_ctl.scala 317:67] + ic_miss_buff_data[3] <= _T_1304 @[ifu_mem_ctl.scala 317:28] + inst rvclkhdr_20 of rvclkhdr_20 @[lib.scala 343:22] + rvclkhdr_20.clock <= clock + rvclkhdr_20.reset <= reset + rvclkhdr_20.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_20.io.en <= write_fill_data_0 @[lib.scala 345:16] + rvclkhdr_20.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_21 of rvclkhdr_21 @[lib.scala 343:22] + rvclkhdr_21.clock <= clock + rvclkhdr_21.reset <= reset + rvclkhdr_21.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_21.io.en <= write_fill_data_1 @[lib.scala 345:16] + rvclkhdr_21.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_22 of rvclkhdr_22 @[lib.scala 343:22] + rvclkhdr_22.clock <= clock + rvclkhdr_22.reset <= reset + rvclkhdr_22.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_22.io.en <= write_fill_data_2 @[lib.scala 345:16] + rvclkhdr_22.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_23 of rvclkhdr_23 @[lib.scala 343:22] + rvclkhdr_23.clock <= clock + rvclkhdr_23.reset <= reset + rvclkhdr_23.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_23.io.en <= write_fill_data_3 @[lib.scala 345:16] + rvclkhdr_23.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_24 of rvclkhdr_24 @[lib.scala 343:22] + rvclkhdr_24.clock <= clock + rvclkhdr_24.reset <= reset + rvclkhdr_24.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_24.io.en <= write_fill_data_4 @[lib.scala 345:16] + rvclkhdr_24.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_25 of rvclkhdr_25 @[lib.scala 343:22] + rvclkhdr_25.clock <= clock + rvclkhdr_25.reset <= reset + rvclkhdr_25.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_25.io.en <= write_fill_data_5 @[lib.scala 345:16] + rvclkhdr_25.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_26 of rvclkhdr_26 @[lib.scala 343:22] + rvclkhdr_26.clock <= clock + rvclkhdr_26.reset <= reset + rvclkhdr_26.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_26.io.en <= write_fill_data_6 @[lib.scala 345:16] + rvclkhdr_26.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_27 of rvclkhdr_27 @[lib.scala 343:22] + rvclkhdr_27.clock <= clock + rvclkhdr_27.reset <= reset + rvclkhdr_27.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_27.io.en <= write_fill_data_7 @[lib.scala 345:16] + rvclkhdr_27.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + node _T_1305 = bits(ic_miss_buff_data_in, 31, 0) @[ifu_mem_ctl.scala 316:86] + reg _T_1306 : UInt, rvclkhdr_22.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 316:65] + _T_1306 <= _T_1305 @[ifu_mem_ctl.scala 316:65] + ic_miss_buff_data[4] <= _T_1306 @[ifu_mem_ctl.scala 316:26] + node _T_1307 = bits(ic_miss_buff_data_in, 63, 32) @[ifu_mem_ctl.scala 317:88] + reg _T_1308 : UInt, rvclkhdr_22.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 317:67] + _T_1308 <= _T_1307 @[ifu_mem_ctl.scala 317:67] + ic_miss_buff_data[5] <= _T_1308 @[ifu_mem_ctl.scala 317:28] + inst rvclkhdr_28 of rvclkhdr_28 @[lib.scala 343:22] + rvclkhdr_28.clock <= clock + rvclkhdr_28.reset <= reset + rvclkhdr_28.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_28.io.en <= write_fill_data_0 @[lib.scala 345:16] + rvclkhdr_28.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_29 of rvclkhdr_29 @[lib.scala 343:22] + rvclkhdr_29.clock <= clock + rvclkhdr_29.reset <= reset + rvclkhdr_29.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_29.io.en <= write_fill_data_1 @[lib.scala 345:16] + rvclkhdr_29.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_30 of rvclkhdr_30 @[lib.scala 343:22] + rvclkhdr_30.clock <= clock + rvclkhdr_30.reset <= reset + rvclkhdr_30.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_30.io.en <= write_fill_data_2 @[lib.scala 345:16] + rvclkhdr_30.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_31 of rvclkhdr_31 @[lib.scala 343:22] + rvclkhdr_31.clock <= clock + rvclkhdr_31.reset <= reset + rvclkhdr_31.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_31.io.en <= write_fill_data_3 @[lib.scala 345:16] + rvclkhdr_31.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_32 of rvclkhdr_32 @[lib.scala 343:22] + rvclkhdr_32.clock <= clock + rvclkhdr_32.reset <= reset + rvclkhdr_32.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_32.io.en <= write_fill_data_4 @[lib.scala 345:16] + rvclkhdr_32.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_33 of rvclkhdr_33 @[lib.scala 343:22] + rvclkhdr_33.clock <= clock + rvclkhdr_33.reset <= reset + rvclkhdr_33.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_33.io.en <= write_fill_data_5 @[lib.scala 345:16] + rvclkhdr_33.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_34 of rvclkhdr_34 @[lib.scala 343:22] + rvclkhdr_34.clock <= clock + rvclkhdr_34.reset <= reset + rvclkhdr_34.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_34.io.en <= write_fill_data_6 @[lib.scala 345:16] + rvclkhdr_34.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_35 of rvclkhdr_35 @[lib.scala 343:22] + rvclkhdr_35.clock <= clock + rvclkhdr_35.reset <= reset + rvclkhdr_35.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_35.io.en <= write_fill_data_7 @[lib.scala 345:16] + rvclkhdr_35.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + node _T_1309 = bits(ic_miss_buff_data_in, 31, 0) @[ifu_mem_ctl.scala 316:86] + reg _T_1310 : UInt, rvclkhdr_31.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 316:65] + _T_1310 <= _T_1309 @[ifu_mem_ctl.scala 316:65] + ic_miss_buff_data[6] <= _T_1310 @[ifu_mem_ctl.scala 316:26] + node _T_1311 = bits(ic_miss_buff_data_in, 63, 32) @[ifu_mem_ctl.scala 317:88] + reg _T_1312 : UInt, rvclkhdr_31.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 317:67] + _T_1312 <= _T_1311 @[ifu_mem_ctl.scala 317:67] + ic_miss_buff_data[7] <= _T_1312 @[ifu_mem_ctl.scala 317:28] + inst rvclkhdr_36 of rvclkhdr_36 @[lib.scala 343:22] + rvclkhdr_36.clock <= clock + rvclkhdr_36.reset <= reset + rvclkhdr_36.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_36.io.en <= write_fill_data_0 @[lib.scala 345:16] + rvclkhdr_36.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_37 of rvclkhdr_37 @[lib.scala 343:22] + rvclkhdr_37.clock <= clock + rvclkhdr_37.reset <= reset + rvclkhdr_37.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_37.io.en <= write_fill_data_1 @[lib.scala 345:16] + rvclkhdr_37.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_38 of rvclkhdr_38 @[lib.scala 343:22] + rvclkhdr_38.clock <= clock + rvclkhdr_38.reset <= reset + rvclkhdr_38.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_38.io.en <= write_fill_data_2 @[lib.scala 345:16] + rvclkhdr_38.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_39 of rvclkhdr_39 @[lib.scala 343:22] + rvclkhdr_39.clock <= clock + rvclkhdr_39.reset <= reset + rvclkhdr_39.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_39.io.en <= write_fill_data_3 @[lib.scala 345:16] + rvclkhdr_39.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_40 of rvclkhdr_40 @[lib.scala 343:22] + rvclkhdr_40.clock <= clock + rvclkhdr_40.reset <= reset + rvclkhdr_40.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_40.io.en <= write_fill_data_4 @[lib.scala 345:16] + rvclkhdr_40.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_41 of rvclkhdr_41 @[lib.scala 343:22] + rvclkhdr_41.clock <= clock + rvclkhdr_41.reset <= reset + rvclkhdr_41.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_41.io.en <= write_fill_data_5 @[lib.scala 345:16] + rvclkhdr_41.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_42 of rvclkhdr_42 @[lib.scala 343:22] + rvclkhdr_42.clock <= clock + rvclkhdr_42.reset <= reset + rvclkhdr_42.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_42.io.en <= write_fill_data_6 @[lib.scala 345:16] + rvclkhdr_42.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_43 of rvclkhdr_43 @[lib.scala 343:22] + rvclkhdr_43.clock <= clock + rvclkhdr_43.reset <= reset + rvclkhdr_43.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_43.io.en <= write_fill_data_7 @[lib.scala 345:16] + rvclkhdr_43.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + node _T_1313 = bits(ic_miss_buff_data_in, 31, 0) @[ifu_mem_ctl.scala 316:86] + reg _T_1314 : UInt, rvclkhdr_40.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 316:65] + _T_1314 <= _T_1313 @[ifu_mem_ctl.scala 316:65] + ic_miss_buff_data[8] <= _T_1314 @[ifu_mem_ctl.scala 316:26] + node _T_1315 = bits(ic_miss_buff_data_in, 63, 32) @[ifu_mem_ctl.scala 317:88] + reg _T_1316 : UInt, rvclkhdr_40.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 317:67] + _T_1316 <= _T_1315 @[ifu_mem_ctl.scala 317:67] + ic_miss_buff_data[9] <= _T_1316 @[ifu_mem_ctl.scala 317:28] + inst rvclkhdr_44 of rvclkhdr_44 @[lib.scala 343:22] + rvclkhdr_44.clock <= clock + rvclkhdr_44.reset <= reset + rvclkhdr_44.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_44.io.en <= write_fill_data_0 @[lib.scala 345:16] + rvclkhdr_44.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_45 of rvclkhdr_45 @[lib.scala 343:22] + rvclkhdr_45.clock <= clock + rvclkhdr_45.reset <= reset + rvclkhdr_45.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_45.io.en <= write_fill_data_1 @[lib.scala 345:16] + rvclkhdr_45.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_46 of rvclkhdr_46 @[lib.scala 343:22] + rvclkhdr_46.clock <= clock + rvclkhdr_46.reset <= reset + rvclkhdr_46.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_46.io.en <= write_fill_data_2 @[lib.scala 345:16] + rvclkhdr_46.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_47 of rvclkhdr_47 @[lib.scala 343:22] + rvclkhdr_47.clock <= clock + rvclkhdr_47.reset <= reset + rvclkhdr_47.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_47.io.en <= write_fill_data_3 @[lib.scala 345:16] + rvclkhdr_47.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_48 of rvclkhdr_48 @[lib.scala 343:22] + rvclkhdr_48.clock <= clock + rvclkhdr_48.reset <= reset + rvclkhdr_48.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_48.io.en <= write_fill_data_4 @[lib.scala 345:16] + rvclkhdr_48.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_49 of rvclkhdr_49 @[lib.scala 343:22] + rvclkhdr_49.clock <= clock + rvclkhdr_49.reset <= reset + rvclkhdr_49.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_49.io.en <= write_fill_data_5 @[lib.scala 345:16] + rvclkhdr_49.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_50 of rvclkhdr_50 @[lib.scala 343:22] + rvclkhdr_50.clock <= clock + rvclkhdr_50.reset <= reset + rvclkhdr_50.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_50.io.en <= write_fill_data_6 @[lib.scala 345:16] + rvclkhdr_50.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_51 of rvclkhdr_51 @[lib.scala 343:22] + rvclkhdr_51.clock <= clock + rvclkhdr_51.reset <= reset + rvclkhdr_51.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_51.io.en <= write_fill_data_7 @[lib.scala 345:16] + rvclkhdr_51.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + node _T_1317 = bits(ic_miss_buff_data_in, 31, 0) @[ifu_mem_ctl.scala 316:86] + reg _T_1318 : UInt, rvclkhdr_49.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 316:65] + _T_1318 <= _T_1317 @[ifu_mem_ctl.scala 316:65] + ic_miss_buff_data[10] <= _T_1318 @[ifu_mem_ctl.scala 316:26] + node _T_1319 = bits(ic_miss_buff_data_in, 63, 32) @[ifu_mem_ctl.scala 317:88] + reg _T_1320 : UInt, rvclkhdr_49.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 317:67] + _T_1320 <= _T_1319 @[ifu_mem_ctl.scala 317:67] + ic_miss_buff_data[11] <= _T_1320 @[ifu_mem_ctl.scala 317:28] + inst rvclkhdr_52 of rvclkhdr_52 @[lib.scala 343:22] + rvclkhdr_52.clock <= clock + rvclkhdr_52.reset <= reset + rvclkhdr_52.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_52.io.en <= write_fill_data_0 @[lib.scala 345:16] + rvclkhdr_52.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_53 of rvclkhdr_53 @[lib.scala 343:22] + rvclkhdr_53.clock <= clock + rvclkhdr_53.reset <= reset + rvclkhdr_53.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_53.io.en <= write_fill_data_1 @[lib.scala 345:16] + rvclkhdr_53.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_54 of rvclkhdr_54 @[lib.scala 343:22] + rvclkhdr_54.clock <= clock + rvclkhdr_54.reset <= reset + rvclkhdr_54.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_54.io.en <= write_fill_data_2 @[lib.scala 345:16] + rvclkhdr_54.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_55 of rvclkhdr_55 @[lib.scala 343:22] + rvclkhdr_55.clock <= clock + rvclkhdr_55.reset <= reset + rvclkhdr_55.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_55.io.en <= write_fill_data_3 @[lib.scala 345:16] + rvclkhdr_55.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_56 of rvclkhdr_56 @[lib.scala 343:22] + rvclkhdr_56.clock <= clock + rvclkhdr_56.reset <= reset + rvclkhdr_56.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_56.io.en <= write_fill_data_4 @[lib.scala 345:16] + rvclkhdr_56.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_57 of rvclkhdr_57 @[lib.scala 343:22] + rvclkhdr_57.clock <= clock + rvclkhdr_57.reset <= reset + rvclkhdr_57.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_57.io.en <= write_fill_data_5 @[lib.scala 345:16] + rvclkhdr_57.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_58 of rvclkhdr_58 @[lib.scala 343:22] + rvclkhdr_58.clock <= clock + rvclkhdr_58.reset <= reset + rvclkhdr_58.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_58.io.en <= write_fill_data_6 @[lib.scala 345:16] + rvclkhdr_58.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_59 of rvclkhdr_59 @[lib.scala 343:22] + rvclkhdr_59.clock <= clock + rvclkhdr_59.reset <= reset + rvclkhdr_59.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_59.io.en <= write_fill_data_7 @[lib.scala 345:16] + rvclkhdr_59.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + node _T_1321 = bits(ic_miss_buff_data_in, 31, 0) @[ifu_mem_ctl.scala 316:86] + reg _T_1322 : UInt, rvclkhdr_58.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 316:65] + _T_1322 <= _T_1321 @[ifu_mem_ctl.scala 316:65] + ic_miss_buff_data[12] <= _T_1322 @[ifu_mem_ctl.scala 316:26] + node _T_1323 = bits(ic_miss_buff_data_in, 63, 32) @[ifu_mem_ctl.scala 317:88] + reg _T_1324 : UInt, rvclkhdr_58.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 317:67] + _T_1324 <= _T_1323 @[ifu_mem_ctl.scala 317:67] + ic_miss_buff_data[13] <= _T_1324 @[ifu_mem_ctl.scala 317:28] + inst rvclkhdr_60 of rvclkhdr_60 @[lib.scala 343:22] + rvclkhdr_60.clock <= clock + rvclkhdr_60.reset <= reset + rvclkhdr_60.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_60.io.en <= write_fill_data_0 @[lib.scala 345:16] + rvclkhdr_60.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_61 of rvclkhdr_61 @[lib.scala 343:22] + rvclkhdr_61.clock <= clock + rvclkhdr_61.reset <= reset + rvclkhdr_61.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_61.io.en <= write_fill_data_1 @[lib.scala 345:16] + rvclkhdr_61.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_62 of rvclkhdr_62 @[lib.scala 343:22] + rvclkhdr_62.clock <= clock + rvclkhdr_62.reset <= reset + rvclkhdr_62.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_62.io.en <= write_fill_data_2 @[lib.scala 345:16] + rvclkhdr_62.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_63 of rvclkhdr_63 @[lib.scala 343:22] + rvclkhdr_63.clock <= clock + rvclkhdr_63.reset <= reset + rvclkhdr_63.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_63.io.en <= write_fill_data_3 @[lib.scala 345:16] + rvclkhdr_63.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_64 of rvclkhdr_64 @[lib.scala 343:22] + rvclkhdr_64.clock <= clock + rvclkhdr_64.reset <= reset + rvclkhdr_64.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_64.io.en <= write_fill_data_4 @[lib.scala 345:16] + rvclkhdr_64.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_65 of rvclkhdr_65 @[lib.scala 343:22] + rvclkhdr_65.clock <= clock + rvclkhdr_65.reset <= reset + rvclkhdr_65.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_65.io.en <= write_fill_data_5 @[lib.scala 345:16] + rvclkhdr_65.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_66 of rvclkhdr_66 @[lib.scala 343:22] + rvclkhdr_66.clock <= clock + rvclkhdr_66.reset <= reset + rvclkhdr_66.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_66.io.en <= write_fill_data_6 @[lib.scala 345:16] + rvclkhdr_66.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_67 of rvclkhdr_67 @[lib.scala 343:22] + rvclkhdr_67.clock <= clock + rvclkhdr_67.reset <= reset + rvclkhdr_67.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_67.io.en <= write_fill_data_7 @[lib.scala 345:16] + rvclkhdr_67.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + node _T_1325 = bits(ic_miss_buff_data_in, 31, 0) @[ifu_mem_ctl.scala 316:86] + reg _T_1326 : UInt, rvclkhdr_67.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 316:65] + _T_1326 <= _T_1325 @[ifu_mem_ctl.scala 316:65] + ic_miss_buff_data[14] <= _T_1326 @[ifu_mem_ctl.scala 316:26] + node _T_1327 = bits(ic_miss_buff_data_in, 63, 32) @[ifu_mem_ctl.scala 317:88] + reg _T_1328 : UInt, rvclkhdr_67.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 317:67] + _T_1328 <= _T_1327 @[ifu_mem_ctl.scala 317:67] + ic_miss_buff_data[15] <= _T_1328 @[ifu_mem_ctl.scala 317:28] + wire ic_miss_buff_data_valid : UInt<8> + ic_miss_buff_data_valid <= UInt<1>("h00") + node _T_1329 = bits(ic_miss_buff_data_valid, 0, 0) @[ifu_mem_ctl.scala 319:113] + node _T_1330 = eq(ic_act_miss_f, UInt<1>("h00")) @[ifu_mem_ctl.scala 319:118] + node _T_1331 = and(_T_1329, _T_1330) @[ifu_mem_ctl.scala 319:116] + node ic_miss_buff_data_valid_in_0 = or(write_fill_data_0, _T_1331) @[ifu_mem_ctl.scala 319:88] + node _T_1332 = bits(ic_miss_buff_data_valid, 1, 1) @[ifu_mem_ctl.scala 319:113] + node _T_1333 = eq(ic_act_miss_f, UInt<1>("h00")) @[ifu_mem_ctl.scala 319:118] + node _T_1334 = and(_T_1332, _T_1333) @[ifu_mem_ctl.scala 319:116] + node ic_miss_buff_data_valid_in_1 = or(write_fill_data_1, _T_1334) @[ifu_mem_ctl.scala 319:88] + node _T_1335 = bits(ic_miss_buff_data_valid, 2, 2) @[ifu_mem_ctl.scala 319:113] + node _T_1336 = eq(ic_act_miss_f, UInt<1>("h00")) @[ifu_mem_ctl.scala 319:118] + node _T_1337 = and(_T_1335, _T_1336) @[ifu_mem_ctl.scala 319:116] + node ic_miss_buff_data_valid_in_2 = or(write_fill_data_2, _T_1337) @[ifu_mem_ctl.scala 319:88] + node _T_1338 = bits(ic_miss_buff_data_valid, 3, 3) @[ifu_mem_ctl.scala 319:113] + node _T_1339 = eq(ic_act_miss_f, UInt<1>("h00")) @[ifu_mem_ctl.scala 319:118] + node _T_1340 = and(_T_1338, _T_1339) @[ifu_mem_ctl.scala 319:116] + node ic_miss_buff_data_valid_in_3 = or(write_fill_data_3, _T_1340) @[ifu_mem_ctl.scala 319:88] + node _T_1341 = bits(ic_miss_buff_data_valid, 4, 4) @[ifu_mem_ctl.scala 319:113] + node _T_1342 = eq(ic_act_miss_f, UInt<1>("h00")) @[ifu_mem_ctl.scala 319:118] + node _T_1343 = and(_T_1341, _T_1342) @[ifu_mem_ctl.scala 319:116] + node ic_miss_buff_data_valid_in_4 = or(write_fill_data_4, _T_1343) @[ifu_mem_ctl.scala 319:88] + node _T_1344 = bits(ic_miss_buff_data_valid, 5, 5) @[ifu_mem_ctl.scala 319:113] + node _T_1345 = eq(ic_act_miss_f, UInt<1>("h00")) @[ifu_mem_ctl.scala 319:118] + node _T_1346 = and(_T_1344, _T_1345) @[ifu_mem_ctl.scala 319:116] + node ic_miss_buff_data_valid_in_5 = or(write_fill_data_5, _T_1346) @[ifu_mem_ctl.scala 319:88] + node _T_1347 = bits(ic_miss_buff_data_valid, 6, 6) @[ifu_mem_ctl.scala 319:113] + node _T_1348 = eq(ic_act_miss_f, UInt<1>("h00")) @[ifu_mem_ctl.scala 319:118] + node _T_1349 = and(_T_1347, _T_1348) @[ifu_mem_ctl.scala 319:116] + node ic_miss_buff_data_valid_in_6 = or(write_fill_data_6, _T_1349) @[ifu_mem_ctl.scala 319:88] + node _T_1350 = bits(ic_miss_buff_data_valid, 7, 7) @[ifu_mem_ctl.scala 319:113] + node _T_1351 = eq(ic_act_miss_f, UInt<1>("h00")) @[ifu_mem_ctl.scala 319:118] + node _T_1352 = and(_T_1350, _T_1351) @[ifu_mem_ctl.scala 319:116] + node ic_miss_buff_data_valid_in_7 = or(write_fill_data_7, _T_1352) @[ifu_mem_ctl.scala 319:88] + node _T_1353 = cat(ic_miss_buff_data_valid_in_7, ic_miss_buff_data_valid_in_6) @[Cat.scala 29:58] + node _T_1354 = cat(_T_1353, ic_miss_buff_data_valid_in_5) @[Cat.scala 29:58] + node _T_1355 = cat(_T_1354, ic_miss_buff_data_valid_in_4) @[Cat.scala 29:58] + node _T_1356 = cat(_T_1355, ic_miss_buff_data_valid_in_3) @[Cat.scala 29:58] + node _T_1357 = cat(_T_1356, ic_miss_buff_data_valid_in_2) @[Cat.scala 29:58] + node _T_1358 = cat(_T_1357, ic_miss_buff_data_valid_in_1) @[Cat.scala 29:58] + node _T_1359 = cat(_T_1358, ic_miss_buff_data_valid_in_0) @[Cat.scala 29:58] + reg _T_1360 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 320:60] + _T_1360 <= _T_1359 @[ifu_mem_ctl.scala 320:60] + ic_miss_buff_data_valid <= _T_1360 @[ifu_mem_ctl.scala 320:27] + wire bus_ifu_wr_data_error : UInt<1> + bus_ifu_wr_data_error <= UInt<1>("h00") + wire ic_miss_buff_data_error : UInt<8> + ic_miss_buff_data_error <= UInt<1>("h00") + node _T_1361 = bits(write_fill_data_0, 0, 0) @[ifu_mem_ctl.scala 323:92] + node _T_1362 = bits(ic_miss_buff_data_error, 0, 0) @[ifu_mem_ctl.scala 324:28] + node _T_1363 = eq(ic_act_miss_f, UInt<1>("h00")) @[ifu_mem_ctl.scala 324:34] + node _T_1364 = and(_T_1362, _T_1363) @[ifu_mem_ctl.scala 324:32] + node ic_miss_buff_data_error_in_0 = mux(_T_1361, bus_ifu_wr_data_error, _T_1364) @[ifu_mem_ctl.scala 323:72] + node _T_1365 = bits(write_fill_data_1, 0, 0) @[ifu_mem_ctl.scala 323:92] + node _T_1366 = bits(ic_miss_buff_data_error, 1, 1) @[ifu_mem_ctl.scala 324:28] + node _T_1367 = eq(ic_act_miss_f, UInt<1>("h00")) @[ifu_mem_ctl.scala 324:34] + node _T_1368 = and(_T_1366, _T_1367) @[ifu_mem_ctl.scala 324:32] + node ic_miss_buff_data_error_in_1 = mux(_T_1365, bus_ifu_wr_data_error, _T_1368) @[ifu_mem_ctl.scala 323:72] + node _T_1369 = bits(write_fill_data_2, 0, 0) @[ifu_mem_ctl.scala 323:92] + node _T_1370 = bits(ic_miss_buff_data_error, 2, 2) @[ifu_mem_ctl.scala 324:28] + node _T_1371 = eq(ic_act_miss_f, UInt<1>("h00")) @[ifu_mem_ctl.scala 324:34] + node _T_1372 = and(_T_1370, _T_1371) @[ifu_mem_ctl.scala 324:32] + node ic_miss_buff_data_error_in_2 = mux(_T_1369, bus_ifu_wr_data_error, _T_1372) @[ifu_mem_ctl.scala 323:72] + node _T_1373 = bits(write_fill_data_3, 0, 0) @[ifu_mem_ctl.scala 323:92] + node _T_1374 = bits(ic_miss_buff_data_error, 3, 3) @[ifu_mem_ctl.scala 324:28] + node _T_1375 = eq(ic_act_miss_f, UInt<1>("h00")) @[ifu_mem_ctl.scala 324:34] + node _T_1376 = and(_T_1374, _T_1375) @[ifu_mem_ctl.scala 324:32] + node ic_miss_buff_data_error_in_3 = mux(_T_1373, bus_ifu_wr_data_error, _T_1376) @[ifu_mem_ctl.scala 323:72] + node _T_1377 = bits(write_fill_data_4, 0, 0) @[ifu_mem_ctl.scala 323:92] + node _T_1378 = bits(ic_miss_buff_data_error, 4, 4) @[ifu_mem_ctl.scala 324:28] + node _T_1379 = eq(ic_act_miss_f, UInt<1>("h00")) @[ifu_mem_ctl.scala 324:34] + node _T_1380 = and(_T_1378, _T_1379) @[ifu_mem_ctl.scala 324:32] + node ic_miss_buff_data_error_in_4 = mux(_T_1377, bus_ifu_wr_data_error, _T_1380) @[ifu_mem_ctl.scala 323:72] + node _T_1381 = bits(write_fill_data_5, 0, 0) @[ifu_mem_ctl.scala 323:92] + node _T_1382 = bits(ic_miss_buff_data_error, 5, 5) @[ifu_mem_ctl.scala 324:28] + node _T_1383 = eq(ic_act_miss_f, UInt<1>("h00")) @[ifu_mem_ctl.scala 324:34] + node _T_1384 = and(_T_1382, _T_1383) @[ifu_mem_ctl.scala 324:32] + node ic_miss_buff_data_error_in_5 = mux(_T_1381, bus_ifu_wr_data_error, _T_1384) @[ifu_mem_ctl.scala 323:72] + node _T_1385 = bits(write_fill_data_6, 0, 0) @[ifu_mem_ctl.scala 323:92] + node _T_1386 = bits(ic_miss_buff_data_error, 6, 6) @[ifu_mem_ctl.scala 324:28] + node _T_1387 = eq(ic_act_miss_f, UInt<1>("h00")) @[ifu_mem_ctl.scala 324:34] + node _T_1388 = and(_T_1386, _T_1387) @[ifu_mem_ctl.scala 324:32] + node ic_miss_buff_data_error_in_6 = mux(_T_1385, bus_ifu_wr_data_error, _T_1388) @[ifu_mem_ctl.scala 323:72] + node _T_1389 = bits(write_fill_data_7, 0, 0) @[ifu_mem_ctl.scala 323:92] + node _T_1390 = bits(ic_miss_buff_data_error, 7, 7) @[ifu_mem_ctl.scala 324:28] + node _T_1391 = eq(ic_act_miss_f, UInt<1>("h00")) @[ifu_mem_ctl.scala 324:34] + node _T_1392 = and(_T_1390, _T_1391) @[ifu_mem_ctl.scala 324:32] + node ic_miss_buff_data_error_in_7 = mux(_T_1389, bus_ifu_wr_data_error, _T_1392) @[ifu_mem_ctl.scala 323:72] + node _T_1393 = cat(ic_miss_buff_data_error_in_7, ic_miss_buff_data_error_in_6) @[Cat.scala 29:58] + node _T_1394 = cat(_T_1393, ic_miss_buff_data_error_in_5) @[Cat.scala 29:58] + node _T_1395 = cat(_T_1394, ic_miss_buff_data_error_in_4) @[Cat.scala 29:58] + node _T_1396 = cat(_T_1395, ic_miss_buff_data_error_in_3) @[Cat.scala 29:58] + node _T_1397 = cat(_T_1396, ic_miss_buff_data_error_in_2) @[Cat.scala 29:58] + node _T_1398 = cat(_T_1397, ic_miss_buff_data_error_in_1) @[Cat.scala 29:58] + node _T_1399 = cat(_T_1398, ic_miss_buff_data_error_in_0) @[Cat.scala 29:58] + reg _T_1400 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 325:60] + _T_1400 <= _T_1399 @[ifu_mem_ctl.scala 325:60] + ic_miss_buff_data_error <= _T_1400 @[ifu_mem_ctl.scala 325:27] + node bypass_index = bits(imb_ff, 4, 0) @[ifu_mem_ctl.scala 328:28] + node _T_1401 = bits(bypass_index, 4, 2) @[ifu_mem_ctl.scala 329:42] + node _T_1402 = add(_T_1401, UInt<1>("h01")) @[ifu_mem_ctl.scala 329:70] + node bypass_index_5_3_inc = tail(_T_1402, 1) @[ifu_mem_ctl.scala 329:70] + node _T_1403 = bits(bypass_index, 4, 2) @[ifu_mem_ctl.scala 330:87] + node _T_1404 = eq(_T_1403, UInt<1>("h00")) @[ifu_mem_ctl.scala 330:114] + node _T_1405 = bits(_T_1404, 0, 0) @[ifu_mem_ctl.scala 330:122] + node _T_1406 = bits(bypass_index, 4, 2) @[ifu_mem_ctl.scala 330:87] + node _T_1407 = eq(_T_1406, UInt<1>("h01")) @[ifu_mem_ctl.scala 330:114] + node _T_1408 = bits(_T_1407, 0, 0) @[ifu_mem_ctl.scala 330:122] + node _T_1409 = bits(bypass_index, 4, 2) @[ifu_mem_ctl.scala 330:87] + node _T_1410 = eq(_T_1409, UInt<2>("h02")) @[ifu_mem_ctl.scala 330:114] + node _T_1411 = bits(_T_1410, 0, 0) @[ifu_mem_ctl.scala 330:122] + node _T_1412 = bits(bypass_index, 4, 2) @[ifu_mem_ctl.scala 330:87] + node _T_1413 = eq(_T_1412, UInt<2>("h03")) @[ifu_mem_ctl.scala 330:114] + node _T_1414 = bits(_T_1413, 0, 0) @[ifu_mem_ctl.scala 330:122] + node _T_1415 = bits(bypass_index, 4, 2) @[ifu_mem_ctl.scala 330:87] + node _T_1416 = eq(_T_1415, UInt<3>("h04")) @[ifu_mem_ctl.scala 330:114] + node _T_1417 = bits(_T_1416, 0, 0) @[ifu_mem_ctl.scala 330:122] + node _T_1418 = bits(bypass_index, 4, 2) @[ifu_mem_ctl.scala 330:87] + node _T_1419 = eq(_T_1418, UInt<3>("h05")) @[ifu_mem_ctl.scala 330:114] + node _T_1420 = bits(_T_1419, 0, 0) @[ifu_mem_ctl.scala 330:122] + node _T_1421 = bits(bypass_index, 4, 2) @[ifu_mem_ctl.scala 330:87] + node _T_1422 = eq(_T_1421, UInt<3>("h06")) @[ifu_mem_ctl.scala 330:114] + node _T_1423 = bits(_T_1422, 0, 0) @[ifu_mem_ctl.scala 330:122] + node _T_1424 = bits(bypass_index, 4, 2) @[ifu_mem_ctl.scala 330:87] + node _T_1425 = eq(_T_1424, UInt<3>("h07")) @[ifu_mem_ctl.scala 330:114] + node _T_1426 = bits(_T_1425, 0, 0) @[ifu_mem_ctl.scala 330:122] + node _T_1427 = mux(_T_1405, ic_miss_buff_data_valid_in_0, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1428 = mux(_T_1408, ic_miss_buff_data_valid_in_1, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1429 = mux(_T_1411, ic_miss_buff_data_valid_in_2, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1430 = mux(_T_1414, ic_miss_buff_data_valid_in_3, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1431 = mux(_T_1417, ic_miss_buff_data_valid_in_4, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1432 = mux(_T_1420, ic_miss_buff_data_valid_in_5, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1433 = mux(_T_1423, ic_miss_buff_data_valid_in_6, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1434 = mux(_T_1426, ic_miss_buff_data_valid_in_7, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1435 = or(_T_1427, _T_1428) @[Mux.scala 27:72] + node _T_1436 = or(_T_1435, _T_1429) @[Mux.scala 27:72] + node _T_1437 = or(_T_1436, _T_1430) @[Mux.scala 27:72] + node _T_1438 = or(_T_1437, _T_1431) @[Mux.scala 27:72] + node _T_1439 = or(_T_1438, _T_1432) @[Mux.scala 27:72] + node _T_1440 = or(_T_1439, _T_1433) @[Mux.scala 27:72] + node _T_1441 = or(_T_1440, _T_1434) @[Mux.scala 27:72] + wire bypass_valid_value_check : UInt<1> @[Mux.scala 27:72] + bypass_valid_value_check <= _T_1441 @[Mux.scala 27:72] + node _T_1442 = bits(bypass_index, 1, 1) @[ifu_mem_ctl.scala 331:71] + node _T_1443 = eq(_T_1442, UInt<1>("h00")) @[ifu_mem_ctl.scala 331:58] + node _T_1444 = and(bypass_valid_value_check, _T_1443) @[ifu_mem_ctl.scala 331:56] + node _T_1445 = bits(bypass_index, 0, 0) @[ifu_mem_ctl.scala 331:90] + node _T_1446 = eq(_T_1445, UInt<1>("h00")) @[ifu_mem_ctl.scala 331:77] + node _T_1447 = and(_T_1444, _T_1446) @[ifu_mem_ctl.scala 331:75] + node _T_1448 = bits(bypass_index, 1, 1) @[ifu_mem_ctl.scala 332:71] + node _T_1449 = eq(_T_1448, UInt<1>("h00")) @[ifu_mem_ctl.scala 332:58] + node _T_1450 = and(bypass_valid_value_check, _T_1449) @[ifu_mem_ctl.scala 332:56] + node _T_1451 = bits(bypass_index, 0, 0) @[ifu_mem_ctl.scala 332:89] + node _T_1452 = and(_T_1450, _T_1451) @[ifu_mem_ctl.scala 332:75] + node _T_1453 = or(_T_1447, _T_1452) @[ifu_mem_ctl.scala 331:95] + node _T_1454 = bits(bypass_index, 1, 1) @[ifu_mem_ctl.scala 333:70] + node _T_1455 = and(bypass_valid_value_check, _T_1454) @[ifu_mem_ctl.scala 333:56] + node _T_1456 = bits(bypass_index, 0, 0) @[ifu_mem_ctl.scala 333:89] + node _T_1457 = eq(_T_1456, UInt<1>("h00")) @[ifu_mem_ctl.scala 333:76] + node _T_1458 = and(_T_1455, _T_1457) @[ifu_mem_ctl.scala 333:74] + node _T_1459 = or(_T_1453, _T_1458) @[ifu_mem_ctl.scala 332:94] + node _T_1460 = bits(bypass_index, 1, 1) @[ifu_mem_ctl.scala 334:47] + node _T_1461 = and(bypass_valid_value_check, _T_1460) @[ifu_mem_ctl.scala 334:33] + node _T_1462 = bits(bypass_index, 0, 0) @[ifu_mem_ctl.scala 334:65] + node _T_1463 = and(_T_1461, _T_1462) @[ifu_mem_ctl.scala 334:51] + node _T_1464 = eq(bypass_index_5_3_inc, UInt<1>("h00")) @[ifu_mem_ctl.scala 334:132] + node _T_1465 = bits(_T_1464, 0, 0) @[ifu_mem_ctl.scala 334:140] + node _T_1466 = eq(bypass_index_5_3_inc, UInt<1>("h01")) @[ifu_mem_ctl.scala 334:132] + node _T_1467 = bits(_T_1466, 0, 0) @[ifu_mem_ctl.scala 334:140] + node _T_1468 = eq(bypass_index_5_3_inc, UInt<2>("h02")) @[ifu_mem_ctl.scala 334:132] + node _T_1469 = bits(_T_1468, 0, 0) @[ifu_mem_ctl.scala 334:140] + node _T_1470 = eq(bypass_index_5_3_inc, UInt<2>("h03")) @[ifu_mem_ctl.scala 334:132] + node _T_1471 = bits(_T_1470, 0, 0) @[ifu_mem_ctl.scala 334:140] + node _T_1472 = eq(bypass_index_5_3_inc, UInt<3>("h04")) @[ifu_mem_ctl.scala 334:132] + node _T_1473 = bits(_T_1472, 0, 0) @[ifu_mem_ctl.scala 334:140] + node _T_1474 = eq(bypass_index_5_3_inc, UInt<3>("h05")) @[ifu_mem_ctl.scala 334:132] + node _T_1475 = bits(_T_1474, 0, 0) @[ifu_mem_ctl.scala 334:140] + node _T_1476 = eq(bypass_index_5_3_inc, UInt<3>("h06")) @[ifu_mem_ctl.scala 334:132] + node _T_1477 = bits(_T_1476, 0, 0) @[ifu_mem_ctl.scala 334:140] + node _T_1478 = eq(bypass_index_5_3_inc, UInt<3>("h07")) @[ifu_mem_ctl.scala 334:132] + node _T_1479 = bits(_T_1478, 0, 0) @[ifu_mem_ctl.scala 334:140] + node _T_1480 = mux(_T_1465, ic_miss_buff_data_valid_in_0, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1481 = mux(_T_1467, ic_miss_buff_data_valid_in_1, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1482 = mux(_T_1469, ic_miss_buff_data_valid_in_2, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1483 = mux(_T_1471, ic_miss_buff_data_valid_in_3, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1484 = mux(_T_1473, ic_miss_buff_data_valid_in_4, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1485 = mux(_T_1475, ic_miss_buff_data_valid_in_5, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1486 = mux(_T_1477, ic_miss_buff_data_valid_in_6, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1487 = mux(_T_1479, ic_miss_buff_data_valid_in_7, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1488 = or(_T_1480, _T_1481) @[Mux.scala 27:72] + node _T_1489 = or(_T_1488, _T_1482) @[Mux.scala 27:72] + node _T_1490 = or(_T_1489, _T_1483) @[Mux.scala 27:72] + node _T_1491 = or(_T_1490, _T_1484) @[Mux.scala 27:72] + node _T_1492 = or(_T_1491, _T_1485) @[Mux.scala 27:72] + node _T_1493 = or(_T_1492, _T_1486) @[Mux.scala 27:72] + node _T_1494 = or(_T_1493, _T_1487) @[Mux.scala 27:72] + wire _T_1495 : UInt<1> @[Mux.scala 27:72] + _T_1495 <= _T_1494 @[Mux.scala 27:72] + node _T_1496 = and(_T_1463, _T_1495) @[ifu_mem_ctl.scala 334:69] + node _T_1497 = or(_T_1459, _T_1496) @[ifu_mem_ctl.scala 333:94] + node _T_1498 = bits(bypass_index, 4, 2) @[ifu_mem_ctl.scala 335:70] + node _T_1499 = mux(UInt<1>("h01"), UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_1500 = eq(_T_1498, _T_1499) @[ifu_mem_ctl.scala 335:95] + node _T_1501 = and(bypass_valid_value_check, _T_1500) @[ifu_mem_ctl.scala 335:56] + node bypass_data_ready_in = or(_T_1497, _T_1501) @[ifu_mem_ctl.scala 334:181] + wire ic_crit_wd_rdy_new_ff : UInt<1> + ic_crit_wd_rdy_new_ff <= UInt<1>("h00") + node _T_1502 = and(bypass_data_ready_in, crit_wd_byp_ok_ff) @[ifu_mem_ctl.scala 339:53] + node _T_1503 = and(_T_1502, uncacheable_miss_ff) @[ifu_mem_ctl.scala 339:73] + node _T_1504 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_mem_ctl.scala 339:98] + node _T_1505 = and(_T_1503, _T_1504) @[ifu_mem_ctl.scala 339:96] + node _T_1506 = eq(ifu_bp_hit_taken_q_f, UInt<1>("h00")) @[ifu_mem_ctl.scala 339:120] + node _T_1507 = and(_T_1505, _T_1506) @[ifu_mem_ctl.scala 339:118] + node _T_1508 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[ifu_mem_ctl.scala 340:75] + node _T_1509 = and(crit_wd_byp_ok_ff, _T_1508) @[ifu_mem_ctl.scala 340:73] + node _T_1510 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_mem_ctl.scala 340:98] + node _T_1511 = and(_T_1509, _T_1510) @[ifu_mem_ctl.scala 340:96] + node _T_1512 = eq(ifu_bp_hit_taken_q_f, UInt<1>("h00")) @[ifu_mem_ctl.scala 340:120] + node _T_1513 = and(_T_1511, _T_1512) @[ifu_mem_ctl.scala 340:118] + node _T_1514 = or(_T_1507, _T_1513) @[ifu_mem_ctl.scala 339:143] + node _T_1515 = and(ic_crit_wd_rdy_new_ff, crit_wd_byp_ok_ff) @[ifu_mem_ctl.scala 341:54] + node _T_1516 = eq(fetch_req_icache_f, UInt<1>("h00")) @[ifu_mem_ctl.scala 341:76] + node _T_1517 = and(_T_1515, _T_1516) @[ifu_mem_ctl.scala 341:74] + node _T_1518 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_mem_ctl.scala 341:98] + node _T_1519 = and(_T_1517, _T_1518) @[ifu_mem_ctl.scala 341:96] + node ic_crit_wd_rdy_new_in = or(_T_1514, _T_1519) @[ifu_mem_ctl.scala 340:143] + reg _T_1520 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 342:58] + _T_1520 <= ic_crit_wd_rdy_new_in @[ifu_mem_ctl.scala 342:58] + ic_crit_wd_rdy_new_ff <= _T_1520 @[ifu_mem_ctl.scala 342:25] + node byp_fetch_index = bits(ifu_fetch_addr_int_f, 4, 0) @[ifu_mem_ctl.scala 343:45] + node _T_1521 = bits(ifu_fetch_addr_int_f, 4, 2) @[ifu_mem_ctl.scala 344:51] + node byp_fetch_index_0 = cat(_T_1521, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_1522 = bits(ifu_fetch_addr_int_f, 4, 2) @[ifu_mem_ctl.scala 345:51] + node byp_fetch_index_1 = cat(_T_1522, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_1523 = bits(ifu_fetch_addr_int_f, 4, 2) @[ifu_mem_ctl.scala 346:49] + node _T_1524 = add(_T_1523, UInt<1>("h01")) @[ifu_mem_ctl.scala 346:75] + node byp_fetch_index_inc = tail(_T_1524, 1) @[ifu_mem_ctl.scala 346:75] + node byp_fetch_index_inc_0 = cat(byp_fetch_index_inc, UInt<1>("h00")) @[Cat.scala 29:58] + node byp_fetch_index_inc_1 = cat(byp_fetch_index_inc, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_1525 = bits(bypass_index, 4, 2) @[ifu_mem_ctl.scala 349:93] + node _T_1526 = eq(_T_1525, UInt<1>("h00")) @[ifu_mem_ctl.scala 349:118] + node _T_1527 = bits(_T_1526, 0, 0) @[ifu_mem_ctl.scala 349:126] + node _T_1528 = bits(ic_miss_buff_data_error, 0, 0) @[ifu_mem_ctl.scala 349:157] + node _T_1529 = bits(bypass_index, 4, 2) @[ifu_mem_ctl.scala 349:93] + node _T_1530 = eq(_T_1529, UInt<1>("h01")) @[ifu_mem_ctl.scala 349:118] + node _T_1531 = bits(_T_1530, 0, 0) @[ifu_mem_ctl.scala 349:126] + node _T_1532 = bits(ic_miss_buff_data_error, 1, 1) @[ifu_mem_ctl.scala 349:157] + node _T_1533 = bits(bypass_index, 4, 2) @[ifu_mem_ctl.scala 349:93] + node _T_1534 = eq(_T_1533, UInt<2>("h02")) @[ifu_mem_ctl.scala 349:118] + node _T_1535 = bits(_T_1534, 0, 0) @[ifu_mem_ctl.scala 349:126] + node _T_1536 = bits(ic_miss_buff_data_error, 2, 2) @[ifu_mem_ctl.scala 349:157] + node _T_1537 = bits(bypass_index, 4, 2) @[ifu_mem_ctl.scala 349:93] + node _T_1538 = eq(_T_1537, UInt<2>("h03")) @[ifu_mem_ctl.scala 349:118] + node _T_1539 = bits(_T_1538, 0, 0) @[ifu_mem_ctl.scala 349:126] + node _T_1540 = bits(ic_miss_buff_data_error, 3, 3) @[ifu_mem_ctl.scala 349:157] + node _T_1541 = bits(bypass_index, 4, 2) @[ifu_mem_ctl.scala 349:93] + node _T_1542 = eq(_T_1541, UInt<3>("h04")) @[ifu_mem_ctl.scala 349:118] + node _T_1543 = bits(_T_1542, 0, 0) @[ifu_mem_ctl.scala 349:126] + node _T_1544 = bits(ic_miss_buff_data_error, 4, 4) @[ifu_mem_ctl.scala 349:157] + node _T_1545 = bits(bypass_index, 4, 2) @[ifu_mem_ctl.scala 349:93] + node _T_1546 = eq(_T_1545, UInt<3>("h05")) @[ifu_mem_ctl.scala 349:118] + node _T_1547 = bits(_T_1546, 0, 0) @[ifu_mem_ctl.scala 349:126] + node _T_1548 = bits(ic_miss_buff_data_error, 5, 5) @[ifu_mem_ctl.scala 349:157] + node _T_1549 = bits(bypass_index, 4, 2) @[ifu_mem_ctl.scala 349:93] + node _T_1550 = eq(_T_1549, UInt<3>("h06")) @[ifu_mem_ctl.scala 349:118] + node _T_1551 = bits(_T_1550, 0, 0) @[ifu_mem_ctl.scala 349:126] + node _T_1552 = bits(ic_miss_buff_data_error, 6, 6) @[ifu_mem_ctl.scala 349:157] + node _T_1553 = bits(bypass_index, 4, 2) @[ifu_mem_ctl.scala 349:93] + node _T_1554 = eq(_T_1553, UInt<3>("h07")) @[ifu_mem_ctl.scala 349:118] + node _T_1555 = bits(_T_1554, 0, 0) @[ifu_mem_ctl.scala 349:126] + node _T_1556 = bits(ic_miss_buff_data_error, 7, 7) @[ifu_mem_ctl.scala 349:157] + node _T_1557 = mux(_T_1527, _T_1528, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1558 = mux(_T_1531, _T_1532, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1559 = mux(_T_1535, _T_1536, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1560 = mux(_T_1539, _T_1540, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1561 = mux(_T_1543, _T_1544, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1562 = mux(_T_1547, _T_1548, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1563 = mux(_T_1551, _T_1552, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1564 = mux(_T_1555, _T_1556, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1565 = or(_T_1557, _T_1558) @[Mux.scala 27:72] + node _T_1566 = or(_T_1565, _T_1559) @[Mux.scala 27:72] + node _T_1567 = or(_T_1566, _T_1560) @[Mux.scala 27:72] + node _T_1568 = or(_T_1567, _T_1561) @[Mux.scala 27:72] + node _T_1569 = or(_T_1568, _T_1562) @[Mux.scala 27:72] + node _T_1570 = or(_T_1569, _T_1563) @[Mux.scala 27:72] + node _T_1571 = or(_T_1570, _T_1564) @[Mux.scala 27:72] + wire ic_miss_buff_data_error_bypass : UInt<1> @[Mux.scala 27:72] + ic_miss_buff_data_error_bypass <= _T_1571 @[Mux.scala 27:72] + node _T_1572 = eq(byp_fetch_index_inc, UInt<1>("h00")) @[ifu_mem_ctl.scala 350:104] + node _T_1573 = bits(_T_1572, 0, 0) @[ifu_mem_ctl.scala 350:112] + node _T_1574 = bits(ic_miss_buff_data_error, 0, 0) @[ifu_mem_ctl.scala 350:143] + node _T_1575 = eq(byp_fetch_index_inc, UInt<1>("h01")) @[ifu_mem_ctl.scala 350:104] + node _T_1576 = bits(_T_1575, 0, 0) @[ifu_mem_ctl.scala 350:112] + node _T_1577 = bits(ic_miss_buff_data_error, 1, 1) @[ifu_mem_ctl.scala 350:143] + node _T_1578 = eq(byp_fetch_index_inc, UInt<2>("h02")) @[ifu_mem_ctl.scala 350:104] + node _T_1579 = bits(_T_1578, 0, 0) @[ifu_mem_ctl.scala 350:112] + node _T_1580 = bits(ic_miss_buff_data_error, 2, 2) @[ifu_mem_ctl.scala 350:143] + node _T_1581 = eq(byp_fetch_index_inc, UInt<2>("h03")) @[ifu_mem_ctl.scala 350:104] + node _T_1582 = bits(_T_1581, 0, 0) @[ifu_mem_ctl.scala 350:112] + node _T_1583 = bits(ic_miss_buff_data_error, 3, 3) @[ifu_mem_ctl.scala 350:143] + node _T_1584 = eq(byp_fetch_index_inc, UInt<3>("h04")) @[ifu_mem_ctl.scala 350:104] + node _T_1585 = bits(_T_1584, 0, 0) @[ifu_mem_ctl.scala 350:112] + node _T_1586 = bits(ic_miss_buff_data_error, 4, 4) @[ifu_mem_ctl.scala 350:143] + node _T_1587 = eq(byp_fetch_index_inc, UInt<3>("h05")) @[ifu_mem_ctl.scala 350:104] + node _T_1588 = bits(_T_1587, 0, 0) @[ifu_mem_ctl.scala 350:112] + node _T_1589 = bits(ic_miss_buff_data_error, 5, 5) @[ifu_mem_ctl.scala 350:143] + node _T_1590 = eq(byp_fetch_index_inc, UInt<3>("h06")) @[ifu_mem_ctl.scala 350:104] + node _T_1591 = bits(_T_1590, 0, 0) @[ifu_mem_ctl.scala 350:112] + node _T_1592 = bits(ic_miss_buff_data_error, 6, 6) @[ifu_mem_ctl.scala 350:143] + node _T_1593 = eq(byp_fetch_index_inc, UInt<3>("h07")) @[ifu_mem_ctl.scala 350:104] + node _T_1594 = bits(_T_1593, 0, 0) @[ifu_mem_ctl.scala 350:112] + node _T_1595 = bits(ic_miss_buff_data_error, 7, 7) @[ifu_mem_ctl.scala 350:143] + node _T_1596 = mux(_T_1573, _T_1574, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1597 = mux(_T_1576, _T_1577, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1598 = mux(_T_1579, _T_1580, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1599 = mux(_T_1582, _T_1583, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1600 = mux(_T_1585, _T_1586, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1601 = mux(_T_1588, _T_1589, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1602 = mux(_T_1591, _T_1592, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1603 = mux(_T_1594, _T_1595, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1604 = or(_T_1596, _T_1597) @[Mux.scala 27:72] + node _T_1605 = or(_T_1604, _T_1598) @[Mux.scala 27:72] + node _T_1606 = or(_T_1605, _T_1599) @[Mux.scala 27:72] + node _T_1607 = or(_T_1606, _T_1600) @[Mux.scala 27:72] + node _T_1608 = or(_T_1607, _T_1601) @[Mux.scala 27:72] + node _T_1609 = or(_T_1608, _T_1602) @[Mux.scala 27:72] + node _T_1610 = or(_T_1609, _T_1603) @[Mux.scala 27:72] + wire ic_miss_buff_data_error_bypass_inc : UInt<1> @[Mux.scala 27:72] + ic_miss_buff_data_error_bypass_inc <= _T_1610 @[Mux.scala 27:72] + node _T_1611 = bits(ifu_fetch_addr_int_f, 1, 1) @[ifu_mem_ctl.scala 351:51] + node _T_1612 = eq(_T_1611, UInt<1>("h00")) @[ifu_mem_ctl.scala 351:30] + node _T_1613 = bits(ifu_fetch_addr_int_f, 0, 0) @[ifu_mem_ctl.scala 351:78] + node _T_1614 = eq(_T_1613, UInt<1>("h00")) @[ifu_mem_ctl.scala 351:57] + node _T_1615 = and(_T_1612, _T_1614) @[ifu_mem_ctl.scala 351:55] + node _T_1616 = bits(byp_fetch_index, 4, 2) @[ifu_mem_ctl.scala 351:123] + node _T_1617 = dshr(ic_miss_buff_data_error, _T_1616) @[ifu_mem_ctl.scala 351:107] + node _T_1618 = bits(_T_1617, 0, 0) @[ifu_mem_ctl.scala 351:107] + node _T_1619 = and(_T_1615, _T_1618) @[ifu_mem_ctl.scala 351:82] + node _T_1620 = bits(ifu_fetch_addr_int_f, 1, 1) @[ifu_mem_ctl.scala 352:29] + node _T_1621 = eq(_T_1620, UInt<1>("h00")) @[ifu_mem_ctl.scala 352:8] + node _T_1622 = bits(ifu_fetch_addr_int_f, 0, 0) @[ifu_mem_ctl.scala 352:56] + node _T_1623 = and(_T_1621, _T_1622) @[ifu_mem_ctl.scala 352:33] + node _T_1624 = bits(byp_fetch_index, 4, 2) @[ifu_mem_ctl.scala 352:101] + node _T_1625 = dshr(ic_miss_buff_data_error, _T_1624) @[ifu_mem_ctl.scala 352:85] + node _T_1626 = bits(_T_1625, 0, 0) @[ifu_mem_ctl.scala 352:85] + node _T_1627 = and(_T_1623, _T_1626) @[ifu_mem_ctl.scala 352:60] + node _T_1628 = or(_T_1619, _T_1627) @[ifu_mem_ctl.scala 351:151] + node _T_1629 = bits(ifu_fetch_addr_int_f, 1, 1) @[ifu_mem_ctl.scala 353:29] + node _T_1630 = eq(_T_1629, UInt<1>("h00")) @[ifu_mem_ctl.scala 353:8] + node _T_1631 = bits(ifu_fetch_addr_int_f, 0, 0) @[ifu_mem_ctl.scala 353:56] + node _T_1632 = and(_T_1630, _T_1631) @[ifu_mem_ctl.scala 353:33] + node _T_1633 = bits(byp_fetch_index, 4, 2) @[ifu_mem_ctl.scala 353:101] + node _T_1634 = dshr(ic_miss_buff_data_error, _T_1633) @[ifu_mem_ctl.scala 353:85] + node _T_1635 = bits(_T_1634, 0, 0) @[ifu_mem_ctl.scala 353:85] + node _T_1636 = and(_T_1632, _T_1635) @[ifu_mem_ctl.scala 353:60] + node _T_1637 = or(_T_1628, _T_1636) @[ifu_mem_ctl.scala 352:129] + node _T_1638 = bits(ifu_fetch_addr_int_f, 1, 1) @[ifu_mem_ctl.scala 354:29] + node _T_1639 = bits(ifu_fetch_addr_int_f, 0, 0) @[ifu_mem_ctl.scala 354:56] + node _T_1640 = eq(_T_1639, UInt<1>("h00")) @[ifu_mem_ctl.scala 354:35] + node _T_1641 = and(_T_1638, _T_1640) @[ifu_mem_ctl.scala 354:33] + node _T_1642 = bits(byp_fetch_index, 4, 2) @[ifu_mem_ctl.scala 354:101] + node _T_1643 = dshr(ic_miss_buff_data_error, _T_1642) @[ifu_mem_ctl.scala 354:85] + node _T_1644 = bits(_T_1643, 0, 0) @[ifu_mem_ctl.scala 354:85] + node _T_1645 = and(_T_1641, _T_1644) @[ifu_mem_ctl.scala 354:60] + node _T_1646 = or(_T_1637, _T_1645) @[ifu_mem_ctl.scala 353:129] + node _T_1647 = bits(ifu_fetch_addr_int_f, 1, 1) @[ifu_mem_ctl.scala 355:28] + node _T_1648 = bits(ifu_fetch_addr_int_f, 0, 0) @[ifu_mem_ctl.scala 355:54] + node _T_1649 = and(_T_1647, _T_1648) @[ifu_mem_ctl.scala 355:32] + node _T_1650 = bits(byp_fetch_index, 4, 2) @[ifu_mem_ctl.scala 355:100] + node _T_1651 = dshr(ic_miss_buff_data_error, _T_1650) @[ifu_mem_ctl.scala 355:84] + node _T_1652 = bits(_T_1651, 0, 0) @[ifu_mem_ctl.scala 355:84] + node _T_1653 = bits(byp_fetch_index_inc, 2, 0) @[ifu_mem_ctl.scala 356:52] + node _T_1654 = dshr(ic_miss_buff_data_error, _T_1653) @[ifu_mem_ctl.scala 356:32] + node _T_1655 = bits(_T_1654, 0, 0) @[ifu_mem_ctl.scala 356:32] + node _T_1656 = or(_T_1652, _T_1655) @[ifu_mem_ctl.scala 355:127] + node _T_1657 = and(_T_1649, _T_1656) @[ifu_mem_ctl.scala 355:58] + node _T_1658 = or(_T_1646, _T_1657) @[ifu_mem_ctl.scala 354:129] + ifu_byp_data_err_new <= _T_1658 @[ifu_mem_ctl.scala 351:26] + node _T_1659 = bits(ifu_fetch_addr_int_f, 1, 1) @[ifu_mem_ctl.scala 357:59] + node _T_1660 = bits(_T_1659, 0, 0) @[ifu_mem_ctl.scala 357:63] + node _T_1661 = eq(_T_1660, UInt<1>("h00")) @[ifu_mem_ctl.scala 357:38] + node _T_1662 = eq(byp_fetch_index_inc_0, UInt<1>("h00")) @[ifu_mem_ctl.scala 358:73] + node _T_1663 = bits(_T_1662, 0, 0) @[ifu_mem_ctl.scala 358:81] + node _T_1664 = bits(ic_miss_buff_data[0], 15, 0) @[ifu_mem_ctl.scala 358:109] + node _T_1665 = eq(byp_fetch_index_inc_0, UInt<1>("h01")) @[ifu_mem_ctl.scala 358:73] + node _T_1666 = bits(_T_1665, 0, 0) @[ifu_mem_ctl.scala 358:81] + node _T_1667 = bits(ic_miss_buff_data[1], 15, 0) @[ifu_mem_ctl.scala 358:109] + node _T_1668 = eq(byp_fetch_index_inc_0, UInt<2>("h02")) @[ifu_mem_ctl.scala 358:73] + node _T_1669 = bits(_T_1668, 0, 0) @[ifu_mem_ctl.scala 358:81] + node _T_1670 = bits(ic_miss_buff_data[2], 15, 0) @[ifu_mem_ctl.scala 358:109] + node _T_1671 = eq(byp_fetch_index_inc_0, UInt<2>("h03")) @[ifu_mem_ctl.scala 358:73] + node _T_1672 = bits(_T_1671, 0, 0) @[ifu_mem_ctl.scala 358:81] + node _T_1673 = bits(ic_miss_buff_data[3], 15, 0) @[ifu_mem_ctl.scala 358:109] + node _T_1674 = eq(byp_fetch_index_inc_0, UInt<3>("h04")) @[ifu_mem_ctl.scala 358:73] + node _T_1675 = bits(_T_1674, 0, 0) @[ifu_mem_ctl.scala 358:81] + node _T_1676 = bits(ic_miss_buff_data[4], 15, 0) @[ifu_mem_ctl.scala 358:109] + node _T_1677 = eq(byp_fetch_index_inc_0, UInt<3>("h05")) @[ifu_mem_ctl.scala 358:73] + node _T_1678 = bits(_T_1677, 0, 0) @[ifu_mem_ctl.scala 358:81] + node _T_1679 = bits(ic_miss_buff_data[5], 15, 0) @[ifu_mem_ctl.scala 358:109] + node _T_1680 = eq(byp_fetch_index_inc_0, UInt<3>("h06")) @[ifu_mem_ctl.scala 358:73] + node _T_1681 = bits(_T_1680, 0, 0) @[ifu_mem_ctl.scala 358:81] + node _T_1682 = bits(ic_miss_buff_data[6], 15, 0) @[ifu_mem_ctl.scala 358:109] + node _T_1683 = eq(byp_fetch_index_inc_0, UInt<3>("h07")) @[ifu_mem_ctl.scala 358:73] + node _T_1684 = bits(_T_1683, 0, 0) @[ifu_mem_ctl.scala 358:81] + node _T_1685 = bits(ic_miss_buff_data[7], 15, 0) @[ifu_mem_ctl.scala 358:109] + node _T_1686 = eq(byp_fetch_index_inc_0, UInt<4>("h08")) @[ifu_mem_ctl.scala 358:73] + node _T_1687 = bits(_T_1686, 0, 0) @[ifu_mem_ctl.scala 358:81] + node _T_1688 = bits(ic_miss_buff_data[8], 15, 0) @[ifu_mem_ctl.scala 358:109] + node _T_1689 = eq(byp_fetch_index_inc_0, UInt<4>("h09")) @[ifu_mem_ctl.scala 358:73] + node _T_1690 = bits(_T_1689, 0, 0) @[ifu_mem_ctl.scala 358:81] + node _T_1691 = bits(ic_miss_buff_data[9], 15, 0) @[ifu_mem_ctl.scala 358:109] + node _T_1692 = eq(byp_fetch_index_inc_0, UInt<4>("h0a")) @[ifu_mem_ctl.scala 358:73] + node _T_1693 = bits(_T_1692, 0, 0) @[ifu_mem_ctl.scala 358:81] + node _T_1694 = bits(ic_miss_buff_data[10], 15, 0) @[ifu_mem_ctl.scala 358:109] + node _T_1695 = eq(byp_fetch_index_inc_0, UInt<4>("h0b")) @[ifu_mem_ctl.scala 358:73] + node _T_1696 = bits(_T_1695, 0, 0) @[ifu_mem_ctl.scala 358:81] + node _T_1697 = bits(ic_miss_buff_data[11], 15, 0) @[ifu_mem_ctl.scala 358:109] + node _T_1698 = eq(byp_fetch_index_inc_0, UInt<4>("h0c")) @[ifu_mem_ctl.scala 358:73] + node _T_1699 = bits(_T_1698, 0, 0) @[ifu_mem_ctl.scala 358:81] + node _T_1700 = bits(ic_miss_buff_data[12], 15, 0) @[ifu_mem_ctl.scala 358:109] + node _T_1701 = eq(byp_fetch_index_inc_0, UInt<4>("h0d")) @[ifu_mem_ctl.scala 358:73] + node _T_1702 = bits(_T_1701, 0, 0) @[ifu_mem_ctl.scala 358:81] + node _T_1703 = bits(ic_miss_buff_data[13], 15, 0) @[ifu_mem_ctl.scala 358:109] + node _T_1704 = eq(byp_fetch_index_inc_0, UInt<4>("h0e")) @[ifu_mem_ctl.scala 358:73] + node _T_1705 = bits(_T_1704, 0, 0) @[ifu_mem_ctl.scala 358:81] + node _T_1706 = bits(ic_miss_buff_data[14], 15, 0) @[ifu_mem_ctl.scala 358:109] + node _T_1707 = eq(byp_fetch_index_inc_0, UInt<4>("h0f")) @[ifu_mem_ctl.scala 358:73] + node _T_1708 = bits(_T_1707, 0, 0) @[ifu_mem_ctl.scala 358:81] + node _T_1709 = bits(ic_miss_buff_data[15], 15, 0) @[ifu_mem_ctl.scala 358:109] + node _T_1710 = mux(_T_1663, _T_1664, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1711 = mux(_T_1666, _T_1667, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1712 = mux(_T_1669, _T_1670, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1713 = mux(_T_1672, _T_1673, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1714 = mux(_T_1675, _T_1676, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1715 = mux(_T_1678, _T_1679, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1716 = mux(_T_1681, _T_1682, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1717 = mux(_T_1684, _T_1685, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1718 = mux(_T_1687, _T_1688, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1719 = mux(_T_1690, _T_1691, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1720 = mux(_T_1693, _T_1694, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1721 = mux(_T_1696, _T_1697, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1722 = mux(_T_1699, _T_1700, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1723 = mux(_T_1702, _T_1703, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1724 = mux(_T_1705, _T_1706, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1725 = mux(_T_1708, _T_1709, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1726 = or(_T_1710, _T_1711) @[Mux.scala 27:72] + node _T_1727 = or(_T_1726, _T_1712) @[Mux.scala 27:72] + node _T_1728 = or(_T_1727, _T_1713) @[Mux.scala 27:72] + node _T_1729 = or(_T_1728, _T_1714) @[Mux.scala 27:72] + node _T_1730 = or(_T_1729, _T_1715) @[Mux.scala 27:72] + node _T_1731 = or(_T_1730, _T_1716) @[Mux.scala 27:72] + node _T_1732 = or(_T_1731, _T_1717) @[Mux.scala 27:72] + node _T_1733 = or(_T_1732, _T_1718) @[Mux.scala 27:72] + node _T_1734 = or(_T_1733, _T_1719) @[Mux.scala 27:72] + node _T_1735 = or(_T_1734, _T_1720) @[Mux.scala 27:72] + node _T_1736 = or(_T_1735, _T_1721) @[Mux.scala 27:72] + node _T_1737 = or(_T_1736, _T_1722) @[Mux.scala 27:72] + node _T_1738 = or(_T_1737, _T_1723) @[Mux.scala 27:72] + node _T_1739 = or(_T_1738, _T_1724) @[Mux.scala 27:72] + node _T_1740 = or(_T_1739, _T_1725) @[Mux.scala 27:72] + wire _T_1741 : UInt<16> @[Mux.scala 27:72] + _T_1741 <= _T_1740 @[Mux.scala 27:72] + node _T_1742 = eq(byp_fetch_index_1, UInt<1>("h00")) @[ifu_mem_ctl.scala 358:179] + node _T_1743 = bits(_T_1742, 0, 0) @[ifu_mem_ctl.scala 358:187] + node _T_1744 = bits(ic_miss_buff_data[0], 31, 0) @[ifu_mem_ctl.scala 358:215] + node _T_1745 = eq(byp_fetch_index_1, UInt<1>("h01")) @[ifu_mem_ctl.scala 358:179] + node _T_1746 = bits(_T_1745, 0, 0) @[ifu_mem_ctl.scala 358:187] + node _T_1747 = bits(ic_miss_buff_data[1], 31, 0) @[ifu_mem_ctl.scala 358:215] + node _T_1748 = eq(byp_fetch_index_1, UInt<2>("h02")) @[ifu_mem_ctl.scala 358:179] + node _T_1749 = bits(_T_1748, 0, 0) @[ifu_mem_ctl.scala 358:187] + node _T_1750 = bits(ic_miss_buff_data[2], 31, 0) @[ifu_mem_ctl.scala 358:215] + node _T_1751 = eq(byp_fetch_index_1, UInt<2>("h03")) @[ifu_mem_ctl.scala 358:179] + node _T_1752 = bits(_T_1751, 0, 0) @[ifu_mem_ctl.scala 358:187] + node _T_1753 = bits(ic_miss_buff_data[3], 31, 0) @[ifu_mem_ctl.scala 358:215] + node _T_1754 = eq(byp_fetch_index_1, UInt<3>("h04")) @[ifu_mem_ctl.scala 358:179] + node _T_1755 = bits(_T_1754, 0, 0) @[ifu_mem_ctl.scala 358:187] + node _T_1756 = bits(ic_miss_buff_data[4], 31, 0) @[ifu_mem_ctl.scala 358:215] + node _T_1757 = eq(byp_fetch_index_1, UInt<3>("h05")) @[ifu_mem_ctl.scala 358:179] + node _T_1758 = bits(_T_1757, 0, 0) @[ifu_mem_ctl.scala 358:187] + node _T_1759 = bits(ic_miss_buff_data[5], 31, 0) @[ifu_mem_ctl.scala 358:215] + node _T_1760 = eq(byp_fetch_index_1, UInt<3>("h06")) @[ifu_mem_ctl.scala 358:179] + node _T_1761 = bits(_T_1760, 0, 0) @[ifu_mem_ctl.scala 358:187] + node _T_1762 = bits(ic_miss_buff_data[6], 31, 0) @[ifu_mem_ctl.scala 358:215] + node _T_1763 = eq(byp_fetch_index_1, UInt<3>("h07")) @[ifu_mem_ctl.scala 358:179] + node _T_1764 = bits(_T_1763, 0, 0) @[ifu_mem_ctl.scala 358:187] + node _T_1765 = bits(ic_miss_buff_data[7], 31, 0) @[ifu_mem_ctl.scala 358:215] + node _T_1766 = eq(byp_fetch_index_1, UInt<4>("h08")) @[ifu_mem_ctl.scala 358:179] + node _T_1767 = bits(_T_1766, 0, 0) @[ifu_mem_ctl.scala 358:187] + node _T_1768 = bits(ic_miss_buff_data[8], 31, 0) @[ifu_mem_ctl.scala 358:215] + node _T_1769 = eq(byp_fetch_index_1, UInt<4>("h09")) @[ifu_mem_ctl.scala 358:179] + node _T_1770 = bits(_T_1769, 0, 0) @[ifu_mem_ctl.scala 358:187] + node _T_1771 = bits(ic_miss_buff_data[9], 31, 0) @[ifu_mem_ctl.scala 358:215] + node _T_1772 = eq(byp_fetch_index_1, UInt<4>("h0a")) @[ifu_mem_ctl.scala 358:179] + node _T_1773 = bits(_T_1772, 0, 0) @[ifu_mem_ctl.scala 358:187] + node _T_1774 = bits(ic_miss_buff_data[10], 31, 0) @[ifu_mem_ctl.scala 358:215] + node _T_1775 = eq(byp_fetch_index_1, UInt<4>("h0b")) @[ifu_mem_ctl.scala 358:179] + node _T_1776 = bits(_T_1775, 0, 0) @[ifu_mem_ctl.scala 358:187] + node _T_1777 = bits(ic_miss_buff_data[11], 31, 0) @[ifu_mem_ctl.scala 358:215] + node _T_1778 = eq(byp_fetch_index_1, UInt<4>("h0c")) @[ifu_mem_ctl.scala 358:179] + node _T_1779 = bits(_T_1778, 0, 0) @[ifu_mem_ctl.scala 358:187] + node _T_1780 = bits(ic_miss_buff_data[12], 31, 0) @[ifu_mem_ctl.scala 358:215] + node _T_1781 = eq(byp_fetch_index_1, UInt<4>("h0d")) @[ifu_mem_ctl.scala 358:179] + node _T_1782 = bits(_T_1781, 0, 0) @[ifu_mem_ctl.scala 358:187] + node _T_1783 = bits(ic_miss_buff_data[13], 31, 0) @[ifu_mem_ctl.scala 358:215] + node _T_1784 = eq(byp_fetch_index_1, UInt<4>("h0e")) @[ifu_mem_ctl.scala 358:179] + node _T_1785 = bits(_T_1784, 0, 0) @[ifu_mem_ctl.scala 358:187] + node _T_1786 = bits(ic_miss_buff_data[14], 31, 0) @[ifu_mem_ctl.scala 358:215] + node _T_1787 = eq(byp_fetch_index_1, UInt<4>("h0f")) @[ifu_mem_ctl.scala 358:179] + node _T_1788 = bits(_T_1787, 0, 0) @[ifu_mem_ctl.scala 358:187] + node _T_1789 = bits(ic_miss_buff_data[15], 31, 0) @[ifu_mem_ctl.scala 358:215] + node _T_1790 = mux(_T_1743, _T_1744, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1791 = mux(_T_1746, _T_1747, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1792 = mux(_T_1749, _T_1750, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1793 = mux(_T_1752, _T_1753, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1794 = mux(_T_1755, _T_1756, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1795 = mux(_T_1758, _T_1759, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1796 = mux(_T_1761, _T_1762, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1797 = mux(_T_1764, _T_1765, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1798 = mux(_T_1767, _T_1768, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1799 = mux(_T_1770, _T_1771, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1800 = mux(_T_1773, _T_1774, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1801 = mux(_T_1776, _T_1777, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1802 = mux(_T_1779, _T_1780, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1803 = mux(_T_1782, _T_1783, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1804 = mux(_T_1785, _T_1786, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1805 = mux(_T_1788, _T_1789, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1806 = or(_T_1790, _T_1791) @[Mux.scala 27:72] + node _T_1807 = or(_T_1806, _T_1792) @[Mux.scala 27:72] + node _T_1808 = or(_T_1807, _T_1793) @[Mux.scala 27:72] + node _T_1809 = or(_T_1808, _T_1794) @[Mux.scala 27:72] + node _T_1810 = or(_T_1809, _T_1795) @[Mux.scala 27:72] + node _T_1811 = or(_T_1810, _T_1796) @[Mux.scala 27:72] + node _T_1812 = or(_T_1811, _T_1797) @[Mux.scala 27:72] + node _T_1813 = or(_T_1812, _T_1798) @[Mux.scala 27:72] + node _T_1814 = or(_T_1813, _T_1799) @[Mux.scala 27:72] + node _T_1815 = or(_T_1814, _T_1800) @[Mux.scala 27:72] + node _T_1816 = or(_T_1815, _T_1801) @[Mux.scala 27:72] + node _T_1817 = or(_T_1816, _T_1802) @[Mux.scala 27:72] + node _T_1818 = or(_T_1817, _T_1803) @[Mux.scala 27:72] + node _T_1819 = or(_T_1818, _T_1804) @[Mux.scala 27:72] + node _T_1820 = or(_T_1819, _T_1805) @[Mux.scala 27:72] + wire _T_1821 : UInt<32> @[Mux.scala 27:72] + _T_1821 <= _T_1820 @[Mux.scala 27:72] + node _T_1822 = eq(byp_fetch_index_0, UInt<1>("h00")) @[ifu_mem_ctl.scala 358:285] + node _T_1823 = bits(_T_1822, 0, 0) @[ifu_mem_ctl.scala 358:293] + node _T_1824 = bits(ic_miss_buff_data[0], 31, 0) @[ifu_mem_ctl.scala 358:321] + node _T_1825 = eq(byp_fetch_index_0, UInt<1>("h01")) @[ifu_mem_ctl.scala 358:285] + node _T_1826 = bits(_T_1825, 0, 0) @[ifu_mem_ctl.scala 358:293] + node _T_1827 = bits(ic_miss_buff_data[1], 31, 0) @[ifu_mem_ctl.scala 358:321] + node _T_1828 = eq(byp_fetch_index_0, UInt<2>("h02")) @[ifu_mem_ctl.scala 358:285] + node _T_1829 = bits(_T_1828, 0, 0) @[ifu_mem_ctl.scala 358:293] + node _T_1830 = bits(ic_miss_buff_data[2], 31, 0) @[ifu_mem_ctl.scala 358:321] + node _T_1831 = eq(byp_fetch_index_0, UInt<2>("h03")) @[ifu_mem_ctl.scala 358:285] + node _T_1832 = bits(_T_1831, 0, 0) @[ifu_mem_ctl.scala 358:293] + node _T_1833 = bits(ic_miss_buff_data[3], 31, 0) @[ifu_mem_ctl.scala 358:321] + node _T_1834 = eq(byp_fetch_index_0, UInt<3>("h04")) @[ifu_mem_ctl.scala 358:285] + node _T_1835 = bits(_T_1834, 0, 0) @[ifu_mem_ctl.scala 358:293] + node _T_1836 = bits(ic_miss_buff_data[4], 31, 0) @[ifu_mem_ctl.scala 358:321] + node _T_1837 = eq(byp_fetch_index_0, UInt<3>("h05")) @[ifu_mem_ctl.scala 358:285] + node _T_1838 = bits(_T_1837, 0, 0) @[ifu_mem_ctl.scala 358:293] + node _T_1839 = bits(ic_miss_buff_data[5], 31, 0) @[ifu_mem_ctl.scala 358:321] + node _T_1840 = eq(byp_fetch_index_0, UInt<3>("h06")) @[ifu_mem_ctl.scala 358:285] + node _T_1841 = bits(_T_1840, 0, 0) @[ifu_mem_ctl.scala 358:293] + node _T_1842 = bits(ic_miss_buff_data[6], 31, 0) @[ifu_mem_ctl.scala 358:321] + node _T_1843 = eq(byp_fetch_index_0, UInt<3>("h07")) @[ifu_mem_ctl.scala 358:285] + node _T_1844 = bits(_T_1843, 0, 0) @[ifu_mem_ctl.scala 358:293] + node _T_1845 = bits(ic_miss_buff_data[7], 31, 0) @[ifu_mem_ctl.scala 358:321] + node _T_1846 = eq(byp_fetch_index_0, UInt<4>("h08")) @[ifu_mem_ctl.scala 358:285] + node _T_1847 = bits(_T_1846, 0, 0) @[ifu_mem_ctl.scala 358:293] + node _T_1848 = bits(ic_miss_buff_data[8], 31, 0) @[ifu_mem_ctl.scala 358:321] + node _T_1849 = eq(byp_fetch_index_0, UInt<4>("h09")) @[ifu_mem_ctl.scala 358:285] + node _T_1850 = bits(_T_1849, 0, 0) @[ifu_mem_ctl.scala 358:293] + node _T_1851 = bits(ic_miss_buff_data[9], 31, 0) @[ifu_mem_ctl.scala 358:321] + node _T_1852 = eq(byp_fetch_index_0, UInt<4>("h0a")) @[ifu_mem_ctl.scala 358:285] + node _T_1853 = bits(_T_1852, 0, 0) @[ifu_mem_ctl.scala 358:293] + node _T_1854 = bits(ic_miss_buff_data[10], 31, 0) @[ifu_mem_ctl.scala 358:321] + node _T_1855 = eq(byp_fetch_index_0, UInt<4>("h0b")) @[ifu_mem_ctl.scala 358:285] + node _T_1856 = bits(_T_1855, 0, 0) @[ifu_mem_ctl.scala 358:293] + node _T_1857 = bits(ic_miss_buff_data[11], 31, 0) @[ifu_mem_ctl.scala 358:321] + node _T_1858 = eq(byp_fetch_index_0, UInt<4>("h0c")) @[ifu_mem_ctl.scala 358:285] + node _T_1859 = bits(_T_1858, 0, 0) @[ifu_mem_ctl.scala 358:293] + node _T_1860 = bits(ic_miss_buff_data[12], 31, 0) @[ifu_mem_ctl.scala 358:321] + node _T_1861 = eq(byp_fetch_index_0, UInt<4>("h0d")) @[ifu_mem_ctl.scala 358:285] + node _T_1862 = bits(_T_1861, 0, 0) @[ifu_mem_ctl.scala 358:293] + node _T_1863 = bits(ic_miss_buff_data[13], 31, 0) @[ifu_mem_ctl.scala 358:321] + node _T_1864 = eq(byp_fetch_index_0, UInt<4>("h0e")) @[ifu_mem_ctl.scala 358:285] + node _T_1865 = bits(_T_1864, 0, 0) @[ifu_mem_ctl.scala 358:293] + node _T_1866 = bits(ic_miss_buff_data[14], 31, 0) @[ifu_mem_ctl.scala 358:321] + node _T_1867 = eq(byp_fetch_index_0, UInt<4>("h0f")) @[ifu_mem_ctl.scala 358:285] + node _T_1868 = bits(_T_1867, 0, 0) @[ifu_mem_ctl.scala 358:293] + node _T_1869 = bits(ic_miss_buff_data[15], 31, 0) @[ifu_mem_ctl.scala 358:321] + node _T_1870 = mux(_T_1823, _T_1824, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1871 = mux(_T_1826, _T_1827, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1872 = mux(_T_1829, _T_1830, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1873 = mux(_T_1832, _T_1833, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1874 = mux(_T_1835, _T_1836, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1875 = mux(_T_1838, _T_1839, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1876 = mux(_T_1841, _T_1842, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1877 = mux(_T_1844, _T_1845, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1878 = mux(_T_1847, _T_1848, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1879 = mux(_T_1850, _T_1851, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1880 = mux(_T_1853, _T_1854, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1881 = mux(_T_1856, _T_1857, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1882 = mux(_T_1859, _T_1860, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1883 = mux(_T_1862, _T_1863, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1884 = mux(_T_1865, _T_1866, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1885 = mux(_T_1868, _T_1869, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1886 = or(_T_1870, _T_1871) @[Mux.scala 27:72] + node _T_1887 = or(_T_1886, _T_1872) @[Mux.scala 27:72] + node _T_1888 = or(_T_1887, _T_1873) @[Mux.scala 27:72] + node _T_1889 = or(_T_1888, _T_1874) @[Mux.scala 27:72] + node _T_1890 = or(_T_1889, _T_1875) @[Mux.scala 27:72] + node _T_1891 = or(_T_1890, _T_1876) @[Mux.scala 27:72] + node _T_1892 = or(_T_1891, _T_1877) @[Mux.scala 27:72] + node _T_1893 = or(_T_1892, _T_1878) @[Mux.scala 27:72] + node _T_1894 = or(_T_1893, _T_1879) @[Mux.scala 27:72] + node _T_1895 = or(_T_1894, _T_1880) @[Mux.scala 27:72] + node _T_1896 = or(_T_1895, _T_1881) @[Mux.scala 27:72] + node _T_1897 = or(_T_1896, _T_1882) @[Mux.scala 27:72] + node _T_1898 = or(_T_1897, _T_1883) @[Mux.scala 27:72] + node _T_1899 = or(_T_1898, _T_1884) @[Mux.scala 27:72] + node _T_1900 = or(_T_1899, _T_1885) @[Mux.scala 27:72] + wire _T_1901 : UInt<32> @[Mux.scala 27:72] + _T_1901 <= _T_1900 @[Mux.scala 27:72] + node _T_1902 = cat(_T_1741, _T_1821) @[Cat.scala 29:58] + node _T_1903 = cat(_T_1902, _T_1901) @[Cat.scala 29:58] + node _T_1904 = eq(byp_fetch_index_inc_1, UInt<1>("h00")) @[ifu_mem_ctl.scala 359:73] + node _T_1905 = bits(_T_1904, 0, 0) @[ifu_mem_ctl.scala 359:81] + node _T_1906 = bits(ic_miss_buff_data[0], 15, 0) @[ifu_mem_ctl.scala 359:109] + node _T_1907 = eq(byp_fetch_index_inc_1, UInt<1>("h01")) @[ifu_mem_ctl.scala 359:73] + node _T_1908 = bits(_T_1907, 0, 0) @[ifu_mem_ctl.scala 359:81] + node _T_1909 = bits(ic_miss_buff_data[1], 15, 0) @[ifu_mem_ctl.scala 359:109] + node _T_1910 = eq(byp_fetch_index_inc_1, UInt<2>("h02")) @[ifu_mem_ctl.scala 359:73] + node _T_1911 = bits(_T_1910, 0, 0) @[ifu_mem_ctl.scala 359:81] + node _T_1912 = bits(ic_miss_buff_data[2], 15, 0) @[ifu_mem_ctl.scala 359:109] + node _T_1913 = eq(byp_fetch_index_inc_1, UInt<2>("h03")) @[ifu_mem_ctl.scala 359:73] + node _T_1914 = bits(_T_1913, 0, 0) @[ifu_mem_ctl.scala 359:81] + node _T_1915 = bits(ic_miss_buff_data[3], 15, 0) @[ifu_mem_ctl.scala 359:109] + node _T_1916 = eq(byp_fetch_index_inc_1, UInt<3>("h04")) @[ifu_mem_ctl.scala 359:73] + node _T_1917 = bits(_T_1916, 0, 0) @[ifu_mem_ctl.scala 359:81] + node _T_1918 = bits(ic_miss_buff_data[4], 15, 0) @[ifu_mem_ctl.scala 359:109] + node _T_1919 = eq(byp_fetch_index_inc_1, UInt<3>("h05")) @[ifu_mem_ctl.scala 359:73] + node _T_1920 = bits(_T_1919, 0, 0) @[ifu_mem_ctl.scala 359:81] + node _T_1921 = bits(ic_miss_buff_data[5], 15, 0) @[ifu_mem_ctl.scala 359:109] + node _T_1922 = eq(byp_fetch_index_inc_1, UInt<3>("h06")) @[ifu_mem_ctl.scala 359:73] + node _T_1923 = bits(_T_1922, 0, 0) @[ifu_mem_ctl.scala 359:81] + node _T_1924 = bits(ic_miss_buff_data[6], 15, 0) @[ifu_mem_ctl.scala 359:109] + node _T_1925 = eq(byp_fetch_index_inc_1, UInt<3>("h07")) @[ifu_mem_ctl.scala 359:73] + node _T_1926 = bits(_T_1925, 0, 0) @[ifu_mem_ctl.scala 359:81] + node _T_1927 = bits(ic_miss_buff_data[7], 15, 0) @[ifu_mem_ctl.scala 359:109] + node _T_1928 = eq(byp_fetch_index_inc_1, UInt<4>("h08")) @[ifu_mem_ctl.scala 359:73] + node _T_1929 = bits(_T_1928, 0, 0) @[ifu_mem_ctl.scala 359:81] + node _T_1930 = bits(ic_miss_buff_data[8], 15, 0) @[ifu_mem_ctl.scala 359:109] + node _T_1931 = eq(byp_fetch_index_inc_1, UInt<4>("h09")) @[ifu_mem_ctl.scala 359:73] + node _T_1932 = bits(_T_1931, 0, 0) @[ifu_mem_ctl.scala 359:81] + node _T_1933 = bits(ic_miss_buff_data[9], 15, 0) @[ifu_mem_ctl.scala 359:109] + node _T_1934 = eq(byp_fetch_index_inc_1, UInt<4>("h0a")) @[ifu_mem_ctl.scala 359:73] + node _T_1935 = bits(_T_1934, 0, 0) @[ifu_mem_ctl.scala 359:81] + node _T_1936 = bits(ic_miss_buff_data[10], 15, 0) @[ifu_mem_ctl.scala 359:109] + node _T_1937 = eq(byp_fetch_index_inc_1, UInt<4>("h0b")) @[ifu_mem_ctl.scala 359:73] + node _T_1938 = bits(_T_1937, 0, 0) @[ifu_mem_ctl.scala 359:81] + node _T_1939 = bits(ic_miss_buff_data[11], 15, 0) @[ifu_mem_ctl.scala 359:109] + node _T_1940 = eq(byp_fetch_index_inc_1, UInt<4>("h0c")) @[ifu_mem_ctl.scala 359:73] + node _T_1941 = bits(_T_1940, 0, 0) @[ifu_mem_ctl.scala 359:81] + node _T_1942 = bits(ic_miss_buff_data[12], 15, 0) @[ifu_mem_ctl.scala 359:109] + node _T_1943 = eq(byp_fetch_index_inc_1, UInt<4>("h0d")) @[ifu_mem_ctl.scala 359:73] + node _T_1944 = bits(_T_1943, 0, 0) @[ifu_mem_ctl.scala 359:81] + node _T_1945 = bits(ic_miss_buff_data[13], 15, 0) @[ifu_mem_ctl.scala 359:109] + node _T_1946 = eq(byp_fetch_index_inc_1, UInt<4>("h0e")) @[ifu_mem_ctl.scala 359:73] + node _T_1947 = bits(_T_1946, 0, 0) @[ifu_mem_ctl.scala 359:81] + node _T_1948 = bits(ic_miss_buff_data[14], 15, 0) @[ifu_mem_ctl.scala 359:109] + node _T_1949 = eq(byp_fetch_index_inc_1, UInt<4>("h0f")) @[ifu_mem_ctl.scala 359:73] + node _T_1950 = bits(_T_1949, 0, 0) @[ifu_mem_ctl.scala 359:81] + node _T_1951 = bits(ic_miss_buff_data[15], 15, 0) @[ifu_mem_ctl.scala 359:109] + node _T_1952 = mux(_T_1905, _T_1906, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1953 = mux(_T_1908, _T_1909, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1954 = mux(_T_1911, _T_1912, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1955 = mux(_T_1914, _T_1915, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1956 = mux(_T_1917, _T_1918, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1957 = mux(_T_1920, _T_1921, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1958 = mux(_T_1923, _T_1924, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1959 = mux(_T_1926, _T_1927, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1960 = mux(_T_1929, _T_1930, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1961 = mux(_T_1932, _T_1933, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1962 = mux(_T_1935, _T_1936, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1963 = mux(_T_1938, _T_1939, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1964 = mux(_T_1941, _T_1942, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1965 = mux(_T_1944, _T_1945, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1966 = mux(_T_1947, _T_1948, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1967 = mux(_T_1950, _T_1951, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1968 = or(_T_1952, _T_1953) @[Mux.scala 27:72] + node _T_1969 = or(_T_1968, _T_1954) @[Mux.scala 27:72] + node _T_1970 = or(_T_1969, _T_1955) @[Mux.scala 27:72] + node _T_1971 = or(_T_1970, _T_1956) @[Mux.scala 27:72] + node _T_1972 = or(_T_1971, _T_1957) @[Mux.scala 27:72] + node _T_1973 = or(_T_1972, _T_1958) @[Mux.scala 27:72] + node _T_1974 = or(_T_1973, _T_1959) @[Mux.scala 27:72] + node _T_1975 = or(_T_1974, _T_1960) @[Mux.scala 27:72] + node _T_1976 = or(_T_1975, _T_1961) @[Mux.scala 27:72] + node _T_1977 = or(_T_1976, _T_1962) @[Mux.scala 27:72] + node _T_1978 = or(_T_1977, _T_1963) @[Mux.scala 27:72] + node _T_1979 = or(_T_1978, _T_1964) @[Mux.scala 27:72] + node _T_1980 = or(_T_1979, _T_1965) @[Mux.scala 27:72] + node _T_1981 = or(_T_1980, _T_1966) @[Mux.scala 27:72] + node _T_1982 = or(_T_1981, _T_1967) @[Mux.scala 27:72] + wire _T_1983 : UInt<16> @[Mux.scala 27:72] + _T_1983 <= _T_1982 @[Mux.scala 27:72] + node _T_1984 = eq(byp_fetch_index_inc_0, UInt<1>("h00")) @[ifu_mem_ctl.scala 359:183] + node _T_1985 = bits(_T_1984, 0, 0) @[ifu_mem_ctl.scala 359:191] + node _T_1986 = bits(ic_miss_buff_data[0], 31, 0) @[ifu_mem_ctl.scala 359:219] + node _T_1987 = eq(byp_fetch_index_inc_0, UInt<1>("h01")) @[ifu_mem_ctl.scala 359:183] + node _T_1988 = bits(_T_1987, 0, 0) @[ifu_mem_ctl.scala 359:191] + node _T_1989 = bits(ic_miss_buff_data[1], 31, 0) @[ifu_mem_ctl.scala 359:219] + node _T_1990 = eq(byp_fetch_index_inc_0, UInt<2>("h02")) @[ifu_mem_ctl.scala 359:183] + node _T_1991 = bits(_T_1990, 0, 0) @[ifu_mem_ctl.scala 359:191] + node _T_1992 = bits(ic_miss_buff_data[2], 31, 0) @[ifu_mem_ctl.scala 359:219] + node _T_1993 = eq(byp_fetch_index_inc_0, UInt<2>("h03")) @[ifu_mem_ctl.scala 359:183] + node _T_1994 = bits(_T_1993, 0, 0) @[ifu_mem_ctl.scala 359:191] + node _T_1995 = bits(ic_miss_buff_data[3], 31, 0) @[ifu_mem_ctl.scala 359:219] + node _T_1996 = eq(byp_fetch_index_inc_0, UInt<3>("h04")) @[ifu_mem_ctl.scala 359:183] + node _T_1997 = bits(_T_1996, 0, 0) @[ifu_mem_ctl.scala 359:191] + node _T_1998 = bits(ic_miss_buff_data[4], 31, 0) @[ifu_mem_ctl.scala 359:219] + node _T_1999 = eq(byp_fetch_index_inc_0, UInt<3>("h05")) @[ifu_mem_ctl.scala 359:183] + node _T_2000 = bits(_T_1999, 0, 0) @[ifu_mem_ctl.scala 359:191] + node _T_2001 = bits(ic_miss_buff_data[5], 31, 0) @[ifu_mem_ctl.scala 359:219] + node _T_2002 = eq(byp_fetch_index_inc_0, UInt<3>("h06")) @[ifu_mem_ctl.scala 359:183] + node _T_2003 = bits(_T_2002, 0, 0) @[ifu_mem_ctl.scala 359:191] + node _T_2004 = bits(ic_miss_buff_data[6], 31, 0) @[ifu_mem_ctl.scala 359:219] + node _T_2005 = eq(byp_fetch_index_inc_0, UInt<3>("h07")) @[ifu_mem_ctl.scala 359:183] + node _T_2006 = bits(_T_2005, 0, 0) @[ifu_mem_ctl.scala 359:191] + node _T_2007 = bits(ic_miss_buff_data[7], 31, 0) @[ifu_mem_ctl.scala 359:219] + node _T_2008 = eq(byp_fetch_index_inc_0, UInt<4>("h08")) @[ifu_mem_ctl.scala 359:183] + node _T_2009 = bits(_T_2008, 0, 0) @[ifu_mem_ctl.scala 359:191] + node _T_2010 = bits(ic_miss_buff_data[8], 31, 0) @[ifu_mem_ctl.scala 359:219] + node _T_2011 = eq(byp_fetch_index_inc_0, UInt<4>("h09")) @[ifu_mem_ctl.scala 359:183] + node _T_2012 = bits(_T_2011, 0, 0) @[ifu_mem_ctl.scala 359:191] + node _T_2013 = bits(ic_miss_buff_data[9], 31, 0) @[ifu_mem_ctl.scala 359:219] + node _T_2014 = eq(byp_fetch_index_inc_0, UInt<4>("h0a")) @[ifu_mem_ctl.scala 359:183] + node _T_2015 = bits(_T_2014, 0, 0) @[ifu_mem_ctl.scala 359:191] + node _T_2016 = bits(ic_miss_buff_data[10], 31, 0) @[ifu_mem_ctl.scala 359:219] + node _T_2017 = eq(byp_fetch_index_inc_0, UInt<4>("h0b")) @[ifu_mem_ctl.scala 359:183] + node _T_2018 = bits(_T_2017, 0, 0) @[ifu_mem_ctl.scala 359:191] + node _T_2019 = bits(ic_miss_buff_data[11], 31, 0) @[ifu_mem_ctl.scala 359:219] + node _T_2020 = eq(byp_fetch_index_inc_0, UInt<4>("h0c")) @[ifu_mem_ctl.scala 359:183] + node _T_2021 = bits(_T_2020, 0, 0) @[ifu_mem_ctl.scala 359:191] + node _T_2022 = bits(ic_miss_buff_data[12], 31, 0) @[ifu_mem_ctl.scala 359:219] + node _T_2023 = eq(byp_fetch_index_inc_0, UInt<4>("h0d")) @[ifu_mem_ctl.scala 359:183] + node _T_2024 = bits(_T_2023, 0, 0) @[ifu_mem_ctl.scala 359:191] + node _T_2025 = bits(ic_miss_buff_data[13], 31, 0) @[ifu_mem_ctl.scala 359:219] + node _T_2026 = eq(byp_fetch_index_inc_0, UInt<4>("h0e")) @[ifu_mem_ctl.scala 359:183] + node _T_2027 = bits(_T_2026, 0, 0) @[ifu_mem_ctl.scala 359:191] + node _T_2028 = bits(ic_miss_buff_data[14], 31, 0) @[ifu_mem_ctl.scala 359:219] + node _T_2029 = eq(byp_fetch_index_inc_0, UInt<4>("h0f")) @[ifu_mem_ctl.scala 359:183] + node _T_2030 = bits(_T_2029, 0, 0) @[ifu_mem_ctl.scala 359:191] + node _T_2031 = bits(ic_miss_buff_data[15], 31, 0) @[ifu_mem_ctl.scala 359:219] + node _T_2032 = mux(_T_1985, _T_1986, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2033 = mux(_T_1988, _T_1989, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2034 = mux(_T_1991, _T_1992, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2035 = mux(_T_1994, _T_1995, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2036 = mux(_T_1997, _T_1998, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2037 = mux(_T_2000, _T_2001, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2038 = mux(_T_2003, _T_2004, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2039 = mux(_T_2006, _T_2007, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2040 = mux(_T_2009, _T_2010, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2041 = mux(_T_2012, _T_2013, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2042 = mux(_T_2015, _T_2016, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2043 = mux(_T_2018, _T_2019, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2044 = mux(_T_2021, _T_2022, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2045 = mux(_T_2024, _T_2025, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2046 = mux(_T_2027, _T_2028, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2047 = mux(_T_2030, _T_2031, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2048 = or(_T_2032, _T_2033) @[Mux.scala 27:72] + node _T_2049 = or(_T_2048, _T_2034) @[Mux.scala 27:72] + node _T_2050 = or(_T_2049, _T_2035) @[Mux.scala 27:72] + node _T_2051 = or(_T_2050, _T_2036) @[Mux.scala 27:72] + node _T_2052 = or(_T_2051, _T_2037) @[Mux.scala 27:72] + node _T_2053 = or(_T_2052, _T_2038) @[Mux.scala 27:72] + node _T_2054 = or(_T_2053, _T_2039) @[Mux.scala 27:72] + node _T_2055 = or(_T_2054, _T_2040) @[Mux.scala 27:72] + node _T_2056 = or(_T_2055, _T_2041) @[Mux.scala 27:72] + node _T_2057 = or(_T_2056, _T_2042) @[Mux.scala 27:72] + node _T_2058 = or(_T_2057, _T_2043) @[Mux.scala 27:72] + node _T_2059 = or(_T_2058, _T_2044) @[Mux.scala 27:72] + node _T_2060 = or(_T_2059, _T_2045) @[Mux.scala 27:72] + node _T_2061 = or(_T_2060, _T_2046) @[Mux.scala 27:72] + node _T_2062 = or(_T_2061, _T_2047) @[Mux.scala 27:72] + wire _T_2063 : UInt<32> @[Mux.scala 27:72] + _T_2063 <= _T_2062 @[Mux.scala 27:72] + node _T_2064 = eq(byp_fetch_index_1, UInt<1>("h00")) @[ifu_mem_ctl.scala 359:289] + node _T_2065 = bits(_T_2064, 0, 0) @[ifu_mem_ctl.scala 359:297] + node _T_2066 = bits(ic_miss_buff_data[0], 31, 0) @[ifu_mem_ctl.scala 359:325] + node _T_2067 = eq(byp_fetch_index_1, UInt<1>("h01")) @[ifu_mem_ctl.scala 359:289] + node _T_2068 = bits(_T_2067, 0, 0) @[ifu_mem_ctl.scala 359:297] + node _T_2069 = bits(ic_miss_buff_data[1], 31, 0) @[ifu_mem_ctl.scala 359:325] + node _T_2070 = eq(byp_fetch_index_1, UInt<2>("h02")) @[ifu_mem_ctl.scala 359:289] + node _T_2071 = bits(_T_2070, 0, 0) @[ifu_mem_ctl.scala 359:297] + node _T_2072 = bits(ic_miss_buff_data[2], 31, 0) @[ifu_mem_ctl.scala 359:325] + node _T_2073 = eq(byp_fetch_index_1, UInt<2>("h03")) @[ifu_mem_ctl.scala 359:289] + node _T_2074 = bits(_T_2073, 0, 0) @[ifu_mem_ctl.scala 359:297] + node _T_2075 = bits(ic_miss_buff_data[3], 31, 0) @[ifu_mem_ctl.scala 359:325] + node _T_2076 = eq(byp_fetch_index_1, UInt<3>("h04")) @[ifu_mem_ctl.scala 359:289] + node _T_2077 = bits(_T_2076, 0, 0) @[ifu_mem_ctl.scala 359:297] + node _T_2078 = bits(ic_miss_buff_data[4], 31, 0) @[ifu_mem_ctl.scala 359:325] + node _T_2079 = eq(byp_fetch_index_1, UInt<3>("h05")) @[ifu_mem_ctl.scala 359:289] + node _T_2080 = bits(_T_2079, 0, 0) @[ifu_mem_ctl.scala 359:297] + node _T_2081 = bits(ic_miss_buff_data[5], 31, 0) @[ifu_mem_ctl.scala 359:325] + node _T_2082 = eq(byp_fetch_index_1, UInt<3>("h06")) @[ifu_mem_ctl.scala 359:289] + node _T_2083 = bits(_T_2082, 0, 0) @[ifu_mem_ctl.scala 359:297] + node _T_2084 = bits(ic_miss_buff_data[6], 31, 0) @[ifu_mem_ctl.scala 359:325] + node _T_2085 = eq(byp_fetch_index_1, UInt<3>("h07")) @[ifu_mem_ctl.scala 359:289] + node _T_2086 = bits(_T_2085, 0, 0) @[ifu_mem_ctl.scala 359:297] + node _T_2087 = bits(ic_miss_buff_data[7], 31, 0) @[ifu_mem_ctl.scala 359:325] + node _T_2088 = eq(byp_fetch_index_1, UInt<4>("h08")) @[ifu_mem_ctl.scala 359:289] + node _T_2089 = bits(_T_2088, 0, 0) @[ifu_mem_ctl.scala 359:297] + node _T_2090 = bits(ic_miss_buff_data[8], 31, 0) @[ifu_mem_ctl.scala 359:325] + node _T_2091 = eq(byp_fetch_index_1, UInt<4>("h09")) @[ifu_mem_ctl.scala 359:289] + node _T_2092 = bits(_T_2091, 0, 0) @[ifu_mem_ctl.scala 359:297] + node _T_2093 = bits(ic_miss_buff_data[9], 31, 0) @[ifu_mem_ctl.scala 359:325] + node _T_2094 = eq(byp_fetch_index_1, UInt<4>("h0a")) @[ifu_mem_ctl.scala 359:289] + node _T_2095 = bits(_T_2094, 0, 0) @[ifu_mem_ctl.scala 359:297] + node _T_2096 = bits(ic_miss_buff_data[10], 31, 0) @[ifu_mem_ctl.scala 359:325] + node _T_2097 = eq(byp_fetch_index_1, UInt<4>("h0b")) @[ifu_mem_ctl.scala 359:289] + node _T_2098 = bits(_T_2097, 0, 0) @[ifu_mem_ctl.scala 359:297] + node _T_2099 = bits(ic_miss_buff_data[11], 31, 0) @[ifu_mem_ctl.scala 359:325] + node _T_2100 = eq(byp_fetch_index_1, UInt<4>("h0c")) @[ifu_mem_ctl.scala 359:289] + node _T_2101 = bits(_T_2100, 0, 0) @[ifu_mem_ctl.scala 359:297] + node _T_2102 = bits(ic_miss_buff_data[12], 31, 0) @[ifu_mem_ctl.scala 359:325] + node _T_2103 = eq(byp_fetch_index_1, UInt<4>("h0d")) @[ifu_mem_ctl.scala 359:289] + node _T_2104 = bits(_T_2103, 0, 0) @[ifu_mem_ctl.scala 359:297] + node _T_2105 = bits(ic_miss_buff_data[13], 31, 0) @[ifu_mem_ctl.scala 359:325] + node _T_2106 = eq(byp_fetch_index_1, UInt<4>("h0e")) @[ifu_mem_ctl.scala 359:289] + node _T_2107 = bits(_T_2106, 0, 0) @[ifu_mem_ctl.scala 359:297] + node _T_2108 = bits(ic_miss_buff_data[14], 31, 0) @[ifu_mem_ctl.scala 359:325] + node _T_2109 = eq(byp_fetch_index_1, UInt<4>("h0f")) @[ifu_mem_ctl.scala 359:289] + node _T_2110 = bits(_T_2109, 0, 0) @[ifu_mem_ctl.scala 359:297] + node _T_2111 = bits(ic_miss_buff_data[15], 31, 0) @[ifu_mem_ctl.scala 359:325] + node _T_2112 = mux(_T_2065, _T_2066, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2113 = mux(_T_2068, _T_2069, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2114 = mux(_T_2071, _T_2072, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2115 = mux(_T_2074, _T_2075, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2116 = mux(_T_2077, _T_2078, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2117 = mux(_T_2080, _T_2081, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2118 = mux(_T_2083, _T_2084, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2119 = mux(_T_2086, _T_2087, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2120 = mux(_T_2089, _T_2090, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2121 = mux(_T_2092, _T_2093, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2122 = mux(_T_2095, _T_2096, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2123 = mux(_T_2098, _T_2099, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2124 = mux(_T_2101, _T_2102, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2125 = mux(_T_2104, _T_2105, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2126 = mux(_T_2107, _T_2108, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2127 = mux(_T_2110, _T_2111, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2128 = or(_T_2112, _T_2113) @[Mux.scala 27:72] + node _T_2129 = or(_T_2128, _T_2114) @[Mux.scala 27:72] + node _T_2130 = or(_T_2129, _T_2115) @[Mux.scala 27:72] + node _T_2131 = or(_T_2130, _T_2116) @[Mux.scala 27:72] + node _T_2132 = or(_T_2131, _T_2117) @[Mux.scala 27:72] + node _T_2133 = or(_T_2132, _T_2118) @[Mux.scala 27:72] + node _T_2134 = or(_T_2133, _T_2119) @[Mux.scala 27:72] + node _T_2135 = or(_T_2134, _T_2120) @[Mux.scala 27:72] + node _T_2136 = or(_T_2135, _T_2121) @[Mux.scala 27:72] + node _T_2137 = or(_T_2136, _T_2122) @[Mux.scala 27:72] + node _T_2138 = or(_T_2137, _T_2123) @[Mux.scala 27:72] + node _T_2139 = or(_T_2138, _T_2124) @[Mux.scala 27:72] + node _T_2140 = or(_T_2139, _T_2125) @[Mux.scala 27:72] + node _T_2141 = or(_T_2140, _T_2126) @[Mux.scala 27:72] + node _T_2142 = or(_T_2141, _T_2127) @[Mux.scala 27:72] + wire _T_2143 : UInt<32> @[Mux.scala 27:72] + _T_2143 <= _T_2142 @[Mux.scala 27:72] + node _T_2144 = cat(_T_1983, _T_2063) @[Cat.scala 29:58] + node _T_2145 = cat(_T_2144, _T_2143) @[Cat.scala 29:58] + node ic_byp_data_only_pre_new = mux(_T_1661, _T_1903, _T_2145) @[ifu_mem_ctl.scala 357:37] + node _T_2146 = bits(ifu_fetch_addr_int_f, 0, 0) @[ifu_mem_ctl.scala 361:52] + node _T_2147 = bits(_T_2146, 0, 0) @[ifu_mem_ctl.scala 361:62] + node _T_2148 = eq(_T_2147, UInt<1>("h00")) @[ifu_mem_ctl.scala 361:31] + node _T_2149 = bits(ic_byp_data_only_pre_new, 79, 16) @[ifu_mem_ctl.scala 361:128] + node _T_2150 = cat(UInt<16>("h00"), _T_2149) @[Cat.scala 29:58] + node _T_2151 = mux(_T_2148, ic_byp_data_only_pre_new, _T_2150) @[ifu_mem_ctl.scala 361:30] + ic_byp_data_only_new <= _T_2151 @[ifu_mem_ctl.scala 361:24] + node _T_2152 = bits(imb_ff, 5, 5) @[ifu_mem_ctl.scala 363:27] + node _T_2153 = bits(ifu_fetch_addr_int_f, 5, 5) @[ifu_mem_ctl.scala 363:75] + node miss_wrap_f = neq(_T_2152, _T_2153) @[ifu_mem_ctl.scala 363:51] + node _T_2154 = bits(byp_fetch_index, 4, 2) @[ifu_mem_ctl.scala 364:102] + node _T_2155 = eq(_T_2154, UInt<1>("h00")) @[ifu_mem_ctl.scala 364:127] + node _T_2156 = bits(_T_2155, 0, 0) @[ifu_mem_ctl.scala 364:135] + node _T_2157 = bits(ic_miss_buff_data_valid, 0, 0) @[ifu_mem_ctl.scala 364:166] + node _T_2158 = bits(byp_fetch_index, 4, 2) @[ifu_mem_ctl.scala 364:102] + node _T_2159 = eq(_T_2158, UInt<1>("h01")) @[ifu_mem_ctl.scala 364:127] + node _T_2160 = bits(_T_2159, 0, 0) @[ifu_mem_ctl.scala 364:135] + node _T_2161 = bits(ic_miss_buff_data_valid, 1, 1) @[ifu_mem_ctl.scala 364:166] + node _T_2162 = bits(byp_fetch_index, 4, 2) @[ifu_mem_ctl.scala 364:102] + node _T_2163 = eq(_T_2162, UInt<2>("h02")) @[ifu_mem_ctl.scala 364:127] + node _T_2164 = bits(_T_2163, 0, 0) @[ifu_mem_ctl.scala 364:135] + node _T_2165 = bits(ic_miss_buff_data_valid, 2, 2) @[ifu_mem_ctl.scala 364:166] + node _T_2166 = bits(byp_fetch_index, 4, 2) @[ifu_mem_ctl.scala 364:102] + node _T_2167 = eq(_T_2166, UInt<2>("h03")) @[ifu_mem_ctl.scala 364:127] + node _T_2168 = bits(_T_2167, 0, 0) @[ifu_mem_ctl.scala 364:135] + node _T_2169 = bits(ic_miss_buff_data_valid, 3, 3) @[ifu_mem_ctl.scala 364:166] + node _T_2170 = bits(byp_fetch_index, 4, 2) @[ifu_mem_ctl.scala 364:102] + node _T_2171 = eq(_T_2170, UInt<3>("h04")) @[ifu_mem_ctl.scala 364:127] + node _T_2172 = bits(_T_2171, 0, 0) @[ifu_mem_ctl.scala 364:135] + node _T_2173 = bits(ic_miss_buff_data_valid, 4, 4) @[ifu_mem_ctl.scala 364:166] + node _T_2174 = bits(byp_fetch_index, 4, 2) @[ifu_mem_ctl.scala 364:102] + node _T_2175 = eq(_T_2174, UInt<3>("h05")) @[ifu_mem_ctl.scala 364:127] + node _T_2176 = bits(_T_2175, 0, 0) @[ifu_mem_ctl.scala 364:135] + node _T_2177 = bits(ic_miss_buff_data_valid, 5, 5) @[ifu_mem_ctl.scala 364:166] + node _T_2178 = bits(byp_fetch_index, 4, 2) @[ifu_mem_ctl.scala 364:102] + node _T_2179 = eq(_T_2178, UInt<3>("h06")) @[ifu_mem_ctl.scala 364:127] + node _T_2180 = bits(_T_2179, 0, 0) @[ifu_mem_ctl.scala 364:135] + node _T_2181 = bits(ic_miss_buff_data_valid, 6, 6) @[ifu_mem_ctl.scala 364:166] + node _T_2182 = bits(byp_fetch_index, 4, 2) @[ifu_mem_ctl.scala 364:102] + node _T_2183 = eq(_T_2182, UInt<3>("h07")) @[ifu_mem_ctl.scala 364:127] + node _T_2184 = bits(_T_2183, 0, 0) @[ifu_mem_ctl.scala 364:135] + node _T_2185 = bits(ic_miss_buff_data_valid, 7, 7) @[ifu_mem_ctl.scala 364:166] + node _T_2186 = mux(_T_2156, _T_2157, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2187 = mux(_T_2160, _T_2161, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2188 = mux(_T_2164, _T_2165, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2189 = mux(_T_2168, _T_2169, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2190 = mux(_T_2172, _T_2173, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2191 = mux(_T_2176, _T_2177, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2192 = mux(_T_2180, _T_2181, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2193 = mux(_T_2184, _T_2185, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2194 = or(_T_2186, _T_2187) @[Mux.scala 27:72] + node _T_2195 = or(_T_2194, _T_2188) @[Mux.scala 27:72] + node _T_2196 = or(_T_2195, _T_2189) @[Mux.scala 27:72] + node _T_2197 = or(_T_2196, _T_2190) @[Mux.scala 27:72] + node _T_2198 = or(_T_2197, _T_2191) @[Mux.scala 27:72] + node _T_2199 = or(_T_2198, _T_2192) @[Mux.scala 27:72] + node _T_2200 = or(_T_2199, _T_2193) @[Mux.scala 27:72] + wire ic_miss_buff_data_valid_bypass_index : UInt<1> @[Mux.scala 27:72] + ic_miss_buff_data_valid_bypass_index <= _T_2200 @[Mux.scala 27:72] + node _T_2201 = eq(byp_fetch_index_inc, UInt<1>("h00")) @[ifu_mem_ctl.scala 365:110] + node _T_2202 = bits(_T_2201, 0, 0) @[ifu_mem_ctl.scala 365:118] + node _T_2203 = bits(ic_miss_buff_data_valid, 0, 0) @[ifu_mem_ctl.scala 365:149] + node _T_2204 = eq(byp_fetch_index_inc, UInt<1>("h01")) @[ifu_mem_ctl.scala 365:110] + node _T_2205 = bits(_T_2204, 0, 0) @[ifu_mem_ctl.scala 365:118] + node _T_2206 = bits(ic_miss_buff_data_valid, 1, 1) @[ifu_mem_ctl.scala 365:149] + node _T_2207 = eq(byp_fetch_index_inc, UInt<2>("h02")) @[ifu_mem_ctl.scala 365:110] + node _T_2208 = bits(_T_2207, 0, 0) @[ifu_mem_ctl.scala 365:118] + node _T_2209 = bits(ic_miss_buff_data_valid, 2, 2) @[ifu_mem_ctl.scala 365:149] + node _T_2210 = eq(byp_fetch_index_inc, UInt<2>("h03")) @[ifu_mem_ctl.scala 365:110] + node _T_2211 = bits(_T_2210, 0, 0) @[ifu_mem_ctl.scala 365:118] + node _T_2212 = bits(ic_miss_buff_data_valid, 3, 3) @[ifu_mem_ctl.scala 365:149] + node _T_2213 = eq(byp_fetch_index_inc, UInt<3>("h04")) @[ifu_mem_ctl.scala 365:110] + node _T_2214 = bits(_T_2213, 0, 0) @[ifu_mem_ctl.scala 365:118] + node _T_2215 = bits(ic_miss_buff_data_valid, 4, 4) @[ifu_mem_ctl.scala 365:149] + node _T_2216 = eq(byp_fetch_index_inc, UInt<3>("h05")) @[ifu_mem_ctl.scala 365:110] + node _T_2217 = bits(_T_2216, 0, 0) @[ifu_mem_ctl.scala 365:118] + node _T_2218 = bits(ic_miss_buff_data_valid, 5, 5) @[ifu_mem_ctl.scala 365:149] + node _T_2219 = eq(byp_fetch_index_inc, UInt<3>("h06")) @[ifu_mem_ctl.scala 365:110] + node _T_2220 = bits(_T_2219, 0, 0) @[ifu_mem_ctl.scala 365:118] + node _T_2221 = bits(ic_miss_buff_data_valid, 6, 6) @[ifu_mem_ctl.scala 365:149] + node _T_2222 = eq(byp_fetch_index_inc, UInt<3>("h07")) @[ifu_mem_ctl.scala 365:110] + node _T_2223 = bits(_T_2222, 0, 0) @[ifu_mem_ctl.scala 365:118] + node _T_2224 = bits(ic_miss_buff_data_valid, 7, 7) @[ifu_mem_ctl.scala 365:149] + node _T_2225 = mux(_T_2202, _T_2203, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2226 = mux(_T_2205, _T_2206, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2227 = mux(_T_2208, _T_2209, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2228 = mux(_T_2211, _T_2212, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2229 = mux(_T_2214, _T_2215, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2230 = mux(_T_2217, _T_2218, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2231 = mux(_T_2220, _T_2221, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2232 = mux(_T_2223, _T_2224, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2233 = or(_T_2225, _T_2226) @[Mux.scala 27:72] + node _T_2234 = or(_T_2233, _T_2227) @[Mux.scala 27:72] + node _T_2235 = or(_T_2234, _T_2228) @[Mux.scala 27:72] + node _T_2236 = or(_T_2235, _T_2229) @[Mux.scala 27:72] + node _T_2237 = or(_T_2236, _T_2230) @[Mux.scala 27:72] + node _T_2238 = or(_T_2237, _T_2231) @[Mux.scala 27:72] + node _T_2239 = or(_T_2238, _T_2232) @[Mux.scala 27:72] + wire ic_miss_buff_data_valid_inc_bypass_index : UInt<1> @[Mux.scala 27:72] + ic_miss_buff_data_valid_inc_bypass_index <= _T_2239 @[Mux.scala 27:72] + node _T_2240 = bits(byp_fetch_index, 1, 1) @[ifu_mem_ctl.scala 366:85] + node _T_2241 = eq(_T_2240, UInt<1>("h00")) @[ifu_mem_ctl.scala 366:69] + node _T_2242 = and(ic_miss_buff_data_valid_bypass_index, _T_2241) @[ifu_mem_ctl.scala 366:67] + node _T_2243 = bits(byp_fetch_index, 0, 0) @[ifu_mem_ctl.scala 366:107] + node _T_2244 = eq(_T_2243, UInt<1>("h00")) @[ifu_mem_ctl.scala 366:91] + node _T_2245 = and(_T_2242, _T_2244) @[ifu_mem_ctl.scala 366:89] + node _T_2246 = bits(byp_fetch_index, 1, 1) @[ifu_mem_ctl.scala 367:61] + node _T_2247 = eq(_T_2246, UInt<1>("h00")) @[ifu_mem_ctl.scala 367:45] + node _T_2248 = and(ic_miss_buff_data_valid_bypass_index, _T_2247) @[ifu_mem_ctl.scala 367:43] + node _T_2249 = bits(byp_fetch_index, 0, 0) @[ifu_mem_ctl.scala 367:83] + node _T_2250 = and(_T_2248, _T_2249) @[ifu_mem_ctl.scala 367:65] + node _T_2251 = or(_T_2245, _T_2250) @[ifu_mem_ctl.scala 366:112] + node _T_2252 = bits(byp_fetch_index, 1, 1) @[ifu_mem_ctl.scala 368:61] + node _T_2253 = and(ic_miss_buff_data_valid_bypass_index, _T_2252) @[ifu_mem_ctl.scala 368:43] + node _T_2254 = bits(byp_fetch_index, 0, 0) @[ifu_mem_ctl.scala 368:83] + node _T_2255 = eq(_T_2254, UInt<1>("h00")) @[ifu_mem_ctl.scala 368:67] + node _T_2256 = and(_T_2253, _T_2255) @[ifu_mem_ctl.scala 368:65] + node _T_2257 = or(_T_2251, _T_2256) @[ifu_mem_ctl.scala 367:88] + node _T_2258 = bits(byp_fetch_index, 1, 1) @[ifu_mem_ctl.scala 369:61] + node _T_2259 = and(ic_miss_buff_data_valid_bypass_index, _T_2258) @[ifu_mem_ctl.scala 369:43] + node _T_2260 = bits(byp_fetch_index, 0, 0) @[ifu_mem_ctl.scala 369:83] + node _T_2261 = and(_T_2259, _T_2260) @[ifu_mem_ctl.scala 369:65] + node _T_2262 = and(_T_2261, ic_miss_buff_data_valid_inc_bypass_index) @[ifu_mem_ctl.scala 369:87] + node _T_2263 = or(_T_2257, _T_2262) @[ifu_mem_ctl.scala 368:88] + node _T_2264 = bits(byp_fetch_index, 4, 2) @[ifu_mem_ctl.scala 370:61] + node _T_2265 = mux(UInt<1>("h01"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_2266 = eq(_T_2264, _T_2265) @[ifu_mem_ctl.scala 370:87] + node _T_2267 = and(ic_miss_buff_data_valid_bypass_index, _T_2266) @[ifu_mem_ctl.scala 370:43] + node miss_buff_hit_unq_f = or(_T_2263, _T_2267) @[ifu_mem_ctl.scala 369:131] + node _T_2268 = eq(miss_state, UInt<3>("h06")) @[ifu_mem_ctl.scala 372:30] + node _T_2269 = eq(miss_wrap_f, UInt<1>("h00")) @[ifu_mem_ctl.scala 372:68] + node _T_2270 = and(miss_buff_hit_unq_f, _T_2269) @[ifu_mem_ctl.scala 372:66] + node _T_2271 = and(_T_2268, _T_2270) @[ifu_mem_ctl.scala 372:43] + stream_hit_f <= _T_2271 @[ifu_mem_ctl.scala 372:16] + node _T_2272 = eq(miss_state, UInt<3>("h06")) @[ifu_mem_ctl.scala 373:31] + node _T_2273 = eq(miss_wrap_f, UInt<1>("h00")) @[ifu_mem_ctl.scala 373:70] + node _T_2274 = and(miss_buff_hit_unq_f, _T_2273) @[ifu_mem_ctl.scala 373:68] + node _T_2275 = eq(_T_2274, UInt<1>("h00")) @[ifu_mem_ctl.scala 373:46] + node _T_2276 = and(_T_2272, _T_2275) @[ifu_mem_ctl.scala 373:44] + node _T_2277 = and(_T_2276, ifc_fetch_req_f) @[ifu_mem_ctl.scala 373:84] + stream_miss_f <= _T_2277 @[ifu_mem_ctl.scala 373:17] + node _T_2278 = bits(byp_fetch_index, 4, 1) @[ifu_mem_ctl.scala 374:35] + node _T_2279 = mux(UInt<1>("h01"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2280 = eq(_T_2278, _T_2279) @[ifu_mem_ctl.scala 374:60] + node _T_2281 = and(_T_2280, ifc_fetch_req_f) @[ifu_mem_ctl.scala 374:94] + node _T_2282 = and(_T_2281, stream_hit_f) @[ifu_mem_ctl.scala 374:112] + stream_eol_f <= _T_2282 @[ifu_mem_ctl.scala 374:16] + node _T_2283 = eq(miss_state, UInt<3>("h04")) @[ifu_mem_ctl.scala 375:55] + node _T_2284 = eq(miss_state, UInt<3>("h01")) @[ifu_mem_ctl.scala 375:87] + node _T_2285 = or(_T_2283, _T_2284) @[ifu_mem_ctl.scala 375:74] + node _T_2286 = and(miss_buff_hit_unq_f, _T_2285) @[ifu_mem_ctl.scala 375:41] + crit_byp_hit_f <= _T_2286 @[ifu_mem_ctl.scala 375:18] + node _T_2287 = bits(ifu_bus_rid_ff, 2, 1) @[ifu_mem_ctl.scala 378:37] + node _T_2288 = bits(ifu_bus_rid_ff, 0, 0) @[ifu_mem_ctl.scala 378:70] + node _T_2289 = eq(_T_2288, UInt<1>("h00")) @[ifu_mem_ctl.scala 378:55] + node other_tag = cat(_T_2287, _T_2289) @[Cat.scala 29:58] + node _T_2290 = eq(other_tag, UInt<1>("h00")) @[ifu_mem_ctl.scala 379:81] + node _T_2291 = bits(_T_2290, 0, 0) @[ifu_mem_ctl.scala 379:89] + node _T_2292 = bits(ic_miss_buff_data_valid, 0, 0) @[ifu_mem_ctl.scala 379:120] + node _T_2293 = eq(other_tag, UInt<1>("h01")) @[ifu_mem_ctl.scala 379:81] + node _T_2294 = bits(_T_2293, 0, 0) @[ifu_mem_ctl.scala 379:89] + node _T_2295 = bits(ic_miss_buff_data_valid, 1, 1) @[ifu_mem_ctl.scala 379:120] + node _T_2296 = eq(other_tag, UInt<2>("h02")) @[ifu_mem_ctl.scala 379:81] + node _T_2297 = bits(_T_2296, 0, 0) @[ifu_mem_ctl.scala 379:89] + node _T_2298 = bits(ic_miss_buff_data_valid, 2, 2) @[ifu_mem_ctl.scala 379:120] + node _T_2299 = eq(other_tag, UInt<2>("h03")) @[ifu_mem_ctl.scala 379:81] + node _T_2300 = bits(_T_2299, 0, 0) @[ifu_mem_ctl.scala 379:89] + node _T_2301 = bits(ic_miss_buff_data_valid, 3, 3) @[ifu_mem_ctl.scala 379:120] + node _T_2302 = eq(other_tag, UInt<3>("h04")) @[ifu_mem_ctl.scala 379:81] + node _T_2303 = bits(_T_2302, 0, 0) @[ifu_mem_ctl.scala 379:89] + node _T_2304 = bits(ic_miss_buff_data_valid, 4, 4) @[ifu_mem_ctl.scala 379:120] + node _T_2305 = eq(other_tag, UInt<3>("h05")) @[ifu_mem_ctl.scala 379:81] + node _T_2306 = bits(_T_2305, 0, 0) @[ifu_mem_ctl.scala 379:89] + node _T_2307 = bits(ic_miss_buff_data_valid, 5, 5) @[ifu_mem_ctl.scala 379:120] + node _T_2308 = eq(other_tag, UInt<3>("h06")) @[ifu_mem_ctl.scala 379:81] + node _T_2309 = bits(_T_2308, 0, 0) @[ifu_mem_ctl.scala 379:89] + node _T_2310 = bits(ic_miss_buff_data_valid, 6, 6) @[ifu_mem_ctl.scala 379:120] + node _T_2311 = eq(other_tag, UInt<3>("h07")) @[ifu_mem_ctl.scala 379:81] + node _T_2312 = bits(_T_2311, 0, 0) @[ifu_mem_ctl.scala 379:89] + node _T_2313 = bits(ic_miss_buff_data_valid, 7, 7) @[ifu_mem_ctl.scala 379:120] + node _T_2314 = mux(_T_2291, _T_2292, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2315 = mux(_T_2294, _T_2295, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2316 = mux(_T_2297, _T_2298, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2317 = mux(_T_2300, _T_2301, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2318 = mux(_T_2303, _T_2304, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2319 = mux(_T_2306, _T_2307, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2320 = mux(_T_2309, _T_2310, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2321 = mux(_T_2312, _T_2313, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2322 = or(_T_2314, _T_2315) @[Mux.scala 27:72] + node _T_2323 = or(_T_2322, _T_2316) @[Mux.scala 27:72] + node _T_2324 = or(_T_2323, _T_2317) @[Mux.scala 27:72] + node _T_2325 = or(_T_2324, _T_2318) @[Mux.scala 27:72] + node _T_2326 = or(_T_2325, _T_2319) @[Mux.scala 27:72] + node _T_2327 = or(_T_2326, _T_2320) @[Mux.scala 27:72] + node _T_2328 = or(_T_2327, _T_2321) @[Mux.scala 27:72] + wire second_half_available : UInt<1> @[Mux.scala 27:72] + second_half_available <= _T_2328 @[Mux.scala 27:72] + node _T_2329 = and(second_half_available, bus_ifu_wr_en_ff) @[ifu_mem_ctl.scala 380:46] + write_ic_16_bytes <= _T_2329 @[ifu_mem_ctl.scala 380:21] + node _T_2330 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_2331 = eq(_T_2330, UInt<1>("h00")) @[ifu_mem_ctl.scala 381:89] + node _T_2332 = bits(_T_2331, 0, 0) @[ifu_mem_ctl.scala 381:97] + node _T_2333 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_2334 = eq(_T_2333, UInt<1>("h01")) @[ifu_mem_ctl.scala 381:89] + node _T_2335 = bits(_T_2334, 0, 0) @[ifu_mem_ctl.scala 381:97] + node _T_2336 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_2337 = eq(_T_2336, UInt<2>("h02")) @[ifu_mem_ctl.scala 381:89] + node _T_2338 = bits(_T_2337, 0, 0) @[ifu_mem_ctl.scala 381:97] + node _T_2339 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_2340 = eq(_T_2339, UInt<2>("h03")) @[ifu_mem_ctl.scala 381:89] + node _T_2341 = bits(_T_2340, 0, 0) @[ifu_mem_ctl.scala 381:97] + node _T_2342 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_2343 = eq(_T_2342, UInt<3>("h04")) @[ifu_mem_ctl.scala 381:89] + node _T_2344 = bits(_T_2343, 0, 0) @[ifu_mem_ctl.scala 381:97] + node _T_2345 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_2346 = eq(_T_2345, UInt<3>("h05")) @[ifu_mem_ctl.scala 381:89] + node _T_2347 = bits(_T_2346, 0, 0) @[ifu_mem_ctl.scala 381:97] + node _T_2348 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_2349 = eq(_T_2348, UInt<3>("h06")) @[ifu_mem_ctl.scala 381:89] + node _T_2350 = bits(_T_2349, 0, 0) @[ifu_mem_ctl.scala 381:97] + node _T_2351 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_2352 = eq(_T_2351, UInt<3>("h07")) @[ifu_mem_ctl.scala 381:89] + node _T_2353 = bits(_T_2352, 0, 0) @[ifu_mem_ctl.scala 381:97] + node _T_2354 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_2355 = eq(_T_2354, UInt<4>("h08")) @[ifu_mem_ctl.scala 381:89] + node _T_2356 = bits(_T_2355, 0, 0) @[ifu_mem_ctl.scala 381:97] + node _T_2357 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_2358 = eq(_T_2357, UInt<4>("h09")) @[ifu_mem_ctl.scala 381:89] + node _T_2359 = bits(_T_2358, 0, 0) @[ifu_mem_ctl.scala 381:97] + node _T_2360 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_2361 = eq(_T_2360, UInt<4>("h0a")) @[ifu_mem_ctl.scala 381:89] + node _T_2362 = bits(_T_2361, 0, 0) @[ifu_mem_ctl.scala 381:97] + node _T_2363 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_2364 = eq(_T_2363, UInt<4>("h0b")) @[ifu_mem_ctl.scala 381:89] + node _T_2365 = bits(_T_2364, 0, 0) @[ifu_mem_ctl.scala 381:97] + node _T_2366 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_2367 = eq(_T_2366, UInt<4>("h0c")) @[ifu_mem_ctl.scala 381:89] + node _T_2368 = bits(_T_2367, 0, 0) @[ifu_mem_ctl.scala 381:97] + node _T_2369 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_2370 = eq(_T_2369, UInt<4>("h0d")) @[ifu_mem_ctl.scala 381:89] + node _T_2371 = bits(_T_2370, 0, 0) @[ifu_mem_ctl.scala 381:97] + node _T_2372 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_2373 = eq(_T_2372, UInt<4>("h0e")) @[ifu_mem_ctl.scala 381:89] + node _T_2374 = bits(_T_2373, 0, 0) @[ifu_mem_ctl.scala 381:97] + node _T_2375 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_2376 = eq(_T_2375, UInt<4>("h0f")) @[ifu_mem_ctl.scala 381:89] + node _T_2377 = bits(_T_2376, 0, 0) @[ifu_mem_ctl.scala 381:97] + node _T_2378 = mux(_T_2332, ic_miss_buff_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2379 = mux(_T_2335, ic_miss_buff_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2380 = mux(_T_2338, ic_miss_buff_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2381 = mux(_T_2341, ic_miss_buff_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2382 = mux(_T_2344, ic_miss_buff_data[4], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2383 = mux(_T_2347, ic_miss_buff_data[5], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2384 = mux(_T_2350, ic_miss_buff_data[6], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2385 = mux(_T_2353, ic_miss_buff_data[7], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2386 = mux(_T_2356, ic_miss_buff_data[8], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2387 = mux(_T_2359, ic_miss_buff_data[9], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2388 = mux(_T_2362, ic_miss_buff_data[10], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2389 = mux(_T_2365, ic_miss_buff_data[11], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2390 = mux(_T_2368, ic_miss_buff_data[12], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2391 = mux(_T_2371, ic_miss_buff_data[13], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2392 = mux(_T_2374, ic_miss_buff_data[14], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2393 = mux(_T_2377, ic_miss_buff_data[15], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2394 = or(_T_2378, _T_2379) @[Mux.scala 27:72] + node _T_2395 = or(_T_2394, _T_2380) @[Mux.scala 27:72] + node _T_2396 = or(_T_2395, _T_2381) @[Mux.scala 27:72] + node _T_2397 = or(_T_2396, _T_2382) @[Mux.scala 27:72] + node _T_2398 = or(_T_2397, _T_2383) @[Mux.scala 27:72] + node _T_2399 = or(_T_2398, _T_2384) @[Mux.scala 27:72] + node _T_2400 = or(_T_2399, _T_2385) @[Mux.scala 27:72] + node _T_2401 = or(_T_2400, _T_2386) @[Mux.scala 27:72] + node _T_2402 = or(_T_2401, _T_2387) @[Mux.scala 27:72] + node _T_2403 = or(_T_2402, _T_2388) @[Mux.scala 27:72] + node _T_2404 = or(_T_2403, _T_2389) @[Mux.scala 27:72] + node _T_2405 = or(_T_2404, _T_2390) @[Mux.scala 27:72] + node _T_2406 = or(_T_2405, _T_2391) @[Mux.scala 27:72] + node _T_2407 = or(_T_2406, _T_2392) @[Mux.scala 27:72] + node _T_2408 = or(_T_2407, _T_2393) @[Mux.scala 27:72] + wire _T_2409 : UInt<32> @[Mux.scala 27:72] + _T_2409 <= _T_2408 @[Mux.scala 27:72] + node _T_2410 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2411 = eq(_T_2410, UInt<1>("h00")) @[ifu_mem_ctl.scala 382:66] + node _T_2412 = bits(_T_2411, 0, 0) @[ifu_mem_ctl.scala 382:74] + node _T_2413 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2414 = eq(_T_2413, UInt<1>("h01")) @[ifu_mem_ctl.scala 382:66] + node _T_2415 = bits(_T_2414, 0, 0) @[ifu_mem_ctl.scala 382:74] + node _T_2416 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2417 = eq(_T_2416, UInt<2>("h02")) @[ifu_mem_ctl.scala 382:66] + node _T_2418 = bits(_T_2417, 0, 0) @[ifu_mem_ctl.scala 382:74] + node _T_2419 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2420 = eq(_T_2419, UInt<2>("h03")) @[ifu_mem_ctl.scala 382:66] + node _T_2421 = bits(_T_2420, 0, 0) @[ifu_mem_ctl.scala 382:74] + node _T_2422 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2423 = eq(_T_2422, UInt<3>("h04")) @[ifu_mem_ctl.scala 382:66] + node _T_2424 = bits(_T_2423, 0, 0) @[ifu_mem_ctl.scala 382:74] + node _T_2425 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2426 = eq(_T_2425, UInt<3>("h05")) @[ifu_mem_ctl.scala 382:66] + node _T_2427 = bits(_T_2426, 0, 0) @[ifu_mem_ctl.scala 382:74] + node _T_2428 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2429 = eq(_T_2428, UInt<3>("h06")) @[ifu_mem_ctl.scala 382:66] + node _T_2430 = bits(_T_2429, 0, 0) @[ifu_mem_ctl.scala 382:74] + node _T_2431 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2432 = eq(_T_2431, UInt<3>("h07")) @[ifu_mem_ctl.scala 382:66] + node _T_2433 = bits(_T_2432, 0, 0) @[ifu_mem_ctl.scala 382:74] + node _T_2434 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2435 = eq(_T_2434, UInt<4>("h08")) @[ifu_mem_ctl.scala 382:66] + node _T_2436 = bits(_T_2435, 0, 0) @[ifu_mem_ctl.scala 382:74] + node _T_2437 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2438 = eq(_T_2437, UInt<4>("h09")) @[ifu_mem_ctl.scala 382:66] + node _T_2439 = bits(_T_2438, 0, 0) @[ifu_mem_ctl.scala 382:74] + node _T_2440 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2441 = eq(_T_2440, UInt<4>("h0a")) @[ifu_mem_ctl.scala 382:66] + node _T_2442 = bits(_T_2441, 0, 0) @[ifu_mem_ctl.scala 382:74] + node _T_2443 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2444 = eq(_T_2443, UInt<4>("h0b")) @[ifu_mem_ctl.scala 382:66] + node _T_2445 = bits(_T_2444, 0, 0) @[ifu_mem_ctl.scala 382:74] + node _T_2446 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2447 = eq(_T_2446, UInt<4>("h0c")) @[ifu_mem_ctl.scala 382:66] + node _T_2448 = bits(_T_2447, 0, 0) @[ifu_mem_ctl.scala 382:74] + node _T_2449 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2450 = eq(_T_2449, UInt<4>("h0d")) @[ifu_mem_ctl.scala 382:66] + node _T_2451 = bits(_T_2450, 0, 0) @[ifu_mem_ctl.scala 382:74] + node _T_2452 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2453 = eq(_T_2452, UInt<4>("h0e")) @[ifu_mem_ctl.scala 382:66] + node _T_2454 = bits(_T_2453, 0, 0) @[ifu_mem_ctl.scala 382:74] + node _T_2455 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2456 = eq(_T_2455, UInt<4>("h0f")) @[ifu_mem_ctl.scala 382:66] + node _T_2457 = bits(_T_2456, 0, 0) @[ifu_mem_ctl.scala 382:74] + node _T_2458 = mux(_T_2412, ic_miss_buff_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2459 = mux(_T_2415, ic_miss_buff_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2460 = mux(_T_2418, ic_miss_buff_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2461 = mux(_T_2421, ic_miss_buff_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2462 = mux(_T_2424, ic_miss_buff_data[4], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2463 = mux(_T_2427, ic_miss_buff_data[5], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2464 = mux(_T_2430, ic_miss_buff_data[6], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2465 = mux(_T_2433, ic_miss_buff_data[7], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2466 = mux(_T_2436, ic_miss_buff_data[8], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2467 = mux(_T_2439, ic_miss_buff_data[9], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2468 = mux(_T_2442, ic_miss_buff_data[10], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2469 = mux(_T_2445, ic_miss_buff_data[11], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2470 = mux(_T_2448, ic_miss_buff_data[12], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2471 = mux(_T_2451, ic_miss_buff_data[13], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2472 = mux(_T_2454, ic_miss_buff_data[14], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2473 = mux(_T_2457, ic_miss_buff_data[15], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2474 = or(_T_2458, _T_2459) @[Mux.scala 27:72] + node _T_2475 = or(_T_2474, _T_2460) @[Mux.scala 27:72] + node _T_2476 = or(_T_2475, _T_2461) @[Mux.scala 27:72] + node _T_2477 = or(_T_2476, _T_2462) @[Mux.scala 27:72] + node _T_2478 = or(_T_2477, _T_2463) @[Mux.scala 27:72] + node _T_2479 = or(_T_2478, _T_2464) @[Mux.scala 27:72] + node _T_2480 = or(_T_2479, _T_2465) @[Mux.scala 27:72] + node _T_2481 = or(_T_2480, _T_2466) @[Mux.scala 27:72] + node _T_2482 = or(_T_2481, _T_2467) @[Mux.scala 27:72] + node _T_2483 = or(_T_2482, _T_2468) @[Mux.scala 27:72] + node _T_2484 = or(_T_2483, _T_2469) @[Mux.scala 27:72] + node _T_2485 = or(_T_2484, _T_2470) @[Mux.scala 27:72] + node _T_2486 = or(_T_2485, _T_2471) @[Mux.scala 27:72] + node _T_2487 = or(_T_2486, _T_2472) @[Mux.scala 27:72] + node _T_2488 = or(_T_2487, _T_2473) @[Mux.scala 27:72] + wire _T_2489 : UInt<32> @[Mux.scala 27:72] + _T_2489 <= _T_2488 @[Mux.scala 27:72] + node _T_2490 = cat(_T_2409, _T_2489) @[Cat.scala 29:58] + ic_miss_buff_half <= _T_2490 @[ifu_mem_ctl.scala 381:21] + node _T_2491 = and(io.ic.tag_perr, sel_ic_data) @[ifu_mem_ctl.scala 385:44] + node _T_2492 = or(ifc_region_acc_fault_final_f, ifc_bus_acc_fault_f) @[ifu_mem_ctl.scala 385:91] + node _T_2493 = eq(_T_2492, UInt<1>("h00")) @[ifu_mem_ctl.scala 385:60] + node _T_2494 = and(_T_2491, _T_2493) @[ifu_mem_ctl.scala 385:58] + ic_rd_parity_final_err <= _T_2494 @[ifu_mem_ctl.scala 385:26] + wire ifu_ic_rw_int_addr_ff : UInt<7> + ifu_ic_rw_int_addr_ff <= UInt<1>("h00") + wire perr_sb_write_status : UInt<1> + perr_sb_write_status <= UInt<1>("h00") + reg perr_ic_index_ff : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when perr_sb_write_status : @[Reg.scala 28:19] + perr_ic_index_ff <= ifu_ic_rw_int_addr_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + wire perr_sel_invalidate : UInt<1> + perr_sel_invalidate <= UInt<1>("h00") + node _T_2495 = bits(perr_sel_invalidate, 0, 0) @[Bitwise.scala 72:15] + node perr_err_inv_way = mux(_T_2495, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_2496 = eq(perr_state, UInt<3>("h03")) @[ifu_mem_ctl.scala 392:34] + iccm_correct_ecc <= _T_2496 @[ifu_mem_ctl.scala 392:20] + node dma_sb_err_state = eq(perr_state, UInt<3>("h04")) @[ifu_mem_ctl.scala 393:37] + wire dma_sb_err_state_ff : UInt<1> @[ifu_mem_ctl.scala 394:33] + node _T_2497 = eq(dma_sb_err_state_ff, UInt<1>("h00")) @[ifu_mem_ctl.scala 395:49] + node _T_2498 = and(iccm_correct_ecc, _T_2497) @[ifu_mem_ctl.scala 395:47] + io.iccm.buf_correct_ecc <= _T_2498 @[ifu_mem_ctl.scala 395:27] + reg _T_2499 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 396:58] + _T_2499 <= dma_sb_err_state @[ifu_mem_ctl.scala 396:58] + dma_sb_err_state_ff <= _T_2499 @[ifu_mem_ctl.scala 396:23] + wire perr_nxtstate : UInt<3> + perr_nxtstate <= UInt<1>("h00") + wire perr_state_en : UInt<1> + perr_state_en <= UInt<1>("h00") + wire iccm_error_start : UInt<1> + iccm_error_start <= UInt<1>("h00") + node _T_2500 = eq(UInt<3>("h00"), perr_state) @[Conditional.scala 37:30] + when _T_2500 : @[Conditional.scala 40:58] + node _T_2501 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_mem_ctl.scala 404:106] + node _T_2502 = and(io.dec_mem_ctrl.ifu_ic_error_start, _T_2501) @[ifu_mem_ctl.scala 404:104] + node _T_2503 = bits(_T_2502, 0, 0) @[ifu_mem_ctl.scala 404:127] + node _T_2504 = mux(_T_2503, UInt<3>("h01"), UInt<3>("h02")) @[ifu_mem_ctl.scala 404:67] + node _T_2505 = mux(io.iccm_dma_sb_error, UInt<3>("h04"), _T_2504) @[ifu_mem_ctl.scala 404:27] + perr_nxtstate <= _T_2505 @[ifu_mem_ctl.scala 404:21] + node _T_2506 = or(iccm_error_start, io.dec_mem_ctrl.ifu_ic_error_start) @[ifu_mem_ctl.scala 405:44] + node _T_2507 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_mem_ctl.scala 405:84] + node _T_2508 = and(_T_2506, _T_2507) @[ifu_mem_ctl.scala 405:82] + node _T_2509 = or(_T_2508, io.iccm_dma_sb_error) @[ifu_mem_ctl.scala 405:105] + node _T_2510 = eq(io.dec_mem_ctrl.dec_tlu_force_halt, UInt<1>("h00")) @[ifu_mem_ctl.scala 405:131] + node _T_2511 = and(_T_2509, _T_2510) @[ifu_mem_ctl.scala 405:129] + perr_state_en <= _T_2511 @[ifu_mem_ctl.scala 405:21] + perr_sb_write_status <= perr_state_en @[ifu_mem_ctl.scala 406:28] + skip @[Conditional.scala 40:58] + else : @[Conditional.scala 39:67] + node _T_2512 = eq(UInt<3>("h01"), perr_state) @[Conditional.scala 37:30] + when _T_2512 : @[Conditional.scala 39:67] + perr_nxtstate <= UInt<3>("h00") @[ifu_mem_ctl.scala 409:21] + node _T_2513 = or(io.dec_tlu_flush_lower_wb, io.dec_mem_ctrl.dec_tlu_force_halt) @[ifu_mem_ctl.scala 410:50] + perr_state_en <= _T_2513 @[ifu_mem_ctl.scala 410:21] + node _T_2514 = and(io.dec_tlu_flush_lower_wb, io.dec_mem_ctrl.dec_tlu_flush_err_wb) @[ifu_mem_ctl.scala 411:56] + perr_sel_invalidate <= _T_2514 @[ifu_mem_ctl.scala 411:27] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_2515 = eq(UInt<3>("h02"), perr_state) @[Conditional.scala 37:30] + when _T_2515 : @[Conditional.scala 39:67] + node _T_2516 = eq(io.dec_mem_ctrl.dec_tlu_flush_err_wb, UInt<1>("h00")) @[ifu_mem_ctl.scala 414:30] + node _T_2517 = and(_T_2516, io.dec_tlu_flush_lower_wb) @[ifu_mem_ctl.scala 414:68] + node _T_2518 = or(_T_2517, io.dec_mem_ctrl.dec_tlu_force_halt) @[ifu_mem_ctl.scala 414:98] + node _T_2519 = bits(_T_2518, 0, 0) @[ifu_mem_ctl.scala 414:142] + node _T_2520 = mux(_T_2519, UInt<3>("h00"), UInt<3>("h03")) @[ifu_mem_ctl.scala 414:27] + perr_nxtstate <= _T_2520 @[ifu_mem_ctl.scala 414:21] + node _T_2521 = or(io.dec_tlu_flush_lower_wb, io.dec_mem_ctrl.dec_tlu_force_halt) @[ifu_mem_ctl.scala 415:50] + perr_state_en <= _T_2521 @[ifu_mem_ctl.scala 415:21] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_2522 = eq(UInt<3>("h04"), perr_state) @[Conditional.scala 37:30] + when _T_2522 : @[Conditional.scala 39:67] + node _T_2523 = mux(io.dec_mem_ctrl.dec_tlu_force_halt, UInt<3>("h00"), UInt<3>("h03")) @[ifu_mem_ctl.scala 418:27] + perr_nxtstate <= _T_2523 @[ifu_mem_ctl.scala 418:21] + perr_state_en <= UInt<1>("h01") @[ifu_mem_ctl.scala 419:21] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_2524 = eq(UInt<3>("h03"), perr_state) @[Conditional.scala 37:30] + when _T_2524 : @[Conditional.scala 39:67] + perr_nxtstate <= UInt<3>("h00") @[ifu_mem_ctl.scala 422:21] + perr_state_en <= UInt<1>("h01") @[ifu_mem_ctl.scala 423:21] + skip @[Conditional.scala 39:67] + reg _T_2525 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when perr_state_en : @[Reg.scala 28:19] + _T_2525 <= perr_nxtstate @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + perr_state <= _T_2525 @[ifu_mem_ctl.scala 426:14] + wire err_stop_nxtstate : UInt<2> + err_stop_nxtstate <= UInt<1>("h00") + wire err_stop_state_en : UInt<1> + err_stop_state_en <= UInt<1>("h00") + io.iccm.correction_state <= UInt<1>("h00") @[ifu_mem_ctl.scala 430:28] + node _T_2526 = eq(UInt<2>("h00"), err_stop_state) @[Conditional.scala 37:30] + when _T_2526 : @[Conditional.scala 40:58] + err_stop_nxtstate <= UInt<2>("h01") @[ifu_mem_ctl.scala 433:25] + node _T_2527 = eq(perr_state, UInt<3>("h02")) @[ifu_mem_ctl.scala 434:79] + node _T_2528 = and(io.dec_mem_ctrl.dec_tlu_flush_err_wb, _T_2527) @[ifu_mem_ctl.scala 434:65] + node _T_2529 = eq(io.dec_mem_ctrl.dec_tlu_force_halt, UInt<1>("h00")) @[ifu_mem_ctl.scala 434:96] + node _T_2530 = and(_T_2528, _T_2529) @[ifu_mem_ctl.scala 434:94] + err_stop_state_en <= _T_2530 @[ifu_mem_ctl.scala 434:25] + skip @[Conditional.scala 40:58] + else : @[Conditional.scala 39:67] + node _T_2531 = eq(UInt<2>("h01"), err_stop_state) @[Conditional.scala 37:30] + when _T_2531 : @[Conditional.scala 39:67] + node _T_2532 = or(io.dec_tlu_flush_lower_wb, io.dec_mem_ctrl.dec_tlu_i0_commit_cmt) @[ifu_mem_ctl.scala 437:59] + node _T_2533 = or(_T_2532, io.dec_mem_ctrl.dec_tlu_force_halt) @[ifu_mem_ctl.scala 437:99] + node _T_2534 = bits(_T_2533, 0, 0) @[ifu_mem_ctl.scala 437:143] + node _T_2535 = eq(io.ifu_fetch_val, UInt<2>("h03")) @[ifu_mem_ctl.scala 438:31] + node _T_2536 = bits(io.ifu_fetch_val, 0, 0) @[ifu_mem_ctl.scala 438:56] + node _T_2537 = and(_T_2536, two_byte_instr) @[ifu_mem_ctl.scala 438:59] + node _T_2538 = or(_T_2535, _T_2537) @[ifu_mem_ctl.scala 438:38] + node _T_2539 = bits(_T_2538, 0, 0) @[ifu_mem_ctl.scala 438:83] + node _T_2540 = bits(io.ifu_fetch_val, 0, 0) @[ifu_mem_ctl.scala 439:31] + node _T_2541 = bits(_T_2540, 0, 0) @[ifu_mem_ctl.scala 439:41] + node _T_2542 = mux(_T_2541, UInt<2>("h02"), UInt<2>("h01")) @[ifu_mem_ctl.scala 439:14] + node _T_2543 = mux(_T_2539, UInt<2>("h03"), _T_2542) @[ifu_mem_ctl.scala 438:12] + node _T_2544 = mux(_T_2534, UInt<2>("h00"), _T_2543) @[ifu_mem_ctl.scala 437:31] + err_stop_nxtstate <= _T_2544 @[ifu_mem_ctl.scala 437:25] + node _T_2545 = or(io.dec_tlu_flush_lower_wb, io.dec_mem_ctrl.dec_tlu_i0_commit_cmt) @[ifu_mem_ctl.scala 440:54] + node _T_2546 = bits(io.ifu_fetch_val, 0, 0) @[ifu_mem_ctl.scala 440:112] + node _T_2547 = or(_T_2545, _T_2546) @[ifu_mem_ctl.scala 440:94] + node _T_2548 = or(_T_2547, ifu_bp_hit_taken_q_f) @[ifu_mem_ctl.scala 440:116] + node _T_2549 = or(_T_2548, io.dec_mem_ctrl.dec_tlu_force_halt) @[ifu_mem_ctl.scala 440:139] + err_stop_state_en <= _T_2549 @[ifu_mem_ctl.scala 440:25] + node _T_2550 = bits(io.ifu_fetch_val, 1, 0) @[ifu_mem_ctl.scala 441:43] + node _T_2551 = eq(_T_2550, UInt<2>("h03")) @[ifu_mem_ctl.scala 441:48] + node _T_2552 = bits(io.ifu_fetch_val, 0, 0) @[ifu_mem_ctl.scala 441:75] + node _T_2553 = and(_T_2552, two_byte_instr) @[ifu_mem_ctl.scala 441:79] + node _T_2554 = or(_T_2551, _T_2553) @[ifu_mem_ctl.scala 441:56] + node _T_2555 = or(io.exu_flush_final, io.dec_mem_ctrl.dec_tlu_i0_commit_cmt) @[ifu_mem_ctl.scala 441:122] + node _T_2556 = eq(_T_2555, UInt<1>("h00")) @[ifu_mem_ctl.scala 441:101] + node _T_2557 = and(_T_2554, _T_2556) @[ifu_mem_ctl.scala 441:99] + err_stop_fetch <= _T_2557 @[ifu_mem_ctl.scala 441:22] + io.iccm.correction_state <= UInt<1>("h01") @[ifu_mem_ctl.scala 442:32] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_2558 = eq(UInt<2>("h02"), err_stop_state) @[Conditional.scala 37:30] + when _T_2558 : @[Conditional.scala 39:67] + node _T_2559 = or(io.dec_tlu_flush_lower_wb, io.dec_mem_ctrl.dec_tlu_i0_commit_cmt) @[ifu_mem_ctl.scala 445:59] + node _T_2560 = or(_T_2559, io.dec_mem_ctrl.dec_tlu_force_halt) @[ifu_mem_ctl.scala 445:99] + node _T_2561 = bits(_T_2560, 0, 0) @[ifu_mem_ctl.scala 445:137] + node _T_2562 = bits(io.ifu_fetch_val, 0, 0) @[ifu_mem_ctl.scala 446:46] + node _T_2563 = bits(_T_2562, 0, 0) @[ifu_mem_ctl.scala 446:50] + node _T_2564 = mux(_T_2563, UInt<2>("h03"), UInt<2>("h02")) @[ifu_mem_ctl.scala 446:29] + node _T_2565 = mux(_T_2561, UInt<2>("h00"), _T_2564) @[ifu_mem_ctl.scala 445:31] + err_stop_nxtstate <= _T_2565 @[ifu_mem_ctl.scala 445:25] + node _T_2566 = or(io.dec_tlu_flush_lower_wb, io.dec_mem_ctrl.dec_tlu_i0_commit_cmt) @[ifu_mem_ctl.scala 447:54] + node _T_2567 = bits(io.ifu_fetch_val, 0, 0) @[ifu_mem_ctl.scala 447:112] + node _T_2568 = or(_T_2566, _T_2567) @[ifu_mem_ctl.scala 447:94] + node _T_2569 = or(_T_2568, io.dec_mem_ctrl.dec_tlu_force_halt) @[ifu_mem_ctl.scala 447:116] + err_stop_state_en <= _T_2569 @[ifu_mem_ctl.scala 447:25] + node _T_2570 = bits(io.ifu_fetch_val, 0, 0) @[ifu_mem_ctl.scala 448:41] + node _T_2571 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_mem_ctl.scala 448:47] + node _T_2572 = and(_T_2570, _T_2571) @[ifu_mem_ctl.scala 448:45] + node _T_2573 = eq(io.dec_mem_ctrl.dec_tlu_i0_commit_cmt, UInt<1>("h00")) @[ifu_mem_ctl.scala 448:69] + node _T_2574 = and(_T_2572, _T_2573) @[ifu_mem_ctl.scala 448:67] + err_stop_fetch <= _T_2574 @[ifu_mem_ctl.scala 448:22] + io.iccm.correction_state <= UInt<1>("h01") @[ifu_mem_ctl.scala 449:32] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_2575 = eq(UInt<2>("h03"), err_stop_state) @[Conditional.scala 37:30] + when _T_2575 : @[Conditional.scala 39:67] + node _T_2576 = eq(io.dec_mem_ctrl.dec_tlu_flush_err_wb, UInt<1>("h00")) @[ifu_mem_ctl.scala 452:62] + node _T_2577 = and(io.dec_tlu_flush_lower_wb, _T_2576) @[ifu_mem_ctl.scala 452:60] + node _T_2578 = or(_T_2577, io.dec_mem_ctrl.dec_tlu_i0_commit_cmt) @[ifu_mem_ctl.scala 452:101] + node _T_2579 = or(_T_2578, io.dec_mem_ctrl.dec_tlu_force_halt) @[ifu_mem_ctl.scala 452:141] + node _T_2580 = bits(_T_2579, 0, 0) @[ifu_mem_ctl.scala 452:179] + node _T_2581 = bits(io.dec_mem_ctrl.dec_tlu_flush_err_wb, 0, 0) @[ifu_mem_ctl.scala 453:73] + node _T_2582 = mux(_T_2581, UInt<2>("h01"), UInt<2>("h03")) @[ifu_mem_ctl.scala 453:29] + node _T_2583 = mux(_T_2580, UInt<2>("h00"), _T_2582) @[ifu_mem_ctl.scala 452:31] + err_stop_nxtstate <= _T_2583 @[ifu_mem_ctl.scala 452:25] + node _T_2584 = or(io.dec_tlu_flush_lower_wb, io.dec_mem_ctrl.dec_tlu_i0_commit_cmt) @[ifu_mem_ctl.scala 454:54] + node _T_2585 = or(_T_2584, io.dec_mem_ctrl.dec_tlu_force_halt) @[ifu_mem_ctl.scala 454:94] + err_stop_state_en <= _T_2585 @[ifu_mem_ctl.scala 454:25] + err_stop_fetch <= UInt<1>("h01") @[ifu_mem_ctl.scala 455:22] + io.iccm.correction_state <= UInt<1>("h01") @[ifu_mem_ctl.scala 456:32] + skip @[Conditional.scala 39:67] + reg _T_2586 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when err_stop_state_en : @[Reg.scala 28:19] + _T_2586 <= err_stop_nxtstate @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + err_stop_state <= _T_2586 @[ifu_mem_ctl.scala 459:18] + bus_ifu_bus_clk_en <= io.ifu_bus_clk_en @[ifu_mem_ctl.scala 460:22] + inst rvclkhdr_68 of rvclkhdr_68 @[lib.scala 343:22] + rvclkhdr_68.clock <= clock + rvclkhdr_68.reset <= reset + rvclkhdr_68.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_68.io.en <= bus_ifu_bus_clk_en @[lib.scala 345:16] + rvclkhdr_68.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + node _T_2587 = or(bus_ifu_bus_clk_en, io.dec_mem_ctrl.dec_tlu_force_halt) @[ifu_mem_ctl.scala 462:59] + inst rvclkhdr_69 of rvclkhdr_69 @[lib.scala 343:22] + rvclkhdr_69.clock <= clock + rvclkhdr_69.reset <= reset + rvclkhdr_69.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_69.io.en <= _T_2587 @[lib.scala 345:16] + rvclkhdr_69.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + reg bus_ifu_bus_clk_en_ff : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 463:61] + bus_ifu_bus_clk_en_ff <= bus_ifu_bus_clk_en @[ifu_mem_ctl.scala 463:61] + reg _T_2588 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 464:52] + _T_2588 <= scnd_miss_req_in @[ifu_mem_ctl.scala 464:52] + scnd_miss_req_q <= _T_2588 @[ifu_mem_ctl.scala 464:19] + reg scnd_miss_req_ff2 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 465:57] + scnd_miss_req_ff2 <= scnd_miss_req @[ifu_mem_ctl.scala 465:57] + node _T_2589 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_mem_ctl.scala 466:39] + node _T_2590 = and(scnd_miss_req_q, _T_2589) @[ifu_mem_ctl.scala 466:36] + scnd_miss_req <= _T_2590 @[ifu_mem_ctl.scala 466:17] + wire bus_cmd_req_hold : UInt<1> + bus_cmd_req_hold <= UInt<1>("h00") + wire ifu_bus_cmd_valid : UInt<1> + ifu_bus_cmd_valid <= UInt<1>("h00") + wire bus_cmd_beat_count : UInt<3> + bus_cmd_beat_count <= UInt<1>("h00") + wire ifu_bus_cmd_ready : UInt<1> + ifu_bus_cmd_ready <= UInt<1>("h00") + node _T_2591 = or(ic_act_miss_f, bus_cmd_req_hold) @[ifu_mem_ctl.scala 471:45] + node _T_2592 = or(_T_2591, ifu_bus_cmd_valid) @[ifu_mem_ctl.scala 471:64] + node _T_2593 = eq(io.dec_mem_ctrl.dec_tlu_force_halt, UInt<1>("h00")) @[ifu_mem_ctl.scala 471:87] + node _T_2594 = and(_T_2592, _T_2593) @[ifu_mem_ctl.scala 471:85] + node _T_2595 = mux(UInt<1>("h01"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_2596 = eq(bus_cmd_beat_count, _T_2595) @[ifu_mem_ctl.scala 471:146] + node _T_2597 = and(_T_2596, ifu_bus_cmd_valid) @[ifu_mem_ctl.scala 471:177] + node _T_2598 = and(_T_2597, ifu_bus_cmd_ready) @[ifu_mem_ctl.scala 471:197] + node _T_2599 = and(_T_2598, miss_pending) @[ifu_mem_ctl.scala 471:217] + node _T_2600 = eq(_T_2599, UInt<1>("h00")) @[ifu_mem_ctl.scala 471:125] + node ifc_bus_ic_req_ff_in = and(_T_2594, _T_2600) @[ifu_mem_ctl.scala 471:123] + reg _T_2601 : UInt<1>, rvclkhdr_69.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 472:55] + _T_2601 <= ifc_bus_ic_req_ff_in @[ifu_mem_ctl.scala 472:55] + ifu_bus_cmd_valid <= _T_2601 @[ifu_mem_ctl.scala 472:21] + wire bus_cmd_sent : UInt<1> + bus_cmd_sent <= UInt<1>("h00") + node _T_2602 = or(ic_act_miss_f, bus_cmd_req_hold) @[ifu_mem_ctl.scala 474:39] + node _T_2603 = eq(bus_cmd_sent, UInt<1>("h00")) @[ifu_mem_ctl.scala 474:61] + node _T_2604 = and(_T_2602, _T_2603) @[ifu_mem_ctl.scala 474:59] + node _T_2605 = eq(io.dec_mem_ctrl.dec_tlu_force_halt, UInt<1>("h00")) @[ifu_mem_ctl.scala 474:77] + node bus_cmd_req_in = and(_T_2604, _T_2605) @[ifu_mem_ctl.scala 474:75] + reg _T_2606 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 475:53] + _T_2606 <= bus_cmd_req_in @[ifu_mem_ctl.scala 475:53] + bus_cmd_req_hold <= _T_2606 @[ifu_mem_ctl.scala 475:20] + io.ifu_axi.w.valid <= UInt<1>("h00") @[ifu_mem_ctl.scala 477:22] + io.ifu_axi.w.bits.data <= UInt<1>("h00") @[ifu_mem_ctl.scala 478:26] + io.ifu_axi.aw.bits.qos <= UInt<1>("h00") @[ifu_mem_ctl.scala 479:26] + io.ifu_axi.aw.bits.addr <= UInt<1>("h00") @[ifu_mem_ctl.scala 480:27] + io.ifu_axi.aw.bits.prot <= UInt<1>("h00") @[ifu_mem_ctl.scala 481:27] + io.ifu_axi.aw.bits.len <= UInt<1>("h00") @[ifu_mem_ctl.scala 482:26] + io.ifu_axi.ar.bits.lock <= UInt<1>("h00") @[ifu_mem_ctl.scala 483:27] + io.ifu_axi.aw.bits.region <= UInt<1>("h00") @[ifu_mem_ctl.scala 484:29] + io.ifu_axi.aw.bits.id <= UInt<1>("h00") @[ifu_mem_ctl.scala 485:25] + io.ifu_axi.aw.valid <= UInt<1>("h00") @[ifu_mem_ctl.scala 486:23] + io.ifu_axi.w.bits.strb <= UInt<1>("h00") @[ifu_mem_ctl.scala 487:26] + io.ifu_axi.aw.bits.cache <= UInt<1>("h00") @[ifu_mem_ctl.scala 488:28] + io.ifu_axi.ar.bits.qos <= UInt<1>("h00") @[ifu_mem_ctl.scala 489:26] + io.ifu_axi.aw.bits.lock <= UInt<1>("h00") @[ifu_mem_ctl.scala 490:27] + io.ifu_axi.b.ready <= UInt<1>("h00") @[ifu_mem_ctl.scala 491:22] + io.ifu_axi.ar.bits.len <= UInt<1>("h00") @[ifu_mem_ctl.scala 492:26] + io.ifu_axi.aw.bits.size <= UInt<1>("h00") @[ifu_mem_ctl.scala 493:27] + io.ifu_axi.ar.bits.prot <= UInt<1>("h00") @[ifu_mem_ctl.scala 494:27] + io.ifu_axi.aw.bits.burst <= UInt<1>("h00") @[ifu_mem_ctl.scala 495:28] + io.ifu_axi.w.bits.last <= UInt<1>("h00") @[ifu_mem_ctl.scala 496:26] + io.ifu_axi.ar.valid <= ifu_bus_cmd_valid @[ifu_mem_ctl.scala 497:23] + node _T_2607 = bits(ifu_bus_cmd_valid, 0, 0) @[Bitwise.scala 72:15] + node _T_2608 = mux(_T_2607, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_2609 = and(bus_rd_addr_count, _T_2608) @[ifu_mem_ctl.scala 498:46] + io.ifu_axi.ar.bits.id <= _T_2609 @[ifu_mem_ctl.scala 498:25] + node _T_2610 = cat(ifu_ic_req_addr_f, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2611 = bits(ifu_bus_cmd_valid, 0, 0) @[Bitwise.scala 72:15] + node _T_2612 = mux(_T_2611, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_2613 = and(_T_2610, _T_2612) @[ifu_mem_ctl.scala 499:63] + io.ifu_axi.ar.bits.addr <= _T_2613 @[ifu_mem_ctl.scala 499:27] + io.ifu_axi.ar.bits.size <= UInt<3>("h03") @[ifu_mem_ctl.scala 500:27] + io.ifu_axi.ar.bits.cache <= UInt<4>("h0f") @[ifu_mem_ctl.scala 501:28] + node _T_2614 = bits(ifu_ic_req_addr_f, 28, 25) @[ifu_mem_ctl.scala 502:49] + io.ifu_axi.ar.bits.region <= _T_2614 @[ifu_mem_ctl.scala 502:29] + io.ifu_axi.ar.bits.burst <= UInt<1>("h01") @[ifu_mem_ctl.scala 503:28] + io.ifu_axi.r.ready <= UInt<1>("h01") @[ifu_mem_ctl.scala 504:22] + reg ifu_bus_arready_unq_ff : UInt<1>, rvclkhdr_68.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 509:57] + ifu_bus_arready_unq_ff <= io.ifu_axi.ar.ready @[ifu_mem_ctl.scala 509:57] + reg ifu_bus_rvalid_unq_ff : UInt<1>, rvclkhdr_68.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 510:56] + ifu_bus_rvalid_unq_ff <= io.ifu_axi.r.valid @[ifu_mem_ctl.scala 510:56] + reg ifu_bus_arvalid_ff : UInt<1>, rvclkhdr_68.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 511:53] + ifu_bus_arvalid_ff <= io.ifu_axi.ar.valid @[ifu_mem_ctl.scala 511:53] + reg ifu_bus_rresp_ff : UInt, rvclkhdr_68.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 512:51] + ifu_bus_rresp_ff <= io.ifu_axi.r.bits.resp @[ifu_mem_ctl.scala 512:51] + reg _T_2615 : UInt, rvclkhdr_68.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 513:48] + _T_2615 <= io.ifu_axi.r.bits.data @[ifu_mem_ctl.scala 513:48] + ifu_bus_rdata_ff <= _T_2615 @[ifu_mem_ctl.scala 513:20] + reg _T_2616 : UInt, rvclkhdr_68.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 514:46] + _T_2616 <= io.ifu_axi.r.bits.id @[ifu_mem_ctl.scala 514:46] + ifu_bus_rid_ff <= _T_2616 @[ifu_mem_ctl.scala 514:18] + ifu_bus_cmd_ready <= io.ifu_axi.ar.ready @[ifu_mem_ctl.scala 515:21] + ifu_bus_rsp_valid <= io.ifu_axi.r.valid @[ifu_mem_ctl.scala 516:21] + ifu_bus_rsp_ready <= io.ifu_axi.r.ready @[ifu_mem_ctl.scala 517:21] + ifu_bus_rsp_tag <= io.ifu_axi.r.bits.id @[ifu_mem_ctl.scala 518:19] + ic_miss_buff_data_in <= io.ifu_axi.r.bits.data @[ifu_mem_ctl.scala 519:21] + node ifu_bus_rvalid = and(ifu_bus_rsp_valid, bus_ifu_bus_clk_en) @[ifu_mem_ctl.scala 521:42] + node ifu_bus_arready = and(io.ifu_axi.ar.ready, bus_ifu_bus_clk_en) @[ifu_mem_ctl.scala 522:45] + node ifu_bus_arready_ff = and(ifu_bus_arready_unq_ff, bus_ifu_bus_clk_en_ff) @[ifu_mem_ctl.scala 523:51] + node ifu_bus_rvalid_ff = and(ifu_bus_rvalid_unq_ff, bus_ifu_bus_clk_en_ff) @[ifu_mem_ctl.scala 524:49] + node _T_2617 = and(io.ifu_axi.ar.valid, ifu_bus_arready) @[ifu_mem_ctl.scala 526:35] + node _T_2618 = and(_T_2617, miss_pending) @[ifu_mem_ctl.scala 526:53] + node _T_2619 = eq(io.dec_mem_ctrl.dec_tlu_force_halt, UInt<1>("h00")) @[ifu_mem_ctl.scala 526:70] + node _T_2620 = and(_T_2618, _T_2619) @[ifu_mem_ctl.scala 526:68] + bus_cmd_sent <= _T_2620 @[ifu_mem_ctl.scala 526:16] + wire bus_last_data_beat : UInt<1> + bus_last_data_beat <= UInt<1>("h00") + node _T_2621 = eq(bus_last_data_beat, UInt<1>("h00")) @[ifu_mem_ctl.scala 528:50] + node _T_2622 = and(bus_ifu_wr_en_ff, _T_2621) @[ifu_mem_ctl.scala 528:48] + node _T_2623 = eq(io.dec_mem_ctrl.dec_tlu_force_halt, UInt<1>("h00")) @[ifu_mem_ctl.scala 528:72] + node bus_inc_data_beat_cnt = and(_T_2622, _T_2623) @[ifu_mem_ctl.scala 528:70] + node _T_2624 = and(bus_ifu_wr_en_ff, bus_last_data_beat) @[ifu_mem_ctl.scala 529:68] + node _T_2625 = or(ic_act_miss_f, _T_2624) @[ifu_mem_ctl.scala 529:48] + node bus_reset_data_beat_cnt = or(_T_2625, io.dec_mem_ctrl.dec_tlu_force_halt) @[ifu_mem_ctl.scala 529:91] + node _T_2626 = eq(bus_inc_data_beat_cnt, UInt<1>("h00")) @[ifu_mem_ctl.scala 530:32] + node _T_2627 = eq(bus_reset_data_beat_cnt, UInt<1>("h00")) @[ifu_mem_ctl.scala 530:57] + node bus_hold_data_beat_cnt = and(_T_2626, _T_2627) @[ifu_mem_ctl.scala 530:55] + wire bus_data_beat_count : UInt<3> + bus_data_beat_count <= UInt<1>("h00") + node _T_2628 = add(bus_data_beat_count, UInt<1>("h01")) @[ifu_mem_ctl.scala 532:115] + node _T_2629 = tail(_T_2628, 1) @[ifu_mem_ctl.scala 532:115] + node _T_2630 = mux(bus_reset_data_beat_cnt, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2631 = mux(bus_inc_data_beat_cnt, _T_2629, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2632 = mux(bus_hold_data_beat_cnt, bus_data_beat_count, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2633 = or(_T_2630, _T_2631) @[Mux.scala 27:72] + node _T_2634 = or(_T_2633, _T_2632) @[Mux.scala 27:72] + wire _T_2635 : UInt<3> @[Mux.scala 27:72] + _T_2635 <= _T_2634 @[Mux.scala 27:72] + bus_new_data_beat_count <= _T_2635 @[ifu_mem_ctl.scala 532:27] + reg _T_2636 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 533:56] + _T_2636 <= bus_new_data_beat_count @[ifu_mem_ctl.scala 533:56] + bus_data_beat_count <= _T_2636 @[ifu_mem_ctl.scala 533:23] + node _T_2637 = and(bus_ifu_wr_en_ff, bus_last_data_beat) @[ifu_mem_ctl.scala 534:49] + node _T_2638 = eq(scnd_miss_req, UInt<1>("h00")) @[ifu_mem_ctl.scala 534:73] + node _T_2639 = and(_T_2637, _T_2638) @[ifu_mem_ctl.scala 534:71] + node _T_2640 = eq(ic_act_miss_f, UInt<1>("h00")) @[ifu_mem_ctl.scala 534:116] + node _T_2641 = and(last_data_recieved_ff, _T_2640) @[ifu_mem_ctl.scala 534:114] + node last_data_recieved_in = or(_T_2639, _T_2641) @[ifu_mem_ctl.scala 534:89] + reg _T_2642 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 535:58] + _T_2642 <= last_data_recieved_in @[ifu_mem_ctl.scala 535:58] + last_data_recieved_ff <= _T_2642 @[ifu_mem_ctl.scala 535:25] + node _T_2643 = eq(miss_pending, UInt<1>("h00")) @[ifu_mem_ctl.scala 537:35] + node _T_2644 = bits(imb_ff, 4, 2) @[ifu_mem_ctl.scala 537:56] + node _T_2645 = bits(imb_scnd_ff, 4, 2) @[ifu_mem_ctl.scala 538:39] + node _T_2646 = add(bus_rd_addr_count, UInt<1>("h01")) @[ifu_mem_ctl.scala 539:45] + node _T_2647 = tail(_T_2646, 1) @[ifu_mem_ctl.scala 539:45] + node _T_2648 = mux(bus_cmd_sent, _T_2647, bus_rd_addr_count) @[ifu_mem_ctl.scala 539:12] + node _T_2649 = mux(scnd_miss_req_q, _T_2645, _T_2648) @[ifu_mem_ctl.scala 538:10] + node bus_new_rd_addr_count = mux(_T_2643, _T_2644, _T_2649) @[ifu_mem_ctl.scala 537:34] + reg _T_2650 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 540:55] + _T_2650 <= bus_new_rd_addr_count @[ifu_mem_ctl.scala 540:55] + bus_rd_addr_count <= _T_2650 @[ifu_mem_ctl.scala 540:21] + node _T_2651 = and(ifu_bus_cmd_valid, ifu_bus_cmd_ready) @[ifu_mem_ctl.scala 542:48] + node _T_2652 = and(_T_2651, miss_pending) @[ifu_mem_ctl.scala 542:68] + node _T_2653 = eq(io.dec_mem_ctrl.dec_tlu_force_halt, UInt<1>("h00")) @[ifu_mem_ctl.scala 542:85] + node bus_inc_cmd_beat_cnt = and(_T_2652, _T_2653) @[ifu_mem_ctl.scala 542:83] + node _T_2654 = eq(uncacheable_miss_in, UInt<1>("h00")) @[ifu_mem_ctl.scala 543:51] + node _T_2655 = and(ic_act_miss_f, _T_2654) @[ifu_mem_ctl.scala 543:49] + node bus_reset_cmd_beat_cnt_0 = or(_T_2655, io.dec_mem_ctrl.dec_tlu_force_halt) @[ifu_mem_ctl.scala 543:73] + node bus_reset_cmd_beat_cnt_secondlast = and(ic_act_miss_f, uncacheable_miss_in) @[ifu_mem_ctl.scala 544:57] + node _T_2656 = eq(bus_inc_cmd_beat_cnt, UInt<1>("h00")) @[ifu_mem_ctl.scala 545:31] + node _T_2657 = or(ic_act_miss_f, scnd_miss_req) @[ifu_mem_ctl.scala 545:71] + node _T_2658 = or(_T_2657, io.dec_mem_ctrl.dec_tlu_force_halt) @[ifu_mem_ctl.scala 545:87] + node _T_2659 = eq(_T_2658, UInt<1>("h00")) @[ifu_mem_ctl.scala 545:55] + node bus_hold_cmd_beat_cnt = and(_T_2656, _T_2659) @[ifu_mem_ctl.scala 545:53] + node _T_2660 = or(bus_inc_cmd_beat_cnt, ic_act_miss_f) @[ifu_mem_ctl.scala 546:46] + node bus_cmd_beat_en = or(_T_2660, io.dec_mem_ctrl.dec_tlu_force_halt) @[ifu_mem_ctl.scala 546:62] + node _T_2661 = bits(bus_reset_cmd_beat_cnt_secondlast, 0, 0) @[ifu_mem_ctl.scala 547:107] + node _T_2662 = add(bus_cmd_beat_count, UInt<1>("h01")) @[ifu_mem_ctl.scala 548:46] + node _T_2663 = tail(_T_2662, 1) @[ifu_mem_ctl.scala 548:46] + node _T_2664 = mux(bus_reset_cmd_beat_cnt_0, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2665 = mux(_T_2661, UInt<3>("h06"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2666 = mux(bus_inc_cmd_beat_cnt, _T_2663, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2667 = mux(bus_hold_cmd_beat_cnt, bus_cmd_beat_count, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2668 = or(_T_2664, _T_2665) @[Mux.scala 27:72] + node _T_2669 = or(_T_2668, _T_2666) @[Mux.scala 27:72] + node _T_2670 = or(_T_2669, _T_2667) @[Mux.scala 27:72] + wire bus_new_cmd_beat_count : UInt<3> @[Mux.scala 27:72] + bus_new_cmd_beat_count <= _T_2670 @[Mux.scala 27:72] + reg _T_2671 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bus_cmd_beat_en : @[Reg.scala 28:19] + _T_2671 <= bus_new_cmd_beat_count @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bus_cmd_beat_count <= _T_2671 @[ifu_mem_ctl.scala 549:22] + node _T_2672 = eq(bus_data_beat_count, UInt<1>("h01")) @[ifu_mem_ctl.scala 550:69] + node _T_2673 = andr(bus_data_beat_count) @[ifu_mem_ctl.scala 550:101] + node _T_2674 = mux(uncacheable_miss_ff, _T_2672, _T_2673) @[ifu_mem_ctl.scala 550:28] + bus_last_data_beat <= _T_2674 @[ifu_mem_ctl.scala 550:22] + node _T_2675 = and(ifu_bus_rvalid, miss_pending) @[ifu_mem_ctl.scala 551:35] + bus_ifu_wr_en <= _T_2675 @[ifu_mem_ctl.scala 551:17] + node _T_2676 = and(ifu_bus_rvalid_ff, miss_pending) @[ifu_mem_ctl.scala 552:41] + bus_ifu_wr_en_ff <= _T_2676 @[ifu_mem_ctl.scala 552:20] + node _T_2677 = and(ifu_bus_rvalid_ff, miss_pending) @[ifu_mem_ctl.scala 553:44] + node _T_2678 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[ifu_mem_ctl.scala 553:61] + node _T_2679 = and(_T_2677, _T_2678) @[ifu_mem_ctl.scala 553:59] + node _T_2680 = orr(ifu_bus_rresp_ff) @[ifu_mem_ctl.scala 553:103] + node _T_2681 = eq(_T_2680, UInt<1>("h00")) @[ifu_mem_ctl.scala 553:84] + node _T_2682 = and(_T_2679, _T_2681) @[ifu_mem_ctl.scala 553:82] + node _T_2683 = and(_T_2682, write_ic_16_bytes) @[ifu_mem_ctl.scala 553:108] + bus_ifu_wr_en_ff_q <= _T_2683 @[ifu_mem_ctl.scala 553:22] + node _T_2684 = and(ifu_bus_rvalid_ff, miss_pending) @[ifu_mem_ctl.scala 554:51] + node _T_2685 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[ifu_mem_ctl.scala 554:68] + node bus_ifu_wr_en_ff_wo_err = and(_T_2684, _T_2685) @[ifu_mem_ctl.scala 554:66] + reg ic_act_miss_f_delayed : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 555:61] + ic_act_miss_f_delayed <= ic_act_miss_f @[ifu_mem_ctl.scala 555:61] + node _T_2686 = eq(miss_state, UInt<3>("h01")) @[ifu_mem_ctl.scala 556:66] + node _T_2687 = and(ic_act_miss_f_delayed, _T_2686) @[ifu_mem_ctl.scala 556:53] + node _T_2688 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[ifu_mem_ctl.scala 556:86] + node _T_2689 = and(_T_2687, _T_2688) @[ifu_mem_ctl.scala 556:84] + reset_tag_valid_for_miss <= _T_2689 @[ifu_mem_ctl.scala 556:28] + node _T_2690 = orr(io.ifu_axi.r.bits.resp) @[ifu_mem_ctl.scala 557:47] + node _T_2691 = and(_T_2690, ifu_bus_rvalid) @[ifu_mem_ctl.scala 557:50] + node _T_2692 = and(_T_2691, miss_pending) @[ifu_mem_ctl.scala 557:68] + bus_ifu_wr_data_error <= _T_2692 @[ifu_mem_ctl.scala 557:25] + node _T_2693 = orr(ifu_bus_rresp_ff) @[ifu_mem_ctl.scala 558:48] + node _T_2694 = and(_T_2693, ifu_bus_rvalid_ff) @[ifu_mem_ctl.scala 558:52] + node _T_2695 = and(_T_2694, miss_pending) @[ifu_mem_ctl.scala 558:73] + bus_ifu_wr_data_error_ff <= _T_2695 @[ifu_mem_ctl.scala 558:28] + wire ifc_dma_access_ok_d : UInt<1> + ifc_dma_access_ok_d <= UInt<1>("h00") + reg ifc_dma_access_ok_prev : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 560:62] + ifc_dma_access_ok_prev <= ifc_dma_access_ok_d @[ifu_mem_ctl.scala 560:62] + node _T_2696 = or(ic_crit_wd_rdy_new_in, ic_crit_wd_rdy_new_ff) @[ifu_mem_ctl.scala 561:43] + ic_crit_wd_rdy <= _T_2696 @[ifu_mem_ctl.scala 561:18] + node _T_2697 = and(bus_last_data_beat, bus_ifu_wr_en_ff) @[ifu_mem_ctl.scala 562:35] + last_beat <= _T_2697 @[ifu_mem_ctl.scala 562:13] + reset_beat_cnt <= bus_reset_data_beat_cnt @[ifu_mem_ctl.scala 563:18] + node _T_2698 = eq(iccm_correct_ecc, UInt<1>("h00")) @[ifu_mem_ctl.scala 565:50] + node _T_2699 = and(io.ifc_dma_access_ok, _T_2698) @[ifu_mem_ctl.scala 565:47] + node _T_2700 = eq(io.iccm_dma_sb_error, UInt<1>("h00")) @[ifu_mem_ctl.scala 565:70] + node _T_2701 = and(_T_2699, _T_2700) @[ifu_mem_ctl.scala 565:68] + ifc_dma_access_ok_d <= _T_2701 @[ifu_mem_ctl.scala 565:23] + node _T_2702 = eq(iccm_correct_ecc, UInt<1>("h00")) @[ifu_mem_ctl.scala 566:54] + node _T_2703 = and(io.ifc_dma_access_ok, _T_2702) @[ifu_mem_ctl.scala 566:51] + node _T_2704 = and(_T_2703, ifc_dma_access_ok_prev) @[ifu_mem_ctl.scala 566:72] + node _T_2705 = eq(perr_state, UInt<3>("h00")) @[ifu_mem_ctl.scala 566:111] + node _T_2706 = and(_T_2704, _T_2705) @[ifu_mem_ctl.scala 566:97] + node _T_2707 = eq(io.iccm_dma_sb_error, UInt<1>("h00")) @[ifu_mem_ctl.scala 566:129] + node ifc_dma_access_q_ok = and(_T_2706, _T_2707) @[ifu_mem_ctl.scala 566:127] + io.iccm_ready <= ifc_dma_access_q_ok @[ifu_mem_ctl.scala 567:17] + reg _T_2708 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 568:51] + _T_2708 <= io.dma_mem_ctl.dma_iccm_req @[ifu_mem_ctl.scala 568:51] + dma_iccm_req_f <= _T_2708 @[ifu_mem_ctl.scala 568:18] + node _T_2709 = and(ifc_dma_access_q_ok, io.dma_mem_ctl.dma_iccm_req) @[ifu_mem_ctl.scala 569:40] + node _T_2710 = and(_T_2709, io.dma_mem_ctl.dma_mem_write) @[ifu_mem_ctl.scala 569:70] + node _T_2711 = or(_T_2710, iccm_correct_ecc) @[ifu_mem_ctl.scala 569:103] + io.iccm.wren <= _T_2711 @[ifu_mem_ctl.scala 569:16] + node _T_2712 = and(ifc_dma_access_q_ok, io.dma_mem_ctl.dma_iccm_req) @[ifu_mem_ctl.scala 570:40] + node _T_2713 = eq(io.dma_mem_ctl.dma_mem_write, UInt<1>("h00")) @[ifu_mem_ctl.scala 570:72] + node _T_2714 = and(_T_2712, _T_2713) @[ifu_mem_ctl.scala 570:70] + node _T_2715 = and(io.ifc_iccm_access_bf, io.ifc_fetch_req_bf) @[ifu_mem_ctl.scala 570:128] + node _T_2716 = or(_T_2714, _T_2715) @[ifu_mem_ctl.scala 570:103] + io.iccm.rden <= _T_2716 @[ifu_mem_ctl.scala 570:16] + node _T_2717 = and(ifc_dma_access_q_ok, io.dma_mem_ctl.dma_iccm_req) @[ifu_mem_ctl.scala 571:43] + node _T_2718 = eq(io.dma_mem_ctl.dma_mem_write, UInt<1>("h00")) @[ifu_mem_ctl.scala 571:75] + node iccm_dma_rden = and(_T_2717, _T_2718) @[ifu_mem_ctl.scala 571:73] + node _T_2719 = bits(io.dma_mem_ctl.dma_iccm_req, 0, 0) @[Bitwise.scala 72:15] + node _T_2720 = mux(_T_2719, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_2721 = and(_T_2720, io.dma_mem_ctl.dma_mem_sz) @[ifu_mem_ctl.scala 572:59] + io.iccm.wr_size <= _T_2721 @[ifu_mem_ctl.scala 572:19] + node _T_2722 = bits(io.dma_mem_ctl.dma_mem_wdata, 63, 32) @[ifu_mem_ctl.scala 574:66] + node _T_2723 = bits(_T_2722, 0, 0) @[lib.scala 119:58] + node _T_2724 = bits(_T_2722, 1, 1) @[lib.scala 119:58] + node _T_2725 = bits(_T_2722, 3, 3) @[lib.scala 119:58] + node _T_2726 = bits(_T_2722, 4, 4) @[lib.scala 119:58] + node _T_2727 = bits(_T_2722, 6, 6) @[lib.scala 119:58] + node _T_2728 = bits(_T_2722, 8, 8) @[lib.scala 119:58] + node _T_2729 = bits(_T_2722, 10, 10) @[lib.scala 119:58] + node _T_2730 = bits(_T_2722, 11, 11) @[lib.scala 119:58] + node _T_2731 = bits(_T_2722, 13, 13) @[lib.scala 119:58] + node _T_2732 = bits(_T_2722, 15, 15) @[lib.scala 119:58] + node _T_2733 = bits(_T_2722, 17, 17) @[lib.scala 119:58] + node _T_2734 = bits(_T_2722, 19, 19) @[lib.scala 119:58] + node _T_2735 = bits(_T_2722, 21, 21) @[lib.scala 119:58] + node _T_2736 = bits(_T_2722, 23, 23) @[lib.scala 119:58] + node _T_2737 = bits(_T_2722, 25, 25) @[lib.scala 119:58] + node _T_2738 = bits(_T_2722, 26, 26) @[lib.scala 119:58] + node _T_2739 = bits(_T_2722, 28, 28) @[lib.scala 119:58] + node _T_2740 = bits(_T_2722, 30, 30) @[lib.scala 119:58] + node _T_2741 = xor(_T_2723, _T_2724) @[lib.scala 119:74] + node _T_2742 = xor(_T_2741, _T_2725) @[lib.scala 119:74] + node _T_2743 = xor(_T_2742, _T_2726) @[lib.scala 119:74] + node _T_2744 = xor(_T_2743, _T_2727) @[lib.scala 119:74] + node _T_2745 = xor(_T_2744, _T_2728) @[lib.scala 119:74] + node _T_2746 = xor(_T_2745, _T_2729) @[lib.scala 119:74] + node _T_2747 = xor(_T_2746, _T_2730) @[lib.scala 119:74] + node _T_2748 = xor(_T_2747, _T_2731) @[lib.scala 119:74] + node _T_2749 = xor(_T_2748, _T_2732) @[lib.scala 119:74] + node _T_2750 = xor(_T_2749, _T_2733) @[lib.scala 119:74] + node _T_2751 = xor(_T_2750, _T_2734) @[lib.scala 119:74] + node _T_2752 = xor(_T_2751, _T_2735) @[lib.scala 119:74] + node _T_2753 = xor(_T_2752, _T_2736) @[lib.scala 119:74] + node _T_2754 = xor(_T_2753, _T_2737) @[lib.scala 119:74] + node _T_2755 = xor(_T_2754, _T_2738) @[lib.scala 119:74] + node _T_2756 = xor(_T_2755, _T_2739) @[lib.scala 119:74] + node _T_2757 = xor(_T_2756, _T_2740) @[lib.scala 119:74] + node _T_2758 = bits(_T_2722, 0, 0) @[lib.scala 119:58] + node _T_2759 = bits(_T_2722, 2, 2) @[lib.scala 119:58] + node _T_2760 = bits(_T_2722, 3, 3) @[lib.scala 119:58] + node _T_2761 = bits(_T_2722, 5, 5) @[lib.scala 119:58] + node _T_2762 = bits(_T_2722, 6, 6) @[lib.scala 119:58] + node _T_2763 = bits(_T_2722, 9, 9) @[lib.scala 119:58] + node _T_2764 = bits(_T_2722, 10, 10) @[lib.scala 119:58] + node _T_2765 = bits(_T_2722, 12, 12) @[lib.scala 119:58] + node _T_2766 = bits(_T_2722, 13, 13) @[lib.scala 119:58] + node _T_2767 = bits(_T_2722, 16, 16) @[lib.scala 119:58] + node _T_2768 = bits(_T_2722, 17, 17) @[lib.scala 119:58] + node _T_2769 = bits(_T_2722, 20, 20) @[lib.scala 119:58] + node _T_2770 = bits(_T_2722, 21, 21) @[lib.scala 119:58] + node _T_2771 = bits(_T_2722, 24, 24) @[lib.scala 119:58] + node _T_2772 = bits(_T_2722, 25, 25) @[lib.scala 119:58] + node _T_2773 = bits(_T_2722, 27, 27) @[lib.scala 119:58] + node _T_2774 = bits(_T_2722, 28, 28) @[lib.scala 119:58] + node _T_2775 = bits(_T_2722, 31, 31) @[lib.scala 119:58] + node _T_2776 = xor(_T_2758, _T_2759) @[lib.scala 119:74] + node _T_2777 = xor(_T_2776, _T_2760) @[lib.scala 119:74] + node _T_2778 = xor(_T_2777, _T_2761) @[lib.scala 119:74] + node _T_2779 = xor(_T_2778, _T_2762) @[lib.scala 119:74] + node _T_2780 = xor(_T_2779, _T_2763) @[lib.scala 119:74] + node _T_2781 = xor(_T_2780, _T_2764) @[lib.scala 119:74] + node _T_2782 = xor(_T_2781, _T_2765) @[lib.scala 119:74] + node _T_2783 = xor(_T_2782, _T_2766) @[lib.scala 119:74] + node _T_2784 = xor(_T_2783, _T_2767) @[lib.scala 119:74] + node _T_2785 = xor(_T_2784, _T_2768) @[lib.scala 119:74] + node _T_2786 = xor(_T_2785, _T_2769) @[lib.scala 119:74] + node _T_2787 = xor(_T_2786, _T_2770) @[lib.scala 119:74] + node _T_2788 = xor(_T_2787, _T_2771) @[lib.scala 119:74] + node _T_2789 = xor(_T_2788, _T_2772) @[lib.scala 119:74] + node _T_2790 = xor(_T_2789, _T_2773) @[lib.scala 119:74] + node _T_2791 = xor(_T_2790, _T_2774) @[lib.scala 119:74] + node _T_2792 = xor(_T_2791, _T_2775) @[lib.scala 119:74] + node _T_2793 = bits(_T_2722, 1, 1) @[lib.scala 119:58] + node _T_2794 = bits(_T_2722, 2, 2) @[lib.scala 119:58] + node _T_2795 = bits(_T_2722, 3, 3) @[lib.scala 119:58] + node _T_2796 = bits(_T_2722, 7, 7) @[lib.scala 119:58] + node _T_2797 = bits(_T_2722, 8, 8) @[lib.scala 119:58] + node _T_2798 = bits(_T_2722, 9, 9) @[lib.scala 119:58] + node _T_2799 = bits(_T_2722, 10, 10) @[lib.scala 119:58] + node _T_2800 = bits(_T_2722, 14, 14) @[lib.scala 119:58] + node _T_2801 = bits(_T_2722, 15, 15) @[lib.scala 119:58] + node _T_2802 = bits(_T_2722, 16, 16) @[lib.scala 119:58] + node _T_2803 = bits(_T_2722, 17, 17) @[lib.scala 119:58] + node _T_2804 = bits(_T_2722, 22, 22) @[lib.scala 119:58] + node _T_2805 = bits(_T_2722, 23, 23) @[lib.scala 119:58] + node _T_2806 = bits(_T_2722, 24, 24) @[lib.scala 119:58] + node _T_2807 = bits(_T_2722, 25, 25) @[lib.scala 119:58] + node _T_2808 = bits(_T_2722, 29, 29) @[lib.scala 119:58] + node _T_2809 = bits(_T_2722, 30, 30) @[lib.scala 119:58] + node _T_2810 = bits(_T_2722, 31, 31) @[lib.scala 119:58] + node _T_2811 = xor(_T_2793, _T_2794) @[lib.scala 119:74] + node _T_2812 = xor(_T_2811, _T_2795) @[lib.scala 119:74] + node _T_2813 = xor(_T_2812, _T_2796) @[lib.scala 119:74] + node _T_2814 = xor(_T_2813, _T_2797) @[lib.scala 119:74] + node _T_2815 = xor(_T_2814, _T_2798) @[lib.scala 119:74] + node _T_2816 = xor(_T_2815, _T_2799) @[lib.scala 119:74] + node _T_2817 = xor(_T_2816, _T_2800) @[lib.scala 119:74] + node _T_2818 = xor(_T_2817, _T_2801) @[lib.scala 119:74] + node _T_2819 = xor(_T_2818, _T_2802) @[lib.scala 119:74] + node _T_2820 = xor(_T_2819, _T_2803) @[lib.scala 119:74] + node _T_2821 = xor(_T_2820, _T_2804) @[lib.scala 119:74] + node _T_2822 = xor(_T_2821, _T_2805) @[lib.scala 119:74] + node _T_2823 = xor(_T_2822, _T_2806) @[lib.scala 119:74] + node _T_2824 = xor(_T_2823, _T_2807) @[lib.scala 119:74] + node _T_2825 = xor(_T_2824, _T_2808) @[lib.scala 119:74] + node _T_2826 = xor(_T_2825, _T_2809) @[lib.scala 119:74] + node _T_2827 = xor(_T_2826, _T_2810) @[lib.scala 119:74] + node _T_2828 = bits(_T_2722, 4, 4) @[lib.scala 119:58] + node _T_2829 = bits(_T_2722, 5, 5) @[lib.scala 119:58] + node _T_2830 = bits(_T_2722, 6, 6) @[lib.scala 119:58] + node _T_2831 = bits(_T_2722, 7, 7) @[lib.scala 119:58] + node _T_2832 = bits(_T_2722, 8, 8) @[lib.scala 119:58] + node _T_2833 = bits(_T_2722, 9, 9) @[lib.scala 119:58] + node _T_2834 = bits(_T_2722, 10, 10) @[lib.scala 119:58] + node _T_2835 = bits(_T_2722, 18, 18) @[lib.scala 119:58] + node _T_2836 = bits(_T_2722, 19, 19) @[lib.scala 119:58] + node _T_2837 = bits(_T_2722, 20, 20) @[lib.scala 119:58] + node _T_2838 = bits(_T_2722, 21, 21) @[lib.scala 119:58] + node _T_2839 = bits(_T_2722, 22, 22) @[lib.scala 119:58] + node _T_2840 = bits(_T_2722, 23, 23) @[lib.scala 119:58] + node _T_2841 = bits(_T_2722, 24, 24) @[lib.scala 119:58] + node _T_2842 = bits(_T_2722, 25, 25) @[lib.scala 119:58] + node _T_2843 = xor(_T_2828, _T_2829) @[lib.scala 119:74] + node _T_2844 = xor(_T_2843, _T_2830) @[lib.scala 119:74] + node _T_2845 = xor(_T_2844, _T_2831) @[lib.scala 119:74] + node _T_2846 = xor(_T_2845, _T_2832) @[lib.scala 119:74] + node _T_2847 = xor(_T_2846, _T_2833) @[lib.scala 119:74] + node _T_2848 = xor(_T_2847, _T_2834) @[lib.scala 119:74] + node _T_2849 = xor(_T_2848, _T_2835) @[lib.scala 119:74] + node _T_2850 = xor(_T_2849, _T_2836) @[lib.scala 119:74] + node _T_2851 = xor(_T_2850, _T_2837) @[lib.scala 119:74] + node _T_2852 = xor(_T_2851, _T_2838) @[lib.scala 119:74] + node _T_2853 = xor(_T_2852, _T_2839) @[lib.scala 119:74] + node _T_2854 = xor(_T_2853, _T_2840) @[lib.scala 119:74] + node _T_2855 = xor(_T_2854, _T_2841) @[lib.scala 119:74] + node _T_2856 = xor(_T_2855, _T_2842) @[lib.scala 119:74] + node _T_2857 = bits(_T_2722, 11, 11) @[lib.scala 119:58] + node _T_2858 = bits(_T_2722, 12, 12) @[lib.scala 119:58] + node _T_2859 = bits(_T_2722, 13, 13) @[lib.scala 119:58] + node _T_2860 = bits(_T_2722, 14, 14) @[lib.scala 119:58] + node _T_2861 = bits(_T_2722, 15, 15) @[lib.scala 119:58] + node _T_2862 = bits(_T_2722, 16, 16) @[lib.scala 119:58] + node _T_2863 = bits(_T_2722, 17, 17) @[lib.scala 119:58] + node _T_2864 = bits(_T_2722, 18, 18) @[lib.scala 119:58] + node _T_2865 = bits(_T_2722, 19, 19) @[lib.scala 119:58] + node _T_2866 = bits(_T_2722, 20, 20) @[lib.scala 119:58] + node _T_2867 = bits(_T_2722, 21, 21) @[lib.scala 119:58] + node _T_2868 = bits(_T_2722, 22, 22) @[lib.scala 119:58] + node _T_2869 = bits(_T_2722, 23, 23) @[lib.scala 119:58] + node _T_2870 = bits(_T_2722, 24, 24) @[lib.scala 119:58] + node _T_2871 = bits(_T_2722, 25, 25) @[lib.scala 119:58] + node _T_2872 = xor(_T_2857, _T_2858) @[lib.scala 119:74] + node _T_2873 = xor(_T_2872, _T_2859) @[lib.scala 119:74] + node _T_2874 = xor(_T_2873, _T_2860) @[lib.scala 119:74] + node _T_2875 = xor(_T_2874, _T_2861) @[lib.scala 119:74] + node _T_2876 = xor(_T_2875, _T_2862) @[lib.scala 119:74] + node _T_2877 = xor(_T_2876, _T_2863) @[lib.scala 119:74] + node _T_2878 = xor(_T_2877, _T_2864) @[lib.scala 119:74] + node _T_2879 = xor(_T_2878, _T_2865) @[lib.scala 119:74] + node _T_2880 = xor(_T_2879, _T_2866) @[lib.scala 119:74] + node _T_2881 = xor(_T_2880, _T_2867) @[lib.scala 119:74] + node _T_2882 = xor(_T_2881, _T_2868) @[lib.scala 119:74] + node _T_2883 = xor(_T_2882, _T_2869) @[lib.scala 119:74] + node _T_2884 = xor(_T_2883, _T_2870) @[lib.scala 119:74] + node _T_2885 = xor(_T_2884, _T_2871) @[lib.scala 119:74] + node _T_2886 = bits(_T_2722, 26, 26) @[lib.scala 119:58] + node _T_2887 = bits(_T_2722, 27, 27) @[lib.scala 119:58] + node _T_2888 = bits(_T_2722, 28, 28) @[lib.scala 119:58] + node _T_2889 = bits(_T_2722, 29, 29) @[lib.scala 119:58] + node _T_2890 = bits(_T_2722, 30, 30) @[lib.scala 119:58] + node _T_2891 = bits(_T_2722, 31, 31) @[lib.scala 119:58] + node _T_2892 = xor(_T_2886, _T_2887) @[lib.scala 119:74] + node _T_2893 = xor(_T_2892, _T_2888) @[lib.scala 119:74] + node _T_2894 = xor(_T_2893, _T_2889) @[lib.scala 119:74] + node _T_2895 = xor(_T_2894, _T_2890) @[lib.scala 119:74] + node _T_2896 = xor(_T_2895, _T_2891) @[lib.scala 119:74] + node _T_2897 = cat(_T_2827, _T_2792) @[Cat.scala 29:58] + node _T_2898 = cat(_T_2897, _T_2757) @[Cat.scala 29:58] + node _T_2899 = cat(_T_2896, _T_2885) @[Cat.scala 29:58] + node _T_2900 = cat(_T_2899, _T_2856) @[Cat.scala 29:58] + node _T_2901 = cat(_T_2900, _T_2898) @[Cat.scala 29:58] + node _T_2902 = xorr(_T_2722) @[lib.scala 127:13] + node _T_2903 = xorr(_T_2901) @[lib.scala 127:23] + node _T_2904 = xor(_T_2902, _T_2903) @[lib.scala 127:18] + node _T_2905 = cat(_T_2904, _T_2901) @[Cat.scala 29:58] + node _T_2906 = bits(io.dma_mem_ctl.dma_mem_wdata, 31, 0) @[ifu_mem_ctl.scala 574:117] + node _T_2907 = bits(_T_2906, 0, 0) @[lib.scala 119:58] + node _T_2908 = bits(_T_2906, 1, 1) @[lib.scala 119:58] + node _T_2909 = bits(_T_2906, 3, 3) @[lib.scala 119:58] + node _T_2910 = bits(_T_2906, 4, 4) @[lib.scala 119:58] + node _T_2911 = bits(_T_2906, 6, 6) @[lib.scala 119:58] + node _T_2912 = bits(_T_2906, 8, 8) @[lib.scala 119:58] + node _T_2913 = bits(_T_2906, 10, 10) @[lib.scala 119:58] + node _T_2914 = bits(_T_2906, 11, 11) @[lib.scala 119:58] + node _T_2915 = bits(_T_2906, 13, 13) @[lib.scala 119:58] + node _T_2916 = bits(_T_2906, 15, 15) @[lib.scala 119:58] + node _T_2917 = bits(_T_2906, 17, 17) @[lib.scala 119:58] + node _T_2918 = bits(_T_2906, 19, 19) @[lib.scala 119:58] + node _T_2919 = bits(_T_2906, 21, 21) @[lib.scala 119:58] + node _T_2920 = bits(_T_2906, 23, 23) @[lib.scala 119:58] + node _T_2921 = bits(_T_2906, 25, 25) @[lib.scala 119:58] + node _T_2922 = bits(_T_2906, 26, 26) @[lib.scala 119:58] + node _T_2923 = bits(_T_2906, 28, 28) @[lib.scala 119:58] + node _T_2924 = bits(_T_2906, 30, 30) @[lib.scala 119:58] + node _T_2925 = xor(_T_2907, _T_2908) @[lib.scala 119:74] + node _T_2926 = xor(_T_2925, _T_2909) @[lib.scala 119:74] + node _T_2927 = xor(_T_2926, _T_2910) @[lib.scala 119:74] + node _T_2928 = xor(_T_2927, _T_2911) @[lib.scala 119:74] + node _T_2929 = xor(_T_2928, _T_2912) @[lib.scala 119:74] + node _T_2930 = xor(_T_2929, _T_2913) @[lib.scala 119:74] + node _T_2931 = xor(_T_2930, _T_2914) @[lib.scala 119:74] + node _T_2932 = xor(_T_2931, _T_2915) @[lib.scala 119:74] + node _T_2933 = xor(_T_2932, _T_2916) @[lib.scala 119:74] + node _T_2934 = xor(_T_2933, _T_2917) @[lib.scala 119:74] + node _T_2935 = xor(_T_2934, _T_2918) @[lib.scala 119:74] + node _T_2936 = xor(_T_2935, _T_2919) @[lib.scala 119:74] + node _T_2937 = xor(_T_2936, _T_2920) @[lib.scala 119:74] + node _T_2938 = xor(_T_2937, _T_2921) @[lib.scala 119:74] + node _T_2939 = xor(_T_2938, _T_2922) @[lib.scala 119:74] + node _T_2940 = xor(_T_2939, _T_2923) @[lib.scala 119:74] + node _T_2941 = xor(_T_2940, _T_2924) @[lib.scala 119:74] + node _T_2942 = bits(_T_2906, 0, 0) @[lib.scala 119:58] + node _T_2943 = bits(_T_2906, 2, 2) @[lib.scala 119:58] + node _T_2944 = bits(_T_2906, 3, 3) @[lib.scala 119:58] + node _T_2945 = bits(_T_2906, 5, 5) @[lib.scala 119:58] + node _T_2946 = bits(_T_2906, 6, 6) @[lib.scala 119:58] + node _T_2947 = bits(_T_2906, 9, 9) @[lib.scala 119:58] + node _T_2948 = bits(_T_2906, 10, 10) @[lib.scala 119:58] + node _T_2949 = bits(_T_2906, 12, 12) @[lib.scala 119:58] + node _T_2950 = bits(_T_2906, 13, 13) @[lib.scala 119:58] + node _T_2951 = bits(_T_2906, 16, 16) @[lib.scala 119:58] + node _T_2952 = bits(_T_2906, 17, 17) @[lib.scala 119:58] + node _T_2953 = bits(_T_2906, 20, 20) @[lib.scala 119:58] + node _T_2954 = bits(_T_2906, 21, 21) @[lib.scala 119:58] + node _T_2955 = bits(_T_2906, 24, 24) @[lib.scala 119:58] + node _T_2956 = bits(_T_2906, 25, 25) @[lib.scala 119:58] + node _T_2957 = bits(_T_2906, 27, 27) @[lib.scala 119:58] + node _T_2958 = bits(_T_2906, 28, 28) @[lib.scala 119:58] + node _T_2959 = bits(_T_2906, 31, 31) @[lib.scala 119:58] + node _T_2960 = xor(_T_2942, _T_2943) @[lib.scala 119:74] + node _T_2961 = xor(_T_2960, _T_2944) @[lib.scala 119:74] + node _T_2962 = xor(_T_2961, _T_2945) @[lib.scala 119:74] + node _T_2963 = xor(_T_2962, _T_2946) @[lib.scala 119:74] + node _T_2964 = xor(_T_2963, _T_2947) @[lib.scala 119:74] + node _T_2965 = xor(_T_2964, _T_2948) @[lib.scala 119:74] + node _T_2966 = xor(_T_2965, _T_2949) @[lib.scala 119:74] + node _T_2967 = xor(_T_2966, _T_2950) @[lib.scala 119:74] + node _T_2968 = xor(_T_2967, _T_2951) @[lib.scala 119:74] + node _T_2969 = xor(_T_2968, _T_2952) @[lib.scala 119:74] + node _T_2970 = xor(_T_2969, _T_2953) @[lib.scala 119:74] + node _T_2971 = xor(_T_2970, _T_2954) @[lib.scala 119:74] + node _T_2972 = xor(_T_2971, _T_2955) @[lib.scala 119:74] + node _T_2973 = xor(_T_2972, _T_2956) @[lib.scala 119:74] + node _T_2974 = xor(_T_2973, _T_2957) @[lib.scala 119:74] + node _T_2975 = xor(_T_2974, _T_2958) @[lib.scala 119:74] + node _T_2976 = xor(_T_2975, _T_2959) @[lib.scala 119:74] + node _T_2977 = bits(_T_2906, 1, 1) @[lib.scala 119:58] + node _T_2978 = bits(_T_2906, 2, 2) @[lib.scala 119:58] + node _T_2979 = bits(_T_2906, 3, 3) @[lib.scala 119:58] + node _T_2980 = bits(_T_2906, 7, 7) @[lib.scala 119:58] + node _T_2981 = bits(_T_2906, 8, 8) @[lib.scala 119:58] + node _T_2982 = bits(_T_2906, 9, 9) @[lib.scala 119:58] + node _T_2983 = bits(_T_2906, 10, 10) @[lib.scala 119:58] + node _T_2984 = bits(_T_2906, 14, 14) @[lib.scala 119:58] + node _T_2985 = bits(_T_2906, 15, 15) @[lib.scala 119:58] + node _T_2986 = bits(_T_2906, 16, 16) @[lib.scala 119:58] + node _T_2987 = bits(_T_2906, 17, 17) @[lib.scala 119:58] + node _T_2988 = bits(_T_2906, 22, 22) @[lib.scala 119:58] + node _T_2989 = bits(_T_2906, 23, 23) @[lib.scala 119:58] + node _T_2990 = bits(_T_2906, 24, 24) @[lib.scala 119:58] + node _T_2991 = bits(_T_2906, 25, 25) @[lib.scala 119:58] + node _T_2992 = bits(_T_2906, 29, 29) @[lib.scala 119:58] + node _T_2993 = bits(_T_2906, 30, 30) @[lib.scala 119:58] + node _T_2994 = bits(_T_2906, 31, 31) @[lib.scala 119:58] + node _T_2995 = xor(_T_2977, _T_2978) @[lib.scala 119:74] + node _T_2996 = xor(_T_2995, _T_2979) @[lib.scala 119:74] + node _T_2997 = xor(_T_2996, _T_2980) @[lib.scala 119:74] + node _T_2998 = xor(_T_2997, _T_2981) @[lib.scala 119:74] + node _T_2999 = xor(_T_2998, _T_2982) @[lib.scala 119:74] + node _T_3000 = xor(_T_2999, _T_2983) @[lib.scala 119:74] + node _T_3001 = xor(_T_3000, _T_2984) @[lib.scala 119:74] + node _T_3002 = xor(_T_3001, _T_2985) @[lib.scala 119:74] + node _T_3003 = xor(_T_3002, _T_2986) @[lib.scala 119:74] + node _T_3004 = xor(_T_3003, _T_2987) @[lib.scala 119:74] + node _T_3005 = xor(_T_3004, _T_2988) @[lib.scala 119:74] + node _T_3006 = xor(_T_3005, _T_2989) @[lib.scala 119:74] + node _T_3007 = xor(_T_3006, _T_2990) @[lib.scala 119:74] + node _T_3008 = xor(_T_3007, _T_2991) @[lib.scala 119:74] + node _T_3009 = xor(_T_3008, _T_2992) @[lib.scala 119:74] + node _T_3010 = xor(_T_3009, _T_2993) @[lib.scala 119:74] + node _T_3011 = xor(_T_3010, _T_2994) @[lib.scala 119:74] + node _T_3012 = bits(_T_2906, 4, 4) @[lib.scala 119:58] + node _T_3013 = bits(_T_2906, 5, 5) @[lib.scala 119:58] + node _T_3014 = bits(_T_2906, 6, 6) @[lib.scala 119:58] + node _T_3015 = bits(_T_2906, 7, 7) @[lib.scala 119:58] + node _T_3016 = bits(_T_2906, 8, 8) @[lib.scala 119:58] + node _T_3017 = bits(_T_2906, 9, 9) @[lib.scala 119:58] + node _T_3018 = bits(_T_2906, 10, 10) @[lib.scala 119:58] + node _T_3019 = bits(_T_2906, 18, 18) @[lib.scala 119:58] + node _T_3020 = bits(_T_2906, 19, 19) @[lib.scala 119:58] + node _T_3021 = bits(_T_2906, 20, 20) @[lib.scala 119:58] + node _T_3022 = bits(_T_2906, 21, 21) @[lib.scala 119:58] + node _T_3023 = bits(_T_2906, 22, 22) @[lib.scala 119:58] + node _T_3024 = bits(_T_2906, 23, 23) @[lib.scala 119:58] + node _T_3025 = bits(_T_2906, 24, 24) @[lib.scala 119:58] + node _T_3026 = bits(_T_2906, 25, 25) @[lib.scala 119:58] + node _T_3027 = xor(_T_3012, _T_3013) @[lib.scala 119:74] + node _T_3028 = xor(_T_3027, _T_3014) @[lib.scala 119:74] + node _T_3029 = xor(_T_3028, _T_3015) @[lib.scala 119:74] + node _T_3030 = xor(_T_3029, _T_3016) @[lib.scala 119:74] + node _T_3031 = xor(_T_3030, _T_3017) @[lib.scala 119:74] + node _T_3032 = xor(_T_3031, _T_3018) @[lib.scala 119:74] + node _T_3033 = xor(_T_3032, _T_3019) @[lib.scala 119:74] + node _T_3034 = xor(_T_3033, _T_3020) @[lib.scala 119:74] + node _T_3035 = xor(_T_3034, _T_3021) @[lib.scala 119:74] + node _T_3036 = xor(_T_3035, _T_3022) @[lib.scala 119:74] + node _T_3037 = xor(_T_3036, _T_3023) @[lib.scala 119:74] + node _T_3038 = xor(_T_3037, _T_3024) @[lib.scala 119:74] + node _T_3039 = xor(_T_3038, _T_3025) @[lib.scala 119:74] + node _T_3040 = xor(_T_3039, _T_3026) @[lib.scala 119:74] + node _T_3041 = bits(_T_2906, 11, 11) @[lib.scala 119:58] + node _T_3042 = bits(_T_2906, 12, 12) @[lib.scala 119:58] + node _T_3043 = bits(_T_2906, 13, 13) @[lib.scala 119:58] + node _T_3044 = bits(_T_2906, 14, 14) @[lib.scala 119:58] + node _T_3045 = bits(_T_2906, 15, 15) @[lib.scala 119:58] + node _T_3046 = bits(_T_2906, 16, 16) @[lib.scala 119:58] + node _T_3047 = bits(_T_2906, 17, 17) @[lib.scala 119:58] + node _T_3048 = bits(_T_2906, 18, 18) @[lib.scala 119:58] + node _T_3049 = bits(_T_2906, 19, 19) @[lib.scala 119:58] + node _T_3050 = bits(_T_2906, 20, 20) @[lib.scala 119:58] + node _T_3051 = bits(_T_2906, 21, 21) @[lib.scala 119:58] + node _T_3052 = bits(_T_2906, 22, 22) @[lib.scala 119:58] + node _T_3053 = bits(_T_2906, 23, 23) @[lib.scala 119:58] + node _T_3054 = bits(_T_2906, 24, 24) @[lib.scala 119:58] + node _T_3055 = bits(_T_2906, 25, 25) @[lib.scala 119:58] + node _T_3056 = xor(_T_3041, _T_3042) @[lib.scala 119:74] + node _T_3057 = xor(_T_3056, _T_3043) @[lib.scala 119:74] + node _T_3058 = xor(_T_3057, _T_3044) @[lib.scala 119:74] + node _T_3059 = xor(_T_3058, _T_3045) @[lib.scala 119:74] + node _T_3060 = xor(_T_3059, _T_3046) @[lib.scala 119:74] + node _T_3061 = xor(_T_3060, _T_3047) @[lib.scala 119:74] + node _T_3062 = xor(_T_3061, _T_3048) @[lib.scala 119:74] + node _T_3063 = xor(_T_3062, _T_3049) @[lib.scala 119:74] + node _T_3064 = xor(_T_3063, _T_3050) @[lib.scala 119:74] + node _T_3065 = xor(_T_3064, _T_3051) @[lib.scala 119:74] + node _T_3066 = xor(_T_3065, _T_3052) @[lib.scala 119:74] + node _T_3067 = xor(_T_3066, _T_3053) @[lib.scala 119:74] + node _T_3068 = xor(_T_3067, _T_3054) @[lib.scala 119:74] + node _T_3069 = xor(_T_3068, _T_3055) @[lib.scala 119:74] + node _T_3070 = bits(_T_2906, 26, 26) @[lib.scala 119:58] + node _T_3071 = bits(_T_2906, 27, 27) @[lib.scala 119:58] + node _T_3072 = bits(_T_2906, 28, 28) @[lib.scala 119:58] + node _T_3073 = bits(_T_2906, 29, 29) @[lib.scala 119:58] + node _T_3074 = bits(_T_2906, 30, 30) @[lib.scala 119:58] + node _T_3075 = bits(_T_2906, 31, 31) @[lib.scala 119:58] + node _T_3076 = xor(_T_3070, _T_3071) @[lib.scala 119:74] + node _T_3077 = xor(_T_3076, _T_3072) @[lib.scala 119:74] + node _T_3078 = xor(_T_3077, _T_3073) @[lib.scala 119:74] + node _T_3079 = xor(_T_3078, _T_3074) @[lib.scala 119:74] + node _T_3080 = xor(_T_3079, _T_3075) @[lib.scala 119:74] + node _T_3081 = cat(_T_3011, _T_2976) @[Cat.scala 29:58] + node _T_3082 = cat(_T_3081, _T_2941) @[Cat.scala 29:58] + node _T_3083 = cat(_T_3080, _T_3069) @[Cat.scala 29:58] + node _T_3084 = cat(_T_3083, _T_3040) @[Cat.scala 29:58] + node _T_3085 = cat(_T_3084, _T_3082) @[Cat.scala 29:58] + node _T_3086 = xorr(_T_2906) @[lib.scala 127:13] + node _T_3087 = xorr(_T_3085) @[lib.scala 127:23] + node _T_3088 = xor(_T_3086, _T_3087) @[lib.scala 127:18] + node _T_3089 = cat(_T_3088, _T_3085) @[Cat.scala 29:58] + node dma_mem_ecc = cat(_T_2905, _T_3089) @[Cat.scala 29:58] + wire iccm_ecc_corr_data_ff : UInt<39> + iccm_ecc_corr_data_ff <= UInt<1>("h00") + node _T_3090 = and(ifc_dma_access_q_ok, io.dma_mem_ctl.dma_iccm_req) @[ifu_mem_ctl.scala 576:67] + node _T_3091 = eq(_T_3090, UInt<1>("h00")) @[ifu_mem_ctl.scala 576:45] + node _T_3092 = and(iccm_correct_ecc, _T_3091) @[ifu_mem_ctl.scala 576:43] + node _T_3093 = cat(iccm_ecc_corr_data_ff, iccm_ecc_corr_data_ff) @[Cat.scala 29:58] + node _T_3094 = bits(dma_mem_ecc, 13, 7) @[ifu_mem_ctl.scala 577:20] + node _T_3095 = bits(io.dma_mem_ctl.dma_mem_wdata, 63, 32) @[ifu_mem_ctl.scala 577:55] + node _T_3096 = bits(dma_mem_ecc, 6, 0) @[ifu_mem_ctl.scala 577:75] + node _T_3097 = bits(io.dma_mem_ctl.dma_mem_wdata, 31, 0) @[ifu_mem_ctl.scala 577:110] + node _T_3098 = cat(_T_3096, _T_3097) @[Cat.scala 29:58] + node _T_3099 = cat(_T_3094, _T_3095) @[Cat.scala 29:58] + node _T_3100 = cat(_T_3099, _T_3098) @[Cat.scala 29:58] + node _T_3101 = mux(_T_3092, _T_3093, _T_3100) @[ifu_mem_ctl.scala 576:25] + io.iccm.wr_data <= _T_3101 @[ifu_mem_ctl.scala 576:19] + wire iccm_corrected_data : UInt<32>[2] @[ifu_mem_ctl.scala 578:33] + iccm_corrected_data[0] <= UInt<1>("h00") @[ifu_mem_ctl.scala 579:26] + iccm_corrected_data[1] <= UInt<1>("h00") @[ifu_mem_ctl.scala 580:26] + wire dma_mem_addr_ff : UInt<2> + dma_mem_addr_ff <= UInt<1>("h00") + node _T_3102 = bits(dma_mem_addr_ff, 0, 0) @[ifu_mem_ctl.scala 582:51] + node _T_3103 = bits(_T_3102, 0, 0) @[ifu_mem_ctl.scala 582:55] + node iccm_dma_rdata_1_muxed = mux(_T_3103, iccm_corrected_data[0], iccm_corrected_data[1]) @[ifu_mem_ctl.scala 582:35] + wire iccm_double_ecc_error : UInt<2> + iccm_double_ecc_error <= UInt<1>("h00") + node iccm_dma_ecc_error_in = orr(iccm_double_ecc_error) @[ifu_mem_ctl.scala 584:53] + node _T_3104 = cat(io.dma_mem_ctl.dma_mem_addr, io.dma_mem_ctl.dma_mem_addr) @[Cat.scala 29:58] + node _T_3105 = cat(iccm_dma_rdata_1_muxed, iccm_corrected_data[0]) @[Cat.scala 29:58] + node iccm_dma_rdata_in = mux(iccm_dma_ecc_error_in, _T_3104, _T_3105) @[ifu_mem_ctl.scala 585:30] + reg dma_mem_tag_ff : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 586:54] + dma_mem_tag_ff <= io.dma_mem_ctl.dma_mem_tag @[ifu_mem_ctl.scala 586:54] + reg iccm_dma_rtag_temp : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 587:74] + iccm_dma_rtag_temp <= dma_mem_tag_ff @[ifu_mem_ctl.scala 587:74] + io.iccm_dma_rtag <= iccm_dma_rtag_temp @[ifu_mem_ctl.scala 588:20] + node _T_3106 = bits(io.dma_mem_ctl.dma_mem_addr, 3, 2) @[ifu_mem_ctl.scala 590:81] + reg _T_3107 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 590:53] + _T_3107 <= _T_3106 @[ifu_mem_ctl.scala 590:53] + dma_mem_addr_ff <= _T_3107 @[ifu_mem_ctl.scala 590:19] + reg iccm_dma_rvalid_in : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 591:59] + iccm_dma_rvalid_in <= iccm_dma_rden @[ifu_mem_ctl.scala 591:59] + reg iccm_dma_rvalid_temp : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 592:76] + iccm_dma_rvalid_temp <= iccm_dma_rvalid_in @[ifu_mem_ctl.scala 592:76] + io.iccm_dma_rvalid <= iccm_dma_rvalid_temp @[ifu_mem_ctl.scala 593:22] + reg iccm_dma_ecc_error : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 594:74] + iccm_dma_ecc_error <= iccm_dma_ecc_error_in @[ifu_mem_ctl.scala 594:74] + io.iccm_dma_ecc_error <= iccm_dma_ecc_error @[ifu_mem_ctl.scala 595:25] + reg iccm_dma_rdata_temp : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 596:75] + iccm_dma_rdata_temp <= iccm_dma_rdata_in @[ifu_mem_ctl.scala 596:75] + io.iccm_dma_rdata <= iccm_dma_rdata_temp @[ifu_mem_ctl.scala 597:21] + wire iccm_ecc_corr_index_ff : UInt<14> + iccm_ecc_corr_index_ff <= UInt<1>("h00") + node _T_3108 = and(ifc_dma_access_q_ok, io.dma_mem_ctl.dma_iccm_req) @[ifu_mem_ctl.scala 599:46] + node _T_3109 = eq(iccm_correct_ecc, UInt<1>("h00")) @[ifu_mem_ctl.scala 599:79] + node _T_3110 = and(_T_3108, _T_3109) @[ifu_mem_ctl.scala 599:77] + node _T_3111 = bits(io.dma_mem_ctl.dma_mem_addr, 15, 1) @[ifu_mem_ctl.scala 599:125] + node _T_3112 = and(ifc_dma_access_q_ok, io.dma_mem_ctl.dma_iccm_req) @[ifu_mem_ctl.scala 600:31] + node _T_3113 = eq(_T_3112, UInt<1>("h00")) @[ifu_mem_ctl.scala 600:9] + node _T_3114 = and(_T_3113, iccm_correct_ecc) @[ifu_mem_ctl.scala 600:62] + node _T_3115 = cat(iccm_ecc_corr_index_ff, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_3116 = bits(io.ifc_fetch_addr_bf, 14, 0) @[ifu_mem_ctl.scala 600:136] + node _T_3117 = mux(_T_3114, _T_3115, _T_3116) @[ifu_mem_ctl.scala 600:8] + node _T_3118 = mux(_T_3110, _T_3111, _T_3117) @[ifu_mem_ctl.scala 599:25] + io.iccm.rw_addr <= _T_3118 @[ifu_mem_ctl.scala 599:19] + node ic_fetch_val_int_f = cat(UInt<2>("h00"), io.ic_fetch_val_f) @[Cat.scala 29:58] + node _T_3119 = bits(ifu_fetch_addr_int_f, 0, 0) @[ifu_mem_ctl.scala 602:76] + node ic_fetch_val_shift_right = dshl(ic_fetch_val_int_f, _T_3119) @[ifu_mem_ctl.scala 602:53] + node _T_3120 = bits(ic_fetch_val_shift_right, 1, 0) @[ifu_mem_ctl.scala 605:75] + node _T_3121 = orr(_T_3120) @[ifu_mem_ctl.scala 605:91] + node _T_3122 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_mem_ctl.scala 605:97] + node _T_3123 = and(_T_3121, _T_3122) @[ifu_mem_ctl.scala 605:95] + node _T_3124 = and(_T_3123, fetch_req_iccm_f) @[ifu_mem_ctl.scala 605:117] + node _T_3125 = or(_T_3124, iccm_dma_rvalid_in) @[ifu_mem_ctl.scala 605:134] + node _T_3126 = eq(io.dec_mem_ctrl.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[ifu_mem_ctl.scala 605:158] + node _T_3127 = and(_T_3125, _T_3126) @[ifu_mem_ctl.scala 605:156] + node _T_3128 = bits(ic_fetch_val_shift_right, 3, 2) @[ifu_mem_ctl.scala 605:75] + node _T_3129 = orr(_T_3128) @[ifu_mem_ctl.scala 605:91] + node _T_3130 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_mem_ctl.scala 605:97] + node _T_3131 = and(_T_3129, _T_3130) @[ifu_mem_ctl.scala 605:95] + node _T_3132 = and(_T_3131, fetch_req_iccm_f) @[ifu_mem_ctl.scala 605:117] + node _T_3133 = or(_T_3132, iccm_dma_rvalid_in) @[ifu_mem_ctl.scala 605:134] + node _T_3134 = eq(io.dec_mem_ctrl.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[ifu_mem_ctl.scala 605:158] + node _T_3135 = and(_T_3133, _T_3134) @[ifu_mem_ctl.scala 605:156] + node iccm_ecc_word_enable = cat(_T_3135, _T_3127) @[Cat.scala 29:58] + node _T_3136 = bits(iccm_ecc_word_enable, 0, 0) @[ifu_mem_ctl.scala 606:73] + node _T_3137 = bits(io.iccm.rd_data_ecc, 31, 0) @[ifu_mem_ctl.scala 606:93] + node _T_3138 = bits(io.iccm.rd_data_ecc, 38, 32) @[ifu_mem_ctl.scala 606:128] + wire _T_3139 : UInt<1>[18] @[lib.scala 173:18] + wire _T_3140 : UInt<1>[18] @[lib.scala 174:18] + wire _T_3141 : UInt<1>[18] @[lib.scala 175:18] + wire _T_3142 : UInt<1>[15] @[lib.scala 176:18] + wire _T_3143 : UInt<1>[15] @[lib.scala 177:18] + wire _T_3144 : UInt<1>[6] @[lib.scala 178:18] + node _T_3145 = bits(_T_3137, 0, 0) @[lib.scala 185:36] + _T_3139[0] <= _T_3145 @[lib.scala 185:30] + node _T_3146 = bits(_T_3137, 0, 0) @[lib.scala 186:36] + _T_3140[0] <= _T_3146 @[lib.scala 186:30] + node _T_3147 = bits(_T_3137, 1, 1) @[lib.scala 185:36] + _T_3139[1] <= _T_3147 @[lib.scala 185:30] + node _T_3148 = bits(_T_3137, 1, 1) @[lib.scala 187:36] + _T_3141[0] <= _T_3148 @[lib.scala 187:30] + node _T_3149 = bits(_T_3137, 2, 2) @[lib.scala 186:36] + _T_3140[1] <= _T_3149 @[lib.scala 186:30] + node _T_3150 = bits(_T_3137, 2, 2) @[lib.scala 187:36] + _T_3141[1] <= _T_3150 @[lib.scala 187:30] + node _T_3151 = bits(_T_3137, 3, 3) @[lib.scala 185:36] + _T_3139[2] <= _T_3151 @[lib.scala 185:30] + node _T_3152 = bits(_T_3137, 3, 3) @[lib.scala 186:36] + _T_3140[2] <= _T_3152 @[lib.scala 186:30] + node _T_3153 = bits(_T_3137, 3, 3) @[lib.scala 187:36] + _T_3141[2] <= _T_3153 @[lib.scala 187:30] + node _T_3154 = bits(_T_3137, 4, 4) @[lib.scala 185:36] + _T_3139[3] <= _T_3154 @[lib.scala 185:30] + node _T_3155 = bits(_T_3137, 4, 4) @[lib.scala 188:36] + _T_3142[0] <= _T_3155 @[lib.scala 188:30] + node _T_3156 = bits(_T_3137, 5, 5) @[lib.scala 186:36] + _T_3140[3] <= _T_3156 @[lib.scala 186:30] + node _T_3157 = bits(_T_3137, 5, 5) @[lib.scala 188:36] + _T_3142[1] <= _T_3157 @[lib.scala 188:30] + node _T_3158 = bits(_T_3137, 6, 6) @[lib.scala 185:36] + _T_3139[4] <= _T_3158 @[lib.scala 185:30] + node _T_3159 = bits(_T_3137, 6, 6) @[lib.scala 186:36] + _T_3140[4] <= _T_3159 @[lib.scala 186:30] + node _T_3160 = bits(_T_3137, 6, 6) @[lib.scala 188:36] + _T_3142[2] <= _T_3160 @[lib.scala 188:30] + node _T_3161 = bits(_T_3137, 7, 7) @[lib.scala 187:36] + _T_3141[3] <= _T_3161 @[lib.scala 187:30] + node _T_3162 = bits(_T_3137, 7, 7) @[lib.scala 188:36] + _T_3142[3] <= _T_3162 @[lib.scala 188:30] + node _T_3163 = bits(_T_3137, 8, 8) @[lib.scala 185:36] + _T_3139[5] <= _T_3163 @[lib.scala 185:30] + node _T_3164 = bits(_T_3137, 8, 8) @[lib.scala 187:36] + _T_3141[4] <= _T_3164 @[lib.scala 187:30] + node _T_3165 = bits(_T_3137, 8, 8) @[lib.scala 188:36] + _T_3142[4] <= _T_3165 @[lib.scala 188:30] + node _T_3166 = bits(_T_3137, 9, 9) @[lib.scala 186:36] + _T_3140[5] <= _T_3166 @[lib.scala 186:30] + node _T_3167 = bits(_T_3137, 9, 9) @[lib.scala 187:36] + _T_3141[5] <= _T_3167 @[lib.scala 187:30] + node _T_3168 = bits(_T_3137, 9, 9) @[lib.scala 188:36] + _T_3142[5] <= _T_3168 @[lib.scala 188:30] + node _T_3169 = bits(_T_3137, 10, 10) @[lib.scala 185:36] + _T_3139[6] <= _T_3169 @[lib.scala 185:30] + node _T_3170 = bits(_T_3137, 10, 10) @[lib.scala 186:36] + _T_3140[6] <= _T_3170 @[lib.scala 186:30] + node _T_3171 = bits(_T_3137, 10, 10) @[lib.scala 187:36] + _T_3141[6] <= _T_3171 @[lib.scala 187:30] + node _T_3172 = bits(_T_3137, 10, 10) @[lib.scala 188:36] + _T_3142[6] <= _T_3172 @[lib.scala 188:30] + node _T_3173 = bits(_T_3137, 11, 11) @[lib.scala 185:36] + _T_3139[7] <= _T_3173 @[lib.scala 185:30] + node _T_3174 = bits(_T_3137, 11, 11) @[lib.scala 189:36] + _T_3143[0] <= _T_3174 @[lib.scala 189:30] + node _T_3175 = bits(_T_3137, 12, 12) @[lib.scala 186:36] + _T_3140[7] <= _T_3175 @[lib.scala 186:30] + node _T_3176 = bits(_T_3137, 12, 12) @[lib.scala 189:36] + _T_3143[1] <= _T_3176 @[lib.scala 189:30] + node _T_3177 = bits(_T_3137, 13, 13) @[lib.scala 185:36] + _T_3139[8] <= _T_3177 @[lib.scala 185:30] + node _T_3178 = bits(_T_3137, 13, 13) @[lib.scala 186:36] + _T_3140[8] <= _T_3178 @[lib.scala 186:30] + node _T_3179 = bits(_T_3137, 13, 13) @[lib.scala 189:36] + _T_3143[2] <= _T_3179 @[lib.scala 189:30] + node _T_3180 = bits(_T_3137, 14, 14) @[lib.scala 187:36] + _T_3141[7] <= _T_3180 @[lib.scala 187:30] + node _T_3181 = bits(_T_3137, 14, 14) @[lib.scala 189:36] + _T_3143[3] <= _T_3181 @[lib.scala 189:30] + node _T_3182 = bits(_T_3137, 15, 15) @[lib.scala 185:36] + _T_3139[9] <= _T_3182 @[lib.scala 185:30] + node _T_3183 = bits(_T_3137, 15, 15) @[lib.scala 187:36] + _T_3141[8] <= _T_3183 @[lib.scala 187:30] + node _T_3184 = bits(_T_3137, 15, 15) @[lib.scala 189:36] + _T_3143[4] <= _T_3184 @[lib.scala 189:30] + node _T_3185 = bits(_T_3137, 16, 16) @[lib.scala 186:36] + _T_3140[9] <= _T_3185 @[lib.scala 186:30] + node _T_3186 = bits(_T_3137, 16, 16) @[lib.scala 187:36] + _T_3141[9] <= _T_3186 @[lib.scala 187:30] + node _T_3187 = bits(_T_3137, 16, 16) @[lib.scala 189:36] + _T_3143[5] <= _T_3187 @[lib.scala 189:30] + node _T_3188 = bits(_T_3137, 17, 17) @[lib.scala 185:36] + _T_3139[10] <= _T_3188 @[lib.scala 185:30] + node _T_3189 = bits(_T_3137, 17, 17) @[lib.scala 186:36] + _T_3140[10] <= _T_3189 @[lib.scala 186:30] + node _T_3190 = bits(_T_3137, 17, 17) @[lib.scala 187:36] + _T_3141[10] <= _T_3190 @[lib.scala 187:30] + node _T_3191 = bits(_T_3137, 17, 17) @[lib.scala 189:36] + _T_3143[6] <= _T_3191 @[lib.scala 189:30] + node _T_3192 = bits(_T_3137, 18, 18) @[lib.scala 188:36] + _T_3142[7] <= _T_3192 @[lib.scala 188:30] + node _T_3193 = bits(_T_3137, 18, 18) @[lib.scala 189:36] + _T_3143[7] <= _T_3193 @[lib.scala 189:30] + node _T_3194 = bits(_T_3137, 19, 19) @[lib.scala 185:36] + _T_3139[11] <= _T_3194 @[lib.scala 185:30] + node _T_3195 = bits(_T_3137, 19, 19) @[lib.scala 188:36] + _T_3142[8] <= _T_3195 @[lib.scala 188:30] + node _T_3196 = bits(_T_3137, 19, 19) @[lib.scala 189:36] + _T_3143[8] <= _T_3196 @[lib.scala 189:30] + node _T_3197 = bits(_T_3137, 20, 20) @[lib.scala 186:36] + _T_3140[11] <= _T_3197 @[lib.scala 186:30] + node _T_3198 = bits(_T_3137, 20, 20) @[lib.scala 188:36] + _T_3142[9] <= _T_3198 @[lib.scala 188:30] + node _T_3199 = bits(_T_3137, 20, 20) @[lib.scala 189:36] + _T_3143[9] <= _T_3199 @[lib.scala 189:30] + node _T_3200 = bits(_T_3137, 21, 21) @[lib.scala 185:36] + _T_3139[12] <= _T_3200 @[lib.scala 185:30] + node _T_3201 = bits(_T_3137, 21, 21) @[lib.scala 186:36] + _T_3140[12] <= _T_3201 @[lib.scala 186:30] + node _T_3202 = bits(_T_3137, 21, 21) @[lib.scala 188:36] + _T_3142[10] <= _T_3202 @[lib.scala 188:30] + node _T_3203 = bits(_T_3137, 21, 21) @[lib.scala 189:36] + _T_3143[10] <= _T_3203 @[lib.scala 189:30] + node _T_3204 = bits(_T_3137, 22, 22) @[lib.scala 187:36] + _T_3141[11] <= _T_3204 @[lib.scala 187:30] + node _T_3205 = bits(_T_3137, 22, 22) @[lib.scala 188:36] + _T_3142[11] <= _T_3205 @[lib.scala 188:30] + node _T_3206 = bits(_T_3137, 22, 22) @[lib.scala 189:36] + _T_3143[11] <= _T_3206 @[lib.scala 189:30] + node _T_3207 = bits(_T_3137, 23, 23) @[lib.scala 185:36] + _T_3139[13] <= _T_3207 @[lib.scala 185:30] + node _T_3208 = bits(_T_3137, 23, 23) @[lib.scala 187:36] + _T_3141[12] <= _T_3208 @[lib.scala 187:30] + node _T_3209 = bits(_T_3137, 23, 23) @[lib.scala 188:36] + _T_3142[12] <= _T_3209 @[lib.scala 188:30] + node _T_3210 = bits(_T_3137, 23, 23) @[lib.scala 189:36] + _T_3143[12] <= _T_3210 @[lib.scala 189:30] + node _T_3211 = bits(_T_3137, 24, 24) @[lib.scala 186:36] + _T_3140[13] <= _T_3211 @[lib.scala 186:30] + node _T_3212 = bits(_T_3137, 24, 24) @[lib.scala 187:36] + _T_3141[13] <= _T_3212 @[lib.scala 187:30] + node _T_3213 = bits(_T_3137, 24, 24) @[lib.scala 188:36] + _T_3142[13] <= _T_3213 @[lib.scala 188:30] + node _T_3214 = bits(_T_3137, 24, 24) @[lib.scala 189:36] + _T_3143[13] <= _T_3214 @[lib.scala 189:30] + node _T_3215 = bits(_T_3137, 25, 25) @[lib.scala 185:36] + _T_3139[14] <= _T_3215 @[lib.scala 185:30] + node _T_3216 = bits(_T_3137, 25, 25) @[lib.scala 186:36] + _T_3140[14] <= _T_3216 @[lib.scala 186:30] + node _T_3217 = bits(_T_3137, 25, 25) @[lib.scala 187:36] + _T_3141[14] <= _T_3217 @[lib.scala 187:30] + node _T_3218 = bits(_T_3137, 25, 25) @[lib.scala 188:36] + _T_3142[14] <= _T_3218 @[lib.scala 188:30] + node _T_3219 = bits(_T_3137, 25, 25) @[lib.scala 189:36] + _T_3143[14] <= _T_3219 @[lib.scala 189:30] + node _T_3220 = bits(_T_3137, 26, 26) @[lib.scala 185:36] + _T_3139[15] <= _T_3220 @[lib.scala 185:30] + node _T_3221 = bits(_T_3137, 26, 26) @[lib.scala 190:36] + _T_3144[0] <= _T_3221 @[lib.scala 190:30] + node _T_3222 = bits(_T_3137, 27, 27) @[lib.scala 186:36] + _T_3140[15] <= _T_3222 @[lib.scala 186:30] + node _T_3223 = bits(_T_3137, 27, 27) @[lib.scala 190:36] + _T_3144[1] <= _T_3223 @[lib.scala 190:30] + node _T_3224 = bits(_T_3137, 28, 28) @[lib.scala 185:36] + _T_3139[16] <= _T_3224 @[lib.scala 185:30] + node _T_3225 = bits(_T_3137, 28, 28) @[lib.scala 186:36] + _T_3140[16] <= _T_3225 @[lib.scala 186:30] + node _T_3226 = bits(_T_3137, 28, 28) @[lib.scala 190:36] + _T_3144[2] <= _T_3226 @[lib.scala 190:30] + node _T_3227 = bits(_T_3137, 29, 29) @[lib.scala 187:36] + _T_3141[15] <= _T_3227 @[lib.scala 187:30] + node _T_3228 = bits(_T_3137, 29, 29) @[lib.scala 190:36] + _T_3144[3] <= _T_3228 @[lib.scala 190:30] + node _T_3229 = bits(_T_3137, 30, 30) @[lib.scala 185:36] + _T_3139[17] <= _T_3229 @[lib.scala 185:30] + node _T_3230 = bits(_T_3137, 30, 30) @[lib.scala 187:36] + _T_3141[16] <= _T_3230 @[lib.scala 187:30] + node _T_3231 = bits(_T_3137, 30, 30) @[lib.scala 190:36] + _T_3144[4] <= _T_3231 @[lib.scala 190:30] + node _T_3232 = bits(_T_3137, 31, 31) @[lib.scala 186:36] + _T_3140[17] <= _T_3232 @[lib.scala 186:30] + node _T_3233 = bits(_T_3137, 31, 31) @[lib.scala 187:36] + _T_3141[17] <= _T_3233 @[lib.scala 187:30] + node _T_3234 = bits(_T_3137, 31, 31) @[lib.scala 190:36] + _T_3144[5] <= _T_3234 @[lib.scala 190:30] + node _T_3235 = xorr(_T_3137) @[lib.scala 193:30] + node _T_3236 = xorr(_T_3138) @[lib.scala 193:44] + node _T_3237 = xor(_T_3235, _T_3236) @[lib.scala 193:35] + node _T_3238 = not(UInt<1>("h00")) @[lib.scala 193:52] + node _T_3239 = and(_T_3237, _T_3238) @[lib.scala 193:50] + node _T_3240 = bits(_T_3138, 5, 5) @[lib.scala 193:68] + node _T_3241 = cat(_T_3144[2], _T_3144[1]) @[lib.scala 193:76] + node _T_3242 = cat(_T_3241, _T_3144[0]) @[lib.scala 193:76] + node _T_3243 = cat(_T_3144[5], _T_3144[4]) @[lib.scala 193:76] + node _T_3244 = cat(_T_3243, _T_3144[3]) @[lib.scala 193:76] + node _T_3245 = cat(_T_3244, _T_3242) @[lib.scala 193:76] + node _T_3246 = xorr(_T_3245) @[lib.scala 193:83] + node _T_3247 = xor(_T_3240, _T_3246) @[lib.scala 193:71] + node _T_3248 = bits(_T_3138, 4, 4) @[lib.scala 193:95] + node _T_3249 = cat(_T_3143[2], _T_3143[1]) @[lib.scala 193:103] + node _T_3250 = cat(_T_3249, _T_3143[0]) @[lib.scala 193:103] + node _T_3251 = cat(_T_3143[4], _T_3143[3]) @[lib.scala 193:103] + node _T_3252 = cat(_T_3143[6], _T_3143[5]) @[lib.scala 193:103] + node _T_3253 = cat(_T_3252, _T_3251) @[lib.scala 193:103] + node _T_3254 = cat(_T_3253, _T_3250) @[lib.scala 193:103] + node _T_3255 = cat(_T_3143[8], _T_3143[7]) @[lib.scala 193:103] + node _T_3256 = cat(_T_3143[10], _T_3143[9]) @[lib.scala 193:103] + node _T_3257 = cat(_T_3256, _T_3255) @[lib.scala 193:103] + node _T_3258 = cat(_T_3143[12], _T_3143[11]) @[lib.scala 193:103] + node _T_3259 = cat(_T_3143[14], _T_3143[13]) @[lib.scala 193:103] + node _T_3260 = cat(_T_3259, _T_3258) @[lib.scala 193:103] + node _T_3261 = cat(_T_3260, _T_3257) @[lib.scala 193:103] + node _T_3262 = cat(_T_3261, _T_3254) @[lib.scala 193:103] + node _T_3263 = xorr(_T_3262) @[lib.scala 193:110] + node _T_3264 = xor(_T_3248, _T_3263) @[lib.scala 193:98] + node _T_3265 = bits(_T_3138, 3, 3) @[lib.scala 193:122] + node _T_3266 = cat(_T_3142[2], _T_3142[1]) @[lib.scala 193:130] + node _T_3267 = cat(_T_3266, _T_3142[0]) @[lib.scala 193:130] + node _T_3268 = cat(_T_3142[4], _T_3142[3]) @[lib.scala 193:130] + node _T_3269 = cat(_T_3142[6], _T_3142[5]) @[lib.scala 193:130] + node _T_3270 = cat(_T_3269, _T_3268) @[lib.scala 193:130] + node _T_3271 = cat(_T_3270, _T_3267) @[lib.scala 193:130] + node _T_3272 = cat(_T_3142[8], _T_3142[7]) @[lib.scala 193:130] + node _T_3273 = cat(_T_3142[10], _T_3142[9]) @[lib.scala 193:130] + node _T_3274 = cat(_T_3273, _T_3272) @[lib.scala 193:130] + node _T_3275 = cat(_T_3142[12], _T_3142[11]) @[lib.scala 193:130] + node _T_3276 = cat(_T_3142[14], _T_3142[13]) @[lib.scala 193:130] + node _T_3277 = cat(_T_3276, _T_3275) @[lib.scala 193:130] + node _T_3278 = cat(_T_3277, _T_3274) @[lib.scala 193:130] + node _T_3279 = cat(_T_3278, _T_3271) @[lib.scala 193:130] + node _T_3280 = xorr(_T_3279) @[lib.scala 193:137] + node _T_3281 = xor(_T_3265, _T_3280) @[lib.scala 193:125] + node _T_3282 = bits(_T_3138, 2, 2) @[lib.scala 193:149] + node _T_3283 = cat(_T_3141[1], _T_3141[0]) @[lib.scala 193:157] + node _T_3284 = cat(_T_3141[3], _T_3141[2]) @[lib.scala 193:157] + node _T_3285 = cat(_T_3284, _T_3283) @[lib.scala 193:157] + node _T_3286 = cat(_T_3141[5], _T_3141[4]) @[lib.scala 193:157] + node _T_3287 = cat(_T_3141[8], _T_3141[7]) @[lib.scala 193:157] + node _T_3288 = cat(_T_3287, _T_3141[6]) @[lib.scala 193:157] + node _T_3289 = cat(_T_3288, _T_3286) @[lib.scala 193:157] + node _T_3290 = cat(_T_3289, _T_3285) @[lib.scala 193:157] + node _T_3291 = cat(_T_3141[10], _T_3141[9]) @[lib.scala 193:157] + node _T_3292 = cat(_T_3141[12], _T_3141[11]) @[lib.scala 193:157] + node _T_3293 = cat(_T_3292, _T_3291) @[lib.scala 193:157] + node _T_3294 = cat(_T_3141[14], _T_3141[13]) @[lib.scala 193:157] + node _T_3295 = cat(_T_3141[17], _T_3141[16]) @[lib.scala 193:157] + node _T_3296 = cat(_T_3295, _T_3141[15]) @[lib.scala 193:157] + node _T_3297 = cat(_T_3296, _T_3294) @[lib.scala 193:157] + node _T_3298 = cat(_T_3297, _T_3293) @[lib.scala 193:157] + node _T_3299 = cat(_T_3298, _T_3290) @[lib.scala 193:157] + node _T_3300 = xorr(_T_3299) @[lib.scala 193:164] + node _T_3301 = xor(_T_3282, _T_3300) @[lib.scala 193:152] + node _T_3302 = bits(_T_3138, 1, 1) @[lib.scala 193:176] + node _T_3303 = cat(_T_3140[1], _T_3140[0]) @[lib.scala 193:184] + node _T_3304 = cat(_T_3140[3], _T_3140[2]) @[lib.scala 193:184] + node _T_3305 = cat(_T_3304, _T_3303) @[lib.scala 193:184] + node _T_3306 = cat(_T_3140[5], _T_3140[4]) @[lib.scala 193:184] + node _T_3307 = cat(_T_3140[8], _T_3140[7]) @[lib.scala 193:184] + node _T_3308 = cat(_T_3307, _T_3140[6]) @[lib.scala 193:184] + node _T_3309 = cat(_T_3308, _T_3306) @[lib.scala 193:184] + node _T_3310 = cat(_T_3309, _T_3305) @[lib.scala 193:184] + node _T_3311 = cat(_T_3140[10], _T_3140[9]) @[lib.scala 193:184] + node _T_3312 = cat(_T_3140[12], _T_3140[11]) @[lib.scala 193:184] + node _T_3313 = cat(_T_3312, _T_3311) @[lib.scala 193:184] + node _T_3314 = cat(_T_3140[14], _T_3140[13]) @[lib.scala 193:184] + node _T_3315 = cat(_T_3140[17], _T_3140[16]) @[lib.scala 193:184] + node _T_3316 = cat(_T_3315, _T_3140[15]) @[lib.scala 193:184] + node _T_3317 = cat(_T_3316, _T_3314) @[lib.scala 193:184] + node _T_3318 = cat(_T_3317, _T_3313) @[lib.scala 193:184] + node _T_3319 = cat(_T_3318, _T_3310) @[lib.scala 193:184] + node _T_3320 = xorr(_T_3319) @[lib.scala 193:191] + node _T_3321 = xor(_T_3302, _T_3320) @[lib.scala 193:179] + node _T_3322 = bits(_T_3138, 0, 0) @[lib.scala 193:203] + node _T_3323 = cat(_T_3139[1], _T_3139[0]) @[lib.scala 193:211] + node _T_3324 = cat(_T_3139[3], _T_3139[2]) @[lib.scala 193:211] + node _T_3325 = cat(_T_3324, _T_3323) @[lib.scala 193:211] + node _T_3326 = cat(_T_3139[5], _T_3139[4]) @[lib.scala 193:211] + node _T_3327 = cat(_T_3139[8], _T_3139[7]) @[lib.scala 193:211] + node _T_3328 = cat(_T_3327, _T_3139[6]) @[lib.scala 193:211] + node _T_3329 = cat(_T_3328, _T_3326) @[lib.scala 193:211] + node _T_3330 = cat(_T_3329, _T_3325) @[lib.scala 193:211] + node _T_3331 = cat(_T_3139[10], _T_3139[9]) @[lib.scala 193:211] + node _T_3332 = cat(_T_3139[12], _T_3139[11]) @[lib.scala 193:211] + node _T_3333 = cat(_T_3332, _T_3331) @[lib.scala 193:211] + node _T_3334 = cat(_T_3139[14], _T_3139[13]) @[lib.scala 193:211] + node _T_3335 = cat(_T_3139[17], _T_3139[16]) @[lib.scala 193:211] + node _T_3336 = cat(_T_3335, _T_3139[15]) @[lib.scala 193:211] + node _T_3337 = cat(_T_3336, _T_3334) @[lib.scala 193:211] + node _T_3338 = cat(_T_3337, _T_3333) @[lib.scala 193:211] + node _T_3339 = cat(_T_3338, _T_3330) @[lib.scala 193:211] + node _T_3340 = xorr(_T_3339) @[lib.scala 193:218] + node _T_3341 = xor(_T_3322, _T_3340) @[lib.scala 193:206] + node _T_3342 = cat(_T_3301, _T_3321) @[Cat.scala 29:58] + node _T_3343 = cat(_T_3342, _T_3341) @[Cat.scala 29:58] + node _T_3344 = cat(_T_3264, _T_3281) @[Cat.scala 29:58] + node _T_3345 = cat(_T_3239, _T_3247) @[Cat.scala 29:58] + node _T_3346 = cat(_T_3345, _T_3344) @[Cat.scala 29:58] + node _T_3347 = cat(_T_3346, _T_3343) @[Cat.scala 29:58] + node _T_3348 = neq(_T_3347, UInt<1>("h00")) @[lib.scala 194:44] + node _T_3349 = and(_T_3136, _T_3348) @[lib.scala 194:32] + node _T_3350 = bits(_T_3347, 6, 6) @[lib.scala 194:64] + node _T_3351 = and(_T_3349, _T_3350) @[lib.scala 194:53] + node _T_3352 = neq(_T_3347, UInt<1>("h00")) @[lib.scala 195:44] + node _T_3353 = and(_T_3136, _T_3352) @[lib.scala 195:32] + node _T_3354 = bits(_T_3347, 6, 6) @[lib.scala 195:65] + node _T_3355 = not(_T_3354) @[lib.scala 195:55] + node _T_3356 = and(_T_3353, _T_3355) @[lib.scala 195:53] + wire _T_3357 : UInt<1>[39] @[lib.scala 196:26] + node _T_3358 = bits(_T_3347, 5, 0) @[lib.scala 199:35] + node _T_3359 = eq(_T_3358, UInt<1>("h01")) @[lib.scala 199:41] + _T_3357[0] <= _T_3359 @[lib.scala 199:23] + node _T_3360 = bits(_T_3347, 5, 0) @[lib.scala 199:35] + node _T_3361 = eq(_T_3360, UInt<2>("h02")) @[lib.scala 199:41] + _T_3357[1] <= _T_3361 @[lib.scala 199:23] + node _T_3362 = bits(_T_3347, 5, 0) @[lib.scala 199:35] + node _T_3363 = eq(_T_3362, UInt<2>("h03")) @[lib.scala 199:41] + _T_3357[2] <= _T_3363 @[lib.scala 199:23] + node _T_3364 = bits(_T_3347, 5, 0) @[lib.scala 199:35] + node _T_3365 = eq(_T_3364, UInt<3>("h04")) @[lib.scala 199:41] + _T_3357[3] <= _T_3365 @[lib.scala 199:23] + node _T_3366 = bits(_T_3347, 5, 0) @[lib.scala 199:35] + node _T_3367 = eq(_T_3366, UInt<3>("h05")) @[lib.scala 199:41] + _T_3357[4] <= _T_3367 @[lib.scala 199:23] + node _T_3368 = bits(_T_3347, 5, 0) @[lib.scala 199:35] + node _T_3369 = eq(_T_3368, UInt<3>("h06")) @[lib.scala 199:41] + _T_3357[5] <= _T_3369 @[lib.scala 199:23] + node _T_3370 = bits(_T_3347, 5, 0) @[lib.scala 199:35] + node _T_3371 = eq(_T_3370, UInt<3>("h07")) @[lib.scala 199:41] + _T_3357[6] <= _T_3371 @[lib.scala 199:23] + node _T_3372 = bits(_T_3347, 5, 0) @[lib.scala 199:35] + node _T_3373 = eq(_T_3372, UInt<4>("h08")) @[lib.scala 199:41] + _T_3357[7] <= _T_3373 @[lib.scala 199:23] + node _T_3374 = bits(_T_3347, 5, 0) @[lib.scala 199:35] + node _T_3375 = eq(_T_3374, UInt<4>("h09")) @[lib.scala 199:41] + _T_3357[8] <= _T_3375 @[lib.scala 199:23] + node _T_3376 = bits(_T_3347, 5, 0) @[lib.scala 199:35] + node _T_3377 = eq(_T_3376, UInt<4>("h0a")) @[lib.scala 199:41] + _T_3357[9] <= _T_3377 @[lib.scala 199:23] + node _T_3378 = bits(_T_3347, 5, 0) @[lib.scala 199:35] + node _T_3379 = eq(_T_3378, UInt<4>("h0b")) @[lib.scala 199:41] + _T_3357[10] <= _T_3379 @[lib.scala 199:23] + node _T_3380 = bits(_T_3347, 5, 0) @[lib.scala 199:35] + node _T_3381 = eq(_T_3380, UInt<4>("h0c")) @[lib.scala 199:41] + _T_3357[11] <= _T_3381 @[lib.scala 199:23] + node _T_3382 = bits(_T_3347, 5, 0) @[lib.scala 199:35] + node _T_3383 = eq(_T_3382, UInt<4>("h0d")) @[lib.scala 199:41] + _T_3357[12] <= _T_3383 @[lib.scala 199:23] + node _T_3384 = bits(_T_3347, 5, 0) @[lib.scala 199:35] + node _T_3385 = eq(_T_3384, UInt<4>("h0e")) @[lib.scala 199:41] + _T_3357[13] <= _T_3385 @[lib.scala 199:23] + node _T_3386 = bits(_T_3347, 5, 0) @[lib.scala 199:35] + node _T_3387 = eq(_T_3386, UInt<4>("h0f")) @[lib.scala 199:41] + _T_3357[14] <= _T_3387 @[lib.scala 199:23] + node _T_3388 = bits(_T_3347, 5, 0) @[lib.scala 199:35] + node _T_3389 = eq(_T_3388, UInt<5>("h010")) @[lib.scala 199:41] + _T_3357[15] <= _T_3389 @[lib.scala 199:23] + node _T_3390 = bits(_T_3347, 5, 0) @[lib.scala 199:35] + node _T_3391 = eq(_T_3390, UInt<5>("h011")) @[lib.scala 199:41] + _T_3357[16] <= _T_3391 @[lib.scala 199:23] + node _T_3392 = bits(_T_3347, 5, 0) @[lib.scala 199:35] + node _T_3393 = eq(_T_3392, UInt<5>("h012")) @[lib.scala 199:41] + _T_3357[17] <= _T_3393 @[lib.scala 199:23] + node _T_3394 = bits(_T_3347, 5, 0) @[lib.scala 199:35] + node _T_3395 = eq(_T_3394, UInt<5>("h013")) @[lib.scala 199:41] + _T_3357[18] <= _T_3395 @[lib.scala 199:23] + node _T_3396 = bits(_T_3347, 5, 0) @[lib.scala 199:35] + node _T_3397 = eq(_T_3396, UInt<5>("h014")) @[lib.scala 199:41] + _T_3357[19] <= _T_3397 @[lib.scala 199:23] + node _T_3398 = bits(_T_3347, 5, 0) @[lib.scala 199:35] + node _T_3399 = eq(_T_3398, UInt<5>("h015")) @[lib.scala 199:41] + _T_3357[20] <= _T_3399 @[lib.scala 199:23] + node _T_3400 = bits(_T_3347, 5, 0) @[lib.scala 199:35] + node _T_3401 = eq(_T_3400, UInt<5>("h016")) @[lib.scala 199:41] + _T_3357[21] <= _T_3401 @[lib.scala 199:23] + node _T_3402 = bits(_T_3347, 5, 0) @[lib.scala 199:35] + node _T_3403 = eq(_T_3402, UInt<5>("h017")) @[lib.scala 199:41] + _T_3357[22] <= _T_3403 @[lib.scala 199:23] + node _T_3404 = bits(_T_3347, 5, 0) @[lib.scala 199:35] + node _T_3405 = eq(_T_3404, UInt<5>("h018")) @[lib.scala 199:41] + _T_3357[23] <= _T_3405 @[lib.scala 199:23] + node _T_3406 = bits(_T_3347, 5, 0) @[lib.scala 199:35] + node _T_3407 = eq(_T_3406, UInt<5>("h019")) @[lib.scala 199:41] + _T_3357[24] <= _T_3407 @[lib.scala 199:23] + node _T_3408 = bits(_T_3347, 5, 0) @[lib.scala 199:35] + node _T_3409 = eq(_T_3408, UInt<5>("h01a")) @[lib.scala 199:41] + _T_3357[25] <= _T_3409 @[lib.scala 199:23] + node _T_3410 = bits(_T_3347, 5, 0) @[lib.scala 199:35] + node _T_3411 = eq(_T_3410, UInt<5>("h01b")) @[lib.scala 199:41] + _T_3357[26] <= _T_3411 @[lib.scala 199:23] + node _T_3412 = bits(_T_3347, 5, 0) @[lib.scala 199:35] + node _T_3413 = eq(_T_3412, UInt<5>("h01c")) @[lib.scala 199:41] + _T_3357[27] <= _T_3413 @[lib.scala 199:23] + node _T_3414 = bits(_T_3347, 5, 0) @[lib.scala 199:35] + node _T_3415 = eq(_T_3414, UInt<5>("h01d")) @[lib.scala 199:41] + _T_3357[28] <= _T_3415 @[lib.scala 199:23] + node _T_3416 = bits(_T_3347, 5, 0) @[lib.scala 199:35] + node _T_3417 = eq(_T_3416, UInt<5>("h01e")) @[lib.scala 199:41] + _T_3357[29] <= _T_3417 @[lib.scala 199:23] + node _T_3418 = bits(_T_3347, 5, 0) @[lib.scala 199:35] + node _T_3419 = eq(_T_3418, UInt<5>("h01f")) @[lib.scala 199:41] + _T_3357[30] <= _T_3419 @[lib.scala 199:23] + node _T_3420 = bits(_T_3347, 5, 0) @[lib.scala 199:35] + node _T_3421 = eq(_T_3420, UInt<6>("h020")) @[lib.scala 199:41] + _T_3357[31] <= _T_3421 @[lib.scala 199:23] + node _T_3422 = bits(_T_3347, 5, 0) @[lib.scala 199:35] + node _T_3423 = eq(_T_3422, UInt<6>("h021")) @[lib.scala 199:41] + _T_3357[32] <= _T_3423 @[lib.scala 199:23] + node _T_3424 = bits(_T_3347, 5, 0) @[lib.scala 199:35] + node _T_3425 = eq(_T_3424, UInt<6>("h022")) @[lib.scala 199:41] + _T_3357[33] <= _T_3425 @[lib.scala 199:23] + node _T_3426 = bits(_T_3347, 5, 0) @[lib.scala 199:35] + node _T_3427 = eq(_T_3426, UInt<6>("h023")) @[lib.scala 199:41] + _T_3357[34] <= _T_3427 @[lib.scala 199:23] + node _T_3428 = bits(_T_3347, 5, 0) @[lib.scala 199:35] + node _T_3429 = eq(_T_3428, UInt<6>("h024")) @[lib.scala 199:41] + _T_3357[35] <= _T_3429 @[lib.scala 199:23] + node _T_3430 = bits(_T_3347, 5, 0) @[lib.scala 199:35] + node _T_3431 = eq(_T_3430, UInt<6>("h025")) @[lib.scala 199:41] + _T_3357[36] <= _T_3431 @[lib.scala 199:23] + node _T_3432 = bits(_T_3347, 5, 0) @[lib.scala 199:35] + node _T_3433 = eq(_T_3432, UInt<6>("h026")) @[lib.scala 199:41] + _T_3357[37] <= _T_3433 @[lib.scala 199:23] + node _T_3434 = bits(_T_3347, 5, 0) @[lib.scala 199:35] + node _T_3435 = eq(_T_3434, UInt<6>("h027")) @[lib.scala 199:41] + _T_3357[38] <= _T_3435 @[lib.scala 199:23] + node _T_3436 = bits(_T_3138, 6, 6) @[lib.scala 201:37] + node _T_3437 = bits(_T_3137, 31, 26) @[lib.scala 201:45] + node _T_3438 = bits(_T_3138, 5, 5) @[lib.scala 201:60] + node _T_3439 = bits(_T_3137, 25, 11) @[lib.scala 201:68] + node _T_3440 = bits(_T_3138, 4, 4) @[lib.scala 201:83] + node _T_3441 = bits(_T_3137, 10, 4) @[lib.scala 201:91] + node _T_3442 = bits(_T_3138, 3, 3) @[lib.scala 201:105] + node _T_3443 = bits(_T_3137, 3, 1) @[lib.scala 201:113] + node _T_3444 = bits(_T_3138, 2, 2) @[lib.scala 201:126] + node _T_3445 = bits(_T_3137, 0, 0) @[lib.scala 201:134] + node _T_3446 = bits(_T_3138, 1, 0) @[lib.scala 201:145] + node _T_3447 = cat(_T_3445, _T_3446) @[Cat.scala 29:58] + node _T_3448 = cat(_T_3442, _T_3443) @[Cat.scala 29:58] + node _T_3449 = cat(_T_3448, _T_3444) @[Cat.scala 29:58] + node _T_3450 = cat(_T_3449, _T_3447) @[Cat.scala 29:58] + node _T_3451 = cat(_T_3439, _T_3440) @[Cat.scala 29:58] + node _T_3452 = cat(_T_3451, _T_3441) @[Cat.scala 29:58] + node _T_3453 = cat(_T_3436, _T_3437) @[Cat.scala 29:58] + node _T_3454 = cat(_T_3453, _T_3438) @[Cat.scala 29:58] + node _T_3455 = cat(_T_3454, _T_3452) @[Cat.scala 29:58] + node _T_3456 = cat(_T_3455, _T_3450) @[Cat.scala 29:58] + node _T_3457 = bits(_T_3351, 0, 0) @[lib.scala 202:49] + node _T_3458 = cat(_T_3357[1], _T_3357[0]) @[lib.scala 202:69] + node _T_3459 = cat(_T_3357[3], _T_3357[2]) @[lib.scala 202:69] + node _T_3460 = cat(_T_3459, _T_3458) @[lib.scala 202:69] + node _T_3461 = cat(_T_3357[5], _T_3357[4]) @[lib.scala 202:69] + node _T_3462 = cat(_T_3357[8], _T_3357[7]) @[lib.scala 202:69] + node _T_3463 = cat(_T_3462, _T_3357[6]) @[lib.scala 202:69] + node _T_3464 = cat(_T_3463, _T_3461) @[lib.scala 202:69] + node _T_3465 = cat(_T_3464, _T_3460) @[lib.scala 202:69] + node _T_3466 = cat(_T_3357[10], _T_3357[9]) @[lib.scala 202:69] + node _T_3467 = cat(_T_3357[13], _T_3357[12]) @[lib.scala 202:69] + node _T_3468 = cat(_T_3467, _T_3357[11]) @[lib.scala 202:69] + node _T_3469 = cat(_T_3468, _T_3466) @[lib.scala 202:69] + node _T_3470 = cat(_T_3357[15], _T_3357[14]) @[lib.scala 202:69] + node _T_3471 = cat(_T_3357[18], _T_3357[17]) @[lib.scala 202:69] + node _T_3472 = cat(_T_3471, _T_3357[16]) @[lib.scala 202:69] + node _T_3473 = cat(_T_3472, _T_3470) @[lib.scala 202:69] + node _T_3474 = cat(_T_3473, _T_3469) @[lib.scala 202:69] + node _T_3475 = cat(_T_3474, _T_3465) @[lib.scala 202:69] + node _T_3476 = cat(_T_3357[20], _T_3357[19]) @[lib.scala 202:69] + node _T_3477 = cat(_T_3357[23], _T_3357[22]) @[lib.scala 202:69] + node _T_3478 = cat(_T_3477, _T_3357[21]) @[lib.scala 202:69] + node _T_3479 = cat(_T_3478, _T_3476) @[lib.scala 202:69] + node _T_3480 = cat(_T_3357[25], _T_3357[24]) @[lib.scala 202:69] + node _T_3481 = cat(_T_3357[28], _T_3357[27]) @[lib.scala 202:69] + node _T_3482 = cat(_T_3481, _T_3357[26]) @[lib.scala 202:69] + node _T_3483 = cat(_T_3482, _T_3480) @[lib.scala 202:69] + node _T_3484 = cat(_T_3483, _T_3479) @[lib.scala 202:69] + node _T_3485 = cat(_T_3357[30], _T_3357[29]) @[lib.scala 202:69] + node _T_3486 = cat(_T_3357[33], _T_3357[32]) @[lib.scala 202:69] + node _T_3487 = cat(_T_3486, _T_3357[31]) @[lib.scala 202:69] + node _T_3488 = cat(_T_3487, _T_3485) @[lib.scala 202:69] + node _T_3489 = cat(_T_3357[35], _T_3357[34]) @[lib.scala 202:69] + node _T_3490 = cat(_T_3357[38], _T_3357[37]) @[lib.scala 202:69] + node _T_3491 = cat(_T_3490, _T_3357[36]) @[lib.scala 202:69] + node _T_3492 = cat(_T_3491, _T_3489) @[lib.scala 202:69] + node _T_3493 = cat(_T_3492, _T_3488) @[lib.scala 202:69] + node _T_3494 = cat(_T_3493, _T_3484) @[lib.scala 202:69] + node _T_3495 = cat(_T_3494, _T_3475) @[lib.scala 202:69] + node _T_3496 = xor(_T_3495, _T_3456) @[lib.scala 202:76] + node _T_3497 = mux(_T_3457, _T_3496, _T_3456) @[lib.scala 202:31] + node _T_3498 = bits(_T_3497, 37, 32) @[lib.scala 204:37] + node _T_3499 = bits(_T_3497, 30, 16) @[lib.scala 204:61] + node _T_3500 = bits(_T_3497, 14, 8) @[lib.scala 204:86] + node _T_3501 = bits(_T_3497, 6, 4) @[lib.scala 204:110] + node _T_3502 = bits(_T_3497, 2, 2) @[lib.scala 204:133] + node _T_3503 = cat(_T_3501, _T_3502) @[Cat.scala 29:58] + node _T_3504 = cat(_T_3498, _T_3499) @[Cat.scala 29:58] + node _T_3505 = cat(_T_3504, _T_3500) @[Cat.scala 29:58] + node _T_3506 = cat(_T_3505, _T_3503) @[Cat.scala 29:58] + node _T_3507 = bits(_T_3497, 38, 38) @[lib.scala 205:39] + node _T_3508 = bits(_T_3347, 6, 0) @[lib.scala 205:56] + node _T_3509 = eq(_T_3508, UInt<7>("h040")) @[lib.scala 205:62] + node _T_3510 = xor(_T_3507, _T_3509) @[lib.scala 205:44] + node _T_3511 = bits(_T_3497, 31, 31) @[lib.scala 205:102] + node _T_3512 = bits(_T_3497, 15, 15) @[lib.scala 205:124] + node _T_3513 = bits(_T_3497, 7, 7) @[lib.scala 205:146] + node _T_3514 = bits(_T_3497, 3, 3) @[lib.scala 205:167] + node _T_3515 = bits(_T_3497, 1, 0) @[lib.scala 205:188] + node _T_3516 = cat(_T_3513, _T_3514) @[Cat.scala 29:58] + node _T_3517 = cat(_T_3516, _T_3515) @[Cat.scala 29:58] + node _T_3518 = cat(_T_3510, _T_3511) @[Cat.scala 29:58] + node _T_3519 = cat(_T_3518, _T_3512) @[Cat.scala 29:58] + node _T_3520 = cat(_T_3519, _T_3517) @[Cat.scala 29:58] + node _T_3521 = bits(iccm_ecc_word_enable, 1, 1) @[ifu_mem_ctl.scala 606:73] + node _T_3522 = bits(io.iccm.rd_data_ecc, 70, 39) @[ifu_mem_ctl.scala 606:93] + node _T_3523 = bits(io.iccm.rd_data_ecc, 77, 71) @[ifu_mem_ctl.scala 606:128] + wire _T_3524 : UInt<1>[18] @[lib.scala 173:18] + wire _T_3525 : UInt<1>[18] @[lib.scala 174:18] + wire _T_3526 : UInt<1>[18] @[lib.scala 175:18] + wire _T_3527 : UInt<1>[15] @[lib.scala 176:18] + wire _T_3528 : UInt<1>[15] @[lib.scala 177:18] + wire _T_3529 : UInt<1>[6] @[lib.scala 178:18] + node _T_3530 = bits(_T_3522, 0, 0) @[lib.scala 185:36] + _T_3524[0] <= _T_3530 @[lib.scala 185:30] + node _T_3531 = bits(_T_3522, 0, 0) @[lib.scala 186:36] + _T_3525[0] <= _T_3531 @[lib.scala 186:30] + node _T_3532 = bits(_T_3522, 1, 1) @[lib.scala 185:36] + _T_3524[1] <= _T_3532 @[lib.scala 185:30] + node _T_3533 = bits(_T_3522, 1, 1) @[lib.scala 187:36] + _T_3526[0] <= _T_3533 @[lib.scala 187:30] + node _T_3534 = bits(_T_3522, 2, 2) @[lib.scala 186:36] + _T_3525[1] <= _T_3534 @[lib.scala 186:30] + node _T_3535 = bits(_T_3522, 2, 2) @[lib.scala 187:36] + _T_3526[1] <= _T_3535 @[lib.scala 187:30] + node _T_3536 = bits(_T_3522, 3, 3) @[lib.scala 185:36] + _T_3524[2] <= _T_3536 @[lib.scala 185:30] + node _T_3537 = bits(_T_3522, 3, 3) @[lib.scala 186:36] + _T_3525[2] <= _T_3537 @[lib.scala 186:30] + node _T_3538 = bits(_T_3522, 3, 3) @[lib.scala 187:36] + _T_3526[2] <= _T_3538 @[lib.scala 187:30] + node _T_3539 = bits(_T_3522, 4, 4) @[lib.scala 185:36] + _T_3524[3] <= _T_3539 @[lib.scala 185:30] + node _T_3540 = bits(_T_3522, 4, 4) @[lib.scala 188:36] + _T_3527[0] <= _T_3540 @[lib.scala 188:30] + node _T_3541 = bits(_T_3522, 5, 5) @[lib.scala 186:36] + _T_3525[3] <= _T_3541 @[lib.scala 186:30] + node _T_3542 = bits(_T_3522, 5, 5) @[lib.scala 188:36] + _T_3527[1] <= _T_3542 @[lib.scala 188:30] + node _T_3543 = bits(_T_3522, 6, 6) @[lib.scala 185:36] + _T_3524[4] <= _T_3543 @[lib.scala 185:30] + node _T_3544 = bits(_T_3522, 6, 6) @[lib.scala 186:36] + _T_3525[4] <= _T_3544 @[lib.scala 186:30] + node _T_3545 = bits(_T_3522, 6, 6) @[lib.scala 188:36] + _T_3527[2] <= _T_3545 @[lib.scala 188:30] + node _T_3546 = bits(_T_3522, 7, 7) @[lib.scala 187:36] + _T_3526[3] <= _T_3546 @[lib.scala 187:30] + node _T_3547 = bits(_T_3522, 7, 7) @[lib.scala 188:36] + _T_3527[3] <= _T_3547 @[lib.scala 188:30] + node _T_3548 = bits(_T_3522, 8, 8) @[lib.scala 185:36] + _T_3524[5] <= _T_3548 @[lib.scala 185:30] + node _T_3549 = bits(_T_3522, 8, 8) @[lib.scala 187:36] + _T_3526[4] <= _T_3549 @[lib.scala 187:30] + node _T_3550 = bits(_T_3522, 8, 8) @[lib.scala 188:36] + _T_3527[4] <= _T_3550 @[lib.scala 188:30] + node _T_3551 = bits(_T_3522, 9, 9) @[lib.scala 186:36] + _T_3525[5] <= _T_3551 @[lib.scala 186:30] + node _T_3552 = bits(_T_3522, 9, 9) @[lib.scala 187:36] + _T_3526[5] <= _T_3552 @[lib.scala 187:30] + node _T_3553 = bits(_T_3522, 9, 9) @[lib.scala 188:36] + _T_3527[5] <= _T_3553 @[lib.scala 188:30] + node _T_3554 = bits(_T_3522, 10, 10) @[lib.scala 185:36] + _T_3524[6] <= _T_3554 @[lib.scala 185:30] + node _T_3555 = bits(_T_3522, 10, 10) @[lib.scala 186:36] + _T_3525[6] <= _T_3555 @[lib.scala 186:30] + node _T_3556 = bits(_T_3522, 10, 10) @[lib.scala 187:36] + _T_3526[6] <= _T_3556 @[lib.scala 187:30] + node _T_3557 = bits(_T_3522, 10, 10) @[lib.scala 188:36] + _T_3527[6] <= _T_3557 @[lib.scala 188:30] + node _T_3558 = bits(_T_3522, 11, 11) @[lib.scala 185:36] + _T_3524[7] <= _T_3558 @[lib.scala 185:30] + node _T_3559 = bits(_T_3522, 11, 11) @[lib.scala 189:36] + _T_3528[0] <= _T_3559 @[lib.scala 189:30] + node _T_3560 = bits(_T_3522, 12, 12) @[lib.scala 186:36] + _T_3525[7] <= _T_3560 @[lib.scala 186:30] + node _T_3561 = bits(_T_3522, 12, 12) @[lib.scala 189:36] + _T_3528[1] <= _T_3561 @[lib.scala 189:30] + node _T_3562 = bits(_T_3522, 13, 13) @[lib.scala 185:36] + _T_3524[8] <= _T_3562 @[lib.scala 185:30] + node _T_3563 = bits(_T_3522, 13, 13) @[lib.scala 186:36] + _T_3525[8] <= _T_3563 @[lib.scala 186:30] + node _T_3564 = bits(_T_3522, 13, 13) @[lib.scala 189:36] + _T_3528[2] <= _T_3564 @[lib.scala 189:30] + node _T_3565 = bits(_T_3522, 14, 14) @[lib.scala 187:36] + _T_3526[7] <= _T_3565 @[lib.scala 187:30] + node _T_3566 = bits(_T_3522, 14, 14) @[lib.scala 189:36] + _T_3528[3] <= _T_3566 @[lib.scala 189:30] + node _T_3567 = bits(_T_3522, 15, 15) @[lib.scala 185:36] + _T_3524[9] <= _T_3567 @[lib.scala 185:30] + node _T_3568 = bits(_T_3522, 15, 15) @[lib.scala 187:36] + _T_3526[8] <= _T_3568 @[lib.scala 187:30] + node _T_3569 = bits(_T_3522, 15, 15) @[lib.scala 189:36] + _T_3528[4] <= _T_3569 @[lib.scala 189:30] + node _T_3570 = bits(_T_3522, 16, 16) @[lib.scala 186:36] + _T_3525[9] <= _T_3570 @[lib.scala 186:30] + node _T_3571 = bits(_T_3522, 16, 16) @[lib.scala 187:36] + _T_3526[9] <= _T_3571 @[lib.scala 187:30] + node _T_3572 = bits(_T_3522, 16, 16) @[lib.scala 189:36] + _T_3528[5] <= _T_3572 @[lib.scala 189:30] + node _T_3573 = bits(_T_3522, 17, 17) @[lib.scala 185:36] + _T_3524[10] <= _T_3573 @[lib.scala 185:30] + node _T_3574 = bits(_T_3522, 17, 17) @[lib.scala 186:36] + _T_3525[10] <= _T_3574 @[lib.scala 186:30] + node _T_3575 = bits(_T_3522, 17, 17) @[lib.scala 187:36] + _T_3526[10] <= _T_3575 @[lib.scala 187:30] + node _T_3576 = bits(_T_3522, 17, 17) @[lib.scala 189:36] + _T_3528[6] <= _T_3576 @[lib.scala 189:30] + node _T_3577 = bits(_T_3522, 18, 18) @[lib.scala 188:36] + _T_3527[7] <= _T_3577 @[lib.scala 188:30] + node _T_3578 = bits(_T_3522, 18, 18) @[lib.scala 189:36] + _T_3528[7] <= _T_3578 @[lib.scala 189:30] + node _T_3579 = bits(_T_3522, 19, 19) @[lib.scala 185:36] + _T_3524[11] <= _T_3579 @[lib.scala 185:30] + node _T_3580 = bits(_T_3522, 19, 19) @[lib.scala 188:36] + _T_3527[8] <= _T_3580 @[lib.scala 188:30] + node _T_3581 = bits(_T_3522, 19, 19) @[lib.scala 189:36] + _T_3528[8] <= _T_3581 @[lib.scala 189:30] + node _T_3582 = bits(_T_3522, 20, 20) @[lib.scala 186:36] + _T_3525[11] <= _T_3582 @[lib.scala 186:30] + node _T_3583 = bits(_T_3522, 20, 20) @[lib.scala 188:36] + _T_3527[9] <= _T_3583 @[lib.scala 188:30] + node _T_3584 = bits(_T_3522, 20, 20) @[lib.scala 189:36] + _T_3528[9] <= _T_3584 @[lib.scala 189:30] + node _T_3585 = bits(_T_3522, 21, 21) @[lib.scala 185:36] + _T_3524[12] <= _T_3585 @[lib.scala 185:30] + node _T_3586 = bits(_T_3522, 21, 21) @[lib.scala 186:36] + _T_3525[12] <= _T_3586 @[lib.scala 186:30] + node _T_3587 = bits(_T_3522, 21, 21) @[lib.scala 188:36] + _T_3527[10] <= _T_3587 @[lib.scala 188:30] + node _T_3588 = bits(_T_3522, 21, 21) @[lib.scala 189:36] + _T_3528[10] <= _T_3588 @[lib.scala 189:30] + node _T_3589 = bits(_T_3522, 22, 22) @[lib.scala 187:36] + _T_3526[11] <= _T_3589 @[lib.scala 187:30] + node _T_3590 = bits(_T_3522, 22, 22) @[lib.scala 188:36] + _T_3527[11] <= _T_3590 @[lib.scala 188:30] + node _T_3591 = bits(_T_3522, 22, 22) @[lib.scala 189:36] + _T_3528[11] <= _T_3591 @[lib.scala 189:30] + node _T_3592 = bits(_T_3522, 23, 23) @[lib.scala 185:36] + _T_3524[13] <= _T_3592 @[lib.scala 185:30] + node _T_3593 = bits(_T_3522, 23, 23) @[lib.scala 187:36] + _T_3526[12] <= _T_3593 @[lib.scala 187:30] + node _T_3594 = bits(_T_3522, 23, 23) @[lib.scala 188:36] + _T_3527[12] <= _T_3594 @[lib.scala 188:30] + node _T_3595 = bits(_T_3522, 23, 23) @[lib.scala 189:36] + _T_3528[12] <= _T_3595 @[lib.scala 189:30] + node _T_3596 = bits(_T_3522, 24, 24) @[lib.scala 186:36] + _T_3525[13] <= _T_3596 @[lib.scala 186:30] + node _T_3597 = bits(_T_3522, 24, 24) @[lib.scala 187:36] + _T_3526[13] <= _T_3597 @[lib.scala 187:30] + node _T_3598 = bits(_T_3522, 24, 24) @[lib.scala 188:36] + _T_3527[13] <= _T_3598 @[lib.scala 188:30] + node _T_3599 = bits(_T_3522, 24, 24) @[lib.scala 189:36] + _T_3528[13] <= _T_3599 @[lib.scala 189:30] + node _T_3600 = bits(_T_3522, 25, 25) @[lib.scala 185:36] + _T_3524[14] <= _T_3600 @[lib.scala 185:30] + node _T_3601 = bits(_T_3522, 25, 25) @[lib.scala 186:36] + _T_3525[14] <= _T_3601 @[lib.scala 186:30] + node _T_3602 = bits(_T_3522, 25, 25) @[lib.scala 187:36] + _T_3526[14] <= _T_3602 @[lib.scala 187:30] + node _T_3603 = bits(_T_3522, 25, 25) @[lib.scala 188:36] + _T_3527[14] <= _T_3603 @[lib.scala 188:30] + node _T_3604 = bits(_T_3522, 25, 25) @[lib.scala 189:36] + _T_3528[14] <= _T_3604 @[lib.scala 189:30] + node _T_3605 = bits(_T_3522, 26, 26) @[lib.scala 185:36] + _T_3524[15] <= _T_3605 @[lib.scala 185:30] + node _T_3606 = bits(_T_3522, 26, 26) @[lib.scala 190:36] + _T_3529[0] <= _T_3606 @[lib.scala 190:30] + node _T_3607 = bits(_T_3522, 27, 27) @[lib.scala 186:36] + _T_3525[15] <= _T_3607 @[lib.scala 186:30] + node _T_3608 = bits(_T_3522, 27, 27) @[lib.scala 190:36] + _T_3529[1] <= _T_3608 @[lib.scala 190:30] + node _T_3609 = bits(_T_3522, 28, 28) @[lib.scala 185:36] + _T_3524[16] <= _T_3609 @[lib.scala 185:30] + node _T_3610 = bits(_T_3522, 28, 28) @[lib.scala 186:36] + _T_3525[16] <= _T_3610 @[lib.scala 186:30] + node _T_3611 = bits(_T_3522, 28, 28) @[lib.scala 190:36] + _T_3529[2] <= _T_3611 @[lib.scala 190:30] + node _T_3612 = bits(_T_3522, 29, 29) @[lib.scala 187:36] + _T_3526[15] <= _T_3612 @[lib.scala 187:30] + node _T_3613 = bits(_T_3522, 29, 29) @[lib.scala 190:36] + _T_3529[3] <= _T_3613 @[lib.scala 190:30] + node _T_3614 = bits(_T_3522, 30, 30) @[lib.scala 185:36] + _T_3524[17] <= _T_3614 @[lib.scala 185:30] + node _T_3615 = bits(_T_3522, 30, 30) @[lib.scala 187:36] + _T_3526[16] <= _T_3615 @[lib.scala 187:30] + node _T_3616 = bits(_T_3522, 30, 30) @[lib.scala 190:36] + _T_3529[4] <= _T_3616 @[lib.scala 190:30] + node _T_3617 = bits(_T_3522, 31, 31) @[lib.scala 186:36] + _T_3525[17] <= _T_3617 @[lib.scala 186:30] + node _T_3618 = bits(_T_3522, 31, 31) @[lib.scala 187:36] + _T_3526[17] <= _T_3618 @[lib.scala 187:30] + node _T_3619 = bits(_T_3522, 31, 31) @[lib.scala 190:36] + _T_3529[5] <= _T_3619 @[lib.scala 190:30] + node _T_3620 = xorr(_T_3522) @[lib.scala 193:30] + node _T_3621 = xorr(_T_3523) @[lib.scala 193:44] + node _T_3622 = xor(_T_3620, _T_3621) @[lib.scala 193:35] + node _T_3623 = not(UInt<1>("h00")) @[lib.scala 193:52] + node _T_3624 = and(_T_3622, _T_3623) @[lib.scala 193:50] + node _T_3625 = bits(_T_3523, 5, 5) @[lib.scala 193:68] + node _T_3626 = cat(_T_3529[2], _T_3529[1]) @[lib.scala 193:76] + node _T_3627 = cat(_T_3626, _T_3529[0]) @[lib.scala 193:76] + node _T_3628 = cat(_T_3529[5], _T_3529[4]) @[lib.scala 193:76] + node _T_3629 = cat(_T_3628, _T_3529[3]) @[lib.scala 193:76] + node _T_3630 = cat(_T_3629, _T_3627) @[lib.scala 193:76] + node _T_3631 = xorr(_T_3630) @[lib.scala 193:83] + node _T_3632 = xor(_T_3625, _T_3631) @[lib.scala 193:71] + node _T_3633 = bits(_T_3523, 4, 4) @[lib.scala 193:95] + node _T_3634 = cat(_T_3528[2], _T_3528[1]) @[lib.scala 193:103] + node _T_3635 = cat(_T_3634, _T_3528[0]) @[lib.scala 193:103] + node _T_3636 = cat(_T_3528[4], _T_3528[3]) @[lib.scala 193:103] + node _T_3637 = cat(_T_3528[6], _T_3528[5]) @[lib.scala 193:103] + node _T_3638 = cat(_T_3637, _T_3636) @[lib.scala 193:103] + node _T_3639 = cat(_T_3638, _T_3635) @[lib.scala 193:103] + node _T_3640 = cat(_T_3528[8], _T_3528[7]) @[lib.scala 193:103] + node _T_3641 = cat(_T_3528[10], _T_3528[9]) @[lib.scala 193:103] + node _T_3642 = cat(_T_3641, _T_3640) @[lib.scala 193:103] + node _T_3643 = cat(_T_3528[12], _T_3528[11]) @[lib.scala 193:103] + node _T_3644 = cat(_T_3528[14], _T_3528[13]) @[lib.scala 193:103] + node _T_3645 = cat(_T_3644, _T_3643) @[lib.scala 193:103] + node _T_3646 = cat(_T_3645, _T_3642) @[lib.scala 193:103] + node _T_3647 = cat(_T_3646, _T_3639) @[lib.scala 193:103] + node _T_3648 = xorr(_T_3647) @[lib.scala 193:110] + node _T_3649 = xor(_T_3633, _T_3648) @[lib.scala 193:98] + node _T_3650 = bits(_T_3523, 3, 3) @[lib.scala 193:122] + node _T_3651 = cat(_T_3527[2], _T_3527[1]) @[lib.scala 193:130] + node _T_3652 = cat(_T_3651, _T_3527[0]) @[lib.scala 193:130] + node _T_3653 = cat(_T_3527[4], _T_3527[3]) @[lib.scala 193:130] + node _T_3654 = cat(_T_3527[6], _T_3527[5]) @[lib.scala 193:130] + node _T_3655 = cat(_T_3654, _T_3653) @[lib.scala 193:130] + node _T_3656 = cat(_T_3655, _T_3652) @[lib.scala 193:130] + node _T_3657 = cat(_T_3527[8], _T_3527[7]) @[lib.scala 193:130] + node _T_3658 = cat(_T_3527[10], _T_3527[9]) @[lib.scala 193:130] + node _T_3659 = cat(_T_3658, _T_3657) @[lib.scala 193:130] + node _T_3660 = cat(_T_3527[12], _T_3527[11]) @[lib.scala 193:130] + node _T_3661 = cat(_T_3527[14], _T_3527[13]) @[lib.scala 193:130] + node _T_3662 = cat(_T_3661, _T_3660) @[lib.scala 193:130] + node _T_3663 = cat(_T_3662, _T_3659) @[lib.scala 193:130] + node _T_3664 = cat(_T_3663, _T_3656) @[lib.scala 193:130] + node _T_3665 = xorr(_T_3664) @[lib.scala 193:137] + node _T_3666 = xor(_T_3650, _T_3665) @[lib.scala 193:125] + node _T_3667 = bits(_T_3523, 2, 2) @[lib.scala 193:149] + node _T_3668 = cat(_T_3526[1], _T_3526[0]) @[lib.scala 193:157] + node _T_3669 = cat(_T_3526[3], _T_3526[2]) @[lib.scala 193:157] + node _T_3670 = cat(_T_3669, _T_3668) @[lib.scala 193:157] + node _T_3671 = cat(_T_3526[5], _T_3526[4]) @[lib.scala 193:157] + node _T_3672 = cat(_T_3526[8], _T_3526[7]) @[lib.scala 193:157] + node _T_3673 = cat(_T_3672, _T_3526[6]) @[lib.scala 193:157] + node _T_3674 = cat(_T_3673, _T_3671) @[lib.scala 193:157] + node _T_3675 = cat(_T_3674, _T_3670) @[lib.scala 193:157] + node _T_3676 = cat(_T_3526[10], _T_3526[9]) @[lib.scala 193:157] + node _T_3677 = cat(_T_3526[12], _T_3526[11]) @[lib.scala 193:157] + node _T_3678 = cat(_T_3677, _T_3676) @[lib.scala 193:157] + node _T_3679 = cat(_T_3526[14], _T_3526[13]) @[lib.scala 193:157] + node _T_3680 = cat(_T_3526[17], _T_3526[16]) @[lib.scala 193:157] + node _T_3681 = cat(_T_3680, _T_3526[15]) @[lib.scala 193:157] + node _T_3682 = cat(_T_3681, _T_3679) @[lib.scala 193:157] + node _T_3683 = cat(_T_3682, _T_3678) @[lib.scala 193:157] + node _T_3684 = cat(_T_3683, _T_3675) @[lib.scala 193:157] + node _T_3685 = xorr(_T_3684) @[lib.scala 193:164] + node _T_3686 = xor(_T_3667, _T_3685) @[lib.scala 193:152] + node _T_3687 = bits(_T_3523, 1, 1) @[lib.scala 193:176] + node _T_3688 = cat(_T_3525[1], _T_3525[0]) @[lib.scala 193:184] + node _T_3689 = cat(_T_3525[3], _T_3525[2]) @[lib.scala 193:184] + node _T_3690 = cat(_T_3689, _T_3688) @[lib.scala 193:184] + node _T_3691 = cat(_T_3525[5], _T_3525[4]) @[lib.scala 193:184] + node _T_3692 = cat(_T_3525[8], _T_3525[7]) @[lib.scala 193:184] + node _T_3693 = cat(_T_3692, _T_3525[6]) @[lib.scala 193:184] + node _T_3694 = cat(_T_3693, _T_3691) @[lib.scala 193:184] + node _T_3695 = cat(_T_3694, _T_3690) @[lib.scala 193:184] + node _T_3696 = cat(_T_3525[10], _T_3525[9]) @[lib.scala 193:184] + node _T_3697 = cat(_T_3525[12], _T_3525[11]) @[lib.scala 193:184] + node _T_3698 = cat(_T_3697, _T_3696) @[lib.scala 193:184] + node _T_3699 = cat(_T_3525[14], _T_3525[13]) @[lib.scala 193:184] + node _T_3700 = cat(_T_3525[17], _T_3525[16]) @[lib.scala 193:184] + node _T_3701 = cat(_T_3700, _T_3525[15]) @[lib.scala 193:184] + node _T_3702 = cat(_T_3701, _T_3699) @[lib.scala 193:184] + node _T_3703 = cat(_T_3702, _T_3698) @[lib.scala 193:184] + node _T_3704 = cat(_T_3703, _T_3695) @[lib.scala 193:184] + node _T_3705 = xorr(_T_3704) @[lib.scala 193:191] + node _T_3706 = xor(_T_3687, _T_3705) @[lib.scala 193:179] + node _T_3707 = bits(_T_3523, 0, 0) @[lib.scala 193:203] + node _T_3708 = cat(_T_3524[1], _T_3524[0]) @[lib.scala 193:211] + node _T_3709 = cat(_T_3524[3], _T_3524[2]) @[lib.scala 193:211] + node _T_3710 = cat(_T_3709, _T_3708) @[lib.scala 193:211] + node _T_3711 = cat(_T_3524[5], _T_3524[4]) @[lib.scala 193:211] + node _T_3712 = cat(_T_3524[8], _T_3524[7]) @[lib.scala 193:211] + node _T_3713 = cat(_T_3712, _T_3524[6]) @[lib.scala 193:211] + node _T_3714 = cat(_T_3713, _T_3711) @[lib.scala 193:211] + node _T_3715 = cat(_T_3714, _T_3710) @[lib.scala 193:211] + node _T_3716 = cat(_T_3524[10], _T_3524[9]) @[lib.scala 193:211] + node _T_3717 = cat(_T_3524[12], _T_3524[11]) @[lib.scala 193:211] + node _T_3718 = cat(_T_3717, _T_3716) @[lib.scala 193:211] + node _T_3719 = cat(_T_3524[14], _T_3524[13]) @[lib.scala 193:211] + node _T_3720 = cat(_T_3524[17], _T_3524[16]) @[lib.scala 193:211] + node _T_3721 = cat(_T_3720, _T_3524[15]) @[lib.scala 193:211] + node _T_3722 = cat(_T_3721, _T_3719) @[lib.scala 193:211] + node _T_3723 = cat(_T_3722, _T_3718) @[lib.scala 193:211] + node _T_3724 = cat(_T_3723, _T_3715) @[lib.scala 193:211] + node _T_3725 = xorr(_T_3724) @[lib.scala 193:218] + node _T_3726 = xor(_T_3707, _T_3725) @[lib.scala 193:206] + node _T_3727 = cat(_T_3686, _T_3706) @[Cat.scala 29:58] + node _T_3728 = cat(_T_3727, _T_3726) @[Cat.scala 29:58] + node _T_3729 = cat(_T_3649, _T_3666) @[Cat.scala 29:58] + node _T_3730 = cat(_T_3624, _T_3632) @[Cat.scala 29:58] + node _T_3731 = cat(_T_3730, _T_3729) @[Cat.scala 29:58] + node _T_3732 = cat(_T_3731, _T_3728) @[Cat.scala 29:58] + node _T_3733 = neq(_T_3732, UInt<1>("h00")) @[lib.scala 194:44] + node _T_3734 = and(_T_3521, _T_3733) @[lib.scala 194:32] + node _T_3735 = bits(_T_3732, 6, 6) @[lib.scala 194:64] + node _T_3736 = and(_T_3734, _T_3735) @[lib.scala 194:53] + node _T_3737 = neq(_T_3732, UInt<1>("h00")) @[lib.scala 195:44] + node _T_3738 = and(_T_3521, _T_3737) @[lib.scala 195:32] + node _T_3739 = bits(_T_3732, 6, 6) @[lib.scala 195:65] + node _T_3740 = not(_T_3739) @[lib.scala 195:55] + node _T_3741 = and(_T_3738, _T_3740) @[lib.scala 195:53] + wire _T_3742 : UInt<1>[39] @[lib.scala 196:26] + node _T_3743 = bits(_T_3732, 5, 0) @[lib.scala 199:35] + node _T_3744 = eq(_T_3743, UInt<1>("h01")) @[lib.scala 199:41] + _T_3742[0] <= _T_3744 @[lib.scala 199:23] + node _T_3745 = bits(_T_3732, 5, 0) @[lib.scala 199:35] + node _T_3746 = eq(_T_3745, UInt<2>("h02")) @[lib.scala 199:41] + _T_3742[1] <= _T_3746 @[lib.scala 199:23] + node _T_3747 = bits(_T_3732, 5, 0) @[lib.scala 199:35] + node _T_3748 = eq(_T_3747, UInt<2>("h03")) @[lib.scala 199:41] + _T_3742[2] <= _T_3748 @[lib.scala 199:23] + node _T_3749 = bits(_T_3732, 5, 0) @[lib.scala 199:35] + node _T_3750 = eq(_T_3749, UInt<3>("h04")) @[lib.scala 199:41] + _T_3742[3] <= _T_3750 @[lib.scala 199:23] + node _T_3751 = bits(_T_3732, 5, 0) @[lib.scala 199:35] + node _T_3752 = eq(_T_3751, UInt<3>("h05")) @[lib.scala 199:41] + _T_3742[4] <= _T_3752 @[lib.scala 199:23] + node _T_3753 = bits(_T_3732, 5, 0) @[lib.scala 199:35] + node _T_3754 = eq(_T_3753, UInt<3>("h06")) @[lib.scala 199:41] + _T_3742[5] <= _T_3754 @[lib.scala 199:23] + node _T_3755 = bits(_T_3732, 5, 0) @[lib.scala 199:35] + node _T_3756 = eq(_T_3755, UInt<3>("h07")) @[lib.scala 199:41] + _T_3742[6] <= _T_3756 @[lib.scala 199:23] + node _T_3757 = bits(_T_3732, 5, 0) @[lib.scala 199:35] + node _T_3758 = eq(_T_3757, UInt<4>("h08")) @[lib.scala 199:41] + _T_3742[7] <= _T_3758 @[lib.scala 199:23] + node _T_3759 = bits(_T_3732, 5, 0) @[lib.scala 199:35] + node _T_3760 = eq(_T_3759, UInt<4>("h09")) @[lib.scala 199:41] + _T_3742[8] <= _T_3760 @[lib.scala 199:23] + node _T_3761 = bits(_T_3732, 5, 0) @[lib.scala 199:35] + node _T_3762 = eq(_T_3761, UInt<4>("h0a")) @[lib.scala 199:41] + _T_3742[9] <= _T_3762 @[lib.scala 199:23] + node _T_3763 = bits(_T_3732, 5, 0) @[lib.scala 199:35] + node _T_3764 = eq(_T_3763, UInt<4>("h0b")) @[lib.scala 199:41] + _T_3742[10] <= _T_3764 @[lib.scala 199:23] + node _T_3765 = bits(_T_3732, 5, 0) @[lib.scala 199:35] + node _T_3766 = eq(_T_3765, UInt<4>("h0c")) @[lib.scala 199:41] + _T_3742[11] <= _T_3766 @[lib.scala 199:23] + node _T_3767 = bits(_T_3732, 5, 0) @[lib.scala 199:35] + node _T_3768 = eq(_T_3767, UInt<4>("h0d")) @[lib.scala 199:41] + _T_3742[12] <= _T_3768 @[lib.scala 199:23] + node _T_3769 = bits(_T_3732, 5, 0) @[lib.scala 199:35] + node _T_3770 = eq(_T_3769, UInt<4>("h0e")) @[lib.scala 199:41] + _T_3742[13] <= _T_3770 @[lib.scala 199:23] + node _T_3771 = bits(_T_3732, 5, 0) @[lib.scala 199:35] + node _T_3772 = eq(_T_3771, UInt<4>("h0f")) @[lib.scala 199:41] + _T_3742[14] <= _T_3772 @[lib.scala 199:23] + node _T_3773 = bits(_T_3732, 5, 0) @[lib.scala 199:35] + node _T_3774 = eq(_T_3773, UInt<5>("h010")) @[lib.scala 199:41] + _T_3742[15] <= _T_3774 @[lib.scala 199:23] + node _T_3775 = bits(_T_3732, 5, 0) @[lib.scala 199:35] + node _T_3776 = eq(_T_3775, UInt<5>("h011")) @[lib.scala 199:41] + _T_3742[16] <= _T_3776 @[lib.scala 199:23] + node _T_3777 = bits(_T_3732, 5, 0) @[lib.scala 199:35] + node _T_3778 = eq(_T_3777, UInt<5>("h012")) @[lib.scala 199:41] + _T_3742[17] <= _T_3778 @[lib.scala 199:23] + node _T_3779 = bits(_T_3732, 5, 0) @[lib.scala 199:35] + node _T_3780 = eq(_T_3779, UInt<5>("h013")) @[lib.scala 199:41] + _T_3742[18] <= _T_3780 @[lib.scala 199:23] + node _T_3781 = bits(_T_3732, 5, 0) @[lib.scala 199:35] + node _T_3782 = eq(_T_3781, UInt<5>("h014")) @[lib.scala 199:41] + _T_3742[19] <= _T_3782 @[lib.scala 199:23] + node _T_3783 = bits(_T_3732, 5, 0) @[lib.scala 199:35] + node _T_3784 = eq(_T_3783, UInt<5>("h015")) @[lib.scala 199:41] + _T_3742[20] <= _T_3784 @[lib.scala 199:23] + node _T_3785 = bits(_T_3732, 5, 0) @[lib.scala 199:35] + node _T_3786 = eq(_T_3785, UInt<5>("h016")) @[lib.scala 199:41] + _T_3742[21] <= _T_3786 @[lib.scala 199:23] + node _T_3787 = bits(_T_3732, 5, 0) @[lib.scala 199:35] + node _T_3788 = eq(_T_3787, UInt<5>("h017")) @[lib.scala 199:41] + _T_3742[22] <= _T_3788 @[lib.scala 199:23] + node _T_3789 = bits(_T_3732, 5, 0) @[lib.scala 199:35] + node _T_3790 = eq(_T_3789, UInt<5>("h018")) @[lib.scala 199:41] + _T_3742[23] <= _T_3790 @[lib.scala 199:23] + node _T_3791 = bits(_T_3732, 5, 0) @[lib.scala 199:35] + node _T_3792 = eq(_T_3791, UInt<5>("h019")) @[lib.scala 199:41] + _T_3742[24] <= _T_3792 @[lib.scala 199:23] + node _T_3793 = bits(_T_3732, 5, 0) @[lib.scala 199:35] + node _T_3794 = eq(_T_3793, UInt<5>("h01a")) @[lib.scala 199:41] + _T_3742[25] <= _T_3794 @[lib.scala 199:23] + node _T_3795 = bits(_T_3732, 5, 0) @[lib.scala 199:35] + node _T_3796 = eq(_T_3795, UInt<5>("h01b")) @[lib.scala 199:41] + _T_3742[26] <= _T_3796 @[lib.scala 199:23] + node _T_3797 = bits(_T_3732, 5, 0) @[lib.scala 199:35] + node _T_3798 = eq(_T_3797, UInt<5>("h01c")) @[lib.scala 199:41] + _T_3742[27] <= _T_3798 @[lib.scala 199:23] + node _T_3799 = bits(_T_3732, 5, 0) @[lib.scala 199:35] + node _T_3800 = eq(_T_3799, UInt<5>("h01d")) @[lib.scala 199:41] + _T_3742[28] <= _T_3800 @[lib.scala 199:23] + node _T_3801 = bits(_T_3732, 5, 0) @[lib.scala 199:35] + node _T_3802 = eq(_T_3801, UInt<5>("h01e")) @[lib.scala 199:41] + _T_3742[29] <= _T_3802 @[lib.scala 199:23] + node _T_3803 = bits(_T_3732, 5, 0) @[lib.scala 199:35] + node _T_3804 = eq(_T_3803, UInt<5>("h01f")) @[lib.scala 199:41] + _T_3742[30] <= _T_3804 @[lib.scala 199:23] + node _T_3805 = bits(_T_3732, 5, 0) @[lib.scala 199:35] + node _T_3806 = eq(_T_3805, UInt<6>("h020")) @[lib.scala 199:41] + _T_3742[31] <= _T_3806 @[lib.scala 199:23] + node _T_3807 = bits(_T_3732, 5, 0) @[lib.scala 199:35] + node _T_3808 = eq(_T_3807, UInt<6>("h021")) @[lib.scala 199:41] + _T_3742[32] <= _T_3808 @[lib.scala 199:23] + node _T_3809 = bits(_T_3732, 5, 0) @[lib.scala 199:35] + node _T_3810 = eq(_T_3809, UInt<6>("h022")) @[lib.scala 199:41] + _T_3742[33] <= _T_3810 @[lib.scala 199:23] + node _T_3811 = bits(_T_3732, 5, 0) @[lib.scala 199:35] + node _T_3812 = eq(_T_3811, UInt<6>("h023")) @[lib.scala 199:41] + _T_3742[34] <= _T_3812 @[lib.scala 199:23] + node _T_3813 = bits(_T_3732, 5, 0) @[lib.scala 199:35] + node _T_3814 = eq(_T_3813, UInt<6>("h024")) @[lib.scala 199:41] + _T_3742[35] <= _T_3814 @[lib.scala 199:23] + node _T_3815 = bits(_T_3732, 5, 0) @[lib.scala 199:35] + node _T_3816 = eq(_T_3815, UInt<6>("h025")) @[lib.scala 199:41] + _T_3742[36] <= _T_3816 @[lib.scala 199:23] + node _T_3817 = bits(_T_3732, 5, 0) @[lib.scala 199:35] + node _T_3818 = eq(_T_3817, UInt<6>("h026")) @[lib.scala 199:41] + _T_3742[37] <= _T_3818 @[lib.scala 199:23] + node _T_3819 = bits(_T_3732, 5, 0) @[lib.scala 199:35] + node _T_3820 = eq(_T_3819, UInt<6>("h027")) @[lib.scala 199:41] + _T_3742[38] <= _T_3820 @[lib.scala 199:23] + node _T_3821 = bits(_T_3523, 6, 6) @[lib.scala 201:37] + node _T_3822 = bits(_T_3522, 31, 26) @[lib.scala 201:45] + node _T_3823 = bits(_T_3523, 5, 5) @[lib.scala 201:60] + node _T_3824 = bits(_T_3522, 25, 11) @[lib.scala 201:68] + node _T_3825 = bits(_T_3523, 4, 4) @[lib.scala 201:83] + node _T_3826 = bits(_T_3522, 10, 4) @[lib.scala 201:91] + node _T_3827 = bits(_T_3523, 3, 3) @[lib.scala 201:105] + node _T_3828 = bits(_T_3522, 3, 1) @[lib.scala 201:113] + node _T_3829 = bits(_T_3523, 2, 2) @[lib.scala 201:126] + node _T_3830 = bits(_T_3522, 0, 0) @[lib.scala 201:134] + node _T_3831 = bits(_T_3523, 1, 0) @[lib.scala 201:145] + node _T_3832 = cat(_T_3830, _T_3831) @[Cat.scala 29:58] + node _T_3833 = cat(_T_3827, _T_3828) @[Cat.scala 29:58] + node _T_3834 = cat(_T_3833, _T_3829) @[Cat.scala 29:58] + node _T_3835 = cat(_T_3834, _T_3832) @[Cat.scala 29:58] + node _T_3836 = cat(_T_3824, _T_3825) @[Cat.scala 29:58] + node _T_3837 = cat(_T_3836, _T_3826) @[Cat.scala 29:58] + node _T_3838 = cat(_T_3821, _T_3822) @[Cat.scala 29:58] + node _T_3839 = cat(_T_3838, _T_3823) @[Cat.scala 29:58] + node _T_3840 = cat(_T_3839, _T_3837) @[Cat.scala 29:58] + node _T_3841 = cat(_T_3840, _T_3835) @[Cat.scala 29:58] + node _T_3842 = bits(_T_3736, 0, 0) @[lib.scala 202:49] + node _T_3843 = cat(_T_3742[1], _T_3742[0]) @[lib.scala 202:69] + node _T_3844 = cat(_T_3742[3], _T_3742[2]) @[lib.scala 202:69] + node _T_3845 = cat(_T_3844, _T_3843) @[lib.scala 202:69] + node _T_3846 = cat(_T_3742[5], _T_3742[4]) @[lib.scala 202:69] + node _T_3847 = cat(_T_3742[8], _T_3742[7]) @[lib.scala 202:69] + node _T_3848 = cat(_T_3847, _T_3742[6]) @[lib.scala 202:69] + node _T_3849 = cat(_T_3848, _T_3846) @[lib.scala 202:69] + node _T_3850 = cat(_T_3849, _T_3845) @[lib.scala 202:69] + node _T_3851 = cat(_T_3742[10], _T_3742[9]) @[lib.scala 202:69] + node _T_3852 = cat(_T_3742[13], _T_3742[12]) @[lib.scala 202:69] + node _T_3853 = cat(_T_3852, _T_3742[11]) @[lib.scala 202:69] + node _T_3854 = cat(_T_3853, _T_3851) @[lib.scala 202:69] + node _T_3855 = cat(_T_3742[15], _T_3742[14]) @[lib.scala 202:69] + node _T_3856 = cat(_T_3742[18], _T_3742[17]) @[lib.scala 202:69] + node _T_3857 = cat(_T_3856, _T_3742[16]) @[lib.scala 202:69] + node _T_3858 = cat(_T_3857, _T_3855) @[lib.scala 202:69] + node _T_3859 = cat(_T_3858, _T_3854) @[lib.scala 202:69] + node _T_3860 = cat(_T_3859, _T_3850) @[lib.scala 202:69] + node _T_3861 = cat(_T_3742[20], _T_3742[19]) @[lib.scala 202:69] + node _T_3862 = cat(_T_3742[23], _T_3742[22]) @[lib.scala 202:69] + node _T_3863 = cat(_T_3862, _T_3742[21]) @[lib.scala 202:69] + node _T_3864 = cat(_T_3863, _T_3861) @[lib.scala 202:69] + node _T_3865 = cat(_T_3742[25], _T_3742[24]) @[lib.scala 202:69] + node _T_3866 = cat(_T_3742[28], _T_3742[27]) @[lib.scala 202:69] + node _T_3867 = cat(_T_3866, _T_3742[26]) @[lib.scala 202:69] + node _T_3868 = cat(_T_3867, _T_3865) @[lib.scala 202:69] + node _T_3869 = cat(_T_3868, _T_3864) @[lib.scala 202:69] + node _T_3870 = cat(_T_3742[30], _T_3742[29]) @[lib.scala 202:69] + node _T_3871 = cat(_T_3742[33], _T_3742[32]) @[lib.scala 202:69] + node _T_3872 = cat(_T_3871, _T_3742[31]) @[lib.scala 202:69] + node _T_3873 = cat(_T_3872, _T_3870) @[lib.scala 202:69] + node _T_3874 = cat(_T_3742[35], _T_3742[34]) @[lib.scala 202:69] + node _T_3875 = cat(_T_3742[38], _T_3742[37]) @[lib.scala 202:69] + node _T_3876 = cat(_T_3875, _T_3742[36]) @[lib.scala 202:69] + node _T_3877 = cat(_T_3876, _T_3874) @[lib.scala 202:69] + node _T_3878 = cat(_T_3877, _T_3873) @[lib.scala 202:69] + node _T_3879 = cat(_T_3878, _T_3869) @[lib.scala 202:69] + node _T_3880 = cat(_T_3879, _T_3860) @[lib.scala 202:69] + node _T_3881 = xor(_T_3880, _T_3841) @[lib.scala 202:76] + node _T_3882 = mux(_T_3842, _T_3881, _T_3841) @[lib.scala 202:31] + node _T_3883 = bits(_T_3882, 37, 32) @[lib.scala 204:37] + node _T_3884 = bits(_T_3882, 30, 16) @[lib.scala 204:61] + node _T_3885 = bits(_T_3882, 14, 8) @[lib.scala 204:86] + node _T_3886 = bits(_T_3882, 6, 4) @[lib.scala 204:110] + node _T_3887 = bits(_T_3882, 2, 2) @[lib.scala 204:133] + node _T_3888 = cat(_T_3886, _T_3887) @[Cat.scala 29:58] + node _T_3889 = cat(_T_3883, _T_3884) @[Cat.scala 29:58] + node _T_3890 = cat(_T_3889, _T_3885) @[Cat.scala 29:58] + node _T_3891 = cat(_T_3890, _T_3888) @[Cat.scala 29:58] + node _T_3892 = bits(_T_3882, 38, 38) @[lib.scala 205:39] + node _T_3893 = bits(_T_3732, 6, 0) @[lib.scala 205:56] + node _T_3894 = eq(_T_3893, UInt<7>("h040")) @[lib.scala 205:62] + node _T_3895 = xor(_T_3892, _T_3894) @[lib.scala 205:44] + node _T_3896 = bits(_T_3882, 31, 31) @[lib.scala 205:102] + node _T_3897 = bits(_T_3882, 15, 15) @[lib.scala 205:124] + node _T_3898 = bits(_T_3882, 7, 7) @[lib.scala 205:146] + node _T_3899 = bits(_T_3882, 3, 3) @[lib.scala 205:167] + node _T_3900 = bits(_T_3882, 1, 0) @[lib.scala 205:188] + node _T_3901 = cat(_T_3898, _T_3899) @[Cat.scala 29:58] + node _T_3902 = cat(_T_3901, _T_3900) @[Cat.scala 29:58] + node _T_3903 = cat(_T_3895, _T_3896) @[Cat.scala 29:58] + node _T_3904 = cat(_T_3903, _T_3897) @[Cat.scala 29:58] + node _T_3905 = cat(_T_3904, _T_3902) @[Cat.scala 29:58] + wire iccm_corrected_ecc : UInt<7>[2] @[ifu_mem_ctl.scala 607:32] + wire _T_3906 : UInt<7>[2] @[ifu_mem_ctl.scala 608:32] + _T_3906[0] <= _T_3520 @[ifu_mem_ctl.scala 608:32] + _T_3906[1] <= _T_3905 @[ifu_mem_ctl.scala 608:32] + iccm_corrected_ecc[0] <= _T_3906[0] @[ifu_mem_ctl.scala 608:22] + iccm_corrected_ecc[1] <= _T_3906[1] @[ifu_mem_ctl.scala 608:22] + wire _T_3907 : UInt<32>[2] @[ifu_mem_ctl.scala 609:33] + _T_3907[0] <= _T_3506 @[ifu_mem_ctl.scala 609:33] + _T_3907[1] <= _T_3891 @[ifu_mem_ctl.scala 609:33] + iccm_corrected_data[0] <= _T_3907[0] @[ifu_mem_ctl.scala 609:23] + iccm_corrected_data[1] <= _T_3907[1] @[ifu_mem_ctl.scala 609:23] + node _T_3908 = cat(_T_3736, _T_3351) @[Cat.scala 29:58] + iccm_single_ecc_error <= _T_3908 @[ifu_mem_ctl.scala 610:25] + node _T_3909 = cat(_T_3741, _T_3356) @[Cat.scala 29:58] + iccm_double_ecc_error <= _T_3909 @[ifu_mem_ctl.scala 611:25] + node _T_3910 = orr(iccm_single_ecc_error) @[ifu_mem_ctl.scala 612:71] + node _T_3911 = and(_T_3910, ifc_iccm_access_f) @[ifu_mem_ctl.scala 612:75] + node _T_3912 = and(_T_3911, ifc_fetch_req_f) @[ifu_mem_ctl.scala 612:95] + io.dec_mem_ctrl.ifu_iccm_rd_ecc_single_err <= _T_3912 @[ifu_mem_ctl.scala 612:46] + node _T_3913 = orr(iccm_double_ecc_error) @[ifu_mem_ctl.scala 613:54] + node _T_3914 = and(_T_3913, ifc_iccm_access_f) @[ifu_mem_ctl.scala 613:58] + io.iccm_rd_ecc_double_err <= _T_3914 @[ifu_mem_ctl.scala 613:29] + node _T_3915 = bits(iccm_single_ecc_error, 0, 0) @[ifu_mem_ctl.scala 614:60] + node _T_3916 = bits(_T_3915, 0, 0) @[ifu_mem_ctl.scala 614:64] + node iccm_corrected_data_f_mux = mux(_T_3916, iccm_corrected_data[0], iccm_corrected_data[1]) @[ifu_mem_ctl.scala 614:38] + node _T_3917 = bits(iccm_single_ecc_error, 0, 0) @[ifu_mem_ctl.scala 615:59] + node _T_3918 = bits(_T_3917, 0, 0) @[ifu_mem_ctl.scala 615:63] + node iccm_corrected_ecc_f_mux = mux(_T_3918, iccm_corrected_ecc[0], iccm_corrected_ecc[1]) @[ifu_mem_ctl.scala 615:37] + wire iccm_rd_ecc_single_err_ff : UInt<1> + iccm_rd_ecc_single_err_ff <= UInt<1>("h00") + node _T_3919 = eq(iccm_rd_ecc_single_err_ff, UInt<1>("h00")) @[ifu_mem_ctl.scala 617:93] + node _T_3920 = and(io.dec_mem_ctrl.ifu_iccm_rd_ecc_single_err, _T_3919) @[ifu_mem_ctl.scala 617:91] + node _T_3921 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_mem_ctl.scala 617:123] + node _T_3922 = and(_T_3920, _T_3921) @[ifu_mem_ctl.scala 617:121] + node iccm_ecc_write_status = or(_T_3922, io.iccm_dma_sb_error) @[ifu_mem_ctl.scala 617:144] + node _T_3923 = or(io.dec_mem_ctrl.ifu_iccm_rd_ecc_single_err, iccm_rd_ecc_single_err_ff) @[ifu_mem_ctl.scala 618:84] + node _T_3924 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_mem_ctl.scala 618:115] + node iccm_rd_ecc_single_err_hold_in = and(_T_3923, _T_3924) @[ifu_mem_ctl.scala 618:113] + iccm_error_start <= io.dec_mem_ctrl.ifu_iccm_rd_ecc_single_err @[ifu_mem_ctl.scala 619:20] + wire iccm_rw_addr_f : UInt<14> + iccm_rw_addr_f <= UInt<1>("h00") + node _T_3925 = bits(iccm_single_ecc_error, 0, 0) @[ifu_mem_ctl.scala 621:57] + node _T_3926 = bits(_T_3925, 0, 0) @[ifu_mem_ctl.scala 621:67] + node _T_3927 = add(iccm_rw_addr_f, UInt<1>("h01")) @[ifu_mem_ctl.scala 621:102] + node _T_3928 = tail(_T_3927, 1) @[ifu_mem_ctl.scala 621:102] + node iccm_ecc_corr_index_in = mux(_T_3926, iccm_rw_addr_f, _T_3928) @[ifu_mem_ctl.scala 621:35] + node _T_3929 = bits(io.iccm.rw_addr, 14, 1) @[ifu_mem_ctl.scala 622:67] + reg _T_3930 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 622:51] + _T_3930 <= _T_3929 @[ifu_mem_ctl.scala 622:51] + iccm_rw_addr_f <= _T_3930 @[ifu_mem_ctl.scala 622:18] + reg _T_3931 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 623:62] + _T_3931 <= iccm_rd_ecc_single_err_hold_in @[ifu_mem_ctl.scala 623:62] + iccm_rd_ecc_single_err_ff <= _T_3931 @[ifu_mem_ctl.scala 623:29] + node _T_3932 = cat(iccm_corrected_ecc_f_mux, iccm_corrected_data_f_mux) @[Cat.scala 29:58] + node _T_3933 = bits(iccm_ecc_write_status, 0, 0) @[ifu_mem_ctl.scala 624:152] + reg _T_3934 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3933 : @[Reg.scala 28:19] + _T_3934 <= _T_3932 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + iccm_ecc_corr_data_ff <= _T_3934 @[ifu_mem_ctl.scala 624:25] + node _T_3935 = bits(iccm_ecc_write_status, 0, 0) @[ifu_mem_ctl.scala 625:119] + reg _T_3936 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3935 : @[Reg.scala 28:19] + _T_3936 <= iccm_ecc_corr_index_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + iccm_ecc_corr_index_ff <= _T_3936 @[ifu_mem_ctl.scala 625:26] + node _T_3937 = eq(io.ifc_fetch_uncacheable_bf, UInt<1>("h00")) @[ifu_mem_ctl.scala 626:41] + node _T_3938 = and(io.ifc_fetch_req_bf, _T_3937) @[ifu_mem_ctl.scala 626:39] + node _T_3939 = eq(io.ifc_iccm_access_bf, UInt<1>("h00")) @[ifu_mem_ctl.scala 626:72] + node _T_3940 = and(_T_3938, _T_3939) @[ifu_mem_ctl.scala 626:70] + node _T_3941 = eq(miss_state, UInt<3>("h06")) @[ifu_mem_ctl.scala 627:19] + node _T_3942 = eq(miss_state_en, UInt<1>("h00")) @[ifu_mem_ctl.scala 627:34] + node _T_3943 = and(_T_3941, _T_3942) @[ifu_mem_ctl.scala 627:32] + node _T_3944 = eq(miss_state, UInt<3>("h01")) @[ifu_mem_ctl.scala 628:19] + node _T_3945 = eq(miss_state_en, UInt<1>("h00")) @[ifu_mem_ctl.scala 628:39] + node _T_3946 = and(_T_3944, _T_3945) @[ifu_mem_ctl.scala 628:37] + node _T_3947 = or(_T_3943, _T_3946) @[ifu_mem_ctl.scala 627:88] + node _T_3948 = eq(miss_state, UInt<3>("h07")) @[ifu_mem_ctl.scala 629:19] + node _T_3949 = eq(miss_state_en, UInt<1>("h00")) @[ifu_mem_ctl.scala 629:43] + node _T_3950 = and(_T_3948, _T_3949) @[ifu_mem_ctl.scala 629:41] + node _T_3951 = or(_T_3947, _T_3950) @[ifu_mem_ctl.scala 628:88] + node _T_3952 = eq(miss_state, UInt<3>("h03")) @[ifu_mem_ctl.scala 630:19] + node _T_3953 = eq(miss_state_en, UInt<1>("h00")) @[ifu_mem_ctl.scala 630:37] + node _T_3954 = and(_T_3952, _T_3953) @[ifu_mem_ctl.scala 630:35] + node _T_3955 = or(_T_3951, _T_3954) @[ifu_mem_ctl.scala 629:88] + node _T_3956 = eq(miss_state, UInt<3>("h04")) @[ifu_mem_ctl.scala 631:19] + node _T_3957 = eq(miss_state_en, UInt<1>("h00")) @[ifu_mem_ctl.scala 631:40] + node _T_3958 = and(_T_3956, _T_3957) @[ifu_mem_ctl.scala 631:38] + node _T_3959 = or(_T_3955, _T_3958) @[ifu_mem_ctl.scala 630:88] + node _T_3960 = eq(miss_state, UInt<3>("h01")) @[ifu_mem_ctl.scala 632:19] + node _T_3961 = and(_T_3960, miss_state_en) @[ifu_mem_ctl.scala 632:37] + node _T_3962 = eq(miss_nxtstate, UInt<3>("h03")) @[ifu_mem_ctl.scala 632:71] + node _T_3963 = and(_T_3961, _T_3962) @[ifu_mem_ctl.scala 632:54] + node _T_3964 = or(_T_3959, _T_3963) @[ifu_mem_ctl.scala 631:57] + node _T_3965 = eq(_T_3964, UInt<1>("h00")) @[ifu_mem_ctl.scala 627:5] + node _T_3966 = and(_T_3940, _T_3965) @[ifu_mem_ctl.scala 626:96] + node _T_3967 = and(io.ifc_fetch_req_bf, io.exu_flush_final) @[ifu_mem_ctl.scala 633:28] + node _T_3968 = eq(io.ifc_fetch_uncacheable_bf, UInt<1>("h00")) @[ifu_mem_ctl.scala 633:52] + node _T_3969 = and(_T_3967, _T_3968) @[ifu_mem_ctl.scala 633:50] + node _T_3970 = eq(io.ifc_iccm_access_bf, UInt<1>("h00")) @[ifu_mem_ctl.scala 633:83] + node _T_3971 = and(_T_3969, _T_3970) @[ifu_mem_ctl.scala 633:81] + node _T_3972 = or(_T_3966, _T_3971) @[ifu_mem_ctl.scala 632:93] + io.ic.rd_en <= _T_3972 @[ifu_mem_ctl.scala 626:15] + wire bus_ic_wr_en : UInt<2> + bus_ic_wr_en <= UInt<1>("h00") + node _T_3973 = bits(write_ic_16_bytes, 0, 0) @[Bitwise.scala 72:15] + node _T_3974 = mux(_T_3973, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_3975 = and(bus_ic_wr_en, _T_3974) @[ifu_mem_ctl.scala 635:31] + io.ic.wr_en <= _T_3975 @[ifu_mem_ctl.scala 635:15] + node _T_3976 = eq(miss_state, UInt<3>("h01")) @[ifu_mem_ctl.scala 636:59] + node _T_3977 = eq(miss_state, UInt<3>("h06")) @[ifu_mem_ctl.scala 636:91] + node _T_3978 = or(io.exu_flush_final, ifu_bp_hit_taken_q_f) @[ifu_mem_ctl.scala 636:127] + node _T_3979 = or(_T_3978, stream_eol_f) @[ifu_mem_ctl.scala 636:151] + node _T_3980 = eq(_T_3979, UInt<1>("h00")) @[ifu_mem_ctl.scala 636:106] + node _T_3981 = and(_T_3977, _T_3980) @[ifu_mem_ctl.scala 636:104] + node _T_3982 = or(_T_3976, _T_3981) @[ifu_mem_ctl.scala 636:77] + node _T_3983 = and(bus_ifu_wr_en_ff, last_beat) @[ifu_mem_ctl.scala 636:191] + node _T_3984 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[ifu_mem_ctl.scala 636:205] + node _T_3985 = and(_T_3983, _T_3984) @[ifu_mem_ctl.scala 636:203] + node _T_3986 = eq(_T_3985, UInt<1>("h00")) @[ifu_mem_ctl.scala 636:172] + node _T_3987 = and(_T_3982, _T_3986) @[ifu_mem_ctl.scala 636:170] + node _T_3988 = eq(_T_3987, UInt<1>("h00")) @[ifu_mem_ctl.scala 636:44] + node _T_3989 = and(write_ic_16_bytes, _T_3988) @[ifu_mem_ctl.scala 636:42] + io.ic_write_stall <= _T_3989 @[ifu_mem_ctl.scala 636:21] + reg _T_3990 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 637:53] + _T_3990 <= io.dec_mem_ctrl.dec_tlu_fence_i_wb @[ifu_mem_ctl.scala 637:53] + reset_all_tags <= _T_3990 @[ifu_mem_ctl.scala 637:18] + node _T_3991 = eq(ifu_wr_cumulative_err_data, UInt<1>("h00")) @[ifu_mem_ctl.scala 639:20] + node _T_3992 = or(reset_ic_in, reset_ic_ff) @[ifu_mem_ctl.scala 639:64] + node _T_3993 = eq(_T_3992, UInt<1>("h00")) @[ifu_mem_ctl.scala 639:50] + node _T_3994 = and(_T_3991, _T_3993) @[ifu_mem_ctl.scala 639:48] + node _T_3995 = eq(reset_tag_valid_for_miss, UInt<1>("h00")) @[ifu_mem_ctl.scala 639:81] + node ic_valid = and(_T_3994, _T_3995) @[ifu_mem_ctl.scala 639:79] + node _T_3996 = or(io.ic.debug_rd_en, io.ic.debug_wr_en) @[ifu_mem_ctl.scala 640:61] + node _T_3997 = and(_T_3996, io.ic.debug_tag_array) @[ifu_mem_ctl.scala 640:82] + node _T_3998 = bits(io.ic.debug_addr, 9, 3) @[ifu_mem_ctl.scala 640:123] + node _T_3999 = bits(ifu_status_wr_addr, 11, 5) @[ifu_mem_ctl.scala 641:25] + node ifu_status_wr_addr_w_debug = mux(_T_3997, _T_3998, _T_3999) @[ifu_mem_ctl.scala 640:41] + reg ifu_status_wr_addr_ff : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 643:14] + ifu_status_wr_addr_ff <= ifu_status_wr_addr_w_debug @[ifu_mem_ctl.scala 643:14] + wire way_status_wr_en : UInt<1> + way_status_wr_en <= UInt<1>("h00") + node _T_4000 = and(io.ic.debug_wr_en, io.ic.debug_tag_array) @[ifu_mem_ctl.scala 646:74] + node way_status_wr_en_w_debug = or(way_status_wr_en, _T_4000) @[ifu_mem_ctl.scala 646:53] + reg way_status_wr_en_ff : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 648:14] + way_status_wr_en_ff <= way_status_wr_en_w_debug @[ifu_mem_ctl.scala 648:14] + wire way_status_new : UInt<1> + way_status_new <= UInt<1>("h00") + node _T_4001 = and(io.ic.debug_wr_en, io.ic.debug_tag_array) @[ifu_mem_ctl.scala 651:56] + node _T_4002 = bits(io.ic.debug_wr_data, 4, 4) @[ifu_mem_ctl.scala 652:55] + node way_status_new_w_debug = mux(_T_4001, _T_4002, way_status_new) @[ifu_mem_ctl.scala 651:37] + reg way_status_new_ff : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 654:14] + way_status_new_ff <= way_status_new_w_debug @[ifu_mem_ctl.scala 654:14] + node _T_4003 = bits(ifu_status_wr_addr_ff, 6, 3) @[ifu_mem_ctl.scala 656:89] + node way_status_clken_0 = eq(_T_4003, UInt<1>("h00")) @[ifu_mem_ctl.scala 656:132] + node _T_4004 = bits(ifu_status_wr_addr_ff, 6, 3) @[ifu_mem_ctl.scala 656:89] + node way_status_clken_1 = eq(_T_4004, UInt<1>("h01")) @[ifu_mem_ctl.scala 656:132] + node _T_4005 = bits(ifu_status_wr_addr_ff, 6, 3) @[ifu_mem_ctl.scala 656:89] + node way_status_clken_2 = eq(_T_4005, UInt<2>("h02")) @[ifu_mem_ctl.scala 656:132] + node _T_4006 = bits(ifu_status_wr_addr_ff, 6, 3) @[ifu_mem_ctl.scala 656:89] + node way_status_clken_3 = eq(_T_4006, UInt<2>("h03")) @[ifu_mem_ctl.scala 656:132] + node _T_4007 = bits(ifu_status_wr_addr_ff, 6, 3) @[ifu_mem_ctl.scala 656:89] + node way_status_clken_4 = eq(_T_4007, UInt<3>("h04")) @[ifu_mem_ctl.scala 656:132] + node _T_4008 = bits(ifu_status_wr_addr_ff, 6, 3) @[ifu_mem_ctl.scala 656:89] + node way_status_clken_5 = eq(_T_4008, UInt<3>("h05")) @[ifu_mem_ctl.scala 656:132] + node _T_4009 = bits(ifu_status_wr_addr_ff, 6, 3) @[ifu_mem_ctl.scala 656:89] + node way_status_clken_6 = eq(_T_4009, UInt<3>("h06")) @[ifu_mem_ctl.scala 656:132] + node _T_4010 = bits(ifu_status_wr_addr_ff, 6, 3) @[ifu_mem_ctl.scala 656:89] + node way_status_clken_7 = eq(_T_4010, UInt<3>("h07")) @[ifu_mem_ctl.scala 656:132] + node _T_4011 = bits(ifu_status_wr_addr_ff, 6, 3) @[ifu_mem_ctl.scala 656:89] + node way_status_clken_8 = eq(_T_4011, UInt<4>("h08")) @[ifu_mem_ctl.scala 656:132] + node _T_4012 = bits(ifu_status_wr_addr_ff, 6, 3) @[ifu_mem_ctl.scala 656:89] + node way_status_clken_9 = eq(_T_4012, UInt<4>("h09")) @[ifu_mem_ctl.scala 656:132] + node _T_4013 = bits(ifu_status_wr_addr_ff, 6, 3) @[ifu_mem_ctl.scala 656:89] + node way_status_clken_10 = eq(_T_4013, UInt<4>("h0a")) @[ifu_mem_ctl.scala 656:132] + node _T_4014 = bits(ifu_status_wr_addr_ff, 6, 3) @[ifu_mem_ctl.scala 656:89] + node way_status_clken_11 = eq(_T_4014, UInt<4>("h0b")) @[ifu_mem_ctl.scala 656:132] + node _T_4015 = bits(ifu_status_wr_addr_ff, 6, 3) @[ifu_mem_ctl.scala 656:89] + node way_status_clken_12 = eq(_T_4015, UInt<4>("h0c")) @[ifu_mem_ctl.scala 656:132] + node _T_4016 = bits(ifu_status_wr_addr_ff, 6, 3) @[ifu_mem_ctl.scala 656:89] + node way_status_clken_13 = eq(_T_4016, UInt<4>("h0d")) @[ifu_mem_ctl.scala 656:132] + node _T_4017 = bits(ifu_status_wr_addr_ff, 6, 3) @[ifu_mem_ctl.scala 656:89] + node way_status_clken_14 = eq(_T_4017, UInt<4>("h0e")) @[ifu_mem_ctl.scala 656:132] + node _T_4018 = bits(ifu_status_wr_addr_ff, 6, 3) @[ifu_mem_ctl.scala 656:89] + node way_status_clken_15 = eq(_T_4018, UInt<4>("h0f")) @[ifu_mem_ctl.scala 656:132] + inst rvclkhdr_70 of rvclkhdr_70 @[lib.scala 343:22] + rvclkhdr_70.clock <= clock + rvclkhdr_70.reset <= reset + rvclkhdr_70.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_70.io.en <= way_status_clken_0 @[lib.scala 345:16] + rvclkhdr_70.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_71 of rvclkhdr_71 @[lib.scala 343:22] + rvclkhdr_71.clock <= clock + rvclkhdr_71.reset <= reset + rvclkhdr_71.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_71.io.en <= way_status_clken_1 @[lib.scala 345:16] + rvclkhdr_71.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_72 of rvclkhdr_72 @[lib.scala 343:22] + rvclkhdr_72.clock <= clock + rvclkhdr_72.reset <= reset + rvclkhdr_72.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_72.io.en <= way_status_clken_2 @[lib.scala 345:16] + rvclkhdr_72.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_73 of rvclkhdr_73 @[lib.scala 343:22] + rvclkhdr_73.clock <= clock + rvclkhdr_73.reset <= reset + rvclkhdr_73.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_73.io.en <= way_status_clken_3 @[lib.scala 345:16] + rvclkhdr_73.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_74 of rvclkhdr_74 @[lib.scala 343:22] + rvclkhdr_74.clock <= clock + rvclkhdr_74.reset <= reset + rvclkhdr_74.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_74.io.en <= way_status_clken_4 @[lib.scala 345:16] + rvclkhdr_74.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_75 of rvclkhdr_75 @[lib.scala 343:22] + rvclkhdr_75.clock <= clock + rvclkhdr_75.reset <= reset + rvclkhdr_75.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_75.io.en <= way_status_clken_5 @[lib.scala 345:16] + rvclkhdr_75.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_76 of rvclkhdr_76 @[lib.scala 343:22] + rvclkhdr_76.clock <= clock + rvclkhdr_76.reset <= reset + rvclkhdr_76.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_76.io.en <= way_status_clken_6 @[lib.scala 345:16] + rvclkhdr_76.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_77 of rvclkhdr_77 @[lib.scala 343:22] + rvclkhdr_77.clock <= clock + rvclkhdr_77.reset <= reset + rvclkhdr_77.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_77.io.en <= way_status_clken_7 @[lib.scala 345:16] + rvclkhdr_77.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_78 of rvclkhdr_78 @[lib.scala 343:22] + rvclkhdr_78.clock <= clock + rvclkhdr_78.reset <= reset + rvclkhdr_78.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_78.io.en <= way_status_clken_8 @[lib.scala 345:16] + rvclkhdr_78.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_79 of rvclkhdr_79 @[lib.scala 343:22] + rvclkhdr_79.clock <= clock + rvclkhdr_79.reset <= reset + rvclkhdr_79.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_79.io.en <= way_status_clken_9 @[lib.scala 345:16] + rvclkhdr_79.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_80 of rvclkhdr_80 @[lib.scala 343:22] + rvclkhdr_80.clock <= clock + rvclkhdr_80.reset <= reset + rvclkhdr_80.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_80.io.en <= way_status_clken_10 @[lib.scala 345:16] + rvclkhdr_80.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_81 of rvclkhdr_81 @[lib.scala 343:22] + rvclkhdr_81.clock <= clock + rvclkhdr_81.reset <= reset + rvclkhdr_81.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_81.io.en <= way_status_clken_11 @[lib.scala 345:16] + rvclkhdr_81.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_82 of rvclkhdr_82 @[lib.scala 343:22] + rvclkhdr_82.clock <= clock + rvclkhdr_82.reset <= reset + rvclkhdr_82.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_82.io.en <= way_status_clken_12 @[lib.scala 345:16] + rvclkhdr_82.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_83 of rvclkhdr_83 @[lib.scala 343:22] + rvclkhdr_83.clock <= clock + rvclkhdr_83.reset <= reset + rvclkhdr_83.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_83.io.en <= way_status_clken_13 @[lib.scala 345:16] + rvclkhdr_83.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_84 of rvclkhdr_84 @[lib.scala 343:22] + rvclkhdr_84.clock <= clock + rvclkhdr_84.reset <= reset + rvclkhdr_84.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_84.io.en <= way_status_clken_14 @[lib.scala 345:16] + rvclkhdr_84.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_85 of rvclkhdr_85 @[lib.scala 343:22] + rvclkhdr_85.clock <= clock + rvclkhdr_85.reset <= reset + rvclkhdr_85.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_85.io.en <= way_status_clken_15 @[lib.scala 345:16] + rvclkhdr_85.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + wire way_status_out : UInt<1>[128] @[ifu_mem_ctl.scala 658:30] + node _T_4019 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4020 = eq(_T_4019, UInt<1>("h00")) @[ifu_mem_ctl.scala 660:128] + node _T_4021 = and(_T_4020, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4022 : UInt, rvclkhdr_70.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4021 : @[Reg.scala 28:19] + _T_4022 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[0] <= _T_4022 @[ifu_mem_ctl.scala 660:35] + node _T_4023 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4024 = eq(_T_4023, UInt<1>("h01")) @[ifu_mem_ctl.scala 660:128] + node _T_4025 = and(_T_4024, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4026 : UInt, rvclkhdr_70.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4025 : @[Reg.scala 28:19] + _T_4026 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[1] <= _T_4026 @[ifu_mem_ctl.scala 660:35] + node _T_4027 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4028 = eq(_T_4027, UInt<2>("h02")) @[ifu_mem_ctl.scala 660:128] + node _T_4029 = and(_T_4028, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4030 : UInt, rvclkhdr_70.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4029 : @[Reg.scala 28:19] + _T_4030 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[2] <= _T_4030 @[ifu_mem_ctl.scala 660:35] + node _T_4031 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4032 = eq(_T_4031, UInt<2>("h03")) @[ifu_mem_ctl.scala 660:128] + node _T_4033 = and(_T_4032, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4034 : UInt, rvclkhdr_70.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4033 : @[Reg.scala 28:19] + _T_4034 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[3] <= _T_4034 @[ifu_mem_ctl.scala 660:35] + node _T_4035 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4036 = eq(_T_4035, UInt<3>("h04")) @[ifu_mem_ctl.scala 660:128] + node _T_4037 = and(_T_4036, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4038 : UInt, rvclkhdr_70.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4037 : @[Reg.scala 28:19] + _T_4038 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[4] <= _T_4038 @[ifu_mem_ctl.scala 660:35] + node _T_4039 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4040 = eq(_T_4039, UInt<3>("h05")) @[ifu_mem_ctl.scala 660:128] + node _T_4041 = and(_T_4040, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4042 : UInt, rvclkhdr_70.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4041 : @[Reg.scala 28:19] + _T_4042 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[5] <= _T_4042 @[ifu_mem_ctl.scala 660:35] + node _T_4043 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4044 = eq(_T_4043, UInt<3>("h06")) @[ifu_mem_ctl.scala 660:128] + node _T_4045 = and(_T_4044, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4046 : UInt, rvclkhdr_70.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4045 : @[Reg.scala 28:19] + _T_4046 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[6] <= _T_4046 @[ifu_mem_ctl.scala 660:35] + node _T_4047 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4048 = eq(_T_4047, UInt<3>("h07")) @[ifu_mem_ctl.scala 660:128] + node _T_4049 = and(_T_4048, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4050 : UInt, rvclkhdr_70.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4049 : @[Reg.scala 28:19] + _T_4050 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[7] <= _T_4050 @[ifu_mem_ctl.scala 660:35] + node _T_4051 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4052 = eq(_T_4051, UInt<1>("h00")) @[ifu_mem_ctl.scala 660:128] + node _T_4053 = and(_T_4052, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4054 : UInt, rvclkhdr_71.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4053 : @[Reg.scala 28:19] + _T_4054 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[8] <= _T_4054 @[ifu_mem_ctl.scala 660:35] + node _T_4055 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4056 = eq(_T_4055, UInt<1>("h01")) @[ifu_mem_ctl.scala 660:128] + node _T_4057 = and(_T_4056, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4058 : UInt, rvclkhdr_71.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4057 : @[Reg.scala 28:19] + _T_4058 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[9] <= _T_4058 @[ifu_mem_ctl.scala 660:35] + node _T_4059 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4060 = eq(_T_4059, UInt<2>("h02")) @[ifu_mem_ctl.scala 660:128] + node _T_4061 = and(_T_4060, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4062 : UInt, rvclkhdr_71.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4061 : @[Reg.scala 28:19] + _T_4062 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[10] <= _T_4062 @[ifu_mem_ctl.scala 660:35] + node _T_4063 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4064 = eq(_T_4063, UInt<2>("h03")) @[ifu_mem_ctl.scala 660:128] + node _T_4065 = and(_T_4064, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4066 : UInt, rvclkhdr_71.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4065 : @[Reg.scala 28:19] + _T_4066 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[11] <= _T_4066 @[ifu_mem_ctl.scala 660:35] + node _T_4067 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4068 = eq(_T_4067, UInt<3>("h04")) @[ifu_mem_ctl.scala 660:128] + node _T_4069 = and(_T_4068, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4070 : UInt, rvclkhdr_71.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4069 : @[Reg.scala 28:19] + _T_4070 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[12] <= _T_4070 @[ifu_mem_ctl.scala 660:35] + node _T_4071 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4072 = eq(_T_4071, UInt<3>("h05")) @[ifu_mem_ctl.scala 660:128] + node _T_4073 = and(_T_4072, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4074 : UInt, rvclkhdr_71.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4073 : @[Reg.scala 28:19] + _T_4074 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[13] <= _T_4074 @[ifu_mem_ctl.scala 660:35] + node _T_4075 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4076 = eq(_T_4075, UInt<3>("h06")) @[ifu_mem_ctl.scala 660:128] + node _T_4077 = and(_T_4076, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4078 : UInt, rvclkhdr_71.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4077 : @[Reg.scala 28:19] + _T_4078 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[14] <= _T_4078 @[ifu_mem_ctl.scala 660:35] + node _T_4079 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4080 = eq(_T_4079, UInt<3>("h07")) @[ifu_mem_ctl.scala 660:128] + node _T_4081 = and(_T_4080, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4082 : UInt, rvclkhdr_71.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4081 : @[Reg.scala 28:19] + _T_4082 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[15] <= _T_4082 @[ifu_mem_ctl.scala 660:35] + node _T_4083 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4084 = eq(_T_4083, UInt<1>("h00")) @[ifu_mem_ctl.scala 660:128] + node _T_4085 = and(_T_4084, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4086 : UInt, rvclkhdr_72.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4085 : @[Reg.scala 28:19] + _T_4086 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[16] <= _T_4086 @[ifu_mem_ctl.scala 660:35] + node _T_4087 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4088 = eq(_T_4087, UInt<1>("h01")) @[ifu_mem_ctl.scala 660:128] + node _T_4089 = and(_T_4088, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4090 : UInt, rvclkhdr_72.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4089 : @[Reg.scala 28:19] + _T_4090 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[17] <= _T_4090 @[ifu_mem_ctl.scala 660:35] + node _T_4091 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4092 = eq(_T_4091, UInt<2>("h02")) @[ifu_mem_ctl.scala 660:128] + node _T_4093 = and(_T_4092, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4094 : UInt, rvclkhdr_72.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4093 : @[Reg.scala 28:19] + _T_4094 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[18] <= _T_4094 @[ifu_mem_ctl.scala 660:35] + node _T_4095 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4096 = eq(_T_4095, UInt<2>("h03")) @[ifu_mem_ctl.scala 660:128] + node _T_4097 = and(_T_4096, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4098 : UInt, rvclkhdr_72.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4097 : @[Reg.scala 28:19] + _T_4098 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[19] <= _T_4098 @[ifu_mem_ctl.scala 660:35] + node _T_4099 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4100 = eq(_T_4099, UInt<3>("h04")) @[ifu_mem_ctl.scala 660:128] + node _T_4101 = and(_T_4100, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4102 : UInt, rvclkhdr_72.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4101 : @[Reg.scala 28:19] + _T_4102 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[20] <= _T_4102 @[ifu_mem_ctl.scala 660:35] + node _T_4103 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4104 = eq(_T_4103, UInt<3>("h05")) @[ifu_mem_ctl.scala 660:128] + node _T_4105 = and(_T_4104, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4106 : UInt, rvclkhdr_72.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4105 : @[Reg.scala 28:19] + _T_4106 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[21] <= _T_4106 @[ifu_mem_ctl.scala 660:35] + node _T_4107 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4108 = eq(_T_4107, UInt<3>("h06")) @[ifu_mem_ctl.scala 660:128] + node _T_4109 = and(_T_4108, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4110 : UInt, rvclkhdr_72.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4109 : @[Reg.scala 28:19] + _T_4110 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[22] <= _T_4110 @[ifu_mem_ctl.scala 660:35] + node _T_4111 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4112 = eq(_T_4111, UInt<3>("h07")) @[ifu_mem_ctl.scala 660:128] + node _T_4113 = and(_T_4112, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4114 : UInt, rvclkhdr_72.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4113 : @[Reg.scala 28:19] + _T_4114 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[23] <= _T_4114 @[ifu_mem_ctl.scala 660:35] + node _T_4115 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4116 = eq(_T_4115, UInt<1>("h00")) @[ifu_mem_ctl.scala 660:128] + node _T_4117 = and(_T_4116, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4118 : UInt, rvclkhdr_73.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4117 : @[Reg.scala 28:19] + _T_4118 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[24] <= _T_4118 @[ifu_mem_ctl.scala 660:35] + node _T_4119 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4120 = eq(_T_4119, UInt<1>("h01")) @[ifu_mem_ctl.scala 660:128] + node _T_4121 = and(_T_4120, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4122 : UInt, rvclkhdr_73.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4121 : @[Reg.scala 28:19] + _T_4122 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[25] <= _T_4122 @[ifu_mem_ctl.scala 660:35] + node _T_4123 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4124 = eq(_T_4123, UInt<2>("h02")) @[ifu_mem_ctl.scala 660:128] + node _T_4125 = and(_T_4124, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4126 : UInt, rvclkhdr_73.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4125 : @[Reg.scala 28:19] + _T_4126 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[26] <= _T_4126 @[ifu_mem_ctl.scala 660:35] + node _T_4127 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4128 = eq(_T_4127, UInt<2>("h03")) @[ifu_mem_ctl.scala 660:128] + node _T_4129 = and(_T_4128, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4130 : UInt, rvclkhdr_73.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4129 : @[Reg.scala 28:19] + _T_4130 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[27] <= _T_4130 @[ifu_mem_ctl.scala 660:35] + node _T_4131 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4132 = eq(_T_4131, UInt<3>("h04")) @[ifu_mem_ctl.scala 660:128] + node _T_4133 = and(_T_4132, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4134 : UInt, rvclkhdr_73.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4133 : @[Reg.scala 28:19] + _T_4134 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[28] <= _T_4134 @[ifu_mem_ctl.scala 660:35] + node _T_4135 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4136 = eq(_T_4135, UInt<3>("h05")) @[ifu_mem_ctl.scala 660:128] + node _T_4137 = and(_T_4136, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4138 : UInt, rvclkhdr_73.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4137 : @[Reg.scala 28:19] + _T_4138 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[29] <= _T_4138 @[ifu_mem_ctl.scala 660:35] + node _T_4139 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4140 = eq(_T_4139, UInt<3>("h06")) @[ifu_mem_ctl.scala 660:128] + node _T_4141 = and(_T_4140, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4142 : UInt, rvclkhdr_73.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4141 : @[Reg.scala 28:19] + _T_4142 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[30] <= _T_4142 @[ifu_mem_ctl.scala 660:35] + node _T_4143 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4144 = eq(_T_4143, UInt<3>("h07")) @[ifu_mem_ctl.scala 660:128] + node _T_4145 = and(_T_4144, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4146 : UInt, rvclkhdr_73.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4145 : @[Reg.scala 28:19] + _T_4146 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[31] <= _T_4146 @[ifu_mem_ctl.scala 660:35] + node _T_4147 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4148 = eq(_T_4147, UInt<1>("h00")) @[ifu_mem_ctl.scala 660:128] + node _T_4149 = and(_T_4148, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4150 : UInt, rvclkhdr_74.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4149 : @[Reg.scala 28:19] + _T_4150 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[32] <= _T_4150 @[ifu_mem_ctl.scala 660:35] + node _T_4151 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4152 = eq(_T_4151, UInt<1>("h01")) @[ifu_mem_ctl.scala 660:128] + node _T_4153 = and(_T_4152, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4154 : UInt, rvclkhdr_74.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4153 : @[Reg.scala 28:19] + _T_4154 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[33] <= _T_4154 @[ifu_mem_ctl.scala 660:35] + node _T_4155 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4156 = eq(_T_4155, UInt<2>("h02")) @[ifu_mem_ctl.scala 660:128] + node _T_4157 = and(_T_4156, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4158 : UInt, rvclkhdr_74.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4157 : @[Reg.scala 28:19] + _T_4158 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[34] <= _T_4158 @[ifu_mem_ctl.scala 660:35] + node _T_4159 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4160 = eq(_T_4159, UInt<2>("h03")) @[ifu_mem_ctl.scala 660:128] + node _T_4161 = and(_T_4160, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4162 : UInt, rvclkhdr_74.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4161 : @[Reg.scala 28:19] + _T_4162 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[35] <= _T_4162 @[ifu_mem_ctl.scala 660:35] + node _T_4163 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4164 = eq(_T_4163, UInt<3>("h04")) @[ifu_mem_ctl.scala 660:128] + node _T_4165 = and(_T_4164, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4166 : UInt, rvclkhdr_74.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4165 : @[Reg.scala 28:19] + _T_4166 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[36] <= _T_4166 @[ifu_mem_ctl.scala 660:35] + node _T_4167 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4168 = eq(_T_4167, UInt<3>("h05")) @[ifu_mem_ctl.scala 660:128] + node _T_4169 = and(_T_4168, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4170 : UInt, rvclkhdr_74.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4169 : @[Reg.scala 28:19] + _T_4170 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[37] <= _T_4170 @[ifu_mem_ctl.scala 660:35] + node _T_4171 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4172 = eq(_T_4171, UInt<3>("h06")) @[ifu_mem_ctl.scala 660:128] + node _T_4173 = and(_T_4172, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4174 : UInt, rvclkhdr_74.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4173 : @[Reg.scala 28:19] + _T_4174 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[38] <= _T_4174 @[ifu_mem_ctl.scala 660:35] + node _T_4175 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4176 = eq(_T_4175, UInt<3>("h07")) @[ifu_mem_ctl.scala 660:128] + node _T_4177 = and(_T_4176, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4178 : UInt, rvclkhdr_74.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4177 : @[Reg.scala 28:19] + _T_4178 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[39] <= _T_4178 @[ifu_mem_ctl.scala 660:35] + node _T_4179 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4180 = eq(_T_4179, UInt<1>("h00")) @[ifu_mem_ctl.scala 660:128] + node _T_4181 = and(_T_4180, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4182 : UInt, rvclkhdr_75.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4181 : @[Reg.scala 28:19] + _T_4182 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[40] <= _T_4182 @[ifu_mem_ctl.scala 660:35] + node _T_4183 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4184 = eq(_T_4183, UInt<1>("h01")) @[ifu_mem_ctl.scala 660:128] + node _T_4185 = and(_T_4184, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4186 : UInt, rvclkhdr_75.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4185 : @[Reg.scala 28:19] + _T_4186 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[41] <= _T_4186 @[ifu_mem_ctl.scala 660:35] + node _T_4187 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4188 = eq(_T_4187, UInt<2>("h02")) @[ifu_mem_ctl.scala 660:128] + node _T_4189 = and(_T_4188, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4190 : UInt, rvclkhdr_75.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4189 : @[Reg.scala 28:19] + _T_4190 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[42] <= _T_4190 @[ifu_mem_ctl.scala 660:35] + node _T_4191 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4192 = eq(_T_4191, UInt<2>("h03")) @[ifu_mem_ctl.scala 660:128] + node _T_4193 = and(_T_4192, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4194 : UInt, rvclkhdr_75.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4193 : @[Reg.scala 28:19] + _T_4194 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[43] <= _T_4194 @[ifu_mem_ctl.scala 660:35] + node _T_4195 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4196 = eq(_T_4195, UInt<3>("h04")) @[ifu_mem_ctl.scala 660:128] + node _T_4197 = and(_T_4196, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4198 : UInt, rvclkhdr_75.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4197 : @[Reg.scala 28:19] + _T_4198 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[44] <= _T_4198 @[ifu_mem_ctl.scala 660:35] + node _T_4199 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4200 = eq(_T_4199, UInt<3>("h05")) @[ifu_mem_ctl.scala 660:128] + node _T_4201 = and(_T_4200, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4202 : UInt, rvclkhdr_75.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4201 : @[Reg.scala 28:19] + _T_4202 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[45] <= _T_4202 @[ifu_mem_ctl.scala 660:35] + node _T_4203 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4204 = eq(_T_4203, UInt<3>("h06")) @[ifu_mem_ctl.scala 660:128] + node _T_4205 = and(_T_4204, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4206 : UInt, rvclkhdr_75.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4205 : @[Reg.scala 28:19] + _T_4206 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[46] <= _T_4206 @[ifu_mem_ctl.scala 660:35] + node _T_4207 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4208 = eq(_T_4207, UInt<3>("h07")) @[ifu_mem_ctl.scala 660:128] + node _T_4209 = and(_T_4208, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4210 : UInt, rvclkhdr_75.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4209 : @[Reg.scala 28:19] + _T_4210 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[47] <= _T_4210 @[ifu_mem_ctl.scala 660:35] + node _T_4211 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4212 = eq(_T_4211, UInt<1>("h00")) @[ifu_mem_ctl.scala 660:128] + node _T_4213 = and(_T_4212, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4214 : UInt, rvclkhdr_76.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4213 : @[Reg.scala 28:19] + _T_4214 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[48] <= _T_4214 @[ifu_mem_ctl.scala 660:35] + node _T_4215 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4216 = eq(_T_4215, UInt<1>("h01")) @[ifu_mem_ctl.scala 660:128] + node _T_4217 = and(_T_4216, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4218 : UInt, rvclkhdr_76.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4217 : @[Reg.scala 28:19] + _T_4218 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[49] <= _T_4218 @[ifu_mem_ctl.scala 660:35] + node _T_4219 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4220 = eq(_T_4219, UInt<2>("h02")) @[ifu_mem_ctl.scala 660:128] + node _T_4221 = and(_T_4220, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4222 : UInt, rvclkhdr_76.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4221 : @[Reg.scala 28:19] + _T_4222 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[50] <= _T_4222 @[ifu_mem_ctl.scala 660:35] + node _T_4223 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4224 = eq(_T_4223, UInt<2>("h03")) @[ifu_mem_ctl.scala 660:128] + node _T_4225 = and(_T_4224, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4226 : UInt, rvclkhdr_76.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4225 : @[Reg.scala 28:19] + _T_4226 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[51] <= _T_4226 @[ifu_mem_ctl.scala 660:35] + node _T_4227 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4228 = eq(_T_4227, UInt<3>("h04")) @[ifu_mem_ctl.scala 660:128] + node _T_4229 = and(_T_4228, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4230 : UInt, rvclkhdr_76.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4229 : @[Reg.scala 28:19] + _T_4230 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[52] <= _T_4230 @[ifu_mem_ctl.scala 660:35] + node _T_4231 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4232 = eq(_T_4231, UInt<3>("h05")) @[ifu_mem_ctl.scala 660:128] + node _T_4233 = and(_T_4232, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4234 : UInt, rvclkhdr_76.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4233 : @[Reg.scala 28:19] + _T_4234 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[53] <= _T_4234 @[ifu_mem_ctl.scala 660:35] + node _T_4235 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4236 = eq(_T_4235, UInt<3>("h06")) @[ifu_mem_ctl.scala 660:128] + node _T_4237 = and(_T_4236, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4238 : UInt, rvclkhdr_76.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4237 : @[Reg.scala 28:19] + _T_4238 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[54] <= _T_4238 @[ifu_mem_ctl.scala 660:35] + node _T_4239 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4240 = eq(_T_4239, UInt<3>("h07")) @[ifu_mem_ctl.scala 660:128] + node _T_4241 = and(_T_4240, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4242 : UInt, rvclkhdr_76.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4241 : @[Reg.scala 28:19] + _T_4242 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[55] <= _T_4242 @[ifu_mem_ctl.scala 660:35] + node _T_4243 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4244 = eq(_T_4243, UInt<1>("h00")) @[ifu_mem_ctl.scala 660:128] + node _T_4245 = and(_T_4244, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4246 : UInt, rvclkhdr_77.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4245 : @[Reg.scala 28:19] + _T_4246 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[56] <= _T_4246 @[ifu_mem_ctl.scala 660:35] + node _T_4247 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4248 = eq(_T_4247, UInt<1>("h01")) @[ifu_mem_ctl.scala 660:128] + node _T_4249 = and(_T_4248, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4250 : UInt, rvclkhdr_77.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4249 : @[Reg.scala 28:19] + _T_4250 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[57] <= _T_4250 @[ifu_mem_ctl.scala 660:35] + node _T_4251 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4252 = eq(_T_4251, UInt<2>("h02")) @[ifu_mem_ctl.scala 660:128] + node _T_4253 = and(_T_4252, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4254 : UInt, rvclkhdr_77.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4253 : @[Reg.scala 28:19] + _T_4254 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[58] <= _T_4254 @[ifu_mem_ctl.scala 660:35] + node _T_4255 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4256 = eq(_T_4255, UInt<2>("h03")) @[ifu_mem_ctl.scala 660:128] + node _T_4257 = and(_T_4256, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4258 : UInt, rvclkhdr_77.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4257 : @[Reg.scala 28:19] + _T_4258 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[59] <= _T_4258 @[ifu_mem_ctl.scala 660:35] + node _T_4259 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4260 = eq(_T_4259, UInt<3>("h04")) @[ifu_mem_ctl.scala 660:128] + node _T_4261 = and(_T_4260, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4262 : UInt, rvclkhdr_77.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4261 : @[Reg.scala 28:19] + _T_4262 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[60] <= _T_4262 @[ifu_mem_ctl.scala 660:35] + node _T_4263 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4264 = eq(_T_4263, UInt<3>("h05")) @[ifu_mem_ctl.scala 660:128] + node _T_4265 = and(_T_4264, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4266 : UInt, rvclkhdr_77.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4265 : @[Reg.scala 28:19] + _T_4266 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[61] <= _T_4266 @[ifu_mem_ctl.scala 660:35] + node _T_4267 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4268 = eq(_T_4267, UInt<3>("h06")) @[ifu_mem_ctl.scala 660:128] + node _T_4269 = and(_T_4268, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4270 : UInt, rvclkhdr_77.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4269 : @[Reg.scala 28:19] + _T_4270 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[62] <= _T_4270 @[ifu_mem_ctl.scala 660:35] + node _T_4271 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4272 = eq(_T_4271, UInt<3>("h07")) @[ifu_mem_ctl.scala 660:128] + node _T_4273 = and(_T_4272, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4274 : UInt, rvclkhdr_77.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4273 : @[Reg.scala 28:19] + _T_4274 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[63] <= _T_4274 @[ifu_mem_ctl.scala 660:35] + node _T_4275 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4276 = eq(_T_4275, UInt<1>("h00")) @[ifu_mem_ctl.scala 660:128] + node _T_4277 = and(_T_4276, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4278 : UInt, rvclkhdr_78.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4277 : @[Reg.scala 28:19] + _T_4278 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[64] <= _T_4278 @[ifu_mem_ctl.scala 660:35] + node _T_4279 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4280 = eq(_T_4279, UInt<1>("h01")) @[ifu_mem_ctl.scala 660:128] + node _T_4281 = and(_T_4280, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4282 : UInt, rvclkhdr_78.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4281 : @[Reg.scala 28:19] + _T_4282 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[65] <= _T_4282 @[ifu_mem_ctl.scala 660:35] + node _T_4283 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4284 = eq(_T_4283, UInt<2>("h02")) @[ifu_mem_ctl.scala 660:128] + node _T_4285 = and(_T_4284, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4286 : UInt, rvclkhdr_78.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4285 : @[Reg.scala 28:19] + _T_4286 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[66] <= _T_4286 @[ifu_mem_ctl.scala 660:35] + node _T_4287 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4288 = eq(_T_4287, UInt<2>("h03")) @[ifu_mem_ctl.scala 660:128] + node _T_4289 = and(_T_4288, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4290 : UInt, rvclkhdr_78.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4289 : @[Reg.scala 28:19] + _T_4290 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[67] <= _T_4290 @[ifu_mem_ctl.scala 660:35] + node _T_4291 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4292 = eq(_T_4291, UInt<3>("h04")) @[ifu_mem_ctl.scala 660:128] + node _T_4293 = and(_T_4292, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4294 : UInt, rvclkhdr_78.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4293 : @[Reg.scala 28:19] + _T_4294 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[68] <= _T_4294 @[ifu_mem_ctl.scala 660:35] + node _T_4295 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4296 = eq(_T_4295, UInt<3>("h05")) @[ifu_mem_ctl.scala 660:128] + node _T_4297 = and(_T_4296, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4298 : UInt, rvclkhdr_78.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4297 : @[Reg.scala 28:19] + _T_4298 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[69] <= _T_4298 @[ifu_mem_ctl.scala 660:35] + node _T_4299 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4300 = eq(_T_4299, UInt<3>("h06")) @[ifu_mem_ctl.scala 660:128] + node _T_4301 = and(_T_4300, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4302 : UInt, rvclkhdr_78.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4301 : @[Reg.scala 28:19] + _T_4302 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[70] <= _T_4302 @[ifu_mem_ctl.scala 660:35] + node _T_4303 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4304 = eq(_T_4303, UInt<3>("h07")) @[ifu_mem_ctl.scala 660:128] + node _T_4305 = and(_T_4304, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4306 : UInt, rvclkhdr_78.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4305 : @[Reg.scala 28:19] + _T_4306 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[71] <= _T_4306 @[ifu_mem_ctl.scala 660:35] + node _T_4307 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4308 = eq(_T_4307, UInt<1>("h00")) @[ifu_mem_ctl.scala 660:128] + node _T_4309 = and(_T_4308, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4310 : UInt, rvclkhdr_79.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4309 : @[Reg.scala 28:19] + _T_4310 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[72] <= _T_4310 @[ifu_mem_ctl.scala 660:35] + node _T_4311 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4312 = eq(_T_4311, UInt<1>("h01")) @[ifu_mem_ctl.scala 660:128] + node _T_4313 = and(_T_4312, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4314 : UInt, rvclkhdr_79.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4313 : @[Reg.scala 28:19] + _T_4314 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[73] <= _T_4314 @[ifu_mem_ctl.scala 660:35] + node _T_4315 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4316 = eq(_T_4315, UInt<2>("h02")) @[ifu_mem_ctl.scala 660:128] + node _T_4317 = and(_T_4316, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4318 : UInt, rvclkhdr_79.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4317 : @[Reg.scala 28:19] + _T_4318 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[74] <= _T_4318 @[ifu_mem_ctl.scala 660:35] + node _T_4319 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4320 = eq(_T_4319, UInt<2>("h03")) @[ifu_mem_ctl.scala 660:128] + node _T_4321 = and(_T_4320, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4322 : UInt, rvclkhdr_79.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4321 : @[Reg.scala 28:19] + _T_4322 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[75] <= _T_4322 @[ifu_mem_ctl.scala 660:35] + node _T_4323 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4324 = eq(_T_4323, UInt<3>("h04")) @[ifu_mem_ctl.scala 660:128] + node _T_4325 = and(_T_4324, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4326 : UInt, rvclkhdr_79.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4325 : @[Reg.scala 28:19] + _T_4326 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[76] <= _T_4326 @[ifu_mem_ctl.scala 660:35] + node _T_4327 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4328 = eq(_T_4327, UInt<3>("h05")) @[ifu_mem_ctl.scala 660:128] + node _T_4329 = and(_T_4328, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4330 : UInt, rvclkhdr_79.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4329 : @[Reg.scala 28:19] + _T_4330 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[77] <= _T_4330 @[ifu_mem_ctl.scala 660:35] + node _T_4331 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4332 = eq(_T_4331, UInt<3>("h06")) @[ifu_mem_ctl.scala 660:128] + node _T_4333 = and(_T_4332, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4334 : UInt, rvclkhdr_79.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4333 : @[Reg.scala 28:19] + _T_4334 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[78] <= _T_4334 @[ifu_mem_ctl.scala 660:35] + node _T_4335 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4336 = eq(_T_4335, UInt<3>("h07")) @[ifu_mem_ctl.scala 660:128] + node _T_4337 = and(_T_4336, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4338 : UInt, rvclkhdr_79.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4337 : @[Reg.scala 28:19] + _T_4338 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[79] <= _T_4338 @[ifu_mem_ctl.scala 660:35] + node _T_4339 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4340 = eq(_T_4339, UInt<1>("h00")) @[ifu_mem_ctl.scala 660:128] + node _T_4341 = and(_T_4340, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4342 : UInt, rvclkhdr_80.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4341 : @[Reg.scala 28:19] + _T_4342 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[80] <= _T_4342 @[ifu_mem_ctl.scala 660:35] + node _T_4343 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4344 = eq(_T_4343, UInt<1>("h01")) @[ifu_mem_ctl.scala 660:128] + node _T_4345 = and(_T_4344, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4346 : UInt, rvclkhdr_80.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4345 : @[Reg.scala 28:19] + _T_4346 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[81] <= _T_4346 @[ifu_mem_ctl.scala 660:35] + node _T_4347 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4348 = eq(_T_4347, UInt<2>("h02")) @[ifu_mem_ctl.scala 660:128] + node _T_4349 = and(_T_4348, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4350 : UInt, rvclkhdr_80.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4349 : @[Reg.scala 28:19] + _T_4350 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[82] <= _T_4350 @[ifu_mem_ctl.scala 660:35] + node _T_4351 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4352 = eq(_T_4351, UInt<2>("h03")) @[ifu_mem_ctl.scala 660:128] + node _T_4353 = and(_T_4352, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4354 : UInt, rvclkhdr_80.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4353 : @[Reg.scala 28:19] + _T_4354 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[83] <= _T_4354 @[ifu_mem_ctl.scala 660:35] + node _T_4355 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4356 = eq(_T_4355, UInt<3>("h04")) @[ifu_mem_ctl.scala 660:128] + node _T_4357 = and(_T_4356, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4358 : UInt, rvclkhdr_80.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4357 : @[Reg.scala 28:19] + _T_4358 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[84] <= _T_4358 @[ifu_mem_ctl.scala 660:35] + node _T_4359 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4360 = eq(_T_4359, UInt<3>("h05")) @[ifu_mem_ctl.scala 660:128] + node _T_4361 = and(_T_4360, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4362 : UInt, rvclkhdr_80.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4361 : @[Reg.scala 28:19] + _T_4362 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[85] <= _T_4362 @[ifu_mem_ctl.scala 660:35] + node _T_4363 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4364 = eq(_T_4363, UInt<3>("h06")) @[ifu_mem_ctl.scala 660:128] + node _T_4365 = and(_T_4364, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4366 : UInt, rvclkhdr_80.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4365 : @[Reg.scala 28:19] + _T_4366 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[86] <= _T_4366 @[ifu_mem_ctl.scala 660:35] + node _T_4367 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4368 = eq(_T_4367, UInt<3>("h07")) @[ifu_mem_ctl.scala 660:128] + node _T_4369 = and(_T_4368, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4370 : UInt, rvclkhdr_80.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4369 : @[Reg.scala 28:19] + _T_4370 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[87] <= _T_4370 @[ifu_mem_ctl.scala 660:35] + node _T_4371 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4372 = eq(_T_4371, UInt<1>("h00")) @[ifu_mem_ctl.scala 660:128] + node _T_4373 = and(_T_4372, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4374 : UInt, rvclkhdr_81.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4373 : @[Reg.scala 28:19] + _T_4374 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[88] <= _T_4374 @[ifu_mem_ctl.scala 660:35] + node _T_4375 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4376 = eq(_T_4375, UInt<1>("h01")) @[ifu_mem_ctl.scala 660:128] + node _T_4377 = and(_T_4376, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4378 : UInt, rvclkhdr_81.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4377 : @[Reg.scala 28:19] + _T_4378 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[89] <= _T_4378 @[ifu_mem_ctl.scala 660:35] + node _T_4379 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4380 = eq(_T_4379, UInt<2>("h02")) @[ifu_mem_ctl.scala 660:128] + node _T_4381 = and(_T_4380, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4382 : UInt, rvclkhdr_81.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4381 : @[Reg.scala 28:19] + _T_4382 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[90] <= _T_4382 @[ifu_mem_ctl.scala 660:35] + node _T_4383 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4384 = eq(_T_4383, UInt<2>("h03")) @[ifu_mem_ctl.scala 660:128] + node _T_4385 = and(_T_4384, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4386 : UInt, rvclkhdr_81.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4385 : @[Reg.scala 28:19] + _T_4386 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[91] <= _T_4386 @[ifu_mem_ctl.scala 660:35] + node _T_4387 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4388 = eq(_T_4387, UInt<3>("h04")) @[ifu_mem_ctl.scala 660:128] + node _T_4389 = and(_T_4388, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4390 : UInt, rvclkhdr_81.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4389 : @[Reg.scala 28:19] + _T_4390 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[92] <= _T_4390 @[ifu_mem_ctl.scala 660:35] + node _T_4391 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4392 = eq(_T_4391, UInt<3>("h05")) @[ifu_mem_ctl.scala 660:128] + node _T_4393 = and(_T_4392, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4394 : UInt, rvclkhdr_81.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4393 : @[Reg.scala 28:19] + _T_4394 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[93] <= _T_4394 @[ifu_mem_ctl.scala 660:35] + node _T_4395 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4396 = eq(_T_4395, UInt<3>("h06")) @[ifu_mem_ctl.scala 660:128] + node _T_4397 = and(_T_4396, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4398 : UInt, rvclkhdr_81.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4397 : @[Reg.scala 28:19] + _T_4398 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[94] <= _T_4398 @[ifu_mem_ctl.scala 660:35] + node _T_4399 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4400 = eq(_T_4399, UInt<3>("h07")) @[ifu_mem_ctl.scala 660:128] + node _T_4401 = and(_T_4400, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4402 : UInt, rvclkhdr_81.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4401 : @[Reg.scala 28:19] + _T_4402 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[95] <= _T_4402 @[ifu_mem_ctl.scala 660:35] + node _T_4403 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4404 = eq(_T_4403, UInt<1>("h00")) @[ifu_mem_ctl.scala 660:128] + node _T_4405 = and(_T_4404, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4406 : UInt, rvclkhdr_82.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4405 : @[Reg.scala 28:19] + _T_4406 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[96] <= _T_4406 @[ifu_mem_ctl.scala 660:35] + node _T_4407 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4408 = eq(_T_4407, UInt<1>("h01")) @[ifu_mem_ctl.scala 660:128] + node _T_4409 = and(_T_4408, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4410 : UInt, rvclkhdr_82.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4409 : @[Reg.scala 28:19] + _T_4410 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[97] <= _T_4410 @[ifu_mem_ctl.scala 660:35] + node _T_4411 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4412 = eq(_T_4411, UInt<2>("h02")) @[ifu_mem_ctl.scala 660:128] + node _T_4413 = and(_T_4412, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4414 : UInt, rvclkhdr_82.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4413 : @[Reg.scala 28:19] + _T_4414 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[98] <= _T_4414 @[ifu_mem_ctl.scala 660:35] + node _T_4415 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4416 = eq(_T_4415, UInt<2>("h03")) @[ifu_mem_ctl.scala 660:128] + node _T_4417 = and(_T_4416, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4418 : UInt, rvclkhdr_82.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4417 : @[Reg.scala 28:19] + _T_4418 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[99] <= _T_4418 @[ifu_mem_ctl.scala 660:35] + node _T_4419 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4420 = eq(_T_4419, UInt<3>("h04")) @[ifu_mem_ctl.scala 660:128] + node _T_4421 = and(_T_4420, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4422 : UInt, rvclkhdr_82.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4421 : @[Reg.scala 28:19] + _T_4422 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[100] <= _T_4422 @[ifu_mem_ctl.scala 660:35] + node _T_4423 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4424 = eq(_T_4423, UInt<3>("h05")) @[ifu_mem_ctl.scala 660:128] + node _T_4425 = and(_T_4424, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4426 : UInt, rvclkhdr_82.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4425 : @[Reg.scala 28:19] + _T_4426 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[101] <= _T_4426 @[ifu_mem_ctl.scala 660:35] + node _T_4427 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4428 = eq(_T_4427, UInt<3>("h06")) @[ifu_mem_ctl.scala 660:128] + node _T_4429 = and(_T_4428, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4430 : UInt, rvclkhdr_82.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4429 : @[Reg.scala 28:19] + _T_4430 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[102] <= _T_4430 @[ifu_mem_ctl.scala 660:35] + node _T_4431 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4432 = eq(_T_4431, UInt<3>("h07")) @[ifu_mem_ctl.scala 660:128] + node _T_4433 = and(_T_4432, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4434 : UInt, rvclkhdr_82.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4433 : @[Reg.scala 28:19] + _T_4434 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[103] <= _T_4434 @[ifu_mem_ctl.scala 660:35] + node _T_4435 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4436 = eq(_T_4435, UInt<1>("h00")) @[ifu_mem_ctl.scala 660:128] + node _T_4437 = and(_T_4436, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4438 : UInt, rvclkhdr_83.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4437 : @[Reg.scala 28:19] + _T_4438 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[104] <= _T_4438 @[ifu_mem_ctl.scala 660:35] + node _T_4439 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4440 = eq(_T_4439, UInt<1>("h01")) @[ifu_mem_ctl.scala 660:128] + node _T_4441 = and(_T_4440, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4442 : UInt, rvclkhdr_83.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4441 : @[Reg.scala 28:19] + _T_4442 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[105] <= _T_4442 @[ifu_mem_ctl.scala 660:35] + node _T_4443 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4444 = eq(_T_4443, UInt<2>("h02")) @[ifu_mem_ctl.scala 660:128] + node _T_4445 = and(_T_4444, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4446 : UInt, rvclkhdr_83.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4445 : @[Reg.scala 28:19] + _T_4446 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[106] <= _T_4446 @[ifu_mem_ctl.scala 660:35] + node _T_4447 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4448 = eq(_T_4447, UInt<2>("h03")) @[ifu_mem_ctl.scala 660:128] + node _T_4449 = and(_T_4448, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4450 : UInt, rvclkhdr_83.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4449 : @[Reg.scala 28:19] + _T_4450 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[107] <= _T_4450 @[ifu_mem_ctl.scala 660:35] + node _T_4451 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4452 = eq(_T_4451, UInt<3>("h04")) @[ifu_mem_ctl.scala 660:128] + node _T_4453 = and(_T_4452, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4454 : UInt, rvclkhdr_83.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4453 : @[Reg.scala 28:19] + _T_4454 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[108] <= _T_4454 @[ifu_mem_ctl.scala 660:35] + node _T_4455 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4456 = eq(_T_4455, UInt<3>("h05")) @[ifu_mem_ctl.scala 660:128] + node _T_4457 = and(_T_4456, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4458 : UInt, rvclkhdr_83.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4457 : @[Reg.scala 28:19] + _T_4458 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[109] <= _T_4458 @[ifu_mem_ctl.scala 660:35] + node _T_4459 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4460 = eq(_T_4459, UInt<3>("h06")) @[ifu_mem_ctl.scala 660:128] + node _T_4461 = and(_T_4460, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4462 : UInt, rvclkhdr_83.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4461 : @[Reg.scala 28:19] + _T_4462 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[110] <= _T_4462 @[ifu_mem_ctl.scala 660:35] + node _T_4463 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4464 = eq(_T_4463, UInt<3>("h07")) @[ifu_mem_ctl.scala 660:128] + node _T_4465 = and(_T_4464, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4466 : UInt, rvclkhdr_83.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4465 : @[Reg.scala 28:19] + _T_4466 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[111] <= _T_4466 @[ifu_mem_ctl.scala 660:35] + node _T_4467 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4468 = eq(_T_4467, UInt<1>("h00")) @[ifu_mem_ctl.scala 660:128] + node _T_4469 = and(_T_4468, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4470 : UInt, rvclkhdr_84.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4469 : @[Reg.scala 28:19] + _T_4470 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[112] <= _T_4470 @[ifu_mem_ctl.scala 660:35] + node _T_4471 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4472 = eq(_T_4471, UInt<1>("h01")) @[ifu_mem_ctl.scala 660:128] + node _T_4473 = and(_T_4472, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4474 : UInt, rvclkhdr_84.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4473 : @[Reg.scala 28:19] + _T_4474 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[113] <= _T_4474 @[ifu_mem_ctl.scala 660:35] + node _T_4475 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4476 = eq(_T_4475, UInt<2>("h02")) @[ifu_mem_ctl.scala 660:128] + node _T_4477 = and(_T_4476, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4478 : UInt, rvclkhdr_84.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4477 : @[Reg.scala 28:19] + _T_4478 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[114] <= _T_4478 @[ifu_mem_ctl.scala 660:35] + node _T_4479 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4480 = eq(_T_4479, UInt<2>("h03")) @[ifu_mem_ctl.scala 660:128] + node _T_4481 = and(_T_4480, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4482 : UInt, rvclkhdr_84.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4481 : @[Reg.scala 28:19] + _T_4482 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[115] <= _T_4482 @[ifu_mem_ctl.scala 660:35] + node _T_4483 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4484 = eq(_T_4483, UInt<3>("h04")) @[ifu_mem_ctl.scala 660:128] + node _T_4485 = and(_T_4484, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4486 : UInt, rvclkhdr_84.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4485 : @[Reg.scala 28:19] + _T_4486 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[116] <= _T_4486 @[ifu_mem_ctl.scala 660:35] + node _T_4487 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4488 = eq(_T_4487, UInt<3>("h05")) @[ifu_mem_ctl.scala 660:128] + node _T_4489 = and(_T_4488, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4490 : UInt, rvclkhdr_84.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4489 : @[Reg.scala 28:19] + _T_4490 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[117] <= _T_4490 @[ifu_mem_ctl.scala 660:35] + node _T_4491 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4492 = eq(_T_4491, UInt<3>("h06")) @[ifu_mem_ctl.scala 660:128] + node _T_4493 = and(_T_4492, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4494 : UInt, rvclkhdr_84.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4493 : @[Reg.scala 28:19] + _T_4494 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[118] <= _T_4494 @[ifu_mem_ctl.scala 660:35] + node _T_4495 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4496 = eq(_T_4495, UInt<3>("h07")) @[ifu_mem_ctl.scala 660:128] + node _T_4497 = and(_T_4496, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4498 : UInt, rvclkhdr_84.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4497 : @[Reg.scala 28:19] + _T_4498 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[119] <= _T_4498 @[ifu_mem_ctl.scala 660:35] + node _T_4499 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4500 = eq(_T_4499, UInt<1>("h00")) @[ifu_mem_ctl.scala 660:128] + node _T_4501 = and(_T_4500, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4502 : UInt, rvclkhdr_85.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4501 : @[Reg.scala 28:19] + _T_4502 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[120] <= _T_4502 @[ifu_mem_ctl.scala 660:35] + node _T_4503 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4504 = eq(_T_4503, UInt<1>("h01")) @[ifu_mem_ctl.scala 660:128] + node _T_4505 = and(_T_4504, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4506 : UInt, rvclkhdr_85.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4505 : @[Reg.scala 28:19] + _T_4506 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[121] <= _T_4506 @[ifu_mem_ctl.scala 660:35] + node _T_4507 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4508 = eq(_T_4507, UInt<2>("h02")) @[ifu_mem_ctl.scala 660:128] + node _T_4509 = and(_T_4508, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4510 : UInt, rvclkhdr_85.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4509 : @[Reg.scala 28:19] + _T_4510 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[122] <= _T_4510 @[ifu_mem_ctl.scala 660:35] + node _T_4511 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4512 = eq(_T_4511, UInt<2>("h03")) @[ifu_mem_ctl.scala 660:128] + node _T_4513 = and(_T_4512, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4514 : UInt, rvclkhdr_85.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4513 : @[Reg.scala 28:19] + _T_4514 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[123] <= _T_4514 @[ifu_mem_ctl.scala 660:35] + node _T_4515 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4516 = eq(_T_4515, UInt<3>("h04")) @[ifu_mem_ctl.scala 660:128] + node _T_4517 = and(_T_4516, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4518 : UInt, rvclkhdr_85.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4517 : @[Reg.scala 28:19] + _T_4518 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[124] <= _T_4518 @[ifu_mem_ctl.scala 660:35] + node _T_4519 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4520 = eq(_T_4519, UInt<3>("h05")) @[ifu_mem_ctl.scala 660:128] + node _T_4521 = and(_T_4520, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4522 : UInt, rvclkhdr_85.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4521 : @[Reg.scala 28:19] + _T_4522 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[125] <= _T_4522 @[ifu_mem_ctl.scala 660:35] + node _T_4523 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4524 = eq(_T_4523, UInt<3>("h06")) @[ifu_mem_ctl.scala 660:128] + node _T_4525 = and(_T_4524, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4526 : UInt, rvclkhdr_85.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4525 : @[Reg.scala 28:19] + _T_4526 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[126] <= _T_4526 @[ifu_mem_ctl.scala 660:35] + node _T_4527 = bits(ifu_status_wr_addr_ff, 2, 0) @[ifu_mem_ctl.scala 660:123] + node _T_4528 = eq(_T_4527, UInt<3>("h07")) @[ifu_mem_ctl.scala 660:128] + node _T_4529 = and(_T_4528, way_status_wr_en_ff) @[ifu_mem_ctl.scala 660:136] + reg _T_4530 : UInt, rvclkhdr_85.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4529 : @[Reg.scala 28:19] + _T_4530 <= way_status_new_ff @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + way_status_out[127] <= _T_4530 @[ifu_mem_ctl.scala 660:35] + node _T_4531 = cat(way_status_out[127], way_status_out[126]) @[Cat.scala 29:58] + node _T_4532 = cat(_T_4531, way_status_out[125]) @[Cat.scala 29:58] + node _T_4533 = cat(_T_4532, way_status_out[124]) @[Cat.scala 29:58] + node _T_4534 = cat(_T_4533, way_status_out[123]) @[Cat.scala 29:58] + node _T_4535 = cat(_T_4534, way_status_out[122]) @[Cat.scala 29:58] + node _T_4536 = cat(_T_4535, way_status_out[121]) @[Cat.scala 29:58] + node _T_4537 = cat(_T_4536, way_status_out[120]) @[Cat.scala 29:58] + node _T_4538 = cat(_T_4537, way_status_out[119]) @[Cat.scala 29:58] + node _T_4539 = cat(_T_4538, way_status_out[118]) @[Cat.scala 29:58] + node _T_4540 = cat(_T_4539, way_status_out[117]) @[Cat.scala 29:58] + node _T_4541 = cat(_T_4540, way_status_out[116]) @[Cat.scala 29:58] + node _T_4542 = cat(_T_4541, way_status_out[115]) @[Cat.scala 29:58] + node _T_4543 = cat(_T_4542, way_status_out[114]) @[Cat.scala 29:58] + node _T_4544 = cat(_T_4543, way_status_out[113]) @[Cat.scala 29:58] + node _T_4545 = cat(_T_4544, way_status_out[112]) @[Cat.scala 29:58] + node _T_4546 = cat(_T_4545, way_status_out[111]) @[Cat.scala 29:58] + node _T_4547 = cat(_T_4546, way_status_out[110]) @[Cat.scala 29:58] + node _T_4548 = cat(_T_4547, way_status_out[109]) @[Cat.scala 29:58] + node _T_4549 = cat(_T_4548, way_status_out[108]) @[Cat.scala 29:58] + node _T_4550 = cat(_T_4549, way_status_out[107]) @[Cat.scala 29:58] + node _T_4551 = cat(_T_4550, way_status_out[106]) @[Cat.scala 29:58] + node _T_4552 = cat(_T_4551, way_status_out[105]) @[Cat.scala 29:58] + node _T_4553 = cat(_T_4552, way_status_out[104]) @[Cat.scala 29:58] + node _T_4554 = cat(_T_4553, way_status_out[103]) @[Cat.scala 29:58] + node _T_4555 = cat(_T_4554, way_status_out[102]) @[Cat.scala 29:58] + node _T_4556 = cat(_T_4555, way_status_out[101]) @[Cat.scala 29:58] + node _T_4557 = cat(_T_4556, way_status_out[100]) @[Cat.scala 29:58] + node _T_4558 = cat(_T_4557, way_status_out[99]) @[Cat.scala 29:58] + node _T_4559 = cat(_T_4558, way_status_out[98]) @[Cat.scala 29:58] + node _T_4560 = cat(_T_4559, way_status_out[97]) @[Cat.scala 29:58] + node _T_4561 = cat(_T_4560, way_status_out[96]) @[Cat.scala 29:58] + node _T_4562 = cat(_T_4561, way_status_out[95]) @[Cat.scala 29:58] + node _T_4563 = cat(_T_4562, way_status_out[94]) @[Cat.scala 29:58] + node _T_4564 = cat(_T_4563, way_status_out[93]) @[Cat.scala 29:58] + node _T_4565 = cat(_T_4564, way_status_out[92]) @[Cat.scala 29:58] + node _T_4566 = cat(_T_4565, way_status_out[91]) @[Cat.scala 29:58] + node _T_4567 = cat(_T_4566, way_status_out[90]) @[Cat.scala 29:58] + node _T_4568 = cat(_T_4567, way_status_out[89]) @[Cat.scala 29:58] + node _T_4569 = cat(_T_4568, way_status_out[88]) @[Cat.scala 29:58] + node _T_4570 = cat(_T_4569, way_status_out[87]) @[Cat.scala 29:58] + node _T_4571 = cat(_T_4570, way_status_out[86]) @[Cat.scala 29:58] + node _T_4572 = cat(_T_4571, way_status_out[85]) @[Cat.scala 29:58] + node _T_4573 = cat(_T_4572, way_status_out[84]) @[Cat.scala 29:58] + node _T_4574 = cat(_T_4573, way_status_out[83]) @[Cat.scala 29:58] + node _T_4575 = cat(_T_4574, way_status_out[82]) @[Cat.scala 29:58] + node _T_4576 = cat(_T_4575, way_status_out[81]) @[Cat.scala 29:58] + node _T_4577 = cat(_T_4576, way_status_out[80]) @[Cat.scala 29:58] + node _T_4578 = cat(_T_4577, way_status_out[79]) @[Cat.scala 29:58] + node _T_4579 = cat(_T_4578, way_status_out[78]) @[Cat.scala 29:58] + node _T_4580 = cat(_T_4579, way_status_out[77]) @[Cat.scala 29:58] + node _T_4581 = cat(_T_4580, way_status_out[76]) @[Cat.scala 29:58] + node _T_4582 = cat(_T_4581, way_status_out[75]) @[Cat.scala 29:58] + node _T_4583 = cat(_T_4582, way_status_out[74]) @[Cat.scala 29:58] + node _T_4584 = cat(_T_4583, way_status_out[73]) @[Cat.scala 29:58] + node _T_4585 = cat(_T_4584, way_status_out[72]) @[Cat.scala 29:58] + node _T_4586 = cat(_T_4585, way_status_out[71]) @[Cat.scala 29:58] + node _T_4587 = cat(_T_4586, way_status_out[70]) @[Cat.scala 29:58] + node _T_4588 = cat(_T_4587, way_status_out[69]) @[Cat.scala 29:58] + node _T_4589 = cat(_T_4588, way_status_out[68]) @[Cat.scala 29:58] + node _T_4590 = cat(_T_4589, way_status_out[67]) @[Cat.scala 29:58] + node _T_4591 = cat(_T_4590, way_status_out[66]) @[Cat.scala 29:58] + node _T_4592 = cat(_T_4591, way_status_out[65]) @[Cat.scala 29:58] + node _T_4593 = cat(_T_4592, way_status_out[64]) @[Cat.scala 29:58] + node _T_4594 = cat(_T_4593, way_status_out[63]) @[Cat.scala 29:58] + node _T_4595 = cat(_T_4594, way_status_out[62]) @[Cat.scala 29:58] + node _T_4596 = cat(_T_4595, way_status_out[61]) @[Cat.scala 29:58] + node _T_4597 = cat(_T_4596, way_status_out[60]) @[Cat.scala 29:58] + node _T_4598 = cat(_T_4597, way_status_out[59]) @[Cat.scala 29:58] + node _T_4599 = cat(_T_4598, way_status_out[58]) @[Cat.scala 29:58] + node _T_4600 = cat(_T_4599, way_status_out[57]) @[Cat.scala 29:58] + node _T_4601 = cat(_T_4600, way_status_out[56]) @[Cat.scala 29:58] + node _T_4602 = cat(_T_4601, way_status_out[55]) @[Cat.scala 29:58] + node _T_4603 = cat(_T_4602, way_status_out[54]) @[Cat.scala 29:58] + node _T_4604 = cat(_T_4603, way_status_out[53]) @[Cat.scala 29:58] + node _T_4605 = cat(_T_4604, way_status_out[52]) @[Cat.scala 29:58] + node _T_4606 = cat(_T_4605, way_status_out[51]) @[Cat.scala 29:58] + node _T_4607 = cat(_T_4606, way_status_out[50]) @[Cat.scala 29:58] + node _T_4608 = cat(_T_4607, way_status_out[49]) @[Cat.scala 29:58] + node _T_4609 = cat(_T_4608, way_status_out[48]) @[Cat.scala 29:58] + node _T_4610 = cat(_T_4609, way_status_out[47]) @[Cat.scala 29:58] + node _T_4611 = cat(_T_4610, way_status_out[46]) @[Cat.scala 29:58] + node _T_4612 = cat(_T_4611, way_status_out[45]) @[Cat.scala 29:58] + node _T_4613 = cat(_T_4612, way_status_out[44]) @[Cat.scala 29:58] + node _T_4614 = cat(_T_4613, way_status_out[43]) @[Cat.scala 29:58] + node _T_4615 = cat(_T_4614, way_status_out[42]) @[Cat.scala 29:58] + node _T_4616 = cat(_T_4615, way_status_out[41]) @[Cat.scala 29:58] + node _T_4617 = cat(_T_4616, way_status_out[40]) @[Cat.scala 29:58] + node _T_4618 = cat(_T_4617, way_status_out[39]) @[Cat.scala 29:58] + node _T_4619 = cat(_T_4618, way_status_out[38]) @[Cat.scala 29:58] + node _T_4620 = cat(_T_4619, way_status_out[37]) @[Cat.scala 29:58] + node _T_4621 = cat(_T_4620, way_status_out[36]) @[Cat.scala 29:58] + node _T_4622 = cat(_T_4621, way_status_out[35]) @[Cat.scala 29:58] + node _T_4623 = cat(_T_4622, way_status_out[34]) @[Cat.scala 29:58] + node _T_4624 = cat(_T_4623, way_status_out[33]) @[Cat.scala 29:58] + node _T_4625 = cat(_T_4624, way_status_out[32]) @[Cat.scala 29:58] + node _T_4626 = cat(_T_4625, way_status_out[31]) @[Cat.scala 29:58] + node _T_4627 = cat(_T_4626, way_status_out[30]) @[Cat.scala 29:58] + node _T_4628 = cat(_T_4627, way_status_out[29]) @[Cat.scala 29:58] + node _T_4629 = cat(_T_4628, way_status_out[28]) @[Cat.scala 29:58] + node _T_4630 = cat(_T_4629, way_status_out[27]) @[Cat.scala 29:58] + node _T_4631 = cat(_T_4630, way_status_out[26]) @[Cat.scala 29:58] + node _T_4632 = cat(_T_4631, way_status_out[25]) @[Cat.scala 29:58] + node _T_4633 = cat(_T_4632, way_status_out[24]) @[Cat.scala 29:58] + node _T_4634 = cat(_T_4633, way_status_out[23]) @[Cat.scala 29:58] + node _T_4635 = cat(_T_4634, way_status_out[22]) @[Cat.scala 29:58] + node _T_4636 = cat(_T_4635, way_status_out[21]) @[Cat.scala 29:58] + node _T_4637 = cat(_T_4636, way_status_out[20]) @[Cat.scala 29:58] + node _T_4638 = cat(_T_4637, way_status_out[19]) @[Cat.scala 29:58] + node _T_4639 = cat(_T_4638, way_status_out[18]) @[Cat.scala 29:58] + node _T_4640 = cat(_T_4639, way_status_out[17]) @[Cat.scala 29:58] + node _T_4641 = cat(_T_4640, way_status_out[16]) @[Cat.scala 29:58] + node _T_4642 = cat(_T_4641, way_status_out[15]) @[Cat.scala 29:58] + node _T_4643 = cat(_T_4642, way_status_out[14]) @[Cat.scala 29:58] + node _T_4644 = cat(_T_4643, way_status_out[13]) @[Cat.scala 29:58] + node _T_4645 = cat(_T_4644, way_status_out[12]) @[Cat.scala 29:58] + node _T_4646 = cat(_T_4645, way_status_out[11]) @[Cat.scala 29:58] + node _T_4647 = cat(_T_4646, way_status_out[10]) @[Cat.scala 29:58] + node _T_4648 = cat(_T_4647, way_status_out[9]) @[Cat.scala 29:58] + node _T_4649 = cat(_T_4648, way_status_out[8]) @[Cat.scala 29:58] + node _T_4650 = cat(_T_4649, way_status_out[7]) @[Cat.scala 29:58] + node _T_4651 = cat(_T_4650, way_status_out[6]) @[Cat.scala 29:58] + node _T_4652 = cat(_T_4651, way_status_out[5]) @[Cat.scala 29:58] + node _T_4653 = cat(_T_4652, way_status_out[4]) @[Cat.scala 29:58] + node _T_4654 = cat(_T_4653, way_status_out[3]) @[Cat.scala 29:58] + node _T_4655 = cat(_T_4654, way_status_out[2]) @[Cat.scala 29:58] + node _T_4656 = cat(_T_4655, way_status_out[1]) @[Cat.scala 29:58] + node test_way_status_out = cat(_T_4656, way_status_out[0]) @[Cat.scala 29:58] + node _T_4657 = cat(way_status_clken_15, way_status_clken_14) @[Cat.scala 29:58] + node _T_4658 = cat(_T_4657, way_status_clken_13) @[Cat.scala 29:58] + node _T_4659 = cat(_T_4658, way_status_clken_12) @[Cat.scala 29:58] + node _T_4660 = cat(_T_4659, way_status_clken_11) @[Cat.scala 29:58] + node _T_4661 = cat(_T_4660, way_status_clken_10) @[Cat.scala 29:58] + node _T_4662 = cat(_T_4661, way_status_clken_9) @[Cat.scala 29:58] + node _T_4663 = cat(_T_4662, way_status_clken_8) @[Cat.scala 29:58] + node _T_4664 = cat(_T_4663, way_status_clken_7) @[Cat.scala 29:58] + node _T_4665 = cat(_T_4664, way_status_clken_6) @[Cat.scala 29:58] + node _T_4666 = cat(_T_4665, way_status_clken_5) @[Cat.scala 29:58] + node _T_4667 = cat(_T_4666, way_status_clken_4) @[Cat.scala 29:58] + node _T_4668 = cat(_T_4667, way_status_clken_3) @[Cat.scala 29:58] + node _T_4669 = cat(_T_4668, way_status_clken_2) @[Cat.scala 29:58] + node _T_4670 = cat(_T_4669, way_status_clken_1) @[Cat.scala 29:58] + node test_way_status_clken = cat(_T_4670, way_status_clken_0) @[Cat.scala 29:58] + node _T_4671 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[ifu_mem_ctl.scala 665:80] + node _T_4672 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[ifu_mem_ctl.scala 665:80] + node _T_4673 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[ifu_mem_ctl.scala 665:80] + node _T_4674 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[ifu_mem_ctl.scala 665:80] + node _T_4675 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[ifu_mem_ctl.scala 665:80] + node _T_4676 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[ifu_mem_ctl.scala 665:80] + node _T_4677 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[ifu_mem_ctl.scala 665:80] + node _T_4678 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[ifu_mem_ctl.scala 665:80] + node _T_4679 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[ifu_mem_ctl.scala 665:80] + node _T_4680 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[ifu_mem_ctl.scala 665:80] + node _T_4681 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[ifu_mem_ctl.scala 665:80] + node _T_4682 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[ifu_mem_ctl.scala 665:80] + node _T_4683 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[ifu_mem_ctl.scala 665:80] + node _T_4684 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[ifu_mem_ctl.scala 665:80] + node _T_4685 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[ifu_mem_ctl.scala 665:80] + node _T_4686 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[ifu_mem_ctl.scala 665:80] + node _T_4687 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[ifu_mem_ctl.scala 665:80] + node _T_4688 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[ifu_mem_ctl.scala 665:80] + node _T_4689 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[ifu_mem_ctl.scala 665:80] + node _T_4690 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[ifu_mem_ctl.scala 665:80] + node _T_4691 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[ifu_mem_ctl.scala 665:80] + node _T_4692 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[ifu_mem_ctl.scala 665:80] + node _T_4693 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[ifu_mem_ctl.scala 665:80] + node _T_4694 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[ifu_mem_ctl.scala 665:80] + node _T_4695 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[ifu_mem_ctl.scala 665:80] + node _T_4696 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[ifu_mem_ctl.scala 665:80] + node _T_4697 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[ifu_mem_ctl.scala 665:80] + node _T_4698 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[ifu_mem_ctl.scala 665:80] + node _T_4699 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[ifu_mem_ctl.scala 665:80] + node _T_4700 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[ifu_mem_ctl.scala 665:80] + node _T_4701 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[ifu_mem_ctl.scala 665:80] + node _T_4702 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[ifu_mem_ctl.scala 665:80] + node _T_4703 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[ifu_mem_ctl.scala 665:80] + node _T_4704 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[ifu_mem_ctl.scala 665:80] + node _T_4705 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[ifu_mem_ctl.scala 665:80] + node _T_4706 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[ifu_mem_ctl.scala 665:80] + node _T_4707 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[ifu_mem_ctl.scala 665:80] + node _T_4708 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[ifu_mem_ctl.scala 665:80] + node _T_4709 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[ifu_mem_ctl.scala 665:80] + node _T_4710 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[ifu_mem_ctl.scala 665:80] + node _T_4711 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[ifu_mem_ctl.scala 665:80] + node _T_4712 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[ifu_mem_ctl.scala 665:80] + node _T_4713 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[ifu_mem_ctl.scala 665:80] + node _T_4714 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[ifu_mem_ctl.scala 665:80] + node _T_4715 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[ifu_mem_ctl.scala 665:80] + node _T_4716 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[ifu_mem_ctl.scala 665:80] + node _T_4717 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[ifu_mem_ctl.scala 665:80] + node _T_4718 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[ifu_mem_ctl.scala 665:80] + node _T_4719 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[ifu_mem_ctl.scala 665:80] + node _T_4720 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[ifu_mem_ctl.scala 665:80] + node _T_4721 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[ifu_mem_ctl.scala 665:80] + node _T_4722 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[ifu_mem_ctl.scala 665:80] + node _T_4723 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[ifu_mem_ctl.scala 665:80] + node _T_4724 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[ifu_mem_ctl.scala 665:80] + node _T_4725 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[ifu_mem_ctl.scala 665:80] + node _T_4726 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[ifu_mem_ctl.scala 665:80] + node _T_4727 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[ifu_mem_ctl.scala 665:80] + node _T_4728 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[ifu_mem_ctl.scala 665:80] + node _T_4729 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[ifu_mem_ctl.scala 665:80] + node _T_4730 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[ifu_mem_ctl.scala 665:80] + node _T_4731 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[ifu_mem_ctl.scala 665:80] + node _T_4732 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[ifu_mem_ctl.scala 665:80] + node _T_4733 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[ifu_mem_ctl.scala 665:80] + node _T_4734 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[ifu_mem_ctl.scala 665:80] + node _T_4735 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[ifu_mem_ctl.scala 665:80] + node _T_4736 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[ifu_mem_ctl.scala 665:80] + node _T_4737 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[ifu_mem_ctl.scala 665:80] + node _T_4738 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[ifu_mem_ctl.scala 665:80] + node _T_4739 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[ifu_mem_ctl.scala 665:80] + node _T_4740 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[ifu_mem_ctl.scala 665:80] + node _T_4741 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[ifu_mem_ctl.scala 665:80] + node _T_4742 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[ifu_mem_ctl.scala 665:80] + node _T_4743 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[ifu_mem_ctl.scala 665:80] + node _T_4744 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[ifu_mem_ctl.scala 665:80] + node _T_4745 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[ifu_mem_ctl.scala 665:80] + node _T_4746 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[ifu_mem_ctl.scala 665:80] + node _T_4747 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[ifu_mem_ctl.scala 665:80] + node _T_4748 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[ifu_mem_ctl.scala 665:80] + node _T_4749 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[ifu_mem_ctl.scala 665:80] + node _T_4750 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[ifu_mem_ctl.scala 665:80] + node _T_4751 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[ifu_mem_ctl.scala 665:80] + node _T_4752 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[ifu_mem_ctl.scala 665:80] + node _T_4753 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[ifu_mem_ctl.scala 665:80] + node _T_4754 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[ifu_mem_ctl.scala 665:80] + node _T_4755 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[ifu_mem_ctl.scala 665:80] + node _T_4756 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[ifu_mem_ctl.scala 665:80] + node _T_4757 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[ifu_mem_ctl.scala 665:80] + node _T_4758 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[ifu_mem_ctl.scala 665:80] + node _T_4759 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[ifu_mem_ctl.scala 665:80] + node _T_4760 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[ifu_mem_ctl.scala 665:80] + node _T_4761 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[ifu_mem_ctl.scala 665:80] + node _T_4762 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[ifu_mem_ctl.scala 665:80] + node _T_4763 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[ifu_mem_ctl.scala 665:80] + node _T_4764 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[ifu_mem_ctl.scala 665:80] + node _T_4765 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[ifu_mem_ctl.scala 665:80] + node _T_4766 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[ifu_mem_ctl.scala 665:80] + node _T_4767 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[ifu_mem_ctl.scala 665:80] + node _T_4768 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[ifu_mem_ctl.scala 665:80] + node _T_4769 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[ifu_mem_ctl.scala 665:80] + node _T_4770 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[ifu_mem_ctl.scala 665:80] + node _T_4771 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[ifu_mem_ctl.scala 665:80] + node _T_4772 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[ifu_mem_ctl.scala 665:80] + node _T_4773 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[ifu_mem_ctl.scala 665:80] + node _T_4774 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[ifu_mem_ctl.scala 665:80] + node _T_4775 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[ifu_mem_ctl.scala 665:80] + node _T_4776 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[ifu_mem_ctl.scala 665:80] + node _T_4777 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[ifu_mem_ctl.scala 665:80] + node _T_4778 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[ifu_mem_ctl.scala 665:80] + node _T_4779 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[ifu_mem_ctl.scala 665:80] + node _T_4780 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[ifu_mem_ctl.scala 665:80] + node _T_4781 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[ifu_mem_ctl.scala 665:80] + node _T_4782 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[ifu_mem_ctl.scala 665:80] + node _T_4783 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[ifu_mem_ctl.scala 665:80] + node _T_4784 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[ifu_mem_ctl.scala 665:80] + node _T_4785 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[ifu_mem_ctl.scala 665:80] + node _T_4786 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[ifu_mem_ctl.scala 665:80] + node _T_4787 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[ifu_mem_ctl.scala 665:80] + node _T_4788 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[ifu_mem_ctl.scala 665:80] + node _T_4789 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[ifu_mem_ctl.scala 665:80] + node _T_4790 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[ifu_mem_ctl.scala 665:80] + node _T_4791 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[ifu_mem_ctl.scala 665:80] + node _T_4792 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[ifu_mem_ctl.scala 665:80] + node _T_4793 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[ifu_mem_ctl.scala 665:80] + node _T_4794 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[ifu_mem_ctl.scala 665:80] + node _T_4795 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[ifu_mem_ctl.scala 665:80] + node _T_4796 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[ifu_mem_ctl.scala 665:80] + node _T_4797 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[ifu_mem_ctl.scala 665:80] + node _T_4798 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[ifu_mem_ctl.scala 665:80] + node _T_4799 = mux(_T_4671, way_status_out[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4800 = mux(_T_4672, way_status_out[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4801 = mux(_T_4673, way_status_out[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4802 = mux(_T_4674, way_status_out[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4803 = mux(_T_4675, way_status_out[4], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4804 = mux(_T_4676, way_status_out[5], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4805 = mux(_T_4677, way_status_out[6], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4806 = mux(_T_4678, way_status_out[7], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4807 = mux(_T_4679, way_status_out[8], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4808 = mux(_T_4680, way_status_out[9], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4809 = mux(_T_4681, way_status_out[10], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4810 = mux(_T_4682, way_status_out[11], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4811 = mux(_T_4683, way_status_out[12], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4812 = mux(_T_4684, way_status_out[13], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4813 = mux(_T_4685, way_status_out[14], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4814 = mux(_T_4686, way_status_out[15], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4815 = mux(_T_4687, way_status_out[16], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4816 = mux(_T_4688, way_status_out[17], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4817 = mux(_T_4689, way_status_out[18], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4818 = mux(_T_4690, way_status_out[19], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4819 = mux(_T_4691, way_status_out[20], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4820 = mux(_T_4692, way_status_out[21], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4821 = mux(_T_4693, way_status_out[22], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4822 = mux(_T_4694, way_status_out[23], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4823 = mux(_T_4695, way_status_out[24], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4824 = mux(_T_4696, way_status_out[25], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4825 = mux(_T_4697, way_status_out[26], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4826 = mux(_T_4698, way_status_out[27], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4827 = mux(_T_4699, way_status_out[28], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4828 = mux(_T_4700, way_status_out[29], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4829 = mux(_T_4701, way_status_out[30], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4830 = mux(_T_4702, way_status_out[31], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4831 = mux(_T_4703, way_status_out[32], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4832 = mux(_T_4704, way_status_out[33], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4833 = mux(_T_4705, way_status_out[34], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4834 = mux(_T_4706, way_status_out[35], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4835 = mux(_T_4707, way_status_out[36], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4836 = mux(_T_4708, way_status_out[37], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4837 = mux(_T_4709, way_status_out[38], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4838 = mux(_T_4710, way_status_out[39], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4839 = mux(_T_4711, way_status_out[40], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4840 = mux(_T_4712, way_status_out[41], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4841 = mux(_T_4713, way_status_out[42], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4842 = mux(_T_4714, way_status_out[43], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4843 = mux(_T_4715, way_status_out[44], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4844 = mux(_T_4716, way_status_out[45], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4845 = mux(_T_4717, way_status_out[46], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4846 = mux(_T_4718, way_status_out[47], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4847 = mux(_T_4719, way_status_out[48], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4848 = mux(_T_4720, way_status_out[49], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4849 = mux(_T_4721, way_status_out[50], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4850 = mux(_T_4722, way_status_out[51], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4851 = mux(_T_4723, way_status_out[52], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4852 = mux(_T_4724, way_status_out[53], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4853 = mux(_T_4725, way_status_out[54], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4854 = mux(_T_4726, way_status_out[55], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4855 = mux(_T_4727, way_status_out[56], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4856 = mux(_T_4728, way_status_out[57], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4857 = mux(_T_4729, way_status_out[58], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4858 = mux(_T_4730, way_status_out[59], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4859 = mux(_T_4731, way_status_out[60], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4860 = mux(_T_4732, way_status_out[61], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4861 = mux(_T_4733, way_status_out[62], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4862 = mux(_T_4734, way_status_out[63], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4863 = mux(_T_4735, way_status_out[64], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4864 = mux(_T_4736, way_status_out[65], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4865 = mux(_T_4737, way_status_out[66], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4866 = mux(_T_4738, way_status_out[67], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4867 = mux(_T_4739, way_status_out[68], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4868 = mux(_T_4740, way_status_out[69], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4869 = mux(_T_4741, way_status_out[70], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4870 = mux(_T_4742, way_status_out[71], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4871 = mux(_T_4743, way_status_out[72], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4872 = mux(_T_4744, way_status_out[73], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4873 = mux(_T_4745, way_status_out[74], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4874 = mux(_T_4746, way_status_out[75], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4875 = mux(_T_4747, way_status_out[76], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4876 = mux(_T_4748, way_status_out[77], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4877 = mux(_T_4749, way_status_out[78], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4878 = mux(_T_4750, way_status_out[79], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4879 = mux(_T_4751, way_status_out[80], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4880 = mux(_T_4752, way_status_out[81], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4881 = mux(_T_4753, way_status_out[82], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4882 = mux(_T_4754, way_status_out[83], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4883 = mux(_T_4755, way_status_out[84], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4884 = mux(_T_4756, way_status_out[85], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4885 = mux(_T_4757, way_status_out[86], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4886 = mux(_T_4758, way_status_out[87], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4887 = mux(_T_4759, way_status_out[88], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4888 = mux(_T_4760, way_status_out[89], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4889 = mux(_T_4761, way_status_out[90], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4890 = mux(_T_4762, way_status_out[91], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4891 = mux(_T_4763, way_status_out[92], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4892 = mux(_T_4764, way_status_out[93], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4893 = mux(_T_4765, way_status_out[94], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4894 = mux(_T_4766, way_status_out[95], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4895 = mux(_T_4767, way_status_out[96], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4896 = mux(_T_4768, way_status_out[97], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4897 = mux(_T_4769, way_status_out[98], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4898 = mux(_T_4770, way_status_out[99], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4899 = mux(_T_4771, way_status_out[100], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4900 = mux(_T_4772, way_status_out[101], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4901 = mux(_T_4773, way_status_out[102], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4902 = mux(_T_4774, way_status_out[103], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4903 = mux(_T_4775, way_status_out[104], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4904 = mux(_T_4776, way_status_out[105], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4905 = mux(_T_4777, way_status_out[106], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4906 = mux(_T_4778, way_status_out[107], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4907 = mux(_T_4779, way_status_out[108], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4908 = mux(_T_4780, way_status_out[109], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4909 = mux(_T_4781, way_status_out[110], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4910 = mux(_T_4782, way_status_out[111], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4911 = mux(_T_4783, way_status_out[112], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4912 = mux(_T_4784, way_status_out[113], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4913 = mux(_T_4785, way_status_out[114], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4914 = mux(_T_4786, way_status_out[115], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4915 = mux(_T_4787, way_status_out[116], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4916 = mux(_T_4788, way_status_out[117], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4917 = mux(_T_4789, way_status_out[118], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4918 = mux(_T_4790, way_status_out[119], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4919 = mux(_T_4791, way_status_out[120], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4920 = mux(_T_4792, way_status_out[121], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4921 = mux(_T_4793, way_status_out[122], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4922 = mux(_T_4794, way_status_out[123], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4923 = mux(_T_4795, way_status_out[124], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4924 = mux(_T_4796, way_status_out[125], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4925 = mux(_T_4797, way_status_out[126], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4926 = mux(_T_4798, way_status_out[127], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4927 = or(_T_4799, _T_4800) @[Mux.scala 27:72] + node _T_4928 = or(_T_4927, _T_4801) @[Mux.scala 27:72] + node _T_4929 = or(_T_4928, _T_4802) @[Mux.scala 27:72] + node _T_4930 = or(_T_4929, _T_4803) @[Mux.scala 27:72] + node _T_4931 = or(_T_4930, _T_4804) @[Mux.scala 27:72] + node _T_4932 = or(_T_4931, _T_4805) @[Mux.scala 27:72] + node _T_4933 = or(_T_4932, _T_4806) @[Mux.scala 27:72] + node _T_4934 = or(_T_4933, _T_4807) @[Mux.scala 27:72] + node _T_4935 = or(_T_4934, _T_4808) @[Mux.scala 27:72] + node _T_4936 = or(_T_4935, _T_4809) @[Mux.scala 27:72] + node _T_4937 = or(_T_4936, _T_4810) @[Mux.scala 27:72] + node _T_4938 = or(_T_4937, _T_4811) @[Mux.scala 27:72] + node _T_4939 = or(_T_4938, _T_4812) @[Mux.scala 27:72] + node _T_4940 = or(_T_4939, _T_4813) @[Mux.scala 27:72] + node _T_4941 = or(_T_4940, _T_4814) @[Mux.scala 27:72] + node _T_4942 = or(_T_4941, _T_4815) @[Mux.scala 27:72] + node _T_4943 = or(_T_4942, _T_4816) @[Mux.scala 27:72] + node _T_4944 = or(_T_4943, _T_4817) @[Mux.scala 27:72] + node _T_4945 = or(_T_4944, _T_4818) @[Mux.scala 27:72] + node _T_4946 = or(_T_4945, _T_4819) @[Mux.scala 27:72] + node _T_4947 = or(_T_4946, _T_4820) @[Mux.scala 27:72] + node _T_4948 = or(_T_4947, _T_4821) @[Mux.scala 27:72] + node _T_4949 = or(_T_4948, _T_4822) @[Mux.scala 27:72] + node _T_4950 = or(_T_4949, _T_4823) @[Mux.scala 27:72] + node _T_4951 = or(_T_4950, _T_4824) @[Mux.scala 27:72] + node _T_4952 = or(_T_4951, _T_4825) @[Mux.scala 27:72] + node _T_4953 = or(_T_4952, _T_4826) @[Mux.scala 27:72] + node _T_4954 = or(_T_4953, _T_4827) @[Mux.scala 27:72] + node _T_4955 = or(_T_4954, _T_4828) @[Mux.scala 27:72] + node _T_4956 = or(_T_4955, _T_4829) @[Mux.scala 27:72] + node _T_4957 = or(_T_4956, _T_4830) @[Mux.scala 27:72] + node _T_4958 = or(_T_4957, _T_4831) @[Mux.scala 27:72] + node _T_4959 = or(_T_4958, _T_4832) @[Mux.scala 27:72] + node _T_4960 = or(_T_4959, _T_4833) @[Mux.scala 27:72] + node _T_4961 = or(_T_4960, _T_4834) @[Mux.scala 27:72] + node _T_4962 = or(_T_4961, _T_4835) @[Mux.scala 27:72] + node _T_4963 = or(_T_4962, _T_4836) @[Mux.scala 27:72] + node _T_4964 = or(_T_4963, _T_4837) @[Mux.scala 27:72] + node _T_4965 = or(_T_4964, _T_4838) @[Mux.scala 27:72] + node _T_4966 = or(_T_4965, _T_4839) @[Mux.scala 27:72] + node _T_4967 = or(_T_4966, _T_4840) @[Mux.scala 27:72] + node _T_4968 = or(_T_4967, _T_4841) @[Mux.scala 27:72] + node _T_4969 = or(_T_4968, _T_4842) @[Mux.scala 27:72] + node _T_4970 = or(_T_4969, _T_4843) @[Mux.scala 27:72] + node _T_4971 = or(_T_4970, _T_4844) @[Mux.scala 27:72] + node _T_4972 = or(_T_4971, _T_4845) @[Mux.scala 27:72] + node _T_4973 = or(_T_4972, _T_4846) @[Mux.scala 27:72] + node _T_4974 = or(_T_4973, _T_4847) @[Mux.scala 27:72] + node _T_4975 = or(_T_4974, _T_4848) @[Mux.scala 27:72] + node _T_4976 = or(_T_4975, _T_4849) @[Mux.scala 27:72] + node _T_4977 = or(_T_4976, _T_4850) @[Mux.scala 27:72] + node _T_4978 = or(_T_4977, _T_4851) @[Mux.scala 27:72] + node _T_4979 = or(_T_4978, _T_4852) @[Mux.scala 27:72] + node _T_4980 = or(_T_4979, _T_4853) @[Mux.scala 27:72] + node _T_4981 = or(_T_4980, _T_4854) @[Mux.scala 27:72] + node _T_4982 = or(_T_4981, _T_4855) @[Mux.scala 27:72] + node _T_4983 = or(_T_4982, _T_4856) @[Mux.scala 27:72] + node _T_4984 = or(_T_4983, _T_4857) @[Mux.scala 27:72] + node _T_4985 = or(_T_4984, _T_4858) @[Mux.scala 27:72] + node _T_4986 = or(_T_4985, _T_4859) @[Mux.scala 27:72] + node _T_4987 = or(_T_4986, _T_4860) @[Mux.scala 27:72] + node _T_4988 = or(_T_4987, _T_4861) @[Mux.scala 27:72] + node _T_4989 = or(_T_4988, _T_4862) @[Mux.scala 27:72] + node _T_4990 = or(_T_4989, _T_4863) @[Mux.scala 27:72] + node _T_4991 = or(_T_4990, _T_4864) @[Mux.scala 27:72] + node _T_4992 = or(_T_4991, _T_4865) @[Mux.scala 27:72] + node _T_4993 = or(_T_4992, _T_4866) @[Mux.scala 27:72] + node _T_4994 = or(_T_4993, _T_4867) @[Mux.scala 27:72] + node _T_4995 = or(_T_4994, _T_4868) @[Mux.scala 27:72] + node _T_4996 = or(_T_4995, _T_4869) @[Mux.scala 27:72] + node _T_4997 = or(_T_4996, _T_4870) @[Mux.scala 27:72] + node _T_4998 = or(_T_4997, _T_4871) @[Mux.scala 27:72] + node _T_4999 = or(_T_4998, _T_4872) @[Mux.scala 27:72] + node _T_5000 = or(_T_4999, _T_4873) @[Mux.scala 27:72] + node _T_5001 = or(_T_5000, _T_4874) @[Mux.scala 27:72] + node _T_5002 = or(_T_5001, _T_4875) @[Mux.scala 27:72] + node _T_5003 = or(_T_5002, _T_4876) @[Mux.scala 27:72] + node _T_5004 = or(_T_5003, _T_4877) @[Mux.scala 27:72] + node _T_5005 = or(_T_5004, _T_4878) @[Mux.scala 27:72] + node _T_5006 = or(_T_5005, _T_4879) @[Mux.scala 27:72] + node _T_5007 = or(_T_5006, _T_4880) @[Mux.scala 27:72] + node _T_5008 = or(_T_5007, _T_4881) @[Mux.scala 27:72] + node _T_5009 = or(_T_5008, _T_4882) @[Mux.scala 27:72] + node _T_5010 = or(_T_5009, _T_4883) @[Mux.scala 27:72] + node _T_5011 = or(_T_5010, _T_4884) @[Mux.scala 27:72] + node _T_5012 = or(_T_5011, _T_4885) @[Mux.scala 27:72] + node _T_5013 = or(_T_5012, _T_4886) @[Mux.scala 27:72] + node _T_5014 = or(_T_5013, _T_4887) @[Mux.scala 27:72] + node _T_5015 = or(_T_5014, _T_4888) @[Mux.scala 27:72] + node _T_5016 = or(_T_5015, _T_4889) @[Mux.scala 27:72] + node _T_5017 = or(_T_5016, _T_4890) @[Mux.scala 27:72] + node _T_5018 = or(_T_5017, _T_4891) @[Mux.scala 27:72] + node _T_5019 = or(_T_5018, _T_4892) @[Mux.scala 27:72] + node _T_5020 = or(_T_5019, _T_4893) @[Mux.scala 27:72] + node _T_5021 = or(_T_5020, _T_4894) @[Mux.scala 27:72] + node _T_5022 = or(_T_5021, _T_4895) @[Mux.scala 27:72] + node _T_5023 = or(_T_5022, _T_4896) @[Mux.scala 27:72] + node _T_5024 = or(_T_5023, _T_4897) @[Mux.scala 27:72] + node _T_5025 = or(_T_5024, _T_4898) @[Mux.scala 27:72] + node _T_5026 = or(_T_5025, _T_4899) @[Mux.scala 27:72] + node _T_5027 = or(_T_5026, _T_4900) @[Mux.scala 27:72] + node _T_5028 = or(_T_5027, _T_4901) @[Mux.scala 27:72] + node _T_5029 = or(_T_5028, _T_4902) @[Mux.scala 27:72] + node _T_5030 = or(_T_5029, _T_4903) @[Mux.scala 27:72] + node _T_5031 = or(_T_5030, _T_4904) @[Mux.scala 27:72] + node _T_5032 = or(_T_5031, _T_4905) @[Mux.scala 27:72] + node _T_5033 = or(_T_5032, _T_4906) @[Mux.scala 27:72] + node _T_5034 = or(_T_5033, _T_4907) @[Mux.scala 27:72] + node _T_5035 = or(_T_5034, _T_4908) @[Mux.scala 27:72] + node _T_5036 = or(_T_5035, _T_4909) @[Mux.scala 27:72] + node _T_5037 = or(_T_5036, _T_4910) @[Mux.scala 27:72] + node _T_5038 = or(_T_5037, _T_4911) @[Mux.scala 27:72] + node _T_5039 = or(_T_5038, _T_4912) @[Mux.scala 27:72] + node _T_5040 = or(_T_5039, _T_4913) @[Mux.scala 27:72] + node _T_5041 = or(_T_5040, _T_4914) @[Mux.scala 27:72] + node _T_5042 = or(_T_5041, _T_4915) @[Mux.scala 27:72] + node _T_5043 = or(_T_5042, _T_4916) @[Mux.scala 27:72] + node _T_5044 = or(_T_5043, _T_4917) @[Mux.scala 27:72] + node _T_5045 = or(_T_5044, _T_4918) @[Mux.scala 27:72] + node _T_5046 = or(_T_5045, _T_4919) @[Mux.scala 27:72] + node _T_5047 = or(_T_5046, _T_4920) @[Mux.scala 27:72] + node _T_5048 = or(_T_5047, _T_4921) @[Mux.scala 27:72] + node _T_5049 = or(_T_5048, _T_4922) @[Mux.scala 27:72] + node _T_5050 = or(_T_5049, _T_4923) @[Mux.scala 27:72] + node _T_5051 = or(_T_5050, _T_4924) @[Mux.scala 27:72] + node _T_5052 = or(_T_5051, _T_4925) @[Mux.scala 27:72] + node _T_5053 = or(_T_5052, _T_4926) @[Mux.scala 27:72] + wire _T_5054 : UInt<1> @[Mux.scala 27:72] + _T_5054 <= _T_5053 @[Mux.scala 27:72] + way_status <= _T_5054 @[ifu_mem_ctl.scala 665:14] + node _T_5055 = or(io.ic.debug_rd_en, io.ic.debug_wr_en) @[ifu_mem_ctl.scala 666:61] + node _T_5056 = and(_T_5055, io.ic.debug_tag_array) @[ifu_mem_ctl.scala 666:82] + node _T_5057 = bits(io.ic.debug_addr, 9, 3) @[ifu_mem_ctl.scala 667:23] + node _T_5058 = bits(ifu_ic_rw_int_addr, 11, 5) @[ifu_mem_ctl.scala 667:89] + node ifu_ic_rw_int_addr_w_debug = mux(_T_5056, _T_5057, _T_5058) @[ifu_mem_ctl.scala 666:41] + reg _T_5059 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 669:14] + _T_5059 <= ifu_ic_rw_int_addr_w_debug @[ifu_mem_ctl.scala 669:14] + ifu_ic_rw_int_addr_ff <= _T_5059 @[ifu_mem_ctl.scala 668:27] + wire ifu_tag_wren : UInt<2> + ifu_tag_wren <= UInt<1>("h00") + wire ic_debug_tag_wr_en : UInt<2> + ic_debug_tag_wr_en <= UInt<1>("h00") + node ifu_tag_wren_w_debug = or(ifu_tag_wren, ic_debug_tag_wr_en) @[ifu_mem_ctl.scala 673:45] + reg ifu_tag_wren_ff : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 675:14] + ifu_tag_wren_ff <= ifu_tag_wren_w_debug @[ifu_mem_ctl.scala 675:14] + node _T_5060 = and(io.ic.debug_wr_en, io.ic.debug_tag_array) @[ifu_mem_ctl.scala 677:50] + node _T_5061 = bits(io.ic.debug_wr_data, 0, 0) @[ifu_mem_ctl.scala 677:94] + node ic_valid_w_debug = mux(_T_5060, _T_5061, ic_valid) @[ifu_mem_ctl.scala 677:31] + reg ic_valid_ff : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 679:14] + ic_valid_ff <= ic_valid_w_debug @[ifu_mem_ctl.scala 679:14] + node _T_5062 = bits(ifu_ic_rw_int_addr_ff, 6, 5) @[ifu_mem_ctl.scala 683:35] + node _T_5063 = eq(_T_5062, UInt<1>("h00")) @[ifu_mem_ctl.scala 683:78] + node _T_5064 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 683:104] + node _T_5065 = and(_T_5063, _T_5064) @[ifu_mem_ctl.scala 683:87] + node _T_5066 = bits(perr_ic_index_ff, 6, 5) @[ifu_mem_ctl.scala 684:27] + node _T_5067 = eq(_T_5066, UInt<1>("h00")) @[ifu_mem_ctl.scala 684:70] + node _T_5068 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 684:97] + node _T_5069 = and(_T_5067, _T_5068) @[ifu_mem_ctl.scala 684:79] + node _T_5070 = or(_T_5065, _T_5069) @[ifu_mem_ctl.scala 683:109] + node _T_5071 = or(_T_5070, reset_all_tags) @[ifu_mem_ctl.scala 684:102] + node _T_5072 = bits(ifu_ic_rw_int_addr_ff, 6, 5) @[ifu_mem_ctl.scala 683:35] + node _T_5073 = eq(_T_5072, UInt<1>("h00")) @[ifu_mem_ctl.scala 683:78] + node _T_5074 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 683:104] + node _T_5075 = and(_T_5073, _T_5074) @[ifu_mem_ctl.scala 683:87] + node _T_5076 = bits(perr_ic_index_ff, 6, 5) @[ifu_mem_ctl.scala 684:27] + node _T_5077 = eq(_T_5076, UInt<1>("h00")) @[ifu_mem_ctl.scala 684:70] + node _T_5078 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 684:97] + node _T_5079 = and(_T_5077, _T_5078) @[ifu_mem_ctl.scala 684:79] + node _T_5080 = or(_T_5075, _T_5079) @[ifu_mem_ctl.scala 683:109] + node _T_5081 = or(_T_5080, reset_all_tags) @[ifu_mem_ctl.scala 684:102] + node tag_valid_clken_0 = cat(_T_5081, _T_5071) @[Cat.scala 29:58] + node _T_5082 = bits(ifu_ic_rw_int_addr_ff, 6, 5) @[ifu_mem_ctl.scala 683:35] + node _T_5083 = eq(_T_5082, UInt<1>("h01")) @[ifu_mem_ctl.scala 683:78] + node _T_5084 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 683:104] + node _T_5085 = and(_T_5083, _T_5084) @[ifu_mem_ctl.scala 683:87] + node _T_5086 = bits(perr_ic_index_ff, 6, 5) @[ifu_mem_ctl.scala 684:27] + node _T_5087 = eq(_T_5086, UInt<1>("h01")) @[ifu_mem_ctl.scala 684:70] + node _T_5088 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 684:97] + node _T_5089 = and(_T_5087, _T_5088) @[ifu_mem_ctl.scala 684:79] + node _T_5090 = or(_T_5085, _T_5089) @[ifu_mem_ctl.scala 683:109] + node _T_5091 = or(_T_5090, reset_all_tags) @[ifu_mem_ctl.scala 684:102] + node _T_5092 = bits(ifu_ic_rw_int_addr_ff, 6, 5) @[ifu_mem_ctl.scala 683:35] + node _T_5093 = eq(_T_5092, UInt<1>("h01")) @[ifu_mem_ctl.scala 683:78] + node _T_5094 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 683:104] + node _T_5095 = and(_T_5093, _T_5094) @[ifu_mem_ctl.scala 683:87] + node _T_5096 = bits(perr_ic_index_ff, 6, 5) @[ifu_mem_ctl.scala 684:27] + node _T_5097 = eq(_T_5096, UInt<1>("h01")) @[ifu_mem_ctl.scala 684:70] + node _T_5098 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 684:97] + node _T_5099 = and(_T_5097, _T_5098) @[ifu_mem_ctl.scala 684:79] + node _T_5100 = or(_T_5095, _T_5099) @[ifu_mem_ctl.scala 683:109] + node _T_5101 = or(_T_5100, reset_all_tags) @[ifu_mem_ctl.scala 684:102] + node tag_valid_clken_1 = cat(_T_5101, _T_5091) @[Cat.scala 29:58] + node _T_5102 = bits(ifu_ic_rw_int_addr_ff, 6, 5) @[ifu_mem_ctl.scala 683:35] + node _T_5103 = eq(_T_5102, UInt<2>("h02")) @[ifu_mem_ctl.scala 683:78] + node _T_5104 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 683:104] + node _T_5105 = and(_T_5103, _T_5104) @[ifu_mem_ctl.scala 683:87] + node _T_5106 = bits(perr_ic_index_ff, 6, 5) @[ifu_mem_ctl.scala 684:27] + node _T_5107 = eq(_T_5106, UInt<2>("h02")) @[ifu_mem_ctl.scala 684:70] + node _T_5108 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 684:97] + node _T_5109 = and(_T_5107, _T_5108) @[ifu_mem_ctl.scala 684:79] + node _T_5110 = or(_T_5105, _T_5109) @[ifu_mem_ctl.scala 683:109] + node _T_5111 = or(_T_5110, reset_all_tags) @[ifu_mem_ctl.scala 684:102] + node _T_5112 = bits(ifu_ic_rw_int_addr_ff, 6, 5) @[ifu_mem_ctl.scala 683:35] + node _T_5113 = eq(_T_5112, UInt<2>("h02")) @[ifu_mem_ctl.scala 683:78] + node _T_5114 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 683:104] + node _T_5115 = and(_T_5113, _T_5114) @[ifu_mem_ctl.scala 683:87] + node _T_5116 = bits(perr_ic_index_ff, 6, 5) @[ifu_mem_ctl.scala 684:27] + node _T_5117 = eq(_T_5116, UInt<2>("h02")) @[ifu_mem_ctl.scala 684:70] + node _T_5118 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 684:97] + node _T_5119 = and(_T_5117, _T_5118) @[ifu_mem_ctl.scala 684:79] + node _T_5120 = or(_T_5115, _T_5119) @[ifu_mem_ctl.scala 683:109] + node _T_5121 = or(_T_5120, reset_all_tags) @[ifu_mem_ctl.scala 684:102] + node tag_valid_clken_2 = cat(_T_5121, _T_5111) @[Cat.scala 29:58] + node _T_5122 = bits(ifu_ic_rw_int_addr_ff, 6, 5) @[ifu_mem_ctl.scala 683:35] + node _T_5123 = eq(_T_5122, UInt<2>("h03")) @[ifu_mem_ctl.scala 683:78] + node _T_5124 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 683:104] + node _T_5125 = and(_T_5123, _T_5124) @[ifu_mem_ctl.scala 683:87] + node _T_5126 = bits(perr_ic_index_ff, 6, 5) @[ifu_mem_ctl.scala 684:27] + node _T_5127 = eq(_T_5126, UInt<2>("h03")) @[ifu_mem_ctl.scala 684:70] + node _T_5128 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 684:97] + node _T_5129 = and(_T_5127, _T_5128) @[ifu_mem_ctl.scala 684:79] + node _T_5130 = or(_T_5125, _T_5129) @[ifu_mem_ctl.scala 683:109] + node _T_5131 = or(_T_5130, reset_all_tags) @[ifu_mem_ctl.scala 684:102] + node _T_5132 = bits(ifu_ic_rw_int_addr_ff, 6, 5) @[ifu_mem_ctl.scala 683:35] + node _T_5133 = eq(_T_5132, UInt<2>("h03")) @[ifu_mem_ctl.scala 683:78] + node _T_5134 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 683:104] + node _T_5135 = and(_T_5133, _T_5134) @[ifu_mem_ctl.scala 683:87] + node _T_5136 = bits(perr_ic_index_ff, 6, 5) @[ifu_mem_ctl.scala 684:27] + node _T_5137 = eq(_T_5136, UInt<2>("h03")) @[ifu_mem_ctl.scala 684:70] + node _T_5138 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 684:97] + node _T_5139 = and(_T_5137, _T_5138) @[ifu_mem_ctl.scala 684:79] + node _T_5140 = or(_T_5135, _T_5139) @[ifu_mem_ctl.scala 683:109] + node _T_5141 = or(_T_5140, reset_all_tags) @[ifu_mem_ctl.scala 684:102] + node tag_valid_clken_3 = cat(_T_5141, _T_5131) @[Cat.scala 29:58] + node _T_5142 = bits(tag_valid_clken_0, 0, 0) @[ifu_mem_ctl.scala 686:135] + inst rvclkhdr_86 of rvclkhdr_86 @[lib.scala 343:22] + rvclkhdr_86.clock <= clock + rvclkhdr_86.reset <= reset + rvclkhdr_86.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_86.io.en <= _T_5142 @[lib.scala 345:16] + rvclkhdr_86.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + node _T_5143 = bits(tag_valid_clken_0, 1, 1) @[ifu_mem_ctl.scala 686:135] + inst rvclkhdr_87 of rvclkhdr_87 @[lib.scala 343:22] + rvclkhdr_87.clock <= clock + rvclkhdr_87.reset <= reset + rvclkhdr_87.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_87.io.en <= _T_5143 @[lib.scala 345:16] + rvclkhdr_87.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + node _T_5144 = bits(tag_valid_clken_1, 0, 0) @[ifu_mem_ctl.scala 686:135] + inst rvclkhdr_88 of rvclkhdr_88 @[lib.scala 343:22] + rvclkhdr_88.clock <= clock + rvclkhdr_88.reset <= reset + rvclkhdr_88.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_88.io.en <= _T_5144 @[lib.scala 345:16] + rvclkhdr_88.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + node _T_5145 = bits(tag_valid_clken_1, 1, 1) @[ifu_mem_ctl.scala 686:135] + inst rvclkhdr_89 of rvclkhdr_89 @[lib.scala 343:22] + rvclkhdr_89.clock <= clock + rvclkhdr_89.reset <= reset + rvclkhdr_89.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_89.io.en <= _T_5145 @[lib.scala 345:16] + rvclkhdr_89.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + node _T_5146 = bits(tag_valid_clken_2, 0, 0) @[ifu_mem_ctl.scala 686:135] + inst rvclkhdr_90 of rvclkhdr_90 @[lib.scala 343:22] + rvclkhdr_90.clock <= clock + rvclkhdr_90.reset <= reset + rvclkhdr_90.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_90.io.en <= _T_5146 @[lib.scala 345:16] + rvclkhdr_90.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + node _T_5147 = bits(tag_valid_clken_2, 1, 1) @[ifu_mem_ctl.scala 686:135] + inst rvclkhdr_91 of rvclkhdr_91 @[lib.scala 343:22] + rvclkhdr_91.clock <= clock + rvclkhdr_91.reset <= reset + rvclkhdr_91.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_91.io.en <= _T_5147 @[lib.scala 345:16] + rvclkhdr_91.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + node _T_5148 = bits(tag_valid_clken_3, 0, 0) @[ifu_mem_ctl.scala 686:135] + inst rvclkhdr_92 of rvclkhdr_92 @[lib.scala 343:22] + rvclkhdr_92.clock <= clock + rvclkhdr_92.reset <= reset + rvclkhdr_92.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_92.io.en <= _T_5148 @[lib.scala 345:16] + rvclkhdr_92.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + node _T_5149 = bits(tag_valid_clken_3, 1, 1) @[ifu_mem_ctl.scala 686:135] + inst rvclkhdr_93 of rvclkhdr_93 @[lib.scala 343:22] + rvclkhdr_93.clock <= clock + rvclkhdr_93.reset <= reset + rvclkhdr_93.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_93.io.en <= _T_5149 @[lib.scala 345:16] + rvclkhdr_93.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + wire ic_tag_valid_out : UInt<1>[128][2] @[ifu_mem_ctl.scala 687:32] + node _T_5150 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5151 = eq(_T_5150, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5152 = and(ic_valid_ff, _T_5151) @[ifu_mem_ctl.scala 692:97] + node _T_5153 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5154 = and(_T_5152, _T_5153) @[ifu_mem_ctl.scala 692:122] + node _T_5155 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[ifu_mem_ctl.scala 693:37] + node _T_5156 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_5157 = and(_T_5155, _T_5156) @[ifu_mem_ctl.scala 693:59] + node _T_5158 = eq(perr_ic_index_ff, UInt<1>("h00")) @[ifu_mem_ctl.scala 693:102] + node _T_5159 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_5160 = and(_T_5158, _T_5159) @[ifu_mem_ctl.scala 693:124] + node _T_5161 = or(_T_5157, _T_5160) @[ifu_mem_ctl.scala 693:81] + node _T_5162 = or(_T_5161, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5163 = bits(_T_5162, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5164 : UInt<1>, rvclkhdr_86.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5163 : @[Reg.scala 28:19] + _T_5164 <= _T_5154 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][0] <= _T_5164 @[ifu_mem_ctl.scala 692:41] + node _T_5165 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5166 = eq(_T_5165, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5167 = and(ic_valid_ff, _T_5166) @[ifu_mem_ctl.scala 692:97] + node _T_5168 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5169 = and(_T_5167, _T_5168) @[ifu_mem_ctl.scala 692:122] + node _T_5170 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[ifu_mem_ctl.scala 693:37] + node _T_5171 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_5172 = and(_T_5170, _T_5171) @[ifu_mem_ctl.scala 693:59] + node _T_5173 = eq(perr_ic_index_ff, UInt<1>("h01")) @[ifu_mem_ctl.scala 693:102] + node _T_5174 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_5175 = and(_T_5173, _T_5174) @[ifu_mem_ctl.scala 693:124] + node _T_5176 = or(_T_5172, _T_5175) @[ifu_mem_ctl.scala 693:81] + node _T_5177 = or(_T_5176, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5178 = bits(_T_5177, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5179 : UInt<1>, rvclkhdr_86.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5178 : @[Reg.scala 28:19] + _T_5179 <= _T_5169 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][1] <= _T_5179 @[ifu_mem_ctl.scala 692:41] + node _T_5180 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5181 = eq(_T_5180, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5182 = and(ic_valid_ff, _T_5181) @[ifu_mem_ctl.scala 692:97] + node _T_5183 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5184 = and(_T_5182, _T_5183) @[ifu_mem_ctl.scala 692:122] + node _T_5185 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[ifu_mem_ctl.scala 693:37] + node _T_5186 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_5187 = and(_T_5185, _T_5186) @[ifu_mem_ctl.scala 693:59] + node _T_5188 = eq(perr_ic_index_ff, UInt<2>("h02")) @[ifu_mem_ctl.scala 693:102] + node _T_5189 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_5190 = and(_T_5188, _T_5189) @[ifu_mem_ctl.scala 693:124] + node _T_5191 = or(_T_5187, _T_5190) @[ifu_mem_ctl.scala 693:81] + node _T_5192 = or(_T_5191, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5193 = bits(_T_5192, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5194 : UInt<1>, rvclkhdr_86.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5193 : @[Reg.scala 28:19] + _T_5194 <= _T_5184 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][2] <= _T_5194 @[ifu_mem_ctl.scala 692:41] + node _T_5195 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5196 = eq(_T_5195, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5197 = and(ic_valid_ff, _T_5196) @[ifu_mem_ctl.scala 692:97] + node _T_5198 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5199 = and(_T_5197, _T_5198) @[ifu_mem_ctl.scala 692:122] + node _T_5200 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[ifu_mem_ctl.scala 693:37] + node _T_5201 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_5202 = and(_T_5200, _T_5201) @[ifu_mem_ctl.scala 693:59] + node _T_5203 = eq(perr_ic_index_ff, UInt<2>("h03")) @[ifu_mem_ctl.scala 693:102] + node _T_5204 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_5205 = and(_T_5203, _T_5204) @[ifu_mem_ctl.scala 693:124] + node _T_5206 = or(_T_5202, _T_5205) @[ifu_mem_ctl.scala 693:81] + node _T_5207 = or(_T_5206, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5208 = bits(_T_5207, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5209 : UInt<1>, rvclkhdr_86.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5208 : @[Reg.scala 28:19] + _T_5209 <= _T_5199 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][3] <= _T_5209 @[ifu_mem_ctl.scala 692:41] + node _T_5210 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5211 = eq(_T_5210, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5212 = and(ic_valid_ff, _T_5211) @[ifu_mem_ctl.scala 692:97] + node _T_5213 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5214 = and(_T_5212, _T_5213) @[ifu_mem_ctl.scala 692:122] + node _T_5215 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[ifu_mem_ctl.scala 693:37] + node _T_5216 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_5217 = and(_T_5215, _T_5216) @[ifu_mem_ctl.scala 693:59] + node _T_5218 = eq(perr_ic_index_ff, UInt<3>("h04")) @[ifu_mem_ctl.scala 693:102] + node _T_5219 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_5220 = and(_T_5218, _T_5219) @[ifu_mem_ctl.scala 693:124] + node _T_5221 = or(_T_5217, _T_5220) @[ifu_mem_ctl.scala 693:81] + node _T_5222 = or(_T_5221, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5223 = bits(_T_5222, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5224 : UInt<1>, rvclkhdr_86.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5223 : @[Reg.scala 28:19] + _T_5224 <= _T_5214 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][4] <= _T_5224 @[ifu_mem_ctl.scala 692:41] + node _T_5225 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5226 = eq(_T_5225, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5227 = and(ic_valid_ff, _T_5226) @[ifu_mem_ctl.scala 692:97] + node _T_5228 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5229 = and(_T_5227, _T_5228) @[ifu_mem_ctl.scala 692:122] + node _T_5230 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[ifu_mem_ctl.scala 693:37] + node _T_5231 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_5232 = and(_T_5230, _T_5231) @[ifu_mem_ctl.scala 693:59] + node _T_5233 = eq(perr_ic_index_ff, UInt<3>("h05")) @[ifu_mem_ctl.scala 693:102] + node _T_5234 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_5235 = and(_T_5233, _T_5234) @[ifu_mem_ctl.scala 693:124] + node _T_5236 = or(_T_5232, _T_5235) @[ifu_mem_ctl.scala 693:81] + node _T_5237 = or(_T_5236, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5238 = bits(_T_5237, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5239 : UInt<1>, rvclkhdr_86.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5238 : @[Reg.scala 28:19] + _T_5239 <= _T_5229 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][5] <= _T_5239 @[ifu_mem_ctl.scala 692:41] + node _T_5240 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5241 = eq(_T_5240, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5242 = and(ic_valid_ff, _T_5241) @[ifu_mem_ctl.scala 692:97] + node _T_5243 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5244 = and(_T_5242, _T_5243) @[ifu_mem_ctl.scala 692:122] + node _T_5245 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[ifu_mem_ctl.scala 693:37] + node _T_5246 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_5247 = and(_T_5245, _T_5246) @[ifu_mem_ctl.scala 693:59] + node _T_5248 = eq(perr_ic_index_ff, UInt<3>("h06")) @[ifu_mem_ctl.scala 693:102] + node _T_5249 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_5250 = and(_T_5248, _T_5249) @[ifu_mem_ctl.scala 693:124] + node _T_5251 = or(_T_5247, _T_5250) @[ifu_mem_ctl.scala 693:81] + node _T_5252 = or(_T_5251, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5253 = bits(_T_5252, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5254 : UInt<1>, rvclkhdr_86.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5253 : @[Reg.scala 28:19] + _T_5254 <= _T_5244 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][6] <= _T_5254 @[ifu_mem_ctl.scala 692:41] + node _T_5255 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5256 = eq(_T_5255, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5257 = and(ic_valid_ff, _T_5256) @[ifu_mem_ctl.scala 692:97] + node _T_5258 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5259 = and(_T_5257, _T_5258) @[ifu_mem_ctl.scala 692:122] + node _T_5260 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[ifu_mem_ctl.scala 693:37] + node _T_5261 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_5262 = and(_T_5260, _T_5261) @[ifu_mem_ctl.scala 693:59] + node _T_5263 = eq(perr_ic_index_ff, UInt<3>("h07")) @[ifu_mem_ctl.scala 693:102] + node _T_5264 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_5265 = and(_T_5263, _T_5264) @[ifu_mem_ctl.scala 693:124] + node _T_5266 = or(_T_5262, _T_5265) @[ifu_mem_ctl.scala 693:81] + node _T_5267 = or(_T_5266, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5268 = bits(_T_5267, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5269 : UInt<1>, rvclkhdr_86.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5268 : @[Reg.scala 28:19] + _T_5269 <= _T_5259 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][7] <= _T_5269 @[ifu_mem_ctl.scala 692:41] + node _T_5270 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5271 = eq(_T_5270, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5272 = and(ic_valid_ff, _T_5271) @[ifu_mem_ctl.scala 692:97] + node _T_5273 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5274 = and(_T_5272, _T_5273) @[ifu_mem_ctl.scala 692:122] + node _T_5275 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[ifu_mem_ctl.scala 693:37] + node _T_5276 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_5277 = and(_T_5275, _T_5276) @[ifu_mem_ctl.scala 693:59] + node _T_5278 = eq(perr_ic_index_ff, UInt<4>("h08")) @[ifu_mem_ctl.scala 693:102] + node _T_5279 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_5280 = and(_T_5278, _T_5279) @[ifu_mem_ctl.scala 693:124] + node _T_5281 = or(_T_5277, _T_5280) @[ifu_mem_ctl.scala 693:81] + node _T_5282 = or(_T_5281, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5283 = bits(_T_5282, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5284 : UInt<1>, rvclkhdr_86.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5283 : @[Reg.scala 28:19] + _T_5284 <= _T_5274 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][8] <= _T_5284 @[ifu_mem_ctl.scala 692:41] + node _T_5285 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5286 = eq(_T_5285, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5287 = and(ic_valid_ff, _T_5286) @[ifu_mem_ctl.scala 692:97] + node _T_5288 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5289 = and(_T_5287, _T_5288) @[ifu_mem_ctl.scala 692:122] + node _T_5290 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[ifu_mem_ctl.scala 693:37] + node _T_5291 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_5292 = and(_T_5290, _T_5291) @[ifu_mem_ctl.scala 693:59] + node _T_5293 = eq(perr_ic_index_ff, UInt<4>("h09")) @[ifu_mem_ctl.scala 693:102] + node _T_5294 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_5295 = and(_T_5293, _T_5294) @[ifu_mem_ctl.scala 693:124] + node _T_5296 = or(_T_5292, _T_5295) @[ifu_mem_ctl.scala 693:81] + node _T_5297 = or(_T_5296, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5298 = bits(_T_5297, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5299 : UInt<1>, rvclkhdr_86.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5298 : @[Reg.scala 28:19] + _T_5299 <= _T_5289 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][9] <= _T_5299 @[ifu_mem_ctl.scala 692:41] + node _T_5300 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5301 = eq(_T_5300, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5302 = and(ic_valid_ff, _T_5301) @[ifu_mem_ctl.scala 692:97] + node _T_5303 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5304 = and(_T_5302, _T_5303) @[ifu_mem_ctl.scala 692:122] + node _T_5305 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[ifu_mem_ctl.scala 693:37] + node _T_5306 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_5307 = and(_T_5305, _T_5306) @[ifu_mem_ctl.scala 693:59] + node _T_5308 = eq(perr_ic_index_ff, UInt<4>("h0a")) @[ifu_mem_ctl.scala 693:102] + node _T_5309 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_5310 = and(_T_5308, _T_5309) @[ifu_mem_ctl.scala 693:124] + node _T_5311 = or(_T_5307, _T_5310) @[ifu_mem_ctl.scala 693:81] + node _T_5312 = or(_T_5311, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5313 = bits(_T_5312, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5314 : UInt<1>, rvclkhdr_86.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5313 : @[Reg.scala 28:19] + _T_5314 <= _T_5304 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][10] <= _T_5314 @[ifu_mem_ctl.scala 692:41] + node _T_5315 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5316 = eq(_T_5315, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5317 = and(ic_valid_ff, _T_5316) @[ifu_mem_ctl.scala 692:97] + node _T_5318 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5319 = and(_T_5317, _T_5318) @[ifu_mem_ctl.scala 692:122] + node _T_5320 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[ifu_mem_ctl.scala 693:37] + node _T_5321 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_5322 = and(_T_5320, _T_5321) @[ifu_mem_ctl.scala 693:59] + node _T_5323 = eq(perr_ic_index_ff, UInt<4>("h0b")) @[ifu_mem_ctl.scala 693:102] + node _T_5324 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_5325 = and(_T_5323, _T_5324) @[ifu_mem_ctl.scala 693:124] + node _T_5326 = or(_T_5322, _T_5325) @[ifu_mem_ctl.scala 693:81] + node _T_5327 = or(_T_5326, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5328 = bits(_T_5327, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5329 : UInt<1>, rvclkhdr_86.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5328 : @[Reg.scala 28:19] + _T_5329 <= _T_5319 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][11] <= _T_5329 @[ifu_mem_ctl.scala 692:41] + node _T_5330 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5331 = eq(_T_5330, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5332 = and(ic_valid_ff, _T_5331) @[ifu_mem_ctl.scala 692:97] + node _T_5333 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5334 = and(_T_5332, _T_5333) @[ifu_mem_ctl.scala 692:122] + node _T_5335 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[ifu_mem_ctl.scala 693:37] + node _T_5336 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_5337 = and(_T_5335, _T_5336) @[ifu_mem_ctl.scala 693:59] + node _T_5338 = eq(perr_ic_index_ff, UInt<4>("h0c")) @[ifu_mem_ctl.scala 693:102] + node _T_5339 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_5340 = and(_T_5338, _T_5339) @[ifu_mem_ctl.scala 693:124] + node _T_5341 = or(_T_5337, _T_5340) @[ifu_mem_ctl.scala 693:81] + node _T_5342 = or(_T_5341, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5343 = bits(_T_5342, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5344 : UInt<1>, rvclkhdr_86.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5343 : @[Reg.scala 28:19] + _T_5344 <= _T_5334 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][12] <= _T_5344 @[ifu_mem_ctl.scala 692:41] + node _T_5345 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5346 = eq(_T_5345, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5347 = and(ic_valid_ff, _T_5346) @[ifu_mem_ctl.scala 692:97] + node _T_5348 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5349 = and(_T_5347, _T_5348) @[ifu_mem_ctl.scala 692:122] + node _T_5350 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[ifu_mem_ctl.scala 693:37] + node _T_5351 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_5352 = and(_T_5350, _T_5351) @[ifu_mem_ctl.scala 693:59] + node _T_5353 = eq(perr_ic_index_ff, UInt<4>("h0d")) @[ifu_mem_ctl.scala 693:102] + node _T_5354 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_5355 = and(_T_5353, _T_5354) @[ifu_mem_ctl.scala 693:124] + node _T_5356 = or(_T_5352, _T_5355) @[ifu_mem_ctl.scala 693:81] + node _T_5357 = or(_T_5356, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5358 = bits(_T_5357, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5359 : UInt<1>, rvclkhdr_86.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5358 : @[Reg.scala 28:19] + _T_5359 <= _T_5349 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][13] <= _T_5359 @[ifu_mem_ctl.scala 692:41] + node _T_5360 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5361 = eq(_T_5360, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5362 = and(ic_valid_ff, _T_5361) @[ifu_mem_ctl.scala 692:97] + node _T_5363 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5364 = and(_T_5362, _T_5363) @[ifu_mem_ctl.scala 692:122] + node _T_5365 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[ifu_mem_ctl.scala 693:37] + node _T_5366 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_5367 = and(_T_5365, _T_5366) @[ifu_mem_ctl.scala 693:59] + node _T_5368 = eq(perr_ic_index_ff, UInt<4>("h0e")) @[ifu_mem_ctl.scala 693:102] + node _T_5369 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_5370 = and(_T_5368, _T_5369) @[ifu_mem_ctl.scala 693:124] + node _T_5371 = or(_T_5367, _T_5370) @[ifu_mem_ctl.scala 693:81] + node _T_5372 = or(_T_5371, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5373 = bits(_T_5372, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5374 : UInt<1>, rvclkhdr_86.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5373 : @[Reg.scala 28:19] + _T_5374 <= _T_5364 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][14] <= _T_5374 @[ifu_mem_ctl.scala 692:41] + node _T_5375 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5376 = eq(_T_5375, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5377 = and(ic_valid_ff, _T_5376) @[ifu_mem_ctl.scala 692:97] + node _T_5378 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5379 = and(_T_5377, _T_5378) @[ifu_mem_ctl.scala 692:122] + node _T_5380 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[ifu_mem_ctl.scala 693:37] + node _T_5381 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_5382 = and(_T_5380, _T_5381) @[ifu_mem_ctl.scala 693:59] + node _T_5383 = eq(perr_ic_index_ff, UInt<4>("h0f")) @[ifu_mem_ctl.scala 693:102] + node _T_5384 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_5385 = and(_T_5383, _T_5384) @[ifu_mem_ctl.scala 693:124] + node _T_5386 = or(_T_5382, _T_5385) @[ifu_mem_ctl.scala 693:81] + node _T_5387 = or(_T_5386, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5388 = bits(_T_5387, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5389 : UInt<1>, rvclkhdr_86.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5388 : @[Reg.scala 28:19] + _T_5389 <= _T_5379 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][15] <= _T_5389 @[ifu_mem_ctl.scala 692:41] + node _T_5390 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5391 = eq(_T_5390, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5392 = and(ic_valid_ff, _T_5391) @[ifu_mem_ctl.scala 692:97] + node _T_5393 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5394 = and(_T_5392, _T_5393) @[ifu_mem_ctl.scala 692:122] + node _T_5395 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[ifu_mem_ctl.scala 693:37] + node _T_5396 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_5397 = and(_T_5395, _T_5396) @[ifu_mem_ctl.scala 693:59] + node _T_5398 = eq(perr_ic_index_ff, UInt<5>("h010")) @[ifu_mem_ctl.scala 693:102] + node _T_5399 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_5400 = and(_T_5398, _T_5399) @[ifu_mem_ctl.scala 693:124] + node _T_5401 = or(_T_5397, _T_5400) @[ifu_mem_ctl.scala 693:81] + node _T_5402 = or(_T_5401, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5403 = bits(_T_5402, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5404 : UInt<1>, rvclkhdr_86.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5403 : @[Reg.scala 28:19] + _T_5404 <= _T_5394 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][16] <= _T_5404 @[ifu_mem_ctl.scala 692:41] + node _T_5405 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5406 = eq(_T_5405, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5407 = and(ic_valid_ff, _T_5406) @[ifu_mem_ctl.scala 692:97] + node _T_5408 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5409 = and(_T_5407, _T_5408) @[ifu_mem_ctl.scala 692:122] + node _T_5410 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[ifu_mem_ctl.scala 693:37] + node _T_5411 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_5412 = and(_T_5410, _T_5411) @[ifu_mem_ctl.scala 693:59] + node _T_5413 = eq(perr_ic_index_ff, UInt<5>("h011")) @[ifu_mem_ctl.scala 693:102] + node _T_5414 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_5415 = and(_T_5413, _T_5414) @[ifu_mem_ctl.scala 693:124] + node _T_5416 = or(_T_5412, _T_5415) @[ifu_mem_ctl.scala 693:81] + node _T_5417 = or(_T_5416, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5418 = bits(_T_5417, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5419 : UInt<1>, rvclkhdr_86.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5418 : @[Reg.scala 28:19] + _T_5419 <= _T_5409 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][17] <= _T_5419 @[ifu_mem_ctl.scala 692:41] + node _T_5420 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5421 = eq(_T_5420, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5422 = and(ic_valid_ff, _T_5421) @[ifu_mem_ctl.scala 692:97] + node _T_5423 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5424 = and(_T_5422, _T_5423) @[ifu_mem_ctl.scala 692:122] + node _T_5425 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[ifu_mem_ctl.scala 693:37] + node _T_5426 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_5427 = and(_T_5425, _T_5426) @[ifu_mem_ctl.scala 693:59] + node _T_5428 = eq(perr_ic_index_ff, UInt<5>("h012")) @[ifu_mem_ctl.scala 693:102] + node _T_5429 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_5430 = and(_T_5428, _T_5429) @[ifu_mem_ctl.scala 693:124] + node _T_5431 = or(_T_5427, _T_5430) @[ifu_mem_ctl.scala 693:81] + node _T_5432 = or(_T_5431, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5433 = bits(_T_5432, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5434 : UInt<1>, rvclkhdr_86.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5433 : @[Reg.scala 28:19] + _T_5434 <= _T_5424 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][18] <= _T_5434 @[ifu_mem_ctl.scala 692:41] + node _T_5435 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5436 = eq(_T_5435, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5437 = and(ic_valid_ff, _T_5436) @[ifu_mem_ctl.scala 692:97] + node _T_5438 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5439 = and(_T_5437, _T_5438) @[ifu_mem_ctl.scala 692:122] + node _T_5440 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[ifu_mem_ctl.scala 693:37] + node _T_5441 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_5442 = and(_T_5440, _T_5441) @[ifu_mem_ctl.scala 693:59] + node _T_5443 = eq(perr_ic_index_ff, UInt<5>("h013")) @[ifu_mem_ctl.scala 693:102] + node _T_5444 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_5445 = and(_T_5443, _T_5444) @[ifu_mem_ctl.scala 693:124] + node _T_5446 = or(_T_5442, _T_5445) @[ifu_mem_ctl.scala 693:81] + node _T_5447 = or(_T_5446, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5448 = bits(_T_5447, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5449 : UInt<1>, rvclkhdr_86.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5448 : @[Reg.scala 28:19] + _T_5449 <= _T_5439 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][19] <= _T_5449 @[ifu_mem_ctl.scala 692:41] + node _T_5450 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5451 = eq(_T_5450, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5452 = and(ic_valid_ff, _T_5451) @[ifu_mem_ctl.scala 692:97] + node _T_5453 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5454 = and(_T_5452, _T_5453) @[ifu_mem_ctl.scala 692:122] + node _T_5455 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[ifu_mem_ctl.scala 693:37] + node _T_5456 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_5457 = and(_T_5455, _T_5456) @[ifu_mem_ctl.scala 693:59] + node _T_5458 = eq(perr_ic_index_ff, UInt<5>("h014")) @[ifu_mem_ctl.scala 693:102] + node _T_5459 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_5460 = and(_T_5458, _T_5459) @[ifu_mem_ctl.scala 693:124] + node _T_5461 = or(_T_5457, _T_5460) @[ifu_mem_ctl.scala 693:81] + node _T_5462 = or(_T_5461, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5463 = bits(_T_5462, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5464 : UInt<1>, rvclkhdr_86.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5463 : @[Reg.scala 28:19] + _T_5464 <= _T_5454 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][20] <= _T_5464 @[ifu_mem_ctl.scala 692:41] + node _T_5465 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5466 = eq(_T_5465, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5467 = and(ic_valid_ff, _T_5466) @[ifu_mem_ctl.scala 692:97] + node _T_5468 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5469 = and(_T_5467, _T_5468) @[ifu_mem_ctl.scala 692:122] + node _T_5470 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[ifu_mem_ctl.scala 693:37] + node _T_5471 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_5472 = and(_T_5470, _T_5471) @[ifu_mem_ctl.scala 693:59] + node _T_5473 = eq(perr_ic_index_ff, UInt<5>("h015")) @[ifu_mem_ctl.scala 693:102] + node _T_5474 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_5475 = and(_T_5473, _T_5474) @[ifu_mem_ctl.scala 693:124] + node _T_5476 = or(_T_5472, _T_5475) @[ifu_mem_ctl.scala 693:81] + node _T_5477 = or(_T_5476, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5478 = bits(_T_5477, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5479 : UInt<1>, rvclkhdr_86.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5478 : @[Reg.scala 28:19] + _T_5479 <= _T_5469 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][21] <= _T_5479 @[ifu_mem_ctl.scala 692:41] + node _T_5480 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5481 = eq(_T_5480, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5482 = and(ic_valid_ff, _T_5481) @[ifu_mem_ctl.scala 692:97] + node _T_5483 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5484 = and(_T_5482, _T_5483) @[ifu_mem_ctl.scala 692:122] + node _T_5485 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[ifu_mem_ctl.scala 693:37] + node _T_5486 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_5487 = and(_T_5485, _T_5486) @[ifu_mem_ctl.scala 693:59] + node _T_5488 = eq(perr_ic_index_ff, UInt<5>("h016")) @[ifu_mem_ctl.scala 693:102] + node _T_5489 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_5490 = and(_T_5488, _T_5489) @[ifu_mem_ctl.scala 693:124] + node _T_5491 = or(_T_5487, _T_5490) @[ifu_mem_ctl.scala 693:81] + node _T_5492 = or(_T_5491, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5493 = bits(_T_5492, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5494 : UInt<1>, rvclkhdr_86.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5493 : @[Reg.scala 28:19] + _T_5494 <= _T_5484 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][22] <= _T_5494 @[ifu_mem_ctl.scala 692:41] + node _T_5495 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5496 = eq(_T_5495, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5497 = and(ic_valid_ff, _T_5496) @[ifu_mem_ctl.scala 692:97] + node _T_5498 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5499 = and(_T_5497, _T_5498) @[ifu_mem_ctl.scala 692:122] + node _T_5500 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[ifu_mem_ctl.scala 693:37] + node _T_5501 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_5502 = and(_T_5500, _T_5501) @[ifu_mem_ctl.scala 693:59] + node _T_5503 = eq(perr_ic_index_ff, UInt<5>("h017")) @[ifu_mem_ctl.scala 693:102] + node _T_5504 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_5505 = and(_T_5503, _T_5504) @[ifu_mem_ctl.scala 693:124] + node _T_5506 = or(_T_5502, _T_5505) @[ifu_mem_ctl.scala 693:81] + node _T_5507 = or(_T_5506, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5508 = bits(_T_5507, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5509 : UInt<1>, rvclkhdr_86.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5508 : @[Reg.scala 28:19] + _T_5509 <= _T_5499 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][23] <= _T_5509 @[ifu_mem_ctl.scala 692:41] + node _T_5510 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5511 = eq(_T_5510, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5512 = and(ic_valid_ff, _T_5511) @[ifu_mem_ctl.scala 692:97] + node _T_5513 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5514 = and(_T_5512, _T_5513) @[ifu_mem_ctl.scala 692:122] + node _T_5515 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[ifu_mem_ctl.scala 693:37] + node _T_5516 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_5517 = and(_T_5515, _T_5516) @[ifu_mem_ctl.scala 693:59] + node _T_5518 = eq(perr_ic_index_ff, UInt<5>("h018")) @[ifu_mem_ctl.scala 693:102] + node _T_5519 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_5520 = and(_T_5518, _T_5519) @[ifu_mem_ctl.scala 693:124] + node _T_5521 = or(_T_5517, _T_5520) @[ifu_mem_ctl.scala 693:81] + node _T_5522 = or(_T_5521, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5523 = bits(_T_5522, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5524 : UInt<1>, rvclkhdr_86.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5523 : @[Reg.scala 28:19] + _T_5524 <= _T_5514 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][24] <= _T_5524 @[ifu_mem_ctl.scala 692:41] + node _T_5525 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5526 = eq(_T_5525, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5527 = and(ic_valid_ff, _T_5526) @[ifu_mem_ctl.scala 692:97] + node _T_5528 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5529 = and(_T_5527, _T_5528) @[ifu_mem_ctl.scala 692:122] + node _T_5530 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[ifu_mem_ctl.scala 693:37] + node _T_5531 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_5532 = and(_T_5530, _T_5531) @[ifu_mem_ctl.scala 693:59] + node _T_5533 = eq(perr_ic_index_ff, UInt<5>("h019")) @[ifu_mem_ctl.scala 693:102] + node _T_5534 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_5535 = and(_T_5533, _T_5534) @[ifu_mem_ctl.scala 693:124] + node _T_5536 = or(_T_5532, _T_5535) @[ifu_mem_ctl.scala 693:81] + node _T_5537 = or(_T_5536, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5538 = bits(_T_5537, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5539 : UInt<1>, rvclkhdr_86.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5538 : @[Reg.scala 28:19] + _T_5539 <= _T_5529 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][25] <= _T_5539 @[ifu_mem_ctl.scala 692:41] + node _T_5540 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5541 = eq(_T_5540, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5542 = and(ic_valid_ff, _T_5541) @[ifu_mem_ctl.scala 692:97] + node _T_5543 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5544 = and(_T_5542, _T_5543) @[ifu_mem_ctl.scala 692:122] + node _T_5545 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[ifu_mem_ctl.scala 693:37] + node _T_5546 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_5547 = and(_T_5545, _T_5546) @[ifu_mem_ctl.scala 693:59] + node _T_5548 = eq(perr_ic_index_ff, UInt<5>("h01a")) @[ifu_mem_ctl.scala 693:102] + node _T_5549 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_5550 = and(_T_5548, _T_5549) @[ifu_mem_ctl.scala 693:124] + node _T_5551 = or(_T_5547, _T_5550) @[ifu_mem_ctl.scala 693:81] + node _T_5552 = or(_T_5551, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5553 = bits(_T_5552, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5554 : UInt<1>, rvclkhdr_86.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5553 : @[Reg.scala 28:19] + _T_5554 <= _T_5544 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][26] <= _T_5554 @[ifu_mem_ctl.scala 692:41] + node _T_5555 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5556 = eq(_T_5555, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5557 = and(ic_valid_ff, _T_5556) @[ifu_mem_ctl.scala 692:97] + node _T_5558 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5559 = and(_T_5557, _T_5558) @[ifu_mem_ctl.scala 692:122] + node _T_5560 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[ifu_mem_ctl.scala 693:37] + node _T_5561 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_5562 = and(_T_5560, _T_5561) @[ifu_mem_ctl.scala 693:59] + node _T_5563 = eq(perr_ic_index_ff, UInt<5>("h01b")) @[ifu_mem_ctl.scala 693:102] + node _T_5564 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_5565 = and(_T_5563, _T_5564) @[ifu_mem_ctl.scala 693:124] + node _T_5566 = or(_T_5562, _T_5565) @[ifu_mem_ctl.scala 693:81] + node _T_5567 = or(_T_5566, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5568 = bits(_T_5567, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5569 : UInt<1>, rvclkhdr_86.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5568 : @[Reg.scala 28:19] + _T_5569 <= _T_5559 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][27] <= _T_5569 @[ifu_mem_ctl.scala 692:41] + node _T_5570 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5571 = eq(_T_5570, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5572 = and(ic_valid_ff, _T_5571) @[ifu_mem_ctl.scala 692:97] + node _T_5573 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5574 = and(_T_5572, _T_5573) @[ifu_mem_ctl.scala 692:122] + node _T_5575 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[ifu_mem_ctl.scala 693:37] + node _T_5576 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_5577 = and(_T_5575, _T_5576) @[ifu_mem_ctl.scala 693:59] + node _T_5578 = eq(perr_ic_index_ff, UInt<5>("h01c")) @[ifu_mem_ctl.scala 693:102] + node _T_5579 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_5580 = and(_T_5578, _T_5579) @[ifu_mem_ctl.scala 693:124] + node _T_5581 = or(_T_5577, _T_5580) @[ifu_mem_ctl.scala 693:81] + node _T_5582 = or(_T_5581, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5583 = bits(_T_5582, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5584 : UInt<1>, rvclkhdr_86.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5583 : @[Reg.scala 28:19] + _T_5584 <= _T_5574 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][28] <= _T_5584 @[ifu_mem_ctl.scala 692:41] + node _T_5585 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5586 = eq(_T_5585, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5587 = and(ic_valid_ff, _T_5586) @[ifu_mem_ctl.scala 692:97] + node _T_5588 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5589 = and(_T_5587, _T_5588) @[ifu_mem_ctl.scala 692:122] + node _T_5590 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[ifu_mem_ctl.scala 693:37] + node _T_5591 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_5592 = and(_T_5590, _T_5591) @[ifu_mem_ctl.scala 693:59] + node _T_5593 = eq(perr_ic_index_ff, UInt<5>("h01d")) @[ifu_mem_ctl.scala 693:102] + node _T_5594 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_5595 = and(_T_5593, _T_5594) @[ifu_mem_ctl.scala 693:124] + node _T_5596 = or(_T_5592, _T_5595) @[ifu_mem_ctl.scala 693:81] + node _T_5597 = or(_T_5596, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5598 = bits(_T_5597, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5599 : UInt<1>, rvclkhdr_86.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5598 : @[Reg.scala 28:19] + _T_5599 <= _T_5589 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][29] <= _T_5599 @[ifu_mem_ctl.scala 692:41] + node _T_5600 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5601 = eq(_T_5600, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5602 = and(ic_valid_ff, _T_5601) @[ifu_mem_ctl.scala 692:97] + node _T_5603 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5604 = and(_T_5602, _T_5603) @[ifu_mem_ctl.scala 692:122] + node _T_5605 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[ifu_mem_ctl.scala 693:37] + node _T_5606 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_5607 = and(_T_5605, _T_5606) @[ifu_mem_ctl.scala 693:59] + node _T_5608 = eq(perr_ic_index_ff, UInt<5>("h01e")) @[ifu_mem_ctl.scala 693:102] + node _T_5609 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_5610 = and(_T_5608, _T_5609) @[ifu_mem_ctl.scala 693:124] + node _T_5611 = or(_T_5607, _T_5610) @[ifu_mem_ctl.scala 693:81] + node _T_5612 = or(_T_5611, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5613 = bits(_T_5612, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5614 : UInt<1>, rvclkhdr_86.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5613 : @[Reg.scala 28:19] + _T_5614 <= _T_5604 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][30] <= _T_5614 @[ifu_mem_ctl.scala 692:41] + node _T_5615 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5616 = eq(_T_5615, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5617 = and(ic_valid_ff, _T_5616) @[ifu_mem_ctl.scala 692:97] + node _T_5618 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5619 = and(_T_5617, _T_5618) @[ifu_mem_ctl.scala 692:122] + node _T_5620 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[ifu_mem_ctl.scala 693:37] + node _T_5621 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_5622 = and(_T_5620, _T_5621) @[ifu_mem_ctl.scala 693:59] + node _T_5623 = eq(perr_ic_index_ff, UInt<5>("h01f")) @[ifu_mem_ctl.scala 693:102] + node _T_5624 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_5625 = and(_T_5623, _T_5624) @[ifu_mem_ctl.scala 693:124] + node _T_5626 = or(_T_5622, _T_5625) @[ifu_mem_ctl.scala 693:81] + node _T_5627 = or(_T_5626, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5628 = bits(_T_5627, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5629 : UInt<1>, rvclkhdr_86.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5628 : @[Reg.scala 28:19] + _T_5629 <= _T_5619 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][31] <= _T_5629 @[ifu_mem_ctl.scala 692:41] + node _T_5630 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5631 = eq(_T_5630, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5632 = and(ic_valid_ff, _T_5631) @[ifu_mem_ctl.scala 692:97] + node _T_5633 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5634 = and(_T_5632, _T_5633) @[ifu_mem_ctl.scala 692:122] + node _T_5635 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[ifu_mem_ctl.scala 693:37] + node _T_5636 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_5637 = and(_T_5635, _T_5636) @[ifu_mem_ctl.scala 693:59] + node _T_5638 = eq(perr_ic_index_ff, UInt<1>("h00")) @[ifu_mem_ctl.scala 693:102] + node _T_5639 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_5640 = and(_T_5638, _T_5639) @[ifu_mem_ctl.scala 693:124] + node _T_5641 = or(_T_5637, _T_5640) @[ifu_mem_ctl.scala 693:81] + node _T_5642 = or(_T_5641, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5643 = bits(_T_5642, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5644 : UInt<1>, rvclkhdr_87.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5643 : @[Reg.scala 28:19] + _T_5644 <= _T_5634 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][0] <= _T_5644 @[ifu_mem_ctl.scala 692:41] + node _T_5645 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5646 = eq(_T_5645, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5647 = and(ic_valid_ff, _T_5646) @[ifu_mem_ctl.scala 692:97] + node _T_5648 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5649 = and(_T_5647, _T_5648) @[ifu_mem_ctl.scala 692:122] + node _T_5650 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[ifu_mem_ctl.scala 693:37] + node _T_5651 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_5652 = and(_T_5650, _T_5651) @[ifu_mem_ctl.scala 693:59] + node _T_5653 = eq(perr_ic_index_ff, UInt<1>("h01")) @[ifu_mem_ctl.scala 693:102] + node _T_5654 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_5655 = and(_T_5653, _T_5654) @[ifu_mem_ctl.scala 693:124] + node _T_5656 = or(_T_5652, _T_5655) @[ifu_mem_ctl.scala 693:81] + node _T_5657 = or(_T_5656, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5658 = bits(_T_5657, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5659 : UInt<1>, rvclkhdr_87.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5658 : @[Reg.scala 28:19] + _T_5659 <= _T_5649 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][1] <= _T_5659 @[ifu_mem_ctl.scala 692:41] + node _T_5660 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5661 = eq(_T_5660, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5662 = and(ic_valid_ff, _T_5661) @[ifu_mem_ctl.scala 692:97] + node _T_5663 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5664 = and(_T_5662, _T_5663) @[ifu_mem_ctl.scala 692:122] + node _T_5665 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[ifu_mem_ctl.scala 693:37] + node _T_5666 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_5667 = and(_T_5665, _T_5666) @[ifu_mem_ctl.scala 693:59] + node _T_5668 = eq(perr_ic_index_ff, UInt<2>("h02")) @[ifu_mem_ctl.scala 693:102] + node _T_5669 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_5670 = and(_T_5668, _T_5669) @[ifu_mem_ctl.scala 693:124] + node _T_5671 = or(_T_5667, _T_5670) @[ifu_mem_ctl.scala 693:81] + node _T_5672 = or(_T_5671, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5673 = bits(_T_5672, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5674 : UInt<1>, rvclkhdr_87.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5673 : @[Reg.scala 28:19] + _T_5674 <= _T_5664 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][2] <= _T_5674 @[ifu_mem_ctl.scala 692:41] + node _T_5675 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5676 = eq(_T_5675, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5677 = and(ic_valid_ff, _T_5676) @[ifu_mem_ctl.scala 692:97] + node _T_5678 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5679 = and(_T_5677, _T_5678) @[ifu_mem_ctl.scala 692:122] + node _T_5680 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[ifu_mem_ctl.scala 693:37] + node _T_5681 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_5682 = and(_T_5680, _T_5681) @[ifu_mem_ctl.scala 693:59] + node _T_5683 = eq(perr_ic_index_ff, UInt<2>("h03")) @[ifu_mem_ctl.scala 693:102] + node _T_5684 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_5685 = and(_T_5683, _T_5684) @[ifu_mem_ctl.scala 693:124] + node _T_5686 = or(_T_5682, _T_5685) @[ifu_mem_ctl.scala 693:81] + node _T_5687 = or(_T_5686, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5688 = bits(_T_5687, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5689 : UInt<1>, rvclkhdr_87.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5688 : @[Reg.scala 28:19] + _T_5689 <= _T_5679 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][3] <= _T_5689 @[ifu_mem_ctl.scala 692:41] + node _T_5690 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5691 = eq(_T_5690, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5692 = and(ic_valid_ff, _T_5691) @[ifu_mem_ctl.scala 692:97] + node _T_5693 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5694 = and(_T_5692, _T_5693) @[ifu_mem_ctl.scala 692:122] + node _T_5695 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[ifu_mem_ctl.scala 693:37] + node _T_5696 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_5697 = and(_T_5695, _T_5696) @[ifu_mem_ctl.scala 693:59] + node _T_5698 = eq(perr_ic_index_ff, UInt<3>("h04")) @[ifu_mem_ctl.scala 693:102] + node _T_5699 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_5700 = and(_T_5698, _T_5699) @[ifu_mem_ctl.scala 693:124] + node _T_5701 = or(_T_5697, _T_5700) @[ifu_mem_ctl.scala 693:81] + node _T_5702 = or(_T_5701, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5703 = bits(_T_5702, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5704 : UInt<1>, rvclkhdr_87.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5703 : @[Reg.scala 28:19] + _T_5704 <= _T_5694 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][4] <= _T_5704 @[ifu_mem_ctl.scala 692:41] + node _T_5705 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5706 = eq(_T_5705, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5707 = and(ic_valid_ff, _T_5706) @[ifu_mem_ctl.scala 692:97] + node _T_5708 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5709 = and(_T_5707, _T_5708) @[ifu_mem_ctl.scala 692:122] + node _T_5710 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[ifu_mem_ctl.scala 693:37] + node _T_5711 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_5712 = and(_T_5710, _T_5711) @[ifu_mem_ctl.scala 693:59] + node _T_5713 = eq(perr_ic_index_ff, UInt<3>("h05")) @[ifu_mem_ctl.scala 693:102] + node _T_5714 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_5715 = and(_T_5713, _T_5714) @[ifu_mem_ctl.scala 693:124] + node _T_5716 = or(_T_5712, _T_5715) @[ifu_mem_ctl.scala 693:81] + node _T_5717 = or(_T_5716, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5718 = bits(_T_5717, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5719 : UInt<1>, rvclkhdr_87.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5718 : @[Reg.scala 28:19] + _T_5719 <= _T_5709 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][5] <= _T_5719 @[ifu_mem_ctl.scala 692:41] + node _T_5720 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5721 = eq(_T_5720, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5722 = and(ic_valid_ff, _T_5721) @[ifu_mem_ctl.scala 692:97] + node _T_5723 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5724 = and(_T_5722, _T_5723) @[ifu_mem_ctl.scala 692:122] + node _T_5725 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[ifu_mem_ctl.scala 693:37] + node _T_5726 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_5727 = and(_T_5725, _T_5726) @[ifu_mem_ctl.scala 693:59] + node _T_5728 = eq(perr_ic_index_ff, UInt<3>("h06")) @[ifu_mem_ctl.scala 693:102] + node _T_5729 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_5730 = and(_T_5728, _T_5729) @[ifu_mem_ctl.scala 693:124] + node _T_5731 = or(_T_5727, _T_5730) @[ifu_mem_ctl.scala 693:81] + node _T_5732 = or(_T_5731, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5733 = bits(_T_5732, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5734 : UInt<1>, rvclkhdr_87.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5733 : @[Reg.scala 28:19] + _T_5734 <= _T_5724 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][6] <= _T_5734 @[ifu_mem_ctl.scala 692:41] + node _T_5735 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5736 = eq(_T_5735, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5737 = and(ic_valid_ff, _T_5736) @[ifu_mem_ctl.scala 692:97] + node _T_5738 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5739 = and(_T_5737, _T_5738) @[ifu_mem_ctl.scala 692:122] + node _T_5740 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[ifu_mem_ctl.scala 693:37] + node _T_5741 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_5742 = and(_T_5740, _T_5741) @[ifu_mem_ctl.scala 693:59] + node _T_5743 = eq(perr_ic_index_ff, UInt<3>("h07")) @[ifu_mem_ctl.scala 693:102] + node _T_5744 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_5745 = and(_T_5743, _T_5744) @[ifu_mem_ctl.scala 693:124] + node _T_5746 = or(_T_5742, _T_5745) @[ifu_mem_ctl.scala 693:81] + node _T_5747 = or(_T_5746, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5748 = bits(_T_5747, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5749 : UInt<1>, rvclkhdr_87.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5748 : @[Reg.scala 28:19] + _T_5749 <= _T_5739 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][7] <= _T_5749 @[ifu_mem_ctl.scala 692:41] + node _T_5750 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5751 = eq(_T_5750, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5752 = and(ic_valid_ff, _T_5751) @[ifu_mem_ctl.scala 692:97] + node _T_5753 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5754 = and(_T_5752, _T_5753) @[ifu_mem_ctl.scala 692:122] + node _T_5755 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[ifu_mem_ctl.scala 693:37] + node _T_5756 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_5757 = and(_T_5755, _T_5756) @[ifu_mem_ctl.scala 693:59] + node _T_5758 = eq(perr_ic_index_ff, UInt<4>("h08")) @[ifu_mem_ctl.scala 693:102] + node _T_5759 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_5760 = and(_T_5758, _T_5759) @[ifu_mem_ctl.scala 693:124] + node _T_5761 = or(_T_5757, _T_5760) @[ifu_mem_ctl.scala 693:81] + node _T_5762 = or(_T_5761, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5763 = bits(_T_5762, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5764 : UInt<1>, rvclkhdr_87.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5763 : @[Reg.scala 28:19] + _T_5764 <= _T_5754 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][8] <= _T_5764 @[ifu_mem_ctl.scala 692:41] + node _T_5765 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5766 = eq(_T_5765, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5767 = and(ic_valid_ff, _T_5766) @[ifu_mem_ctl.scala 692:97] + node _T_5768 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5769 = and(_T_5767, _T_5768) @[ifu_mem_ctl.scala 692:122] + node _T_5770 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[ifu_mem_ctl.scala 693:37] + node _T_5771 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_5772 = and(_T_5770, _T_5771) @[ifu_mem_ctl.scala 693:59] + node _T_5773 = eq(perr_ic_index_ff, UInt<4>("h09")) @[ifu_mem_ctl.scala 693:102] + node _T_5774 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_5775 = and(_T_5773, _T_5774) @[ifu_mem_ctl.scala 693:124] + node _T_5776 = or(_T_5772, _T_5775) @[ifu_mem_ctl.scala 693:81] + node _T_5777 = or(_T_5776, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5778 = bits(_T_5777, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5779 : UInt<1>, rvclkhdr_87.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5778 : @[Reg.scala 28:19] + _T_5779 <= _T_5769 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][9] <= _T_5779 @[ifu_mem_ctl.scala 692:41] + node _T_5780 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5781 = eq(_T_5780, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5782 = and(ic_valid_ff, _T_5781) @[ifu_mem_ctl.scala 692:97] + node _T_5783 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5784 = and(_T_5782, _T_5783) @[ifu_mem_ctl.scala 692:122] + node _T_5785 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[ifu_mem_ctl.scala 693:37] + node _T_5786 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_5787 = and(_T_5785, _T_5786) @[ifu_mem_ctl.scala 693:59] + node _T_5788 = eq(perr_ic_index_ff, UInt<4>("h0a")) @[ifu_mem_ctl.scala 693:102] + node _T_5789 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_5790 = and(_T_5788, _T_5789) @[ifu_mem_ctl.scala 693:124] + node _T_5791 = or(_T_5787, _T_5790) @[ifu_mem_ctl.scala 693:81] + node _T_5792 = or(_T_5791, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5793 = bits(_T_5792, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5794 : UInt<1>, rvclkhdr_87.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5793 : @[Reg.scala 28:19] + _T_5794 <= _T_5784 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][10] <= _T_5794 @[ifu_mem_ctl.scala 692:41] + node _T_5795 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5796 = eq(_T_5795, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5797 = and(ic_valid_ff, _T_5796) @[ifu_mem_ctl.scala 692:97] + node _T_5798 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5799 = and(_T_5797, _T_5798) @[ifu_mem_ctl.scala 692:122] + node _T_5800 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[ifu_mem_ctl.scala 693:37] + node _T_5801 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_5802 = and(_T_5800, _T_5801) @[ifu_mem_ctl.scala 693:59] + node _T_5803 = eq(perr_ic_index_ff, UInt<4>("h0b")) @[ifu_mem_ctl.scala 693:102] + node _T_5804 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_5805 = and(_T_5803, _T_5804) @[ifu_mem_ctl.scala 693:124] + node _T_5806 = or(_T_5802, _T_5805) @[ifu_mem_ctl.scala 693:81] + node _T_5807 = or(_T_5806, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5808 = bits(_T_5807, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5809 : UInt<1>, rvclkhdr_87.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5808 : @[Reg.scala 28:19] + _T_5809 <= _T_5799 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][11] <= _T_5809 @[ifu_mem_ctl.scala 692:41] + node _T_5810 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5811 = eq(_T_5810, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5812 = and(ic_valid_ff, _T_5811) @[ifu_mem_ctl.scala 692:97] + node _T_5813 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5814 = and(_T_5812, _T_5813) @[ifu_mem_ctl.scala 692:122] + node _T_5815 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[ifu_mem_ctl.scala 693:37] + node _T_5816 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_5817 = and(_T_5815, _T_5816) @[ifu_mem_ctl.scala 693:59] + node _T_5818 = eq(perr_ic_index_ff, UInt<4>("h0c")) @[ifu_mem_ctl.scala 693:102] + node _T_5819 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_5820 = and(_T_5818, _T_5819) @[ifu_mem_ctl.scala 693:124] + node _T_5821 = or(_T_5817, _T_5820) @[ifu_mem_ctl.scala 693:81] + node _T_5822 = or(_T_5821, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5823 = bits(_T_5822, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5824 : UInt<1>, rvclkhdr_87.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5823 : @[Reg.scala 28:19] + _T_5824 <= _T_5814 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][12] <= _T_5824 @[ifu_mem_ctl.scala 692:41] + node _T_5825 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5826 = eq(_T_5825, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5827 = and(ic_valid_ff, _T_5826) @[ifu_mem_ctl.scala 692:97] + node _T_5828 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5829 = and(_T_5827, _T_5828) @[ifu_mem_ctl.scala 692:122] + node _T_5830 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[ifu_mem_ctl.scala 693:37] + node _T_5831 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_5832 = and(_T_5830, _T_5831) @[ifu_mem_ctl.scala 693:59] + node _T_5833 = eq(perr_ic_index_ff, UInt<4>("h0d")) @[ifu_mem_ctl.scala 693:102] + node _T_5834 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_5835 = and(_T_5833, _T_5834) @[ifu_mem_ctl.scala 693:124] + node _T_5836 = or(_T_5832, _T_5835) @[ifu_mem_ctl.scala 693:81] + node _T_5837 = or(_T_5836, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5838 = bits(_T_5837, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5839 : UInt<1>, rvclkhdr_87.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5838 : @[Reg.scala 28:19] + _T_5839 <= _T_5829 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][13] <= _T_5839 @[ifu_mem_ctl.scala 692:41] + node _T_5840 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5841 = eq(_T_5840, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5842 = and(ic_valid_ff, _T_5841) @[ifu_mem_ctl.scala 692:97] + node _T_5843 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5844 = and(_T_5842, _T_5843) @[ifu_mem_ctl.scala 692:122] + node _T_5845 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[ifu_mem_ctl.scala 693:37] + node _T_5846 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_5847 = and(_T_5845, _T_5846) @[ifu_mem_ctl.scala 693:59] + node _T_5848 = eq(perr_ic_index_ff, UInt<4>("h0e")) @[ifu_mem_ctl.scala 693:102] + node _T_5849 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_5850 = and(_T_5848, _T_5849) @[ifu_mem_ctl.scala 693:124] + node _T_5851 = or(_T_5847, _T_5850) @[ifu_mem_ctl.scala 693:81] + node _T_5852 = or(_T_5851, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5853 = bits(_T_5852, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5854 : UInt<1>, rvclkhdr_87.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5853 : @[Reg.scala 28:19] + _T_5854 <= _T_5844 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][14] <= _T_5854 @[ifu_mem_ctl.scala 692:41] + node _T_5855 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5856 = eq(_T_5855, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5857 = and(ic_valid_ff, _T_5856) @[ifu_mem_ctl.scala 692:97] + node _T_5858 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5859 = and(_T_5857, _T_5858) @[ifu_mem_ctl.scala 692:122] + node _T_5860 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[ifu_mem_ctl.scala 693:37] + node _T_5861 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_5862 = and(_T_5860, _T_5861) @[ifu_mem_ctl.scala 693:59] + node _T_5863 = eq(perr_ic_index_ff, UInt<4>("h0f")) @[ifu_mem_ctl.scala 693:102] + node _T_5864 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_5865 = and(_T_5863, _T_5864) @[ifu_mem_ctl.scala 693:124] + node _T_5866 = or(_T_5862, _T_5865) @[ifu_mem_ctl.scala 693:81] + node _T_5867 = or(_T_5866, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5868 = bits(_T_5867, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5869 : UInt<1>, rvclkhdr_87.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5868 : @[Reg.scala 28:19] + _T_5869 <= _T_5859 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][15] <= _T_5869 @[ifu_mem_ctl.scala 692:41] + node _T_5870 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5871 = eq(_T_5870, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5872 = and(ic_valid_ff, _T_5871) @[ifu_mem_ctl.scala 692:97] + node _T_5873 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5874 = and(_T_5872, _T_5873) @[ifu_mem_ctl.scala 692:122] + node _T_5875 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[ifu_mem_ctl.scala 693:37] + node _T_5876 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_5877 = and(_T_5875, _T_5876) @[ifu_mem_ctl.scala 693:59] + node _T_5878 = eq(perr_ic_index_ff, UInt<5>("h010")) @[ifu_mem_ctl.scala 693:102] + node _T_5879 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_5880 = and(_T_5878, _T_5879) @[ifu_mem_ctl.scala 693:124] + node _T_5881 = or(_T_5877, _T_5880) @[ifu_mem_ctl.scala 693:81] + node _T_5882 = or(_T_5881, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5883 = bits(_T_5882, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5884 : UInt<1>, rvclkhdr_87.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5883 : @[Reg.scala 28:19] + _T_5884 <= _T_5874 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][16] <= _T_5884 @[ifu_mem_ctl.scala 692:41] + node _T_5885 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5886 = eq(_T_5885, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5887 = and(ic_valid_ff, _T_5886) @[ifu_mem_ctl.scala 692:97] + node _T_5888 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5889 = and(_T_5887, _T_5888) @[ifu_mem_ctl.scala 692:122] + node _T_5890 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[ifu_mem_ctl.scala 693:37] + node _T_5891 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_5892 = and(_T_5890, _T_5891) @[ifu_mem_ctl.scala 693:59] + node _T_5893 = eq(perr_ic_index_ff, UInt<5>("h011")) @[ifu_mem_ctl.scala 693:102] + node _T_5894 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_5895 = and(_T_5893, _T_5894) @[ifu_mem_ctl.scala 693:124] + node _T_5896 = or(_T_5892, _T_5895) @[ifu_mem_ctl.scala 693:81] + node _T_5897 = or(_T_5896, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5898 = bits(_T_5897, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5899 : UInt<1>, rvclkhdr_87.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5898 : @[Reg.scala 28:19] + _T_5899 <= _T_5889 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][17] <= _T_5899 @[ifu_mem_ctl.scala 692:41] + node _T_5900 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5901 = eq(_T_5900, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5902 = and(ic_valid_ff, _T_5901) @[ifu_mem_ctl.scala 692:97] + node _T_5903 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5904 = and(_T_5902, _T_5903) @[ifu_mem_ctl.scala 692:122] + node _T_5905 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[ifu_mem_ctl.scala 693:37] + node _T_5906 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_5907 = and(_T_5905, _T_5906) @[ifu_mem_ctl.scala 693:59] + node _T_5908 = eq(perr_ic_index_ff, UInt<5>("h012")) @[ifu_mem_ctl.scala 693:102] + node _T_5909 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_5910 = and(_T_5908, _T_5909) @[ifu_mem_ctl.scala 693:124] + node _T_5911 = or(_T_5907, _T_5910) @[ifu_mem_ctl.scala 693:81] + node _T_5912 = or(_T_5911, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5913 = bits(_T_5912, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5914 : UInt<1>, rvclkhdr_87.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5913 : @[Reg.scala 28:19] + _T_5914 <= _T_5904 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][18] <= _T_5914 @[ifu_mem_ctl.scala 692:41] + node _T_5915 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5916 = eq(_T_5915, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5917 = and(ic_valid_ff, _T_5916) @[ifu_mem_ctl.scala 692:97] + node _T_5918 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5919 = and(_T_5917, _T_5918) @[ifu_mem_ctl.scala 692:122] + node _T_5920 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[ifu_mem_ctl.scala 693:37] + node _T_5921 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_5922 = and(_T_5920, _T_5921) @[ifu_mem_ctl.scala 693:59] + node _T_5923 = eq(perr_ic_index_ff, UInt<5>("h013")) @[ifu_mem_ctl.scala 693:102] + node _T_5924 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_5925 = and(_T_5923, _T_5924) @[ifu_mem_ctl.scala 693:124] + node _T_5926 = or(_T_5922, _T_5925) @[ifu_mem_ctl.scala 693:81] + node _T_5927 = or(_T_5926, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5928 = bits(_T_5927, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5929 : UInt<1>, rvclkhdr_87.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5928 : @[Reg.scala 28:19] + _T_5929 <= _T_5919 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][19] <= _T_5929 @[ifu_mem_ctl.scala 692:41] + node _T_5930 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5931 = eq(_T_5930, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5932 = and(ic_valid_ff, _T_5931) @[ifu_mem_ctl.scala 692:97] + node _T_5933 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5934 = and(_T_5932, _T_5933) @[ifu_mem_ctl.scala 692:122] + node _T_5935 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[ifu_mem_ctl.scala 693:37] + node _T_5936 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_5937 = and(_T_5935, _T_5936) @[ifu_mem_ctl.scala 693:59] + node _T_5938 = eq(perr_ic_index_ff, UInt<5>("h014")) @[ifu_mem_ctl.scala 693:102] + node _T_5939 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_5940 = and(_T_5938, _T_5939) @[ifu_mem_ctl.scala 693:124] + node _T_5941 = or(_T_5937, _T_5940) @[ifu_mem_ctl.scala 693:81] + node _T_5942 = or(_T_5941, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5943 = bits(_T_5942, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5944 : UInt<1>, rvclkhdr_87.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5943 : @[Reg.scala 28:19] + _T_5944 <= _T_5934 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][20] <= _T_5944 @[ifu_mem_ctl.scala 692:41] + node _T_5945 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5946 = eq(_T_5945, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5947 = and(ic_valid_ff, _T_5946) @[ifu_mem_ctl.scala 692:97] + node _T_5948 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5949 = and(_T_5947, _T_5948) @[ifu_mem_ctl.scala 692:122] + node _T_5950 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[ifu_mem_ctl.scala 693:37] + node _T_5951 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_5952 = and(_T_5950, _T_5951) @[ifu_mem_ctl.scala 693:59] + node _T_5953 = eq(perr_ic_index_ff, UInt<5>("h015")) @[ifu_mem_ctl.scala 693:102] + node _T_5954 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_5955 = and(_T_5953, _T_5954) @[ifu_mem_ctl.scala 693:124] + node _T_5956 = or(_T_5952, _T_5955) @[ifu_mem_ctl.scala 693:81] + node _T_5957 = or(_T_5956, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5958 = bits(_T_5957, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5959 : UInt<1>, rvclkhdr_87.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5958 : @[Reg.scala 28:19] + _T_5959 <= _T_5949 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][21] <= _T_5959 @[ifu_mem_ctl.scala 692:41] + node _T_5960 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5961 = eq(_T_5960, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5962 = and(ic_valid_ff, _T_5961) @[ifu_mem_ctl.scala 692:97] + node _T_5963 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5964 = and(_T_5962, _T_5963) @[ifu_mem_ctl.scala 692:122] + node _T_5965 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[ifu_mem_ctl.scala 693:37] + node _T_5966 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_5967 = and(_T_5965, _T_5966) @[ifu_mem_ctl.scala 693:59] + node _T_5968 = eq(perr_ic_index_ff, UInt<5>("h016")) @[ifu_mem_ctl.scala 693:102] + node _T_5969 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_5970 = and(_T_5968, _T_5969) @[ifu_mem_ctl.scala 693:124] + node _T_5971 = or(_T_5967, _T_5970) @[ifu_mem_ctl.scala 693:81] + node _T_5972 = or(_T_5971, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5973 = bits(_T_5972, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5974 : UInt<1>, rvclkhdr_87.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5973 : @[Reg.scala 28:19] + _T_5974 <= _T_5964 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][22] <= _T_5974 @[ifu_mem_ctl.scala 692:41] + node _T_5975 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5976 = eq(_T_5975, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5977 = and(ic_valid_ff, _T_5976) @[ifu_mem_ctl.scala 692:97] + node _T_5978 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5979 = and(_T_5977, _T_5978) @[ifu_mem_ctl.scala 692:122] + node _T_5980 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[ifu_mem_ctl.scala 693:37] + node _T_5981 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_5982 = and(_T_5980, _T_5981) @[ifu_mem_ctl.scala 693:59] + node _T_5983 = eq(perr_ic_index_ff, UInt<5>("h017")) @[ifu_mem_ctl.scala 693:102] + node _T_5984 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_5985 = and(_T_5983, _T_5984) @[ifu_mem_ctl.scala 693:124] + node _T_5986 = or(_T_5982, _T_5985) @[ifu_mem_ctl.scala 693:81] + node _T_5987 = or(_T_5986, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_5988 = bits(_T_5987, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_5989 : UInt<1>, rvclkhdr_87.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_5988 : @[Reg.scala 28:19] + _T_5989 <= _T_5979 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][23] <= _T_5989 @[ifu_mem_ctl.scala 692:41] + node _T_5990 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_5991 = eq(_T_5990, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_5992 = and(ic_valid_ff, _T_5991) @[ifu_mem_ctl.scala 692:97] + node _T_5993 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_5994 = and(_T_5992, _T_5993) @[ifu_mem_ctl.scala 692:122] + node _T_5995 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[ifu_mem_ctl.scala 693:37] + node _T_5996 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_5997 = and(_T_5995, _T_5996) @[ifu_mem_ctl.scala 693:59] + node _T_5998 = eq(perr_ic_index_ff, UInt<5>("h018")) @[ifu_mem_ctl.scala 693:102] + node _T_5999 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_6000 = and(_T_5998, _T_5999) @[ifu_mem_ctl.scala 693:124] + node _T_6001 = or(_T_5997, _T_6000) @[ifu_mem_ctl.scala 693:81] + node _T_6002 = or(_T_6001, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6003 = bits(_T_6002, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6004 : UInt<1>, rvclkhdr_87.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6003 : @[Reg.scala 28:19] + _T_6004 <= _T_5994 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][24] <= _T_6004 @[ifu_mem_ctl.scala 692:41] + node _T_6005 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6006 = eq(_T_6005, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6007 = and(ic_valid_ff, _T_6006) @[ifu_mem_ctl.scala 692:97] + node _T_6008 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6009 = and(_T_6007, _T_6008) @[ifu_mem_ctl.scala 692:122] + node _T_6010 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[ifu_mem_ctl.scala 693:37] + node _T_6011 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_6012 = and(_T_6010, _T_6011) @[ifu_mem_ctl.scala 693:59] + node _T_6013 = eq(perr_ic_index_ff, UInt<5>("h019")) @[ifu_mem_ctl.scala 693:102] + node _T_6014 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_6015 = and(_T_6013, _T_6014) @[ifu_mem_ctl.scala 693:124] + node _T_6016 = or(_T_6012, _T_6015) @[ifu_mem_ctl.scala 693:81] + node _T_6017 = or(_T_6016, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6018 = bits(_T_6017, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6019 : UInt<1>, rvclkhdr_87.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6018 : @[Reg.scala 28:19] + _T_6019 <= _T_6009 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][25] <= _T_6019 @[ifu_mem_ctl.scala 692:41] + node _T_6020 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6021 = eq(_T_6020, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6022 = and(ic_valid_ff, _T_6021) @[ifu_mem_ctl.scala 692:97] + node _T_6023 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6024 = and(_T_6022, _T_6023) @[ifu_mem_ctl.scala 692:122] + node _T_6025 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[ifu_mem_ctl.scala 693:37] + node _T_6026 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_6027 = and(_T_6025, _T_6026) @[ifu_mem_ctl.scala 693:59] + node _T_6028 = eq(perr_ic_index_ff, UInt<5>("h01a")) @[ifu_mem_ctl.scala 693:102] + node _T_6029 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_6030 = and(_T_6028, _T_6029) @[ifu_mem_ctl.scala 693:124] + node _T_6031 = or(_T_6027, _T_6030) @[ifu_mem_ctl.scala 693:81] + node _T_6032 = or(_T_6031, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6033 = bits(_T_6032, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6034 : UInt<1>, rvclkhdr_87.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6033 : @[Reg.scala 28:19] + _T_6034 <= _T_6024 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][26] <= _T_6034 @[ifu_mem_ctl.scala 692:41] + node _T_6035 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6036 = eq(_T_6035, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6037 = and(ic_valid_ff, _T_6036) @[ifu_mem_ctl.scala 692:97] + node _T_6038 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6039 = and(_T_6037, _T_6038) @[ifu_mem_ctl.scala 692:122] + node _T_6040 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[ifu_mem_ctl.scala 693:37] + node _T_6041 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_6042 = and(_T_6040, _T_6041) @[ifu_mem_ctl.scala 693:59] + node _T_6043 = eq(perr_ic_index_ff, UInt<5>("h01b")) @[ifu_mem_ctl.scala 693:102] + node _T_6044 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_6045 = and(_T_6043, _T_6044) @[ifu_mem_ctl.scala 693:124] + node _T_6046 = or(_T_6042, _T_6045) @[ifu_mem_ctl.scala 693:81] + node _T_6047 = or(_T_6046, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6048 = bits(_T_6047, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6049 : UInt<1>, rvclkhdr_87.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6048 : @[Reg.scala 28:19] + _T_6049 <= _T_6039 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][27] <= _T_6049 @[ifu_mem_ctl.scala 692:41] + node _T_6050 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6051 = eq(_T_6050, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6052 = and(ic_valid_ff, _T_6051) @[ifu_mem_ctl.scala 692:97] + node _T_6053 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6054 = and(_T_6052, _T_6053) @[ifu_mem_ctl.scala 692:122] + node _T_6055 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[ifu_mem_ctl.scala 693:37] + node _T_6056 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_6057 = and(_T_6055, _T_6056) @[ifu_mem_ctl.scala 693:59] + node _T_6058 = eq(perr_ic_index_ff, UInt<5>("h01c")) @[ifu_mem_ctl.scala 693:102] + node _T_6059 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_6060 = and(_T_6058, _T_6059) @[ifu_mem_ctl.scala 693:124] + node _T_6061 = or(_T_6057, _T_6060) @[ifu_mem_ctl.scala 693:81] + node _T_6062 = or(_T_6061, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6063 = bits(_T_6062, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6064 : UInt<1>, rvclkhdr_87.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6063 : @[Reg.scala 28:19] + _T_6064 <= _T_6054 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][28] <= _T_6064 @[ifu_mem_ctl.scala 692:41] + node _T_6065 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6066 = eq(_T_6065, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6067 = and(ic_valid_ff, _T_6066) @[ifu_mem_ctl.scala 692:97] + node _T_6068 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6069 = and(_T_6067, _T_6068) @[ifu_mem_ctl.scala 692:122] + node _T_6070 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[ifu_mem_ctl.scala 693:37] + node _T_6071 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_6072 = and(_T_6070, _T_6071) @[ifu_mem_ctl.scala 693:59] + node _T_6073 = eq(perr_ic_index_ff, UInt<5>("h01d")) @[ifu_mem_ctl.scala 693:102] + node _T_6074 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_6075 = and(_T_6073, _T_6074) @[ifu_mem_ctl.scala 693:124] + node _T_6076 = or(_T_6072, _T_6075) @[ifu_mem_ctl.scala 693:81] + node _T_6077 = or(_T_6076, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6078 = bits(_T_6077, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6079 : UInt<1>, rvclkhdr_87.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6078 : @[Reg.scala 28:19] + _T_6079 <= _T_6069 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][29] <= _T_6079 @[ifu_mem_ctl.scala 692:41] + node _T_6080 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6081 = eq(_T_6080, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6082 = and(ic_valid_ff, _T_6081) @[ifu_mem_ctl.scala 692:97] + node _T_6083 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6084 = and(_T_6082, _T_6083) @[ifu_mem_ctl.scala 692:122] + node _T_6085 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[ifu_mem_ctl.scala 693:37] + node _T_6086 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_6087 = and(_T_6085, _T_6086) @[ifu_mem_ctl.scala 693:59] + node _T_6088 = eq(perr_ic_index_ff, UInt<5>("h01e")) @[ifu_mem_ctl.scala 693:102] + node _T_6089 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_6090 = and(_T_6088, _T_6089) @[ifu_mem_ctl.scala 693:124] + node _T_6091 = or(_T_6087, _T_6090) @[ifu_mem_ctl.scala 693:81] + node _T_6092 = or(_T_6091, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6093 = bits(_T_6092, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6094 : UInt<1>, rvclkhdr_87.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6093 : @[Reg.scala 28:19] + _T_6094 <= _T_6084 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][30] <= _T_6094 @[ifu_mem_ctl.scala 692:41] + node _T_6095 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6096 = eq(_T_6095, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6097 = and(ic_valid_ff, _T_6096) @[ifu_mem_ctl.scala 692:97] + node _T_6098 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6099 = and(_T_6097, _T_6098) @[ifu_mem_ctl.scala 692:122] + node _T_6100 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[ifu_mem_ctl.scala 693:37] + node _T_6101 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_6102 = and(_T_6100, _T_6101) @[ifu_mem_ctl.scala 693:59] + node _T_6103 = eq(perr_ic_index_ff, UInt<5>("h01f")) @[ifu_mem_ctl.scala 693:102] + node _T_6104 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_6105 = and(_T_6103, _T_6104) @[ifu_mem_ctl.scala 693:124] + node _T_6106 = or(_T_6102, _T_6105) @[ifu_mem_ctl.scala 693:81] + node _T_6107 = or(_T_6106, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6108 = bits(_T_6107, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6109 : UInt<1>, rvclkhdr_87.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6108 : @[Reg.scala 28:19] + _T_6109 <= _T_6099 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][31] <= _T_6109 @[ifu_mem_ctl.scala 692:41] + node _T_6110 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6111 = eq(_T_6110, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6112 = and(ic_valid_ff, _T_6111) @[ifu_mem_ctl.scala 692:97] + node _T_6113 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6114 = and(_T_6112, _T_6113) @[ifu_mem_ctl.scala 692:122] + node _T_6115 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[ifu_mem_ctl.scala 693:37] + node _T_6116 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_6117 = and(_T_6115, _T_6116) @[ifu_mem_ctl.scala 693:59] + node _T_6118 = eq(perr_ic_index_ff, UInt<6>("h020")) @[ifu_mem_ctl.scala 693:102] + node _T_6119 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_6120 = and(_T_6118, _T_6119) @[ifu_mem_ctl.scala 693:124] + node _T_6121 = or(_T_6117, _T_6120) @[ifu_mem_ctl.scala 693:81] + node _T_6122 = or(_T_6121, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6123 = bits(_T_6122, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6124 : UInt<1>, rvclkhdr_88.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6123 : @[Reg.scala 28:19] + _T_6124 <= _T_6114 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][32] <= _T_6124 @[ifu_mem_ctl.scala 692:41] + node _T_6125 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6126 = eq(_T_6125, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6127 = and(ic_valid_ff, _T_6126) @[ifu_mem_ctl.scala 692:97] + node _T_6128 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6129 = and(_T_6127, _T_6128) @[ifu_mem_ctl.scala 692:122] + node _T_6130 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[ifu_mem_ctl.scala 693:37] + node _T_6131 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_6132 = and(_T_6130, _T_6131) @[ifu_mem_ctl.scala 693:59] + node _T_6133 = eq(perr_ic_index_ff, UInt<6>("h021")) @[ifu_mem_ctl.scala 693:102] + node _T_6134 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_6135 = and(_T_6133, _T_6134) @[ifu_mem_ctl.scala 693:124] + node _T_6136 = or(_T_6132, _T_6135) @[ifu_mem_ctl.scala 693:81] + node _T_6137 = or(_T_6136, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6138 = bits(_T_6137, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6139 : UInt<1>, rvclkhdr_88.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6138 : @[Reg.scala 28:19] + _T_6139 <= _T_6129 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][33] <= _T_6139 @[ifu_mem_ctl.scala 692:41] + node _T_6140 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6141 = eq(_T_6140, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6142 = and(ic_valid_ff, _T_6141) @[ifu_mem_ctl.scala 692:97] + node _T_6143 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6144 = and(_T_6142, _T_6143) @[ifu_mem_ctl.scala 692:122] + node _T_6145 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[ifu_mem_ctl.scala 693:37] + node _T_6146 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_6147 = and(_T_6145, _T_6146) @[ifu_mem_ctl.scala 693:59] + node _T_6148 = eq(perr_ic_index_ff, UInt<6>("h022")) @[ifu_mem_ctl.scala 693:102] + node _T_6149 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_6150 = and(_T_6148, _T_6149) @[ifu_mem_ctl.scala 693:124] + node _T_6151 = or(_T_6147, _T_6150) @[ifu_mem_ctl.scala 693:81] + node _T_6152 = or(_T_6151, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6153 = bits(_T_6152, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6154 : UInt<1>, rvclkhdr_88.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6153 : @[Reg.scala 28:19] + _T_6154 <= _T_6144 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][34] <= _T_6154 @[ifu_mem_ctl.scala 692:41] + node _T_6155 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6156 = eq(_T_6155, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6157 = and(ic_valid_ff, _T_6156) @[ifu_mem_ctl.scala 692:97] + node _T_6158 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6159 = and(_T_6157, _T_6158) @[ifu_mem_ctl.scala 692:122] + node _T_6160 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[ifu_mem_ctl.scala 693:37] + node _T_6161 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_6162 = and(_T_6160, _T_6161) @[ifu_mem_ctl.scala 693:59] + node _T_6163 = eq(perr_ic_index_ff, UInt<6>("h023")) @[ifu_mem_ctl.scala 693:102] + node _T_6164 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_6165 = and(_T_6163, _T_6164) @[ifu_mem_ctl.scala 693:124] + node _T_6166 = or(_T_6162, _T_6165) @[ifu_mem_ctl.scala 693:81] + node _T_6167 = or(_T_6166, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6168 = bits(_T_6167, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6169 : UInt<1>, rvclkhdr_88.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6168 : @[Reg.scala 28:19] + _T_6169 <= _T_6159 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][35] <= _T_6169 @[ifu_mem_ctl.scala 692:41] + node _T_6170 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6171 = eq(_T_6170, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6172 = and(ic_valid_ff, _T_6171) @[ifu_mem_ctl.scala 692:97] + node _T_6173 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6174 = and(_T_6172, _T_6173) @[ifu_mem_ctl.scala 692:122] + node _T_6175 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[ifu_mem_ctl.scala 693:37] + node _T_6176 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_6177 = and(_T_6175, _T_6176) @[ifu_mem_ctl.scala 693:59] + node _T_6178 = eq(perr_ic_index_ff, UInt<6>("h024")) @[ifu_mem_ctl.scala 693:102] + node _T_6179 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_6180 = and(_T_6178, _T_6179) @[ifu_mem_ctl.scala 693:124] + node _T_6181 = or(_T_6177, _T_6180) @[ifu_mem_ctl.scala 693:81] + node _T_6182 = or(_T_6181, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6183 = bits(_T_6182, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6184 : UInt<1>, rvclkhdr_88.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6183 : @[Reg.scala 28:19] + _T_6184 <= _T_6174 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][36] <= _T_6184 @[ifu_mem_ctl.scala 692:41] + node _T_6185 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6186 = eq(_T_6185, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6187 = and(ic_valid_ff, _T_6186) @[ifu_mem_ctl.scala 692:97] + node _T_6188 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6189 = and(_T_6187, _T_6188) @[ifu_mem_ctl.scala 692:122] + node _T_6190 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[ifu_mem_ctl.scala 693:37] + node _T_6191 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_6192 = and(_T_6190, _T_6191) @[ifu_mem_ctl.scala 693:59] + node _T_6193 = eq(perr_ic_index_ff, UInt<6>("h025")) @[ifu_mem_ctl.scala 693:102] + node _T_6194 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_6195 = and(_T_6193, _T_6194) @[ifu_mem_ctl.scala 693:124] + node _T_6196 = or(_T_6192, _T_6195) @[ifu_mem_ctl.scala 693:81] + node _T_6197 = or(_T_6196, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6198 = bits(_T_6197, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6199 : UInt<1>, rvclkhdr_88.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6198 : @[Reg.scala 28:19] + _T_6199 <= _T_6189 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][37] <= _T_6199 @[ifu_mem_ctl.scala 692:41] + node _T_6200 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6201 = eq(_T_6200, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6202 = and(ic_valid_ff, _T_6201) @[ifu_mem_ctl.scala 692:97] + node _T_6203 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6204 = and(_T_6202, _T_6203) @[ifu_mem_ctl.scala 692:122] + node _T_6205 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[ifu_mem_ctl.scala 693:37] + node _T_6206 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_6207 = and(_T_6205, _T_6206) @[ifu_mem_ctl.scala 693:59] + node _T_6208 = eq(perr_ic_index_ff, UInt<6>("h026")) @[ifu_mem_ctl.scala 693:102] + node _T_6209 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_6210 = and(_T_6208, _T_6209) @[ifu_mem_ctl.scala 693:124] + node _T_6211 = or(_T_6207, _T_6210) @[ifu_mem_ctl.scala 693:81] + node _T_6212 = or(_T_6211, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6213 = bits(_T_6212, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6214 : UInt<1>, rvclkhdr_88.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6213 : @[Reg.scala 28:19] + _T_6214 <= _T_6204 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][38] <= _T_6214 @[ifu_mem_ctl.scala 692:41] + node _T_6215 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6216 = eq(_T_6215, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6217 = and(ic_valid_ff, _T_6216) @[ifu_mem_ctl.scala 692:97] + node _T_6218 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6219 = and(_T_6217, _T_6218) @[ifu_mem_ctl.scala 692:122] + node _T_6220 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[ifu_mem_ctl.scala 693:37] + node _T_6221 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_6222 = and(_T_6220, _T_6221) @[ifu_mem_ctl.scala 693:59] + node _T_6223 = eq(perr_ic_index_ff, UInt<6>("h027")) @[ifu_mem_ctl.scala 693:102] + node _T_6224 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_6225 = and(_T_6223, _T_6224) @[ifu_mem_ctl.scala 693:124] + node _T_6226 = or(_T_6222, _T_6225) @[ifu_mem_ctl.scala 693:81] + node _T_6227 = or(_T_6226, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6228 = bits(_T_6227, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6229 : UInt<1>, rvclkhdr_88.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6228 : @[Reg.scala 28:19] + _T_6229 <= _T_6219 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][39] <= _T_6229 @[ifu_mem_ctl.scala 692:41] + node _T_6230 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6231 = eq(_T_6230, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6232 = and(ic_valid_ff, _T_6231) @[ifu_mem_ctl.scala 692:97] + node _T_6233 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6234 = and(_T_6232, _T_6233) @[ifu_mem_ctl.scala 692:122] + node _T_6235 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[ifu_mem_ctl.scala 693:37] + node _T_6236 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_6237 = and(_T_6235, _T_6236) @[ifu_mem_ctl.scala 693:59] + node _T_6238 = eq(perr_ic_index_ff, UInt<6>("h028")) @[ifu_mem_ctl.scala 693:102] + node _T_6239 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_6240 = and(_T_6238, _T_6239) @[ifu_mem_ctl.scala 693:124] + node _T_6241 = or(_T_6237, _T_6240) @[ifu_mem_ctl.scala 693:81] + node _T_6242 = or(_T_6241, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6243 = bits(_T_6242, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6244 : UInt<1>, rvclkhdr_88.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6243 : @[Reg.scala 28:19] + _T_6244 <= _T_6234 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][40] <= _T_6244 @[ifu_mem_ctl.scala 692:41] + node _T_6245 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6246 = eq(_T_6245, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6247 = and(ic_valid_ff, _T_6246) @[ifu_mem_ctl.scala 692:97] + node _T_6248 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6249 = and(_T_6247, _T_6248) @[ifu_mem_ctl.scala 692:122] + node _T_6250 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[ifu_mem_ctl.scala 693:37] + node _T_6251 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_6252 = and(_T_6250, _T_6251) @[ifu_mem_ctl.scala 693:59] + node _T_6253 = eq(perr_ic_index_ff, UInt<6>("h029")) @[ifu_mem_ctl.scala 693:102] + node _T_6254 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_6255 = and(_T_6253, _T_6254) @[ifu_mem_ctl.scala 693:124] + node _T_6256 = or(_T_6252, _T_6255) @[ifu_mem_ctl.scala 693:81] + node _T_6257 = or(_T_6256, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6258 = bits(_T_6257, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6259 : UInt<1>, rvclkhdr_88.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6258 : @[Reg.scala 28:19] + _T_6259 <= _T_6249 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][41] <= _T_6259 @[ifu_mem_ctl.scala 692:41] + node _T_6260 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6261 = eq(_T_6260, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6262 = and(ic_valid_ff, _T_6261) @[ifu_mem_ctl.scala 692:97] + node _T_6263 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6264 = and(_T_6262, _T_6263) @[ifu_mem_ctl.scala 692:122] + node _T_6265 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[ifu_mem_ctl.scala 693:37] + node _T_6266 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_6267 = and(_T_6265, _T_6266) @[ifu_mem_ctl.scala 693:59] + node _T_6268 = eq(perr_ic_index_ff, UInt<6>("h02a")) @[ifu_mem_ctl.scala 693:102] + node _T_6269 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_6270 = and(_T_6268, _T_6269) @[ifu_mem_ctl.scala 693:124] + node _T_6271 = or(_T_6267, _T_6270) @[ifu_mem_ctl.scala 693:81] + node _T_6272 = or(_T_6271, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6273 = bits(_T_6272, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6274 : UInt<1>, rvclkhdr_88.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6273 : @[Reg.scala 28:19] + _T_6274 <= _T_6264 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][42] <= _T_6274 @[ifu_mem_ctl.scala 692:41] + node _T_6275 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6276 = eq(_T_6275, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6277 = and(ic_valid_ff, _T_6276) @[ifu_mem_ctl.scala 692:97] + node _T_6278 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6279 = and(_T_6277, _T_6278) @[ifu_mem_ctl.scala 692:122] + node _T_6280 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[ifu_mem_ctl.scala 693:37] + node _T_6281 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_6282 = and(_T_6280, _T_6281) @[ifu_mem_ctl.scala 693:59] + node _T_6283 = eq(perr_ic_index_ff, UInt<6>("h02b")) @[ifu_mem_ctl.scala 693:102] + node _T_6284 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_6285 = and(_T_6283, _T_6284) @[ifu_mem_ctl.scala 693:124] + node _T_6286 = or(_T_6282, _T_6285) @[ifu_mem_ctl.scala 693:81] + node _T_6287 = or(_T_6286, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6288 = bits(_T_6287, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6289 : UInt<1>, rvclkhdr_88.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6288 : @[Reg.scala 28:19] + _T_6289 <= _T_6279 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][43] <= _T_6289 @[ifu_mem_ctl.scala 692:41] + node _T_6290 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6291 = eq(_T_6290, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6292 = and(ic_valid_ff, _T_6291) @[ifu_mem_ctl.scala 692:97] + node _T_6293 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6294 = and(_T_6292, _T_6293) @[ifu_mem_ctl.scala 692:122] + node _T_6295 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[ifu_mem_ctl.scala 693:37] + node _T_6296 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_6297 = and(_T_6295, _T_6296) @[ifu_mem_ctl.scala 693:59] + node _T_6298 = eq(perr_ic_index_ff, UInt<6>("h02c")) @[ifu_mem_ctl.scala 693:102] + node _T_6299 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_6300 = and(_T_6298, _T_6299) @[ifu_mem_ctl.scala 693:124] + node _T_6301 = or(_T_6297, _T_6300) @[ifu_mem_ctl.scala 693:81] + node _T_6302 = or(_T_6301, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6303 = bits(_T_6302, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6304 : UInt<1>, rvclkhdr_88.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6303 : @[Reg.scala 28:19] + _T_6304 <= _T_6294 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][44] <= _T_6304 @[ifu_mem_ctl.scala 692:41] + node _T_6305 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6306 = eq(_T_6305, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6307 = and(ic_valid_ff, _T_6306) @[ifu_mem_ctl.scala 692:97] + node _T_6308 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6309 = and(_T_6307, _T_6308) @[ifu_mem_ctl.scala 692:122] + node _T_6310 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[ifu_mem_ctl.scala 693:37] + node _T_6311 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_6312 = and(_T_6310, _T_6311) @[ifu_mem_ctl.scala 693:59] + node _T_6313 = eq(perr_ic_index_ff, UInt<6>("h02d")) @[ifu_mem_ctl.scala 693:102] + node _T_6314 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_6315 = and(_T_6313, _T_6314) @[ifu_mem_ctl.scala 693:124] + node _T_6316 = or(_T_6312, _T_6315) @[ifu_mem_ctl.scala 693:81] + node _T_6317 = or(_T_6316, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6318 = bits(_T_6317, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6319 : UInt<1>, rvclkhdr_88.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6318 : @[Reg.scala 28:19] + _T_6319 <= _T_6309 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][45] <= _T_6319 @[ifu_mem_ctl.scala 692:41] + node _T_6320 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6321 = eq(_T_6320, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6322 = and(ic_valid_ff, _T_6321) @[ifu_mem_ctl.scala 692:97] + node _T_6323 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6324 = and(_T_6322, _T_6323) @[ifu_mem_ctl.scala 692:122] + node _T_6325 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[ifu_mem_ctl.scala 693:37] + node _T_6326 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_6327 = and(_T_6325, _T_6326) @[ifu_mem_ctl.scala 693:59] + node _T_6328 = eq(perr_ic_index_ff, UInt<6>("h02e")) @[ifu_mem_ctl.scala 693:102] + node _T_6329 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_6330 = and(_T_6328, _T_6329) @[ifu_mem_ctl.scala 693:124] + node _T_6331 = or(_T_6327, _T_6330) @[ifu_mem_ctl.scala 693:81] + node _T_6332 = or(_T_6331, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6333 = bits(_T_6332, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6334 : UInt<1>, rvclkhdr_88.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6333 : @[Reg.scala 28:19] + _T_6334 <= _T_6324 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][46] <= _T_6334 @[ifu_mem_ctl.scala 692:41] + node _T_6335 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6336 = eq(_T_6335, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6337 = and(ic_valid_ff, _T_6336) @[ifu_mem_ctl.scala 692:97] + node _T_6338 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6339 = and(_T_6337, _T_6338) @[ifu_mem_ctl.scala 692:122] + node _T_6340 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[ifu_mem_ctl.scala 693:37] + node _T_6341 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_6342 = and(_T_6340, _T_6341) @[ifu_mem_ctl.scala 693:59] + node _T_6343 = eq(perr_ic_index_ff, UInt<6>("h02f")) @[ifu_mem_ctl.scala 693:102] + node _T_6344 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_6345 = and(_T_6343, _T_6344) @[ifu_mem_ctl.scala 693:124] + node _T_6346 = or(_T_6342, _T_6345) @[ifu_mem_ctl.scala 693:81] + node _T_6347 = or(_T_6346, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6348 = bits(_T_6347, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6349 : UInt<1>, rvclkhdr_88.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6348 : @[Reg.scala 28:19] + _T_6349 <= _T_6339 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][47] <= _T_6349 @[ifu_mem_ctl.scala 692:41] + node _T_6350 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6351 = eq(_T_6350, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6352 = and(ic_valid_ff, _T_6351) @[ifu_mem_ctl.scala 692:97] + node _T_6353 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6354 = and(_T_6352, _T_6353) @[ifu_mem_ctl.scala 692:122] + node _T_6355 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[ifu_mem_ctl.scala 693:37] + node _T_6356 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_6357 = and(_T_6355, _T_6356) @[ifu_mem_ctl.scala 693:59] + node _T_6358 = eq(perr_ic_index_ff, UInt<6>("h030")) @[ifu_mem_ctl.scala 693:102] + node _T_6359 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_6360 = and(_T_6358, _T_6359) @[ifu_mem_ctl.scala 693:124] + node _T_6361 = or(_T_6357, _T_6360) @[ifu_mem_ctl.scala 693:81] + node _T_6362 = or(_T_6361, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6363 = bits(_T_6362, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6364 : UInt<1>, rvclkhdr_88.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6363 : @[Reg.scala 28:19] + _T_6364 <= _T_6354 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][48] <= _T_6364 @[ifu_mem_ctl.scala 692:41] + node _T_6365 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6366 = eq(_T_6365, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6367 = and(ic_valid_ff, _T_6366) @[ifu_mem_ctl.scala 692:97] + node _T_6368 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6369 = and(_T_6367, _T_6368) @[ifu_mem_ctl.scala 692:122] + node _T_6370 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[ifu_mem_ctl.scala 693:37] + node _T_6371 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_6372 = and(_T_6370, _T_6371) @[ifu_mem_ctl.scala 693:59] + node _T_6373 = eq(perr_ic_index_ff, UInt<6>("h031")) @[ifu_mem_ctl.scala 693:102] + node _T_6374 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_6375 = and(_T_6373, _T_6374) @[ifu_mem_ctl.scala 693:124] + node _T_6376 = or(_T_6372, _T_6375) @[ifu_mem_ctl.scala 693:81] + node _T_6377 = or(_T_6376, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6378 = bits(_T_6377, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6379 : UInt<1>, rvclkhdr_88.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6378 : @[Reg.scala 28:19] + _T_6379 <= _T_6369 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][49] <= _T_6379 @[ifu_mem_ctl.scala 692:41] + node _T_6380 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6381 = eq(_T_6380, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6382 = and(ic_valid_ff, _T_6381) @[ifu_mem_ctl.scala 692:97] + node _T_6383 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6384 = and(_T_6382, _T_6383) @[ifu_mem_ctl.scala 692:122] + node _T_6385 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[ifu_mem_ctl.scala 693:37] + node _T_6386 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_6387 = and(_T_6385, _T_6386) @[ifu_mem_ctl.scala 693:59] + node _T_6388 = eq(perr_ic_index_ff, UInt<6>("h032")) @[ifu_mem_ctl.scala 693:102] + node _T_6389 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_6390 = and(_T_6388, _T_6389) @[ifu_mem_ctl.scala 693:124] + node _T_6391 = or(_T_6387, _T_6390) @[ifu_mem_ctl.scala 693:81] + node _T_6392 = or(_T_6391, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6393 = bits(_T_6392, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6394 : UInt<1>, rvclkhdr_88.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6393 : @[Reg.scala 28:19] + _T_6394 <= _T_6384 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][50] <= _T_6394 @[ifu_mem_ctl.scala 692:41] + node _T_6395 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6396 = eq(_T_6395, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6397 = and(ic_valid_ff, _T_6396) @[ifu_mem_ctl.scala 692:97] + node _T_6398 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6399 = and(_T_6397, _T_6398) @[ifu_mem_ctl.scala 692:122] + node _T_6400 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[ifu_mem_ctl.scala 693:37] + node _T_6401 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_6402 = and(_T_6400, _T_6401) @[ifu_mem_ctl.scala 693:59] + node _T_6403 = eq(perr_ic_index_ff, UInt<6>("h033")) @[ifu_mem_ctl.scala 693:102] + node _T_6404 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_6405 = and(_T_6403, _T_6404) @[ifu_mem_ctl.scala 693:124] + node _T_6406 = or(_T_6402, _T_6405) @[ifu_mem_ctl.scala 693:81] + node _T_6407 = or(_T_6406, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6408 = bits(_T_6407, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6409 : UInt<1>, rvclkhdr_88.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6408 : @[Reg.scala 28:19] + _T_6409 <= _T_6399 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][51] <= _T_6409 @[ifu_mem_ctl.scala 692:41] + node _T_6410 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6411 = eq(_T_6410, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6412 = and(ic_valid_ff, _T_6411) @[ifu_mem_ctl.scala 692:97] + node _T_6413 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6414 = and(_T_6412, _T_6413) @[ifu_mem_ctl.scala 692:122] + node _T_6415 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[ifu_mem_ctl.scala 693:37] + node _T_6416 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_6417 = and(_T_6415, _T_6416) @[ifu_mem_ctl.scala 693:59] + node _T_6418 = eq(perr_ic_index_ff, UInt<6>("h034")) @[ifu_mem_ctl.scala 693:102] + node _T_6419 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_6420 = and(_T_6418, _T_6419) @[ifu_mem_ctl.scala 693:124] + node _T_6421 = or(_T_6417, _T_6420) @[ifu_mem_ctl.scala 693:81] + node _T_6422 = or(_T_6421, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6423 = bits(_T_6422, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6424 : UInt<1>, rvclkhdr_88.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6423 : @[Reg.scala 28:19] + _T_6424 <= _T_6414 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][52] <= _T_6424 @[ifu_mem_ctl.scala 692:41] + node _T_6425 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6426 = eq(_T_6425, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6427 = and(ic_valid_ff, _T_6426) @[ifu_mem_ctl.scala 692:97] + node _T_6428 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6429 = and(_T_6427, _T_6428) @[ifu_mem_ctl.scala 692:122] + node _T_6430 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[ifu_mem_ctl.scala 693:37] + node _T_6431 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_6432 = and(_T_6430, _T_6431) @[ifu_mem_ctl.scala 693:59] + node _T_6433 = eq(perr_ic_index_ff, UInt<6>("h035")) @[ifu_mem_ctl.scala 693:102] + node _T_6434 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_6435 = and(_T_6433, _T_6434) @[ifu_mem_ctl.scala 693:124] + node _T_6436 = or(_T_6432, _T_6435) @[ifu_mem_ctl.scala 693:81] + node _T_6437 = or(_T_6436, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6438 = bits(_T_6437, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6439 : UInt<1>, rvclkhdr_88.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6438 : @[Reg.scala 28:19] + _T_6439 <= _T_6429 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][53] <= _T_6439 @[ifu_mem_ctl.scala 692:41] + node _T_6440 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6441 = eq(_T_6440, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6442 = and(ic_valid_ff, _T_6441) @[ifu_mem_ctl.scala 692:97] + node _T_6443 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6444 = and(_T_6442, _T_6443) @[ifu_mem_ctl.scala 692:122] + node _T_6445 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[ifu_mem_ctl.scala 693:37] + node _T_6446 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_6447 = and(_T_6445, _T_6446) @[ifu_mem_ctl.scala 693:59] + node _T_6448 = eq(perr_ic_index_ff, UInt<6>("h036")) @[ifu_mem_ctl.scala 693:102] + node _T_6449 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_6450 = and(_T_6448, _T_6449) @[ifu_mem_ctl.scala 693:124] + node _T_6451 = or(_T_6447, _T_6450) @[ifu_mem_ctl.scala 693:81] + node _T_6452 = or(_T_6451, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6453 = bits(_T_6452, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6454 : UInt<1>, rvclkhdr_88.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6453 : @[Reg.scala 28:19] + _T_6454 <= _T_6444 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][54] <= _T_6454 @[ifu_mem_ctl.scala 692:41] + node _T_6455 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6456 = eq(_T_6455, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6457 = and(ic_valid_ff, _T_6456) @[ifu_mem_ctl.scala 692:97] + node _T_6458 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6459 = and(_T_6457, _T_6458) @[ifu_mem_ctl.scala 692:122] + node _T_6460 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[ifu_mem_ctl.scala 693:37] + node _T_6461 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_6462 = and(_T_6460, _T_6461) @[ifu_mem_ctl.scala 693:59] + node _T_6463 = eq(perr_ic_index_ff, UInt<6>("h037")) @[ifu_mem_ctl.scala 693:102] + node _T_6464 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_6465 = and(_T_6463, _T_6464) @[ifu_mem_ctl.scala 693:124] + node _T_6466 = or(_T_6462, _T_6465) @[ifu_mem_ctl.scala 693:81] + node _T_6467 = or(_T_6466, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6468 = bits(_T_6467, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6469 : UInt<1>, rvclkhdr_88.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6468 : @[Reg.scala 28:19] + _T_6469 <= _T_6459 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][55] <= _T_6469 @[ifu_mem_ctl.scala 692:41] + node _T_6470 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6471 = eq(_T_6470, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6472 = and(ic_valid_ff, _T_6471) @[ifu_mem_ctl.scala 692:97] + node _T_6473 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6474 = and(_T_6472, _T_6473) @[ifu_mem_ctl.scala 692:122] + node _T_6475 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[ifu_mem_ctl.scala 693:37] + node _T_6476 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_6477 = and(_T_6475, _T_6476) @[ifu_mem_ctl.scala 693:59] + node _T_6478 = eq(perr_ic_index_ff, UInt<6>("h038")) @[ifu_mem_ctl.scala 693:102] + node _T_6479 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_6480 = and(_T_6478, _T_6479) @[ifu_mem_ctl.scala 693:124] + node _T_6481 = or(_T_6477, _T_6480) @[ifu_mem_ctl.scala 693:81] + node _T_6482 = or(_T_6481, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6483 = bits(_T_6482, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6484 : UInt<1>, rvclkhdr_88.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6483 : @[Reg.scala 28:19] + _T_6484 <= _T_6474 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][56] <= _T_6484 @[ifu_mem_ctl.scala 692:41] + node _T_6485 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6486 = eq(_T_6485, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6487 = and(ic_valid_ff, _T_6486) @[ifu_mem_ctl.scala 692:97] + node _T_6488 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6489 = and(_T_6487, _T_6488) @[ifu_mem_ctl.scala 692:122] + node _T_6490 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[ifu_mem_ctl.scala 693:37] + node _T_6491 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_6492 = and(_T_6490, _T_6491) @[ifu_mem_ctl.scala 693:59] + node _T_6493 = eq(perr_ic_index_ff, UInt<6>("h039")) @[ifu_mem_ctl.scala 693:102] + node _T_6494 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_6495 = and(_T_6493, _T_6494) @[ifu_mem_ctl.scala 693:124] + node _T_6496 = or(_T_6492, _T_6495) @[ifu_mem_ctl.scala 693:81] + node _T_6497 = or(_T_6496, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6498 = bits(_T_6497, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6499 : UInt<1>, rvclkhdr_88.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6498 : @[Reg.scala 28:19] + _T_6499 <= _T_6489 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][57] <= _T_6499 @[ifu_mem_ctl.scala 692:41] + node _T_6500 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6501 = eq(_T_6500, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6502 = and(ic_valid_ff, _T_6501) @[ifu_mem_ctl.scala 692:97] + node _T_6503 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6504 = and(_T_6502, _T_6503) @[ifu_mem_ctl.scala 692:122] + node _T_6505 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[ifu_mem_ctl.scala 693:37] + node _T_6506 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_6507 = and(_T_6505, _T_6506) @[ifu_mem_ctl.scala 693:59] + node _T_6508 = eq(perr_ic_index_ff, UInt<6>("h03a")) @[ifu_mem_ctl.scala 693:102] + node _T_6509 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_6510 = and(_T_6508, _T_6509) @[ifu_mem_ctl.scala 693:124] + node _T_6511 = or(_T_6507, _T_6510) @[ifu_mem_ctl.scala 693:81] + node _T_6512 = or(_T_6511, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6513 = bits(_T_6512, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6514 : UInt<1>, rvclkhdr_88.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6513 : @[Reg.scala 28:19] + _T_6514 <= _T_6504 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][58] <= _T_6514 @[ifu_mem_ctl.scala 692:41] + node _T_6515 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6516 = eq(_T_6515, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6517 = and(ic_valid_ff, _T_6516) @[ifu_mem_ctl.scala 692:97] + node _T_6518 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6519 = and(_T_6517, _T_6518) @[ifu_mem_ctl.scala 692:122] + node _T_6520 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[ifu_mem_ctl.scala 693:37] + node _T_6521 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_6522 = and(_T_6520, _T_6521) @[ifu_mem_ctl.scala 693:59] + node _T_6523 = eq(perr_ic_index_ff, UInt<6>("h03b")) @[ifu_mem_ctl.scala 693:102] + node _T_6524 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_6525 = and(_T_6523, _T_6524) @[ifu_mem_ctl.scala 693:124] + node _T_6526 = or(_T_6522, _T_6525) @[ifu_mem_ctl.scala 693:81] + node _T_6527 = or(_T_6526, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6528 = bits(_T_6527, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6529 : UInt<1>, rvclkhdr_88.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6528 : @[Reg.scala 28:19] + _T_6529 <= _T_6519 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][59] <= _T_6529 @[ifu_mem_ctl.scala 692:41] + node _T_6530 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6531 = eq(_T_6530, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6532 = and(ic_valid_ff, _T_6531) @[ifu_mem_ctl.scala 692:97] + node _T_6533 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6534 = and(_T_6532, _T_6533) @[ifu_mem_ctl.scala 692:122] + node _T_6535 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[ifu_mem_ctl.scala 693:37] + node _T_6536 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_6537 = and(_T_6535, _T_6536) @[ifu_mem_ctl.scala 693:59] + node _T_6538 = eq(perr_ic_index_ff, UInt<6>("h03c")) @[ifu_mem_ctl.scala 693:102] + node _T_6539 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_6540 = and(_T_6538, _T_6539) @[ifu_mem_ctl.scala 693:124] + node _T_6541 = or(_T_6537, _T_6540) @[ifu_mem_ctl.scala 693:81] + node _T_6542 = or(_T_6541, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6543 = bits(_T_6542, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6544 : UInt<1>, rvclkhdr_88.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6543 : @[Reg.scala 28:19] + _T_6544 <= _T_6534 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][60] <= _T_6544 @[ifu_mem_ctl.scala 692:41] + node _T_6545 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6546 = eq(_T_6545, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6547 = and(ic_valid_ff, _T_6546) @[ifu_mem_ctl.scala 692:97] + node _T_6548 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6549 = and(_T_6547, _T_6548) @[ifu_mem_ctl.scala 692:122] + node _T_6550 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[ifu_mem_ctl.scala 693:37] + node _T_6551 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_6552 = and(_T_6550, _T_6551) @[ifu_mem_ctl.scala 693:59] + node _T_6553 = eq(perr_ic_index_ff, UInt<6>("h03d")) @[ifu_mem_ctl.scala 693:102] + node _T_6554 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_6555 = and(_T_6553, _T_6554) @[ifu_mem_ctl.scala 693:124] + node _T_6556 = or(_T_6552, _T_6555) @[ifu_mem_ctl.scala 693:81] + node _T_6557 = or(_T_6556, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6558 = bits(_T_6557, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6559 : UInt<1>, rvclkhdr_88.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6558 : @[Reg.scala 28:19] + _T_6559 <= _T_6549 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][61] <= _T_6559 @[ifu_mem_ctl.scala 692:41] + node _T_6560 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6561 = eq(_T_6560, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6562 = and(ic_valid_ff, _T_6561) @[ifu_mem_ctl.scala 692:97] + node _T_6563 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6564 = and(_T_6562, _T_6563) @[ifu_mem_ctl.scala 692:122] + node _T_6565 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[ifu_mem_ctl.scala 693:37] + node _T_6566 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_6567 = and(_T_6565, _T_6566) @[ifu_mem_ctl.scala 693:59] + node _T_6568 = eq(perr_ic_index_ff, UInt<6>("h03e")) @[ifu_mem_ctl.scala 693:102] + node _T_6569 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_6570 = and(_T_6568, _T_6569) @[ifu_mem_ctl.scala 693:124] + node _T_6571 = or(_T_6567, _T_6570) @[ifu_mem_ctl.scala 693:81] + node _T_6572 = or(_T_6571, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6573 = bits(_T_6572, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6574 : UInt<1>, rvclkhdr_88.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6573 : @[Reg.scala 28:19] + _T_6574 <= _T_6564 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][62] <= _T_6574 @[ifu_mem_ctl.scala 692:41] + node _T_6575 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6576 = eq(_T_6575, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6577 = and(ic_valid_ff, _T_6576) @[ifu_mem_ctl.scala 692:97] + node _T_6578 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6579 = and(_T_6577, _T_6578) @[ifu_mem_ctl.scala 692:122] + node _T_6580 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[ifu_mem_ctl.scala 693:37] + node _T_6581 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_6582 = and(_T_6580, _T_6581) @[ifu_mem_ctl.scala 693:59] + node _T_6583 = eq(perr_ic_index_ff, UInt<6>("h03f")) @[ifu_mem_ctl.scala 693:102] + node _T_6584 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_6585 = and(_T_6583, _T_6584) @[ifu_mem_ctl.scala 693:124] + node _T_6586 = or(_T_6582, _T_6585) @[ifu_mem_ctl.scala 693:81] + node _T_6587 = or(_T_6586, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6588 = bits(_T_6587, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6589 : UInt<1>, rvclkhdr_88.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6588 : @[Reg.scala 28:19] + _T_6589 <= _T_6579 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][63] <= _T_6589 @[ifu_mem_ctl.scala 692:41] + node _T_6590 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6591 = eq(_T_6590, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6592 = and(ic_valid_ff, _T_6591) @[ifu_mem_ctl.scala 692:97] + node _T_6593 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6594 = and(_T_6592, _T_6593) @[ifu_mem_ctl.scala 692:122] + node _T_6595 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[ifu_mem_ctl.scala 693:37] + node _T_6596 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_6597 = and(_T_6595, _T_6596) @[ifu_mem_ctl.scala 693:59] + node _T_6598 = eq(perr_ic_index_ff, UInt<6>("h020")) @[ifu_mem_ctl.scala 693:102] + node _T_6599 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_6600 = and(_T_6598, _T_6599) @[ifu_mem_ctl.scala 693:124] + node _T_6601 = or(_T_6597, _T_6600) @[ifu_mem_ctl.scala 693:81] + node _T_6602 = or(_T_6601, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6603 = bits(_T_6602, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6604 : UInt<1>, rvclkhdr_89.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6603 : @[Reg.scala 28:19] + _T_6604 <= _T_6594 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][32] <= _T_6604 @[ifu_mem_ctl.scala 692:41] + node _T_6605 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6606 = eq(_T_6605, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6607 = and(ic_valid_ff, _T_6606) @[ifu_mem_ctl.scala 692:97] + node _T_6608 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6609 = and(_T_6607, _T_6608) @[ifu_mem_ctl.scala 692:122] + node _T_6610 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[ifu_mem_ctl.scala 693:37] + node _T_6611 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_6612 = and(_T_6610, _T_6611) @[ifu_mem_ctl.scala 693:59] + node _T_6613 = eq(perr_ic_index_ff, UInt<6>("h021")) @[ifu_mem_ctl.scala 693:102] + node _T_6614 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_6615 = and(_T_6613, _T_6614) @[ifu_mem_ctl.scala 693:124] + node _T_6616 = or(_T_6612, _T_6615) @[ifu_mem_ctl.scala 693:81] + node _T_6617 = or(_T_6616, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6618 = bits(_T_6617, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6619 : UInt<1>, rvclkhdr_89.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6618 : @[Reg.scala 28:19] + _T_6619 <= _T_6609 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][33] <= _T_6619 @[ifu_mem_ctl.scala 692:41] + node _T_6620 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6621 = eq(_T_6620, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6622 = and(ic_valid_ff, _T_6621) @[ifu_mem_ctl.scala 692:97] + node _T_6623 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6624 = and(_T_6622, _T_6623) @[ifu_mem_ctl.scala 692:122] + node _T_6625 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[ifu_mem_ctl.scala 693:37] + node _T_6626 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_6627 = and(_T_6625, _T_6626) @[ifu_mem_ctl.scala 693:59] + node _T_6628 = eq(perr_ic_index_ff, UInt<6>("h022")) @[ifu_mem_ctl.scala 693:102] + node _T_6629 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_6630 = and(_T_6628, _T_6629) @[ifu_mem_ctl.scala 693:124] + node _T_6631 = or(_T_6627, _T_6630) @[ifu_mem_ctl.scala 693:81] + node _T_6632 = or(_T_6631, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6633 = bits(_T_6632, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6634 : UInt<1>, rvclkhdr_89.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6633 : @[Reg.scala 28:19] + _T_6634 <= _T_6624 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][34] <= _T_6634 @[ifu_mem_ctl.scala 692:41] + node _T_6635 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6636 = eq(_T_6635, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6637 = and(ic_valid_ff, _T_6636) @[ifu_mem_ctl.scala 692:97] + node _T_6638 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6639 = and(_T_6637, _T_6638) @[ifu_mem_ctl.scala 692:122] + node _T_6640 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[ifu_mem_ctl.scala 693:37] + node _T_6641 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_6642 = and(_T_6640, _T_6641) @[ifu_mem_ctl.scala 693:59] + node _T_6643 = eq(perr_ic_index_ff, UInt<6>("h023")) @[ifu_mem_ctl.scala 693:102] + node _T_6644 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_6645 = and(_T_6643, _T_6644) @[ifu_mem_ctl.scala 693:124] + node _T_6646 = or(_T_6642, _T_6645) @[ifu_mem_ctl.scala 693:81] + node _T_6647 = or(_T_6646, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6648 = bits(_T_6647, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6649 : UInt<1>, rvclkhdr_89.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6648 : @[Reg.scala 28:19] + _T_6649 <= _T_6639 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][35] <= _T_6649 @[ifu_mem_ctl.scala 692:41] + node _T_6650 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6651 = eq(_T_6650, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6652 = and(ic_valid_ff, _T_6651) @[ifu_mem_ctl.scala 692:97] + node _T_6653 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6654 = and(_T_6652, _T_6653) @[ifu_mem_ctl.scala 692:122] + node _T_6655 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[ifu_mem_ctl.scala 693:37] + node _T_6656 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_6657 = and(_T_6655, _T_6656) @[ifu_mem_ctl.scala 693:59] + node _T_6658 = eq(perr_ic_index_ff, UInt<6>("h024")) @[ifu_mem_ctl.scala 693:102] + node _T_6659 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_6660 = and(_T_6658, _T_6659) @[ifu_mem_ctl.scala 693:124] + node _T_6661 = or(_T_6657, _T_6660) @[ifu_mem_ctl.scala 693:81] + node _T_6662 = or(_T_6661, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6663 = bits(_T_6662, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6664 : UInt<1>, rvclkhdr_89.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6663 : @[Reg.scala 28:19] + _T_6664 <= _T_6654 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][36] <= _T_6664 @[ifu_mem_ctl.scala 692:41] + node _T_6665 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6666 = eq(_T_6665, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6667 = and(ic_valid_ff, _T_6666) @[ifu_mem_ctl.scala 692:97] + node _T_6668 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6669 = and(_T_6667, _T_6668) @[ifu_mem_ctl.scala 692:122] + node _T_6670 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[ifu_mem_ctl.scala 693:37] + node _T_6671 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_6672 = and(_T_6670, _T_6671) @[ifu_mem_ctl.scala 693:59] + node _T_6673 = eq(perr_ic_index_ff, UInt<6>("h025")) @[ifu_mem_ctl.scala 693:102] + node _T_6674 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_6675 = and(_T_6673, _T_6674) @[ifu_mem_ctl.scala 693:124] + node _T_6676 = or(_T_6672, _T_6675) @[ifu_mem_ctl.scala 693:81] + node _T_6677 = or(_T_6676, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6678 = bits(_T_6677, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6679 : UInt<1>, rvclkhdr_89.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6678 : @[Reg.scala 28:19] + _T_6679 <= _T_6669 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][37] <= _T_6679 @[ifu_mem_ctl.scala 692:41] + node _T_6680 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6681 = eq(_T_6680, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6682 = and(ic_valid_ff, _T_6681) @[ifu_mem_ctl.scala 692:97] + node _T_6683 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6684 = and(_T_6682, _T_6683) @[ifu_mem_ctl.scala 692:122] + node _T_6685 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[ifu_mem_ctl.scala 693:37] + node _T_6686 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_6687 = and(_T_6685, _T_6686) @[ifu_mem_ctl.scala 693:59] + node _T_6688 = eq(perr_ic_index_ff, UInt<6>("h026")) @[ifu_mem_ctl.scala 693:102] + node _T_6689 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_6690 = and(_T_6688, _T_6689) @[ifu_mem_ctl.scala 693:124] + node _T_6691 = or(_T_6687, _T_6690) @[ifu_mem_ctl.scala 693:81] + node _T_6692 = or(_T_6691, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6693 = bits(_T_6692, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6694 : UInt<1>, rvclkhdr_89.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6693 : @[Reg.scala 28:19] + _T_6694 <= _T_6684 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][38] <= _T_6694 @[ifu_mem_ctl.scala 692:41] + node _T_6695 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6696 = eq(_T_6695, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6697 = and(ic_valid_ff, _T_6696) @[ifu_mem_ctl.scala 692:97] + node _T_6698 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6699 = and(_T_6697, _T_6698) @[ifu_mem_ctl.scala 692:122] + node _T_6700 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[ifu_mem_ctl.scala 693:37] + node _T_6701 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_6702 = and(_T_6700, _T_6701) @[ifu_mem_ctl.scala 693:59] + node _T_6703 = eq(perr_ic_index_ff, UInt<6>("h027")) @[ifu_mem_ctl.scala 693:102] + node _T_6704 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_6705 = and(_T_6703, _T_6704) @[ifu_mem_ctl.scala 693:124] + node _T_6706 = or(_T_6702, _T_6705) @[ifu_mem_ctl.scala 693:81] + node _T_6707 = or(_T_6706, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6708 = bits(_T_6707, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6709 : UInt<1>, rvclkhdr_89.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6708 : @[Reg.scala 28:19] + _T_6709 <= _T_6699 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][39] <= _T_6709 @[ifu_mem_ctl.scala 692:41] + node _T_6710 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6711 = eq(_T_6710, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6712 = and(ic_valid_ff, _T_6711) @[ifu_mem_ctl.scala 692:97] + node _T_6713 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6714 = and(_T_6712, _T_6713) @[ifu_mem_ctl.scala 692:122] + node _T_6715 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[ifu_mem_ctl.scala 693:37] + node _T_6716 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_6717 = and(_T_6715, _T_6716) @[ifu_mem_ctl.scala 693:59] + node _T_6718 = eq(perr_ic_index_ff, UInt<6>("h028")) @[ifu_mem_ctl.scala 693:102] + node _T_6719 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_6720 = and(_T_6718, _T_6719) @[ifu_mem_ctl.scala 693:124] + node _T_6721 = or(_T_6717, _T_6720) @[ifu_mem_ctl.scala 693:81] + node _T_6722 = or(_T_6721, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6723 = bits(_T_6722, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6724 : UInt<1>, rvclkhdr_89.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6723 : @[Reg.scala 28:19] + _T_6724 <= _T_6714 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][40] <= _T_6724 @[ifu_mem_ctl.scala 692:41] + node _T_6725 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6726 = eq(_T_6725, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6727 = and(ic_valid_ff, _T_6726) @[ifu_mem_ctl.scala 692:97] + node _T_6728 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6729 = and(_T_6727, _T_6728) @[ifu_mem_ctl.scala 692:122] + node _T_6730 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[ifu_mem_ctl.scala 693:37] + node _T_6731 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_6732 = and(_T_6730, _T_6731) @[ifu_mem_ctl.scala 693:59] + node _T_6733 = eq(perr_ic_index_ff, UInt<6>("h029")) @[ifu_mem_ctl.scala 693:102] + node _T_6734 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_6735 = and(_T_6733, _T_6734) @[ifu_mem_ctl.scala 693:124] + node _T_6736 = or(_T_6732, _T_6735) @[ifu_mem_ctl.scala 693:81] + node _T_6737 = or(_T_6736, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6738 = bits(_T_6737, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6739 : UInt<1>, rvclkhdr_89.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6738 : @[Reg.scala 28:19] + _T_6739 <= _T_6729 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][41] <= _T_6739 @[ifu_mem_ctl.scala 692:41] + node _T_6740 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6741 = eq(_T_6740, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6742 = and(ic_valid_ff, _T_6741) @[ifu_mem_ctl.scala 692:97] + node _T_6743 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6744 = and(_T_6742, _T_6743) @[ifu_mem_ctl.scala 692:122] + node _T_6745 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[ifu_mem_ctl.scala 693:37] + node _T_6746 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_6747 = and(_T_6745, _T_6746) @[ifu_mem_ctl.scala 693:59] + node _T_6748 = eq(perr_ic_index_ff, UInt<6>("h02a")) @[ifu_mem_ctl.scala 693:102] + node _T_6749 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_6750 = and(_T_6748, _T_6749) @[ifu_mem_ctl.scala 693:124] + node _T_6751 = or(_T_6747, _T_6750) @[ifu_mem_ctl.scala 693:81] + node _T_6752 = or(_T_6751, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6753 = bits(_T_6752, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6754 : UInt<1>, rvclkhdr_89.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6753 : @[Reg.scala 28:19] + _T_6754 <= _T_6744 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][42] <= _T_6754 @[ifu_mem_ctl.scala 692:41] + node _T_6755 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6756 = eq(_T_6755, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6757 = and(ic_valid_ff, _T_6756) @[ifu_mem_ctl.scala 692:97] + node _T_6758 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6759 = and(_T_6757, _T_6758) @[ifu_mem_ctl.scala 692:122] + node _T_6760 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[ifu_mem_ctl.scala 693:37] + node _T_6761 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_6762 = and(_T_6760, _T_6761) @[ifu_mem_ctl.scala 693:59] + node _T_6763 = eq(perr_ic_index_ff, UInt<6>("h02b")) @[ifu_mem_ctl.scala 693:102] + node _T_6764 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_6765 = and(_T_6763, _T_6764) @[ifu_mem_ctl.scala 693:124] + node _T_6766 = or(_T_6762, _T_6765) @[ifu_mem_ctl.scala 693:81] + node _T_6767 = or(_T_6766, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6768 = bits(_T_6767, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6769 : UInt<1>, rvclkhdr_89.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6768 : @[Reg.scala 28:19] + _T_6769 <= _T_6759 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][43] <= _T_6769 @[ifu_mem_ctl.scala 692:41] + node _T_6770 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6771 = eq(_T_6770, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6772 = and(ic_valid_ff, _T_6771) @[ifu_mem_ctl.scala 692:97] + node _T_6773 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6774 = and(_T_6772, _T_6773) @[ifu_mem_ctl.scala 692:122] + node _T_6775 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[ifu_mem_ctl.scala 693:37] + node _T_6776 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_6777 = and(_T_6775, _T_6776) @[ifu_mem_ctl.scala 693:59] + node _T_6778 = eq(perr_ic_index_ff, UInt<6>("h02c")) @[ifu_mem_ctl.scala 693:102] + node _T_6779 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_6780 = and(_T_6778, _T_6779) @[ifu_mem_ctl.scala 693:124] + node _T_6781 = or(_T_6777, _T_6780) @[ifu_mem_ctl.scala 693:81] + node _T_6782 = or(_T_6781, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6783 = bits(_T_6782, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6784 : UInt<1>, rvclkhdr_89.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6783 : @[Reg.scala 28:19] + _T_6784 <= _T_6774 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][44] <= _T_6784 @[ifu_mem_ctl.scala 692:41] + node _T_6785 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6786 = eq(_T_6785, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6787 = and(ic_valid_ff, _T_6786) @[ifu_mem_ctl.scala 692:97] + node _T_6788 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6789 = and(_T_6787, _T_6788) @[ifu_mem_ctl.scala 692:122] + node _T_6790 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[ifu_mem_ctl.scala 693:37] + node _T_6791 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_6792 = and(_T_6790, _T_6791) @[ifu_mem_ctl.scala 693:59] + node _T_6793 = eq(perr_ic_index_ff, UInt<6>("h02d")) @[ifu_mem_ctl.scala 693:102] + node _T_6794 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_6795 = and(_T_6793, _T_6794) @[ifu_mem_ctl.scala 693:124] + node _T_6796 = or(_T_6792, _T_6795) @[ifu_mem_ctl.scala 693:81] + node _T_6797 = or(_T_6796, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6798 = bits(_T_6797, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6799 : UInt<1>, rvclkhdr_89.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6798 : @[Reg.scala 28:19] + _T_6799 <= _T_6789 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][45] <= _T_6799 @[ifu_mem_ctl.scala 692:41] + node _T_6800 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6801 = eq(_T_6800, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6802 = and(ic_valid_ff, _T_6801) @[ifu_mem_ctl.scala 692:97] + node _T_6803 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6804 = and(_T_6802, _T_6803) @[ifu_mem_ctl.scala 692:122] + node _T_6805 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[ifu_mem_ctl.scala 693:37] + node _T_6806 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_6807 = and(_T_6805, _T_6806) @[ifu_mem_ctl.scala 693:59] + node _T_6808 = eq(perr_ic_index_ff, UInt<6>("h02e")) @[ifu_mem_ctl.scala 693:102] + node _T_6809 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_6810 = and(_T_6808, _T_6809) @[ifu_mem_ctl.scala 693:124] + node _T_6811 = or(_T_6807, _T_6810) @[ifu_mem_ctl.scala 693:81] + node _T_6812 = or(_T_6811, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6813 = bits(_T_6812, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6814 : UInt<1>, rvclkhdr_89.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6813 : @[Reg.scala 28:19] + _T_6814 <= _T_6804 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][46] <= _T_6814 @[ifu_mem_ctl.scala 692:41] + node _T_6815 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6816 = eq(_T_6815, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6817 = and(ic_valid_ff, _T_6816) @[ifu_mem_ctl.scala 692:97] + node _T_6818 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6819 = and(_T_6817, _T_6818) @[ifu_mem_ctl.scala 692:122] + node _T_6820 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[ifu_mem_ctl.scala 693:37] + node _T_6821 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_6822 = and(_T_6820, _T_6821) @[ifu_mem_ctl.scala 693:59] + node _T_6823 = eq(perr_ic_index_ff, UInt<6>("h02f")) @[ifu_mem_ctl.scala 693:102] + node _T_6824 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_6825 = and(_T_6823, _T_6824) @[ifu_mem_ctl.scala 693:124] + node _T_6826 = or(_T_6822, _T_6825) @[ifu_mem_ctl.scala 693:81] + node _T_6827 = or(_T_6826, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6828 = bits(_T_6827, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6829 : UInt<1>, rvclkhdr_89.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6828 : @[Reg.scala 28:19] + _T_6829 <= _T_6819 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][47] <= _T_6829 @[ifu_mem_ctl.scala 692:41] + node _T_6830 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6831 = eq(_T_6830, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6832 = and(ic_valid_ff, _T_6831) @[ifu_mem_ctl.scala 692:97] + node _T_6833 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6834 = and(_T_6832, _T_6833) @[ifu_mem_ctl.scala 692:122] + node _T_6835 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[ifu_mem_ctl.scala 693:37] + node _T_6836 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_6837 = and(_T_6835, _T_6836) @[ifu_mem_ctl.scala 693:59] + node _T_6838 = eq(perr_ic_index_ff, UInt<6>("h030")) @[ifu_mem_ctl.scala 693:102] + node _T_6839 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_6840 = and(_T_6838, _T_6839) @[ifu_mem_ctl.scala 693:124] + node _T_6841 = or(_T_6837, _T_6840) @[ifu_mem_ctl.scala 693:81] + node _T_6842 = or(_T_6841, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6843 = bits(_T_6842, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6844 : UInt<1>, rvclkhdr_89.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6843 : @[Reg.scala 28:19] + _T_6844 <= _T_6834 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][48] <= _T_6844 @[ifu_mem_ctl.scala 692:41] + node _T_6845 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6846 = eq(_T_6845, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6847 = and(ic_valid_ff, _T_6846) @[ifu_mem_ctl.scala 692:97] + node _T_6848 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6849 = and(_T_6847, _T_6848) @[ifu_mem_ctl.scala 692:122] + node _T_6850 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[ifu_mem_ctl.scala 693:37] + node _T_6851 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_6852 = and(_T_6850, _T_6851) @[ifu_mem_ctl.scala 693:59] + node _T_6853 = eq(perr_ic_index_ff, UInt<6>("h031")) @[ifu_mem_ctl.scala 693:102] + node _T_6854 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_6855 = and(_T_6853, _T_6854) @[ifu_mem_ctl.scala 693:124] + node _T_6856 = or(_T_6852, _T_6855) @[ifu_mem_ctl.scala 693:81] + node _T_6857 = or(_T_6856, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6858 = bits(_T_6857, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6859 : UInt<1>, rvclkhdr_89.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6858 : @[Reg.scala 28:19] + _T_6859 <= _T_6849 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][49] <= _T_6859 @[ifu_mem_ctl.scala 692:41] + node _T_6860 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6861 = eq(_T_6860, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6862 = and(ic_valid_ff, _T_6861) @[ifu_mem_ctl.scala 692:97] + node _T_6863 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6864 = and(_T_6862, _T_6863) @[ifu_mem_ctl.scala 692:122] + node _T_6865 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[ifu_mem_ctl.scala 693:37] + node _T_6866 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_6867 = and(_T_6865, _T_6866) @[ifu_mem_ctl.scala 693:59] + node _T_6868 = eq(perr_ic_index_ff, UInt<6>("h032")) @[ifu_mem_ctl.scala 693:102] + node _T_6869 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_6870 = and(_T_6868, _T_6869) @[ifu_mem_ctl.scala 693:124] + node _T_6871 = or(_T_6867, _T_6870) @[ifu_mem_ctl.scala 693:81] + node _T_6872 = or(_T_6871, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6873 = bits(_T_6872, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6874 : UInt<1>, rvclkhdr_89.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6873 : @[Reg.scala 28:19] + _T_6874 <= _T_6864 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][50] <= _T_6874 @[ifu_mem_ctl.scala 692:41] + node _T_6875 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6876 = eq(_T_6875, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6877 = and(ic_valid_ff, _T_6876) @[ifu_mem_ctl.scala 692:97] + node _T_6878 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6879 = and(_T_6877, _T_6878) @[ifu_mem_ctl.scala 692:122] + node _T_6880 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[ifu_mem_ctl.scala 693:37] + node _T_6881 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_6882 = and(_T_6880, _T_6881) @[ifu_mem_ctl.scala 693:59] + node _T_6883 = eq(perr_ic_index_ff, UInt<6>("h033")) @[ifu_mem_ctl.scala 693:102] + node _T_6884 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_6885 = and(_T_6883, _T_6884) @[ifu_mem_ctl.scala 693:124] + node _T_6886 = or(_T_6882, _T_6885) @[ifu_mem_ctl.scala 693:81] + node _T_6887 = or(_T_6886, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6888 = bits(_T_6887, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6889 : UInt<1>, rvclkhdr_89.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6888 : @[Reg.scala 28:19] + _T_6889 <= _T_6879 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][51] <= _T_6889 @[ifu_mem_ctl.scala 692:41] + node _T_6890 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6891 = eq(_T_6890, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6892 = and(ic_valid_ff, _T_6891) @[ifu_mem_ctl.scala 692:97] + node _T_6893 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6894 = and(_T_6892, _T_6893) @[ifu_mem_ctl.scala 692:122] + node _T_6895 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[ifu_mem_ctl.scala 693:37] + node _T_6896 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_6897 = and(_T_6895, _T_6896) @[ifu_mem_ctl.scala 693:59] + node _T_6898 = eq(perr_ic_index_ff, UInt<6>("h034")) @[ifu_mem_ctl.scala 693:102] + node _T_6899 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_6900 = and(_T_6898, _T_6899) @[ifu_mem_ctl.scala 693:124] + node _T_6901 = or(_T_6897, _T_6900) @[ifu_mem_ctl.scala 693:81] + node _T_6902 = or(_T_6901, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6903 = bits(_T_6902, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6904 : UInt<1>, rvclkhdr_89.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6903 : @[Reg.scala 28:19] + _T_6904 <= _T_6894 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][52] <= _T_6904 @[ifu_mem_ctl.scala 692:41] + node _T_6905 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6906 = eq(_T_6905, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6907 = and(ic_valid_ff, _T_6906) @[ifu_mem_ctl.scala 692:97] + node _T_6908 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6909 = and(_T_6907, _T_6908) @[ifu_mem_ctl.scala 692:122] + node _T_6910 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[ifu_mem_ctl.scala 693:37] + node _T_6911 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_6912 = and(_T_6910, _T_6911) @[ifu_mem_ctl.scala 693:59] + node _T_6913 = eq(perr_ic_index_ff, UInt<6>("h035")) @[ifu_mem_ctl.scala 693:102] + node _T_6914 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_6915 = and(_T_6913, _T_6914) @[ifu_mem_ctl.scala 693:124] + node _T_6916 = or(_T_6912, _T_6915) @[ifu_mem_ctl.scala 693:81] + node _T_6917 = or(_T_6916, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6918 = bits(_T_6917, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6919 : UInt<1>, rvclkhdr_89.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6918 : @[Reg.scala 28:19] + _T_6919 <= _T_6909 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][53] <= _T_6919 @[ifu_mem_ctl.scala 692:41] + node _T_6920 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6921 = eq(_T_6920, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6922 = and(ic_valid_ff, _T_6921) @[ifu_mem_ctl.scala 692:97] + node _T_6923 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6924 = and(_T_6922, _T_6923) @[ifu_mem_ctl.scala 692:122] + node _T_6925 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[ifu_mem_ctl.scala 693:37] + node _T_6926 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_6927 = and(_T_6925, _T_6926) @[ifu_mem_ctl.scala 693:59] + node _T_6928 = eq(perr_ic_index_ff, UInt<6>("h036")) @[ifu_mem_ctl.scala 693:102] + node _T_6929 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_6930 = and(_T_6928, _T_6929) @[ifu_mem_ctl.scala 693:124] + node _T_6931 = or(_T_6927, _T_6930) @[ifu_mem_ctl.scala 693:81] + node _T_6932 = or(_T_6931, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6933 = bits(_T_6932, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6934 : UInt<1>, rvclkhdr_89.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6933 : @[Reg.scala 28:19] + _T_6934 <= _T_6924 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][54] <= _T_6934 @[ifu_mem_ctl.scala 692:41] + node _T_6935 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6936 = eq(_T_6935, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6937 = and(ic_valid_ff, _T_6936) @[ifu_mem_ctl.scala 692:97] + node _T_6938 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6939 = and(_T_6937, _T_6938) @[ifu_mem_ctl.scala 692:122] + node _T_6940 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[ifu_mem_ctl.scala 693:37] + node _T_6941 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_6942 = and(_T_6940, _T_6941) @[ifu_mem_ctl.scala 693:59] + node _T_6943 = eq(perr_ic_index_ff, UInt<6>("h037")) @[ifu_mem_ctl.scala 693:102] + node _T_6944 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_6945 = and(_T_6943, _T_6944) @[ifu_mem_ctl.scala 693:124] + node _T_6946 = or(_T_6942, _T_6945) @[ifu_mem_ctl.scala 693:81] + node _T_6947 = or(_T_6946, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6948 = bits(_T_6947, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6949 : UInt<1>, rvclkhdr_89.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6948 : @[Reg.scala 28:19] + _T_6949 <= _T_6939 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][55] <= _T_6949 @[ifu_mem_ctl.scala 692:41] + node _T_6950 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6951 = eq(_T_6950, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6952 = and(ic_valid_ff, _T_6951) @[ifu_mem_ctl.scala 692:97] + node _T_6953 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6954 = and(_T_6952, _T_6953) @[ifu_mem_ctl.scala 692:122] + node _T_6955 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[ifu_mem_ctl.scala 693:37] + node _T_6956 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_6957 = and(_T_6955, _T_6956) @[ifu_mem_ctl.scala 693:59] + node _T_6958 = eq(perr_ic_index_ff, UInt<6>("h038")) @[ifu_mem_ctl.scala 693:102] + node _T_6959 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_6960 = and(_T_6958, _T_6959) @[ifu_mem_ctl.scala 693:124] + node _T_6961 = or(_T_6957, _T_6960) @[ifu_mem_ctl.scala 693:81] + node _T_6962 = or(_T_6961, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6963 = bits(_T_6962, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6964 : UInt<1>, rvclkhdr_89.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6963 : @[Reg.scala 28:19] + _T_6964 <= _T_6954 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][56] <= _T_6964 @[ifu_mem_ctl.scala 692:41] + node _T_6965 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6966 = eq(_T_6965, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6967 = and(ic_valid_ff, _T_6966) @[ifu_mem_ctl.scala 692:97] + node _T_6968 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6969 = and(_T_6967, _T_6968) @[ifu_mem_ctl.scala 692:122] + node _T_6970 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[ifu_mem_ctl.scala 693:37] + node _T_6971 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_6972 = and(_T_6970, _T_6971) @[ifu_mem_ctl.scala 693:59] + node _T_6973 = eq(perr_ic_index_ff, UInt<6>("h039")) @[ifu_mem_ctl.scala 693:102] + node _T_6974 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_6975 = and(_T_6973, _T_6974) @[ifu_mem_ctl.scala 693:124] + node _T_6976 = or(_T_6972, _T_6975) @[ifu_mem_ctl.scala 693:81] + node _T_6977 = or(_T_6976, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6978 = bits(_T_6977, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6979 : UInt<1>, rvclkhdr_89.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6978 : @[Reg.scala 28:19] + _T_6979 <= _T_6969 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][57] <= _T_6979 @[ifu_mem_ctl.scala 692:41] + node _T_6980 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6981 = eq(_T_6980, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6982 = and(ic_valid_ff, _T_6981) @[ifu_mem_ctl.scala 692:97] + node _T_6983 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6984 = and(_T_6982, _T_6983) @[ifu_mem_ctl.scala 692:122] + node _T_6985 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[ifu_mem_ctl.scala 693:37] + node _T_6986 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_6987 = and(_T_6985, _T_6986) @[ifu_mem_ctl.scala 693:59] + node _T_6988 = eq(perr_ic_index_ff, UInt<6>("h03a")) @[ifu_mem_ctl.scala 693:102] + node _T_6989 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_6990 = and(_T_6988, _T_6989) @[ifu_mem_ctl.scala 693:124] + node _T_6991 = or(_T_6987, _T_6990) @[ifu_mem_ctl.scala 693:81] + node _T_6992 = or(_T_6991, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_6993 = bits(_T_6992, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_6994 : UInt<1>, rvclkhdr_89.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_6993 : @[Reg.scala 28:19] + _T_6994 <= _T_6984 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][58] <= _T_6994 @[ifu_mem_ctl.scala 692:41] + node _T_6995 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_6996 = eq(_T_6995, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_6997 = and(ic_valid_ff, _T_6996) @[ifu_mem_ctl.scala 692:97] + node _T_6998 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_6999 = and(_T_6997, _T_6998) @[ifu_mem_ctl.scala 692:122] + node _T_7000 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[ifu_mem_ctl.scala 693:37] + node _T_7001 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_7002 = and(_T_7000, _T_7001) @[ifu_mem_ctl.scala 693:59] + node _T_7003 = eq(perr_ic_index_ff, UInt<6>("h03b")) @[ifu_mem_ctl.scala 693:102] + node _T_7004 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_7005 = and(_T_7003, _T_7004) @[ifu_mem_ctl.scala 693:124] + node _T_7006 = or(_T_7002, _T_7005) @[ifu_mem_ctl.scala 693:81] + node _T_7007 = or(_T_7006, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7008 = bits(_T_7007, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7009 : UInt<1>, rvclkhdr_89.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7008 : @[Reg.scala 28:19] + _T_7009 <= _T_6999 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][59] <= _T_7009 @[ifu_mem_ctl.scala 692:41] + node _T_7010 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7011 = eq(_T_7010, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7012 = and(ic_valid_ff, _T_7011) @[ifu_mem_ctl.scala 692:97] + node _T_7013 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7014 = and(_T_7012, _T_7013) @[ifu_mem_ctl.scala 692:122] + node _T_7015 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[ifu_mem_ctl.scala 693:37] + node _T_7016 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_7017 = and(_T_7015, _T_7016) @[ifu_mem_ctl.scala 693:59] + node _T_7018 = eq(perr_ic_index_ff, UInt<6>("h03c")) @[ifu_mem_ctl.scala 693:102] + node _T_7019 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_7020 = and(_T_7018, _T_7019) @[ifu_mem_ctl.scala 693:124] + node _T_7021 = or(_T_7017, _T_7020) @[ifu_mem_ctl.scala 693:81] + node _T_7022 = or(_T_7021, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7023 = bits(_T_7022, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7024 : UInt<1>, rvclkhdr_89.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7023 : @[Reg.scala 28:19] + _T_7024 <= _T_7014 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][60] <= _T_7024 @[ifu_mem_ctl.scala 692:41] + node _T_7025 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7026 = eq(_T_7025, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7027 = and(ic_valid_ff, _T_7026) @[ifu_mem_ctl.scala 692:97] + node _T_7028 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7029 = and(_T_7027, _T_7028) @[ifu_mem_ctl.scala 692:122] + node _T_7030 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[ifu_mem_ctl.scala 693:37] + node _T_7031 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_7032 = and(_T_7030, _T_7031) @[ifu_mem_ctl.scala 693:59] + node _T_7033 = eq(perr_ic_index_ff, UInt<6>("h03d")) @[ifu_mem_ctl.scala 693:102] + node _T_7034 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_7035 = and(_T_7033, _T_7034) @[ifu_mem_ctl.scala 693:124] + node _T_7036 = or(_T_7032, _T_7035) @[ifu_mem_ctl.scala 693:81] + node _T_7037 = or(_T_7036, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7038 = bits(_T_7037, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7039 : UInt<1>, rvclkhdr_89.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7038 : @[Reg.scala 28:19] + _T_7039 <= _T_7029 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][61] <= _T_7039 @[ifu_mem_ctl.scala 692:41] + node _T_7040 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7041 = eq(_T_7040, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7042 = and(ic_valid_ff, _T_7041) @[ifu_mem_ctl.scala 692:97] + node _T_7043 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7044 = and(_T_7042, _T_7043) @[ifu_mem_ctl.scala 692:122] + node _T_7045 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[ifu_mem_ctl.scala 693:37] + node _T_7046 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_7047 = and(_T_7045, _T_7046) @[ifu_mem_ctl.scala 693:59] + node _T_7048 = eq(perr_ic_index_ff, UInt<6>("h03e")) @[ifu_mem_ctl.scala 693:102] + node _T_7049 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_7050 = and(_T_7048, _T_7049) @[ifu_mem_ctl.scala 693:124] + node _T_7051 = or(_T_7047, _T_7050) @[ifu_mem_ctl.scala 693:81] + node _T_7052 = or(_T_7051, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7053 = bits(_T_7052, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7054 : UInt<1>, rvclkhdr_89.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7053 : @[Reg.scala 28:19] + _T_7054 <= _T_7044 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][62] <= _T_7054 @[ifu_mem_ctl.scala 692:41] + node _T_7055 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7056 = eq(_T_7055, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7057 = and(ic_valid_ff, _T_7056) @[ifu_mem_ctl.scala 692:97] + node _T_7058 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7059 = and(_T_7057, _T_7058) @[ifu_mem_ctl.scala 692:122] + node _T_7060 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[ifu_mem_ctl.scala 693:37] + node _T_7061 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_7062 = and(_T_7060, _T_7061) @[ifu_mem_ctl.scala 693:59] + node _T_7063 = eq(perr_ic_index_ff, UInt<6>("h03f")) @[ifu_mem_ctl.scala 693:102] + node _T_7064 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_7065 = and(_T_7063, _T_7064) @[ifu_mem_ctl.scala 693:124] + node _T_7066 = or(_T_7062, _T_7065) @[ifu_mem_ctl.scala 693:81] + node _T_7067 = or(_T_7066, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7068 = bits(_T_7067, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7069 : UInt<1>, rvclkhdr_89.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7068 : @[Reg.scala 28:19] + _T_7069 <= _T_7059 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][63] <= _T_7069 @[ifu_mem_ctl.scala 692:41] + node _T_7070 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7071 = eq(_T_7070, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7072 = and(ic_valid_ff, _T_7071) @[ifu_mem_ctl.scala 692:97] + node _T_7073 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7074 = and(_T_7072, _T_7073) @[ifu_mem_ctl.scala 692:122] + node _T_7075 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[ifu_mem_ctl.scala 693:37] + node _T_7076 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_7077 = and(_T_7075, _T_7076) @[ifu_mem_ctl.scala 693:59] + node _T_7078 = eq(perr_ic_index_ff, UInt<7>("h040")) @[ifu_mem_ctl.scala 693:102] + node _T_7079 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_7080 = and(_T_7078, _T_7079) @[ifu_mem_ctl.scala 693:124] + node _T_7081 = or(_T_7077, _T_7080) @[ifu_mem_ctl.scala 693:81] + node _T_7082 = or(_T_7081, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7083 = bits(_T_7082, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7084 : UInt<1>, rvclkhdr_90.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7083 : @[Reg.scala 28:19] + _T_7084 <= _T_7074 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][64] <= _T_7084 @[ifu_mem_ctl.scala 692:41] + node _T_7085 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7086 = eq(_T_7085, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7087 = and(ic_valid_ff, _T_7086) @[ifu_mem_ctl.scala 692:97] + node _T_7088 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7089 = and(_T_7087, _T_7088) @[ifu_mem_ctl.scala 692:122] + node _T_7090 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[ifu_mem_ctl.scala 693:37] + node _T_7091 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_7092 = and(_T_7090, _T_7091) @[ifu_mem_ctl.scala 693:59] + node _T_7093 = eq(perr_ic_index_ff, UInt<7>("h041")) @[ifu_mem_ctl.scala 693:102] + node _T_7094 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_7095 = and(_T_7093, _T_7094) @[ifu_mem_ctl.scala 693:124] + node _T_7096 = or(_T_7092, _T_7095) @[ifu_mem_ctl.scala 693:81] + node _T_7097 = or(_T_7096, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7098 = bits(_T_7097, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7099 : UInt<1>, rvclkhdr_90.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7098 : @[Reg.scala 28:19] + _T_7099 <= _T_7089 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][65] <= _T_7099 @[ifu_mem_ctl.scala 692:41] + node _T_7100 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7101 = eq(_T_7100, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7102 = and(ic_valid_ff, _T_7101) @[ifu_mem_ctl.scala 692:97] + node _T_7103 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7104 = and(_T_7102, _T_7103) @[ifu_mem_ctl.scala 692:122] + node _T_7105 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[ifu_mem_ctl.scala 693:37] + node _T_7106 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_7107 = and(_T_7105, _T_7106) @[ifu_mem_ctl.scala 693:59] + node _T_7108 = eq(perr_ic_index_ff, UInt<7>("h042")) @[ifu_mem_ctl.scala 693:102] + node _T_7109 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_7110 = and(_T_7108, _T_7109) @[ifu_mem_ctl.scala 693:124] + node _T_7111 = or(_T_7107, _T_7110) @[ifu_mem_ctl.scala 693:81] + node _T_7112 = or(_T_7111, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7113 = bits(_T_7112, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7114 : UInt<1>, rvclkhdr_90.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7113 : @[Reg.scala 28:19] + _T_7114 <= _T_7104 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][66] <= _T_7114 @[ifu_mem_ctl.scala 692:41] + node _T_7115 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7116 = eq(_T_7115, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7117 = and(ic_valid_ff, _T_7116) @[ifu_mem_ctl.scala 692:97] + node _T_7118 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7119 = and(_T_7117, _T_7118) @[ifu_mem_ctl.scala 692:122] + node _T_7120 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[ifu_mem_ctl.scala 693:37] + node _T_7121 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_7122 = and(_T_7120, _T_7121) @[ifu_mem_ctl.scala 693:59] + node _T_7123 = eq(perr_ic_index_ff, UInt<7>("h043")) @[ifu_mem_ctl.scala 693:102] + node _T_7124 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_7125 = and(_T_7123, _T_7124) @[ifu_mem_ctl.scala 693:124] + node _T_7126 = or(_T_7122, _T_7125) @[ifu_mem_ctl.scala 693:81] + node _T_7127 = or(_T_7126, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7128 = bits(_T_7127, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7129 : UInt<1>, rvclkhdr_90.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7128 : @[Reg.scala 28:19] + _T_7129 <= _T_7119 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][67] <= _T_7129 @[ifu_mem_ctl.scala 692:41] + node _T_7130 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7131 = eq(_T_7130, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7132 = and(ic_valid_ff, _T_7131) @[ifu_mem_ctl.scala 692:97] + node _T_7133 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7134 = and(_T_7132, _T_7133) @[ifu_mem_ctl.scala 692:122] + node _T_7135 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[ifu_mem_ctl.scala 693:37] + node _T_7136 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_7137 = and(_T_7135, _T_7136) @[ifu_mem_ctl.scala 693:59] + node _T_7138 = eq(perr_ic_index_ff, UInt<7>("h044")) @[ifu_mem_ctl.scala 693:102] + node _T_7139 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_7140 = and(_T_7138, _T_7139) @[ifu_mem_ctl.scala 693:124] + node _T_7141 = or(_T_7137, _T_7140) @[ifu_mem_ctl.scala 693:81] + node _T_7142 = or(_T_7141, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7143 = bits(_T_7142, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7144 : UInt<1>, rvclkhdr_90.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7143 : @[Reg.scala 28:19] + _T_7144 <= _T_7134 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][68] <= _T_7144 @[ifu_mem_ctl.scala 692:41] + node _T_7145 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7146 = eq(_T_7145, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7147 = and(ic_valid_ff, _T_7146) @[ifu_mem_ctl.scala 692:97] + node _T_7148 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7149 = and(_T_7147, _T_7148) @[ifu_mem_ctl.scala 692:122] + node _T_7150 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[ifu_mem_ctl.scala 693:37] + node _T_7151 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_7152 = and(_T_7150, _T_7151) @[ifu_mem_ctl.scala 693:59] + node _T_7153 = eq(perr_ic_index_ff, UInt<7>("h045")) @[ifu_mem_ctl.scala 693:102] + node _T_7154 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_7155 = and(_T_7153, _T_7154) @[ifu_mem_ctl.scala 693:124] + node _T_7156 = or(_T_7152, _T_7155) @[ifu_mem_ctl.scala 693:81] + node _T_7157 = or(_T_7156, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7158 = bits(_T_7157, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7159 : UInt<1>, rvclkhdr_90.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7158 : @[Reg.scala 28:19] + _T_7159 <= _T_7149 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][69] <= _T_7159 @[ifu_mem_ctl.scala 692:41] + node _T_7160 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7161 = eq(_T_7160, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7162 = and(ic_valid_ff, _T_7161) @[ifu_mem_ctl.scala 692:97] + node _T_7163 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7164 = and(_T_7162, _T_7163) @[ifu_mem_ctl.scala 692:122] + node _T_7165 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[ifu_mem_ctl.scala 693:37] + node _T_7166 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_7167 = and(_T_7165, _T_7166) @[ifu_mem_ctl.scala 693:59] + node _T_7168 = eq(perr_ic_index_ff, UInt<7>("h046")) @[ifu_mem_ctl.scala 693:102] + node _T_7169 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_7170 = and(_T_7168, _T_7169) @[ifu_mem_ctl.scala 693:124] + node _T_7171 = or(_T_7167, _T_7170) @[ifu_mem_ctl.scala 693:81] + node _T_7172 = or(_T_7171, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7173 = bits(_T_7172, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7174 : UInt<1>, rvclkhdr_90.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7173 : @[Reg.scala 28:19] + _T_7174 <= _T_7164 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][70] <= _T_7174 @[ifu_mem_ctl.scala 692:41] + node _T_7175 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7176 = eq(_T_7175, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7177 = and(ic_valid_ff, _T_7176) @[ifu_mem_ctl.scala 692:97] + node _T_7178 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7179 = and(_T_7177, _T_7178) @[ifu_mem_ctl.scala 692:122] + node _T_7180 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[ifu_mem_ctl.scala 693:37] + node _T_7181 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_7182 = and(_T_7180, _T_7181) @[ifu_mem_ctl.scala 693:59] + node _T_7183 = eq(perr_ic_index_ff, UInt<7>("h047")) @[ifu_mem_ctl.scala 693:102] + node _T_7184 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_7185 = and(_T_7183, _T_7184) @[ifu_mem_ctl.scala 693:124] + node _T_7186 = or(_T_7182, _T_7185) @[ifu_mem_ctl.scala 693:81] + node _T_7187 = or(_T_7186, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7188 = bits(_T_7187, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7189 : UInt<1>, rvclkhdr_90.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7188 : @[Reg.scala 28:19] + _T_7189 <= _T_7179 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][71] <= _T_7189 @[ifu_mem_ctl.scala 692:41] + node _T_7190 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7191 = eq(_T_7190, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7192 = and(ic_valid_ff, _T_7191) @[ifu_mem_ctl.scala 692:97] + node _T_7193 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7194 = and(_T_7192, _T_7193) @[ifu_mem_ctl.scala 692:122] + node _T_7195 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[ifu_mem_ctl.scala 693:37] + node _T_7196 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_7197 = and(_T_7195, _T_7196) @[ifu_mem_ctl.scala 693:59] + node _T_7198 = eq(perr_ic_index_ff, UInt<7>("h048")) @[ifu_mem_ctl.scala 693:102] + node _T_7199 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_7200 = and(_T_7198, _T_7199) @[ifu_mem_ctl.scala 693:124] + node _T_7201 = or(_T_7197, _T_7200) @[ifu_mem_ctl.scala 693:81] + node _T_7202 = or(_T_7201, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7203 = bits(_T_7202, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7204 : UInt<1>, rvclkhdr_90.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7203 : @[Reg.scala 28:19] + _T_7204 <= _T_7194 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][72] <= _T_7204 @[ifu_mem_ctl.scala 692:41] + node _T_7205 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7206 = eq(_T_7205, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7207 = and(ic_valid_ff, _T_7206) @[ifu_mem_ctl.scala 692:97] + node _T_7208 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7209 = and(_T_7207, _T_7208) @[ifu_mem_ctl.scala 692:122] + node _T_7210 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[ifu_mem_ctl.scala 693:37] + node _T_7211 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_7212 = and(_T_7210, _T_7211) @[ifu_mem_ctl.scala 693:59] + node _T_7213 = eq(perr_ic_index_ff, UInt<7>("h049")) @[ifu_mem_ctl.scala 693:102] + node _T_7214 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_7215 = and(_T_7213, _T_7214) @[ifu_mem_ctl.scala 693:124] + node _T_7216 = or(_T_7212, _T_7215) @[ifu_mem_ctl.scala 693:81] + node _T_7217 = or(_T_7216, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7218 = bits(_T_7217, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7219 : UInt<1>, rvclkhdr_90.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7218 : @[Reg.scala 28:19] + _T_7219 <= _T_7209 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][73] <= _T_7219 @[ifu_mem_ctl.scala 692:41] + node _T_7220 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7221 = eq(_T_7220, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7222 = and(ic_valid_ff, _T_7221) @[ifu_mem_ctl.scala 692:97] + node _T_7223 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7224 = and(_T_7222, _T_7223) @[ifu_mem_ctl.scala 692:122] + node _T_7225 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[ifu_mem_ctl.scala 693:37] + node _T_7226 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_7227 = and(_T_7225, _T_7226) @[ifu_mem_ctl.scala 693:59] + node _T_7228 = eq(perr_ic_index_ff, UInt<7>("h04a")) @[ifu_mem_ctl.scala 693:102] + node _T_7229 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_7230 = and(_T_7228, _T_7229) @[ifu_mem_ctl.scala 693:124] + node _T_7231 = or(_T_7227, _T_7230) @[ifu_mem_ctl.scala 693:81] + node _T_7232 = or(_T_7231, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7233 = bits(_T_7232, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7234 : UInt<1>, rvclkhdr_90.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7233 : @[Reg.scala 28:19] + _T_7234 <= _T_7224 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][74] <= _T_7234 @[ifu_mem_ctl.scala 692:41] + node _T_7235 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7236 = eq(_T_7235, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7237 = and(ic_valid_ff, _T_7236) @[ifu_mem_ctl.scala 692:97] + node _T_7238 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7239 = and(_T_7237, _T_7238) @[ifu_mem_ctl.scala 692:122] + node _T_7240 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[ifu_mem_ctl.scala 693:37] + node _T_7241 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_7242 = and(_T_7240, _T_7241) @[ifu_mem_ctl.scala 693:59] + node _T_7243 = eq(perr_ic_index_ff, UInt<7>("h04b")) @[ifu_mem_ctl.scala 693:102] + node _T_7244 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_7245 = and(_T_7243, _T_7244) @[ifu_mem_ctl.scala 693:124] + node _T_7246 = or(_T_7242, _T_7245) @[ifu_mem_ctl.scala 693:81] + node _T_7247 = or(_T_7246, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7248 = bits(_T_7247, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7249 : UInt<1>, rvclkhdr_90.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7248 : @[Reg.scala 28:19] + _T_7249 <= _T_7239 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][75] <= _T_7249 @[ifu_mem_ctl.scala 692:41] + node _T_7250 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7251 = eq(_T_7250, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7252 = and(ic_valid_ff, _T_7251) @[ifu_mem_ctl.scala 692:97] + node _T_7253 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7254 = and(_T_7252, _T_7253) @[ifu_mem_ctl.scala 692:122] + node _T_7255 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[ifu_mem_ctl.scala 693:37] + node _T_7256 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_7257 = and(_T_7255, _T_7256) @[ifu_mem_ctl.scala 693:59] + node _T_7258 = eq(perr_ic_index_ff, UInt<7>("h04c")) @[ifu_mem_ctl.scala 693:102] + node _T_7259 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_7260 = and(_T_7258, _T_7259) @[ifu_mem_ctl.scala 693:124] + node _T_7261 = or(_T_7257, _T_7260) @[ifu_mem_ctl.scala 693:81] + node _T_7262 = or(_T_7261, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7263 = bits(_T_7262, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7264 : UInt<1>, rvclkhdr_90.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7263 : @[Reg.scala 28:19] + _T_7264 <= _T_7254 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][76] <= _T_7264 @[ifu_mem_ctl.scala 692:41] + node _T_7265 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7266 = eq(_T_7265, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7267 = and(ic_valid_ff, _T_7266) @[ifu_mem_ctl.scala 692:97] + node _T_7268 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7269 = and(_T_7267, _T_7268) @[ifu_mem_ctl.scala 692:122] + node _T_7270 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[ifu_mem_ctl.scala 693:37] + node _T_7271 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_7272 = and(_T_7270, _T_7271) @[ifu_mem_ctl.scala 693:59] + node _T_7273 = eq(perr_ic_index_ff, UInt<7>("h04d")) @[ifu_mem_ctl.scala 693:102] + node _T_7274 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_7275 = and(_T_7273, _T_7274) @[ifu_mem_ctl.scala 693:124] + node _T_7276 = or(_T_7272, _T_7275) @[ifu_mem_ctl.scala 693:81] + node _T_7277 = or(_T_7276, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7278 = bits(_T_7277, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7279 : UInt<1>, rvclkhdr_90.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7278 : @[Reg.scala 28:19] + _T_7279 <= _T_7269 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][77] <= _T_7279 @[ifu_mem_ctl.scala 692:41] + node _T_7280 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7281 = eq(_T_7280, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7282 = and(ic_valid_ff, _T_7281) @[ifu_mem_ctl.scala 692:97] + node _T_7283 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7284 = and(_T_7282, _T_7283) @[ifu_mem_ctl.scala 692:122] + node _T_7285 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[ifu_mem_ctl.scala 693:37] + node _T_7286 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_7287 = and(_T_7285, _T_7286) @[ifu_mem_ctl.scala 693:59] + node _T_7288 = eq(perr_ic_index_ff, UInt<7>("h04e")) @[ifu_mem_ctl.scala 693:102] + node _T_7289 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_7290 = and(_T_7288, _T_7289) @[ifu_mem_ctl.scala 693:124] + node _T_7291 = or(_T_7287, _T_7290) @[ifu_mem_ctl.scala 693:81] + node _T_7292 = or(_T_7291, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7293 = bits(_T_7292, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7294 : UInt<1>, rvclkhdr_90.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7293 : @[Reg.scala 28:19] + _T_7294 <= _T_7284 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][78] <= _T_7294 @[ifu_mem_ctl.scala 692:41] + node _T_7295 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7296 = eq(_T_7295, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7297 = and(ic_valid_ff, _T_7296) @[ifu_mem_ctl.scala 692:97] + node _T_7298 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7299 = and(_T_7297, _T_7298) @[ifu_mem_ctl.scala 692:122] + node _T_7300 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[ifu_mem_ctl.scala 693:37] + node _T_7301 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_7302 = and(_T_7300, _T_7301) @[ifu_mem_ctl.scala 693:59] + node _T_7303 = eq(perr_ic_index_ff, UInt<7>("h04f")) @[ifu_mem_ctl.scala 693:102] + node _T_7304 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_7305 = and(_T_7303, _T_7304) @[ifu_mem_ctl.scala 693:124] + node _T_7306 = or(_T_7302, _T_7305) @[ifu_mem_ctl.scala 693:81] + node _T_7307 = or(_T_7306, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7308 = bits(_T_7307, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7309 : UInt<1>, rvclkhdr_90.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7308 : @[Reg.scala 28:19] + _T_7309 <= _T_7299 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][79] <= _T_7309 @[ifu_mem_ctl.scala 692:41] + node _T_7310 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7311 = eq(_T_7310, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7312 = and(ic_valid_ff, _T_7311) @[ifu_mem_ctl.scala 692:97] + node _T_7313 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7314 = and(_T_7312, _T_7313) @[ifu_mem_ctl.scala 692:122] + node _T_7315 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[ifu_mem_ctl.scala 693:37] + node _T_7316 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_7317 = and(_T_7315, _T_7316) @[ifu_mem_ctl.scala 693:59] + node _T_7318 = eq(perr_ic_index_ff, UInt<7>("h050")) @[ifu_mem_ctl.scala 693:102] + node _T_7319 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_7320 = and(_T_7318, _T_7319) @[ifu_mem_ctl.scala 693:124] + node _T_7321 = or(_T_7317, _T_7320) @[ifu_mem_ctl.scala 693:81] + node _T_7322 = or(_T_7321, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7323 = bits(_T_7322, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7324 : UInt<1>, rvclkhdr_90.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7323 : @[Reg.scala 28:19] + _T_7324 <= _T_7314 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][80] <= _T_7324 @[ifu_mem_ctl.scala 692:41] + node _T_7325 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7326 = eq(_T_7325, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7327 = and(ic_valid_ff, _T_7326) @[ifu_mem_ctl.scala 692:97] + node _T_7328 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7329 = and(_T_7327, _T_7328) @[ifu_mem_ctl.scala 692:122] + node _T_7330 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[ifu_mem_ctl.scala 693:37] + node _T_7331 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_7332 = and(_T_7330, _T_7331) @[ifu_mem_ctl.scala 693:59] + node _T_7333 = eq(perr_ic_index_ff, UInt<7>("h051")) @[ifu_mem_ctl.scala 693:102] + node _T_7334 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_7335 = and(_T_7333, _T_7334) @[ifu_mem_ctl.scala 693:124] + node _T_7336 = or(_T_7332, _T_7335) @[ifu_mem_ctl.scala 693:81] + node _T_7337 = or(_T_7336, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7338 = bits(_T_7337, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7339 : UInt<1>, rvclkhdr_90.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7338 : @[Reg.scala 28:19] + _T_7339 <= _T_7329 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][81] <= _T_7339 @[ifu_mem_ctl.scala 692:41] + node _T_7340 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7341 = eq(_T_7340, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7342 = and(ic_valid_ff, _T_7341) @[ifu_mem_ctl.scala 692:97] + node _T_7343 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7344 = and(_T_7342, _T_7343) @[ifu_mem_ctl.scala 692:122] + node _T_7345 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[ifu_mem_ctl.scala 693:37] + node _T_7346 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_7347 = and(_T_7345, _T_7346) @[ifu_mem_ctl.scala 693:59] + node _T_7348 = eq(perr_ic_index_ff, UInt<7>("h052")) @[ifu_mem_ctl.scala 693:102] + node _T_7349 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_7350 = and(_T_7348, _T_7349) @[ifu_mem_ctl.scala 693:124] + node _T_7351 = or(_T_7347, _T_7350) @[ifu_mem_ctl.scala 693:81] + node _T_7352 = or(_T_7351, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7353 = bits(_T_7352, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7354 : UInt<1>, rvclkhdr_90.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7353 : @[Reg.scala 28:19] + _T_7354 <= _T_7344 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][82] <= _T_7354 @[ifu_mem_ctl.scala 692:41] + node _T_7355 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7356 = eq(_T_7355, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7357 = and(ic_valid_ff, _T_7356) @[ifu_mem_ctl.scala 692:97] + node _T_7358 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7359 = and(_T_7357, _T_7358) @[ifu_mem_ctl.scala 692:122] + node _T_7360 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[ifu_mem_ctl.scala 693:37] + node _T_7361 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_7362 = and(_T_7360, _T_7361) @[ifu_mem_ctl.scala 693:59] + node _T_7363 = eq(perr_ic_index_ff, UInt<7>("h053")) @[ifu_mem_ctl.scala 693:102] + node _T_7364 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_7365 = and(_T_7363, _T_7364) @[ifu_mem_ctl.scala 693:124] + node _T_7366 = or(_T_7362, _T_7365) @[ifu_mem_ctl.scala 693:81] + node _T_7367 = or(_T_7366, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7368 = bits(_T_7367, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7369 : UInt<1>, rvclkhdr_90.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7368 : @[Reg.scala 28:19] + _T_7369 <= _T_7359 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][83] <= _T_7369 @[ifu_mem_ctl.scala 692:41] + node _T_7370 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7371 = eq(_T_7370, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7372 = and(ic_valid_ff, _T_7371) @[ifu_mem_ctl.scala 692:97] + node _T_7373 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7374 = and(_T_7372, _T_7373) @[ifu_mem_ctl.scala 692:122] + node _T_7375 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[ifu_mem_ctl.scala 693:37] + node _T_7376 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_7377 = and(_T_7375, _T_7376) @[ifu_mem_ctl.scala 693:59] + node _T_7378 = eq(perr_ic_index_ff, UInt<7>("h054")) @[ifu_mem_ctl.scala 693:102] + node _T_7379 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_7380 = and(_T_7378, _T_7379) @[ifu_mem_ctl.scala 693:124] + node _T_7381 = or(_T_7377, _T_7380) @[ifu_mem_ctl.scala 693:81] + node _T_7382 = or(_T_7381, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7383 = bits(_T_7382, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7384 : UInt<1>, rvclkhdr_90.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7383 : @[Reg.scala 28:19] + _T_7384 <= _T_7374 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][84] <= _T_7384 @[ifu_mem_ctl.scala 692:41] + node _T_7385 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7386 = eq(_T_7385, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7387 = and(ic_valid_ff, _T_7386) @[ifu_mem_ctl.scala 692:97] + node _T_7388 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7389 = and(_T_7387, _T_7388) @[ifu_mem_ctl.scala 692:122] + node _T_7390 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[ifu_mem_ctl.scala 693:37] + node _T_7391 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_7392 = and(_T_7390, _T_7391) @[ifu_mem_ctl.scala 693:59] + node _T_7393 = eq(perr_ic_index_ff, UInt<7>("h055")) @[ifu_mem_ctl.scala 693:102] + node _T_7394 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_7395 = and(_T_7393, _T_7394) @[ifu_mem_ctl.scala 693:124] + node _T_7396 = or(_T_7392, _T_7395) @[ifu_mem_ctl.scala 693:81] + node _T_7397 = or(_T_7396, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7398 = bits(_T_7397, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7399 : UInt<1>, rvclkhdr_90.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7398 : @[Reg.scala 28:19] + _T_7399 <= _T_7389 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][85] <= _T_7399 @[ifu_mem_ctl.scala 692:41] + node _T_7400 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7401 = eq(_T_7400, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7402 = and(ic_valid_ff, _T_7401) @[ifu_mem_ctl.scala 692:97] + node _T_7403 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7404 = and(_T_7402, _T_7403) @[ifu_mem_ctl.scala 692:122] + node _T_7405 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[ifu_mem_ctl.scala 693:37] + node _T_7406 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_7407 = and(_T_7405, _T_7406) @[ifu_mem_ctl.scala 693:59] + node _T_7408 = eq(perr_ic_index_ff, UInt<7>("h056")) @[ifu_mem_ctl.scala 693:102] + node _T_7409 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_7410 = and(_T_7408, _T_7409) @[ifu_mem_ctl.scala 693:124] + node _T_7411 = or(_T_7407, _T_7410) @[ifu_mem_ctl.scala 693:81] + node _T_7412 = or(_T_7411, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7413 = bits(_T_7412, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7414 : UInt<1>, rvclkhdr_90.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7413 : @[Reg.scala 28:19] + _T_7414 <= _T_7404 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][86] <= _T_7414 @[ifu_mem_ctl.scala 692:41] + node _T_7415 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7416 = eq(_T_7415, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7417 = and(ic_valid_ff, _T_7416) @[ifu_mem_ctl.scala 692:97] + node _T_7418 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7419 = and(_T_7417, _T_7418) @[ifu_mem_ctl.scala 692:122] + node _T_7420 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[ifu_mem_ctl.scala 693:37] + node _T_7421 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_7422 = and(_T_7420, _T_7421) @[ifu_mem_ctl.scala 693:59] + node _T_7423 = eq(perr_ic_index_ff, UInt<7>("h057")) @[ifu_mem_ctl.scala 693:102] + node _T_7424 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_7425 = and(_T_7423, _T_7424) @[ifu_mem_ctl.scala 693:124] + node _T_7426 = or(_T_7422, _T_7425) @[ifu_mem_ctl.scala 693:81] + node _T_7427 = or(_T_7426, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7428 = bits(_T_7427, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7429 : UInt<1>, rvclkhdr_90.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7428 : @[Reg.scala 28:19] + _T_7429 <= _T_7419 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][87] <= _T_7429 @[ifu_mem_ctl.scala 692:41] + node _T_7430 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7431 = eq(_T_7430, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7432 = and(ic_valid_ff, _T_7431) @[ifu_mem_ctl.scala 692:97] + node _T_7433 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7434 = and(_T_7432, _T_7433) @[ifu_mem_ctl.scala 692:122] + node _T_7435 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[ifu_mem_ctl.scala 693:37] + node _T_7436 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_7437 = and(_T_7435, _T_7436) @[ifu_mem_ctl.scala 693:59] + node _T_7438 = eq(perr_ic_index_ff, UInt<7>("h058")) @[ifu_mem_ctl.scala 693:102] + node _T_7439 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_7440 = and(_T_7438, _T_7439) @[ifu_mem_ctl.scala 693:124] + node _T_7441 = or(_T_7437, _T_7440) @[ifu_mem_ctl.scala 693:81] + node _T_7442 = or(_T_7441, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7443 = bits(_T_7442, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7444 : UInt<1>, rvclkhdr_90.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7443 : @[Reg.scala 28:19] + _T_7444 <= _T_7434 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][88] <= _T_7444 @[ifu_mem_ctl.scala 692:41] + node _T_7445 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7446 = eq(_T_7445, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7447 = and(ic_valid_ff, _T_7446) @[ifu_mem_ctl.scala 692:97] + node _T_7448 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7449 = and(_T_7447, _T_7448) @[ifu_mem_ctl.scala 692:122] + node _T_7450 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[ifu_mem_ctl.scala 693:37] + node _T_7451 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_7452 = and(_T_7450, _T_7451) @[ifu_mem_ctl.scala 693:59] + node _T_7453 = eq(perr_ic_index_ff, UInt<7>("h059")) @[ifu_mem_ctl.scala 693:102] + node _T_7454 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_7455 = and(_T_7453, _T_7454) @[ifu_mem_ctl.scala 693:124] + node _T_7456 = or(_T_7452, _T_7455) @[ifu_mem_ctl.scala 693:81] + node _T_7457 = or(_T_7456, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7458 = bits(_T_7457, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7459 : UInt<1>, rvclkhdr_90.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7458 : @[Reg.scala 28:19] + _T_7459 <= _T_7449 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][89] <= _T_7459 @[ifu_mem_ctl.scala 692:41] + node _T_7460 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7461 = eq(_T_7460, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7462 = and(ic_valid_ff, _T_7461) @[ifu_mem_ctl.scala 692:97] + node _T_7463 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7464 = and(_T_7462, _T_7463) @[ifu_mem_ctl.scala 692:122] + node _T_7465 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[ifu_mem_ctl.scala 693:37] + node _T_7466 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_7467 = and(_T_7465, _T_7466) @[ifu_mem_ctl.scala 693:59] + node _T_7468 = eq(perr_ic_index_ff, UInt<7>("h05a")) @[ifu_mem_ctl.scala 693:102] + node _T_7469 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_7470 = and(_T_7468, _T_7469) @[ifu_mem_ctl.scala 693:124] + node _T_7471 = or(_T_7467, _T_7470) @[ifu_mem_ctl.scala 693:81] + node _T_7472 = or(_T_7471, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7473 = bits(_T_7472, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7474 : UInt<1>, rvclkhdr_90.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7473 : @[Reg.scala 28:19] + _T_7474 <= _T_7464 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][90] <= _T_7474 @[ifu_mem_ctl.scala 692:41] + node _T_7475 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7476 = eq(_T_7475, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7477 = and(ic_valid_ff, _T_7476) @[ifu_mem_ctl.scala 692:97] + node _T_7478 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7479 = and(_T_7477, _T_7478) @[ifu_mem_ctl.scala 692:122] + node _T_7480 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[ifu_mem_ctl.scala 693:37] + node _T_7481 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_7482 = and(_T_7480, _T_7481) @[ifu_mem_ctl.scala 693:59] + node _T_7483 = eq(perr_ic_index_ff, UInt<7>("h05b")) @[ifu_mem_ctl.scala 693:102] + node _T_7484 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_7485 = and(_T_7483, _T_7484) @[ifu_mem_ctl.scala 693:124] + node _T_7486 = or(_T_7482, _T_7485) @[ifu_mem_ctl.scala 693:81] + node _T_7487 = or(_T_7486, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7488 = bits(_T_7487, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7489 : UInt<1>, rvclkhdr_90.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7488 : @[Reg.scala 28:19] + _T_7489 <= _T_7479 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][91] <= _T_7489 @[ifu_mem_ctl.scala 692:41] + node _T_7490 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7491 = eq(_T_7490, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7492 = and(ic_valid_ff, _T_7491) @[ifu_mem_ctl.scala 692:97] + node _T_7493 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7494 = and(_T_7492, _T_7493) @[ifu_mem_ctl.scala 692:122] + node _T_7495 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[ifu_mem_ctl.scala 693:37] + node _T_7496 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_7497 = and(_T_7495, _T_7496) @[ifu_mem_ctl.scala 693:59] + node _T_7498 = eq(perr_ic_index_ff, UInt<7>("h05c")) @[ifu_mem_ctl.scala 693:102] + node _T_7499 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_7500 = and(_T_7498, _T_7499) @[ifu_mem_ctl.scala 693:124] + node _T_7501 = or(_T_7497, _T_7500) @[ifu_mem_ctl.scala 693:81] + node _T_7502 = or(_T_7501, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7503 = bits(_T_7502, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7504 : UInt<1>, rvclkhdr_90.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7503 : @[Reg.scala 28:19] + _T_7504 <= _T_7494 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][92] <= _T_7504 @[ifu_mem_ctl.scala 692:41] + node _T_7505 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7506 = eq(_T_7505, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7507 = and(ic_valid_ff, _T_7506) @[ifu_mem_ctl.scala 692:97] + node _T_7508 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7509 = and(_T_7507, _T_7508) @[ifu_mem_ctl.scala 692:122] + node _T_7510 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[ifu_mem_ctl.scala 693:37] + node _T_7511 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_7512 = and(_T_7510, _T_7511) @[ifu_mem_ctl.scala 693:59] + node _T_7513 = eq(perr_ic_index_ff, UInt<7>("h05d")) @[ifu_mem_ctl.scala 693:102] + node _T_7514 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_7515 = and(_T_7513, _T_7514) @[ifu_mem_ctl.scala 693:124] + node _T_7516 = or(_T_7512, _T_7515) @[ifu_mem_ctl.scala 693:81] + node _T_7517 = or(_T_7516, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7518 = bits(_T_7517, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7519 : UInt<1>, rvclkhdr_90.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7518 : @[Reg.scala 28:19] + _T_7519 <= _T_7509 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][93] <= _T_7519 @[ifu_mem_ctl.scala 692:41] + node _T_7520 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7521 = eq(_T_7520, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7522 = and(ic_valid_ff, _T_7521) @[ifu_mem_ctl.scala 692:97] + node _T_7523 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7524 = and(_T_7522, _T_7523) @[ifu_mem_ctl.scala 692:122] + node _T_7525 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[ifu_mem_ctl.scala 693:37] + node _T_7526 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_7527 = and(_T_7525, _T_7526) @[ifu_mem_ctl.scala 693:59] + node _T_7528 = eq(perr_ic_index_ff, UInt<7>("h05e")) @[ifu_mem_ctl.scala 693:102] + node _T_7529 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_7530 = and(_T_7528, _T_7529) @[ifu_mem_ctl.scala 693:124] + node _T_7531 = or(_T_7527, _T_7530) @[ifu_mem_ctl.scala 693:81] + node _T_7532 = or(_T_7531, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7533 = bits(_T_7532, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7534 : UInt<1>, rvclkhdr_90.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7533 : @[Reg.scala 28:19] + _T_7534 <= _T_7524 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][94] <= _T_7534 @[ifu_mem_ctl.scala 692:41] + node _T_7535 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7536 = eq(_T_7535, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7537 = and(ic_valid_ff, _T_7536) @[ifu_mem_ctl.scala 692:97] + node _T_7538 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7539 = and(_T_7537, _T_7538) @[ifu_mem_ctl.scala 692:122] + node _T_7540 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[ifu_mem_ctl.scala 693:37] + node _T_7541 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_7542 = and(_T_7540, _T_7541) @[ifu_mem_ctl.scala 693:59] + node _T_7543 = eq(perr_ic_index_ff, UInt<7>("h05f")) @[ifu_mem_ctl.scala 693:102] + node _T_7544 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_7545 = and(_T_7543, _T_7544) @[ifu_mem_ctl.scala 693:124] + node _T_7546 = or(_T_7542, _T_7545) @[ifu_mem_ctl.scala 693:81] + node _T_7547 = or(_T_7546, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7548 = bits(_T_7547, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7549 : UInt<1>, rvclkhdr_90.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7548 : @[Reg.scala 28:19] + _T_7549 <= _T_7539 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][95] <= _T_7549 @[ifu_mem_ctl.scala 692:41] + node _T_7550 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7551 = eq(_T_7550, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7552 = and(ic_valid_ff, _T_7551) @[ifu_mem_ctl.scala 692:97] + node _T_7553 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7554 = and(_T_7552, _T_7553) @[ifu_mem_ctl.scala 692:122] + node _T_7555 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[ifu_mem_ctl.scala 693:37] + node _T_7556 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_7557 = and(_T_7555, _T_7556) @[ifu_mem_ctl.scala 693:59] + node _T_7558 = eq(perr_ic_index_ff, UInt<7>("h040")) @[ifu_mem_ctl.scala 693:102] + node _T_7559 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_7560 = and(_T_7558, _T_7559) @[ifu_mem_ctl.scala 693:124] + node _T_7561 = or(_T_7557, _T_7560) @[ifu_mem_ctl.scala 693:81] + node _T_7562 = or(_T_7561, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7563 = bits(_T_7562, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7564 : UInt<1>, rvclkhdr_91.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7563 : @[Reg.scala 28:19] + _T_7564 <= _T_7554 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][64] <= _T_7564 @[ifu_mem_ctl.scala 692:41] + node _T_7565 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7566 = eq(_T_7565, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7567 = and(ic_valid_ff, _T_7566) @[ifu_mem_ctl.scala 692:97] + node _T_7568 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7569 = and(_T_7567, _T_7568) @[ifu_mem_ctl.scala 692:122] + node _T_7570 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[ifu_mem_ctl.scala 693:37] + node _T_7571 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_7572 = and(_T_7570, _T_7571) @[ifu_mem_ctl.scala 693:59] + node _T_7573 = eq(perr_ic_index_ff, UInt<7>("h041")) @[ifu_mem_ctl.scala 693:102] + node _T_7574 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_7575 = and(_T_7573, _T_7574) @[ifu_mem_ctl.scala 693:124] + node _T_7576 = or(_T_7572, _T_7575) @[ifu_mem_ctl.scala 693:81] + node _T_7577 = or(_T_7576, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7578 = bits(_T_7577, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7579 : UInt<1>, rvclkhdr_91.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7578 : @[Reg.scala 28:19] + _T_7579 <= _T_7569 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][65] <= _T_7579 @[ifu_mem_ctl.scala 692:41] + node _T_7580 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7581 = eq(_T_7580, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7582 = and(ic_valid_ff, _T_7581) @[ifu_mem_ctl.scala 692:97] + node _T_7583 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7584 = and(_T_7582, _T_7583) @[ifu_mem_ctl.scala 692:122] + node _T_7585 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[ifu_mem_ctl.scala 693:37] + node _T_7586 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_7587 = and(_T_7585, _T_7586) @[ifu_mem_ctl.scala 693:59] + node _T_7588 = eq(perr_ic_index_ff, UInt<7>("h042")) @[ifu_mem_ctl.scala 693:102] + node _T_7589 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_7590 = and(_T_7588, _T_7589) @[ifu_mem_ctl.scala 693:124] + node _T_7591 = or(_T_7587, _T_7590) @[ifu_mem_ctl.scala 693:81] + node _T_7592 = or(_T_7591, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7593 = bits(_T_7592, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7594 : UInt<1>, rvclkhdr_91.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7593 : @[Reg.scala 28:19] + _T_7594 <= _T_7584 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][66] <= _T_7594 @[ifu_mem_ctl.scala 692:41] + node _T_7595 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7596 = eq(_T_7595, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7597 = and(ic_valid_ff, _T_7596) @[ifu_mem_ctl.scala 692:97] + node _T_7598 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7599 = and(_T_7597, _T_7598) @[ifu_mem_ctl.scala 692:122] + node _T_7600 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[ifu_mem_ctl.scala 693:37] + node _T_7601 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_7602 = and(_T_7600, _T_7601) @[ifu_mem_ctl.scala 693:59] + node _T_7603 = eq(perr_ic_index_ff, UInt<7>("h043")) @[ifu_mem_ctl.scala 693:102] + node _T_7604 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_7605 = and(_T_7603, _T_7604) @[ifu_mem_ctl.scala 693:124] + node _T_7606 = or(_T_7602, _T_7605) @[ifu_mem_ctl.scala 693:81] + node _T_7607 = or(_T_7606, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7608 = bits(_T_7607, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7609 : UInt<1>, rvclkhdr_91.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7608 : @[Reg.scala 28:19] + _T_7609 <= _T_7599 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][67] <= _T_7609 @[ifu_mem_ctl.scala 692:41] + node _T_7610 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7611 = eq(_T_7610, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7612 = and(ic_valid_ff, _T_7611) @[ifu_mem_ctl.scala 692:97] + node _T_7613 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7614 = and(_T_7612, _T_7613) @[ifu_mem_ctl.scala 692:122] + node _T_7615 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[ifu_mem_ctl.scala 693:37] + node _T_7616 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_7617 = and(_T_7615, _T_7616) @[ifu_mem_ctl.scala 693:59] + node _T_7618 = eq(perr_ic_index_ff, UInt<7>("h044")) @[ifu_mem_ctl.scala 693:102] + node _T_7619 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_7620 = and(_T_7618, _T_7619) @[ifu_mem_ctl.scala 693:124] + node _T_7621 = or(_T_7617, _T_7620) @[ifu_mem_ctl.scala 693:81] + node _T_7622 = or(_T_7621, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7623 = bits(_T_7622, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7624 : UInt<1>, rvclkhdr_91.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7623 : @[Reg.scala 28:19] + _T_7624 <= _T_7614 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][68] <= _T_7624 @[ifu_mem_ctl.scala 692:41] + node _T_7625 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7626 = eq(_T_7625, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7627 = and(ic_valid_ff, _T_7626) @[ifu_mem_ctl.scala 692:97] + node _T_7628 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7629 = and(_T_7627, _T_7628) @[ifu_mem_ctl.scala 692:122] + node _T_7630 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[ifu_mem_ctl.scala 693:37] + node _T_7631 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_7632 = and(_T_7630, _T_7631) @[ifu_mem_ctl.scala 693:59] + node _T_7633 = eq(perr_ic_index_ff, UInt<7>("h045")) @[ifu_mem_ctl.scala 693:102] + node _T_7634 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_7635 = and(_T_7633, _T_7634) @[ifu_mem_ctl.scala 693:124] + node _T_7636 = or(_T_7632, _T_7635) @[ifu_mem_ctl.scala 693:81] + node _T_7637 = or(_T_7636, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7638 = bits(_T_7637, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7639 : UInt<1>, rvclkhdr_91.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7638 : @[Reg.scala 28:19] + _T_7639 <= _T_7629 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][69] <= _T_7639 @[ifu_mem_ctl.scala 692:41] + node _T_7640 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7641 = eq(_T_7640, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7642 = and(ic_valid_ff, _T_7641) @[ifu_mem_ctl.scala 692:97] + node _T_7643 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7644 = and(_T_7642, _T_7643) @[ifu_mem_ctl.scala 692:122] + node _T_7645 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[ifu_mem_ctl.scala 693:37] + node _T_7646 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_7647 = and(_T_7645, _T_7646) @[ifu_mem_ctl.scala 693:59] + node _T_7648 = eq(perr_ic_index_ff, UInt<7>("h046")) @[ifu_mem_ctl.scala 693:102] + node _T_7649 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_7650 = and(_T_7648, _T_7649) @[ifu_mem_ctl.scala 693:124] + node _T_7651 = or(_T_7647, _T_7650) @[ifu_mem_ctl.scala 693:81] + node _T_7652 = or(_T_7651, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7653 = bits(_T_7652, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7654 : UInt<1>, rvclkhdr_91.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7653 : @[Reg.scala 28:19] + _T_7654 <= _T_7644 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][70] <= _T_7654 @[ifu_mem_ctl.scala 692:41] + node _T_7655 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7656 = eq(_T_7655, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7657 = and(ic_valid_ff, _T_7656) @[ifu_mem_ctl.scala 692:97] + node _T_7658 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7659 = and(_T_7657, _T_7658) @[ifu_mem_ctl.scala 692:122] + node _T_7660 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[ifu_mem_ctl.scala 693:37] + node _T_7661 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_7662 = and(_T_7660, _T_7661) @[ifu_mem_ctl.scala 693:59] + node _T_7663 = eq(perr_ic_index_ff, UInt<7>("h047")) @[ifu_mem_ctl.scala 693:102] + node _T_7664 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_7665 = and(_T_7663, _T_7664) @[ifu_mem_ctl.scala 693:124] + node _T_7666 = or(_T_7662, _T_7665) @[ifu_mem_ctl.scala 693:81] + node _T_7667 = or(_T_7666, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7668 = bits(_T_7667, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7669 : UInt<1>, rvclkhdr_91.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7668 : @[Reg.scala 28:19] + _T_7669 <= _T_7659 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][71] <= _T_7669 @[ifu_mem_ctl.scala 692:41] + node _T_7670 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7671 = eq(_T_7670, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7672 = and(ic_valid_ff, _T_7671) @[ifu_mem_ctl.scala 692:97] + node _T_7673 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7674 = and(_T_7672, _T_7673) @[ifu_mem_ctl.scala 692:122] + node _T_7675 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[ifu_mem_ctl.scala 693:37] + node _T_7676 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_7677 = and(_T_7675, _T_7676) @[ifu_mem_ctl.scala 693:59] + node _T_7678 = eq(perr_ic_index_ff, UInt<7>("h048")) @[ifu_mem_ctl.scala 693:102] + node _T_7679 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_7680 = and(_T_7678, _T_7679) @[ifu_mem_ctl.scala 693:124] + node _T_7681 = or(_T_7677, _T_7680) @[ifu_mem_ctl.scala 693:81] + node _T_7682 = or(_T_7681, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7683 = bits(_T_7682, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7684 : UInt<1>, rvclkhdr_91.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7683 : @[Reg.scala 28:19] + _T_7684 <= _T_7674 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][72] <= _T_7684 @[ifu_mem_ctl.scala 692:41] + node _T_7685 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7686 = eq(_T_7685, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7687 = and(ic_valid_ff, _T_7686) @[ifu_mem_ctl.scala 692:97] + node _T_7688 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7689 = and(_T_7687, _T_7688) @[ifu_mem_ctl.scala 692:122] + node _T_7690 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[ifu_mem_ctl.scala 693:37] + node _T_7691 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_7692 = and(_T_7690, _T_7691) @[ifu_mem_ctl.scala 693:59] + node _T_7693 = eq(perr_ic_index_ff, UInt<7>("h049")) @[ifu_mem_ctl.scala 693:102] + node _T_7694 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_7695 = and(_T_7693, _T_7694) @[ifu_mem_ctl.scala 693:124] + node _T_7696 = or(_T_7692, _T_7695) @[ifu_mem_ctl.scala 693:81] + node _T_7697 = or(_T_7696, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7698 = bits(_T_7697, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7699 : UInt<1>, rvclkhdr_91.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7698 : @[Reg.scala 28:19] + _T_7699 <= _T_7689 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][73] <= _T_7699 @[ifu_mem_ctl.scala 692:41] + node _T_7700 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7701 = eq(_T_7700, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7702 = and(ic_valid_ff, _T_7701) @[ifu_mem_ctl.scala 692:97] + node _T_7703 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7704 = and(_T_7702, _T_7703) @[ifu_mem_ctl.scala 692:122] + node _T_7705 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[ifu_mem_ctl.scala 693:37] + node _T_7706 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_7707 = and(_T_7705, _T_7706) @[ifu_mem_ctl.scala 693:59] + node _T_7708 = eq(perr_ic_index_ff, UInt<7>("h04a")) @[ifu_mem_ctl.scala 693:102] + node _T_7709 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_7710 = and(_T_7708, _T_7709) @[ifu_mem_ctl.scala 693:124] + node _T_7711 = or(_T_7707, _T_7710) @[ifu_mem_ctl.scala 693:81] + node _T_7712 = or(_T_7711, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7713 = bits(_T_7712, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7714 : UInt<1>, rvclkhdr_91.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7713 : @[Reg.scala 28:19] + _T_7714 <= _T_7704 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][74] <= _T_7714 @[ifu_mem_ctl.scala 692:41] + node _T_7715 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7716 = eq(_T_7715, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7717 = and(ic_valid_ff, _T_7716) @[ifu_mem_ctl.scala 692:97] + node _T_7718 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7719 = and(_T_7717, _T_7718) @[ifu_mem_ctl.scala 692:122] + node _T_7720 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[ifu_mem_ctl.scala 693:37] + node _T_7721 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_7722 = and(_T_7720, _T_7721) @[ifu_mem_ctl.scala 693:59] + node _T_7723 = eq(perr_ic_index_ff, UInt<7>("h04b")) @[ifu_mem_ctl.scala 693:102] + node _T_7724 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_7725 = and(_T_7723, _T_7724) @[ifu_mem_ctl.scala 693:124] + node _T_7726 = or(_T_7722, _T_7725) @[ifu_mem_ctl.scala 693:81] + node _T_7727 = or(_T_7726, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7728 = bits(_T_7727, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7729 : UInt<1>, rvclkhdr_91.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7728 : @[Reg.scala 28:19] + _T_7729 <= _T_7719 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][75] <= _T_7729 @[ifu_mem_ctl.scala 692:41] + node _T_7730 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7731 = eq(_T_7730, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7732 = and(ic_valid_ff, _T_7731) @[ifu_mem_ctl.scala 692:97] + node _T_7733 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7734 = and(_T_7732, _T_7733) @[ifu_mem_ctl.scala 692:122] + node _T_7735 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[ifu_mem_ctl.scala 693:37] + node _T_7736 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_7737 = and(_T_7735, _T_7736) @[ifu_mem_ctl.scala 693:59] + node _T_7738 = eq(perr_ic_index_ff, UInt<7>("h04c")) @[ifu_mem_ctl.scala 693:102] + node _T_7739 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_7740 = and(_T_7738, _T_7739) @[ifu_mem_ctl.scala 693:124] + node _T_7741 = or(_T_7737, _T_7740) @[ifu_mem_ctl.scala 693:81] + node _T_7742 = or(_T_7741, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7743 = bits(_T_7742, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7744 : UInt<1>, rvclkhdr_91.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7743 : @[Reg.scala 28:19] + _T_7744 <= _T_7734 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][76] <= _T_7744 @[ifu_mem_ctl.scala 692:41] + node _T_7745 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7746 = eq(_T_7745, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7747 = and(ic_valid_ff, _T_7746) @[ifu_mem_ctl.scala 692:97] + node _T_7748 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7749 = and(_T_7747, _T_7748) @[ifu_mem_ctl.scala 692:122] + node _T_7750 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[ifu_mem_ctl.scala 693:37] + node _T_7751 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_7752 = and(_T_7750, _T_7751) @[ifu_mem_ctl.scala 693:59] + node _T_7753 = eq(perr_ic_index_ff, UInt<7>("h04d")) @[ifu_mem_ctl.scala 693:102] + node _T_7754 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_7755 = and(_T_7753, _T_7754) @[ifu_mem_ctl.scala 693:124] + node _T_7756 = or(_T_7752, _T_7755) @[ifu_mem_ctl.scala 693:81] + node _T_7757 = or(_T_7756, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7758 = bits(_T_7757, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7759 : UInt<1>, rvclkhdr_91.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7758 : @[Reg.scala 28:19] + _T_7759 <= _T_7749 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][77] <= _T_7759 @[ifu_mem_ctl.scala 692:41] + node _T_7760 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7761 = eq(_T_7760, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7762 = and(ic_valid_ff, _T_7761) @[ifu_mem_ctl.scala 692:97] + node _T_7763 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7764 = and(_T_7762, _T_7763) @[ifu_mem_ctl.scala 692:122] + node _T_7765 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[ifu_mem_ctl.scala 693:37] + node _T_7766 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_7767 = and(_T_7765, _T_7766) @[ifu_mem_ctl.scala 693:59] + node _T_7768 = eq(perr_ic_index_ff, UInt<7>("h04e")) @[ifu_mem_ctl.scala 693:102] + node _T_7769 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_7770 = and(_T_7768, _T_7769) @[ifu_mem_ctl.scala 693:124] + node _T_7771 = or(_T_7767, _T_7770) @[ifu_mem_ctl.scala 693:81] + node _T_7772 = or(_T_7771, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7773 = bits(_T_7772, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7774 : UInt<1>, rvclkhdr_91.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7773 : @[Reg.scala 28:19] + _T_7774 <= _T_7764 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][78] <= _T_7774 @[ifu_mem_ctl.scala 692:41] + node _T_7775 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7776 = eq(_T_7775, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7777 = and(ic_valid_ff, _T_7776) @[ifu_mem_ctl.scala 692:97] + node _T_7778 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7779 = and(_T_7777, _T_7778) @[ifu_mem_ctl.scala 692:122] + node _T_7780 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[ifu_mem_ctl.scala 693:37] + node _T_7781 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_7782 = and(_T_7780, _T_7781) @[ifu_mem_ctl.scala 693:59] + node _T_7783 = eq(perr_ic_index_ff, UInt<7>("h04f")) @[ifu_mem_ctl.scala 693:102] + node _T_7784 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_7785 = and(_T_7783, _T_7784) @[ifu_mem_ctl.scala 693:124] + node _T_7786 = or(_T_7782, _T_7785) @[ifu_mem_ctl.scala 693:81] + node _T_7787 = or(_T_7786, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7788 = bits(_T_7787, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7789 : UInt<1>, rvclkhdr_91.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7788 : @[Reg.scala 28:19] + _T_7789 <= _T_7779 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][79] <= _T_7789 @[ifu_mem_ctl.scala 692:41] + node _T_7790 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7791 = eq(_T_7790, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7792 = and(ic_valid_ff, _T_7791) @[ifu_mem_ctl.scala 692:97] + node _T_7793 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7794 = and(_T_7792, _T_7793) @[ifu_mem_ctl.scala 692:122] + node _T_7795 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[ifu_mem_ctl.scala 693:37] + node _T_7796 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_7797 = and(_T_7795, _T_7796) @[ifu_mem_ctl.scala 693:59] + node _T_7798 = eq(perr_ic_index_ff, UInt<7>("h050")) @[ifu_mem_ctl.scala 693:102] + node _T_7799 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_7800 = and(_T_7798, _T_7799) @[ifu_mem_ctl.scala 693:124] + node _T_7801 = or(_T_7797, _T_7800) @[ifu_mem_ctl.scala 693:81] + node _T_7802 = or(_T_7801, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7803 = bits(_T_7802, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7804 : UInt<1>, rvclkhdr_91.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7803 : @[Reg.scala 28:19] + _T_7804 <= _T_7794 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][80] <= _T_7804 @[ifu_mem_ctl.scala 692:41] + node _T_7805 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7806 = eq(_T_7805, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7807 = and(ic_valid_ff, _T_7806) @[ifu_mem_ctl.scala 692:97] + node _T_7808 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7809 = and(_T_7807, _T_7808) @[ifu_mem_ctl.scala 692:122] + node _T_7810 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[ifu_mem_ctl.scala 693:37] + node _T_7811 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_7812 = and(_T_7810, _T_7811) @[ifu_mem_ctl.scala 693:59] + node _T_7813 = eq(perr_ic_index_ff, UInt<7>("h051")) @[ifu_mem_ctl.scala 693:102] + node _T_7814 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_7815 = and(_T_7813, _T_7814) @[ifu_mem_ctl.scala 693:124] + node _T_7816 = or(_T_7812, _T_7815) @[ifu_mem_ctl.scala 693:81] + node _T_7817 = or(_T_7816, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7818 = bits(_T_7817, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7819 : UInt<1>, rvclkhdr_91.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7818 : @[Reg.scala 28:19] + _T_7819 <= _T_7809 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][81] <= _T_7819 @[ifu_mem_ctl.scala 692:41] + node _T_7820 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7821 = eq(_T_7820, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7822 = and(ic_valid_ff, _T_7821) @[ifu_mem_ctl.scala 692:97] + node _T_7823 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7824 = and(_T_7822, _T_7823) @[ifu_mem_ctl.scala 692:122] + node _T_7825 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[ifu_mem_ctl.scala 693:37] + node _T_7826 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_7827 = and(_T_7825, _T_7826) @[ifu_mem_ctl.scala 693:59] + node _T_7828 = eq(perr_ic_index_ff, UInt<7>("h052")) @[ifu_mem_ctl.scala 693:102] + node _T_7829 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_7830 = and(_T_7828, _T_7829) @[ifu_mem_ctl.scala 693:124] + node _T_7831 = or(_T_7827, _T_7830) @[ifu_mem_ctl.scala 693:81] + node _T_7832 = or(_T_7831, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7833 = bits(_T_7832, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7834 : UInt<1>, rvclkhdr_91.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7833 : @[Reg.scala 28:19] + _T_7834 <= _T_7824 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][82] <= _T_7834 @[ifu_mem_ctl.scala 692:41] + node _T_7835 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7836 = eq(_T_7835, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7837 = and(ic_valid_ff, _T_7836) @[ifu_mem_ctl.scala 692:97] + node _T_7838 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7839 = and(_T_7837, _T_7838) @[ifu_mem_ctl.scala 692:122] + node _T_7840 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[ifu_mem_ctl.scala 693:37] + node _T_7841 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_7842 = and(_T_7840, _T_7841) @[ifu_mem_ctl.scala 693:59] + node _T_7843 = eq(perr_ic_index_ff, UInt<7>("h053")) @[ifu_mem_ctl.scala 693:102] + node _T_7844 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_7845 = and(_T_7843, _T_7844) @[ifu_mem_ctl.scala 693:124] + node _T_7846 = or(_T_7842, _T_7845) @[ifu_mem_ctl.scala 693:81] + node _T_7847 = or(_T_7846, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7848 = bits(_T_7847, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7849 : UInt<1>, rvclkhdr_91.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7848 : @[Reg.scala 28:19] + _T_7849 <= _T_7839 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][83] <= _T_7849 @[ifu_mem_ctl.scala 692:41] + node _T_7850 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7851 = eq(_T_7850, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7852 = and(ic_valid_ff, _T_7851) @[ifu_mem_ctl.scala 692:97] + node _T_7853 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7854 = and(_T_7852, _T_7853) @[ifu_mem_ctl.scala 692:122] + node _T_7855 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[ifu_mem_ctl.scala 693:37] + node _T_7856 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_7857 = and(_T_7855, _T_7856) @[ifu_mem_ctl.scala 693:59] + node _T_7858 = eq(perr_ic_index_ff, UInt<7>("h054")) @[ifu_mem_ctl.scala 693:102] + node _T_7859 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_7860 = and(_T_7858, _T_7859) @[ifu_mem_ctl.scala 693:124] + node _T_7861 = or(_T_7857, _T_7860) @[ifu_mem_ctl.scala 693:81] + node _T_7862 = or(_T_7861, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7863 = bits(_T_7862, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7864 : UInt<1>, rvclkhdr_91.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7863 : @[Reg.scala 28:19] + _T_7864 <= _T_7854 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][84] <= _T_7864 @[ifu_mem_ctl.scala 692:41] + node _T_7865 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7866 = eq(_T_7865, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7867 = and(ic_valid_ff, _T_7866) @[ifu_mem_ctl.scala 692:97] + node _T_7868 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7869 = and(_T_7867, _T_7868) @[ifu_mem_ctl.scala 692:122] + node _T_7870 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[ifu_mem_ctl.scala 693:37] + node _T_7871 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_7872 = and(_T_7870, _T_7871) @[ifu_mem_ctl.scala 693:59] + node _T_7873 = eq(perr_ic_index_ff, UInt<7>("h055")) @[ifu_mem_ctl.scala 693:102] + node _T_7874 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_7875 = and(_T_7873, _T_7874) @[ifu_mem_ctl.scala 693:124] + node _T_7876 = or(_T_7872, _T_7875) @[ifu_mem_ctl.scala 693:81] + node _T_7877 = or(_T_7876, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7878 = bits(_T_7877, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7879 : UInt<1>, rvclkhdr_91.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7878 : @[Reg.scala 28:19] + _T_7879 <= _T_7869 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][85] <= _T_7879 @[ifu_mem_ctl.scala 692:41] + node _T_7880 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7881 = eq(_T_7880, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7882 = and(ic_valid_ff, _T_7881) @[ifu_mem_ctl.scala 692:97] + node _T_7883 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7884 = and(_T_7882, _T_7883) @[ifu_mem_ctl.scala 692:122] + node _T_7885 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[ifu_mem_ctl.scala 693:37] + node _T_7886 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_7887 = and(_T_7885, _T_7886) @[ifu_mem_ctl.scala 693:59] + node _T_7888 = eq(perr_ic_index_ff, UInt<7>("h056")) @[ifu_mem_ctl.scala 693:102] + node _T_7889 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_7890 = and(_T_7888, _T_7889) @[ifu_mem_ctl.scala 693:124] + node _T_7891 = or(_T_7887, _T_7890) @[ifu_mem_ctl.scala 693:81] + node _T_7892 = or(_T_7891, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7893 = bits(_T_7892, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7894 : UInt<1>, rvclkhdr_91.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7893 : @[Reg.scala 28:19] + _T_7894 <= _T_7884 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][86] <= _T_7894 @[ifu_mem_ctl.scala 692:41] + node _T_7895 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7896 = eq(_T_7895, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7897 = and(ic_valid_ff, _T_7896) @[ifu_mem_ctl.scala 692:97] + node _T_7898 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7899 = and(_T_7897, _T_7898) @[ifu_mem_ctl.scala 692:122] + node _T_7900 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[ifu_mem_ctl.scala 693:37] + node _T_7901 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_7902 = and(_T_7900, _T_7901) @[ifu_mem_ctl.scala 693:59] + node _T_7903 = eq(perr_ic_index_ff, UInt<7>("h057")) @[ifu_mem_ctl.scala 693:102] + node _T_7904 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_7905 = and(_T_7903, _T_7904) @[ifu_mem_ctl.scala 693:124] + node _T_7906 = or(_T_7902, _T_7905) @[ifu_mem_ctl.scala 693:81] + node _T_7907 = or(_T_7906, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7908 = bits(_T_7907, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7909 : UInt<1>, rvclkhdr_91.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7908 : @[Reg.scala 28:19] + _T_7909 <= _T_7899 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][87] <= _T_7909 @[ifu_mem_ctl.scala 692:41] + node _T_7910 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7911 = eq(_T_7910, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7912 = and(ic_valid_ff, _T_7911) @[ifu_mem_ctl.scala 692:97] + node _T_7913 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7914 = and(_T_7912, _T_7913) @[ifu_mem_ctl.scala 692:122] + node _T_7915 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[ifu_mem_ctl.scala 693:37] + node _T_7916 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_7917 = and(_T_7915, _T_7916) @[ifu_mem_ctl.scala 693:59] + node _T_7918 = eq(perr_ic_index_ff, UInt<7>("h058")) @[ifu_mem_ctl.scala 693:102] + node _T_7919 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_7920 = and(_T_7918, _T_7919) @[ifu_mem_ctl.scala 693:124] + node _T_7921 = or(_T_7917, _T_7920) @[ifu_mem_ctl.scala 693:81] + node _T_7922 = or(_T_7921, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7923 = bits(_T_7922, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7924 : UInt<1>, rvclkhdr_91.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7923 : @[Reg.scala 28:19] + _T_7924 <= _T_7914 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][88] <= _T_7924 @[ifu_mem_ctl.scala 692:41] + node _T_7925 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7926 = eq(_T_7925, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7927 = and(ic_valid_ff, _T_7926) @[ifu_mem_ctl.scala 692:97] + node _T_7928 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7929 = and(_T_7927, _T_7928) @[ifu_mem_ctl.scala 692:122] + node _T_7930 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[ifu_mem_ctl.scala 693:37] + node _T_7931 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_7932 = and(_T_7930, _T_7931) @[ifu_mem_ctl.scala 693:59] + node _T_7933 = eq(perr_ic_index_ff, UInt<7>("h059")) @[ifu_mem_ctl.scala 693:102] + node _T_7934 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_7935 = and(_T_7933, _T_7934) @[ifu_mem_ctl.scala 693:124] + node _T_7936 = or(_T_7932, _T_7935) @[ifu_mem_ctl.scala 693:81] + node _T_7937 = or(_T_7936, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7938 = bits(_T_7937, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7939 : UInt<1>, rvclkhdr_91.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7938 : @[Reg.scala 28:19] + _T_7939 <= _T_7929 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][89] <= _T_7939 @[ifu_mem_ctl.scala 692:41] + node _T_7940 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7941 = eq(_T_7940, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7942 = and(ic_valid_ff, _T_7941) @[ifu_mem_ctl.scala 692:97] + node _T_7943 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7944 = and(_T_7942, _T_7943) @[ifu_mem_ctl.scala 692:122] + node _T_7945 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[ifu_mem_ctl.scala 693:37] + node _T_7946 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_7947 = and(_T_7945, _T_7946) @[ifu_mem_ctl.scala 693:59] + node _T_7948 = eq(perr_ic_index_ff, UInt<7>("h05a")) @[ifu_mem_ctl.scala 693:102] + node _T_7949 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_7950 = and(_T_7948, _T_7949) @[ifu_mem_ctl.scala 693:124] + node _T_7951 = or(_T_7947, _T_7950) @[ifu_mem_ctl.scala 693:81] + node _T_7952 = or(_T_7951, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7953 = bits(_T_7952, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7954 : UInt<1>, rvclkhdr_91.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7953 : @[Reg.scala 28:19] + _T_7954 <= _T_7944 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][90] <= _T_7954 @[ifu_mem_ctl.scala 692:41] + node _T_7955 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7956 = eq(_T_7955, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7957 = and(ic_valid_ff, _T_7956) @[ifu_mem_ctl.scala 692:97] + node _T_7958 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7959 = and(_T_7957, _T_7958) @[ifu_mem_ctl.scala 692:122] + node _T_7960 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[ifu_mem_ctl.scala 693:37] + node _T_7961 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_7962 = and(_T_7960, _T_7961) @[ifu_mem_ctl.scala 693:59] + node _T_7963 = eq(perr_ic_index_ff, UInt<7>("h05b")) @[ifu_mem_ctl.scala 693:102] + node _T_7964 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_7965 = and(_T_7963, _T_7964) @[ifu_mem_ctl.scala 693:124] + node _T_7966 = or(_T_7962, _T_7965) @[ifu_mem_ctl.scala 693:81] + node _T_7967 = or(_T_7966, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7968 = bits(_T_7967, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7969 : UInt<1>, rvclkhdr_91.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7968 : @[Reg.scala 28:19] + _T_7969 <= _T_7959 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][91] <= _T_7969 @[ifu_mem_ctl.scala 692:41] + node _T_7970 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7971 = eq(_T_7970, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7972 = and(ic_valid_ff, _T_7971) @[ifu_mem_ctl.scala 692:97] + node _T_7973 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7974 = and(_T_7972, _T_7973) @[ifu_mem_ctl.scala 692:122] + node _T_7975 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[ifu_mem_ctl.scala 693:37] + node _T_7976 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_7977 = and(_T_7975, _T_7976) @[ifu_mem_ctl.scala 693:59] + node _T_7978 = eq(perr_ic_index_ff, UInt<7>("h05c")) @[ifu_mem_ctl.scala 693:102] + node _T_7979 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_7980 = and(_T_7978, _T_7979) @[ifu_mem_ctl.scala 693:124] + node _T_7981 = or(_T_7977, _T_7980) @[ifu_mem_ctl.scala 693:81] + node _T_7982 = or(_T_7981, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7983 = bits(_T_7982, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7984 : UInt<1>, rvclkhdr_91.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7983 : @[Reg.scala 28:19] + _T_7984 <= _T_7974 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][92] <= _T_7984 @[ifu_mem_ctl.scala 692:41] + node _T_7985 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_7986 = eq(_T_7985, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_7987 = and(ic_valid_ff, _T_7986) @[ifu_mem_ctl.scala 692:97] + node _T_7988 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_7989 = and(_T_7987, _T_7988) @[ifu_mem_ctl.scala 692:122] + node _T_7990 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[ifu_mem_ctl.scala 693:37] + node _T_7991 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_7992 = and(_T_7990, _T_7991) @[ifu_mem_ctl.scala 693:59] + node _T_7993 = eq(perr_ic_index_ff, UInt<7>("h05d")) @[ifu_mem_ctl.scala 693:102] + node _T_7994 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_7995 = and(_T_7993, _T_7994) @[ifu_mem_ctl.scala 693:124] + node _T_7996 = or(_T_7992, _T_7995) @[ifu_mem_ctl.scala 693:81] + node _T_7997 = or(_T_7996, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_7998 = bits(_T_7997, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_7999 : UInt<1>, rvclkhdr_91.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_7998 : @[Reg.scala 28:19] + _T_7999 <= _T_7989 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][93] <= _T_7999 @[ifu_mem_ctl.scala 692:41] + node _T_8000 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8001 = eq(_T_8000, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8002 = and(ic_valid_ff, _T_8001) @[ifu_mem_ctl.scala 692:97] + node _T_8003 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8004 = and(_T_8002, _T_8003) @[ifu_mem_ctl.scala 692:122] + node _T_8005 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[ifu_mem_ctl.scala 693:37] + node _T_8006 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_8007 = and(_T_8005, _T_8006) @[ifu_mem_ctl.scala 693:59] + node _T_8008 = eq(perr_ic_index_ff, UInt<7>("h05e")) @[ifu_mem_ctl.scala 693:102] + node _T_8009 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_8010 = and(_T_8008, _T_8009) @[ifu_mem_ctl.scala 693:124] + node _T_8011 = or(_T_8007, _T_8010) @[ifu_mem_ctl.scala 693:81] + node _T_8012 = or(_T_8011, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8013 = bits(_T_8012, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8014 : UInt<1>, rvclkhdr_91.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8013 : @[Reg.scala 28:19] + _T_8014 <= _T_8004 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][94] <= _T_8014 @[ifu_mem_ctl.scala 692:41] + node _T_8015 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8016 = eq(_T_8015, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8017 = and(ic_valid_ff, _T_8016) @[ifu_mem_ctl.scala 692:97] + node _T_8018 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8019 = and(_T_8017, _T_8018) @[ifu_mem_ctl.scala 692:122] + node _T_8020 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[ifu_mem_ctl.scala 693:37] + node _T_8021 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_8022 = and(_T_8020, _T_8021) @[ifu_mem_ctl.scala 693:59] + node _T_8023 = eq(perr_ic_index_ff, UInt<7>("h05f")) @[ifu_mem_ctl.scala 693:102] + node _T_8024 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_8025 = and(_T_8023, _T_8024) @[ifu_mem_ctl.scala 693:124] + node _T_8026 = or(_T_8022, _T_8025) @[ifu_mem_ctl.scala 693:81] + node _T_8027 = or(_T_8026, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8028 = bits(_T_8027, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8029 : UInt<1>, rvclkhdr_91.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8028 : @[Reg.scala 28:19] + _T_8029 <= _T_8019 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][95] <= _T_8029 @[ifu_mem_ctl.scala 692:41] + node _T_8030 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8031 = eq(_T_8030, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8032 = and(ic_valid_ff, _T_8031) @[ifu_mem_ctl.scala 692:97] + node _T_8033 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8034 = and(_T_8032, _T_8033) @[ifu_mem_ctl.scala 692:122] + node _T_8035 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[ifu_mem_ctl.scala 693:37] + node _T_8036 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_8037 = and(_T_8035, _T_8036) @[ifu_mem_ctl.scala 693:59] + node _T_8038 = eq(perr_ic_index_ff, UInt<7>("h060")) @[ifu_mem_ctl.scala 693:102] + node _T_8039 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_8040 = and(_T_8038, _T_8039) @[ifu_mem_ctl.scala 693:124] + node _T_8041 = or(_T_8037, _T_8040) @[ifu_mem_ctl.scala 693:81] + node _T_8042 = or(_T_8041, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8043 = bits(_T_8042, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8044 : UInt<1>, rvclkhdr_92.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8043 : @[Reg.scala 28:19] + _T_8044 <= _T_8034 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][96] <= _T_8044 @[ifu_mem_ctl.scala 692:41] + node _T_8045 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8046 = eq(_T_8045, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8047 = and(ic_valid_ff, _T_8046) @[ifu_mem_ctl.scala 692:97] + node _T_8048 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8049 = and(_T_8047, _T_8048) @[ifu_mem_ctl.scala 692:122] + node _T_8050 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[ifu_mem_ctl.scala 693:37] + node _T_8051 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_8052 = and(_T_8050, _T_8051) @[ifu_mem_ctl.scala 693:59] + node _T_8053 = eq(perr_ic_index_ff, UInt<7>("h061")) @[ifu_mem_ctl.scala 693:102] + node _T_8054 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_8055 = and(_T_8053, _T_8054) @[ifu_mem_ctl.scala 693:124] + node _T_8056 = or(_T_8052, _T_8055) @[ifu_mem_ctl.scala 693:81] + node _T_8057 = or(_T_8056, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8058 = bits(_T_8057, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8059 : UInt<1>, rvclkhdr_92.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8058 : @[Reg.scala 28:19] + _T_8059 <= _T_8049 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][97] <= _T_8059 @[ifu_mem_ctl.scala 692:41] + node _T_8060 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8061 = eq(_T_8060, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8062 = and(ic_valid_ff, _T_8061) @[ifu_mem_ctl.scala 692:97] + node _T_8063 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8064 = and(_T_8062, _T_8063) @[ifu_mem_ctl.scala 692:122] + node _T_8065 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[ifu_mem_ctl.scala 693:37] + node _T_8066 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_8067 = and(_T_8065, _T_8066) @[ifu_mem_ctl.scala 693:59] + node _T_8068 = eq(perr_ic_index_ff, UInt<7>("h062")) @[ifu_mem_ctl.scala 693:102] + node _T_8069 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_8070 = and(_T_8068, _T_8069) @[ifu_mem_ctl.scala 693:124] + node _T_8071 = or(_T_8067, _T_8070) @[ifu_mem_ctl.scala 693:81] + node _T_8072 = or(_T_8071, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8073 = bits(_T_8072, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8074 : UInt<1>, rvclkhdr_92.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8073 : @[Reg.scala 28:19] + _T_8074 <= _T_8064 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][98] <= _T_8074 @[ifu_mem_ctl.scala 692:41] + node _T_8075 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8076 = eq(_T_8075, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8077 = and(ic_valid_ff, _T_8076) @[ifu_mem_ctl.scala 692:97] + node _T_8078 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8079 = and(_T_8077, _T_8078) @[ifu_mem_ctl.scala 692:122] + node _T_8080 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[ifu_mem_ctl.scala 693:37] + node _T_8081 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_8082 = and(_T_8080, _T_8081) @[ifu_mem_ctl.scala 693:59] + node _T_8083 = eq(perr_ic_index_ff, UInt<7>("h063")) @[ifu_mem_ctl.scala 693:102] + node _T_8084 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_8085 = and(_T_8083, _T_8084) @[ifu_mem_ctl.scala 693:124] + node _T_8086 = or(_T_8082, _T_8085) @[ifu_mem_ctl.scala 693:81] + node _T_8087 = or(_T_8086, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8088 = bits(_T_8087, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8089 : UInt<1>, rvclkhdr_92.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8088 : @[Reg.scala 28:19] + _T_8089 <= _T_8079 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][99] <= _T_8089 @[ifu_mem_ctl.scala 692:41] + node _T_8090 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8091 = eq(_T_8090, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8092 = and(ic_valid_ff, _T_8091) @[ifu_mem_ctl.scala 692:97] + node _T_8093 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8094 = and(_T_8092, _T_8093) @[ifu_mem_ctl.scala 692:122] + node _T_8095 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[ifu_mem_ctl.scala 693:37] + node _T_8096 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_8097 = and(_T_8095, _T_8096) @[ifu_mem_ctl.scala 693:59] + node _T_8098 = eq(perr_ic_index_ff, UInt<7>("h064")) @[ifu_mem_ctl.scala 693:102] + node _T_8099 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_8100 = and(_T_8098, _T_8099) @[ifu_mem_ctl.scala 693:124] + node _T_8101 = or(_T_8097, _T_8100) @[ifu_mem_ctl.scala 693:81] + node _T_8102 = or(_T_8101, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8103 = bits(_T_8102, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8104 : UInt<1>, rvclkhdr_92.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8103 : @[Reg.scala 28:19] + _T_8104 <= _T_8094 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][100] <= _T_8104 @[ifu_mem_ctl.scala 692:41] + node _T_8105 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8106 = eq(_T_8105, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8107 = and(ic_valid_ff, _T_8106) @[ifu_mem_ctl.scala 692:97] + node _T_8108 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8109 = and(_T_8107, _T_8108) @[ifu_mem_ctl.scala 692:122] + node _T_8110 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[ifu_mem_ctl.scala 693:37] + node _T_8111 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_8112 = and(_T_8110, _T_8111) @[ifu_mem_ctl.scala 693:59] + node _T_8113 = eq(perr_ic_index_ff, UInt<7>("h065")) @[ifu_mem_ctl.scala 693:102] + node _T_8114 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_8115 = and(_T_8113, _T_8114) @[ifu_mem_ctl.scala 693:124] + node _T_8116 = or(_T_8112, _T_8115) @[ifu_mem_ctl.scala 693:81] + node _T_8117 = or(_T_8116, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8118 = bits(_T_8117, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8119 : UInt<1>, rvclkhdr_92.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8118 : @[Reg.scala 28:19] + _T_8119 <= _T_8109 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][101] <= _T_8119 @[ifu_mem_ctl.scala 692:41] + node _T_8120 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8121 = eq(_T_8120, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8122 = and(ic_valid_ff, _T_8121) @[ifu_mem_ctl.scala 692:97] + node _T_8123 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8124 = and(_T_8122, _T_8123) @[ifu_mem_ctl.scala 692:122] + node _T_8125 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[ifu_mem_ctl.scala 693:37] + node _T_8126 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_8127 = and(_T_8125, _T_8126) @[ifu_mem_ctl.scala 693:59] + node _T_8128 = eq(perr_ic_index_ff, UInt<7>("h066")) @[ifu_mem_ctl.scala 693:102] + node _T_8129 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_8130 = and(_T_8128, _T_8129) @[ifu_mem_ctl.scala 693:124] + node _T_8131 = or(_T_8127, _T_8130) @[ifu_mem_ctl.scala 693:81] + node _T_8132 = or(_T_8131, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8133 = bits(_T_8132, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8134 : UInt<1>, rvclkhdr_92.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8133 : @[Reg.scala 28:19] + _T_8134 <= _T_8124 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][102] <= _T_8134 @[ifu_mem_ctl.scala 692:41] + node _T_8135 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8136 = eq(_T_8135, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8137 = and(ic_valid_ff, _T_8136) @[ifu_mem_ctl.scala 692:97] + node _T_8138 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8139 = and(_T_8137, _T_8138) @[ifu_mem_ctl.scala 692:122] + node _T_8140 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[ifu_mem_ctl.scala 693:37] + node _T_8141 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_8142 = and(_T_8140, _T_8141) @[ifu_mem_ctl.scala 693:59] + node _T_8143 = eq(perr_ic_index_ff, UInt<7>("h067")) @[ifu_mem_ctl.scala 693:102] + node _T_8144 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_8145 = and(_T_8143, _T_8144) @[ifu_mem_ctl.scala 693:124] + node _T_8146 = or(_T_8142, _T_8145) @[ifu_mem_ctl.scala 693:81] + node _T_8147 = or(_T_8146, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8148 = bits(_T_8147, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8149 : UInt<1>, rvclkhdr_92.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8148 : @[Reg.scala 28:19] + _T_8149 <= _T_8139 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][103] <= _T_8149 @[ifu_mem_ctl.scala 692:41] + node _T_8150 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8151 = eq(_T_8150, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8152 = and(ic_valid_ff, _T_8151) @[ifu_mem_ctl.scala 692:97] + node _T_8153 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8154 = and(_T_8152, _T_8153) @[ifu_mem_ctl.scala 692:122] + node _T_8155 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[ifu_mem_ctl.scala 693:37] + node _T_8156 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_8157 = and(_T_8155, _T_8156) @[ifu_mem_ctl.scala 693:59] + node _T_8158 = eq(perr_ic_index_ff, UInt<7>("h068")) @[ifu_mem_ctl.scala 693:102] + node _T_8159 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_8160 = and(_T_8158, _T_8159) @[ifu_mem_ctl.scala 693:124] + node _T_8161 = or(_T_8157, _T_8160) @[ifu_mem_ctl.scala 693:81] + node _T_8162 = or(_T_8161, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8163 = bits(_T_8162, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8164 : UInt<1>, rvclkhdr_92.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8163 : @[Reg.scala 28:19] + _T_8164 <= _T_8154 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][104] <= _T_8164 @[ifu_mem_ctl.scala 692:41] + node _T_8165 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8166 = eq(_T_8165, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8167 = and(ic_valid_ff, _T_8166) @[ifu_mem_ctl.scala 692:97] + node _T_8168 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8169 = and(_T_8167, _T_8168) @[ifu_mem_ctl.scala 692:122] + node _T_8170 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[ifu_mem_ctl.scala 693:37] + node _T_8171 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_8172 = and(_T_8170, _T_8171) @[ifu_mem_ctl.scala 693:59] + node _T_8173 = eq(perr_ic_index_ff, UInt<7>("h069")) @[ifu_mem_ctl.scala 693:102] + node _T_8174 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_8175 = and(_T_8173, _T_8174) @[ifu_mem_ctl.scala 693:124] + node _T_8176 = or(_T_8172, _T_8175) @[ifu_mem_ctl.scala 693:81] + node _T_8177 = or(_T_8176, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8178 = bits(_T_8177, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8179 : UInt<1>, rvclkhdr_92.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8178 : @[Reg.scala 28:19] + _T_8179 <= _T_8169 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][105] <= _T_8179 @[ifu_mem_ctl.scala 692:41] + node _T_8180 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8181 = eq(_T_8180, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8182 = and(ic_valid_ff, _T_8181) @[ifu_mem_ctl.scala 692:97] + node _T_8183 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8184 = and(_T_8182, _T_8183) @[ifu_mem_ctl.scala 692:122] + node _T_8185 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[ifu_mem_ctl.scala 693:37] + node _T_8186 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_8187 = and(_T_8185, _T_8186) @[ifu_mem_ctl.scala 693:59] + node _T_8188 = eq(perr_ic_index_ff, UInt<7>("h06a")) @[ifu_mem_ctl.scala 693:102] + node _T_8189 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_8190 = and(_T_8188, _T_8189) @[ifu_mem_ctl.scala 693:124] + node _T_8191 = or(_T_8187, _T_8190) @[ifu_mem_ctl.scala 693:81] + node _T_8192 = or(_T_8191, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8193 = bits(_T_8192, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8194 : UInt<1>, rvclkhdr_92.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8193 : @[Reg.scala 28:19] + _T_8194 <= _T_8184 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][106] <= _T_8194 @[ifu_mem_ctl.scala 692:41] + node _T_8195 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8196 = eq(_T_8195, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8197 = and(ic_valid_ff, _T_8196) @[ifu_mem_ctl.scala 692:97] + node _T_8198 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8199 = and(_T_8197, _T_8198) @[ifu_mem_ctl.scala 692:122] + node _T_8200 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[ifu_mem_ctl.scala 693:37] + node _T_8201 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_8202 = and(_T_8200, _T_8201) @[ifu_mem_ctl.scala 693:59] + node _T_8203 = eq(perr_ic_index_ff, UInt<7>("h06b")) @[ifu_mem_ctl.scala 693:102] + node _T_8204 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_8205 = and(_T_8203, _T_8204) @[ifu_mem_ctl.scala 693:124] + node _T_8206 = or(_T_8202, _T_8205) @[ifu_mem_ctl.scala 693:81] + node _T_8207 = or(_T_8206, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8208 = bits(_T_8207, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8209 : UInt<1>, rvclkhdr_92.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8208 : @[Reg.scala 28:19] + _T_8209 <= _T_8199 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][107] <= _T_8209 @[ifu_mem_ctl.scala 692:41] + node _T_8210 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8211 = eq(_T_8210, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8212 = and(ic_valid_ff, _T_8211) @[ifu_mem_ctl.scala 692:97] + node _T_8213 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8214 = and(_T_8212, _T_8213) @[ifu_mem_ctl.scala 692:122] + node _T_8215 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[ifu_mem_ctl.scala 693:37] + node _T_8216 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_8217 = and(_T_8215, _T_8216) @[ifu_mem_ctl.scala 693:59] + node _T_8218 = eq(perr_ic_index_ff, UInt<7>("h06c")) @[ifu_mem_ctl.scala 693:102] + node _T_8219 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_8220 = and(_T_8218, _T_8219) @[ifu_mem_ctl.scala 693:124] + node _T_8221 = or(_T_8217, _T_8220) @[ifu_mem_ctl.scala 693:81] + node _T_8222 = or(_T_8221, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8223 = bits(_T_8222, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8224 : UInt<1>, rvclkhdr_92.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8223 : @[Reg.scala 28:19] + _T_8224 <= _T_8214 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][108] <= _T_8224 @[ifu_mem_ctl.scala 692:41] + node _T_8225 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8226 = eq(_T_8225, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8227 = and(ic_valid_ff, _T_8226) @[ifu_mem_ctl.scala 692:97] + node _T_8228 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8229 = and(_T_8227, _T_8228) @[ifu_mem_ctl.scala 692:122] + node _T_8230 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[ifu_mem_ctl.scala 693:37] + node _T_8231 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_8232 = and(_T_8230, _T_8231) @[ifu_mem_ctl.scala 693:59] + node _T_8233 = eq(perr_ic_index_ff, UInt<7>("h06d")) @[ifu_mem_ctl.scala 693:102] + node _T_8234 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_8235 = and(_T_8233, _T_8234) @[ifu_mem_ctl.scala 693:124] + node _T_8236 = or(_T_8232, _T_8235) @[ifu_mem_ctl.scala 693:81] + node _T_8237 = or(_T_8236, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8238 = bits(_T_8237, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8239 : UInt<1>, rvclkhdr_92.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8238 : @[Reg.scala 28:19] + _T_8239 <= _T_8229 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][109] <= _T_8239 @[ifu_mem_ctl.scala 692:41] + node _T_8240 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8241 = eq(_T_8240, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8242 = and(ic_valid_ff, _T_8241) @[ifu_mem_ctl.scala 692:97] + node _T_8243 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8244 = and(_T_8242, _T_8243) @[ifu_mem_ctl.scala 692:122] + node _T_8245 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[ifu_mem_ctl.scala 693:37] + node _T_8246 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_8247 = and(_T_8245, _T_8246) @[ifu_mem_ctl.scala 693:59] + node _T_8248 = eq(perr_ic_index_ff, UInt<7>("h06e")) @[ifu_mem_ctl.scala 693:102] + node _T_8249 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_8250 = and(_T_8248, _T_8249) @[ifu_mem_ctl.scala 693:124] + node _T_8251 = or(_T_8247, _T_8250) @[ifu_mem_ctl.scala 693:81] + node _T_8252 = or(_T_8251, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8253 = bits(_T_8252, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8254 : UInt<1>, rvclkhdr_92.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8253 : @[Reg.scala 28:19] + _T_8254 <= _T_8244 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][110] <= _T_8254 @[ifu_mem_ctl.scala 692:41] + node _T_8255 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8256 = eq(_T_8255, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8257 = and(ic_valid_ff, _T_8256) @[ifu_mem_ctl.scala 692:97] + node _T_8258 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8259 = and(_T_8257, _T_8258) @[ifu_mem_ctl.scala 692:122] + node _T_8260 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[ifu_mem_ctl.scala 693:37] + node _T_8261 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_8262 = and(_T_8260, _T_8261) @[ifu_mem_ctl.scala 693:59] + node _T_8263 = eq(perr_ic_index_ff, UInt<7>("h06f")) @[ifu_mem_ctl.scala 693:102] + node _T_8264 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_8265 = and(_T_8263, _T_8264) @[ifu_mem_ctl.scala 693:124] + node _T_8266 = or(_T_8262, _T_8265) @[ifu_mem_ctl.scala 693:81] + node _T_8267 = or(_T_8266, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8268 = bits(_T_8267, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8269 : UInt<1>, rvclkhdr_92.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8268 : @[Reg.scala 28:19] + _T_8269 <= _T_8259 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][111] <= _T_8269 @[ifu_mem_ctl.scala 692:41] + node _T_8270 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8271 = eq(_T_8270, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8272 = and(ic_valid_ff, _T_8271) @[ifu_mem_ctl.scala 692:97] + node _T_8273 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8274 = and(_T_8272, _T_8273) @[ifu_mem_ctl.scala 692:122] + node _T_8275 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[ifu_mem_ctl.scala 693:37] + node _T_8276 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_8277 = and(_T_8275, _T_8276) @[ifu_mem_ctl.scala 693:59] + node _T_8278 = eq(perr_ic_index_ff, UInt<7>("h070")) @[ifu_mem_ctl.scala 693:102] + node _T_8279 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_8280 = and(_T_8278, _T_8279) @[ifu_mem_ctl.scala 693:124] + node _T_8281 = or(_T_8277, _T_8280) @[ifu_mem_ctl.scala 693:81] + node _T_8282 = or(_T_8281, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8283 = bits(_T_8282, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8284 : UInt<1>, rvclkhdr_92.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8283 : @[Reg.scala 28:19] + _T_8284 <= _T_8274 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][112] <= _T_8284 @[ifu_mem_ctl.scala 692:41] + node _T_8285 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8286 = eq(_T_8285, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8287 = and(ic_valid_ff, _T_8286) @[ifu_mem_ctl.scala 692:97] + node _T_8288 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8289 = and(_T_8287, _T_8288) @[ifu_mem_ctl.scala 692:122] + node _T_8290 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[ifu_mem_ctl.scala 693:37] + node _T_8291 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_8292 = and(_T_8290, _T_8291) @[ifu_mem_ctl.scala 693:59] + node _T_8293 = eq(perr_ic_index_ff, UInt<7>("h071")) @[ifu_mem_ctl.scala 693:102] + node _T_8294 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_8295 = and(_T_8293, _T_8294) @[ifu_mem_ctl.scala 693:124] + node _T_8296 = or(_T_8292, _T_8295) @[ifu_mem_ctl.scala 693:81] + node _T_8297 = or(_T_8296, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8298 = bits(_T_8297, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8299 : UInt<1>, rvclkhdr_92.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8298 : @[Reg.scala 28:19] + _T_8299 <= _T_8289 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][113] <= _T_8299 @[ifu_mem_ctl.scala 692:41] + node _T_8300 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8301 = eq(_T_8300, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8302 = and(ic_valid_ff, _T_8301) @[ifu_mem_ctl.scala 692:97] + node _T_8303 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8304 = and(_T_8302, _T_8303) @[ifu_mem_ctl.scala 692:122] + node _T_8305 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[ifu_mem_ctl.scala 693:37] + node _T_8306 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_8307 = and(_T_8305, _T_8306) @[ifu_mem_ctl.scala 693:59] + node _T_8308 = eq(perr_ic_index_ff, UInt<7>("h072")) @[ifu_mem_ctl.scala 693:102] + node _T_8309 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_8310 = and(_T_8308, _T_8309) @[ifu_mem_ctl.scala 693:124] + node _T_8311 = or(_T_8307, _T_8310) @[ifu_mem_ctl.scala 693:81] + node _T_8312 = or(_T_8311, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8313 = bits(_T_8312, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8314 : UInt<1>, rvclkhdr_92.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8313 : @[Reg.scala 28:19] + _T_8314 <= _T_8304 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][114] <= _T_8314 @[ifu_mem_ctl.scala 692:41] + node _T_8315 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8316 = eq(_T_8315, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8317 = and(ic_valid_ff, _T_8316) @[ifu_mem_ctl.scala 692:97] + node _T_8318 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8319 = and(_T_8317, _T_8318) @[ifu_mem_ctl.scala 692:122] + node _T_8320 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[ifu_mem_ctl.scala 693:37] + node _T_8321 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_8322 = and(_T_8320, _T_8321) @[ifu_mem_ctl.scala 693:59] + node _T_8323 = eq(perr_ic_index_ff, UInt<7>("h073")) @[ifu_mem_ctl.scala 693:102] + node _T_8324 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_8325 = and(_T_8323, _T_8324) @[ifu_mem_ctl.scala 693:124] + node _T_8326 = or(_T_8322, _T_8325) @[ifu_mem_ctl.scala 693:81] + node _T_8327 = or(_T_8326, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8328 = bits(_T_8327, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8329 : UInt<1>, rvclkhdr_92.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8328 : @[Reg.scala 28:19] + _T_8329 <= _T_8319 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][115] <= _T_8329 @[ifu_mem_ctl.scala 692:41] + node _T_8330 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8331 = eq(_T_8330, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8332 = and(ic_valid_ff, _T_8331) @[ifu_mem_ctl.scala 692:97] + node _T_8333 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8334 = and(_T_8332, _T_8333) @[ifu_mem_ctl.scala 692:122] + node _T_8335 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[ifu_mem_ctl.scala 693:37] + node _T_8336 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_8337 = and(_T_8335, _T_8336) @[ifu_mem_ctl.scala 693:59] + node _T_8338 = eq(perr_ic_index_ff, UInt<7>("h074")) @[ifu_mem_ctl.scala 693:102] + node _T_8339 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_8340 = and(_T_8338, _T_8339) @[ifu_mem_ctl.scala 693:124] + node _T_8341 = or(_T_8337, _T_8340) @[ifu_mem_ctl.scala 693:81] + node _T_8342 = or(_T_8341, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8343 = bits(_T_8342, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8344 : UInt<1>, rvclkhdr_92.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8343 : @[Reg.scala 28:19] + _T_8344 <= _T_8334 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][116] <= _T_8344 @[ifu_mem_ctl.scala 692:41] + node _T_8345 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8346 = eq(_T_8345, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8347 = and(ic_valid_ff, _T_8346) @[ifu_mem_ctl.scala 692:97] + node _T_8348 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8349 = and(_T_8347, _T_8348) @[ifu_mem_ctl.scala 692:122] + node _T_8350 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[ifu_mem_ctl.scala 693:37] + node _T_8351 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_8352 = and(_T_8350, _T_8351) @[ifu_mem_ctl.scala 693:59] + node _T_8353 = eq(perr_ic_index_ff, UInt<7>("h075")) @[ifu_mem_ctl.scala 693:102] + node _T_8354 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_8355 = and(_T_8353, _T_8354) @[ifu_mem_ctl.scala 693:124] + node _T_8356 = or(_T_8352, _T_8355) @[ifu_mem_ctl.scala 693:81] + node _T_8357 = or(_T_8356, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8358 = bits(_T_8357, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8359 : UInt<1>, rvclkhdr_92.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8358 : @[Reg.scala 28:19] + _T_8359 <= _T_8349 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][117] <= _T_8359 @[ifu_mem_ctl.scala 692:41] + node _T_8360 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8361 = eq(_T_8360, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8362 = and(ic_valid_ff, _T_8361) @[ifu_mem_ctl.scala 692:97] + node _T_8363 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8364 = and(_T_8362, _T_8363) @[ifu_mem_ctl.scala 692:122] + node _T_8365 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[ifu_mem_ctl.scala 693:37] + node _T_8366 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_8367 = and(_T_8365, _T_8366) @[ifu_mem_ctl.scala 693:59] + node _T_8368 = eq(perr_ic_index_ff, UInt<7>("h076")) @[ifu_mem_ctl.scala 693:102] + node _T_8369 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_8370 = and(_T_8368, _T_8369) @[ifu_mem_ctl.scala 693:124] + node _T_8371 = or(_T_8367, _T_8370) @[ifu_mem_ctl.scala 693:81] + node _T_8372 = or(_T_8371, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8373 = bits(_T_8372, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8374 : UInt<1>, rvclkhdr_92.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8373 : @[Reg.scala 28:19] + _T_8374 <= _T_8364 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][118] <= _T_8374 @[ifu_mem_ctl.scala 692:41] + node _T_8375 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8376 = eq(_T_8375, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8377 = and(ic_valid_ff, _T_8376) @[ifu_mem_ctl.scala 692:97] + node _T_8378 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8379 = and(_T_8377, _T_8378) @[ifu_mem_ctl.scala 692:122] + node _T_8380 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[ifu_mem_ctl.scala 693:37] + node _T_8381 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_8382 = and(_T_8380, _T_8381) @[ifu_mem_ctl.scala 693:59] + node _T_8383 = eq(perr_ic_index_ff, UInt<7>("h077")) @[ifu_mem_ctl.scala 693:102] + node _T_8384 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_8385 = and(_T_8383, _T_8384) @[ifu_mem_ctl.scala 693:124] + node _T_8386 = or(_T_8382, _T_8385) @[ifu_mem_ctl.scala 693:81] + node _T_8387 = or(_T_8386, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8388 = bits(_T_8387, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8389 : UInt<1>, rvclkhdr_92.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8388 : @[Reg.scala 28:19] + _T_8389 <= _T_8379 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][119] <= _T_8389 @[ifu_mem_ctl.scala 692:41] + node _T_8390 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8391 = eq(_T_8390, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8392 = and(ic_valid_ff, _T_8391) @[ifu_mem_ctl.scala 692:97] + node _T_8393 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8394 = and(_T_8392, _T_8393) @[ifu_mem_ctl.scala 692:122] + node _T_8395 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[ifu_mem_ctl.scala 693:37] + node _T_8396 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_8397 = and(_T_8395, _T_8396) @[ifu_mem_ctl.scala 693:59] + node _T_8398 = eq(perr_ic_index_ff, UInt<7>("h078")) @[ifu_mem_ctl.scala 693:102] + node _T_8399 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_8400 = and(_T_8398, _T_8399) @[ifu_mem_ctl.scala 693:124] + node _T_8401 = or(_T_8397, _T_8400) @[ifu_mem_ctl.scala 693:81] + node _T_8402 = or(_T_8401, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8403 = bits(_T_8402, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8404 : UInt<1>, rvclkhdr_92.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8403 : @[Reg.scala 28:19] + _T_8404 <= _T_8394 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][120] <= _T_8404 @[ifu_mem_ctl.scala 692:41] + node _T_8405 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8406 = eq(_T_8405, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8407 = and(ic_valid_ff, _T_8406) @[ifu_mem_ctl.scala 692:97] + node _T_8408 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8409 = and(_T_8407, _T_8408) @[ifu_mem_ctl.scala 692:122] + node _T_8410 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[ifu_mem_ctl.scala 693:37] + node _T_8411 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_8412 = and(_T_8410, _T_8411) @[ifu_mem_ctl.scala 693:59] + node _T_8413 = eq(perr_ic_index_ff, UInt<7>("h079")) @[ifu_mem_ctl.scala 693:102] + node _T_8414 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_8415 = and(_T_8413, _T_8414) @[ifu_mem_ctl.scala 693:124] + node _T_8416 = or(_T_8412, _T_8415) @[ifu_mem_ctl.scala 693:81] + node _T_8417 = or(_T_8416, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8418 = bits(_T_8417, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8419 : UInt<1>, rvclkhdr_92.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8418 : @[Reg.scala 28:19] + _T_8419 <= _T_8409 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][121] <= _T_8419 @[ifu_mem_ctl.scala 692:41] + node _T_8420 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8421 = eq(_T_8420, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8422 = and(ic_valid_ff, _T_8421) @[ifu_mem_ctl.scala 692:97] + node _T_8423 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8424 = and(_T_8422, _T_8423) @[ifu_mem_ctl.scala 692:122] + node _T_8425 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[ifu_mem_ctl.scala 693:37] + node _T_8426 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_8427 = and(_T_8425, _T_8426) @[ifu_mem_ctl.scala 693:59] + node _T_8428 = eq(perr_ic_index_ff, UInt<7>("h07a")) @[ifu_mem_ctl.scala 693:102] + node _T_8429 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_8430 = and(_T_8428, _T_8429) @[ifu_mem_ctl.scala 693:124] + node _T_8431 = or(_T_8427, _T_8430) @[ifu_mem_ctl.scala 693:81] + node _T_8432 = or(_T_8431, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8433 = bits(_T_8432, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8434 : UInt<1>, rvclkhdr_92.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8433 : @[Reg.scala 28:19] + _T_8434 <= _T_8424 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][122] <= _T_8434 @[ifu_mem_ctl.scala 692:41] + node _T_8435 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8436 = eq(_T_8435, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8437 = and(ic_valid_ff, _T_8436) @[ifu_mem_ctl.scala 692:97] + node _T_8438 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8439 = and(_T_8437, _T_8438) @[ifu_mem_ctl.scala 692:122] + node _T_8440 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[ifu_mem_ctl.scala 693:37] + node _T_8441 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_8442 = and(_T_8440, _T_8441) @[ifu_mem_ctl.scala 693:59] + node _T_8443 = eq(perr_ic_index_ff, UInt<7>("h07b")) @[ifu_mem_ctl.scala 693:102] + node _T_8444 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_8445 = and(_T_8443, _T_8444) @[ifu_mem_ctl.scala 693:124] + node _T_8446 = or(_T_8442, _T_8445) @[ifu_mem_ctl.scala 693:81] + node _T_8447 = or(_T_8446, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8448 = bits(_T_8447, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8449 : UInt<1>, rvclkhdr_92.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8448 : @[Reg.scala 28:19] + _T_8449 <= _T_8439 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][123] <= _T_8449 @[ifu_mem_ctl.scala 692:41] + node _T_8450 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8451 = eq(_T_8450, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8452 = and(ic_valid_ff, _T_8451) @[ifu_mem_ctl.scala 692:97] + node _T_8453 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8454 = and(_T_8452, _T_8453) @[ifu_mem_ctl.scala 692:122] + node _T_8455 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[ifu_mem_ctl.scala 693:37] + node _T_8456 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_8457 = and(_T_8455, _T_8456) @[ifu_mem_ctl.scala 693:59] + node _T_8458 = eq(perr_ic_index_ff, UInt<7>("h07c")) @[ifu_mem_ctl.scala 693:102] + node _T_8459 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_8460 = and(_T_8458, _T_8459) @[ifu_mem_ctl.scala 693:124] + node _T_8461 = or(_T_8457, _T_8460) @[ifu_mem_ctl.scala 693:81] + node _T_8462 = or(_T_8461, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8463 = bits(_T_8462, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8464 : UInt<1>, rvclkhdr_92.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8463 : @[Reg.scala 28:19] + _T_8464 <= _T_8454 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][124] <= _T_8464 @[ifu_mem_ctl.scala 692:41] + node _T_8465 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8466 = eq(_T_8465, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8467 = and(ic_valid_ff, _T_8466) @[ifu_mem_ctl.scala 692:97] + node _T_8468 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8469 = and(_T_8467, _T_8468) @[ifu_mem_ctl.scala 692:122] + node _T_8470 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[ifu_mem_ctl.scala 693:37] + node _T_8471 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_8472 = and(_T_8470, _T_8471) @[ifu_mem_ctl.scala 693:59] + node _T_8473 = eq(perr_ic_index_ff, UInt<7>("h07d")) @[ifu_mem_ctl.scala 693:102] + node _T_8474 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_8475 = and(_T_8473, _T_8474) @[ifu_mem_ctl.scala 693:124] + node _T_8476 = or(_T_8472, _T_8475) @[ifu_mem_ctl.scala 693:81] + node _T_8477 = or(_T_8476, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8478 = bits(_T_8477, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8479 : UInt<1>, rvclkhdr_92.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8478 : @[Reg.scala 28:19] + _T_8479 <= _T_8469 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][125] <= _T_8479 @[ifu_mem_ctl.scala 692:41] + node _T_8480 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8481 = eq(_T_8480, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8482 = and(ic_valid_ff, _T_8481) @[ifu_mem_ctl.scala 692:97] + node _T_8483 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8484 = and(_T_8482, _T_8483) @[ifu_mem_ctl.scala 692:122] + node _T_8485 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[ifu_mem_ctl.scala 693:37] + node _T_8486 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_8487 = and(_T_8485, _T_8486) @[ifu_mem_ctl.scala 693:59] + node _T_8488 = eq(perr_ic_index_ff, UInt<7>("h07e")) @[ifu_mem_ctl.scala 693:102] + node _T_8489 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_8490 = and(_T_8488, _T_8489) @[ifu_mem_ctl.scala 693:124] + node _T_8491 = or(_T_8487, _T_8490) @[ifu_mem_ctl.scala 693:81] + node _T_8492 = or(_T_8491, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8493 = bits(_T_8492, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8494 : UInt<1>, rvclkhdr_92.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8493 : @[Reg.scala 28:19] + _T_8494 <= _T_8484 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][126] <= _T_8494 @[ifu_mem_ctl.scala 692:41] + node _T_8495 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8496 = eq(_T_8495, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8497 = and(ic_valid_ff, _T_8496) @[ifu_mem_ctl.scala 692:97] + node _T_8498 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8499 = and(_T_8497, _T_8498) @[ifu_mem_ctl.scala 692:122] + node _T_8500 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[ifu_mem_ctl.scala 693:37] + node _T_8501 = bits(ifu_tag_wren_ff, 0, 0) @[ifu_mem_ctl.scala 693:76] + node _T_8502 = and(_T_8500, _T_8501) @[ifu_mem_ctl.scala 693:59] + node _T_8503 = eq(perr_ic_index_ff, UInt<7>("h07f")) @[ifu_mem_ctl.scala 693:102] + node _T_8504 = bits(perr_err_inv_way, 0, 0) @[ifu_mem_ctl.scala 693:142] + node _T_8505 = and(_T_8503, _T_8504) @[ifu_mem_ctl.scala 693:124] + node _T_8506 = or(_T_8502, _T_8505) @[ifu_mem_ctl.scala 693:81] + node _T_8507 = or(_T_8506, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8508 = bits(_T_8507, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8509 : UInt<1>, rvclkhdr_92.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8508 : @[Reg.scala 28:19] + _T_8509 <= _T_8499 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[0][127] <= _T_8509 @[ifu_mem_ctl.scala 692:41] + node _T_8510 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8511 = eq(_T_8510, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8512 = and(ic_valid_ff, _T_8511) @[ifu_mem_ctl.scala 692:97] + node _T_8513 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8514 = and(_T_8512, _T_8513) @[ifu_mem_ctl.scala 692:122] + node _T_8515 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[ifu_mem_ctl.scala 693:37] + node _T_8516 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_8517 = and(_T_8515, _T_8516) @[ifu_mem_ctl.scala 693:59] + node _T_8518 = eq(perr_ic_index_ff, UInt<7>("h060")) @[ifu_mem_ctl.scala 693:102] + node _T_8519 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_8520 = and(_T_8518, _T_8519) @[ifu_mem_ctl.scala 693:124] + node _T_8521 = or(_T_8517, _T_8520) @[ifu_mem_ctl.scala 693:81] + node _T_8522 = or(_T_8521, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8523 = bits(_T_8522, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8524 : UInt<1>, rvclkhdr_93.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8523 : @[Reg.scala 28:19] + _T_8524 <= _T_8514 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][96] <= _T_8524 @[ifu_mem_ctl.scala 692:41] + node _T_8525 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8526 = eq(_T_8525, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8527 = and(ic_valid_ff, _T_8526) @[ifu_mem_ctl.scala 692:97] + node _T_8528 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8529 = and(_T_8527, _T_8528) @[ifu_mem_ctl.scala 692:122] + node _T_8530 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[ifu_mem_ctl.scala 693:37] + node _T_8531 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_8532 = and(_T_8530, _T_8531) @[ifu_mem_ctl.scala 693:59] + node _T_8533 = eq(perr_ic_index_ff, UInt<7>("h061")) @[ifu_mem_ctl.scala 693:102] + node _T_8534 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_8535 = and(_T_8533, _T_8534) @[ifu_mem_ctl.scala 693:124] + node _T_8536 = or(_T_8532, _T_8535) @[ifu_mem_ctl.scala 693:81] + node _T_8537 = or(_T_8536, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8538 = bits(_T_8537, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8539 : UInt<1>, rvclkhdr_93.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8538 : @[Reg.scala 28:19] + _T_8539 <= _T_8529 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][97] <= _T_8539 @[ifu_mem_ctl.scala 692:41] + node _T_8540 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8541 = eq(_T_8540, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8542 = and(ic_valid_ff, _T_8541) @[ifu_mem_ctl.scala 692:97] + node _T_8543 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8544 = and(_T_8542, _T_8543) @[ifu_mem_ctl.scala 692:122] + node _T_8545 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[ifu_mem_ctl.scala 693:37] + node _T_8546 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_8547 = and(_T_8545, _T_8546) @[ifu_mem_ctl.scala 693:59] + node _T_8548 = eq(perr_ic_index_ff, UInt<7>("h062")) @[ifu_mem_ctl.scala 693:102] + node _T_8549 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_8550 = and(_T_8548, _T_8549) @[ifu_mem_ctl.scala 693:124] + node _T_8551 = or(_T_8547, _T_8550) @[ifu_mem_ctl.scala 693:81] + node _T_8552 = or(_T_8551, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8553 = bits(_T_8552, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8554 : UInt<1>, rvclkhdr_93.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8553 : @[Reg.scala 28:19] + _T_8554 <= _T_8544 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][98] <= _T_8554 @[ifu_mem_ctl.scala 692:41] + node _T_8555 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8556 = eq(_T_8555, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8557 = and(ic_valid_ff, _T_8556) @[ifu_mem_ctl.scala 692:97] + node _T_8558 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8559 = and(_T_8557, _T_8558) @[ifu_mem_ctl.scala 692:122] + node _T_8560 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[ifu_mem_ctl.scala 693:37] + node _T_8561 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_8562 = and(_T_8560, _T_8561) @[ifu_mem_ctl.scala 693:59] + node _T_8563 = eq(perr_ic_index_ff, UInt<7>("h063")) @[ifu_mem_ctl.scala 693:102] + node _T_8564 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_8565 = and(_T_8563, _T_8564) @[ifu_mem_ctl.scala 693:124] + node _T_8566 = or(_T_8562, _T_8565) @[ifu_mem_ctl.scala 693:81] + node _T_8567 = or(_T_8566, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8568 = bits(_T_8567, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8569 : UInt<1>, rvclkhdr_93.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8568 : @[Reg.scala 28:19] + _T_8569 <= _T_8559 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][99] <= _T_8569 @[ifu_mem_ctl.scala 692:41] + node _T_8570 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8571 = eq(_T_8570, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8572 = and(ic_valid_ff, _T_8571) @[ifu_mem_ctl.scala 692:97] + node _T_8573 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8574 = and(_T_8572, _T_8573) @[ifu_mem_ctl.scala 692:122] + node _T_8575 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[ifu_mem_ctl.scala 693:37] + node _T_8576 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_8577 = and(_T_8575, _T_8576) @[ifu_mem_ctl.scala 693:59] + node _T_8578 = eq(perr_ic_index_ff, UInt<7>("h064")) @[ifu_mem_ctl.scala 693:102] + node _T_8579 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_8580 = and(_T_8578, _T_8579) @[ifu_mem_ctl.scala 693:124] + node _T_8581 = or(_T_8577, _T_8580) @[ifu_mem_ctl.scala 693:81] + node _T_8582 = or(_T_8581, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8583 = bits(_T_8582, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8584 : UInt<1>, rvclkhdr_93.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8583 : @[Reg.scala 28:19] + _T_8584 <= _T_8574 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][100] <= _T_8584 @[ifu_mem_ctl.scala 692:41] + node _T_8585 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8586 = eq(_T_8585, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8587 = and(ic_valid_ff, _T_8586) @[ifu_mem_ctl.scala 692:97] + node _T_8588 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8589 = and(_T_8587, _T_8588) @[ifu_mem_ctl.scala 692:122] + node _T_8590 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[ifu_mem_ctl.scala 693:37] + node _T_8591 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_8592 = and(_T_8590, _T_8591) @[ifu_mem_ctl.scala 693:59] + node _T_8593 = eq(perr_ic_index_ff, UInt<7>("h065")) @[ifu_mem_ctl.scala 693:102] + node _T_8594 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_8595 = and(_T_8593, _T_8594) @[ifu_mem_ctl.scala 693:124] + node _T_8596 = or(_T_8592, _T_8595) @[ifu_mem_ctl.scala 693:81] + node _T_8597 = or(_T_8596, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8598 = bits(_T_8597, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8599 : UInt<1>, rvclkhdr_93.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8598 : @[Reg.scala 28:19] + _T_8599 <= _T_8589 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][101] <= _T_8599 @[ifu_mem_ctl.scala 692:41] + node _T_8600 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8601 = eq(_T_8600, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8602 = and(ic_valid_ff, _T_8601) @[ifu_mem_ctl.scala 692:97] + node _T_8603 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8604 = and(_T_8602, _T_8603) @[ifu_mem_ctl.scala 692:122] + node _T_8605 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[ifu_mem_ctl.scala 693:37] + node _T_8606 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_8607 = and(_T_8605, _T_8606) @[ifu_mem_ctl.scala 693:59] + node _T_8608 = eq(perr_ic_index_ff, UInt<7>("h066")) @[ifu_mem_ctl.scala 693:102] + node _T_8609 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_8610 = and(_T_8608, _T_8609) @[ifu_mem_ctl.scala 693:124] + node _T_8611 = or(_T_8607, _T_8610) @[ifu_mem_ctl.scala 693:81] + node _T_8612 = or(_T_8611, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8613 = bits(_T_8612, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8614 : UInt<1>, rvclkhdr_93.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8613 : @[Reg.scala 28:19] + _T_8614 <= _T_8604 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][102] <= _T_8614 @[ifu_mem_ctl.scala 692:41] + node _T_8615 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8616 = eq(_T_8615, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8617 = and(ic_valid_ff, _T_8616) @[ifu_mem_ctl.scala 692:97] + node _T_8618 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8619 = and(_T_8617, _T_8618) @[ifu_mem_ctl.scala 692:122] + node _T_8620 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[ifu_mem_ctl.scala 693:37] + node _T_8621 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_8622 = and(_T_8620, _T_8621) @[ifu_mem_ctl.scala 693:59] + node _T_8623 = eq(perr_ic_index_ff, UInt<7>("h067")) @[ifu_mem_ctl.scala 693:102] + node _T_8624 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_8625 = and(_T_8623, _T_8624) @[ifu_mem_ctl.scala 693:124] + node _T_8626 = or(_T_8622, _T_8625) @[ifu_mem_ctl.scala 693:81] + node _T_8627 = or(_T_8626, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8628 = bits(_T_8627, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8629 : UInt<1>, rvclkhdr_93.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8628 : @[Reg.scala 28:19] + _T_8629 <= _T_8619 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][103] <= _T_8629 @[ifu_mem_ctl.scala 692:41] + node _T_8630 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8631 = eq(_T_8630, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8632 = and(ic_valid_ff, _T_8631) @[ifu_mem_ctl.scala 692:97] + node _T_8633 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8634 = and(_T_8632, _T_8633) @[ifu_mem_ctl.scala 692:122] + node _T_8635 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[ifu_mem_ctl.scala 693:37] + node _T_8636 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_8637 = and(_T_8635, _T_8636) @[ifu_mem_ctl.scala 693:59] + node _T_8638 = eq(perr_ic_index_ff, UInt<7>("h068")) @[ifu_mem_ctl.scala 693:102] + node _T_8639 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_8640 = and(_T_8638, _T_8639) @[ifu_mem_ctl.scala 693:124] + node _T_8641 = or(_T_8637, _T_8640) @[ifu_mem_ctl.scala 693:81] + node _T_8642 = or(_T_8641, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8643 = bits(_T_8642, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8644 : UInt<1>, rvclkhdr_93.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8643 : @[Reg.scala 28:19] + _T_8644 <= _T_8634 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][104] <= _T_8644 @[ifu_mem_ctl.scala 692:41] + node _T_8645 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8646 = eq(_T_8645, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8647 = and(ic_valid_ff, _T_8646) @[ifu_mem_ctl.scala 692:97] + node _T_8648 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8649 = and(_T_8647, _T_8648) @[ifu_mem_ctl.scala 692:122] + node _T_8650 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[ifu_mem_ctl.scala 693:37] + node _T_8651 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_8652 = and(_T_8650, _T_8651) @[ifu_mem_ctl.scala 693:59] + node _T_8653 = eq(perr_ic_index_ff, UInt<7>("h069")) @[ifu_mem_ctl.scala 693:102] + node _T_8654 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_8655 = and(_T_8653, _T_8654) @[ifu_mem_ctl.scala 693:124] + node _T_8656 = or(_T_8652, _T_8655) @[ifu_mem_ctl.scala 693:81] + node _T_8657 = or(_T_8656, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8658 = bits(_T_8657, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8659 : UInt<1>, rvclkhdr_93.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8658 : @[Reg.scala 28:19] + _T_8659 <= _T_8649 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][105] <= _T_8659 @[ifu_mem_ctl.scala 692:41] + node _T_8660 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8661 = eq(_T_8660, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8662 = and(ic_valid_ff, _T_8661) @[ifu_mem_ctl.scala 692:97] + node _T_8663 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8664 = and(_T_8662, _T_8663) @[ifu_mem_ctl.scala 692:122] + node _T_8665 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[ifu_mem_ctl.scala 693:37] + node _T_8666 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_8667 = and(_T_8665, _T_8666) @[ifu_mem_ctl.scala 693:59] + node _T_8668 = eq(perr_ic_index_ff, UInt<7>("h06a")) @[ifu_mem_ctl.scala 693:102] + node _T_8669 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_8670 = and(_T_8668, _T_8669) @[ifu_mem_ctl.scala 693:124] + node _T_8671 = or(_T_8667, _T_8670) @[ifu_mem_ctl.scala 693:81] + node _T_8672 = or(_T_8671, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8673 = bits(_T_8672, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8674 : UInt<1>, rvclkhdr_93.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8673 : @[Reg.scala 28:19] + _T_8674 <= _T_8664 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][106] <= _T_8674 @[ifu_mem_ctl.scala 692:41] + node _T_8675 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8676 = eq(_T_8675, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8677 = and(ic_valid_ff, _T_8676) @[ifu_mem_ctl.scala 692:97] + node _T_8678 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8679 = and(_T_8677, _T_8678) @[ifu_mem_ctl.scala 692:122] + node _T_8680 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[ifu_mem_ctl.scala 693:37] + node _T_8681 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_8682 = and(_T_8680, _T_8681) @[ifu_mem_ctl.scala 693:59] + node _T_8683 = eq(perr_ic_index_ff, UInt<7>("h06b")) @[ifu_mem_ctl.scala 693:102] + node _T_8684 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_8685 = and(_T_8683, _T_8684) @[ifu_mem_ctl.scala 693:124] + node _T_8686 = or(_T_8682, _T_8685) @[ifu_mem_ctl.scala 693:81] + node _T_8687 = or(_T_8686, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8688 = bits(_T_8687, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8689 : UInt<1>, rvclkhdr_93.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8688 : @[Reg.scala 28:19] + _T_8689 <= _T_8679 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][107] <= _T_8689 @[ifu_mem_ctl.scala 692:41] + node _T_8690 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8691 = eq(_T_8690, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8692 = and(ic_valid_ff, _T_8691) @[ifu_mem_ctl.scala 692:97] + node _T_8693 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8694 = and(_T_8692, _T_8693) @[ifu_mem_ctl.scala 692:122] + node _T_8695 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[ifu_mem_ctl.scala 693:37] + node _T_8696 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_8697 = and(_T_8695, _T_8696) @[ifu_mem_ctl.scala 693:59] + node _T_8698 = eq(perr_ic_index_ff, UInt<7>("h06c")) @[ifu_mem_ctl.scala 693:102] + node _T_8699 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_8700 = and(_T_8698, _T_8699) @[ifu_mem_ctl.scala 693:124] + node _T_8701 = or(_T_8697, _T_8700) @[ifu_mem_ctl.scala 693:81] + node _T_8702 = or(_T_8701, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8703 = bits(_T_8702, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8704 : UInt<1>, rvclkhdr_93.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8703 : @[Reg.scala 28:19] + _T_8704 <= _T_8694 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][108] <= _T_8704 @[ifu_mem_ctl.scala 692:41] + node _T_8705 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8706 = eq(_T_8705, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8707 = and(ic_valid_ff, _T_8706) @[ifu_mem_ctl.scala 692:97] + node _T_8708 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8709 = and(_T_8707, _T_8708) @[ifu_mem_ctl.scala 692:122] + node _T_8710 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[ifu_mem_ctl.scala 693:37] + node _T_8711 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_8712 = and(_T_8710, _T_8711) @[ifu_mem_ctl.scala 693:59] + node _T_8713 = eq(perr_ic_index_ff, UInt<7>("h06d")) @[ifu_mem_ctl.scala 693:102] + node _T_8714 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_8715 = and(_T_8713, _T_8714) @[ifu_mem_ctl.scala 693:124] + node _T_8716 = or(_T_8712, _T_8715) @[ifu_mem_ctl.scala 693:81] + node _T_8717 = or(_T_8716, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8718 = bits(_T_8717, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8719 : UInt<1>, rvclkhdr_93.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8718 : @[Reg.scala 28:19] + _T_8719 <= _T_8709 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][109] <= _T_8719 @[ifu_mem_ctl.scala 692:41] + node _T_8720 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8721 = eq(_T_8720, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8722 = and(ic_valid_ff, _T_8721) @[ifu_mem_ctl.scala 692:97] + node _T_8723 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8724 = and(_T_8722, _T_8723) @[ifu_mem_ctl.scala 692:122] + node _T_8725 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[ifu_mem_ctl.scala 693:37] + node _T_8726 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_8727 = and(_T_8725, _T_8726) @[ifu_mem_ctl.scala 693:59] + node _T_8728 = eq(perr_ic_index_ff, UInt<7>("h06e")) @[ifu_mem_ctl.scala 693:102] + node _T_8729 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_8730 = and(_T_8728, _T_8729) @[ifu_mem_ctl.scala 693:124] + node _T_8731 = or(_T_8727, _T_8730) @[ifu_mem_ctl.scala 693:81] + node _T_8732 = or(_T_8731, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8733 = bits(_T_8732, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8734 : UInt<1>, rvclkhdr_93.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8733 : @[Reg.scala 28:19] + _T_8734 <= _T_8724 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][110] <= _T_8734 @[ifu_mem_ctl.scala 692:41] + node _T_8735 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8736 = eq(_T_8735, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8737 = and(ic_valid_ff, _T_8736) @[ifu_mem_ctl.scala 692:97] + node _T_8738 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8739 = and(_T_8737, _T_8738) @[ifu_mem_ctl.scala 692:122] + node _T_8740 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[ifu_mem_ctl.scala 693:37] + node _T_8741 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_8742 = and(_T_8740, _T_8741) @[ifu_mem_ctl.scala 693:59] + node _T_8743 = eq(perr_ic_index_ff, UInt<7>("h06f")) @[ifu_mem_ctl.scala 693:102] + node _T_8744 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_8745 = and(_T_8743, _T_8744) @[ifu_mem_ctl.scala 693:124] + node _T_8746 = or(_T_8742, _T_8745) @[ifu_mem_ctl.scala 693:81] + node _T_8747 = or(_T_8746, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8748 = bits(_T_8747, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8749 : UInt<1>, rvclkhdr_93.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8748 : @[Reg.scala 28:19] + _T_8749 <= _T_8739 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][111] <= _T_8749 @[ifu_mem_ctl.scala 692:41] + node _T_8750 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8751 = eq(_T_8750, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8752 = and(ic_valid_ff, _T_8751) @[ifu_mem_ctl.scala 692:97] + node _T_8753 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8754 = and(_T_8752, _T_8753) @[ifu_mem_ctl.scala 692:122] + node _T_8755 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[ifu_mem_ctl.scala 693:37] + node _T_8756 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_8757 = and(_T_8755, _T_8756) @[ifu_mem_ctl.scala 693:59] + node _T_8758 = eq(perr_ic_index_ff, UInt<7>("h070")) @[ifu_mem_ctl.scala 693:102] + node _T_8759 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_8760 = and(_T_8758, _T_8759) @[ifu_mem_ctl.scala 693:124] + node _T_8761 = or(_T_8757, _T_8760) @[ifu_mem_ctl.scala 693:81] + node _T_8762 = or(_T_8761, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8763 = bits(_T_8762, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8764 : UInt<1>, rvclkhdr_93.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8763 : @[Reg.scala 28:19] + _T_8764 <= _T_8754 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][112] <= _T_8764 @[ifu_mem_ctl.scala 692:41] + node _T_8765 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8766 = eq(_T_8765, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8767 = and(ic_valid_ff, _T_8766) @[ifu_mem_ctl.scala 692:97] + node _T_8768 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8769 = and(_T_8767, _T_8768) @[ifu_mem_ctl.scala 692:122] + node _T_8770 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[ifu_mem_ctl.scala 693:37] + node _T_8771 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_8772 = and(_T_8770, _T_8771) @[ifu_mem_ctl.scala 693:59] + node _T_8773 = eq(perr_ic_index_ff, UInt<7>("h071")) @[ifu_mem_ctl.scala 693:102] + node _T_8774 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_8775 = and(_T_8773, _T_8774) @[ifu_mem_ctl.scala 693:124] + node _T_8776 = or(_T_8772, _T_8775) @[ifu_mem_ctl.scala 693:81] + node _T_8777 = or(_T_8776, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8778 = bits(_T_8777, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8779 : UInt<1>, rvclkhdr_93.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8778 : @[Reg.scala 28:19] + _T_8779 <= _T_8769 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][113] <= _T_8779 @[ifu_mem_ctl.scala 692:41] + node _T_8780 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8781 = eq(_T_8780, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8782 = and(ic_valid_ff, _T_8781) @[ifu_mem_ctl.scala 692:97] + node _T_8783 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8784 = and(_T_8782, _T_8783) @[ifu_mem_ctl.scala 692:122] + node _T_8785 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[ifu_mem_ctl.scala 693:37] + node _T_8786 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_8787 = and(_T_8785, _T_8786) @[ifu_mem_ctl.scala 693:59] + node _T_8788 = eq(perr_ic_index_ff, UInt<7>("h072")) @[ifu_mem_ctl.scala 693:102] + node _T_8789 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_8790 = and(_T_8788, _T_8789) @[ifu_mem_ctl.scala 693:124] + node _T_8791 = or(_T_8787, _T_8790) @[ifu_mem_ctl.scala 693:81] + node _T_8792 = or(_T_8791, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8793 = bits(_T_8792, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8794 : UInt<1>, rvclkhdr_93.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8793 : @[Reg.scala 28:19] + _T_8794 <= _T_8784 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][114] <= _T_8794 @[ifu_mem_ctl.scala 692:41] + node _T_8795 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8796 = eq(_T_8795, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8797 = and(ic_valid_ff, _T_8796) @[ifu_mem_ctl.scala 692:97] + node _T_8798 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8799 = and(_T_8797, _T_8798) @[ifu_mem_ctl.scala 692:122] + node _T_8800 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[ifu_mem_ctl.scala 693:37] + node _T_8801 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_8802 = and(_T_8800, _T_8801) @[ifu_mem_ctl.scala 693:59] + node _T_8803 = eq(perr_ic_index_ff, UInt<7>("h073")) @[ifu_mem_ctl.scala 693:102] + node _T_8804 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_8805 = and(_T_8803, _T_8804) @[ifu_mem_ctl.scala 693:124] + node _T_8806 = or(_T_8802, _T_8805) @[ifu_mem_ctl.scala 693:81] + node _T_8807 = or(_T_8806, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8808 = bits(_T_8807, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8809 : UInt<1>, rvclkhdr_93.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8808 : @[Reg.scala 28:19] + _T_8809 <= _T_8799 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][115] <= _T_8809 @[ifu_mem_ctl.scala 692:41] + node _T_8810 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8811 = eq(_T_8810, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8812 = and(ic_valid_ff, _T_8811) @[ifu_mem_ctl.scala 692:97] + node _T_8813 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8814 = and(_T_8812, _T_8813) @[ifu_mem_ctl.scala 692:122] + node _T_8815 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[ifu_mem_ctl.scala 693:37] + node _T_8816 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_8817 = and(_T_8815, _T_8816) @[ifu_mem_ctl.scala 693:59] + node _T_8818 = eq(perr_ic_index_ff, UInt<7>("h074")) @[ifu_mem_ctl.scala 693:102] + node _T_8819 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_8820 = and(_T_8818, _T_8819) @[ifu_mem_ctl.scala 693:124] + node _T_8821 = or(_T_8817, _T_8820) @[ifu_mem_ctl.scala 693:81] + node _T_8822 = or(_T_8821, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8823 = bits(_T_8822, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8824 : UInt<1>, rvclkhdr_93.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8823 : @[Reg.scala 28:19] + _T_8824 <= _T_8814 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][116] <= _T_8824 @[ifu_mem_ctl.scala 692:41] + node _T_8825 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8826 = eq(_T_8825, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8827 = and(ic_valid_ff, _T_8826) @[ifu_mem_ctl.scala 692:97] + node _T_8828 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8829 = and(_T_8827, _T_8828) @[ifu_mem_ctl.scala 692:122] + node _T_8830 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[ifu_mem_ctl.scala 693:37] + node _T_8831 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_8832 = and(_T_8830, _T_8831) @[ifu_mem_ctl.scala 693:59] + node _T_8833 = eq(perr_ic_index_ff, UInt<7>("h075")) @[ifu_mem_ctl.scala 693:102] + node _T_8834 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_8835 = and(_T_8833, _T_8834) @[ifu_mem_ctl.scala 693:124] + node _T_8836 = or(_T_8832, _T_8835) @[ifu_mem_ctl.scala 693:81] + node _T_8837 = or(_T_8836, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8838 = bits(_T_8837, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8839 : UInt<1>, rvclkhdr_93.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8838 : @[Reg.scala 28:19] + _T_8839 <= _T_8829 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][117] <= _T_8839 @[ifu_mem_ctl.scala 692:41] + node _T_8840 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8841 = eq(_T_8840, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8842 = and(ic_valid_ff, _T_8841) @[ifu_mem_ctl.scala 692:97] + node _T_8843 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8844 = and(_T_8842, _T_8843) @[ifu_mem_ctl.scala 692:122] + node _T_8845 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[ifu_mem_ctl.scala 693:37] + node _T_8846 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_8847 = and(_T_8845, _T_8846) @[ifu_mem_ctl.scala 693:59] + node _T_8848 = eq(perr_ic_index_ff, UInt<7>("h076")) @[ifu_mem_ctl.scala 693:102] + node _T_8849 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_8850 = and(_T_8848, _T_8849) @[ifu_mem_ctl.scala 693:124] + node _T_8851 = or(_T_8847, _T_8850) @[ifu_mem_ctl.scala 693:81] + node _T_8852 = or(_T_8851, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8853 = bits(_T_8852, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8854 : UInt<1>, rvclkhdr_93.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8853 : @[Reg.scala 28:19] + _T_8854 <= _T_8844 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][118] <= _T_8854 @[ifu_mem_ctl.scala 692:41] + node _T_8855 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8856 = eq(_T_8855, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8857 = and(ic_valid_ff, _T_8856) @[ifu_mem_ctl.scala 692:97] + node _T_8858 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8859 = and(_T_8857, _T_8858) @[ifu_mem_ctl.scala 692:122] + node _T_8860 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[ifu_mem_ctl.scala 693:37] + node _T_8861 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_8862 = and(_T_8860, _T_8861) @[ifu_mem_ctl.scala 693:59] + node _T_8863 = eq(perr_ic_index_ff, UInt<7>("h077")) @[ifu_mem_ctl.scala 693:102] + node _T_8864 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_8865 = and(_T_8863, _T_8864) @[ifu_mem_ctl.scala 693:124] + node _T_8866 = or(_T_8862, _T_8865) @[ifu_mem_ctl.scala 693:81] + node _T_8867 = or(_T_8866, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8868 = bits(_T_8867, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8869 : UInt<1>, rvclkhdr_93.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8868 : @[Reg.scala 28:19] + _T_8869 <= _T_8859 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][119] <= _T_8869 @[ifu_mem_ctl.scala 692:41] + node _T_8870 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8871 = eq(_T_8870, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8872 = and(ic_valid_ff, _T_8871) @[ifu_mem_ctl.scala 692:97] + node _T_8873 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8874 = and(_T_8872, _T_8873) @[ifu_mem_ctl.scala 692:122] + node _T_8875 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[ifu_mem_ctl.scala 693:37] + node _T_8876 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_8877 = and(_T_8875, _T_8876) @[ifu_mem_ctl.scala 693:59] + node _T_8878 = eq(perr_ic_index_ff, UInt<7>("h078")) @[ifu_mem_ctl.scala 693:102] + node _T_8879 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_8880 = and(_T_8878, _T_8879) @[ifu_mem_ctl.scala 693:124] + node _T_8881 = or(_T_8877, _T_8880) @[ifu_mem_ctl.scala 693:81] + node _T_8882 = or(_T_8881, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8883 = bits(_T_8882, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8884 : UInt<1>, rvclkhdr_93.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8883 : @[Reg.scala 28:19] + _T_8884 <= _T_8874 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][120] <= _T_8884 @[ifu_mem_ctl.scala 692:41] + node _T_8885 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8886 = eq(_T_8885, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8887 = and(ic_valid_ff, _T_8886) @[ifu_mem_ctl.scala 692:97] + node _T_8888 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8889 = and(_T_8887, _T_8888) @[ifu_mem_ctl.scala 692:122] + node _T_8890 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[ifu_mem_ctl.scala 693:37] + node _T_8891 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_8892 = and(_T_8890, _T_8891) @[ifu_mem_ctl.scala 693:59] + node _T_8893 = eq(perr_ic_index_ff, UInt<7>("h079")) @[ifu_mem_ctl.scala 693:102] + node _T_8894 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_8895 = and(_T_8893, _T_8894) @[ifu_mem_ctl.scala 693:124] + node _T_8896 = or(_T_8892, _T_8895) @[ifu_mem_ctl.scala 693:81] + node _T_8897 = or(_T_8896, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8898 = bits(_T_8897, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8899 : UInt<1>, rvclkhdr_93.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8898 : @[Reg.scala 28:19] + _T_8899 <= _T_8889 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][121] <= _T_8899 @[ifu_mem_ctl.scala 692:41] + node _T_8900 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8901 = eq(_T_8900, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8902 = and(ic_valid_ff, _T_8901) @[ifu_mem_ctl.scala 692:97] + node _T_8903 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8904 = and(_T_8902, _T_8903) @[ifu_mem_ctl.scala 692:122] + node _T_8905 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[ifu_mem_ctl.scala 693:37] + node _T_8906 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_8907 = and(_T_8905, _T_8906) @[ifu_mem_ctl.scala 693:59] + node _T_8908 = eq(perr_ic_index_ff, UInt<7>("h07a")) @[ifu_mem_ctl.scala 693:102] + node _T_8909 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_8910 = and(_T_8908, _T_8909) @[ifu_mem_ctl.scala 693:124] + node _T_8911 = or(_T_8907, _T_8910) @[ifu_mem_ctl.scala 693:81] + node _T_8912 = or(_T_8911, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8913 = bits(_T_8912, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8914 : UInt<1>, rvclkhdr_93.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8913 : @[Reg.scala 28:19] + _T_8914 <= _T_8904 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][122] <= _T_8914 @[ifu_mem_ctl.scala 692:41] + node _T_8915 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8916 = eq(_T_8915, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8917 = and(ic_valid_ff, _T_8916) @[ifu_mem_ctl.scala 692:97] + node _T_8918 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8919 = and(_T_8917, _T_8918) @[ifu_mem_ctl.scala 692:122] + node _T_8920 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[ifu_mem_ctl.scala 693:37] + node _T_8921 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_8922 = and(_T_8920, _T_8921) @[ifu_mem_ctl.scala 693:59] + node _T_8923 = eq(perr_ic_index_ff, UInt<7>("h07b")) @[ifu_mem_ctl.scala 693:102] + node _T_8924 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_8925 = and(_T_8923, _T_8924) @[ifu_mem_ctl.scala 693:124] + node _T_8926 = or(_T_8922, _T_8925) @[ifu_mem_ctl.scala 693:81] + node _T_8927 = or(_T_8926, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8928 = bits(_T_8927, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8929 : UInt<1>, rvclkhdr_93.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8928 : @[Reg.scala 28:19] + _T_8929 <= _T_8919 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][123] <= _T_8929 @[ifu_mem_ctl.scala 692:41] + node _T_8930 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8931 = eq(_T_8930, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8932 = and(ic_valid_ff, _T_8931) @[ifu_mem_ctl.scala 692:97] + node _T_8933 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8934 = and(_T_8932, _T_8933) @[ifu_mem_ctl.scala 692:122] + node _T_8935 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[ifu_mem_ctl.scala 693:37] + node _T_8936 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_8937 = and(_T_8935, _T_8936) @[ifu_mem_ctl.scala 693:59] + node _T_8938 = eq(perr_ic_index_ff, UInt<7>("h07c")) @[ifu_mem_ctl.scala 693:102] + node _T_8939 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_8940 = and(_T_8938, _T_8939) @[ifu_mem_ctl.scala 693:124] + node _T_8941 = or(_T_8937, _T_8940) @[ifu_mem_ctl.scala 693:81] + node _T_8942 = or(_T_8941, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8943 = bits(_T_8942, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8944 : UInt<1>, rvclkhdr_93.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8943 : @[Reg.scala 28:19] + _T_8944 <= _T_8934 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][124] <= _T_8944 @[ifu_mem_ctl.scala 692:41] + node _T_8945 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8946 = eq(_T_8945, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8947 = and(ic_valid_ff, _T_8946) @[ifu_mem_ctl.scala 692:97] + node _T_8948 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8949 = and(_T_8947, _T_8948) @[ifu_mem_ctl.scala 692:122] + node _T_8950 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[ifu_mem_ctl.scala 693:37] + node _T_8951 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_8952 = and(_T_8950, _T_8951) @[ifu_mem_ctl.scala 693:59] + node _T_8953 = eq(perr_ic_index_ff, UInt<7>("h07d")) @[ifu_mem_ctl.scala 693:102] + node _T_8954 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_8955 = and(_T_8953, _T_8954) @[ifu_mem_ctl.scala 693:124] + node _T_8956 = or(_T_8952, _T_8955) @[ifu_mem_ctl.scala 693:81] + node _T_8957 = or(_T_8956, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8958 = bits(_T_8957, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8959 : UInt<1>, rvclkhdr_93.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8958 : @[Reg.scala 28:19] + _T_8959 <= _T_8949 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][125] <= _T_8959 @[ifu_mem_ctl.scala 692:41] + node _T_8960 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8961 = eq(_T_8960, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8962 = and(ic_valid_ff, _T_8961) @[ifu_mem_ctl.scala 692:97] + node _T_8963 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8964 = and(_T_8962, _T_8963) @[ifu_mem_ctl.scala 692:122] + node _T_8965 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[ifu_mem_ctl.scala 693:37] + node _T_8966 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_8967 = and(_T_8965, _T_8966) @[ifu_mem_ctl.scala 693:59] + node _T_8968 = eq(perr_ic_index_ff, UInt<7>("h07e")) @[ifu_mem_ctl.scala 693:102] + node _T_8969 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_8970 = and(_T_8968, _T_8969) @[ifu_mem_ctl.scala 693:124] + node _T_8971 = or(_T_8967, _T_8970) @[ifu_mem_ctl.scala 693:81] + node _T_8972 = or(_T_8971, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8973 = bits(_T_8972, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8974 : UInt<1>, rvclkhdr_93.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8973 : @[Reg.scala 28:19] + _T_8974 <= _T_8964 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][126] <= _T_8974 @[ifu_mem_ctl.scala 692:41] + node _T_8975 = bits(reset_all_tags, 0, 0) @[ifu_mem_ctl.scala 692:115] + node _T_8976 = eq(_T_8975, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:99] + node _T_8977 = and(ic_valid_ff, _T_8976) @[ifu_mem_ctl.scala 692:97] + node _T_8978 = eq(perr_sel_invalidate, UInt<1>("h00")) @[ifu_mem_ctl.scala 692:124] + node _T_8979 = and(_T_8977, _T_8978) @[ifu_mem_ctl.scala 692:122] + node _T_8980 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[ifu_mem_ctl.scala 693:37] + node _T_8981 = bits(ifu_tag_wren_ff, 1, 1) @[ifu_mem_ctl.scala 693:76] + node _T_8982 = and(_T_8980, _T_8981) @[ifu_mem_ctl.scala 693:59] + node _T_8983 = eq(perr_ic_index_ff, UInt<7>("h07f")) @[ifu_mem_ctl.scala 693:102] + node _T_8984 = bits(perr_err_inv_way, 1, 1) @[ifu_mem_ctl.scala 693:142] + node _T_8985 = and(_T_8983, _T_8984) @[ifu_mem_ctl.scala 693:124] + node _T_8986 = or(_T_8982, _T_8985) @[ifu_mem_ctl.scala 693:81] + node _T_8987 = or(_T_8986, reset_all_tags) @[ifu_mem_ctl.scala 693:147] + node _T_8988 = bits(_T_8987, 0, 0) @[ifu_mem_ctl.scala 693:166] + reg _T_8989 : UInt<1>, rvclkhdr_93.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_8988 : @[Reg.scala 28:19] + _T_8989 <= _T_8979 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ic_tag_valid_out[1][127] <= _T_8989 @[ifu_mem_ctl.scala 692:41] + node _T_8990 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[ifu_mem_ctl.scala 696:33] + node _T_8991 = mux(_T_8990, ic_tag_valid_out[0][0], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_8992 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[ifu_mem_ctl.scala 696:33] + node _T_8993 = mux(_T_8992, ic_tag_valid_out[0][1], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_8994 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[ifu_mem_ctl.scala 696:33] + node _T_8995 = mux(_T_8994, ic_tag_valid_out[0][2], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_8996 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[ifu_mem_ctl.scala 696:33] + node _T_8997 = mux(_T_8996, ic_tag_valid_out[0][3], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_8998 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[ifu_mem_ctl.scala 696:33] + node _T_8999 = mux(_T_8998, ic_tag_valid_out[0][4], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9000 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[ifu_mem_ctl.scala 696:33] + node _T_9001 = mux(_T_9000, ic_tag_valid_out[0][5], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9002 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[ifu_mem_ctl.scala 696:33] + node _T_9003 = mux(_T_9002, ic_tag_valid_out[0][6], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9004 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[ifu_mem_ctl.scala 696:33] + node _T_9005 = mux(_T_9004, ic_tag_valid_out[0][7], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9006 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[ifu_mem_ctl.scala 696:33] + node _T_9007 = mux(_T_9006, ic_tag_valid_out[0][8], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9008 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[ifu_mem_ctl.scala 696:33] + node _T_9009 = mux(_T_9008, ic_tag_valid_out[0][9], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9010 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[ifu_mem_ctl.scala 696:33] + node _T_9011 = mux(_T_9010, ic_tag_valid_out[0][10], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9012 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[ifu_mem_ctl.scala 696:33] + node _T_9013 = mux(_T_9012, ic_tag_valid_out[0][11], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9014 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[ifu_mem_ctl.scala 696:33] + node _T_9015 = mux(_T_9014, ic_tag_valid_out[0][12], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9016 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[ifu_mem_ctl.scala 696:33] + node _T_9017 = mux(_T_9016, ic_tag_valid_out[0][13], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9018 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[ifu_mem_ctl.scala 696:33] + node _T_9019 = mux(_T_9018, ic_tag_valid_out[0][14], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9020 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[ifu_mem_ctl.scala 696:33] + node _T_9021 = mux(_T_9020, ic_tag_valid_out[0][15], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9022 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[ifu_mem_ctl.scala 696:33] + node _T_9023 = mux(_T_9022, ic_tag_valid_out[0][16], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9024 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[ifu_mem_ctl.scala 696:33] + node _T_9025 = mux(_T_9024, ic_tag_valid_out[0][17], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9026 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[ifu_mem_ctl.scala 696:33] + node _T_9027 = mux(_T_9026, ic_tag_valid_out[0][18], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9028 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[ifu_mem_ctl.scala 696:33] + node _T_9029 = mux(_T_9028, ic_tag_valid_out[0][19], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9030 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[ifu_mem_ctl.scala 696:33] + node _T_9031 = mux(_T_9030, ic_tag_valid_out[0][20], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9032 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[ifu_mem_ctl.scala 696:33] + node _T_9033 = mux(_T_9032, ic_tag_valid_out[0][21], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9034 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[ifu_mem_ctl.scala 696:33] + node _T_9035 = mux(_T_9034, ic_tag_valid_out[0][22], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9036 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[ifu_mem_ctl.scala 696:33] + node _T_9037 = mux(_T_9036, ic_tag_valid_out[0][23], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9038 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[ifu_mem_ctl.scala 696:33] + node _T_9039 = mux(_T_9038, ic_tag_valid_out[0][24], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9040 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[ifu_mem_ctl.scala 696:33] + node _T_9041 = mux(_T_9040, ic_tag_valid_out[0][25], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9042 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[ifu_mem_ctl.scala 696:33] + node _T_9043 = mux(_T_9042, ic_tag_valid_out[0][26], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9044 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[ifu_mem_ctl.scala 696:33] + node _T_9045 = mux(_T_9044, ic_tag_valid_out[0][27], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9046 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[ifu_mem_ctl.scala 696:33] + node _T_9047 = mux(_T_9046, ic_tag_valid_out[0][28], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9048 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[ifu_mem_ctl.scala 696:33] + node _T_9049 = mux(_T_9048, ic_tag_valid_out[0][29], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9050 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[ifu_mem_ctl.scala 696:33] + node _T_9051 = mux(_T_9050, ic_tag_valid_out[0][30], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9052 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[ifu_mem_ctl.scala 696:33] + node _T_9053 = mux(_T_9052, ic_tag_valid_out[0][31], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9054 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[ifu_mem_ctl.scala 696:33] + node _T_9055 = mux(_T_9054, ic_tag_valid_out[0][32], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9056 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[ifu_mem_ctl.scala 696:33] + node _T_9057 = mux(_T_9056, ic_tag_valid_out[0][33], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9058 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[ifu_mem_ctl.scala 696:33] + node _T_9059 = mux(_T_9058, ic_tag_valid_out[0][34], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9060 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[ifu_mem_ctl.scala 696:33] + node _T_9061 = mux(_T_9060, ic_tag_valid_out[0][35], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9062 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[ifu_mem_ctl.scala 696:33] + node _T_9063 = mux(_T_9062, ic_tag_valid_out[0][36], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9064 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[ifu_mem_ctl.scala 696:33] + node _T_9065 = mux(_T_9064, ic_tag_valid_out[0][37], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9066 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[ifu_mem_ctl.scala 696:33] + node _T_9067 = mux(_T_9066, ic_tag_valid_out[0][38], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9068 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[ifu_mem_ctl.scala 696:33] + node _T_9069 = mux(_T_9068, ic_tag_valid_out[0][39], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9070 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[ifu_mem_ctl.scala 696:33] + node _T_9071 = mux(_T_9070, ic_tag_valid_out[0][40], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9072 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[ifu_mem_ctl.scala 696:33] + node _T_9073 = mux(_T_9072, ic_tag_valid_out[0][41], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9074 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[ifu_mem_ctl.scala 696:33] + node _T_9075 = mux(_T_9074, ic_tag_valid_out[0][42], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9076 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[ifu_mem_ctl.scala 696:33] + node _T_9077 = mux(_T_9076, ic_tag_valid_out[0][43], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9078 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[ifu_mem_ctl.scala 696:33] + node _T_9079 = mux(_T_9078, ic_tag_valid_out[0][44], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9080 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[ifu_mem_ctl.scala 696:33] + node _T_9081 = mux(_T_9080, ic_tag_valid_out[0][45], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9082 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[ifu_mem_ctl.scala 696:33] + node _T_9083 = mux(_T_9082, ic_tag_valid_out[0][46], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9084 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[ifu_mem_ctl.scala 696:33] + node _T_9085 = mux(_T_9084, ic_tag_valid_out[0][47], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9086 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[ifu_mem_ctl.scala 696:33] + node _T_9087 = mux(_T_9086, ic_tag_valid_out[0][48], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9088 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[ifu_mem_ctl.scala 696:33] + node _T_9089 = mux(_T_9088, ic_tag_valid_out[0][49], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9090 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[ifu_mem_ctl.scala 696:33] + node _T_9091 = mux(_T_9090, ic_tag_valid_out[0][50], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9092 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[ifu_mem_ctl.scala 696:33] + node _T_9093 = mux(_T_9092, ic_tag_valid_out[0][51], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9094 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[ifu_mem_ctl.scala 696:33] + node _T_9095 = mux(_T_9094, ic_tag_valid_out[0][52], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9096 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[ifu_mem_ctl.scala 696:33] + node _T_9097 = mux(_T_9096, ic_tag_valid_out[0][53], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9098 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[ifu_mem_ctl.scala 696:33] + node _T_9099 = mux(_T_9098, ic_tag_valid_out[0][54], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9100 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[ifu_mem_ctl.scala 696:33] + node _T_9101 = mux(_T_9100, ic_tag_valid_out[0][55], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9102 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[ifu_mem_ctl.scala 696:33] + node _T_9103 = mux(_T_9102, ic_tag_valid_out[0][56], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9104 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[ifu_mem_ctl.scala 696:33] + node _T_9105 = mux(_T_9104, ic_tag_valid_out[0][57], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9106 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[ifu_mem_ctl.scala 696:33] + node _T_9107 = mux(_T_9106, ic_tag_valid_out[0][58], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9108 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[ifu_mem_ctl.scala 696:33] + node _T_9109 = mux(_T_9108, ic_tag_valid_out[0][59], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9110 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[ifu_mem_ctl.scala 696:33] + node _T_9111 = mux(_T_9110, ic_tag_valid_out[0][60], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9112 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[ifu_mem_ctl.scala 696:33] + node _T_9113 = mux(_T_9112, ic_tag_valid_out[0][61], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9114 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[ifu_mem_ctl.scala 696:33] + node _T_9115 = mux(_T_9114, ic_tag_valid_out[0][62], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9116 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[ifu_mem_ctl.scala 696:33] + node _T_9117 = mux(_T_9116, ic_tag_valid_out[0][63], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9118 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[ifu_mem_ctl.scala 696:33] + node _T_9119 = mux(_T_9118, ic_tag_valid_out[0][64], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9120 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[ifu_mem_ctl.scala 696:33] + node _T_9121 = mux(_T_9120, ic_tag_valid_out[0][65], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9122 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[ifu_mem_ctl.scala 696:33] + node _T_9123 = mux(_T_9122, ic_tag_valid_out[0][66], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9124 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[ifu_mem_ctl.scala 696:33] + node _T_9125 = mux(_T_9124, ic_tag_valid_out[0][67], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9126 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[ifu_mem_ctl.scala 696:33] + node _T_9127 = mux(_T_9126, ic_tag_valid_out[0][68], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9128 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[ifu_mem_ctl.scala 696:33] + node _T_9129 = mux(_T_9128, ic_tag_valid_out[0][69], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9130 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[ifu_mem_ctl.scala 696:33] + node _T_9131 = mux(_T_9130, ic_tag_valid_out[0][70], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9132 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[ifu_mem_ctl.scala 696:33] + node _T_9133 = mux(_T_9132, ic_tag_valid_out[0][71], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9134 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[ifu_mem_ctl.scala 696:33] + node _T_9135 = mux(_T_9134, ic_tag_valid_out[0][72], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9136 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[ifu_mem_ctl.scala 696:33] + node _T_9137 = mux(_T_9136, ic_tag_valid_out[0][73], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9138 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[ifu_mem_ctl.scala 696:33] + node _T_9139 = mux(_T_9138, ic_tag_valid_out[0][74], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9140 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[ifu_mem_ctl.scala 696:33] + node _T_9141 = mux(_T_9140, ic_tag_valid_out[0][75], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9142 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[ifu_mem_ctl.scala 696:33] + node _T_9143 = mux(_T_9142, ic_tag_valid_out[0][76], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9144 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[ifu_mem_ctl.scala 696:33] + node _T_9145 = mux(_T_9144, ic_tag_valid_out[0][77], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9146 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[ifu_mem_ctl.scala 696:33] + node _T_9147 = mux(_T_9146, ic_tag_valid_out[0][78], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9148 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[ifu_mem_ctl.scala 696:33] + node _T_9149 = mux(_T_9148, ic_tag_valid_out[0][79], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9150 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[ifu_mem_ctl.scala 696:33] + node _T_9151 = mux(_T_9150, ic_tag_valid_out[0][80], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9152 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[ifu_mem_ctl.scala 696:33] + node _T_9153 = mux(_T_9152, ic_tag_valid_out[0][81], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9154 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[ifu_mem_ctl.scala 696:33] + node _T_9155 = mux(_T_9154, ic_tag_valid_out[0][82], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9156 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[ifu_mem_ctl.scala 696:33] + node _T_9157 = mux(_T_9156, ic_tag_valid_out[0][83], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9158 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[ifu_mem_ctl.scala 696:33] + node _T_9159 = mux(_T_9158, ic_tag_valid_out[0][84], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9160 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[ifu_mem_ctl.scala 696:33] + node _T_9161 = mux(_T_9160, ic_tag_valid_out[0][85], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9162 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[ifu_mem_ctl.scala 696:33] + node _T_9163 = mux(_T_9162, ic_tag_valid_out[0][86], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9164 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[ifu_mem_ctl.scala 696:33] + node _T_9165 = mux(_T_9164, ic_tag_valid_out[0][87], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9166 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[ifu_mem_ctl.scala 696:33] + node _T_9167 = mux(_T_9166, ic_tag_valid_out[0][88], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9168 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[ifu_mem_ctl.scala 696:33] + node _T_9169 = mux(_T_9168, ic_tag_valid_out[0][89], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9170 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[ifu_mem_ctl.scala 696:33] + node _T_9171 = mux(_T_9170, ic_tag_valid_out[0][90], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9172 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[ifu_mem_ctl.scala 696:33] + node _T_9173 = mux(_T_9172, ic_tag_valid_out[0][91], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9174 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[ifu_mem_ctl.scala 696:33] + node _T_9175 = mux(_T_9174, ic_tag_valid_out[0][92], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9176 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[ifu_mem_ctl.scala 696:33] + node _T_9177 = mux(_T_9176, ic_tag_valid_out[0][93], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9178 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[ifu_mem_ctl.scala 696:33] + node _T_9179 = mux(_T_9178, ic_tag_valid_out[0][94], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9180 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[ifu_mem_ctl.scala 696:33] + node _T_9181 = mux(_T_9180, ic_tag_valid_out[0][95], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9182 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[ifu_mem_ctl.scala 696:33] + node _T_9183 = mux(_T_9182, ic_tag_valid_out[0][96], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9184 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[ifu_mem_ctl.scala 696:33] + node _T_9185 = mux(_T_9184, ic_tag_valid_out[0][97], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9186 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[ifu_mem_ctl.scala 696:33] + node _T_9187 = mux(_T_9186, ic_tag_valid_out[0][98], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9188 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[ifu_mem_ctl.scala 696:33] + node _T_9189 = mux(_T_9188, ic_tag_valid_out[0][99], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9190 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[ifu_mem_ctl.scala 696:33] + node _T_9191 = mux(_T_9190, ic_tag_valid_out[0][100], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9192 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[ifu_mem_ctl.scala 696:33] + node _T_9193 = mux(_T_9192, ic_tag_valid_out[0][101], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9194 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[ifu_mem_ctl.scala 696:33] + node _T_9195 = mux(_T_9194, ic_tag_valid_out[0][102], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9196 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[ifu_mem_ctl.scala 696:33] + node _T_9197 = mux(_T_9196, ic_tag_valid_out[0][103], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9198 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[ifu_mem_ctl.scala 696:33] + node _T_9199 = mux(_T_9198, ic_tag_valid_out[0][104], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9200 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[ifu_mem_ctl.scala 696:33] + node _T_9201 = mux(_T_9200, ic_tag_valid_out[0][105], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9202 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[ifu_mem_ctl.scala 696:33] + node _T_9203 = mux(_T_9202, ic_tag_valid_out[0][106], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9204 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[ifu_mem_ctl.scala 696:33] + node _T_9205 = mux(_T_9204, ic_tag_valid_out[0][107], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9206 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[ifu_mem_ctl.scala 696:33] + node _T_9207 = mux(_T_9206, ic_tag_valid_out[0][108], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9208 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[ifu_mem_ctl.scala 696:33] + node _T_9209 = mux(_T_9208, ic_tag_valid_out[0][109], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9210 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[ifu_mem_ctl.scala 696:33] + node _T_9211 = mux(_T_9210, ic_tag_valid_out[0][110], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9212 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[ifu_mem_ctl.scala 696:33] + node _T_9213 = mux(_T_9212, ic_tag_valid_out[0][111], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9214 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[ifu_mem_ctl.scala 696:33] + node _T_9215 = mux(_T_9214, ic_tag_valid_out[0][112], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9216 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[ifu_mem_ctl.scala 696:33] + node _T_9217 = mux(_T_9216, ic_tag_valid_out[0][113], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9218 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[ifu_mem_ctl.scala 696:33] + node _T_9219 = mux(_T_9218, ic_tag_valid_out[0][114], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9220 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[ifu_mem_ctl.scala 696:33] + node _T_9221 = mux(_T_9220, ic_tag_valid_out[0][115], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9222 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[ifu_mem_ctl.scala 696:33] + node _T_9223 = mux(_T_9222, ic_tag_valid_out[0][116], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9224 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[ifu_mem_ctl.scala 696:33] + node _T_9225 = mux(_T_9224, ic_tag_valid_out[0][117], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9226 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[ifu_mem_ctl.scala 696:33] + node _T_9227 = mux(_T_9226, ic_tag_valid_out[0][118], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9228 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[ifu_mem_ctl.scala 696:33] + node _T_9229 = mux(_T_9228, ic_tag_valid_out[0][119], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9230 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[ifu_mem_ctl.scala 696:33] + node _T_9231 = mux(_T_9230, ic_tag_valid_out[0][120], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9232 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[ifu_mem_ctl.scala 696:33] + node _T_9233 = mux(_T_9232, ic_tag_valid_out[0][121], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9234 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[ifu_mem_ctl.scala 696:33] + node _T_9235 = mux(_T_9234, ic_tag_valid_out[0][122], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9236 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[ifu_mem_ctl.scala 696:33] + node _T_9237 = mux(_T_9236, ic_tag_valid_out[0][123], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9238 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[ifu_mem_ctl.scala 696:33] + node _T_9239 = mux(_T_9238, ic_tag_valid_out[0][124], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9240 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[ifu_mem_ctl.scala 696:33] + node _T_9241 = mux(_T_9240, ic_tag_valid_out[0][125], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9242 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[ifu_mem_ctl.scala 696:33] + node _T_9243 = mux(_T_9242, ic_tag_valid_out[0][126], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9244 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[ifu_mem_ctl.scala 696:33] + node _T_9245 = mux(_T_9244, ic_tag_valid_out[0][127], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9246 = or(_T_8991, _T_8993) @[ifu_mem_ctl.scala 696:91] + node _T_9247 = or(_T_9246, _T_8995) @[ifu_mem_ctl.scala 696:91] + node _T_9248 = or(_T_9247, _T_8997) @[ifu_mem_ctl.scala 696:91] + node _T_9249 = or(_T_9248, _T_8999) @[ifu_mem_ctl.scala 696:91] + node _T_9250 = or(_T_9249, _T_9001) @[ifu_mem_ctl.scala 696:91] + node _T_9251 = or(_T_9250, _T_9003) @[ifu_mem_ctl.scala 696:91] + node _T_9252 = or(_T_9251, _T_9005) @[ifu_mem_ctl.scala 696:91] + node _T_9253 = or(_T_9252, _T_9007) @[ifu_mem_ctl.scala 696:91] + node _T_9254 = or(_T_9253, _T_9009) @[ifu_mem_ctl.scala 696:91] + node _T_9255 = or(_T_9254, _T_9011) @[ifu_mem_ctl.scala 696:91] + node _T_9256 = or(_T_9255, _T_9013) @[ifu_mem_ctl.scala 696:91] + node _T_9257 = or(_T_9256, _T_9015) @[ifu_mem_ctl.scala 696:91] + node _T_9258 = or(_T_9257, _T_9017) @[ifu_mem_ctl.scala 696:91] + node _T_9259 = or(_T_9258, _T_9019) @[ifu_mem_ctl.scala 696:91] + node _T_9260 = or(_T_9259, _T_9021) @[ifu_mem_ctl.scala 696:91] + node _T_9261 = or(_T_9260, _T_9023) @[ifu_mem_ctl.scala 696:91] + node _T_9262 = or(_T_9261, _T_9025) @[ifu_mem_ctl.scala 696:91] + node _T_9263 = or(_T_9262, _T_9027) @[ifu_mem_ctl.scala 696:91] + node _T_9264 = or(_T_9263, _T_9029) @[ifu_mem_ctl.scala 696:91] + node _T_9265 = or(_T_9264, _T_9031) @[ifu_mem_ctl.scala 696:91] + node _T_9266 = or(_T_9265, _T_9033) @[ifu_mem_ctl.scala 696:91] + node _T_9267 = or(_T_9266, _T_9035) @[ifu_mem_ctl.scala 696:91] + node _T_9268 = or(_T_9267, _T_9037) @[ifu_mem_ctl.scala 696:91] + node _T_9269 = or(_T_9268, _T_9039) @[ifu_mem_ctl.scala 696:91] + node _T_9270 = or(_T_9269, _T_9041) @[ifu_mem_ctl.scala 696:91] + node _T_9271 = or(_T_9270, _T_9043) @[ifu_mem_ctl.scala 696:91] + node _T_9272 = or(_T_9271, _T_9045) @[ifu_mem_ctl.scala 696:91] + node _T_9273 = or(_T_9272, _T_9047) @[ifu_mem_ctl.scala 696:91] + node _T_9274 = or(_T_9273, _T_9049) @[ifu_mem_ctl.scala 696:91] + node _T_9275 = or(_T_9274, _T_9051) @[ifu_mem_ctl.scala 696:91] + node _T_9276 = or(_T_9275, _T_9053) @[ifu_mem_ctl.scala 696:91] + node _T_9277 = or(_T_9276, _T_9055) @[ifu_mem_ctl.scala 696:91] + node _T_9278 = or(_T_9277, _T_9057) @[ifu_mem_ctl.scala 696:91] + node _T_9279 = or(_T_9278, _T_9059) @[ifu_mem_ctl.scala 696:91] + node _T_9280 = or(_T_9279, _T_9061) @[ifu_mem_ctl.scala 696:91] + node _T_9281 = or(_T_9280, _T_9063) @[ifu_mem_ctl.scala 696:91] + node _T_9282 = or(_T_9281, _T_9065) @[ifu_mem_ctl.scala 696:91] + node _T_9283 = or(_T_9282, _T_9067) @[ifu_mem_ctl.scala 696:91] + node _T_9284 = or(_T_9283, _T_9069) @[ifu_mem_ctl.scala 696:91] + node _T_9285 = or(_T_9284, _T_9071) @[ifu_mem_ctl.scala 696:91] + node _T_9286 = or(_T_9285, _T_9073) @[ifu_mem_ctl.scala 696:91] + node _T_9287 = or(_T_9286, _T_9075) @[ifu_mem_ctl.scala 696:91] + node _T_9288 = or(_T_9287, _T_9077) @[ifu_mem_ctl.scala 696:91] + node _T_9289 = or(_T_9288, _T_9079) @[ifu_mem_ctl.scala 696:91] + node _T_9290 = or(_T_9289, _T_9081) @[ifu_mem_ctl.scala 696:91] + node _T_9291 = or(_T_9290, _T_9083) @[ifu_mem_ctl.scala 696:91] + node _T_9292 = or(_T_9291, _T_9085) @[ifu_mem_ctl.scala 696:91] + node _T_9293 = or(_T_9292, _T_9087) @[ifu_mem_ctl.scala 696:91] + node _T_9294 = or(_T_9293, _T_9089) @[ifu_mem_ctl.scala 696:91] + node _T_9295 = or(_T_9294, _T_9091) @[ifu_mem_ctl.scala 696:91] + node _T_9296 = or(_T_9295, _T_9093) @[ifu_mem_ctl.scala 696:91] + node _T_9297 = or(_T_9296, _T_9095) @[ifu_mem_ctl.scala 696:91] + node _T_9298 = or(_T_9297, _T_9097) @[ifu_mem_ctl.scala 696:91] + node _T_9299 = or(_T_9298, _T_9099) @[ifu_mem_ctl.scala 696:91] + node _T_9300 = or(_T_9299, _T_9101) @[ifu_mem_ctl.scala 696:91] + node _T_9301 = or(_T_9300, _T_9103) @[ifu_mem_ctl.scala 696:91] + node _T_9302 = or(_T_9301, _T_9105) @[ifu_mem_ctl.scala 696:91] + node _T_9303 = or(_T_9302, _T_9107) @[ifu_mem_ctl.scala 696:91] + node _T_9304 = or(_T_9303, _T_9109) @[ifu_mem_ctl.scala 696:91] + node _T_9305 = or(_T_9304, _T_9111) @[ifu_mem_ctl.scala 696:91] + node _T_9306 = or(_T_9305, _T_9113) @[ifu_mem_ctl.scala 696:91] + node _T_9307 = or(_T_9306, _T_9115) @[ifu_mem_ctl.scala 696:91] + node _T_9308 = or(_T_9307, _T_9117) @[ifu_mem_ctl.scala 696:91] + node _T_9309 = or(_T_9308, _T_9119) @[ifu_mem_ctl.scala 696:91] + node _T_9310 = or(_T_9309, _T_9121) @[ifu_mem_ctl.scala 696:91] + node _T_9311 = or(_T_9310, _T_9123) @[ifu_mem_ctl.scala 696:91] + node _T_9312 = or(_T_9311, _T_9125) @[ifu_mem_ctl.scala 696:91] + node _T_9313 = or(_T_9312, _T_9127) @[ifu_mem_ctl.scala 696:91] + node _T_9314 = or(_T_9313, _T_9129) @[ifu_mem_ctl.scala 696:91] + node _T_9315 = or(_T_9314, _T_9131) @[ifu_mem_ctl.scala 696:91] + node _T_9316 = or(_T_9315, _T_9133) @[ifu_mem_ctl.scala 696:91] + node _T_9317 = or(_T_9316, _T_9135) @[ifu_mem_ctl.scala 696:91] + node _T_9318 = or(_T_9317, _T_9137) @[ifu_mem_ctl.scala 696:91] + node _T_9319 = or(_T_9318, _T_9139) @[ifu_mem_ctl.scala 696:91] + node _T_9320 = or(_T_9319, _T_9141) @[ifu_mem_ctl.scala 696:91] + node _T_9321 = or(_T_9320, _T_9143) @[ifu_mem_ctl.scala 696:91] + node _T_9322 = or(_T_9321, _T_9145) @[ifu_mem_ctl.scala 696:91] + node _T_9323 = or(_T_9322, _T_9147) @[ifu_mem_ctl.scala 696:91] + node _T_9324 = or(_T_9323, _T_9149) @[ifu_mem_ctl.scala 696:91] + node _T_9325 = or(_T_9324, _T_9151) @[ifu_mem_ctl.scala 696:91] + node _T_9326 = or(_T_9325, _T_9153) @[ifu_mem_ctl.scala 696:91] + node _T_9327 = or(_T_9326, _T_9155) @[ifu_mem_ctl.scala 696:91] + node _T_9328 = or(_T_9327, _T_9157) @[ifu_mem_ctl.scala 696:91] + node _T_9329 = or(_T_9328, _T_9159) @[ifu_mem_ctl.scala 696:91] + node _T_9330 = or(_T_9329, _T_9161) @[ifu_mem_ctl.scala 696:91] + node _T_9331 = or(_T_9330, _T_9163) @[ifu_mem_ctl.scala 696:91] + node _T_9332 = or(_T_9331, _T_9165) @[ifu_mem_ctl.scala 696:91] + node _T_9333 = or(_T_9332, _T_9167) @[ifu_mem_ctl.scala 696:91] + node _T_9334 = or(_T_9333, _T_9169) @[ifu_mem_ctl.scala 696:91] + node _T_9335 = or(_T_9334, _T_9171) @[ifu_mem_ctl.scala 696:91] + node _T_9336 = or(_T_9335, _T_9173) @[ifu_mem_ctl.scala 696:91] + node _T_9337 = or(_T_9336, _T_9175) @[ifu_mem_ctl.scala 696:91] + node _T_9338 = or(_T_9337, _T_9177) @[ifu_mem_ctl.scala 696:91] + node _T_9339 = or(_T_9338, _T_9179) @[ifu_mem_ctl.scala 696:91] + node _T_9340 = or(_T_9339, _T_9181) @[ifu_mem_ctl.scala 696:91] + node _T_9341 = or(_T_9340, _T_9183) @[ifu_mem_ctl.scala 696:91] + node _T_9342 = or(_T_9341, _T_9185) @[ifu_mem_ctl.scala 696:91] + node _T_9343 = or(_T_9342, _T_9187) @[ifu_mem_ctl.scala 696:91] + node _T_9344 = or(_T_9343, _T_9189) @[ifu_mem_ctl.scala 696:91] + node _T_9345 = or(_T_9344, _T_9191) @[ifu_mem_ctl.scala 696:91] + node _T_9346 = or(_T_9345, _T_9193) @[ifu_mem_ctl.scala 696:91] + node _T_9347 = or(_T_9346, _T_9195) @[ifu_mem_ctl.scala 696:91] + node _T_9348 = or(_T_9347, _T_9197) @[ifu_mem_ctl.scala 696:91] + node _T_9349 = or(_T_9348, _T_9199) @[ifu_mem_ctl.scala 696:91] + node _T_9350 = or(_T_9349, _T_9201) @[ifu_mem_ctl.scala 696:91] + node _T_9351 = or(_T_9350, _T_9203) @[ifu_mem_ctl.scala 696:91] + node _T_9352 = or(_T_9351, _T_9205) @[ifu_mem_ctl.scala 696:91] + node _T_9353 = or(_T_9352, _T_9207) @[ifu_mem_ctl.scala 696:91] + node _T_9354 = or(_T_9353, _T_9209) @[ifu_mem_ctl.scala 696:91] + node _T_9355 = or(_T_9354, _T_9211) @[ifu_mem_ctl.scala 696:91] + node _T_9356 = or(_T_9355, _T_9213) @[ifu_mem_ctl.scala 696:91] + node _T_9357 = or(_T_9356, _T_9215) @[ifu_mem_ctl.scala 696:91] + node _T_9358 = or(_T_9357, _T_9217) @[ifu_mem_ctl.scala 696:91] + node _T_9359 = or(_T_9358, _T_9219) @[ifu_mem_ctl.scala 696:91] + node _T_9360 = or(_T_9359, _T_9221) @[ifu_mem_ctl.scala 696:91] + node _T_9361 = or(_T_9360, _T_9223) @[ifu_mem_ctl.scala 696:91] + node _T_9362 = or(_T_9361, _T_9225) @[ifu_mem_ctl.scala 696:91] + node _T_9363 = or(_T_9362, _T_9227) @[ifu_mem_ctl.scala 696:91] + node _T_9364 = or(_T_9363, _T_9229) @[ifu_mem_ctl.scala 696:91] + node _T_9365 = or(_T_9364, _T_9231) @[ifu_mem_ctl.scala 696:91] + node _T_9366 = or(_T_9365, _T_9233) @[ifu_mem_ctl.scala 696:91] + node _T_9367 = or(_T_9366, _T_9235) @[ifu_mem_ctl.scala 696:91] + node _T_9368 = or(_T_9367, _T_9237) @[ifu_mem_ctl.scala 696:91] + node _T_9369 = or(_T_9368, _T_9239) @[ifu_mem_ctl.scala 696:91] + node _T_9370 = or(_T_9369, _T_9241) @[ifu_mem_ctl.scala 696:91] + node _T_9371 = or(_T_9370, _T_9243) @[ifu_mem_ctl.scala 696:91] + node _T_9372 = or(_T_9371, _T_9245) @[ifu_mem_ctl.scala 696:91] + node _T_9373 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[ifu_mem_ctl.scala 696:33] + node _T_9374 = mux(_T_9373, ic_tag_valid_out[1][0], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9375 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[ifu_mem_ctl.scala 696:33] + node _T_9376 = mux(_T_9375, ic_tag_valid_out[1][1], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9377 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[ifu_mem_ctl.scala 696:33] + node _T_9378 = mux(_T_9377, ic_tag_valid_out[1][2], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9379 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[ifu_mem_ctl.scala 696:33] + node _T_9380 = mux(_T_9379, ic_tag_valid_out[1][3], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9381 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[ifu_mem_ctl.scala 696:33] + node _T_9382 = mux(_T_9381, ic_tag_valid_out[1][4], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9383 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[ifu_mem_ctl.scala 696:33] + node _T_9384 = mux(_T_9383, ic_tag_valid_out[1][5], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9385 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[ifu_mem_ctl.scala 696:33] + node _T_9386 = mux(_T_9385, ic_tag_valid_out[1][6], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9387 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[ifu_mem_ctl.scala 696:33] + node _T_9388 = mux(_T_9387, ic_tag_valid_out[1][7], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9389 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[ifu_mem_ctl.scala 696:33] + node _T_9390 = mux(_T_9389, ic_tag_valid_out[1][8], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9391 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[ifu_mem_ctl.scala 696:33] + node _T_9392 = mux(_T_9391, ic_tag_valid_out[1][9], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9393 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[ifu_mem_ctl.scala 696:33] + node _T_9394 = mux(_T_9393, ic_tag_valid_out[1][10], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9395 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[ifu_mem_ctl.scala 696:33] + node _T_9396 = mux(_T_9395, ic_tag_valid_out[1][11], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9397 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[ifu_mem_ctl.scala 696:33] + node _T_9398 = mux(_T_9397, ic_tag_valid_out[1][12], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9399 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[ifu_mem_ctl.scala 696:33] + node _T_9400 = mux(_T_9399, ic_tag_valid_out[1][13], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9401 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[ifu_mem_ctl.scala 696:33] + node _T_9402 = mux(_T_9401, ic_tag_valid_out[1][14], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9403 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[ifu_mem_ctl.scala 696:33] + node _T_9404 = mux(_T_9403, ic_tag_valid_out[1][15], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9405 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[ifu_mem_ctl.scala 696:33] + node _T_9406 = mux(_T_9405, ic_tag_valid_out[1][16], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9407 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[ifu_mem_ctl.scala 696:33] + node _T_9408 = mux(_T_9407, ic_tag_valid_out[1][17], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9409 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[ifu_mem_ctl.scala 696:33] + node _T_9410 = mux(_T_9409, ic_tag_valid_out[1][18], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9411 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[ifu_mem_ctl.scala 696:33] + node _T_9412 = mux(_T_9411, ic_tag_valid_out[1][19], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9413 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[ifu_mem_ctl.scala 696:33] + node _T_9414 = mux(_T_9413, ic_tag_valid_out[1][20], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9415 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[ifu_mem_ctl.scala 696:33] + node _T_9416 = mux(_T_9415, ic_tag_valid_out[1][21], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9417 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[ifu_mem_ctl.scala 696:33] + node _T_9418 = mux(_T_9417, ic_tag_valid_out[1][22], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9419 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[ifu_mem_ctl.scala 696:33] + node _T_9420 = mux(_T_9419, ic_tag_valid_out[1][23], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9421 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[ifu_mem_ctl.scala 696:33] + node _T_9422 = mux(_T_9421, ic_tag_valid_out[1][24], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9423 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[ifu_mem_ctl.scala 696:33] + node _T_9424 = mux(_T_9423, ic_tag_valid_out[1][25], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9425 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[ifu_mem_ctl.scala 696:33] + node _T_9426 = mux(_T_9425, ic_tag_valid_out[1][26], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9427 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[ifu_mem_ctl.scala 696:33] + node _T_9428 = mux(_T_9427, ic_tag_valid_out[1][27], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9429 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[ifu_mem_ctl.scala 696:33] + node _T_9430 = mux(_T_9429, ic_tag_valid_out[1][28], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9431 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[ifu_mem_ctl.scala 696:33] + node _T_9432 = mux(_T_9431, ic_tag_valid_out[1][29], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9433 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[ifu_mem_ctl.scala 696:33] + node _T_9434 = mux(_T_9433, ic_tag_valid_out[1][30], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9435 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[ifu_mem_ctl.scala 696:33] + node _T_9436 = mux(_T_9435, ic_tag_valid_out[1][31], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9437 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[ifu_mem_ctl.scala 696:33] + node _T_9438 = mux(_T_9437, ic_tag_valid_out[1][32], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9439 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[ifu_mem_ctl.scala 696:33] + node _T_9440 = mux(_T_9439, ic_tag_valid_out[1][33], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9441 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[ifu_mem_ctl.scala 696:33] + node _T_9442 = mux(_T_9441, ic_tag_valid_out[1][34], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9443 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[ifu_mem_ctl.scala 696:33] + node _T_9444 = mux(_T_9443, ic_tag_valid_out[1][35], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9445 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[ifu_mem_ctl.scala 696:33] + node _T_9446 = mux(_T_9445, ic_tag_valid_out[1][36], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9447 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[ifu_mem_ctl.scala 696:33] + node _T_9448 = mux(_T_9447, ic_tag_valid_out[1][37], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9449 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[ifu_mem_ctl.scala 696:33] + node _T_9450 = mux(_T_9449, ic_tag_valid_out[1][38], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9451 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[ifu_mem_ctl.scala 696:33] + node _T_9452 = mux(_T_9451, ic_tag_valid_out[1][39], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9453 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[ifu_mem_ctl.scala 696:33] + node _T_9454 = mux(_T_9453, ic_tag_valid_out[1][40], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9455 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[ifu_mem_ctl.scala 696:33] + node _T_9456 = mux(_T_9455, ic_tag_valid_out[1][41], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9457 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[ifu_mem_ctl.scala 696:33] + node _T_9458 = mux(_T_9457, ic_tag_valid_out[1][42], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9459 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[ifu_mem_ctl.scala 696:33] + node _T_9460 = mux(_T_9459, ic_tag_valid_out[1][43], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9461 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[ifu_mem_ctl.scala 696:33] + node _T_9462 = mux(_T_9461, ic_tag_valid_out[1][44], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9463 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[ifu_mem_ctl.scala 696:33] + node _T_9464 = mux(_T_9463, ic_tag_valid_out[1][45], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9465 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[ifu_mem_ctl.scala 696:33] + node _T_9466 = mux(_T_9465, ic_tag_valid_out[1][46], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9467 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[ifu_mem_ctl.scala 696:33] + node _T_9468 = mux(_T_9467, ic_tag_valid_out[1][47], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9469 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[ifu_mem_ctl.scala 696:33] + node _T_9470 = mux(_T_9469, ic_tag_valid_out[1][48], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9471 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[ifu_mem_ctl.scala 696:33] + node _T_9472 = mux(_T_9471, ic_tag_valid_out[1][49], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9473 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[ifu_mem_ctl.scala 696:33] + node _T_9474 = mux(_T_9473, ic_tag_valid_out[1][50], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9475 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[ifu_mem_ctl.scala 696:33] + node _T_9476 = mux(_T_9475, ic_tag_valid_out[1][51], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9477 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[ifu_mem_ctl.scala 696:33] + node _T_9478 = mux(_T_9477, ic_tag_valid_out[1][52], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9479 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[ifu_mem_ctl.scala 696:33] + node _T_9480 = mux(_T_9479, ic_tag_valid_out[1][53], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9481 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[ifu_mem_ctl.scala 696:33] + node _T_9482 = mux(_T_9481, ic_tag_valid_out[1][54], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9483 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[ifu_mem_ctl.scala 696:33] + node _T_9484 = mux(_T_9483, ic_tag_valid_out[1][55], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9485 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[ifu_mem_ctl.scala 696:33] + node _T_9486 = mux(_T_9485, ic_tag_valid_out[1][56], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9487 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[ifu_mem_ctl.scala 696:33] + node _T_9488 = mux(_T_9487, ic_tag_valid_out[1][57], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9489 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[ifu_mem_ctl.scala 696:33] + node _T_9490 = mux(_T_9489, ic_tag_valid_out[1][58], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9491 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[ifu_mem_ctl.scala 696:33] + node _T_9492 = mux(_T_9491, ic_tag_valid_out[1][59], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9493 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[ifu_mem_ctl.scala 696:33] + node _T_9494 = mux(_T_9493, ic_tag_valid_out[1][60], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9495 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[ifu_mem_ctl.scala 696:33] + node _T_9496 = mux(_T_9495, ic_tag_valid_out[1][61], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9497 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[ifu_mem_ctl.scala 696:33] + node _T_9498 = mux(_T_9497, ic_tag_valid_out[1][62], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9499 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[ifu_mem_ctl.scala 696:33] + node _T_9500 = mux(_T_9499, ic_tag_valid_out[1][63], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9501 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[ifu_mem_ctl.scala 696:33] + node _T_9502 = mux(_T_9501, ic_tag_valid_out[1][64], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9503 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[ifu_mem_ctl.scala 696:33] + node _T_9504 = mux(_T_9503, ic_tag_valid_out[1][65], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9505 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[ifu_mem_ctl.scala 696:33] + node _T_9506 = mux(_T_9505, ic_tag_valid_out[1][66], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9507 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[ifu_mem_ctl.scala 696:33] + node _T_9508 = mux(_T_9507, ic_tag_valid_out[1][67], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9509 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[ifu_mem_ctl.scala 696:33] + node _T_9510 = mux(_T_9509, ic_tag_valid_out[1][68], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9511 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[ifu_mem_ctl.scala 696:33] + node _T_9512 = mux(_T_9511, ic_tag_valid_out[1][69], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9513 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[ifu_mem_ctl.scala 696:33] + node _T_9514 = mux(_T_9513, ic_tag_valid_out[1][70], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9515 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[ifu_mem_ctl.scala 696:33] + node _T_9516 = mux(_T_9515, ic_tag_valid_out[1][71], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9517 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[ifu_mem_ctl.scala 696:33] + node _T_9518 = mux(_T_9517, ic_tag_valid_out[1][72], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9519 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[ifu_mem_ctl.scala 696:33] + node _T_9520 = mux(_T_9519, ic_tag_valid_out[1][73], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9521 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[ifu_mem_ctl.scala 696:33] + node _T_9522 = mux(_T_9521, ic_tag_valid_out[1][74], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9523 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[ifu_mem_ctl.scala 696:33] + node _T_9524 = mux(_T_9523, ic_tag_valid_out[1][75], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9525 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[ifu_mem_ctl.scala 696:33] + node _T_9526 = mux(_T_9525, ic_tag_valid_out[1][76], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9527 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[ifu_mem_ctl.scala 696:33] + node _T_9528 = mux(_T_9527, ic_tag_valid_out[1][77], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9529 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[ifu_mem_ctl.scala 696:33] + node _T_9530 = mux(_T_9529, ic_tag_valid_out[1][78], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9531 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[ifu_mem_ctl.scala 696:33] + node _T_9532 = mux(_T_9531, ic_tag_valid_out[1][79], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9533 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[ifu_mem_ctl.scala 696:33] + node _T_9534 = mux(_T_9533, ic_tag_valid_out[1][80], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9535 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[ifu_mem_ctl.scala 696:33] + node _T_9536 = mux(_T_9535, ic_tag_valid_out[1][81], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9537 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[ifu_mem_ctl.scala 696:33] + node _T_9538 = mux(_T_9537, ic_tag_valid_out[1][82], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9539 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[ifu_mem_ctl.scala 696:33] + node _T_9540 = mux(_T_9539, ic_tag_valid_out[1][83], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9541 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[ifu_mem_ctl.scala 696:33] + node _T_9542 = mux(_T_9541, ic_tag_valid_out[1][84], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9543 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[ifu_mem_ctl.scala 696:33] + node _T_9544 = mux(_T_9543, ic_tag_valid_out[1][85], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9545 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[ifu_mem_ctl.scala 696:33] + node _T_9546 = mux(_T_9545, ic_tag_valid_out[1][86], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9547 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[ifu_mem_ctl.scala 696:33] + node _T_9548 = mux(_T_9547, ic_tag_valid_out[1][87], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9549 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[ifu_mem_ctl.scala 696:33] + node _T_9550 = mux(_T_9549, ic_tag_valid_out[1][88], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9551 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[ifu_mem_ctl.scala 696:33] + node _T_9552 = mux(_T_9551, ic_tag_valid_out[1][89], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9553 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[ifu_mem_ctl.scala 696:33] + node _T_9554 = mux(_T_9553, ic_tag_valid_out[1][90], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9555 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[ifu_mem_ctl.scala 696:33] + node _T_9556 = mux(_T_9555, ic_tag_valid_out[1][91], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9557 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[ifu_mem_ctl.scala 696:33] + node _T_9558 = mux(_T_9557, ic_tag_valid_out[1][92], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9559 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[ifu_mem_ctl.scala 696:33] + node _T_9560 = mux(_T_9559, ic_tag_valid_out[1][93], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9561 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[ifu_mem_ctl.scala 696:33] + node _T_9562 = mux(_T_9561, ic_tag_valid_out[1][94], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9563 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[ifu_mem_ctl.scala 696:33] + node _T_9564 = mux(_T_9563, ic_tag_valid_out[1][95], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9565 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[ifu_mem_ctl.scala 696:33] + node _T_9566 = mux(_T_9565, ic_tag_valid_out[1][96], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9567 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[ifu_mem_ctl.scala 696:33] + node _T_9568 = mux(_T_9567, ic_tag_valid_out[1][97], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9569 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[ifu_mem_ctl.scala 696:33] + node _T_9570 = mux(_T_9569, ic_tag_valid_out[1][98], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9571 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[ifu_mem_ctl.scala 696:33] + node _T_9572 = mux(_T_9571, ic_tag_valid_out[1][99], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9573 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[ifu_mem_ctl.scala 696:33] + node _T_9574 = mux(_T_9573, ic_tag_valid_out[1][100], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9575 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[ifu_mem_ctl.scala 696:33] + node _T_9576 = mux(_T_9575, ic_tag_valid_out[1][101], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9577 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[ifu_mem_ctl.scala 696:33] + node _T_9578 = mux(_T_9577, ic_tag_valid_out[1][102], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9579 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[ifu_mem_ctl.scala 696:33] + node _T_9580 = mux(_T_9579, ic_tag_valid_out[1][103], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9581 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[ifu_mem_ctl.scala 696:33] + node _T_9582 = mux(_T_9581, ic_tag_valid_out[1][104], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9583 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[ifu_mem_ctl.scala 696:33] + node _T_9584 = mux(_T_9583, ic_tag_valid_out[1][105], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9585 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[ifu_mem_ctl.scala 696:33] + node _T_9586 = mux(_T_9585, ic_tag_valid_out[1][106], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9587 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[ifu_mem_ctl.scala 696:33] + node _T_9588 = mux(_T_9587, ic_tag_valid_out[1][107], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9589 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[ifu_mem_ctl.scala 696:33] + node _T_9590 = mux(_T_9589, ic_tag_valid_out[1][108], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9591 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[ifu_mem_ctl.scala 696:33] + node _T_9592 = mux(_T_9591, ic_tag_valid_out[1][109], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9593 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[ifu_mem_ctl.scala 696:33] + node _T_9594 = mux(_T_9593, ic_tag_valid_out[1][110], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9595 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[ifu_mem_ctl.scala 696:33] + node _T_9596 = mux(_T_9595, ic_tag_valid_out[1][111], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9597 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[ifu_mem_ctl.scala 696:33] + node _T_9598 = mux(_T_9597, ic_tag_valid_out[1][112], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9599 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[ifu_mem_ctl.scala 696:33] + node _T_9600 = mux(_T_9599, ic_tag_valid_out[1][113], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9601 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[ifu_mem_ctl.scala 696:33] + node _T_9602 = mux(_T_9601, ic_tag_valid_out[1][114], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9603 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[ifu_mem_ctl.scala 696:33] + node _T_9604 = mux(_T_9603, ic_tag_valid_out[1][115], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9605 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[ifu_mem_ctl.scala 696:33] + node _T_9606 = mux(_T_9605, ic_tag_valid_out[1][116], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9607 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[ifu_mem_ctl.scala 696:33] + node _T_9608 = mux(_T_9607, ic_tag_valid_out[1][117], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9609 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[ifu_mem_ctl.scala 696:33] + node _T_9610 = mux(_T_9609, ic_tag_valid_out[1][118], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9611 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[ifu_mem_ctl.scala 696:33] + node _T_9612 = mux(_T_9611, ic_tag_valid_out[1][119], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9613 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[ifu_mem_ctl.scala 696:33] + node _T_9614 = mux(_T_9613, ic_tag_valid_out[1][120], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9615 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[ifu_mem_ctl.scala 696:33] + node _T_9616 = mux(_T_9615, ic_tag_valid_out[1][121], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9617 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[ifu_mem_ctl.scala 696:33] + node _T_9618 = mux(_T_9617, ic_tag_valid_out[1][122], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9619 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[ifu_mem_ctl.scala 696:33] + node _T_9620 = mux(_T_9619, ic_tag_valid_out[1][123], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9621 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[ifu_mem_ctl.scala 696:33] + node _T_9622 = mux(_T_9621, ic_tag_valid_out[1][124], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9623 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[ifu_mem_ctl.scala 696:33] + node _T_9624 = mux(_T_9623, ic_tag_valid_out[1][125], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9625 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[ifu_mem_ctl.scala 696:33] + node _T_9626 = mux(_T_9625, ic_tag_valid_out[1][126], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9627 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[ifu_mem_ctl.scala 696:33] + node _T_9628 = mux(_T_9627, ic_tag_valid_out[1][127], UInt<1>("h00")) @[ifu_mem_ctl.scala 696:10] + node _T_9629 = or(_T_9374, _T_9376) @[ifu_mem_ctl.scala 696:91] + node _T_9630 = or(_T_9629, _T_9378) @[ifu_mem_ctl.scala 696:91] + node _T_9631 = or(_T_9630, _T_9380) @[ifu_mem_ctl.scala 696:91] + node _T_9632 = or(_T_9631, _T_9382) @[ifu_mem_ctl.scala 696:91] + node _T_9633 = or(_T_9632, _T_9384) @[ifu_mem_ctl.scala 696:91] + node _T_9634 = or(_T_9633, _T_9386) @[ifu_mem_ctl.scala 696:91] + node _T_9635 = or(_T_9634, _T_9388) @[ifu_mem_ctl.scala 696:91] + node _T_9636 = or(_T_9635, _T_9390) @[ifu_mem_ctl.scala 696:91] + node _T_9637 = or(_T_9636, _T_9392) @[ifu_mem_ctl.scala 696:91] + node _T_9638 = or(_T_9637, _T_9394) @[ifu_mem_ctl.scala 696:91] + node _T_9639 = or(_T_9638, _T_9396) @[ifu_mem_ctl.scala 696:91] + node _T_9640 = or(_T_9639, _T_9398) @[ifu_mem_ctl.scala 696:91] + node _T_9641 = or(_T_9640, _T_9400) @[ifu_mem_ctl.scala 696:91] + node _T_9642 = or(_T_9641, _T_9402) @[ifu_mem_ctl.scala 696:91] + node _T_9643 = or(_T_9642, _T_9404) @[ifu_mem_ctl.scala 696:91] + node _T_9644 = or(_T_9643, _T_9406) @[ifu_mem_ctl.scala 696:91] + node _T_9645 = or(_T_9644, _T_9408) @[ifu_mem_ctl.scala 696:91] + node _T_9646 = or(_T_9645, _T_9410) @[ifu_mem_ctl.scala 696:91] + node _T_9647 = or(_T_9646, _T_9412) @[ifu_mem_ctl.scala 696:91] + node _T_9648 = or(_T_9647, _T_9414) @[ifu_mem_ctl.scala 696:91] + node _T_9649 = or(_T_9648, _T_9416) @[ifu_mem_ctl.scala 696:91] + node _T_9650 = or(_T_9649, _T_9418) @[ifu_mem_ctl.scala 696:91] + node _T_9651 = or(_T_9650, _T_9420) @[ifu_mem_ctl.scala 696:91] + node _T_9652 = or(_T_9651, _T_9422) @[ifu_mem_ctl.scala 696:91] + node _T_9653 = or(_T_9652, _T_9424) @[ifu_mem_ctl.scala 696:91] + node _T_9654 = or(_T_9653, _T_9426) @[ifu_mem_ctl.scala 696:91] + node _T_9655 = or(_T_9654, _T_9428) @[ifu_mem_ctl.scala 696:91] + node _T_9656 = or(_T_9655, _T_9430) @[ifu_mem_ctl.scala 696:91] + node _T_9657 = or(_T_9656, _T_9432) @[ifu_mem_ctl.scala 696:91] + node _T_9658 = or(_T_9657, _T_9434) @[ifu_mem_ctl.scala 696:91] + node _T_9659 = or(_T_9658, _T_9436) @[ifu_mem_ctl.scala 696:91] + node _T_9660 = or(_T_9659, _T_9438) @[ifu_mem_ctl.scala 696:91] + node _T_9661 = or(_T_9660, _T_9440) @[ifu_mem_ctl.scala 696:91] + node _T_9662 = or(_T_9661, _T_9442) @[ifu_mem_ctl.scala 696:91] + node _T_9663 = or(_T_9662, _T_9444) @[ifu_mem_ctl.scala 696:91] + node _T_9664 = or(_T_9663, _T_9446) @[ifu_mem_ctl.scala 696:91] + node _T_9665 = or(_T_9664, _T_9448) @[ifu_mem_ctl.scala 696:91] + node _T_9666 = or(_T_9665, _T_9450) @[ifu_mem_ctl.scala 696:91] + node _T_9667 = or(_T_9666, _T_9452) @[ifu_mem_ctl.scala 696:91] + node _T_9668 = or(_T_9667, _T_9454) @[ifu_mem_ctl.scala 696:91] + node _T_9669 = or(_T_9668, _T_9456) @[ifu_mem_ctl.scala 696:91] + node _T_9670 = or(_T_9669, _T_9458) @[ifu_mem_ctl.scala 696:91] + node _T_9671 = or(_T_9670, _T_9460) @[ifu_mem_ctl.scala 696:91] + node _T_9672 = or(_T_9671, _T_9462) @[ifu_mem_ctl.scala 696:91] + node _T_9673 = or(_T_9672, _T_9464) @[ifu_mem_ctl.scala 696:91] + node _T_9674 = or(_T_9673, _T_9466) @[ifu_mem_ctl.scala 696:91] + node _T_9675 = or(_T_9674, _T_9468) @[ifu_mem_ctl.scala 696:91] + node _T_9676 = or(_T_9675, _T_9470) @[ifu_mem_ctl.scala 696:91] + node _T_9677 = or(_T_9676, _T_9472) @[ifu_mem_ctl.scala 696:91] + node _T_9678 = or(_T_9677, _T_9474) @[ifu_mem_ctl.scala 696:91] + node _T_9679 = or(_T_9678, _T_9476) @[ifu_mem_ctl.scala 696:91] + node _T_9680 = or(_T_9679, _T_9478) @[ifu_mem_ctl.scala 696:91] + node _T_9681 = or(_T_9680, _T_9480) @[ifu_mem_ctl.scala 696:91] + node _T_9682 = or(_T_9681, _T_9482) @[ifu_mem_ctl.scala 696:91] + node _T_9683 = or(_T_9682, _T_9484) @[ifu_mem_ctl.scala 696:91] + node _T_9684 = or(_T_9683, _T_9486) @[ifu_mem_ctl.scala 696:91] + node _T_9685 = or(_T_9684, _T_9488) @[ifu_mem_ctl.scala 696:91] + node _T_9686 = or(_T_9685, _T_9490) @[ifu_mem_ctl.scala 696:91] + node _T_9687 = or(_T_9686, _T_9492) @[ifu_mem_ctl.scala 696:91] + node _T_9688 = or(_T_9687, _T_9494) @[ifu_mem_ctl.scala 696:91] + node _T_9689 = or(_T_9688, _T_9496) @[ifu_mem_ctl.scala 696:91] + node _T_9690 = or(_T_9689, _T_9498) @[ifu_mem_ctl.scala 696:91] + node _T_9691 = or(_T_9690, _T_9500) @[ifu_mem_ctl.scala 696:91] + node _T_9692 = or(_T_9691, _T_9502) @[ifu_mem_ctl.scala 696:91] + node _T_9693 = or(_T_9692, _T_9504) @[ifu_mem_ctl.scala 696:91] + node _T_9694 = or(_T_9693, _T_9506) @[ifu_mem_ctl.scala 696:91] + node _T_9695 = or(_T_9694, _T_9508) @[ifu_mem_ctl.scala 696:91] + node _T_9696 = or(_T_9695, _T_9510) @[ifu_mem_ctl.scala 696:91] + node _T_9697 = or(_T_9696, _T_9512) @[ifu_mem_ctl.scala 696:91] + node _T_9698 = or(_T_9697, _T_9514) @[ifu_mem_ctl.scala 696:91] + node _T_9699 = or(_T_9698, _T_9516) @[ifu_mem_ctl.scala 696:91] + node _T_9700 = or(_T_9699, _T_9518) @[ifu_mem_ctl.scala 696:91] + node _T_9701 = or(_T_9700, _T_9520) @[ifu_mem_ctl.scala 696:91] + node _T_9702 = or(_T_9701, _T_9522) @[ifu_mem_ctl.scala 696:91] + node _T_9703 = or(_T_9702, _T_9524) @[ifu_mem_ctl.scala 696:91] + node _T_9704 = or(_T_9703, _T_9526) @[ifu_mem_ctl.scala 696:91] + node _T_9705 = or(_T_9704, _T_9528) @[ifu_mem_ctl.scala 696:91] + node _T_9706 = or(_T_9705, _T_9530) @[ifu_mem_ctl.scala 696:91] + node _T_9707 = or(_T_9706, _T_9532) @[ifu_mem_ctl.scala 696:91] + node _T_9708 = or(_T_9707, _T_9534) @[ifu_mem_ctl.scala 696:91] + node _T_9709 = or(_T_9708, _T_9536) @[ifu_mem_ctl.scala 696:91] + node _T_9710 = or(_T_9709, _T_9538) @[ifu_mem_ctl.scala 696:91] + node _T_9711 = or(_T_9710, _T_9540) @[ifu_mem_ctl.scala 696:91] + node _T_9712 = or(_T_9711, _T_9542) @[ifu_mem_ctl.scala 696:91] + node _T_9713 = or(_T_9712, _T_9544) @[ifu_mem_ctl.scala 696:91] + node _T_9714 = or(_T_9713, _T_9546) @[ifu_mem_ctl.scala 696:91] + node _T_9715 = or(_T_9714, _T_9548) @[ifu_mem_ctl.scala 696:91] + node _T_9716 = or(_T_9715, _T_9550) @[ifu_mem_ctl.scala 696:91] + node _T_9717 = or(_T_9716, _T_9552) @[ifu_mem_ctl.scala 696:91] + node _T_9718 = or(_T_9717, _T_9554) @[ifu_mem_ctl.scala 696:91] + node _T_9719 = or(_T_9718, _T_9556) @[ifu_mem_ctl.scala 696:91] + node _T_9720 = or(_T_9719, _T_9558) @[ifu_mem_ctl.scala 696:91] + node _T_9721 = or(_T_9720, _T_9560) @[ifu_mem_ctl.scala 696:91] + node _T_9722 = or(_T_9721, _T_9562) @[ifu_mem_ctl.scala 696:91] + node _T_9723 = or(_T_9722, _T_9564) @[ifu_mem_ctl.scala 696:91] + node _T_9724 = or(_T_9723, _T_9566) @[ifu_mem_ctl.scala 696:91] + node _T_9725 = or(_T_9724, _T_9568) @[ifu_mem_ctl.scala 696:91] + node _T_9726 = or(_T_9725, _T_9570) @[ifu_mem_ctl.scala 696:91] + node _T_9727 = or(_T_9726, _T_9572) @[ifu_mem_ctl.scala 696:91] + node _T_9728 = or(_T_9727, _T_9574) @[ifu_mem_ctl.scala 696:91] + node _T_9729 = or(_T_9728, _T_9576) @[ifu_mem_ctl.scala 696:91] + node _T_9730 = or(_T_9729, _T_9578) @[ifu_mem_ctl.scala 696:91] + node _T_9731 = or(_T_9730, _T_9580) @[ifu_mem_ctl.scala 696:91] + node _T_9732 = or(_T_9731, _T_9582) @[ifu_mem_ctl.scala 696:91] + node _T_9733 = or(_T_9732, _T_9584) @[ifu_mem_ctl.scala 696:91] + node _T_9734 = or(_T_9733, _T_9586) @[ifu_mem_ctl.scala 696:91] + node _T_9735 = or(_T_9734, _T_9588) @[ifu_mem_ctl.scala 696:91] + node _T_9736 = or(_T_9735, _T_9590) @[ifu_mem_ctl.scala 696:91] + node _T_9737 = or(_T_9736, _T_9592) @[ifu_mem_ctl.scala 696:91] + node _T_9738 = or(_T_9737, _T_9594) @[ifu_mem_ctl.scala 696:91] + node _T_9739 = or(_T_9738, _T_9596) @[ifu_mem_ctl.scala 696:91] + node _T_9740 = or(_T_9739, _T_9598) @[ifu_mem_ctl.scala 696:91] + node _T_9741 = or(_T_9740, _T_9600) @[ifu_mem_ctl.scala 696:91] + node _T_9742 = or(_T_9741, _T_9602) @[ifu_mem_ctl.scala 696:91] + node _T_9743 = or(_T_9742, _T_9604) @[ifu_mem_ctl.scala 696:91] + node _T_9744 = or(_T_9743, _T_9606) @[ifu_mem_ctl.scala 696:91] + node _T_9745 = or(_T_9744, _T_9608) @[ifu_mem_ctl.scala 696:91] + node _T_9746 = or(_T_9745, _T_9610) @[ifu_mem_ctl.scala 696:91] + node _T_9747 = or(_T_9746, _T_9612) @[ifu_mem_ctl.scala 696:91] + node _T_9748 = or(_T_9747, _T_9614) @[ifu_mem_ctl.scala 696:91] + node _T_9749 = or(_T_9748, _T_9616) @[ifu_mem_ctl.scala 696:91] + node _T_9750 = or(_T_9749, _T_9618) @[ifu_mem_ctl.scala 696:91] + node _T_9751 = or(_T_9750, _T_9620) @[ifu_mem_ctl.scala 696:91] + node _T_9752 = or(_T_9751, _T_9622) @[ifu_mem_ctl.scala 696:91] + node _T_9753 = or(_T_9752, _T_9624) @[ifu_mem_ctl.scala 696:91] + node _T_9754 = or(_T_9753, _T_9626) @[ifu_mem_ctl.scala 696:91] + node _T_9755 = or(_T_9754, _T_9628) @[ifu_mem_ctl.scala 696:91] + node ic_tag_valid_unq = cat(_T_9755, _T_9372) @[Cat.scala 29:58] + wire way_status_hit_new : UInt<1> + way_status_hit_new <= UInt<1>("h00") + node _T_9756 = eq(way_status_mb_ff, UInt<1>("h00")) @[ifu_mem_ctl.scala 720:33] + node _T_9757 = bits(tagv_mb_ff, 0, 0) @[ifu_mem_ctl.scala 720:63] + node _T_9758 = and(_T_9756, _T_9757) @[ifu_mem_ctl.scala 720:51] + node _T_9759 = bits(tagv_mb_ff, 1, 1) @[ifu_mem_ctl.scala 720:79] + node _T_9760 = and(_T_9758, _T_9759) @[ifu_mem_ctl.scala 720:67] + node _T_9761 = bits(tagv_mb_ff, 0, 0) @[ifu_mem_ctl.scala 720:97] + node _T_9762 = eq(_T_9761, UInt<1>("h00")) @[ifu_mem_ctl.scala 720:86] + node _T_9763 = or(_T_9760, _T_9762) @[ifu_mem_ctl.scala 720:84] + replace_way_mb_any[0] <= _T_9763 @[ifu_mem_ctl.scala 720:29] + node _T_9764 = bits(tagv_mb_ff, 0, 0) @[ifu_mem_ctl.scala 721:62] + node _T_9765 = and(way_status_mb_ff, _T_9764) @[ifu_mem_ctl.scala 721:50] + node _T_9766 = bits(tagv_mb_ff, 1, 1) @[ifu_mem_ctl.scala 721:78] + node _T_9767 = and(_T_9765, _T_9766) @[ifu_mem_ctl.scala 721:66] + node _T_9768 = bits(tagv_mb_ff, 1, 1) @[ifu_mem_ctl.scala 721:96] + node _T_9769 = eq(_T_9768, UInt<1>("h00")) @[ifu_mem_ctl.scala 721:85] + node _T_9770 = bits(tagv_mb_ff, 0, 0) @[ifu_mem_ctl.scala 721:112] + node _T_9771 = and(_T_9769, _T_9770) @[ifu_mem_ctl.scala 721:100] + node _T_9772 = or(_T_9767, _T_9771) @[ifu_mem_ctl.scala 721:83] + replace_way_mb_any[1] <= _T_9772 @[ifu_mem_ctl.scala 721:29] + node _T_9773 = bits(io.ic.rd_hit, 0, 0) @[ifu_mem_ctl.scala 722:41] + way_status_hit_new <= _T_9773 @[ifu_mem_ctl.scala 722:26] + way_status_rep_new <= replace_way_mb_any[0] @[ifu_mem_ctl.scala 723:26] + node _T_9774 = and(bus_ifu_wr_en_ff_q, last_beat) @[ifu_mem_ctl.scala 725:47] + node _T_9775 = bits(_T_9774, 0, 0) @[ifu_mem_ctl.scala 725:60] + node _T_9776 = mux(_T_9775, way_status_rep_new, way_status_hit_new) @[ifu_mem_ctl.scala 725:26] + way_status_new <= _T_9776 @[ifu_mem_ctl.scala 725:20] + node _T_9777 = and(bus_ifu_wr_en_ff_q, last_beat) @[ifu_mem_ctl.scala 726:45] + node _T_9778 = or(_T_9777, ic_act_hit_f) @[ifu_mem_ctl.scala 726:58] + way_status_wr_en <= _T_9778 @[ifu_mem_ctl.scala 726:22] + node _T_9779 = and(bus_ifu_wr_en_ff_q, replace_way_mb_any[0]) @[ifu_mem_ctl.scala 727:74] + node bus_wren_0 = and(_T_9779, miss_pending) @[ifu_mem_ctl.scala 727:98] + node _T_9780 = and(bus_ifu_wr_en_ff_q, replace_way_mb_any[1]) @[ifu_mem_ctl.scala 727:74] + node bus_wren_1 = and(_T_9780, miss_pending) @[ifu_mem_ctl.scala 727:98] + node _T_9781 = and(bus_ifu_wr_en_ff_wo_err, replace_way_mb_any[0]) @[ifu_mem_ctl.scala 729:84] + node _T_9782 = and(_T_9781, miss_pending) @[ifu_mem_ctl.scala 729:108] + node bus_wren_last_0 = and(_T_9782, bus_last_data_beat) @[ifu_mem_ctl.scala 729:123] + node _T_9783 = and(bus_ifu_wr_en_ff_wo_err, replace_way_mb_any[1]) @[ifu_mem_ctl.scala 729:84] + node _T_9784 = and(_T_9783, miss_pending) @[ifu_mem_ctl.scala 729:108] + node bus_wren_last_1 = and(_T_9784, bus_last_data_beat) @[ifu_mem_ctl.scala 729:123] + node wren_reset_miss_0 = and(replace_way_mb_any[0], reset_tag_valid_for_miss) @[ifu_mem_ctl.scala 730:84] + node wren_reset_miss_1 = and(replace_way_mb_any[1], reset_tag_valid_for_miss) @[ifu_mem_ctl.scala 730:84] + node _T_9785 = or(bus_wren_last_0, wren_reset_miss_0) @[ifu_mem_ctl.scala 731:73] + node _T_9786 = or(bus_wren_last_1, wren_reset_miss_1) @[ifu_mem_ctl.scala 731:73] + node _T_9787 = cat(_T_9786, _T_9785) @[Cat.scala 29:58] + ifu_tag_wren <= _T_9787 @[ifu_mem_ctl.scala 731:18] + node _T_9788 = cat(bus_wren_1, bus_wren_0) @[Cat.scala 29:58] + bus_ic_wr_en <= _T_9788 @[ifu_mem_ctl.scala 733:16] + node _T_9789 = eq(fetch_uncacheable_ff, UInt<1>("h00")) @[ifu_mem_ctl.scala 747:63] + node _T_9790 = and(_T_9789, ifc_fetch_req_f) @[ifu_mem_ctl.scala 747:85] + node _T_9791 = bits(_T_9790, 0, 0) @[Bitwise.scala 72:15] + node _T_9792 = mux(_T_9791, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_9793 = and(ic_tag_valid_unq, _T_9792) @[ifu_mem_ctl.scala 747:39] + io.ic.tag_valid <= _T_9793 @[ifu_mem_ctl.scala 747:19] + wire ic_debug_way_ff : UInt<2> + ic_debug_way_ff <= UInt<1>("h00") + node _T_9794 = bits(ic_debug_rd_en_ff, 0, 0) @[Bitwise.scala 72:15] + node _T_9795 = mux(_T_9794, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_9796 = and(ic_debug_way_ff, _T_9795) @[ifu_mem_ctl.scala 750:67] + node _T_9797 = and(ic_tag_valid_unq, _T_9796) @[ifu_mem_ctl.scala 750:48] + node _T_9798 = orr(_T_9797) @[ifu_mem_ctl.scala 750:115] + ic_debug_tag_val_rd_out <= _T_9798 @[ifu_mem_ctl.scala 750:27] + reg _T_9799 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 752:70] + _T_9799 <= ic_act_miss_f @[ifu_mem_ctl.scala 752:70] + io.dec_mem_ctrl.ifu_pmu_ic_miss <= _T_9799 @[ifu_mem_ctl.scala 752:35] + reg _T_9800 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 753:69] + _T_9800 <= ic_act_hit_f @[ifu_mem_ctl.scala 753:69] + io.dec_mem_ctrl.ifu_pmu_ic_hit <= _T_9800 @[ifu_mem_ctl.scala 753:34] + reg _T_9801 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 754:72] + _T_9801 <= ifc_bus_acc_fault_f @[ifu_mem_ctl.scala 754:72] + io.dec_mem_ctrl.ifu_pmu_bus_error <= _T_9801 @[ifu_mem_ctl.scala 754:37] + node _T_9802 = eq(ifu_bus_arready_ff, UInt<1>("h00")) @[ifu_mem_ctl.scala 755:93] + node _T_9803 = and(ifu_bus_arvalid_ff, _T_9802) @[ifu_mem_ctl.scala 755:91] + node _T_9804 = and(_T_9803, miss_pending) @[ifu_mem_ctl.scala 755:113] + reg _T_9805 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 755:71] + _T_9805 <= _T_9804 @[ifu_mem_ctl.scala 755:71] + io.dec_mem_ctrl.ifu_pmu_bus_busy <= _T_9805 @[ifu_mem_ctl.scala 755:36] + reg _T_9806 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 756:71] + _T_9806 <= bus_cmd_sent @[ifu_mem_ctl.scala 756:71] + io.dec_mem_ctrl.ifu_pmu_bus_trxn <= _T_9806 @[ifu_mem_ctl.scala 756:36] + io.ic.debug_addr <= io.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_dicawics @[ifu_mem_ctl.scala 759:20] + node _T_9807 = bits(io.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_dicawics, 16, 16) @[ifu_mem_ctl.scala 760:79] + io.ic.debug_tag_array <= _T_9807 @[ifu_mem_ctl.scala 760:25] + io.ic.debug_rd_en <= io.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_rd_valid @[ifu_mem_ctl.scala 761:21] + io.ic.debug_wr_en <= io.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wr_valid @[ifu_mem_ctl.scala 762:21] + node _T_9808 = bits(io.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_dicawics, 15, 14) @[ifu_mem_ctl.scala 763:77] + node _T_9809 = eq(_T_9808, UInt<2>("h03")) @[ifu_mem_ctl.scala 763:84] + node _T_9810 = bits(io.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_dicawics, 15, 14) @[ifu_mem_ctl.scala 763:143] + node _T_9811 = eq(_T_9810, UInt<2>("h02")) @[ifu_mem_ctl.scala 763:150] + node _T_9812 = bits(io.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_dicawics, 15, 14) @[ifu_mem_ctl.scala 764:56] + node _T_9813 = eq(_T_9812, UInt<1>("h01")) @[ifu_mem_ctl.scala 764:63] + node _T_9814 = bits(io.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_dicawics, 15, 14) @[ifu_mem_ctl.scala 764:122] + node _T_9815 = eq(_T_9814, UInt<1>("h00")) @[ifu_mem_ctl.scala 764:129] + node _T_9816 = cat(_T_9813, _T_9815) @[Cat.scala 29:58] + node _T_9817 = cat(_T_9809, _T_9811) @[Cat.scala 29:58] + node _T_9818 = cat(_T_9817, _T_9816) @[Cat.scala 29:58] + io.ic.debug_way <= _T_9818 @[ifu_mem_ctl.scala 763:19] + node _T_9819 = and(io.ic.debug_wr_en, io.ic.debug_tag_array) @[ifu_mem_ctl.scala 765:65] + node _T_9820 = bits(_T_9819, 0, 0) @[Bitwise.scala 72:15] + node _T_9821 = mux(_T_9820, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_9822 = and(_T_9821, io.ic.debug_way) @[ifu_mem_ctl.scala 765:90] + ic_debug_tag_wr_en <= _T_9822 @[ifu_mem_ctl.scala 765:22] + node ic_debug_ict_array_sel_in = and(io.ic.debug_rd_en, io.ic.debug_tag_array) @[ifu_mem_ctl.scala 766:53] + reg _T_9823 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 767:53] + _T_9823 <= io.ic.debug_way @[ifu_mem_ctl.scala 767:53] + ic_debug_way_ff <= _T_9823 @[ifu_mem_ctl.scala 767:19] + reg _T_9824 : UInt<1>, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 768:63] + _T_9824 <= ic_debug_ict_array_sel_in @[ifu_mem_ctl.scala 768:63] + ic_debug_ict_array_sel_ff <= _T_9824 @[ifu_mem_ctl.scala 768:29] + reg _T_9825 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 769:54] + _T_9825 <= io.ic.debug_rd_en @[ifu_mem_ctl.scala 769:54] + ic_debug_rd_en_ff <= _T_9825 @[ifu_mem_ctl.scala 769:21] + reg _T_9826 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 770:79] + _T_9826 <= ic_debug_rd_en_ff @[ifu_mem_ctl.scala 770:79] + io.dec_mem_ctrl.ifu_ic_debug_rd_data_valid <= _T_9826 @[ifu_mem_ctl.scala 770:46] + node _T_9827 = cat(UInt<1>("h00"), UInt<1>("h00")) @[Cat.scala 29:58] + node _T_9828 = cat(UInt<1>("h00"), UInt<1>("h00")) @[Cat.scala 29:58] + node _T_9829 = cat(_T_9828, _T_9827) @[Cat.scala 29:58] + node _T_9830 = cat(UInt<1>("h01"), UInt<1>("h01")) @[Cat.scala 29:58] + node _T_9831 = cat(UInt<1>("h01"), UInt<1>("h01")) @[Cat.scala 29:58] + node _T_9832 = cat(_T_9831, _T_9830) @[Cat.scala 29:58] + node _T_9833 = cat(_T_9832, _T_9829) @[Cat.scala 29:58] + node _T_9834 = orr(_T_9833) @[ifu_mem_ctl.scala 772:215] + node _T_9835 = eq(_T_9834, UInt<1>("h00")) @[ifu_mem_ctl.scala 772:29] + node _T_9836 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_9837 = or(_T_9836, UInt<31>("h07fffffff")) @[ifu_mem_ctl.scala 773:65] + node _T_9838 = or(UInt<1>("h00"), UInt<31>("h07fffffff")) @[ifu_mem_ctl.scala 773:129] + node _T_9839 = eq(_T_9837, _T_9838) @[ifu_mem_ctl.scala 773:96] + node _T_9840 = and(UInt<1>("h01"), _T_9839) @[ifu_mem_ctl.scala 773:30] + node _T_9841 = or(_T_9835, _T_9840) @[ifu_mem_ctl.scala 772:219] + node _T_9842 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_9843 = or(_T_9842, UInt<30>("h03fffffff")) @[ifu_mem_ctl.scala 774:65] + node _T_9844 = or(UInt<32>("h0c0000000"), UInt<30>("h03fffffff")) @[ifu_mem_ctl.scala 774:129] + node _T_9845 = eq(_T_9843, _T_9844) @[ifu_mem_ctl.scala 774:96] + node _T_9846 = and(UInt<1>("h01"), _T_9845) @[ifu_mem_ctl.scala 774:30] + node _T_9847 = or(_T_9841, _T_9846) @[ifu_mem_ctl.scala 773:162] + node _T_9848 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_9849 = or(_T_9848, UInt<29>("h01fffffff")) @[ifu_mem_ctl.scala 775:65] + node _T_9850 = or(UInt<32>("h0a0000000"), UInt<29>("h01fffffff")) @[ifu_mem_ctl.scala 775:129] + node _T_9851 = eq(_T_9849, _T_9850) @[ifu_mem_ctl.scala 775:96] + node _T_9852 = and(UInt<1>("h01"), _T_9851) @[ifu_mem_ctl.scala 775:30] + node _T_9853 = or(_T_9847, _T_9852) @[ifu_mem_ctl.scala 774:162] + node _T_9854 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_9855 = or(_T_9854, UInt<28>("h0fffffff")) @[ifu_mem_ctl.scala 776:65] + node _T_9856 = or(UInt<32>("h080000000"), UInt<28>("h0fffffff")) @[ifu_mem_ctl.scala 776:129] + node _T_9857 = eq(_T_9855, _T_9856) @[ifu_mem_ctl.scala 776:96] + node _T_9858 = and(UInt<1>("h01"), _T_9857) @[ifu_mem_ctl.scala 776:30] + node _T_9859 = or(_T_9853, _T_9858) @[ifu_mem_ctl.scala 775:162] + node _T_9860 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_9861 = or(_T_9860, UInt<32>("h0ffffffff")) @[ifu_mem_ctl.scala 777:65] + node _T_9862 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[ifu_mem_ctl.scala 777:129] + node _T_9863 = eq(_T_9861, _T_9862) @[ifu_mem_ctl.scala 777:96] + node _T_9864 = and(UInt<1>("h00"), _T_9863) @[ifu_mem_ctl.scala 777:30] + node _T_9865 = or(_T_9859, _T_9864) @[ifu_mem_ctl.scala 776:162] + node _T_9866 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_9867 = or(_T_9866, UInt<32>("h0ffffffff")) @[ifu_mem_ctl.scala 778:65] + node _T_9868 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[ifu_mem_ctl.scala 778:129] + node _T_9869 = eq(_T_9867, _T_9868) @[ifu_mem_ctl.scala 778:96] + node _T_9870 = and(UInt<1>("h00"), _T_9869) @[ifu_mem_ctl.scala 778:30] + node _T_9871 = or(_T_9865, _T_9870) @[ifu_mem_ctl.scala 777:162] + node _T_9872 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_9873 = or(_T_9872, UInt<32>("h0ffffffff")) @[ifu_mem_ctl.scala 779:65] + node _T_9874 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[ifu_mem_ctl.scala 779:129] + node _T_9875 = eq(_T_9873, _T_9874) @[ifu_mem_ctl.scala 779:96] + node _T_9876 = and(UInt<1>("h00"), _T_9875) @[ifu_mem_ctl.scala 779:30] + node _T_9877 = or(_T_9871, _T_9876) @[ifu_mem_ctl.scala 778:162] + node _T_9878 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_9879 = or(_T_9878, UInt<32>("h0ffffffff")) @[ifu_mem_ctl.scala 780:65] + node _T_9880 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[ifu_mem_ctl.scala 780:129] + node _T_9881 = eq(_T_9879, _T_9880) @[ifu_mem_ctl.scala 780:96] + node _T_9882 = and(UInt<1>("h00"), _T_9881) @[ifu_mem_ctl.scala 780:30] + node ifc_region_acc_okay = or(_T_9877, _T_9882) @[ifu_mem_ctl.scala 779:162] + node _T_9883 = eq(io.ifc_iccm_access_bf, UInt<1>("h00")) @[ifu_mem_ctl.scala 781:40] + node _T_9884 = eq(ifc_region_acc_okay, UInt<1>("h00")) @[ifu_mem_ctl.scala 781:65] + node _T_9885 = and(_T_9883, _T_9884) @[ifu_mem_ctl.scala 781:63] + node ifc_region_acc_fault_memory_bf = and(_T_9885, io.ifc_fetch_req_bf) @[ifu_mem_ctl.scala 781:86] + node _T_9886 = or(io.ifc_region_acc_fault_bf, ifc_region_acc_fault_memory_bf) @[ifu_mem_ctl.scala 782:63] + ifc_region_acc_fault_final_bf <= _T_9886 @[ifu_mem_ctl.scala 782:33] + reg _T_9887 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_mem_ctl.scala 783:66] + _T_9887 <= ifc_region_acc_fault_memory_bf @[ifu_mem_ctl.scala 783:66] + ifc_region_acc_fault_memory_f <= _T_9887 @[ifu_mem_ctl.scala 783:33] + + extmodule gated_latch_94 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_94 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_94 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_95 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_95 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_95 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_96 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_96 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_96 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_97 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_97 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_97 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_98 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_98 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_98 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_99 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_99 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_99 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_100 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_100 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_100 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_101 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_101 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_101 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_102 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_102 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_102 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_103 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_103 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_103 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_104 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_104 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_104 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_105 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_105 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_105 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_106 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_106 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_106 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_107 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_107 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_107 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_108 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_108 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_108 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_109 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_109 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_109 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_110 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_110 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_110 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_111 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_111 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_111 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_112 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_112 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_112 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_113 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_113 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_113 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_114 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_114 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_114 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_115 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_115 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_115 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_116 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_116 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_116 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_117 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_117 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_117 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_118 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_118 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_118 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_119 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_119 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_119 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_120 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_120 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_120 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_121 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_121 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_121 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_122 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_122 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_122 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_123 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_123 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_123 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_124 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_124 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_124 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_125 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_125 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_125 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_126 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_126 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_126 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_127 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_127 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_127 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_128 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_128 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_128 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_129 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_129 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_129 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_130 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_130 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_130 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_131 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_131 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_131 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_132 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_132 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_132 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_133 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_133 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_133 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_134 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_134 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_134 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_135 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_135 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_135 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_136 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_136 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_136 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_137 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_137 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_137 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_138 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_138 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_138 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_139 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_139 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_139 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_140 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_140 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_140 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_141 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_141 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_141 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_142 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_142 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_142 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_143 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_143 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_143 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_144 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_144 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_144 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_145 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_145 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_145 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_146 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_146 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_146 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_147 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_147 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_147 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_148 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_148 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_148 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_149 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_149 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_149 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_150 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_150 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_150 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_151 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_151 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_151 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_152 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_152 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_152 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_153 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_153 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_153 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_154 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_154 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_154 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_155 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_155 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_155 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_156 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_156 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_156 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_157 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_157 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_157 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_158 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_158 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_158 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_159 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_159 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_159 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_160 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_160 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_160 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_161 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_161 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_161 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_162 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_162 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_162 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_163 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_163 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_163 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_164 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_164 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_164 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_165 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_165 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_165 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_166 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_166 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_166 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_167 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_167 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_167 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_168 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_168 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_168 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_169 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_169 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_169 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_170 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_170 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_170 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_171 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_171 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_171 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_172 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_172 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_172 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_173 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_173 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_173 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_174 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_174 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_174 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_175 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_175 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_175 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_176 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_176 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_176 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_177 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_177 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_177 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_178 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_178 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_178 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_179 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_179 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_179 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_180 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_180 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_180 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_181 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_181 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_181 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_182 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_182 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_182 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_183 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_183 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_183 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_184 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_184 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_184 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_185 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_185 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_185 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_186 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_186 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_186 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_187 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_187 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_187 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_188 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_188 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_188 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_189 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_189 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_189 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_190 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_190 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_190 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_191 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_191 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_191 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_192 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_192 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_192 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_193 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_193 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_193 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_194 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_194 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_194 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_195 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_195 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_195 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_196 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_196 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_196 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_197 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_197 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_197 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_198 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_198 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_198 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_199 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_199 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_199 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_200 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_200 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_200 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_201 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_201 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_201 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_202 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_202 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_202 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_203 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_203 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_203 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_204 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_204 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_204 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_205 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_205 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_205 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_206 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_206 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_206 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_207 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_207 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_207 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_208 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_208 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_208 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_209 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_209 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_209 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_210 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_210 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_210 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_211 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_211 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_211 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_212 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_212 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_212 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_213 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_213 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_213 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_214 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_214 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_214 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_215 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_215 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_215 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_216 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_216 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_216 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_217 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_217 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_217 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_218 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_218 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_218 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_219 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_219 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_219 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_220 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_220 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_220 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_221 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_221 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_221 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_222 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_222 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_222 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_223 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_223 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_223 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_224 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_224 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_224 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_225 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_225 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_225 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_226 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_226 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_226 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_227 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_227 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_227 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_228 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_228 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_228 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_229 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_229 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_229 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_230 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_230 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_230 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_231 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_231 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_231 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_232 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_232 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_232 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_233 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_233 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_233 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_234 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_234 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_234 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_235 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_235 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_235 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_236 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_236 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_236 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_237 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_237 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_237 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_238 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_238 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_238 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_239 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_239 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_239 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_240 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_240 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_240 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_241 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_241 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_241 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_242 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_242 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_242 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_243 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_243 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_243 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_244 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_244 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_244 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_245 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_245 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_245 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_246 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_246 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_246 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_247 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_247 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_247 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_248 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_248 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_248 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_249 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_249 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_249 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_250 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_250 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_250 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_251 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_251 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_251 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_252 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_252 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_252 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_253 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_253 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_253 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_254 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_254 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_254 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_255 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_255 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_255 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_256 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_256 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_256 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_257 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_257 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_257 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_258 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_258 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_258 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_259 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_259 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_259 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_260 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_260 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_260 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_261 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_261 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_261 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_262 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_262 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_262 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_263 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_263 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_263 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_264 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_264 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_264 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_265 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_265 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_265 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_266 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_266 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_266 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_267 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_267 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_267 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_268 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_268 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_268 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_269 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_269 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_269 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_270 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_270 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_270 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_271 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_271 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_271 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_272 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_272 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_272 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_273 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_273 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_273 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_274 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_274 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_274 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_275 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_275 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_275 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_276 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_276 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_276 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_277 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_277 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_277 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_278 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_278 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_278 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_279 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_279 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_279 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_280 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_280 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_280 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_281 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_281 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_281 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_282 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_282 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_282 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_283 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_283 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_283 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_284 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_284 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_284 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_285 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_285 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_285 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_286 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_286 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_286 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_287 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_287 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_287 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_288 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_288 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_288 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_289 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_289 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_289 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_290 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_290 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_290 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_291 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_291 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_291 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_292 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_292 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_292 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_293 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_293 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_293 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_294 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_294 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_294 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_295 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_295 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_295 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_296 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_296 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_296 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_297 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_297 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_297 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_298 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_298 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_298 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_299 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_299 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_299 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_300 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_300 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_300 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_301 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_301 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_301 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_302 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_302 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_302 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_303 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_303 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_303 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_304 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_304 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_304 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_305 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_305 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_305 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_306 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_306 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_306 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_307 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_307 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_307 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_308 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_308 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_308 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_309 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_309 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_309 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_310 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_310 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_310 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_311 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_311 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_311 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_312 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_312 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_312 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_313 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_313 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_313 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_314 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_314 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_314 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_315 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_315 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_315 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_316 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_316 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_316 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_317 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_317 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_317 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_318 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_318 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_318 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_319 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_319 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_319 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_320 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_320 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_320 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_321 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_321 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_321 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_322 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_322 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_322 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_323 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_323 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_323 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_324 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_324 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_324 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_325 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_325 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_325 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_326 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_326 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_326 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_327 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_327 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_327 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_328 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_328 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_328 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_329 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_329 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_329 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_330 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_330 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_330 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_331 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_331 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_331 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_332 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_332 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_332 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_333 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_333 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_333 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_334 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_334 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_334 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_335 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_335 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_335 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_336 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_336 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_336 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_337 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_337 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_337 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_338 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_338 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_338 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_339 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_339 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_339 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_340 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_340 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_340 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_341 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_341 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_341 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_342 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_342 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_342 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_343 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_343 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_343 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_344 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_344 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_344 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_345 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_345 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_345 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_346 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_346 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_346 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_347 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_347 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_347 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_348 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_348 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_348 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_349 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_349 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_349 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_350 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_350 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_350 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_351 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_351 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_351 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_352 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_352 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_352 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_353 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_353 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_353 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_354 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_354 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_354 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_355 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_355 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_355 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_356 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_356 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_356 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_357 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_357 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_357 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_358 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_358 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_358 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_359 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_359 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_359 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_360 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_360 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_360 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_361 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_361 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_361 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_362 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_362 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_362 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_363 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_363 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_363 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_364 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_364 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_364 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_365 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_365 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_365 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_366 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_366 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_366 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_367 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_367 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_367 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_368 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_368 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_368 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_369 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_369 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_369 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_370 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_370 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_370 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_371 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_371 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_371 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_372 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_372 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_372 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_373 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_373 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_373 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_374 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_374 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_374 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_375 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_375 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_375 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_376 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_376 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_376 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_377 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_377 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_377 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_378 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_378 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_378 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_379 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_379 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_379 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_380 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_380 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_380 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_381 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_381 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_381 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_382 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_382 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_382 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_383 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_383 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_383 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_384 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_384 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_384 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_385 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_385 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_385 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_386 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_386 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_386 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_387 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_387 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_387 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_388 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_388 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_388 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_389 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_389 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_389 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_390 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_390 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_390 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_391 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_391 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_391 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_392 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_392 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_392 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_393 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_393 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_393 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_394 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_394 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_394 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_395 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_395 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_395 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_396 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_396 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_396 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_397 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_397 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_397 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_398 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_398 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_398 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_399 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_399 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_399 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_400 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_400 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_400 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_401 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_401 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_401 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_402 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_402 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_402 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_403 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_403 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_403 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_404 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_404 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_404 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_405 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_405 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_405 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_406 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_406 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_406 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_407 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_407 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_407 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_408 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_408 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_408 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_409 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_409 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_409 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_410 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_410 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_410 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_411 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_411 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_411 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_412 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_412 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_412 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_413 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_413 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_413 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_414 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_414 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_414 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_415 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_415 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_415 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_416 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_416 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_416 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_417 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_417 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_417 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_418 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_418 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_418 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_419 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_419 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_419 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_420 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_420 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_420 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_421 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_421 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_421 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_422 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_422 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_422 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_423 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_423 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_423 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_424 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_424 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_424 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_425 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_425 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_425 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_426 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_426 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_426 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_427 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_427 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_427 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_428 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_428 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_428 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_429 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_429 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_429 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_430 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_430 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_430 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_431 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_431 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_431 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_432 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_432 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_432 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_433 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_433 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_433 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_434 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_434 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_434 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_435 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_435 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_435 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_436 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_436 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_436 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_437 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_437 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_437 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_438 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_438 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_438 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_439 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_439 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_439 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_440 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_440 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_440 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_441 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_441 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_441 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_442 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_442 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_442 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_443 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_443 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_443 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_444 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_444 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_444 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_445 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_445 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_445 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_446 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_446 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_446 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_447 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_447 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_447 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_448 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_448 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_448 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_449 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_449 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_449 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_450 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_450 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_450 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_451 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_451 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_451 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_452 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_452 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_452 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_453 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_453 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_453 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_454 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_454 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_454 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_455 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_455 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_455 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_456 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_456 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_456 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_457 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_457 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_457 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_458 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_458 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_458 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_459 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_459 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_459 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_460 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_460 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_460 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_461 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_461 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_461 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_462 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_462 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_462 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_463 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_463 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_463 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_464 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_464 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_464 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_465 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_465 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_465 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_466 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_466 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_466 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_467 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_467 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_467 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_468 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_468 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_468 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_469 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_469 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_469 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_470 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_470 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_470 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_471 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_471 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_471 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_472 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_472 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_472 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_473 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_473 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_473 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_474 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_474 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_474 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_475 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_475 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_475 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_476 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_476 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_476 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_477 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_477 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_477 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_478 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_478 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_478 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_479 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_479 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_479 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_480 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_480 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_480 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_481 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_481 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_481 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_482 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_482 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_482 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_483 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_483 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_483 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_484 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_484 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_484 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_485 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_485 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_485 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_486 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_486 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_486 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_487 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_487 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_487 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_488 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_488 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_488 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_489 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_489 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_489 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_490 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_490 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_490 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_491 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_491 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_491 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_492 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_492 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_492 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_493 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_493 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_493 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_494 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_494 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_494 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_495 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_495 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_495 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_496 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_496 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_496 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_497 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_497 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_497 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_498 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_498 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_498 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_499 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_499 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_499 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_500 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_500 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_500 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_501 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_501 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_501 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_502 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_502 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_502 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_503 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_503 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_503 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_504 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_504 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_504 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_505 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_505 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_505 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_506 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_506 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_506 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_507 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_507 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_507 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_508 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_508 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_508 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_509 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_509 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_509 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_510 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_510 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_510 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_511 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_511 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_511 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_512 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_512 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_512 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_513 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_513 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_513 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_514 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_514 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_514 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_515 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_515 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_515 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_516 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_516 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_516 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_517 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_517 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_517 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_518 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_518 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_518 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_519 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_519 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_519 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_520 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_520 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_520 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_521 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_521 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_521 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_522 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_522 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_522 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_523 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_523 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_523 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_524 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_524 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_524 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_525 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_525 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_525 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_526 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_526 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_526 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_527 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_527 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_527 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_528 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_528 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_528 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_529 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_529 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_529 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_530 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_530 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_530 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_531 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_531 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_531 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_532 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_532 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_532 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_533 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_533 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_533 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_534 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_534 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_534 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_535 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_535 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_535 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_536 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_536 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_536 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_537 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_537 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_537 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_538 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_538 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_538 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_539 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_539 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_539 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_540 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_540 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_540 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_541 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_541 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_541 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_542 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_542 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_542 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_543 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_543 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_543 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_544 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_544 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_544 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_545 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_545 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_545 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_546 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_546 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_546 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_547 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_547 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_547 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_548 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_548 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_548 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_549 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_549 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_549 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_550 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_550 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_550 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_551 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_551 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_551 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_552 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_552 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_552 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_553 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_553 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_553 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_554 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_554 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_554 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_555 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_555 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_555 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_556 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_556 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_556 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_557 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_557 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_557 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_558 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_558 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_558 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_559 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_559 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_559 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_560 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_560 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_560 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_561 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_561 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_561 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_562 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_562 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_562 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_563 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_563 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_563 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_564 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_564 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_564 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_565 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_565 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_565 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_566 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_566 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_566 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_567 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_567 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_567 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_568 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_568 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_568 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_569 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_569 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_569 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_570 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_570 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_570 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_571 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_571 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_571 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_572 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_572 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_572 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_573 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_573 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_573 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_574 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_574 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_574 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_575 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_575 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_575 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_576 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_576 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_576 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_577 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_577 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_577 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_578 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_578 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_578 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_579 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_579 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_579 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_580 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_580 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_580 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_581 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_581 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_581 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_582 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_582 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_582 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_583 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_583 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_583 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_584 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_584 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_584 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_585 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_585 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_585 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_586 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_586 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_586 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_587 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_587 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_587 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_588 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_588 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_588 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_589 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_589 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_589 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_590 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_590 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_590 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_591 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_591 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_591 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_592 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_592 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_592 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_593 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_593 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_593 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_594 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_594 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_594 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_595 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_595 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_595 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_596 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_596 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_596 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_597 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_597 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_597 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_598 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_598 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_598 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_599 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_599 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_599 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_600 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_600 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_600 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_601 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_601 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_601 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_602 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_602 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_602 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_603 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_603 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_603 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_604 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_604 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_604 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_605 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_605 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_605 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_606 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_606 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_606 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_607 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_607 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_607 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_608 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_608 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_608 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_609 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_609 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_609 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_610 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_610 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_610 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_611 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_611 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_611 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_612 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_612 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_612 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_613 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_613 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_613 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_614 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_614 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_614 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_615 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_615 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_615 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_616 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_616 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_616 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_617 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_617 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_617 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_618 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_618 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_618 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_619 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_619 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_619 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_620 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_620 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_620 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_621 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_621 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_621 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_622 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_622 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_622 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_623 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_623 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_623 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_624 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_624 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_624 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_625 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_625 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_625 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_626 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_626 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_626 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_627 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_627 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_627 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_628 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_628 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_628 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_629 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_629 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_629 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_630 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_630 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_630 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_631 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_631 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_631 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_632 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_632 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_632 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_633 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_633 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_633 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_634 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_634 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_634 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_635 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_635 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_635 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_636 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_636 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_636 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_637 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_637 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_637 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_638 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_638 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_638 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_639 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_639 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_639 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_640 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_640 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_640 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_641 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_641 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_641 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_642 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_642 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_642 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_643 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_643 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_643 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_644 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_644 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_644 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_645 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_645 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_645 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_646 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_646 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_646 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_647 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_647 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_647 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module ifu_bp_ctl : + input clock : Clock + input reset : AsyncReset + output io : {flip active_clk : Clock, flip ic_hit_f : UInt<1>, flip exu_flush_final : UInt<1>, flip ifc_fetch_addr_f : UInt<31>, flip ifc_fetch_req_f : UInt<1>, dec_bp : {flip dec_tlu_br0_r_pkt : {valid : UInt<1>, bits : {hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, way : UInt<1>, middle : UInt<1>}}, flip dec_tlu_flush_leak_one_wb : UInt<1>, flip dec_tlu_bpred_disable : UInt<1>}, flip dec_tlu_flush_lower_wb : UInt<1>, flip exu_bp : {exu_i0_br_index_r : UInt<8>, exu_i0_br_fghr_r : UInt<8>, exu_i0_br_way_r : UInt<1>, exu_mp_pkt : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<31>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}}, exu_mp_eghr : UInt<8>, exu_mp_fghr : UInt<8>, exu_mp_index : UInt<8>, exu_mp_btag : UInt<5>}, ifu_bp_hit_taken_f : UInt<1>, ifu_bp_btb_target_f : UInt<31>, ifu_bp_inst_mask_f : UInt<1>, ifu_bp_fghr_f : UInt<8>, ifu_bp_way_f : UInt<2>, ifu_bp_ret_f : UInt<2>, ifu_bp_hist1_f : UInt<2>, ifu_bp_hist0_f : UInt<2>, ifu_bp_pc4_f : UInt<2>, ifu_bp_valid_f : UInt<2>, ifu_bp_poffset_f : UInt<12>, flip scan_mode : UInt<1>} + + wire leak_one_f : UInt<1> + leak_one_f <= UInt<1>("h00") + wire bht_dir_f : UInt<2> + bht_dir_f <= UInt<1>("h00") + wire dec_tlu_error_wb : UInt<1> + dec_tlu_error_wb <= UInt<1>("h00") + wire btb_error_addr_wb : UInt<8> + btb_error_addr_wb <= UInt<1>("h00") + wire btb_bank0_rd_data_way0_f : UInt<22> + btb_bank0_rd_data_way0_f <= UInt<1>("h00") + wire btb_bank0_rd_data_way1_f : UInt<22> + btb_bank0_rd_data_way1_f <= UInt<1>("h00") + wire btb_bank0_rd_data_way0_p1_f : UInt<22> + btb_bank0_rd_data_way0_p1_f <= UInt<1>("h00") + wire btb_bank0_rd_data_way1_p1_f : UInt<22> + btb_bank0_rd_data_way1_p1_f <= UInt<1>("h00") + wire eoc_mask : UInt<1> + eoc_mask <= UInt<1>("h00") + wire btb_lru_b0_f : UInt<256> + btb_lru_b0_f <= UInt<1>("h00") + wire dec_tlu_way_wb : UInt<1> + dec_tlu_way_wb <= UInt<1>("h00") + node _T = eq(leak_one_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 60:58] + node exu_mp_valid = and(io.exu_bp.exu_mp_pkt.bits.misp, _T) @[ifu_bp_ctl.scala 60:56] + node _T_1 = or(io.dec_bp.dec_tlu_br0_r_pkt.bits.br_start_error, io.dec_bp.dec_tlu_br0_r_pkt.bits.br_error) @[ifu_bp_ctl.scala 82:50] + dec_tlu_error_wb <= _T_1 @[ifu_bp_ctl.scala 82:20] + btb_error_addr_wb <= io.exu_bp.exu_i0_br_index_r @[ifu_bp_ctl.scala 83:21] + dec_tlu_way_wb <= io.dec_bp.dec_tlu_br0_r_pkt.bits.way @[ifu_bp_ctl.scala 84:18] + node _T_2 = bits(io.ifc_fetch_addr_f, 8, 1) @[lib.scala 51:13] + node _T_3 = bits(io.ifc_fetch_addr_f, 16, 9) @[lib.scala 51:51] + node _T_4 = xor(_T_2, _T_3) @[lib.scala 51:47] + node _T_5 = bits(io.ifc_fetch_addr_f, 24, 17) @[lib.scala 51:89] + node btb_rd_addr_f = xor(_T_4, _T_5) @[lib.scala 51:85] + node _T_6 = bits(io.ifc_fetch_addr_f, 30, 1) @[ifu_bp_ctl.scala 90:44] + node _T_7 = add(_T_6, UInt<1>("h01")) @[ifu_bp_ctl.scala 90:51] + node fetch_addr_p1_f = tail(_T_7, 1) @[ifu_bp_ctl.scala 90:51] + node _T_8 = cat(fetch_addr_p1_f, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_9 = bits(_T_8, 8, 1) @[lib.scala 51:13] + node _T_10 = bits(_T_8, 16, 9) @[lib.scala 51:51] + node _T_11 = xor(_T_9, _T_10) @[lib.scala 51:47] + node _T_12 = bits(_T_8, 24, 17) @[lib.scala 51:89] + node btb_rd_addr_p1_f = xor(_T_11, _T_12) @[lib.scala 51:85] + node _T_13 = bits(bht_dir_f, 0, 0) @[ifu_bp_ctl.scala 96:33] + node _T_14 = not(_T_13) @[ifu_bp_ctl.scala 96:23] + node _T_15 = bits(bht_dir_f, 0, 0) @[ifu_bp_ctl.scala 96:46] + node btb_sel_f = cat(_T_14, _T_15) @[Cat.scala 29:58] + node _T_16 = bits(io.ifc_fetch_addr_f, 0, 0) @[ifu_bp_ctl.scala 99:46] + node _T_17 = bits(io.ifc_fetch_addr_f, 0, 0) @[ifu_bp_ctl.scala 99:70] + node _T_18 = not(_T_17) @[ifu_bp_ctl.scala 99:50] + node fetch_start_f = cat(_T_16, _T_18) @[Cat.scala 29:58] + node _T_19 = eq(btb_error_addr_wb, btb_rd_addr_f) @[ifu_bp_ctl.scala 102:72] + node branch_error_collision_f = and(dec_tlu_error_wb, _T_19) @[ifu_bp_ctl.scala 102:51] + node _T_20 = eq(btb_error_addr_wb, btb_rd_addr_p1_f) @[ifu_bp_ctl.scala 103:75] + node branch_error_collision_p1_f = and(dec_tlu_error_wb, _T_20) @[ifu_bp_ctl.scala 103:54] + node branch_error_bank_conflict_f = and(branch_error_collision_f, dec_tlu_error_wb) @[ifu_bp_ctl.scala 106:63] + node branch_error_bank_conflict_p1_f = and(branch_error_collision_p1_f, dec_tlu_error_wb) @[ifu_bp_ctl.scala 107:69] + node _T_21 = bits(io.ifc_fetch_addr_f, 13, 9) @[lib.scala 42:32] + node _T_22 = bits(io.ifc_fetch_addr_f, 18, 14) @[lib.scala 42:32] + node _T_23 = bits(io.ifc_fetch_addr_f, 23, 19) @[lib.scala 42:32] + wire _T_24 : UInt<5>[3] @[lib.scala 42:24] + _T_24[0] <= _T_21 @[lib.scala 42:24] + _T_24[1] <= _T_22 @[lib.scala 42:24] + _T_24[2] <= _T_23 @[lib.scala 42:24] + node _T_25 = xor(_T_24[0], _T_24[1]) @[lib.scala 42:111] + node fetch_rd_tag_f = xor(_T_25, _T_24[2]) @[lib.scala 42:111] + node _T_26 = cat(fetch_addr_p1_f, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_27 = bits(_T_26, 13, 9) @[lib.scala 42:32] + node _T_28 = bits(_T_26, 18, 14) @[lib.scala 42:32] + node _T_29 = bits(_T_26, 23, 19) @[lib.scala 42:32] + wire _T_30 : UInt<5>[3] @[lib.scala 42:24] + _T_30[0] <= _T_27 @[lib.scala 42:24] + _T_30[1] <= _T_28 @[lib.scala 42:24] + _T_30[2] <= _T_29 @[lib.scala 42:24] + node _T_31 = xor(_T_30[0], _T_30[1]) @[lib.scala 42:111] + node fetch_rd_tag_p1_f = xor(_T_31, _T_30[2]) @[lib.scala 42:111] + node _T_32 = eq(io.exu_bp.exu_mp_btag, fetch_rd_tag_f) @[ifu_bp_ctl.scala 114:53] + node _T_33 = and(_T_32, exu_mp_valid) @[ifu_bp_ctl.scala 114:73] + node _T_34 = and(_T_33, io.ifc_fetch_req_f) @[ifu_bp_ctl.scala 114:88] + node _T_35 = eq(io.exu_bp.exu_mp_index, btb_rd_addr_f) @[ifu_bp_ctl.scala 114:124] + node fetch_mp_collision_f = and(_T_34, _T_35) @[ifu_bp_ctl.scala 114:109] + node _T_36 = eq(io.exu_bp.exu_mp_btag, fetch_rd_tag_p1_f) @[ifu_bp_ctl.scala 115:56] + node _T_37 = and(_T_36, exu_mp_valid) @[ifu_bp_ctl.scala 115:79] + node _T_38 = and(_T_37, io.ifc_fetch_req_f) @[ifu_bp_ctl.scala 115:94] + node _T_39 = eq(io.exu_bp.exu_mp_index, btb_rd_addr_p1_f) @[ifu_bp_ctl.scala 115:130] + node fetch_mp_collision_p1_f = and(_T_38, _T_39) @[ifu_bp_ctl.scala 115:115] + reg leak_one_f_d1 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_bp_ctl.scala 117:56] + leak_one_f_d1 <= leak_one_f @[ifu_bp_ctl.scala 117:56] + reg dec_tlu_way_wb_f : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_bp_ctl.scala 118:59] + dec_tlu_way_wb_f <= dec_tlu_way_wb @[ifu_bp_ctl.scala 118:59] + reg exu_mp_way_f : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_bp_ctl.scala 119:55] + exu_mp_way_f <= io.exu_bp.exu_mp_pkt.bits.way @[ifu_bp_ctl.scala 119:55] + reg exu_flush_final_d1 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_bp_ctl.scala 120:61] + exu_flush_final_d1 <= io.exu_flush_final @[ifu_bp_ctl.scala 120:61] + node _T_40 = and(io.dec_bp.dec_tlu_flush_leak_one_wb, io.dec_tlu_flush_lower_wb) @[ifu_bp_ctl.scala 123:54] + node _T_41 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[ifu_bp_ctl.scala 123:102] + node _T_42 = and(leak_one_f_d1, _T_41) @[ifu_bp_ctl.scala 123:100] + node _T_43 = or(_T_40, _T_42) @[ifu_bp_ctl.scala 123:83] + leak_one_f <= _T_43 @[ifu_bp_ctl.scala 123:14] + node _T_44 = bits(btb_bank0_rd_data_way0_f, 0, 0) @[ifu_bp_ctl.scala 127:50] + node _T_45 = bits(btb_bank0_rd_data_way0_f, 21, 17) @[ifu_bp_ctl.scala 127:82] + node _T_46 = eq(_T_45, fetch_rd_tag_f) @[ifu_bp_ctl.scala 127:97] + node _T_47 = and(_T_44, _T_46) @[ifu_bp_ctl.scala 127:55] + node _T_48 = and(dec_tlu_way_wb_f, branch_error_bank_conflict_f) @[ifu_bp_ctl.scala 128:44] + node _T_49 = eq(_T_48, UInt<1>("h00")) @[ifu_bp_ctl.scala 128:25] + node _T_50 = and(_T_47, _T_49) @[ifu_bp_ctl.scala 127:117] + node _T_51 = and(_T_50, io.ifc_fetch_req_f) @[ifu_bp_ctl.scala 128:76] + node _T_52 = eq(leak_one_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 128:99] + node tag_match_way0_f = and(_T_51, _T_52) @[ifu_bp_ctl.scala 128:97] + node _T_53 = bits(btb_bank0_rd_data_way1_f, 0, 0) @[ifu_bp_ctl.scala 131:50] + node _T_54 = bits(btb_bank0_rd_data_way1_f, 21, 17) @[ifu_bp_ctl.scala 131:82] + node _T_55 = eq(_T_54, fetch_rd_tag_f) @[ifu_bp_ctl.scala 131:97] + node _T_56 = and(_T_53, _T_55) @[ifu_bp_ctl.scala 131:55] + node _T_57 = and(dec_tlu_way_wb_f, branch_error_bank_conflict_f) @[ifu_bp_ctl.scala 132:44] + node _T_58 = eq(_T_57, UInt<1>("h00")) @[ifu_bp_ctl.scala 132:25] + node _T_59 = and(_T_56, _T_58) @[ifu_bp_ctl.scala 131:117] + node _T_60 = and(_T_59, io.ifc_fetch_req_f) @[ifu_bp_ctl.scala 132:76] + node _T_61 = eq(leak_one_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 132:99] + node tag_match_way1_f = and(_T_60, _T_61) @[ifu_bp_ctl.scala 132:97] + node _T_62 = bits(btb_bank0_rd_data_way0_p1_f, 0, 0) @[ifu_bp_ctl.scala 135:56] + node _T_63 = bits(btb_bank0_rd_data_way0_p1_f, 21, 17) @[ifu_bp_ctl.scala 135:91] + node _T_64 = eq(_T_63, fetch_rd_tag_p1_f) @[ifu_bp_ctl.scala 135:106] + node _T_65 = and(_T_62, _T_64) @[ifu_bp_ctl.scala 135:61] + node _T_66 = and(dec_tlu_way_wb_f, branch_error_bank_conflict_p1_f) @[ifu_bp_ctl.scala 136:24] + node _T_67 = eq(_T_66, UInt<1>("h00")) @[ifu_bp_ctl.scala 136:5] + node _T_68 = and(_T_65, _T_67) @[ifu_bp_ctl.scala 135:129] + node _T_69 = and(_T_68, io.ifc_fetch_req_f) @[ifu_bp_ctl.scala 136:59] + node _T_70 = eq(leak_one_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 136:82] + node tag_match_way0_p1_f = and(_T_69, _T_70) @[ifu_bp_ctl.scala 136:80] + node _T_71 = bits(btb_bank0_rd_data_way1_p1_f, 0, 0) @[ifu_bp_ctl.scala 138:56] + node _T_72 = bits(btb_bank0_rd_data_way1_p1_f, 21, 17) @[ifu_bp_ctl.scala 138:91] + node _T_73 = eq(_T_72, fetch_rd_tag_p1_f) @[ifu_bp_ctl.scala 138:106] + node _T_74 = and(_T_71, _T_73) @[ifu_bp_ctl.scala 138:61] + node _T_75 = and(dec_tlu_way_wb_f, branch_error_bank_conflict_p1_f) @[ifu_bp_ctl.scala 139:24] + node _T_76 = eq(_T_75, UInt<1>("h00")) @[ifu_bp_ctl.scala 139:5] + node _T_77 = and(_T_74, _T_76) @[ifu_bp_ctl.scala 138:129] + node _T_78 = and(_T_77, io.ifc_fetch_req_f) @[ifu_bp_ctl.scala 139:59] + node _T_79 = eq(leak_one_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 139:82] + node tag_match_way1_p1_f = and(_T_78, _T_79) @[ifu_bp_ctl.scala 139:80] + node _T_80 = bits(btb_bank0_rd_data_way0_f, 3, 3) @[ifu_bp_ctl.scala 142:84] + node _T_81 = bits(btb_bank0_rd_data_way0_f, 4, 4) @[ifu_bp_ctl.scala 142:117] + node _T_82 = xor(_T_80, _T_81) @[ifu_bp_ctl.scala 142:91] + node _T_83 = and(tag_match_way0_f, _T_82) @[ifu_bp_ctl.scala 142:56] + node _T_84 = bits(btb_bank0_rd_data_way0_f, 3, 3) @[ifu_bp_ctl.scala 143:84] + node _T_85 = bits(btb_bank0_rd_data_way0_f, 4, 4) @[ifu_bp_ctl.scala 143:117] + node _T_86 = xor(_T_84, _T_85) @[ifu_bp_ctl.scala 143:91] + node _T_87 = eq(_T_86, UInt<1>("h00")) @[ifu_bp_ctl.scala 143:58] + node _T_88 = and(tag_match_way0_f, _T_87) @[ifu_bp_ctl.scala 143:56] + node tag_match_way0_expanded_f = cat(_T_83, _T_88) @[Cat.scala 29:58] + node _T_89 = bits(btb_bank0_rd_data_way1_f, 3, 3) @[ifu_bp_ctl.scala 145:84] + node _T_90 = bits(btb_bank0_rd_data_way1_f, 4, 4) @[ifu_bp_ctl.scala 145:117] + node _T_91 = xor(_T_89, _T_90) @[ifu_bp_ctl.scala 145:91] + node _T_92 = and(tag_match_way1_f, _T_91) @[ifu_bp_ctl.scala 145:56] + node _T_93 = bits(btb_bank0_rd_data_way1_f, 3, 3) @[ifu_bp_ctl.scala 146:84] + node _T_94 = bits(btb_bank0_rd_data_way1_f, 4, 4) @[ifu_bp_ctl.scala 146:117] + node _T_95 = xor(_T_93, _T_94) @[ifu_bp_ctl.scala 146:91] + node _T_96 = eq(_T_95, UInt<1>("h00")) @[ifu_bp_ctl.scala 146:58] + node _T_97 = and(tag_match_way1_f, _T_96) @[ifu_bp_ctl.scala 146:56] + node tag_match_way1_expanded_f = cat(_T_92, _T_97) @[Cat.scala 29:58] + node _T_98 = bits(btb_bank0_rd_data_way0_p1_f, 3, 3) @[ifu_bp_ctl.scala 148:93] + node _T_99 = bits(btb_bank0_rd_data_way0_p1_f, 4, 4) @[ifu_bp_ctl.scala 148:129] + node _T_100 = xor(_T_98, _T_99) @[ifu_bp_ctl.scala 148:100] + node _T_101 = and(tag_match_way0_p1_f, _T_100) @[ifu_bp_ctl.scala 148:62] + node _T_102 = bits(btb_bank0_rd_data_way0_p1_f, 3, 3) @[ifu_bp_ctl.scala 149:93] + node _T_103 = bits(btb_bank0_rd_data_way0_p1_f, 4, 4) @[ifu_bp_ctl.scala 149:129] + node _T_104 = xor(_T_102, _T_103) @[ifu_bp_ctl.scala 149:100] + node _T_105 = eq(_T_104, UInt<1>("h00")) @[ifu_bp_ctl.scala 149:64] + node _T_106 = and(tag_match_way0_p1_f, _T_105) @[ifu_bp_ctl.scala 149:62] + node tag_match_way0_expanded_p1_f = cat(_T_101, _T_106) @[Cat.scala 29:58] + node _T_107 = bits(btb_bank0_rd_data_way1_p1_f, 3, 3) @[ifu_bp_ctl.scala 151:93] + node _T_108 = bits(btb_bank0_rd_data_way1_p1_f, 4, 4) @[ifu_bp_ctl.scala 151:129] + node _T_109 = xor(_T_107, _T_108) @[ifu_bp_ctl.scala 151:100] + node _T_110 = and(tag_match_way1_p1_f, _T_109) @[ifu_bp_ctl.scala 151:62] + node _T_111 = bits(btb_bank0_rd_data_way1_p1_f, 3, 3) @[ifu_bp_ctl.scala 152:93] + node _T_112 = bits(btb_bank0_rd_data_way1_p1_f, 4, 4) @[ifu_bp_ctl.scala 152:129] + node _T_113 = xor(_T_111, _T_112) @[ifu_bp_ctl.scala 152:100] + node _T_114 = eq(_T_113, UInt<1>("h00")) @[ifu_bp_ctl.scala 152:64] + node _T_115 = and(tag_match_way1_p1_f, _T_114) @[ifu_bp_ctl.scala 152:62] + node tag_match_way1_expanded_p1_f = cat(_T_110, _T_115) @[Cat.scala 29:58] + node wayhit_f = or(tag_match_way0_expanded_f, tag_match_way1_expanded_f) @[ifu_bp_ctl.scala 155:44] + node wayhit_p1_f = or(tag_match_way0_expanded_p1_f, tag_match_way1_expanded_p1_f) @[ifu_bp_ctl.scala 157:50] + node _T_116 = bits(tag_match_way0_expanded_f, 0, 0) @[ifu_bp_ctl.scala 161:65] + node _T_117 = bits(_T_116, 0, 0) @[ifu_bp_ctl.scala 161:69] + node _T_118 = bits(tag_match_way1_expanded_f, 0, 0) @[ifu_bp_ctl.scala 162:65] + node _T_119 = bits(_T_118, 0, 0) @[ifu_bp_ctl.scala 162:69] + node _T_120 = mux(_T_117, btb_bank0_rd_data_way0_f, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_121 = mux(_T_119, btb_bank0_rd_data_way1_f, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_122 = or(_T_120, _T_121) @[Mux.scala 27:72] + wire btb_bank0e_rd_data_f : UInt<22> @[Mux.scala 27:72] + btb_bank0e_rd_data_f <= _T_122 @[Mux.scala 27:72] + node _T_123 = bits(tag_match_way0_expanded_f, 1, 1) @[ifu_bp_ctl.scala 164:65] + node _T_124 = bits(_T_123, 0, 0) @[ifu_bp_ctl.scala 164:69] + node _T_125 = bits(tag_match_way1_expanded_f, 1, 1) @[ifu_bp_ctl.scala 165:65] + node _T_126 = bits(_T_125, 0, 0) @[ifu_bp_ctl.scala 165:69] + node _T_127 = mux(_T_124, btb_bank0_rd_data_way0_f, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_128 = mux(_T_126, btb_bank0_rd_data_way1_f, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_129 = or(_T_127, _T_128) @[Mux.scala 27:72] + wire btb_bank0o_rd_data_f : UInt<22> @[Mux.scala 27:72] + btb_bank0o_rd_data_f <= _T_129 @[Mux.scala 27:72] + node _T_130 = bits(tag_match_way0_expanded_p1_f, 0, 0) @[ifu_bp_ctl.scala 167:71] + node _T_131 = bits(_T_130, 0, 0) @[ifu_bp_ctl.scala 167:75] + node _T_132 = bits(tag_match_way1_expanded_p1_f, 0, 0) @[ifu_bp_ctl.scala 168:71] + node _T_133 = bits(_T_132, 0, 0) @[ifu_bp_ctl.scala 168:75] + node _T_134 = mux(_T_131, btb_bank0_rd_data_way0_p1_f, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_135 = mux(_T_133, btb_bank0_rd_data_way1_p1_f, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_136 = or(_T_134, _T_135) @[Mux.scala 27:72] + wire btb_bank0e_rd_data_p1_f : UInt<22> @[Mux.scala 27:72] + btb_bank0e_rd_data_p1_f <= _T_136 @[Mux.scala 27:72] + node _T_137 = bits(io.ifc_fetch_addr_f, 0, 0) @[ifu_bp_ctl.scala 172:60] + node _T_138 = eq(_T_137, UInt<1>("h00")) @[ifu_bp_ctl.scala 172:40] + node _T_139 = bits(io.ifc_fetch_addr_f, 0, 0) @[ifu_bp_ctl.scala 173:60] + node _T_140 = mux(_T_138, btb_bank0e_rd_data_f, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_141 = mux(_T_139, btb_bank0o_rd_data_f, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_142 = or(_T_140, _T_141) @[Mux.scala 27:72] + wire btb_vbank0_rd_data_f : UInt<22> @[Mux.scala 27:72] + btb_vbank0_rd_data_f <= _T_142 @[Mux.scala 27:72] + node _T_143 = bits(io.ifc_fetch_addr_f, 0, 0) @[ifu_bp_ctl.scala 174:60] + node _T_144 = eq(_T_143, UInt<1>("h00")) @[ifu_bp_ctl.scala 174:40] + node _T_145 = bits(io.ifc_fetch_addr_f, 0, 0) @[ifu_bp_ctl.scala 175:60] + node _T_146 = mux(_T_144, btb_bank0o_rd_data_f, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_147 = mux(_T_145, btb_bank0e_rd_data_p1_f, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_148 = or(_T_146, _T_147) @[Mux.scala 27:72] + wire btb_vbank1_rd_data_f : UInt<22> @[Mux.scala 27:72] + btb_vbank1_rd_data_f <= _T_148 @[Mux.scala 27:72] + node mp_wrindex_dec = dshl(UInt<1>("h01"), io.exu_bp.exu_mp_index) @[ifu_bp_ctl.scala 191:28] + node fetch_wrindex_dec = dshl(UInt<1>("h01"), btb_rd_addr_f) @[ifu_bp_ctl.scala 194:31] + node fetch_wrindex_p1_dec = dshl(UInt<1>("h01"), btb_rd_addr_p1_f) @[ifu_bp_ctl.scala 197:34] + node _T_149 = bits(exu_mp_valid, 0, 0) @[Bitwise.scala 72:15] + node _T_150 = mux(_T_149, UInt<256>("h0ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff"), UInt<256>("h00")) @[Bitwise.scala 72:12] + node mp_wrlru_b0 = and(mp_wrindex_dec, _T_150) @[ifu_bp_ctl.scala 200:36] + node _T_151 = bits(io.ifc_fetch_addr_f, 0, 0) @[ifu_bp_ctl.scala 202:49] + node _T_152 = bits(_T_151, 0, 0) @[ifu_bp_ctl.scala 202:53] + node _T_153 = eq(_T_152, UInt<1>("h00")) @[ifu_bp_ctl.scala 202:29] + node _T_154 = bits(io.ifc_fetch_addr_f, 0, 0) @[ifu_bp_ctl.scala 203:24] + node _T_155 = bits(_T_154, 0, 0) @[ifu_bp_ctl.scala 203:28] + node _T_156 = bits(wayhit_p1_f, 0, 0) @[ifu_bp_ctl.scala 203:51] + node _T_157 = bits(wayhit_f, 1, 1) @[ifu_bp_ctl.scala 203:64] + node _T_158 = cat(_T_156, _T_157) @[Cat.scala 29:58] + node _T_159 = mux(_T_153, wayhit_f, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_160 = mux(_T_155, _T_158, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_161 = or(_T_159, _T_160) @[Mux.scala 27:72] + wire _T_162 : UInt<2> @[Mux.scala 27:72] + _T_162 <= _T_161 @[Mux.scala 27:72] + node _T_163 = cat(eoc_mask, UInt<1>("h01")) @[Cat.scala 29:58] + node bht_valid_f = and(_T_162, _T_163) @[ifu_bp_ctl.scala 203:71] + node _T_164 = bits(bht_valid_f, 0, 0) @[ifu_bp_ctl.scala 206:38] + node _T_165 = bits(bht_valid_f, 1, 1) @[ifu_bp_ctl.scala 206:53] + node _T_166 = or(_T_164, _T_165) @[ifu_bp_ctl.scala 206:42] + node _T_167 = and(_T_166, io.ifc_fetch_req_f) @[ifu_bp_ctl.scala 206:58] + node _T_168 = eq(leak_one_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 206:81] + node lru_update_valid_f = and(_T_167, _T_168) @[ifu_bp_ctl.scala 206:79] + node _T_169 = bits(lru_update_valid_f, 0, 0) @[Bitwise.scala 72:15] + node _T_170 = mux(_T_169, UInt<256>("h0ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff"), UInt<256>("h00")) @[Bitwise.scala 72:12] + node fetch_wrlru_b0 = and(fetch_wrindex_dec, _T_170) @[ifu_bp_ctl.scala 208:42] + node _T_171 = bits(lru_update_valid_f, 0, 0) @[Bitwise.scala 72:15] + node _T_172 = mux(_T_171, UInt<256>("h0ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff"), UInt<256>("h00")) @[Bitwise.scala 72:12] + node fetch_wrlru_p1_b0 = and(fetch_wrindex_p1_dec, _T_172) @[ifu_bp_ctl.scala 209:48] + node _T_173 = not(mp_wrlru_b0) @[ifu_bp_ctl.scala 211:25] + node _T_174 = not(fetch_wrlru_b0) @[ifu_bp_ctl.scala 211:40] + node btb_lru_b0_hold = and(_T_173, _T_174) @[ifu_bp_ctl.scala 211:38] + node _T_175 = bits(io.exu_bp.exu_mp_pkt.bits.way, 0, 0) @[ifu_bp_ctl.scala 218:52] + node _T_176 = eq(_T_175, UInt<1>("h00")) @[ifu_bp_ctl.scala 218:40] + node _T_177 = bits(tag_match_way0_f, 0, 0) @[ifu_bp_ctl.scala 219:51] + node _T_178 = bits(tag_match_way0_p1_f, 0, 0) @[ifu_bp_ctl.scala 220:54] + node _T_179 = mux(_T_176, mp_wrlru_b0, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_180 = mux(_T_177, fetch_wrlru_b0, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_181 = mux(_T_178, fetch_wrlru_p1_b0, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_182 = or(_T_179, _T_180) @[Mux.scala 27:72] + node _T_183 = or(_T_182, _T_181) @[Mux.scala 27:72] + wire _T_184 : UInt<256> @[Mux.scala 27:72] + _T_184 <= _T_183 @[Mux.scala 27:72] + node _T_185 = and(btb_lru_b0_hold, btb_lru_b0_f) @[ifu_bp_ctl.scala 220:102] + node btb_lru_b0_ns = or(_T_184, _T_185) @[ifu_bp_ctl.scala 220:84] + node _T_186 = bits(fetch_mp_collision_f, 0, 0) @[ifu_bp_ctl.scala 223:37] + node _T_187 = and(fetch_wrindex_dec, btb_lru_b0_f) @[ifu_bp_ctl.scala 223:78] + node _T_188 = orr(_T_187) @[ifu_bp_ctl.scala 223:94] + node btb_lru_rd_f = mux(_T_186, exu_mp_way_f, _T_188) @[ifu_bp_ctl.scala 223:25] + node _T_189 = bits(fetch_mp_collision_p1_f, 0, 0) @[ifu_bp_ctl.scala 225:43] + node _T_190 = and(fetch_wrindex_p1_dec, btb_lru_b0_f) @[ifu_bp_ctl.scala 225:87] + node _T_191 = orr(_T_190) @[ifu_bp_ctl.scala 225:103] + node btb_lru_rd_p1_f = mux(_T_189, exu_mp_way_f, _T_191) @[ifu_bp_ctl.scala 225:28] + node _T_192 = bits(io.ifc_fetch_addr_f, 0, 0) @[ifu_bp_ctl.scala 228:53] + node _T_193 = eq(_T_192, UInt<1>("h00")) @[ifu_bp_ctl.scala 228:33] + node _T_194 = cat(btb_lru_rd_f, btb_lru_rd_f) @[Cat.scala 29:58] + node _T_195 = bits(io.ifc_fetch_addr_f, 0, 0) @[ifu_bp_ctl.scala 229:53] + node _T_196 = bits(_T_195, 0, 0) @[ifu_bp_ctl.scala 229:57] + node _T_197 = cat(btb_lru_rd_p1_f, btb_lru_rd_f) @[Cat.scala 29:58] + node _T_198 = mux(_T_193, _T_194, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_199 = mux(_T_196, _T_197, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_200 = or(_T_198, _T_199) @[Mux.scala 27:72] + wire btb_vlru_rd_f : UInt @[Mux.scala 27:72] + btb_vlru_rd_f <= _T_200 @[Mux.scala 27:72] + node _T_201 = bits(io.ifc_fetch_addr_f, 0, 0) @[ifu_bp_ctl.scala 232:66] + node _T_202 = bits(_T_201, 0, 0) @[ifu_bp_ctl.scala 232:70] + node _T_203 = eq(_T_202, UInt<1>("h00")) @[ifu_bp_ctl.scala 232:46] + node _T_204 = bits(io.ifc_fetch_addr_f, 0, 0) @[ifu_bp_ctl.scala 233:42] + node _T_205 = bits(_T_204, 0, 0) @[ifu_bp_ctl.scala 233:46] + node _T_206 = bits(tag_match_way1_expanded_p1_f, 0, 0) @[ifu_bp_ctl.scala 233:86] + node _T_207 = bits(tag_match_way1_expanded_f, 1, 1) @[ifu_bp_ctl.scala 233:115] + node _T_208 = cat(_T_206, _T_207) @[Cat.scala 29:58] + node _T_209 = mux(_T_203, tag_match_way1_expanded_f, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_210 = mux(_T_205, _T_208, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_211 = or(_T_209, _T_210) @[Mux.scala 27:72] + wire tag_match_vway1_expanded_f : UInt<2> @[Mux.scala 27:72] + tag_match_vway1_expanded_f <= _T_211 @[Mux.scala 27:72] + node _T_212 = not(bht_valid_f) @[ifu_bp_ctl.scala 235:52] + node _T_213 = and(_T_212, btb_vlru_rd_f) @[ifu_bp_ctl.scala 235:63] + node _T_214 = or(tag_match_vway1_expanded_f, _T_213) @[ifu_bp_ctl.scala 235:49] + io.ifu_bp_way_f <= _T_214 @[ifu_bp_ctl.scala 235:19] + node _T_215 = or(io.ifc_fetch_req_f, exu_mp_valid) @[ifu_bp_ctl.scala 238:60] + node _T_216 = bits(_T_215, 0, 0) @[ifu_bp_ctl.scala 238:75] + inst rvclkhdr of rvclkhdr_94 @[lib.scala 368:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 370:18] + rvclkhdr.io.en <= _T_216 @[lib.scala 371:17] + rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_217 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_217 <= btb_lru_b0_ns @[lib.scala 374:16] + btb_lru_b0_f <= _T_217 @[ifu_bp_ctl.scala 238:16] + node _T_218 = bits(io.ifc_fetch_addr_f, 4, 2) @[ifu_bp_ctl.scala 241:37] + node eoc_near = andr(_T_218) @[ifu_bp_ctl.scala 241:64] + node _T_219 = eq(eoc_near, UInt<1>("h00")) @[ifu_bp_ctl.scala 244:15] + node _T_220 = bits(io.ifc_fetch_addr_f, 1, 0) @[ifu_bp_ctl.scala 244:48] + node _T_221 = not(_T_220) @[ifu_bp_ctl.scala 244:28] + node _T_222 = orr(_T_221) @[ifu_bp_ctl.scala 244:58] + node _T_223 = or(_T_219, _T_222) @[ifu_bp_ctl.scala 244:25] + eoc_mask <= _T_223 @[ifu_bp_ctl.scala 244:12] + wire btb_sel_data_f : UInt<16> + btb_sel_data_f <= UInt<1>("h00") + wire hist1_raw : UInt<2> + hist1_raw <= UInt<1>("h00") + node btb_rd_tgt_f = bits(btb_sel_data_f, 15, 4) @[ifu_bp_ctl.scala 251:36] + node btb_rd_pc4_f = bits(btb_sel_data_f, 3, 3) @[ifu_bp_ctl.scala 252:36] + node btb_rd_call_f = bits(btb_sel_data_f, 1, 1) @[ifu_bp_ctl.scala 253:37] + node btb_rd_ret_f = bits(btb_sel_data_f, 0, 0) @[ifu_bp_ctl.scala 254:36] + node _T_224 = bits(btb_sel_f, 1, 1) @[ifu_bp_ctl.scala 257:40] + node _T_225 = bits(_T_224, 0, 0) @[ifu_bp_ctl.scala 257:44] + node _T_226 = bits(btb_vbank1_rd_data_f, 16, 1) @[ifu_bp_ctl.scala 257:73] + node _T_227 = bits(btb_sel_f, 0, 0) @[ifu_bp_ctl.scala 258:40] + node _T_228 = bits(_T_227, 0, 0) @[ifu_bp_ctl.scala 258:44] + node _T_229 = bits(btb_vbank0_rd_data_f, 16, 1) @[ifu_bp_ctl.scala 258:73] + node _T_230 = mux(_T_225, _T_226, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_231 = mux(_T_228, _T_229, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_232 = or(_T_230, _T_231) @[Mux.scala 27:72] + wire _T_233 : UInt<16> @[Mux.scala 27:72] + _T_233 <= _T_232 @[Mux.scala 27:72] + btb_sel_data_f <= _T_233 @[ifu_bp_ctl.scala 257:18] + node _T_234 = and(bht_valid_f, hist1_raw) @[ifu_bp_ctl.scala 261:39] + node _T_235 = orr(_T_234) @[ifu_bp_ctl.scala 261:52] + node _T_236 = and(_T_235, io.ifc_fetch_req_f) @[ifu_bp_ctl.scala 261:56] + node _T_237 = eq(leak_one_f_d1, UInt<1>("h00")) @[ifu_bp_ctl.scala 261:79] + node _T_238 = and(_T_236, _T_237) @[ifu_bp_ctl.scala 261:77] + node _T_239 = eq(io.dec_bp.dec_tlu_bpred_disable, UInt<1>("h00")) @[ifu_bp_ctl.scala 261:96] + node _T_240 = and(_T_238, _T_239) @[ifu_bp_ctl.scala 261:94] + io.ifu_bp_hit_taken_f <= _T_240 @[ifu_bp_ctl.scala 261:25] + node _T_241 = bits(btb_vbank1_rd_data_f, 2, 2) @[ifu_bp_ctl.scala 264:52] + node _T_242 = bits(btb_vbank1_rd_data_f, 1, 1) @[ifu_bp_ctl.scala 264:81] + node _T_243 = or(_T_241, _T_242) @[ifu_bp_ctl.scala 264:59] + node _T_244 = bits(btb_vbank0_rd_data_f, 2, 2) @[ifu_bp_ctl.scala 265:52] + node _T_245 = bits(btb_vbank0_rd_data_f, 1, 1) @[ifu_bp_ctl.scala 265:81] + node _T_246 = or(_T_244, _T_245) @[ifu_bp_ctl.scala 265:59] + node bht_force_taken_f = cat(_T_243, _T_246) @[Cat.scala 29:58] + wire bht_bank1_rd_data_f : UInt<2> + bht_bank1_rd_data_f <= UInt<1>("h00") + wire bht_bank0_rd_data_f : UInt<2> + bht_bank0_rd_data_f <= UInt<1>("h00") + wire bht_bank0_rd_data_p1_f : UInt<2> + bht_bank0_rd_data_p1_f <= UInt<1>("h00") + node _T_247 = bits(io.ifc_fetch_addr_f, 0, 0) @[ifu_bp_ctl.scala 274:60] + node _T_248 = bits(_T_247, 0, 0) @[ifu_bp_ctl.scala 274:64] + node _T_249 = eq(_T_248, UInt<1>("h00")) @[ifu_bp_ctl.scala 274:40] + node _T_250 = bits(io.ifc_fetch_addr_f, 0, 0) @[ifu_bp_ctl.scala 275:60] + node _T_251 = bits(_T_250, 0, 0) @[ifu_bp_ctl.scala 275:64] + node _T_252 = mux(_T_249, bht_bank0_rd_data_f, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_253 = mux(_T_251, bht_bank1_rd_data_f, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_254 = or(_T_252, _T_253) @[Mux.scala 27:72] + wire bht_vbank0_rd_data_f : UInt<2> @[Mux.scala 27:72] + bht_vbank0_rd_data_f <= _T_254 @[Mux.scala 27:72] + node _T_255 = bits(io.ifc_fetch_addr_f, 0, 0) @[ifu_bp_ctl.scala 277:60] + node _T_256 = bits(_T_255, 0, 0) @[ifu_bp_ctl.scala 277:64] + node _T_257 = eq(_T_256, UInt<1>("h00")) @[ifu_bp_ctl.scala 277:40] + node _T_258 = bits(io.ifc_fetch_addr_f, 0, 0) @[ifu_bp_ctl.scala 278:60] + node _T_259 = bits(_T_258, 0, 0) @[ifu_bp_ctl.scala 278:64] + node _T_260 = mux(_T_257, bht_bank1_rd_data_f, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_261 = mux(_T_259, bht_bank0_rd_data_p1_f, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_262 = or(_T_260, _T_261) @[Mux.scala 27:72] + wire bht_vbank1_rd_data_f : UInt<2> @[Mux.scala 27:72] + bht_vbank1_rd_data_f <= _T_262 @[Mux.scala 27:72] + node _T_263 = bits(bht_force_taken_f, 1, 1) @[ifu_bp_ctl.scala 281:38] + node _T_264 = bits(bht_vbank1_rd_data_f, 1, 1) @[ifu_bp_ctl.scala 281:64] + node _T_265 = or(_T_263, _T_264) @[ifu_bp_ctl.scala 281:42] + node _T_266 = bits(bht_valid_f, 1, 1) @[ifu_bp_ctl.scala 281:82] + node _T_267 = and(_T_265, _T_266) @[ifu_bp_ctl.scala 281:69] + node _T_268 = bits(bht_force_taken_f, 0, 0) @[ifu_bp_ctl.scala 282:41] + node _T_269 = bits(bht_vbank0_rd_data_f, 1, 1) @[ifu_bp_ctl.scala 282:67] + node _T_270 = or(_T_268, _T_269) @[ifu_bp_ctl.scala 282:45] + node _T_271 = bits(bht_valid_f, 0, 0) @[ifu_bp_ctl.scala 282:85] + node _T_272 = and(_T_270, _T_271) @[ifu_bp_ctl.scala 282:72] + node _T_273 = cat(_T_267, _T_272) @[Cat.scala 29:58] + bht_dir_f <= _T_273 @[ifu_bp_ctl.scala 281:13] + node _T_274 = bits(btb_sel_f, 1, 1) @[ifu_bp_ctl.scala 285:62] + node _T_275 = and(io.ifu_bp_hit_taken_f, _T_274) @[ifu_bp_ctl.scala 285:51] + node _T_276 = eq(io.ifu_bp_hit_taken_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 285:69] + node _T_277 = or(_T_275, _T_276) @[ifu_bp_ctl.scala 285:67] + io.ifu_bp_inst_mask_f <= _T_277 @[ifu_bp_ctl.scala 285:25] + node _T_278 = bits(bht_vbank1_rd_data_f, 1, 1) @[ifu_bp_ctl.scala 288:60] + node _T_279 = bits(bht_vbank0_rd_data_f, 1, 1) @[ifu_bp_ctl.scala 288:85] + node _T_280 = cat(_T_278, _T_279) @[Cat.scala 29:58] + node _T_281 = or(bht_force_taken_f, _T_280) @[ifu_bp_ctl.scala 288:34] + hist1_raw <= _T_281 @[ifu_bp_ctl.scala 288:13] + node _T_282 = bits(bht_vbank1_rd_data_f, 0, 0) @[ifu_bp_ctl.scala 291:43] + node _T_283 = bits(bht_vbank0_rd_data_f, 0, 0) @[ifu_bp_ctl.scala 291:68] + node hist0_raw = cat(_T_282, _T_283) @[Cat.scala 29:58] + node _T_284 = bits(bht_valid_f, 1, 1) @[ifu_bp_ctl.scala 294:30] + node _T_285 = bits(btb_vbank1_rd_data_f, 4, 4) @[ifu_bp_ctl.scala 294:56] + node _T_286 = and(_T_284, _T_285) @[ifu_bp_ctl.scala 294:34] + node _T_287 = bits(bht_valid_f, 0, 0) @[ifu_bp_ctl.scala 295:30] + node _T_288 = bits(btb_vbank0_rd_data_f, 4, 4) @[ifu_bp_ctl.scala 295:56] + node _T_289 = and(_T_287, _T_288) @[ifu_bp_ctl.scala 295:34] + node pc4_raw = cat(_T_286, _T_289) @[Cat.scala 29:58] + node _T_290 = bits(bht_valid_f, 1, 1) @[ifu_bp_ctl.scala 298:31] + node _T_291 = bits(btb_vbank1_rd_data_f, 2, 2) @[ifu_bp_ctl.scala 298:58] + node _T_292 = eq(_T_291, UInt<1>("h00")) @[ifu_bp_ctl.scala 298:37] + node _T_293 = and(_T_290, _T_292) @[ifu_bp_ctl.scala 298:35] + node _T_294 = bits(btb_vbank1_rd_data_f, 1, 1) @[ifu_bp_ctl.scala 298:87] + node _T_295 = and(_T_293, _T_294) @[ifu_bp_ctl.scala 298:65] + node _T_296 = bits(bht_valid_f, 0, 0) @[ifu_bp_ctl.scala 299:31] + node _T_297 = bits(btb_vbank0_rd_data_f, 2, 2) @[ifu_bp_ctl.scala 299:58] + node _T_298 = eq(_T_297, UInt<1>("h00")) @[ifu_bp_ctl.scala 299:37] + node _T_299 = and(_T_296, _T_298) @[ifu_bp_ctl.scala 299:35] + node _T_300 = bits(btb_vbank0_rd_data_f, 1, 1) @[ifu_bp_ctl.scala 299:87] + node _T_301 = and(_T_299, _T_300) @[ifu_bp_ctl.scala 299:65] + node pret_raw = cat(_T_295, _T_301) @[Cat.scala 29:58] + node _T_302 = bits(bht_valid_f, 1, 1) @[ifu_bp_ctl.scala 302:31] + node _T_303 = bits(bht_valid_f, 0, 0) @[ifu_bp_ctl.scala 302:49] + node num_valids = add(_T_302, _T_303) @[ifu_bp_ctl.scala 302:35] + node _T_304 = and(btb_sel_f, bht_dir_f) @[ifu_bp_ctl.scala 305:28] + node final_h = orr(_T_304) @[ifu_bp_ctl.scala 305:41] + wire fghr : UInt<8> + fghr <= UInt<1>("h00") + node _T_305 = eq(num_valids, UInt<2>("h02")) @[ifu_bp_ctl.scala 309:41] + node _T_306 = bits(_T_305, 0, 0) @[ifu_bp_ctl.scala 309:49] + node _T_307 = bits(fghr, 5, 0) @[ifu_bp_ctl.scala 309:65] + node _T_308 = cat(_T_307, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_309 = cat(_T_308, final_h) @[Cat.scala 29:58] + node _T_310 = eq(num_valids, UInt<1>("h01")) @[ifu_bp_ctl.scala 310:41] + node _T_311 = bits(_T_310, 0, 0) @[ifu_bp_ctl.scala 310:49] + node _T_312 = bits(fghr, 6, 0) @[ifu_bp_ctl.scala 310:65] + node _T_313 = cat(_T_312, final_h) @[Cat.scala 29:58] + node _T_314 = eq(num_valids, UInt<1>("h00")) @[ifu_bp_ctl.scala 311:41] + node _T_315 = bits(_T_314, 0, 0) @[ifu_bp_ctl.scala 311:49] + node _T_316 = bits(fghr, 7, 0) @[ifu_bp_ctl.scala 311:65] + node _T_317 = mux(_T_306, _T_309, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_318 = mux(_T_311, _T_313, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_319 = mux(_T_315, _T_316, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_320 = or(_T_317, _T_318) @[Mux.scala 27:72] + node _T_321 = or(_T_320, _T_319) @[Mux.scala 27:72] + wire merged_ghr : UInt<8> @[Mux.scala 27:72] + merged_ghr <= _T_321 @[Mux.scala 27:72] + wire fghr_ns : UInt<8> @[ifu_bp_ctl.scala 314:21] + node _T_322 = bits(exu_flush_final_d1, 0, 0) @[ifu_bp_ctl.scala 319:43] + node _T_323 = eq(exu_flush_final_d1, UInt<1>("h00")) @[ifu_bp_ctl.scala 320:27] + node _T_324 = and(_T_323, io.ifc_fetch_req_f) @[ifu_bp_ctl.scala 320:47] + node _T_325 = and(_T_324, io.ic_hit_f) @[ifu_bp_ctl.scala 320:70] + node _T_326 = eq(leak_one_f_d1, UInt<1>("h00")) @[ifu_bp_ctl.scala 320:86] + node _T_327 = and(_T_325, _T_326) @[ifu_bp_ctl.scala 320:84] + node _T_328 = bits(_T_327, 0, 0) @[ifu_bp_ctl.scala 320:102] + node _T_329 = eq(exu_flush_final_d1, UInt<1>("h00")) @[ifu_bp_ctl.scala 321:27] + node _T_330 = and(io.ifc_fetch_req_f, io.ic_hit_f) @[ifu_bp_ctl.scala 321:70] + node _T_331 = eq(leak_one_f_d1, UInt<1>("h00")) @[ifu_bp_ctl.scala 321:86] + node _T_332 = and(_T_330, _T_331) @[ifu_bp_ctl.scala 321:84] + node _T_333 = eq(_T_332, UInt<1>("h00")) @[ifu_bp_ctl.scala 321:49] + node _T_334 = and(_T_329, _T_333) @[ifu_bp_ctl.scala 321:47] + node _T_335 = bits(_T_334, 0, 0) @[ifu_bp_ctl.scala 321:103] + node _T_336 = mux(_T_322, io.exu_bp.exu_mp_fghr, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_337 = mux(_T_328, merged_ghr, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_338 = mux(_T_335, fghr, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_339 = or(_T_336, _T_337) @[Mux.scala 27:72] + node _T_340 = or(_T_339, _T_338) @[Mux.scala 27:72] + wire _T_341 : UInt<8> @[Mux.scala 27:72] + _T_341 <= _T_340 @[Mux.scala 27:72] + fghr_ns <= _T_341 @[ifu_bp_ctl.scala 319:11] + reg _T_342 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_bp_ctl.scala 323:44] + _T_342 <= fghr_ns @[ifu_bp_ctl.scala 323:44] + fghr <= _T_342 @[ifu_bp_ctl.scala 323:8] + io.ifu_bp_fghr_f <= fghr @[ifu_bp_ctl.scala 325:20] + io.ifu_bp_hist1_f <= hist1_raw @[ifu_bp_ctl.scala 326:21] + io.ifu_bp_hist0_f <= hist0_raw @[ifu_bp_ctl.scala 327:21] + io.ifu_bp_pc4_f <= pc4_raw @[ifu_bp_ctl.scala 328:19] + node _T_343 = bits(io.dec_bp.dec_tlu_bpred_disable, 0, 0) @[Bitwise.scala 72:15] + node _T_344 = mux(_T_343, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_345 = not(_T_344) @[ifu_bp_ctl.scala 330:36] + node _T_346 = and(bht_valid_f, _T_345) @[ifu_bp_ctl.scala 330:34] + io.ifu_bp_valid_f <= _T_346 @[ifu_bp_ctl.scala 330:21] + io.ifu_bp_ret_f <= pret_raw @[ifu_bp_ctl.scala 331:19] + node _T_347 = bits(bht_dir_f, 0, 0) @[ifu_bp_ctl.scala 334:30] + node _T_348 = bits(fetch_start_f, 0, 0) @[ifu_bp_ctl.scala 334:50] + node _T_349 = eq(_T_348, UInt<1>("h00")) @[ifu_bp_ctl.scala 334:36] + node _T_350 = and(_T_347, _T_349) @[ifu_bp_ctl.scala 334:34] + node _T_351 = bits(bht_dir_f, 0, 0) @[ifu_bp_ctl.scala 334:68] + node _T_352 = eq(_T_351, UInt<1>("h00")) @[ifu_bp_ctl.scala 334:58] + node _T_353 = bits(fetch_start_f, 0, 0) @[ifu_bp_ctl.scala 334:87] + node _T_354 = and(_T_352, _T_353) @[ifu_bp_ctl.scala 334:72] + node _T_355 = or(_T_350, _T_354) @[ifu_bp_ctl.scala 334:55] + node _T_356 = bits(bht_dir_f, 0, 0) @[ifu_bp_ctl.scala 335:30] + node _T_357 = bits(fetch_start_f, 0, 0) @[ifu_bp_ctl.scala 335:49] + node _T_358 = and(_T_356, _T_357) @[ifu_bp_ctl.scala 335:34] + node _T_359 = bits(bht_dir_f, 0, 0) @[ifu_bp_ctl.scala 335:67] + node _T_360 = eq(_T_359, UInt<1>("h00")) @[ifu_bp_ctl.scala 335:57] + node _T_361 = bits(fetch_start_f, 0, 0) @[ifu_bp_ctl.scala 335:87] + node _T_362 = eq(_T_361, UInt<1>("h00")) @[ifu_bp_ctl.scala 335:73] + node _T_363 = and(_T_360, _T_362) @[ifu_bp_ctl.scala 335:71] + node _T_364 = or(_T_358, _T_363) @[ifu_bp_ctl.scala 335:54] + node bloc_f = cat(_T_355, _T_364) @[Cat.scala 29:58] + node _T_365 = bits(bht_dir_f, 0, 0) @[ifu_bp_ctl.scala 337:31] + node _T_366 = eq(_T_365, UInt<1>("h00")) @[ifu_bp_ctl.scala 337:21] + node _T_367 = bits(io.ifc_fetch_addr_f, 0, 0) @[ifu_bp_ctl.scala 337:56] + node _T_368 = and(_T_366, _T_367) @[ifu_bp_ctl.scala 337:35] + node _T_369 = eq(btb_rd_pc4_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 337:62] + node use_fa_plus = and(_T_368, _T_369) @[ifu_bp_ctl.scala 337:60] + node _T_370 = bits(fetch_start_f, 0, 0) @[ifu_bp_ctl.scala 339:40] + node _T_371 = bits(btb_sel_f, 0, 0) @[ifu_bp_ctl.scala 339:55] + node _T_372 = and(_T_370, _T_371) @[ifu_bp_ctl.scala 339:44] + node btb_fg_crossing_f = and(_T_372, btb_rd_pc4_f) @[ifu_bp_ctl.scala 339:59] + node _T_373 = bits(bloc_f, 1, 1) @[ifu_bp_ctl.scala 340:40] + node bp_total_branch_offset_f = xor(_T_373, btb_rd_pc4_f) @[ifu_bp_ctl.scala 340:43] + node _T_374 = bits(io.ifc_fetch_addr_f, 30, 1) @[ifu_bp_ctl.scala 342:57] + node _T_375 = eq(io.ifu_bp_hit_taken_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 342:87] + node _T_376 = and(io.ifc_fetch_req_f, _T_375) @[ifu_bp_ctl.scala 342:85] + node _T_377 = and(_T_376, io.ic_hit_f) @[ifu_bp_ctl.scala 342:110] + node _T_378 = bits(_T_377, 0, 0) @[ifu_bp_ctl.scala 342:125] + inst rvclkhdr_1 of rvclkhdr_95 @[lib.scala 368:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_1.io.en <= _T_378 @[lib.scala 371:17] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg ifc_fetch_adder_prior : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + ifc_fetch_adder_prior <= _T_374 @[lib.scala 374:16] + io.ifu_bp_poffset_f <= btb_rd_tgt_f @[ifu_bp_ctl.scala 344:23] + node _T_379 = bits(use_fa_plus, 0, 0) @[ifu_bp_ctl.scala 346:45] + node _T_380 = bits(btb_fg_crossing_f, 0, 0) @[ifu_bp_ctl.scala 347:51] + node _T_381 = eq(btb_fg_crossing_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 348:32] + node _T_382 = eq(use_fa_plus, UInt<1>("h00")) @[ifu_bp_ctl.scala 348:53] + node _T_383 = and(_T_381, _T_382) @[ifu_bp_ctl.scala 348:51] + node _T_384 = bits(_T_383, 0, 0) @[ifu_bp_ctl.scala 348:67] + node _T_385 = bits(io.ifc_fetch_addr_f, 30, 1) @[ifu_bp_ctl.scala 348:95] + node _T_386 = mux(_T_379, fetch_addr_p1_f, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_387 = mux(_T_380, ifc_fetch_adder_prior, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_388 = mux(_T_384, _T_385, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_389 = or(_T_386, _T_387) @[Mux.scala 27:72] + node _T_390 = or(_T_389, _T_388) @[Mux.scala 27:72] + wire adder_pc_in_f : UInt @[Mux.scala 27:72] + adder_pc_in_f <= _T_390 @[Mux.scala 27:72] + node _T_391 = bits(adder_pc_in_f, 29, 0) @[ifu_bp_ctl.scala 351:58] + node _T_392 = cat(_T_391, bp_total_branch_offset_f) @[Cat.scala 29:58] + node _T_393 = cat(_T_392, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_394 = cat(btb_rd_tgt_f, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_395 = bits(_T_393, 12, 1) @[lib.scala 68:24] + node _T_396 = bits(_T_394, 12, 1) @[lib.scala 68:40] + node _T_397 = add(_T_395, _T_396) @[lib.scala 68:31] + node _T_398 = bits(_T_393, 31, 13) @[lib.scala 69:20] + node _T_399 = add(_T_398, UInt<1>("h01")) @[lib.scala 69:27] + node _T_400 = tail(_T_399, 1) @[lib.scala 69:27] + node _T_401 = bits(_T_393, 31, 13) @[lib.scala 70:20] + node _T_402 = sub(_T_401, UInt<1>("h01")) @[lib.scala 70:27] + node _T_403 = tail(_T_402, 1) @[lib.scala 70:27] + node _T_404 = bits(_T_394, 12, 12) @[lib.scala 71:22] + node _T_405 = bits(_T_397, 12, 12) @[lib.scala 72:39] + node _T_406 = eq(_T_405, UInt<1>("h00")) @[lib.scala 72:28] + node _T_407 = xor(_T_404, _T_406) @[lib.scala 72:26] + node _T_408 = bits(_T_407, 0, 0) @[lib.scala 72:64] + node _T_409 = bits(_T_393, 31, 13) @[lib.scala 72:76] + node _T_410 = eq(_T_404, UInt<1>("h00")) @[lib.scala 73:20] + node _T_411 = bits(_T_397, 12, 12) @[lib.scala 73:39] + node _T_412 = and(_T_410, _T_411) @[lib.scala 73:26] + node _T_413 = bits(_T_412, 0, 0) @[lib.scala 73:64] + node _T_414 = bits(_T_397, 12, 12) @[lib.scala 74:39] + node _T_415 = eq(_T_414, UInt<1>("h00")) @[lib.scala 74:28] + node _T_416 = and(_T_404, _T_415) @[lib.scala 74:26] + node _T_417 = bits(_T_416, 0, 0) @[lib.scala 74:64] + node _T_418 = mux(_T_408, _T_409, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_419 = mux(_T_413, _T_400, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_420 = mux(_T_417, _T_403, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_421 = or(_T_418, _T_419) @[Mux.scala 27:72] + node _T_422 = or(_T_421, _T_420) @[Mux.scala 27:72] + wire _T_423 : UInt<19> @[Mux.scala 27:72] + _T_423 <= _T_422 @[Mux.scala 27:72] + node _T_424 = bits(_T_397, 11, 0) @[lib.scala 74:94] + node _T_425 = cat(_T_423, _T_424) @[Cat.scala 29:58] + node bp_btb_target_adder_f = cat(_T_425, UInt<1>("h00")) @[Cat.scala 29:58] + wire rets_out : UInt<32>[8] @[ifu_bp_ctl.scala 353:22] + rets_out[0] <= UInt<1>("h00") @[ifu_bp_ctl.scala 354:12] + rets_out[1] <= UInt<1>("h00") @[ifu_bp_ctl.scala 354:12] + rets_out[2] <= UInt<1>("h00") @[ifu_bp_ctl.scala 354:12] + rets_out[3] <= UInt<1>("h00") @[ifu_bp_ctl.scala 354:12] + rets_out[4] <= UInt<1>("h00") @[ifu_bp_ctl.scala 354:12] + rets_out[5] <= UInt<1>("h00") @[ifu_bp_ctl.scala 354:12] + rets_out[6] <= UInt<1>("h00") @[ifu_bp_ctl.scala 354:12] + rets_out[7] <= UInt<1>("h00") @[ifu_bp_ctl.scala 354:12] + node _T_426 = eq(btb_rd_call_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 357:49] + node _T_427 = and(btb_rd_ret_f, _T_426) @[ifu_bp_ctl.scala 357:47] + node _T_428 = bits(rets_out[0], 0, 0) @[ifu_bp_ctl.scala 357:77] + node _T_429 = and(_T_427, _T_428) @[ifu_bp_ctl.scala 357:64] + node _T_430 = bits(_T_429, 0, 0) @[ifu_bp_ctl.scala 357:82] + node _T_431 = bits(rets_out[0], 31, 1) @[ifu_bp_ctl.scala 358:46] + node _T_432 = bits(bp_btb_target_adder_f, 31, 1) @[ifu_bp_ctl.scala 358:74] + node _T_433 = mux(_T_430, _T_431, _T_432) @[ifu_bp_ctl.scala 357:32] + io.ifu_bp_btb_target_f <= _T_433 @[ifu_bp_ctl.scala 357:26] + node _T_434 = bits(adder_pc_in_f, 29, 0) @[ifu_bp_ctl.scala 361:56] + node _T_435 = cat(_T_434, bp_total_branch_offset_f) @[Cat.scala 29:58] + node _T_436 = cat(_T_435, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_437 = mux(UInt<1>("h00"), UInt<11>("h07ff"), UInt<11>("h00")) @[Bitwise.scala 72:12] + node _T_438 = not(btb_rd_pc4_f) @[ifu_bp_ctl.scala 361:113] + node _T_439 = cat(_T_437, _T_438) @[Cat.scala 29:58] + node _T_440 = cat(_T_439, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_441 = bits(_T_436, 12, 1) @[lib.scala 68:24] + node _T_442 = bits(_T_440, 12, 1) @[lib.scala 68:40] + node _T_443 = add(_T_441, _T_442) @[lib.scala 68:31] + node _T_444 = bits(_T_436, 31, 13) @[lib.scala 69:20] + node _T_445 = add(_T_444, UInt<1>("h01")) @[lib.scala 69:27] + node _T_446 = tail(_T_445, 1) @[lib.scala 69:27] + node _T_447 = bits(_T_436, 31, 13) @[lib.scala 70:20] + node _T_448 = sub(_T_447, UInt<1>("h01")) @[lib.scala 70:27] + node _T_449 = tail(_T_448, 1) @[lib.scala 70:27] + node _T_450 = bits(_T_440, 12, 12) @[lib.scala 71:22] + node _T_451 = bits(_T_443, 12, 12) @[lib.scala 72:39] + node _T_452 = eq(_T_451, UInt<1>("h00")) @[lib.scala 72:28] + node _T_453 = xor(_T_450, _T_452) @[lib.scala 72:26] + node _T_454 = bits(_T_453, 0, 0) @[lib.scala 72:64] + node _T_455 = bits(_T_436, 31, 13) @[lib.scala 72:76] + node _T_456 = eq(_T_450, UInt<1>("h00")) @[lib.scala 73:20] + node _T_457 = bits(_T_443, 12, 12) @[lib.scala 73:39] + node _T_458 = and(_T_456, _T_457) @[lib.scala 73:26] + node _T_459 = bits(_T_458, 0, 0) @[lib.scala 73:64] + node _T_460 = bits(_T_443, 12, 12) @[lib.scala 74:39] + node _T_461 = eq(_T_460, UInt<1>("h00")) @[lib.scala 74:28] + node _T_462 = and(_T_450, _T_461) @[lib.scala 74:26] + node _T_463 = bits(_T_462, 0, 0) @[lib.scala 74:64] + node _T_464 = mux(_T_454, _T_455, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_465 = mux(_T_459, _T_446, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_466 = mux(_T_463, _T_449, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_467 = or(_T_464, _T_465) @[Mux.scala 27:72] + node _T_468 = or(_T_467, _T_466) @[Mux.scala 27:72] + wire _T_469 : UInt<19> @[Mux.scala 27:72] + _T_469 <= _T_468 @[Mux.scala 27:72] + node _T_470 = bits(_T_443, 11, 0) @[lib.scala 74:94] + node _T_471 = cat(_T_469, _T_470) @[Cat.scala 29:58] + node bp_rs_call_target_f = cat(_T_471, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_472 = eq(btb_rd_ret_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 363:33] + node _T_473 = and(btb_rd_call_f, _T_472) @[ifu_bp_ctl.scala 363:31] + node rs_push = and(_T_473, io.ifu_bp_hit_taken_f) @[ifu_bp_ctl.scala 363:47] + node _T_474 = eq(btb_rd_call_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 364:31] + node _T_475 = and(btb_rd_ret_f, _T_474) @[ifu_bp_ctl.scala 364:29] + node rs_pop = and(_T_475, io.ifu_bp_hit_taken_f) @[ifu_bp_ctl.scala 364:46] + node _T_476 = eq(rs_push, UInt<1>("h00")) @[ifu_bp_ctl.scala 365:17] + node _T_477 = eq(rs_pop, UInt<1>("h00")) @[ifu_bp_ctl.scala 365:28] + node rs_hold = and(_T_476, _T_477) @[ifu_bp_ctl.scala 365:26] + node rsenable_0 = eq(rs_hold, UInt<1>("h00")) @[ifu_bp_ctl.scala 367:60] + node rsenable_1 = or(rs_push, rs_pop) @[ifu_bp_ctl.scala 367:119] + node rsenable_2 = or(rs_push, rs_pop) @[ifu_bp_ctl.scala 367:119] + node rsenable_3 = or(rs_push, rs_pop) @[ifu_bp_ctl.scala 367:119] + node rsenable_4 = or(rs_push, rs_pop) @[ifu_bp_ctl.scala 367:119] + node rsenable_5 = or(rs_push, rs_pop) @[ifu_bp_ctl.scala 367:119] + node rsenable_6 = or(rs_push, rs_pop) @[ifu_bp_ctl.scala 367:119] + node _T_478 = bits(rs_push, 0, 0) @[ifu_bp_ctl.scala 371:23] + node _T_479 = bits(bp_rs_call_target_f, 31, 1) @[ifu_bp_ctl.scala 371:56] + node _T_480 = cat(_T_479, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_481 = bits(rs_pop, 0, 0) @[ifu_bp_ctl.scala 372:22] + node _T_482 = mux(_T_478, _T_480, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_483 = mux(_T_481, rets_out[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_484 = or(_T_482, _T_483) @[Mux.scala 27:72] + wire rets_in_0 : UInt<32> @[Mux.scala 27:72] + rets_in_0 <= _T_484 @[Mux.scala 27:72] + node _T_485 = bits(rs_push, 0, 0) @[ifu_bp_ctl.scala 374:28] + node _T_486 = bits(rs_pop, 0, 0) @[ifu_bp_ctl.scala 375:27] + node _T_487 = mux(_T_485, rets_out[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_488 = mux(_T_486, rets_out[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_489 = or(_T_487, _T_488) @[Mux.scala 27:72] + wire rets_in_1 : UInt<32> @[Mux.scala 27:72] + rets_in_1 <= _T_489 @[Mux.scala 27:72] + node _T_490 = bits(rs_push, 0, 0) @[ifu_bp_ctl.scala 374:28] + node _T_491 = bits(rs_pop, 0, 0) @[ifu_bp_ctl.scala 375:27] + node _T_492 = mux(_T_490, rets_out[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_493 = mux(_T_491, rets_out[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_494 = or(_T_492, _T_493) @[Mux.scala 27:72] + wire rets_in_2 : UInt<32> @[Mux.scala 27:72] + rets_in_2 <= _T_494 @[Mux.scala 27:72] + node _T_495 = bits(rs_push, 0, 0) @[ifu_bp_ctl.scala 374:28] + node _T_496 = bits(rs_pop, 0, 0) @[ifu_bp_ctl.scala 375:27] + node _T_497 = mux(_T_495, rets_out[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_498 = mux(_T_496, rets_out[4], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_499 = or(_T_497, _T_498) @[Mux.scala 27:72] + wire rets_in_3 : UInt<32> @[Mux.scala 27:72] + rets_in_3 <= _T_499 @[Mux.scala 27:72] + node _T_500 = bits(rs_push, 0, 0) @[ifu_bp_ctl.scala 374:28] + node _T_501 = bits(rs_pop, 0, 0) @[ifu_bp_ctl.scala 375:27] + node _T_502 = mux(_T_500, rets_out[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_503 = mux(_T_501, rets_out[5], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_504 = or(_T_502, _T_503) @[Mux.scala 27:72] + wire rets_in_4 : UInt<32> @[Mux.scala 27:72] + rets_in_4 <= _T_504 @[Mux.scala 27:72] + node _T_505 = bits(rs_push, 0, 0) @[ifu_bp_ctl.scala 374:28] + node _T_506 = bits(rs_pop, 0, 0) @[ifu_bp_ctl.scala 375:27] + node _T_507 = mux(_T_505, rets_out[4], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_508 = mux(_T_506, rets_out[6], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_509 = or(_T_507, _T_508) @[Mux.scala 27:72] + wire rets_in_5 : UInt<32> @[Mux.scala 27:72] + rets_in_5 <= _T_509 @[Mux.scala 27:72] + node _T_510 = bits(rs_push, 0, 0) @[ifu_bp_ctl.scala 374:28] + node _T_511 = bits(rs_pop, 0, 0) @[ifu_bp_ctl.scala 375:27] + node _T_512 = mux(_T_510, rets_out[5], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_513 = mux(_T_511, rets_out[7], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_514 = or(_T_512, _T_513) @[Mux.scala 27:72] + wire rets_in_6 : UInt<32> @[Mux.scala 27:72] + rets_in_6 <= _T_514 @[Mux.scala 27:72] + node _T_515 = bits(rsenable_0, 0, 0) @[ifu_bp_ctl.scala 378:78] + inst rvclkhdr_2 of rvclkhdr_96 @[lib.scala 368:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_2.io.en <= _T_515 @[lib.scala 371:17] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_516 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_516 <= rets_in_0 @[lib.scala 374:16] + node _T_517 = bits(rsenable_1, 0, 0) @[ifu_bp_ctl.scala 378:78] + inst rvclkhdr_3 of rvclkhdr_97 @[lib.scala 368:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_3.io.en <= _T_517 @[lib.scala 371:17] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_518 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_518 <= rets_in_1 @[lib.scala 374:16] + node _T_519 = bits(rsenable_2, 0, 0) @[ifu_bp_ctl.scala 378:78] + inst rvclkhdr_4 of rvclkhdr_98 @[lib.scala 368:23] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_4.io.en <= _T_519 @[lib.scala 371:17] + rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_520 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_520 <= rets_in_2 @[lib.scala 374:16] + node _T_521 = bits(rsenable_3, 0, 0) @[ifu_bp_ctl.scala 378:78] + inst rvclkhdr_5 of rvclkhdr_99 @[lib.scala 368:23] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_5.io.en <= _T_521 @[lib.scala 371:17] + rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_522 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_522 <= rets_in_3 @[lib.scala 374:16] + node _T_523 = bits(rsenable_4, 0, 0) @[ifu_bp_ctl.scala 378:78] + inst rvclkhdr_6 of rvclkhdr_100 @[lib.scala 368:23] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= reset + rvclkhdr_6.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_6.io.en <= _T_523 @[lib.scala 371:17] + rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_524 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_524 <= rets_in_4 @[lib.scala 374:16] + node _T_525 = bits(rsenable_5, 0, 0) @[ifu_bp_ctl.scala 378:78] + inst rvclkhdr_7 of rvclkhdr_101 @[lib.scala 368:23] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= reset + rvclkhdr_7.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_7.io.en <= _T_525 @[lib.scala 371:17] + rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_526 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_526 <= rets_in_5 @[lib.scala 374:16] + node _T_527 = bits(rsenable_6, 0, 0) @[ifu_bp_ctl.scala 378:78] + inst rvclkhdr_8 of rvclkhdr_102 @[lib.scala 368:23] + rvclkhdr_8.clock <= clock + rvclkhdr_8.reset <= reset + rvclkhdr_8.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_8.io.en <= _T_527 @[lib.scala 371:17] + rvclkhdr_8.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_528 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_528 <= rets_in_6 @[lib.scala 374:16] + node _T_529 = bits(rs_push, 0, 0) @[ifu_bp_ctl.scala 378:78] + inst rvclkhdr_9 of rvclkhdr_103 @[lib.scala 368:23] + rvclkhdr_9.clock <= clock + rvclkhdr_9.reset <= reset + rvclkhdr_9.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_9.io.en <= _T_529 @[lib.scala 371:17] + rvclkhdr_9.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_530 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_530 <= rets_out[6] @[lib.scala 374:16] + rets_out[0] <= _T_516 @[ifu_bp_ctl.scala 378:12] + rets_out[1] <= _T_518 @[ifu_bp_ctl.scala 378:12] + rets_out[2] <= _T_520 @[ifu_bp_ctl.scala 378:12] + rets_out[3] <= _T_522 @[ifu_bp_ctl.scala 378:12] + rets_out[4] <= _T_524 @[ifu_bp_ctl.scala 378:12] + rets_out[5] <= _T_526 @[ifu_bp_ctl.scala 378:12] + rets_out[6] <= _T_528 @[ifu_bp_ctl.scala 378:12] + rets_out[7] <= _T_530 @[ifu_bp_ctl.scala 378:12] + node _T_531 = eq(dec_tlu_error_wb, UInt<1>("h00")) @[ifu_bp_ctl.scala 380:35] + node btb_valid = and(exu_mp_valid, _T_531) @[ifu_bp_ctl.scala 380:32] + node _T_532 = or(io.exu_bp.exu_mp_pkt.bits.pcall, io.exu_bp.exu_mp_pkt.bits.pja) @[ifu_bp_ctl.scala 384:89] + node _T_533 = or(io.exu_bp.exu_mp_pkt.bits.pret, io.exu_bp.exu_mp_pkt.bits.pja) @[ifu_bp_ctl.scala 384:113] + node _T_534 = cat(_T_532, _T_533) @[Cat.scala 29:58] + node _T_535 = cat(_T_534, btb_valid) @[Cat.scala 29:58] + node _T_536 = cat(io.exu_bp.exu_mp_pkt.bits.pc4, io.exu_bp.exu_mp_pkt.bits.boffset) @[Cat.scala 29:58] + node _T_537 = cat(io.exu_bp.exu_mp_btag, io.exu_bp.exu_mp_pkt.bits.toffset) @[Cat.scala 29:58] + node _T_538 = cat(_T_537, _T_536) @[Cat.scala 29:58] + node btb_wr_data = cat(_T_538, _T_535) @[Cat.scala 29:58] + node exu_mp_valid_write = and(exu_mp_valid, io.exu_bp.exu_mp_pkt.bits.ataken) @[ifu_bp_ctl.scala 385:41] + node _T_539 = eq(io.exu_bp.exu_mp_pkt.bits.way, UInt<1>("h00")) @[ifu_bp_ctl.scala 388:26] + node _T_540 = and(_T_539, exu_mp_valid_write) @[ifu_bp_ctl.scala 388:39] + node _T_541 = eq(dec_tlu_error_wb, UInt<1>("h00")) @[ifu_bp_ctl.scala 388:63] + node _T_542 = and(_T_540, _T_541) @[ifu_bp_ctl.scala 388:60] + node _T_543 = eq(dec_tlu_way_wb, UInt<1>("h00")) @[ifu_bp_ctl.scala 388:87] + node _T_544 = and(_T_543, dec_tlu_error_wb) @[ifu_bp_ctl.scala 388:104] + node btb_wr_en_way0 = or(_T_542, _T_544) @[ifu_bp_ctl.scala 388:83] + node _T_545 = and(io.exu_bp.exu_mp_pkt.bits.way, exu_mp_valid_write) @[ifu_bp_ctl.scala 389:36] + node _T_546 = eq(dec_tlu_error_wb, UInt<1>("h00")) @[ifu_bp_ctl.scala 389:60] + node _T_547 = and(_T_545, _T_546) @[ifu_bp_ctl.scala 389:57] + node _T_548 = and(dec_tlu_way_wb, dec_tlu_error_wb) @[ifu_bp_ctl.scala 389:98] + node btb_wr_en_way1 = or(_T_547, _T_548) @[ifu_bp_ctl.scala 389:80] + node _T_549 = bits(dec_tlu_error_wb, 0, 0) @[ifu_bp_ctl.scala 392:42] + node btb_wr_addr = mux(_T_549, btb_error_addr_wb, io.exu_bp.exu_mp_index) @[ifu_bp_ctl.scala 392:24] + node middle_of_bank = xor(io.exu_bp.exu_mp_pkt.bits.pc4, io.exu_bp.exu_mp_pkt.bits.boffset) @[ifu_bp_ctl.scala 393:35] + node _T_550 = eq(io.exu_bp.exu_mp_pkt.bits.pcall, UInt<1>("h00")) @[ifu_bp_ctl.scala 396:43] + node _T_551 = and(exu_mp_valid, _T_550) @[ifu_bp_ctl.scala 396:41] + node _T_552 = eq(io.exu_bp.exu_mp_pkt.bits.pret, UInt<1>("h00")) @[ifu_bp_ctl.scala 396:58] + node _T_553 = and(_T_551, _T_552) @[ifu_bp_ctl.scala 396:56] + node _T_554 = eq(io.exu_bp.exu_mp_pkt.bits.pja, UInt<1>("h00")) @[ifu_bp_ctl.scala 396:72] + node _T_555 = and(_T_553, _T_554) @[ifu_bp_ctl.scala 396:70] + node _T_556 = bits(_T_555, 0, 0) @[Bitwise.scala 72:15] + node _T_557 = mux(_T_556, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_558 = not(middle_of_bank) @[ifu_bp_ctl.scala 396:106] + node _T_559 = cat(middle_of_bank, _T_558) @[Cat.scala 29:58] + node bht_wr_en0 = and(_T_557, _T_559) @[ifu_bp_ctl.scala 396:84] + node _T_560 = bits(io.dec_bp.dec_tlu_br0_r_pkt.valid, 0, 0) @[Bitwise.scala 72:15] + node _T_561 = mux(_T_560, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_562 = not(io.dec_bp.dec_tlu_br0_r_pkt.bits.middle) @[ifu_bp_ctl.scala 397:75] + node _T_563 = cat(io.dec_bp.dec_tlu_br0_r_pkt.bits.middle, _T_562) @[Cat.scala 29:58] + node bht_wr_en2 = and(_T_561, _T_563) @[ifu_bp_ctl.scala 397:46] + node _T_564 = cat(io.exu_bp.exu_mp_index, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_565 = bits(_T_564, 9, 2) @[lib.scala 56:16] + node _T_566 = bits(io.exu_bp.exu_mp_eghr, 7, 0) @[lib.scala 56:40] + node bht_wr_addr0 = xor(_T_565, _T_566) @[lib.scala 56:35] + node _T_567 = cat(io.exu_bp.exu_i0_br_index_r, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_568 = bits(_T_567, 9, 2) @[lib.scala 56:16] + node _T_569 = bits(io.exu_bp.exu_i0_br_fghr_r, 7, 0) @[lib.scala 56:40] + node bht_wr_addr2 = xor(_T_568, _T_569) @[lib.scala 56:35] + node _T_570 = cat(btb_rd_addr_f, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_571 = bits(_T_570, 9, 2) @[lib.scala 56:16] + node _T_572 = bits(fghr, 7, 0) @[lib.scala 56:40] + node bht_rd_addr_f = xor(_T_571, _T_572) @[lib.scala 56:35] + node _T_573 = cat(btb_rd_addr_p1_f, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_574 = bits(_T_573, 9, 2) @[lib.scala 56:16] + node _T_575 = bits(fghr, 7, 0) @[lib.scala 56:40] + node bht_rd_addr_hashed_p1_f = xor(_T_574, _T_575) @[lib.scala 56:35] + node _T_576 = eq(btb_wr_addr, UInt<1>("h00")) @[ifu_bp_ctl.scala 415:95] + node _T_577 = and(_T_576, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_578 = bits(_T_577, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_10 of rvclkhdr_104 @[lib.scala 368:23] + rvclkhdr_10.clock <= clock + rvclkhdr_10.reset <= reset + rvclkhdr_10.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_10.io.en <= _T_578 @[lib.scala 371:17] + rvclkhdr_10.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_0 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_0 <= btb_wr_data @[lib.scala 374:16] + node _T_579 = eq(btb_wr_addr, UInt<1>("h01")) @[ifu_bp_ctl.scala 415:95] + node _T_580 = and(_T_579, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_581 = bits(_T_580, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_11 of rvclkhdr_105 @[lib.scala 368:23] + rvclkhdr_11.clock <= clock + rvclkhdr_11.reset <= reset + rvclkhdr_11.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_11.io.en <= _T_581 @[lib.scala 371:17] + rvclkhdr_11.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_1 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_1 <= btb_wr_data @[lib.scala 374:16] + node _T_582 = eq(btb_wr_addr, UInt<2>("h02")) @[ifu_bp_ctl.scala 415:95] + node _T_583 = and(_T_582, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_584 = bits(_T_583, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_12 of rvclkhdr_106 @[lib.scala 368:23] + rvclkhdr_12.clock <= clock + rvclkhdr_12.reset <= reset + rvclkhdr_12.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_12.io.en <= _T_584 @[lib.scala 371:17] + rvclkhdr_12.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_2 : UInt, rvclkhdr_12.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_2 <= btb_wr_data @[lib.scala 374:16] + node _T_585 = eq(btb_wr_addr, UInt<2>("h03")) @[ifu_bp_ctl.scala 415:95] + node _T_586 = and(_T_585, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_587 = bits(_T_586, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_13 of rvclkhdr_107 @[lib.scala 368:23] + rvclkhdr_13.clock <= clock + rvclkhdr_13.reset <= reset + rvclkhdr_13.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_13.io.en <= _T_587 @[lib.scala 371:17] + rvclkhdr_13.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_3 : UInt, rvclkhdr_13.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_3 <= btb_wr_data @[lib.scala 374:16] + node _T_588 = eq(btb_wr_addr, UInt<3>("h04")) @[ifu_bp_ctl.scala 415:95] + node _T_589 = and(_T_588, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_590 = bits(_T_589, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_14 of rvclkhdr_108 @[lib.scala 368:23] + rvclkhdr_14.clock <= clock + rvclkhdr_14.reset <= reset + rvclkhdr_14.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_14.io.en <= _T_590 @[lib.scala 371:17] + rvclkhdr_14.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_4 : UInt, rvclkhdr_14.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_4 <= btb_wr_data @[lib.scala 374:16] + node _T_591 = eq(btb_wr_addr, UInt<3>("h05")) @[ifu_bp_ctl.scala 415:95] + node _T_592 = and(_T_591, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_593 = bits(_T_592, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_15 of rvclkhdr_109 @[lib.scala 368:23] + rvclkhdr_15.clock <= clock + rvclkhdr_15.reset <= reset + rvclkhdr_15.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_15.io.en <= _T_593 @[lib.scala 371:17] + rvclkhdr_15.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_5 : UInt, rvclkhdr_15.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_5 <= btb_wr_data @[lib.scala 374:16] + node _T_594 = eq(btb_wr_addr, UInt<3>("h06")) @[ifu_bp_ctl.scala 415:95] + node _T_595 = and(_T_594, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_596 = bits(_T_595, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_16 of rvclkhdr_110 @[lib.scala 368:23] + rvclkhdr_16.clock <= clock + rvclkhdr_16.reset <= reset + rvclkhdr_16.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_16.io.en <= _T_596 @[lib.scala 371:17] + rvclkhdr_16.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_6 : UInt, rvclkhdr_16.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_6 <= btb_wr_data @[lib.scala 374:16] + node _T_597 = eq(btb_wr_addr, UInt<3>("h07")) @[ifu_bp_ctl.scala 415:95] + node _T_598 = and(_T_597, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_599 = bits(_T_598, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_17 of rvclkhdr_111 @[lib.scala 368:23] + rvclkhdr_17.clock <= clock + rvclkhdr_17.reset <= reset + rvclkhdr_17.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_17.io.en <= _T_599 @[lib.scala 371:17] + rvclkhdr_17.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_7 : UInt, rvclkhdr_17.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_7 <= btb_wr_data @[lib.scala 374:16] + node _T_600 = eq(btb_wr_addr, UInt<4>("h08")) @[ifu_bp_ctl.scala 415:95] + node _T_601 = and(_T_600, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_602 = bits(_T_601, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_18 of rvclkhdr_112 @[lib.scala 368:23] + rvclkhdr_18.clock <= clock + rvclkhdr_18.reset <= reset + rvclkhdr_18.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_18.io.en <= _T_602 @[lib.scala 371:17] + rvclkhdr_18.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_8 : UInt, rvclkhdr_18.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_8 <= btb_wr_data @[lib.scala 374:16] + node _T_603 = eq(btb_wr_addr, UInt<4>("h09")) @[ifu_bp_ctl.scala 415:95] + node _T_604 = and(_T_603, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_605 = bits(_T_604, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_19 of rvclkhdr_113 @[lib.scala 368:23] + rvclkhdr_19.clock <= clock + rvclkhdr_19.reset <= reset + rvclkhdr_19.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_19.io.en <= _T_605 @[lib.scala 371:17] + rvclkhdr_19.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_9 : UInt, rvclkhdr_19.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_9 <= btb_wr_data @[lib.scala 374:16] + node _T_606 = eq(btb_wr_addr, UInt<4>("h0a")) @[ifu_bp_ctl.scala 415:95] + node _T_607 = and(_T_606, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_608 = bits(_T_607, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_20 of rvclkhdr_114 @[lib.scala 368:23] + rvclkhdr_20.clock <= clock + rvclkhdr_20.reset <= reset + rvclkhdr_20.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_20.io.en <= _T_608 @[lib.scala 371:17] + rvclkhdr_20.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_10 : UInt, rvclkhdr_20.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_10 <= btb_wr_data @[lib.scala 374:16] + node _T_609 = eq(btb_wr_addr, UInt<4>("h0b")) @[ifu_bp_ctl.scala 415:95] + node _T_610 = and(_T_609, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_611 = bits(_T_610, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_21 of rvclkhdr_115 @[lib.scala 368:23] + rvclkhdr_21.clock <= clock + rvclkhdr_21.reset <= reset + rvclkhdr_21.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_21.io.en <= _T_611 @[lib.scala 371:17] + rvclkhdr_21.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_11 : UInt, rvclkhdr_21.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_11 <= btb_wr_data @[lib.scala 374:16] + node _T_612 = eq(btb_wr_addr, UInt<4>("h0c")) @[ifu_bp_ctl.scala 415:95] + node _T_613 = and(_T_612, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_614 = bits(_T_613, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_22 of rvclkhdr_116 @[lib.scala 368:23] + rvclkhdr_22.clock <= clock + rvclkhdr_22.reset <= reset + rvclkhdr_22.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_22.io.en <= _T_614 @[lib.scala 371:17] + rvclkhdr_22.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_12 : UInt, rvclkhdr_22.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_12 <= btb_wr_data @[lib.scala 374:16] + node _T_615 = eq(btb_wr_addr, UInt<4>("h0d")) @[ifu_bp_ctl.scala 415:95] + node _T_616 = and(_T_615, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_617 = bits(_T_616, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_23 of rvclkhdr_117 @[lib.scala 368:23] + rvclkhdr_23.clock <= clock + rvclkhdr_23.reset <= reset + rvclkhdr_23.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_23.io.en <= _T_617 @[lib.scala 371:17] + rvclkhdr_23.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_13 : UInt, rvclkhdr_23.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_13 <= btb_wr_data @[lib.scala 374:16] + node _T_618 = eq(btb_wr_addr, UInt<4>("h0e")) @[ifu_bp_ctl.scala 415:95] + node _T_619 = and(_T_618, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_620 = bits(_T_619, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_24 of rvclkhdr_118 @[lib.scala 368:23] + rvclkhdr_24.clock <= clock + rvclkhdr_24.reset <= reset + rvclkhdr_24.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_24.io.en <= _T_620 @[lib.scala 371:17] + rvclkhdr_24.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_14 : UInt, rvclkhdr_24.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_14 <= btb_wr_data @[lib.scala 374:16] + node _T_621 = eq(btb_wr_addr, UInt<4>("h0f")) @[ifu_bp_ctl.scala 415:95] + node _T_622 = and(_T_621, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_623 = bits(_T_622, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_25 of rvclkhdr_119 @[lib.scala 368:23] + rvclkhdr_25.clock <= clock + rvclkhdr_25.reset <= reset + rvclkhdr_25.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_25.io.en <= _T_623 @[lib.scala 371:17] + rvclkhdr_25.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_15 : UInt, rvclkhdr_25.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_15 <= btb_wr_data @[lib.scala 374:16] + node _T_624 = eq(btb_wr_addr, UInt<5>("h010")) @[ifu_bp_ctl.scala 415:95] + node _T_625 = and(_T_624, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_626 = bits(_T_625, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_26 of rvclkhdr_120 @[lib.scala 368:23] + rvclkhdr_26.clock <= clock + rvclkhdr_26.reset <= reset + rvclkhdr_26.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_26.io.en <= _T_626 @[lib.scala 371:17] + rvclkhdr_26.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_16 : UInt, rvclkhdr_26.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_16 <= btb_wr_data @[lib.scala 374:16] + node _T_627 = eq(btb_wr_addr, UInt<5>("h011")) @[ifu_bp_ctl.scala 415:95] + node _T_628 = and(_T_627, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_629 = bits(_T_628, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_27 of rvclkhdr_121 @[lib.scala 368:23] + rvclkhdr_27.clock <= clock + rvclkhdr_27.reset <= reset + rvclkhdr_27.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_27.io.en <= _T_629 @[lib.scala 371:17] + rvclkhdr_27.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_17 : UInt, rvclkhdr_27.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_17 <= btb_wr_data @[lib.scala 374:16] + node _T_630 = eq(btb_wr_addr, UInt<5>("h012")) @[ifu_bp_ctl.scala 415:95] + node _T_631 = and(_T_630, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_632 = bits(_T_631, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_28 of rvclkhdr_122 @[lib.scala 368:23] + rvclkhdr_28.clock <= clock + rvclkhdr_28.reset <= reset + rvclkhdr_28.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_28.io.en <= _T_632 @[lib.scala 371:17] + rvclkhdr_28.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_18 : UInt, rvclkhdr_28.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_18 <= btb_wr_data @[lib.scala 374:16] + node _T_633 = eq(btb_wr_addr, UInt<5>("h013")) @[ifu_bp_ctl.scala 415:95] + node _T_634 = and(_T_633, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_635 = bits(_T_634, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_29 of rvclkhdr_123 @[lib.scala 368:23] + rvclkhdr_29.clock <= clock + rvclkhdr_29.reset <= reset + rvclkhdr_29.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_29.io.en <= _T_635 @[lib.scala 371:17] + rvclkhdr_29.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_19 : UInt, rvclkhdr_29.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_19 <= btb_wr_data @[lib.scala 374:16] + node _T_636 = eq(btb_wr_addr, UInt<5>("h014")) @[ifu_bp_ctl.scala 415:95] + node _T_637 = and(_T_636, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_638 = bits(_T_637, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_30 of rvclkhdr_124 @[lib.scala 368:23] + rvclkhdr_30.clock <= clock + rvclkhdr_30.reset <= reset + rvclkhdr_30.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_30.io.en <= _T_638 @[lib.scala 371:17] + rvclkhdr_30.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_20 : UInt, rvclkhdr_30.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_20 <= btb_wr_data @[lib.scala 374:16] + node _T_639 = eq(btb_wr_addr, UInt<5>("h015")) @[ifu_bp_ctl.scala 415:95] + node _T_640 = and(_T_639, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_641 = bits(_T_640, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_31 of rvclkhdr_125 @[lib.scala 368:23] + rvclkhdr_31.clock <= clock + rvclkhdr_31.reset <= reset + rvclkhdr_31.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_31.io.en <= _T_641 @[lib.scala 371:17] + rvclkhdr_31.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_21 : UInt, rvclkhdr_31.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_21 <= btb_wr_data @[lib.scala 374:16] + node _T_642 = eq(btb_wr_addr, UInt<5>("h016")) @[ifu_bp_ctl.scala 415:95] + node _T_643 = and(_T_642, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_644 = bits(_T_643, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_32 of rvclkhdr_126 @[lib.scala 368:23] + rvclkhdr_32.clock <= clock + rvclkhdr_32.reset <= reset + rvclkhdr_32.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_32.io.en <= _T_644 @[lib.scala 371:17] + rvclkhdr_32.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_22 : UInt, rvclkhdr_32.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_22 <= btb_wr_data @[lib.scala 374:16] + node _T_645 = eq(btb_wr_addr, UInt<5>("h017")) @[ifu_bp_ctl.scala 415:95] + node _T_646 = and(_T_645, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_647 = bits(_T_646, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_33 of rvclkhdr_127 @[lib.scala 368:23] + rvclkhdr_33.clock <= clock + rvclkhdr_33.reset <= reset + rvclkhdr_33.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_33.io.en <= _T_647 @[lib.scala 371:17] + rvclkhdr_33.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_23 : UInt, rvclkhdr_33.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_23 <= btb_wr_data @[lib.scala 374:16] + node _T_648 = eq(btb_wr_addr, UInt<5>("h018")) @[ifu_bp_ctl.scala 415:95] + node _T_649 = and(_T_648, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_650 = bits(_T_649, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_34 of rvclkhdr_128 @[lib.scala 368:23] + rvclkhdr_34.clock <= clock + rvclkhdr_34.reset <= reset + rvclkhdr_34.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_34.io.en <= _T_650 @[lib.scala 371:17] + rvclkhdr_34.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_24 : UInt, rvclkhdr_34.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_24 <= btb_wr_data @[lib.scala 374:16] + node _T_651 = eq(btb_wr_addr, UInt<5>("h019")) @[ifu_bp_ctl.scala 415:95] + node _T_652 = and(_T_651, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_653 = bits(_T_652, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_35 of rvclkhdr_129 @[lib.scala 368:23] + rvclkhdr_35.clock <= clock + rvclkhdr_35.reset <= reset + rvclkhdr_35.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_35.io.en <= _T_653 @[lib.scala 371:17] + rvclkhdr_35.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_25 : UInt, rvclkhdr_35.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_25 <= btb_wr_data @[lib.scala 374:16] + node _T_654 = eq(btb_wr_addr, UInt<5>("h01a")) @[ifu_bp_ctl.scala 415:95] + node _T_655 = and(_T_654, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_656 = bits(_T_655, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_36 of rvclkhdr_130 @[lib.scala 368:23] + rvclkhdr_36.clock <= clock + rvclkhdr_36.reset <= reset + rvclkhdr_36.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_36.io.en <= _T_656 @[lib.scala 371:17] + rvclkhdr_36.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_26 : UInt, rvclkhdr_36.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_26 <= btb_wr_data @[lib.scala 374:16] + node _T_657 = eq(btb_wr_addr, UInt<5>("h01b")) @[ifu_bp_ctl.scala 415:95] + node _T_658 = and(_T_657, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_659 = bits(_T_658, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_37 of rvclkhdr_131 @[lib.scala 368:23] + rvclkhdr_37.clock <= clock + rvclkhdr_37.reset <= reset + rvclkhdr_37.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_37.io.en <= _T_659 @[lib.scala 371:17] + rvclkhdr_37.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_27 : UInt, rvclkhdr_37.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_27 <= btb_wr_data @[lib.scala 374:16] + node _T_660 = eq(btb_wr_addr, UInt<5>("h01c")) @[ifu_bp_ctl.scala 415:95] + node _T_661 = and(_T_660, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_662 = bits(_T_661, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_38 of rvclkhdr_132 @[lib.scala 368:23] + rvclkhdr_38.clock <= clock + rvclkhdr_38.reset <= reset + rvclkhdr_38.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_38.io.en <= _T_662 @[lib.scala 371:17] + rvclkhdr_38.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_28 : UInt, rvclkhdr_38.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_28 <= btb_wr_data @[lib.scala 374:16] + node _T_663 = eq(btb_wr_addr, UInt<5>("h01d")) @[ifu_bp_ctl.scala 415:95] + node _T_664 = and(_T_663, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_665 = bits(_T_664, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_39 of rvclkhdr_133 @[lib.scala 368:23] + rvclkhdr_39.clock <= clock + rvclkhdr_39.reset <= reset + rvclkhdr_39.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_39.io.en <= _T_665 @[lib.scala 371:17] + rvclkhdr_39.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_29 : UInt, rvclkhdr_39.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_29 <= btb_wr_data @[lib.scala 374:16] + node _T_666 = eq(btb_wr_addr, UInt<5>("h01e")) @[ifu_bp_ctl.scala 415:95] + node _T_667 = and(_T_666, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_668 = bits(_T_667, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_40 of rvclkhdr_134 @[lib.scala 368:23] + rvclkhdr_40.clock <= clock + rvclkhdr_40.reset <= reset + rvclkhdr_40.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_40.io.en <= _T_668 @[lib.scala 371:17] + rvclkhdr_40.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_30 : UInt, rvclkhdr_40.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_30 <= btb_wr_data @[lib.scala 374:16] + node _T_669 = eq(btb_wr_addr, UInt<5>("h01f")) @[ifu_bp_ctl.scala 415:95] + node _T_670 = and(_T_669, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_671 = bits(_T_670, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_41 of rvclkhdr_135 @[lib.scala 368:23] + rvclkhdr_41.clock <= clock + rvclkhdr_41.reset <= reset + rvclkhdr_41.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_41.io.en <= _T_671 @[lib.scala 371:17] + rvclkhdr_41.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_31 : UInt, rvclkhdr_41.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_31 <= btb_wr_data @[lib.scala 374:16] + node _T_672 = eq(btb_wr_addr, UInt<6>("h020")) @[ifu_bp_ctl.scala 415:95] + node _T_673 = and(_T_672, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_674 = bits(_T_673, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_42 of rvclkhdr_136 @[lib.scala 368:23] + rvclkhdr_42.clock <= clock + rvclkhdr_42.reset <= reset + rvclkhdr_42.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_42.io.en <= _T_674 @[lib.scala 371:17] + rvclkhdr_42.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_32 : UInt, rvclkhdr_42.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_32 <= btb_wr_data @[lib.scala 374:16] + node _T_675 = eq(btb_wr_addr, UInt<6>("h021")) @[ifu_bp_ctl.scala 415:95] + node _T_676 = and(_T_675, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_677 = bits(_T_676, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_43 of rvclkhdr_137 @[lib.scala 368:23] + rvclkhdr_43.clock <= clock + rvclkhdr_43.reset <= reset + rvclkhdr_43.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_43.io.en <= _T_677 @[lib.scala 371:17] + rvclkhdr_43.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_33 : UInt, rvclkhdr_43.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_33 <= btb_wr_data @[lib.scala 374:16] + node _T_678 = eq(btb_wr_addr, UInt<6>("h022")) @[ifu_bp_ctl.scala 415:95] + node _T_679 = and(_T_678, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_680 = bits(_T_679, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_44 of rvclkhdr_138 @[lib.scala 368:23] + rvclkhdr_44.clock <= clock + rvclkhdr_44.reset <= reset + rvclkhdr_44.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_44.io.en <= _T_680 @[lib.scala 371:17] + rvclkhdr_44.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_34 : UInt, rvclkhdr_44.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_34 <= btb_wr_data @[lib.scala 374:16] + node _T_681 = eq(btb_wr_addr, UInt<6>("h023")) @[ifu_bp_ctl.scala 415:95] + node _T_682 = and(_T_681, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_683 = bits(_T_682, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_45 of rvclkhdr_139 @[lib.scala 368:23] + rvclkhdr_45.clock <= clock + rvclkhdr_45.reset <= reset + rvclkhdr_45.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_45.io.en <= _T_683 @[lib.scala 371:17] + rvclkhdr_45.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_35 : UInt, rvclkhdr_45.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_35 <= btb_wr_data @[lib.scala 374:16] + node _T_684 = eq(btb_wr_addr, UInt<6>("h024")) @[ifu_bp_ctl.scala 415:95] + node _T_685 = and(_T_684, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_686 = bits(_T_685, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_46 of rvclkhdr_140 @[lib.scala 368:23] + rvclkhdr_46.clock <= clock + rvclkhdr_46.reset <= reset + rvclkhdr_46.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_46.io.en <= _T_686 @[lib.scala 371:17] + rvclkhdr_46.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_36 : UInt, rvclkhdr_46.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_36 <= btb_wr_data @[lib.scala 374:16] + node _T_687 = eq(btb_wr_addr, UInt<6>("h025")) @[ifu_bp_ctl.scala 415:95] + node _T_688 = and(_T_687, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_689 = bits(_T_688, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_47 of rvclkhdr_141 @[lib.scala 368:23] + rvclkhdr_47.clock <= clock + rvclkhdr_47.reset <= reset + rvclkhdr_47.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_47.io.en <= _T_689 @[lib.scala 371:17] + rvclkhdr_47.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_37 : UInt, rvclkhdr_47.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_37 <= btb_wr_data @[lib.scala 374:16] + node _T_690 = eq(btb_wr_addr, UInt<6>("h026")) @[ifu_bp_ctl.scala 415:95] + node _T_691 = and(_T_690, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_692 = bits(_T_691, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_48 of rvclkhdr_142 @[lib.scala 368:23] + rvclkhdr_48.clock <= clock + rvclkhdr_48.reset <= reset + rvclkhdr_48.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_48.io.en <= _T_692 @[lib.scala 371:17] + rvclkhdr_48.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_38 : UInt, rvclkhdr_48.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_38 <= btb_wr_data @[lib.scala 374:16] + node _T_693 = eq(btb_wr_addr, UInt<6>("h027")) @[ifu_bp_ctl.scala 415:95] + node _T_694 = and(_T_693, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_695 = bits(_T_694, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_49 of rvclkhdr_143 @[lib.scala 368:23] + rvclkhdr_49.clock <= clock + rvclkhdr_49.reset <= reset + rvclkhdr_49.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_49.io.en <= _T_695 @[lib.scala 371:17] + rvclkhdr_49.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_39 : UInt, rvclkhdr_49.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_39 <= btb_wr_data @[lib.scala 374:16] + node _T_696 = eq(btb_wr_addr, UInt<6>("h028")) @[ifu_bp_ctl.scala 415:95] + node _T_697 = and(_T_696, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_698 = bits(_T_697, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_50 of rvclkhdr_144 @[lib.scala 368:23] + rvclkhdr_50.clock <= clock + rvclkhdr_50.reset <= reset + rvclkhdr_50.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_50.io.en <= _T_698 @[lib.scala 371:17] + rvclkhdr_50.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_40 : UInt, rvclkhdr_50.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_40 <= btb_wr_data @[lib.scala 374:16] + node _T_699 = eq(btb_wr_addr, UInt<6>("h029")) @[ifu_bp_ctl.scala 415:95] + node _T_700 = and(_T_699, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_701 = bits(_T_700, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_51 of rvclkhdr_145 @[lib.scala 368:23] + rvclkhdr_51.clock <= clock + rvclkhdr_51.reset <= reset + rvclkhdr_51.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_51.io.en <= _T_701 @[lib.scala 371:17] + rvclkhdr_51.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_41 : UInt, rvclkhdr_51.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_41 <= btb_wr_data @[lib.scala 374:16] + node _T_702 = eq(btb_wr_addr, UInt<6>("h02a")) @[ifu_bp_ctl.scala 415:95] + node _T_703 = and(_T_702, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_704 = bits(_T_703, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_52 of rvclkhdr_146 @[lib.scala 368:23] + rvclkhdr_52.clock <= clock + rvclkhdr_52.reset <= reset + rvclkhdr_52.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_52.io.en <= _T_704 @[lib.scala 371:17] + rvclkhdr_52.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_42 : UInt, rvclkhdr_52.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_42 <= btb_wr_data @[lib.scala 374:16] + node _T_705 = eq(btb_wr_addr, UInt<6>("h02b")) @[ifu_bp_ctl.scala 415:95] + node _T_706 = and(_T_705, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_707 = bits(_T_706, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_53 of rvclkhdr_147 @[lib.scala 368:23] + rvclkhdr_53.clock <= clock + rvclkhdr_53.reset <= reset + rvclkhdr_53.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_53.io.en <= _T_707 @[lib.scala 371:17] + rvclkhdr_53.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_43 : UInt, rvclkhdr_53.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_43 <= btb_wr_data @[lib.scala 374:16] + node _T_708 = eq(btb_wr_addr, UInt<6>("h02c")) @[ifu_bp_ctl.scala 415:95] + node _T_709 = and(_T_708, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_710 = bits(_T_709, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_54 of rvclkhdr_148 @[lib.scala 368:23] + rvclkhdr_54.clock <= clock + rvclkhdr_54.reset <= reset + rvclkhdr_54.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_54.io.en <= _T_710 @[lib.scala 371:17] + rvclkhdr_54.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_44 : UInt, rvclkhdr_54.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_44 <= btb_wr_data @[lib.scala 374:16] + node _T_711 = eq(btb_wr_addr, UInt<6>("h02d")) @[ifu_bp_ctl.scala 415:95] + node _T_712 = and(_T_711, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_713 = bits(_T_712, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_55 of rvclkhdr_149 @[lib.scala 368:23] + rvclkhdr_55.clock <= clock + rvclkhdr_55.reset <= reset + rvclkhdr_55.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_55.io.en <= _T_713 @[lib.scala 371:17] + rvclkhdr_55.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_45 : UInt, rvclkhdr_55.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_45 <= btb_wr_data @[lib.scala 374:16] + node _T_714 = eq(btb_wr_addr, UInt<6>("h02e")) @[ifu_bp_ctl.scala 415:95] + node _T_715 = and(_T_714, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_716 = bits(_T_715, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_56 of rvclkhdr_150 @[lib.scala 368:23] + rvclkhdr_56.clock <= clock + rvclkhdr_56.reset <= reset + rvclkhdr_56.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_56.io.en <= _T_716 @[lib.scala 371:17] + rvclkhdr_56.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_46 : UInt, rvclkhdr_56.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_46 <= btb_wr_data @[lib.scala 374:16] + node _T_717 = eq(btb_wr_addr, UInt<6>("h02f")) @[ifu_bp_ctl.scala 415:95] + node _T_718 = and(_T_717, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_719 = bits(_T_718, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_57 of rvclkhdr_151 @[lib.scala 368:23] + rvclkhdr_57.clock <= clock + rvclkhdr_57.reset <= reset + rvclkhdr_57.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_57.io.en <= _T_719 @[lib.scala 371:17] + rvclkhdr_57.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_47 : UInt, rvclkhdr_57.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_47 <= btb_wr_data @[lib.scala 374:16] + node _T_720 = eq(btb_wr_addr, UInt<6>("h030")) @[ifu_bp_ctl.scala 415:95] + node _T_721 = and(_T_720, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_722 = bits(_T_721, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_58 of rvclkhdr_152 @[lib.scala 368:23] + rvclkhdr_58.clock <= clock + rvclkhdr_58.reset <= reset + rvclkhdr_58.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_58.io.en <= _T_722 @[lib.scala 371:17] + rvclkhdr_58.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_48 : UInt, rvclkhdr_58.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_48 <= btb_wr_data @[lib.scala 374:16] + node _T_723 = eq(btb_wr_addr, UInt<6>("h031")) @[ifu_bp_ctl.scala 415:95] + node _T_724 = and(_T_723, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_725 = bits(_T_724, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_59 of rvclkhdr_153 @[lib.scala 368:23] + rvclkhdr_59.clock <= clock + rvclkhdr_59.reset <= reset + rvclkhdr_59.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_59.io.en <= _T_725 @[lib.scala 371:17] + rvclkhdr_59.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_49 : UInt, rvclkhdr_59.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_49 <= btb_wr_data @[lib.scala 374:16] + node _T_726 = eq(btb_wr_addr, UInt<6>("h032")) @[ifu_bp_ctl.scala 415:95] + node _T_727 = and(_T_726, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_728 = bits(_T_727, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_60 of rvclkhdr_154 @[lib.scala 368:23] + rvclkhdr_60.clock <= clock + rvclkhdr_60.reset <= reset + rvclkhdr_60.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_60.io.en <= _T_728 @[lib.scala 371:17] + rvclkhdr_60.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_50 : UInt, rvclkhdr_60.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_50 <= btb_wr_data @[lib.scala 374:16] + node _T_729 = eq(btb_wr_addr, UInt<6>("h033")) @[ifu_bp_ctl.scala 415:95] + node _T_730 = and(_T_729, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_731 = bits(_T_730, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_61 of rvclkhdr_155 @[lib.scala 368:23] + rvclkhdr_61.clock <= clock + rvclkhdr_61.reset <= reset + rvclkhdr_61.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_61.io.en <= _T_731 @[lib.scala 371:17] + rvclkhdr_61.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_51 : UInt, rvclkhdr_61.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_51 <= btb_wr_data @[lib.scala 374:16] + node _T_732 = eq(btb_wr_addr, UInt<6>("h034")) @[ifu_bp_ctl.scala 415:95] + node _T_733 = and(_T_732, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_734 = bits(_T_733, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_62 of rvclkhdr_156 @[lib.scala 368:23] + rvclkhdr_62.clock <= clock + rvclkhdr_62.reset <= reset + rvclkhdr_62.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_62.io.en <= _T_734 @[lib.scala 371:17] + rvclkhdr_62.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_52 : UInt, rvclkhdr_62.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_52 <= btb_wr_data @[lib.scala 374:16] + node _T_735 = eq(btb_wr_addr, UInt<6>("h035")) @[ifu_bp_ctl.scala 415:95] + node _T_736 = and(_T_735, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_737 = bits(_T_736, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_63 of rvclkhdr_157 @[lib.scala 368:23] + rvclkhdr_63.clock <= clock + rvclkhdr_63.reset <= reset + rvclkhdr_63.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_63.io.en <= _T_737 @[lib.scala 371:17] + rvclkhdr_63.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_53 : UInt, rvclkhdr_63.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_53 <= btb_wr_data @[lib.scala 374:16] + node _T_738 = eq(btb_wr_addr, UInt<6>("h036")) @[ifu_bp_ctl.scala 415:95] + node _T_739 = and(_T_738, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_740 = bits(_T_739, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_64 of rvclkhdr_158 @[lib.scala 368:23] + rvclkhdr_64.clock <= clock + rvclkhdr_64.reset <= reset + rvclkhdr_64.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_64.io.en <= _T_740 @[lib.scala 371:17] + rvclkhdr_64.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_54 : UInt, rvclkhdr_64.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_54 <= btb_wr_data @[lib.scala 374:16] + node _T_741 = eq(btb_wr_addr, UInt<6>("h037")) @[ifu_bp_ctl.scala 415:95] + node _T_742 = and(_T_741, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_743 = bits(_T_742, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_65 of rvclkhdr_159 @[lib.scala 368:23] + rvclkhdr_65.clock <= clock + rvclkhdr_65.reset <= reset + rvclkhdr_65.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_65.io.en <= _T_743 @[lib.scala 371:17] + rvclkhdr_65.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_55 : UInt, rvclkhdr_65.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_55 <= btb_wr_data @[lib.scala 374:16] + node _T_744 = eq(btb_wr_addr, UInt<6>("h038")) @[ifu_bp_ctl.scala 415:95] + node _T_745 = and(_T_744, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_746 = bits(_T_745, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_66 of rvclkhdr_160 @[lib.scala 368:23] + rvclkhdr_66.clock <= clock + rvclkhdr_66.reset <= reset + rvclkhdr_66.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_66.io.en <= _T_746 @[lib.scala 371:17] + rvclkhdr_66.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_56 : UInt, rvclkhdr_66.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_56 <= btb_wr_data @[lib.scala 374:16] + node _T_747 = eq(btb_wr_addr, UInt<6>("h039")) @[ifu_bp_ctl.scala 415:95] + node _T_748 = and(_T_747, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_749 = bits(_T_748, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_67 of rvclkhdr_161 @[lib.scala 368:23] + rvclkhdr_67.clock <= clock + rvclkhdr_67.reset <= reset + rvclkhdr_67.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_67.io.en <= _T_749 @[lib.scala 371:17] + rvclkhdr_67.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_57 : UInt, rvclkhdr_67.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_57 <= btb_wr_data @[lib.scala 374:16] + node _T_750 = eq(btb_wr_addr, UInt<6>("h03a")) @[ifu_bp_ctl.scala 415:95] + node _T_751 = and(_T_750, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_752 = bits(_T_751, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_68 of rvclkhdr_162 @[lib.scala 368:23] + rvclkhdr_68.clock <= clock + rvclkhdr_68.reset <= reset + rvclkhdr_68.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_68.io.en <= _T_752 @[lib.scala 371:17] + rvclkhdr_68.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_58 : UInt, rvclkhdr_68.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_58 <= btb_wr_data @[lib.scala 374:16] + node _T_753 = eq(btb_wr_addr, UInt<6>("h03b")) @[ifu_bp_ctl.scala 415:95] + node _T_754 = and(_T_753, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_755 = bits(_T_754, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_69 of rvclkhdr_163 @[lib.scala 368:23] + rvclkhdr_69.clock <= clock + rvclkhdr_69.reset <= reset + rvclkhdr_69.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_69.io.en <= _T_755 @[lib.scala 371:17] + rvclkhdr_69.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_59 : UInt, rvclkhdr_69.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_59 <= btb_wr_data @[lib.scala 374:16] + node _T_756 = eq(btb_wr_addr, UInt<6>("h03c")) @[ifu_bp_ctl.scala 415:95] + node _T_757 = and(_T_756, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_758 = bits(_T_757, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_70 of rvclkhdr_164 @[lib.scala 368:23] + rvclkhdr_70.clock <= clock + rvclkhdr_70.reset <= reset + rvclkhdr_70.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_70.io.en <= _T_758 @[lib.scala 371:17] + rvclkhdr_70.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_60 : UInt, rvclkhdr_70.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_60 <= btb_wr_data @[lib.scala 374:16] + node _T_759 = eq(btb_wr_addr, UInt<6>("h03d")) @[ifu_bp_ctl.scala 415:95] + node _T_760 = and(_T_759, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_761 = bits(_T_760, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_71 of rvclkhdr_165 @[lib.scala 368:23] + rvclkhdr_71.clock <= clock + rvclkhdr_71.reset <= reset + rvclkhdr_71.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_71.io.en <= _T_761 @[lib.scala 371:17] + rvclkhdr_71.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_61 : UInt, rvclkhdr_71.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_61 <= btb_wr_data @[lib.scala 374:16] + node _T_762 = eq(btb_wr_addr, UInt<6>("h03e")) @[ifu_bp_ctl.scala 415:95] + node _T_763 = and(_T_762, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_764 = bits(_T_763, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_72 of rvclkhdr_166 @[lib.scala 368:23] + rvclkhdr_72.clock <= clock + rvclkhdr_72.reset <= reset + rvclkhdr_72.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_72.io.en <= _T_764 @[lib.scala 371:17] + rvclkhdr_72.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_62 : UInt, rvclkhdr_72.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_62 <= btb_wr_data @[lib.scala 374:16] + node _T_765 = eq(btb_wr_addr, UInt<6>("h03f")) @[ifu_bp_ctl.scala 415:95] + node _T_766 = and(_T_765, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_767 = bits(_T_766, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_73 of rvclkhdr_167 @[lib.scala 368:23] + rvclkhdr_73.clock <= clock + rvclkhdr_73.reset <= reset + rvclkhdr_73.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_73.io.en <= _T_767 @[lib.scala 371:17] + rvclkhdr_73.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_63 : UInt, rvclkhdr_73.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_63 <= btb_wr_data @[lib.scala 374:16] + node _T_768 = eq(btb_wr_addr, UInt<7>("h040")) @[ifu_bp_ctl.scala 415:95] + node _T_769 = and(_T_768, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_770 = bits(_T_769, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_74 of rvclkhdr_168 @[lib.scala 368:23] + rvclkhdr_74.clock <= clock + rvclkhdr_74.reset <= reset + rvclkhdr_74.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_74.io.en <= _T_770 @[lib.scala 371:17] + rvclkhdr_74.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_64 : UInt, rvclkhdr_74.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_64 <= btb_wr_data @[lib.scala 374:16] + node _T_771 = eq(btb_wr_addr, UInt<7>("h041")) @[ifu_bp_ctl.scala 415:95] + node _T_772 = and(_T_771, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_773 = bits(_T_772, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_75 of rvclkhdr_169 @[lib.scala 368:23] + rvclkhdr_75.clock <= clock + rvclkhdr_75.reset <= reset + rvclkhdr_75.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_75.io.en <= _T_773 @[lib.scala 371:17] + rvclkhdr_75.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_65 : UInt, rvclkhdr_75.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_65 <= btb_wr_data @[lib.scala 374:16] + node _T_774 = eq(btb_wr_addr, UInt<7>("h042")) @[ifu_bp_ctl.scala 415:95] + node _T_775 = and(_T_774, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_776 = bits(_T_775, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_76 of rvclkhdr_170 @[lib.scala 368:23] + rvclkhdr_76.clock <= clock + rvclkhdr_76.reset <= reset + rvclkhdr_76.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_76.io.en <= _T_776 @[lib.scala 371:17] + rvclkhdr_76.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_66 : UInt, rvclkhdr_76.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_66 <= btb_wr_data @[lib.scala 374:16] + node _T_777 = eq(btb_wr_addr, UInt<7>("h043")) @[ifu_bp_ctl.scala 415:95] + node _T_778 = and(_T_777, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_779 = bits(_T_778, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_77 of rvclkhdr_171 @[lib.scala 368:23] + rvclkhdr_77.clock <= clock + rvclkhdr_77.reset <= reset + rvclkhdr_77.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_77.io.en <= _T_779 @[lib.scala 371:17] + rvclkhdr_77.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_67 : UInt, rvclkhdr_77.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_67 <= btb_wr_data @[lib.scala 374:16] + node _T_780 = eq(btb_wr_addr, UInt<7>("h044")) @[ifu_bp_ctl.scala 415:95] + node _T_781 = and(_T_780, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_782 = bits(_T_781, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_78 of rvclkhdr_172 @[lib.scala 368:23] + rvclkhdr_78.clock <= clock + rvclkhdr_78.reset <= reset + rvclkhdr_78.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_78.io.en <= _T_782 @[lib.scala 371:17] + rvclkhdr_78.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_68 : UInt, rvclkhdr_78.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_68 <= btb_wr_data @[lib.scala 374:16] + node _T_783 = eq(btb_wr_addr, UInt<7>("h045")) @[ifu_bp_ctl.scala 415:95] + node _T_784 = and(_T_783, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_785 = bits(_T_784, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_79 of rvclkhdr_173 @[lib.scala 368:23] + rvclkhdr_79.clock <= clock + rvclkhdr_79.reset <= reset + rvclkhdr_79.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_79.io.en <= _T_785 @[lib.scala 371:17] + rvclkhdr_79.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_69 : UInt, rvclkhdr_79.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_69 <= btb_wr_data @[lib.scala 374:16] + node _T_786 = eq(btb_wr_addr, UInt<7>("h046")) @[ifu_bp_ctl.scala 415:95] + node _T_787 = and(_T_786, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_788 = bits(_T_787, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_80 of rvclkhdr_174 @[lib.scala 368:23] + rvclkhdr_80.clock <= clock + rvclkhdr_80.reset <= reset + rvclkhdr_80.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_80.io.en <= _T_788 @[lib.scala 371:17] + rvclkhdr_80.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_70 : UInt, rvclkhdr_80.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_70 <= btb_wr_data @[lib.scala 374:16] + node _T_789 = eq(btb_wr_addr, UInt<7>("h047")) @[ifu_bp_ctl.scala 415:95] + node _T_790 = and(_T_789, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_791 = bits(_T_790, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_81 of rvclkhdr_175 @[lib.scala 368:23] + rvclkhdr_81.clock <= clock + rvclkhdr_81.reset <= reset + rvclkhdr_81.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_81.io.en <= _T_791 @[lib.scala 371:17] + rvclkhdr_81.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_71 : UInt, rvclkhdr_81.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_71 <= btb_wr_data @[lib.scala 374:16] + node _T_792 = eq(btb_wr_addr, UInt<7>("h048")) @[ifu_bp_ctl.scala 415:95] + node _T_793 = and(_T_792, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_794 = bits(_T_793, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_82 of rvclkhdr_176 @[lib.scala 368:23] + rvclkhdr_82.clock <= clock + rvclkhdr_82.reset <= reset + rvclkhdr_82.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_82.io.en <= _T_794 @[lib.scala 371:17] + rvclkhdr_82.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_72 : UInt, rvclkhdr_82.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_72 <= btb_wr_data @[lib.scala 374:16] + node _T_795 = eq(btb_wr_addr, UInt<7>("h049")) @[ifu_bp_ctl.scala 415:95] + node _T_796 = and(_T_795, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_797 = bits(_T_796, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_83 of rvclkhdr_177 @[lib.scala 368:23] + rvclkhdr_83.clock <= clock + rvclkhdr_83.reset <= reset + rvclkhdr_83.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_83.io.en <= _T_797 @[lib.scala 371:17] + rvclkhdr_83.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_73 : UInt, rvclkhdr_83.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_73 <= btb_wr_data @[lib.scala 374:16] + node _T_798 = eq(btb_wr_addr, UInt<7>("h04a")) @[ifu_bp_ctl.scala 415:95] + node _T_799 = and(_T_798, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_800 = bits(_T_799, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_84 of rvclkhdr_178 @[lib.scala 368:23] + rvclkhdr_84.clock <= clock + rvclkhdr_84.reset <= reset + rvclkhdr_84.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_84.io.en <= _T_800 @[lib.scala 371:17] + rvclkhdr_84.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_74 : UInt, rvclkhdr_84.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_74 <= btb_wr_data @[lib.scala 374:16] + node _T_801 = eq(btb_wr_addr, UInt<7>("h04b")) @[ifu_bp_ctl.scala 415:95] + node _T_802 = and(_T_801, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_803 = bits(_T_802, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_85 of rvclkhdr_179 @[lib.scala 368:23] + rvclkhdr_85.clock <= clock + rvclkhdr_85.reset <= reset + rvclkhdr_85.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_85.io.en <= _T_803 @[lib.scala 371:17] + rvclkhdr_85.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_75 : UInt, rvclkhdr_85.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_75 <= btb_wr_data @[lib.scala 374:16] + node _T_804 = eq(btb_wr_addr, UInt<7>("h04c")) @[ifu_bp_ctl.scala 415:95] + node _T_805 = and(_T_804, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_806 = bits(_T_805, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_86 of rvclkhdr_180 @[lib.scala 368:23] + rvclkhdr_86.clock <= clock + rvclkhdr_86.reset <= reset + rvclkhdr_86.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_86.io.en <= _T_806 @[lib.scala 371:17] + rvclkhdr_86.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_76 : UInt, rvclkhdr_86.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_76 <= btb_wr_data @[lib.scala 374:16] + node _T_807 = eq(btb_wr_addr, UInt<7>("h04d")) @[ifu_bp_ctl.scala 415:95] + node _T_808 = and(_T_807, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_809 = bits(_T_808, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_87 of rvclkhdr_181 @[lib.scala 368:23] + rvclkhdr_87.clock <= clock + rvclkhdr_87.reset <= reset + rvclkhdr_87.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_87.io.en <= _T_809 @[lib.scala 371:17] + rvclkhdr_87.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_77 : UInt, rvclkhdr_87.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_77 <= btb_wr_data @[lib.scala 374:16] + node _T_810 = eq(btb_wr_addr, UInt<7>("h04e")) @[ifu_bp_ctl.scala 415:95] + node _T_811 = and(_T_810, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_812 = bits(_T_811, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_88 of rvclkhdr_182 @[lib.scala 368:23] + rvclkhdr_88.clock <= clock + rvclkhdr_88.reset <= reset + rvclkhdr_88.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_88.io.en <= _T_812 @[lib.scala 371:17] + rvclkhdr_88.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_78 : UInt, rvclkhdr_88.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_78 <= btb_wr_data @[lib.scala 374:16] + node _T_813 = eq(btb_wr_addr, UInt<7>("h04f")) @[ifu_bp_ctl.scala 415:95] + node _T_814 = and(_T_813, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_815 = bits(_T_814, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_89 of rvclkhdr_183 @[lib.scala 368:23] + rvclkhdr_89.clock <= clock + rvclkhdr_89.reset <= reset + rvclkhdr_89.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_89.io.en <= _T_815 @[lib.scala 371:17] + rvclkhdr_89.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_79 : UInt, rvclkhdr_89.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_79 <= btb_wr_data @[lib.scala 374:16] + node _T_816 = eq(btb_wr_addr, UInt<7>("h050")) @[ifu_bp_ctl.scala 415:95] + node _T_817 = and(_T_816, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_818 = bits(_T_817, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_90 of rvclkhdr_184 @[lib.scala 368:23] + rvclkhdr_90.clock <= clock + rvclkhdr_90.reset <= reset + rvclkhdr_90.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_90.io.en <= _T_818 @[lib.scala 371:17] + rvclkhdr_90.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_80 : UInt, rvclkhdr_90.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_80 <= btb_wr_data @[lib.scala 374:16] + node _T_819 = eq(btb_wr_addr, UInt<7>("h051")) @[ifu_bp_ctl.scala 415:95] + node _T_820 = and(_T_819, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_821 = bits(_T_820, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_91 of rvclkhdr_185 @[lib.scala 368:23] + rvclkhdr_91.clock <= clock + rvclkhdr_91.reset <= reset + rvclkhdr_91.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_91.io.en <= _T_821 @[lib.scala 371:17] + rvclkhdr_91.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_81 : UInt, rvclkhdr_91.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_81 <= btb_wr_data @[lib.scala 374:16] + node _T_822 = eq(btb_wr_addr, UInt<7>("h052")) @[ifu_bp_ctl.scala 415:95] + node _T_823 = and(_T_822, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_824 = bits(_T_823, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_92 of rvclkhdr_186 @[lib.scala 368:23] + rvclkhdr_92.clock <= clock + rvclkhdr_92.reset <= reset + rvclkhdr_92.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_92.io.en <= _T_824 @[lib.scala 371:17] + rvclkhdr_92.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_82 : UInt, rvclkhdr_92.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_82 <= btb_wr_data @[lib.scala 374:16] + node _T_825 = eq(btb_wr_addr, UInt<7>("h053")) @[ifu_bp_ctl.scala 415:95] + node _T_826 = and(_T_825, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_827 = bits(_T_826, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_93 of rvclkhdr_187 @[lib.scala 368:23] + rvclkhdr_93.clock <= clock + rvclkhdr_93.reset <= reset + rvclkhdr_93.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_93.io.en <= _T_827 @[lib.scala 371:17] + rvclkhdr_93.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_83 : UInt, rvclkhdr_93.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_83 <= btb_wr_data @[lib.scala 374:16] + node _T_828 = eq(btb_wr_addr, UInt<7>("h054")) @[ifu_bp_ctl.scala 415:95] + node _T_829 = and(_T_828, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_830 = bits(_T_829, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_94 of rvclkhdr_188 @[lib.scala 368:23] + rvclkhdr_94.clock <= clock + rvclkhdr_94.reset <= reset + rvclkhdr_94.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_94.io.en <= _T_830 @[lib.scala 371:17] + rvclkhdr_94.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_84 : UInt, rvclkhdr_94.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_84 <= btb_wr_data @[lib.scala 374:16] + node _T_831 = eq(btb_wr_addr, UInt<7>("h055")) @[ifu_bp_ctl.scala 415:95] + node _T_832 = and(_T_831, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_833 = bits(_T_832, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_95 of rvclkhdr_189 @[lib.scala 368:23] + rvclkhdr_95.clock <= clock + rvclkhdr_95.reset <= reset + rvclkhdr_95.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_95.io.en <= _T_833 @[lib.scala 371:17] + rvclkhdr_95.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_85 : UInt, rvclkhdr_95.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_85 <= btb_wr_data @[lib.scala 374:16] + node _T_834 = eq(btb_wr_addr, UInt<7>("h056")) @[ifu_bp_ctl.scala 415:95] + node _T_835 = and(_T_834, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_836 = bits(_T_835, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_96 of rvclkhdr_190 @[lib.scala 368:23] + rvclkhdr_96.clock <= clock + rvclkhdr_96.reset <= reset + rvclkhdr_96.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_96.io.en <= _T_836 @[lib.scala 371:17] + rvclkhdr_96.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_86 : UInt, rvclkhdr_96.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_86 <= btb_wr_data @[lib.scala 374:16] + node _T_837 = eq(btb_wr_addr, UInt<7>("h057")) @[ifu_bp_ctl.scala 415:95] + node _T_838 = and(_T_837, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_839 = bits(_T_838, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_97 of rvclkhdr_191 @[lib.scala 368:23] + rvclkhdr_97.clock <= clock + rvclkhdr_97.reset <= reset + rvclkhdr_97.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_97.io.en <= _T_839 @[lib.scala 371:17] + rvclkhdr_97.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_87 : UInt, rvclkhdr_97.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_87 <= btb_wr_data @[lib.scala 374:16] + node _T_840 = eq(btb_wr_addr, UInt<7>("h058")) @[ifu_bp_ctl.scala 415:95] + node _T_841 = and(_T_840, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_842 = bits(_T_841, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_98 of rvclkhdr_192 @[lib.scala 368:23] + rvclkhdr_98.clock <= clock + rvclkhdr_98.reset <= reset + rvclkhdr_98.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_98.io.en <= _T_842 @[lib.scala 371:17] + rvclkhdr_98.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_88 : UInt, rvclkhdr_98.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_88 <= btb_wr_data @[lib.scala 374:16] + node _T_843 = eq(btb_wr_addr, UInt<7>("h059")) @[ifu_bp_ctl.scala 415:95] + node _T_844 = and(_T_843, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_845 = bits(_T_844, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_99 of rvclkhdr_193 @[lib.scala 368:23] + rvclkhdr_99.clock <= clock + rvclkhdr_99.reset <= reset + rvclkhdr_99.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_99.io.en <= _T_845 @[lib.scala 371:17] + rvclkhdr_99.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_89 : UInt, rvclkhdr_99.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_89 <= btb_wr_data @[lib.scala 374:16] + node _T_846 = eq(btb_wr_addr, UInt<7>("h05a")) @[ifu_bp_ctl.scala 415:95] + node _T_847 = and(_T_846, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_848 = bits(_T_847, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_100 of rvclkhdr_194 @[lib.scala 368:23] + rvclkhdr_100.clock <= clock + rvclkhdr_100.reset <= reset + rvclkhdr_100.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_100.io.en <= _T_848 @[lib.scala 371:17] + rvclkhdr_100.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_90 : UInt, rvclkhdr_100.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_90 <= btb_wr_data @[lib.scala 374:16] + node _T_849 = eq(btb_wr_addr, UInt<7>("h05b")) @[ifu_bp_ctl.scala 415:95] + node _T_850 = and(_T_849, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_851 = bits(_T_850, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_101 of rvclkhdr_195 @[lib.scala 368:23] + rvclkhdr_101.clock <= clock + rvclkhdr_101.reset <= reset + rvclkhdr_101.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_101.io.en <= _T_851 @[lib.scala 371:17] + rvclkhdr_101.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_91 : UInt, rvclkhdr_101.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_91 <= btb_wr_data @[lib.scala 374:16] + node _T_852 = eq(btb_wr_addr, UInt<7>("h05c")) @[ifu_bp_ctl.scala 415:95] + node _T_853 = and(_T_852, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_854 = bits(_T_853, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_102 of rvclkhdr_196 @[lib.scala 368:23] + rvclkhdr_102.clock <= clock + rvclkhdr_102.reset <= reset + rvclkhdr_102.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_102.io.en <= _T_854 @[lib.scala 371:17] + rvclkhdr_102.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_92 : UInt, rvclkhdr_102.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_92 <= btb_wr_data @[lib.scala 374:16] + node _T_855 = eq(btb_wr_addr, UInt<7>("h05d")) @[ifu_bp_ctl.scala 415:95] + node _T_856 = and(_T_855, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_857 = bits(_T_856, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_103 of rvclkhdr_197 @[lib.scala 368:23] + rvclkhdr_103.clock <= clock + rvclkhdr_103.reset <= reset + rvclkhdr_103.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_103.io.en <= _T_857 @[lib.scala 371:17] + rvclkhdr_103.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_93 : UInt, rvclkhdr_103.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_93 <= btb_wr_data @[lib.scala 374:16] + node _T_858 = eq(btb_wr_addr, UInt<7>("h05e")) @[ifu_bp_ctl.scala 415:95] + node _T_859 = and(_T_858, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_860 = bits(_T_859, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_104 of rvclkhdr_198 @[lib.scala 368:23] + rvclkhdr_104.clock <= clock + rvclkhdr_104.reset <= reset + rvclkhdr_104.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_104.io.en <= _T_860 @[lib.scala 371:17] + rvclkhdr_104.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_94 : UInt, rvclkhdr_104.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_94 <= btb_wr_data @[lib.scala 374:16] + node _T_861 = eq(btb_wr_addr, UInt<7>("h05f")) @[ifu_bp_ctl.scala 415:95] + node _T_862 = and(_T_861, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_863 = bits(_T_862, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_105 of rvclkhdr_199 @[lib.scala 368:23] + rvclkhdr_105.clock <= clock + rvclkhdr_105.reset <= reset + rvclkhdr_105.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_105.io.en <= _T_863 @[lib.scala 371:17] + rvclkhdr_105.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_95 : UInt, rvclkhdr_105.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_95 <= btb_wr_data @[lib.scala 374:16] + node _T_864 = eq(btb_wr_addr, UInt<7>("h060")) @[ifu_bp_ctl.scala 415:95] + node _T_865 = and(_T_864, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_866 = bits(_T_865, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_106 of rvclkhdr_200 @[lib.scala 368:23] + rvclkhdr_106.clock <= clock + rvclkhdr_106.reset <= reset + rvclkhdr_106.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_106.io.en <= _T_866 @[lib.scala 371:17] + rvclkhdr_106.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_96 : UInt, rvclkhdr_106.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_96 <= btb_wr_data @[lib.scala 374:16] + node _T_867 = eq(btb_wr_addr, UInt<7>("h061")) @[ifu_bp_ctl.scala 415:95] + node _T_868 = and(_T_867, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_869 = bits(_T_868, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_107 of rvclkhdr_201 @[lib.scala 368:23] + rvclkhdr_107.clock <= clock + rvclkhdr_107.reset <= reset + rvclkhdr_107.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_107.io.en <= _T_869 @[lib.scala 371:17] + rvclkhdr_107.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_97 : UInt, rvclkhdr_107.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_97 <= btb_wr_data @[lib.scala 374:16] + node _T_870 = eq(btb_wr_addr, UInt<7>("h062")) @[ifu_bp_ctl.scala 415:95] + node _T_871 = and(_T_870, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_872 = bits(_T_871, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_108 of rvclkhdr_202 @[lib.scala 368:23] + rvclkhdr_108.clock <= clock + rvclkhdr_108.reset <= reset + rvclkhdr_108.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_108.io.en <= _T_872 @[lib.scala 371:17] + rvclkhdr_108.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_98 : UInt, rvclkhdr_108.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_98 <= btb_wr_data @[lib.scala 374:16] + node _T_873 = eq(btb_wr_addr, UInt<7>("h063")) @[ifu_bp_ctl.scala 415:95] + node _T_874 = and(_T_873, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_875 = bits(_T_874, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_109 of rvclkhdr_203 @[lib.scala 368:23] + rvclkhdr_109.clock <= clock + rvclkhdr_109.reset <= reset + rvclkhdr_109.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_109.io.en <= _T_875 @[lib.scala 371:17] + rvclkhdr_109.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_99 : UInt, rvclkhdr_109.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_99 <= btb_wr_data @[lib.scala 374:16] + node _T_876 = eq(btb_wr_addr, UInt<7>("h064")) @[ifu_bp_ctl.scala 415:95] + node _T_877 = and(_T_876, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_878 = bits(_T_877, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_110 of rvclkhdr_204 @[lib.scala 368:23] + rvclkhdr_110.clock <= clock + rvclkhdr_110.reset <= reset + rvclkhdr_110.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_110.io.en <= _T_878 @[lib.scala 371:17] + rvclkhdr_110.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_100 : UInt, rvclkhdr_110.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_100 <= btb_wr_data @[lib.scala 374:16] + node _T_879 = eq(btb_wr_addr, UInt<7>("h065")) @[ifu_bp_ctl.scala 415:95] + node _T_880 = and(_T_879, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_881 = bits(_T_880, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_111 of rvclkhdr_205 @[lib.scala 368:23] + rvclkhdr_111.clock <= clock + rvclkhdr_111.reset <= reset + rvclkhdr_111.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_111.io.en <= _T_881 @[lib.scala 371:17] + rvclkhdr_111.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_101 : UInt, rvclkhdr_111.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_101 <= btb_wr_data @[lib.scala 374:16] + node _T_882 = eq(btb_wr_addr, UInt<7>("h066")) @[ifu_bp_ctl.scala 415:95] + node _T_883 = and(_T_882, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_884 = bits(_T_883, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_112 of rvclkhdr_206 @[lib.scala 368:23] + rvclkhdr_112.clock <= clock + rvclkhdr_112.reset <= reset + rvclkhdr_112.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_112.io.en <= _T_884 @[lib.scala 371:17] + rvclkhdr_112.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_102 : UInt, rvclkhdr_112.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_102 <= btb_wr_data @[lib.scala 374:16] + node _T_885 = eq(btb_wr_addr, UInt<7>("h067")) @[ifu_bp_ctl.scala 415:95] + node _T_886 = and(_T_885, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_887 = bits(_T_886, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_113 of rvclkhdr_207 @[lib.scala 368:23] + rvclkhdr_113.clock <= clock + rvclkhdr_113.reset <= reset + rvclkhdr_113.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_113.io.en <= _T_887 @[lib.scala 371:17] + rvclkhdr_113.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_103 : UInt, rvclkhdr_113.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_103 <= btb_wr_data @[lib.scala 374:16] + node _T_888 = eq(btb_wr_addr, UInt<7>("h068")) @[ifu_bp_ctl.scala 415:95] + node _T_889 = and(_T_888, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_890 = bits(_T_889, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_114 of rvclkhdr_208 @[lib.scala 368:23] + rvclkhdr_114.clock <= clock + rvclkhdr_114.reset <= reset + rvclkhdr_114.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_114.io.en <= _T_890 @[lib.scala 371:17] + rvclkhdr_114.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_104 : UInt, rvclkhdr_114.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_104 <= btb_wr_data @[lib.scala 374:16] + node _T_891 = eq(btb_wr_addr, UInt<7>("h069")) @[ifu_bp_ctl.scala 415:95] + node _T_892 = and(_T_891, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_893 = bits(_T_892, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_115 of rvclkhdr_209 @[lib.scala 368:23] + rvclkhdr_115.clock <= clock + rvclkhdr_115.reset <= reset + rvclkhdr_115.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_115.io.en <= _T_893 @[lib.scala 371:17] + rvclkhdr_115.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_105 : UInt, rvclkhdr_115.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_105 <= btb_wr_data @[lib.scala 374:16] + node _T_894 = eq(btb_wr_addr, UInt<7>("h06a")) @[ifu_bp_ctl.scala 415:95] + node _T_895 = and(_T_894, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_896 = bits(_T_895, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_116 of rvclkhdr_210 @[lib.scala 368:23] + rvclkhdr_116.clock <= clock + rvclkhdr_116.reset <= reset + rvclkhdr_116.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_116.io.en <= _T_896 @[lib.scala 371:17] + rvclkhdr_116.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_106 : UInt, rvclkhdr_116.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_106 <= btb_wr_data @[lib.scala 374:16] + node _T_897 = eq(btb_wr_addr, UInt<7>("h06b")) @[ifu_bp_ctl.scala 415:95] + node _T_898 = and(_T_897, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_899 = bits(_T_898, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_117 of rvclkhdr_211 @[lib.scala 368:23] + rvclkhdr_117.clock <= clock + rvclkhdr_117.reset <= reset + rvclkhdr_117.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_117.io.en <= _T_899 @[lib.scala 371:17] + rvclkhdr_117.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_107 : UInt, rvclkhdr_117.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_107 <= btb_wr_data @[lib.scala 374:16] + node _T_900 = eq(btb_wr_addr, UInt<7>("h06c")) @[ifu_bp_ctl.scala 415:95] + node _T_901 = and(_T_900, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_902 = bits(_T_901, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_118 of rvclkhdr_212 @[lib.scala 368:23] + rvclkhdr_118.clock <= clock + rvclkhdr_118.reset <= reset + rvclkhdr_118.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_118.io.en <= _T_902 @[lib.scala 371:17] + rvclkhdr_118.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_108 : UInt, rvclkhdr_118.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_108 <= btb_wr_data @[lib.scala 374:16] + node _T_903 = eq(btb_wr_addr, UInt<7>("h06d")) @[ifu_bp_ctl.scala 415:95] + node _T_904 = and(_T_903, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_905 = bits(_T_904, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_119 of rvclkhdr_213 @[lib.scala 368:23] + rvclkhdr_119.clock <= clock + rvclkhdr_119.reset <= reset + rvclkhdr_119.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_119.io.en <= _T_905 @[lib.scala 371:17] + rvclkhdr_119.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_109 : UInt, rvclkhdr_119.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_109 <= btb_wr_data @[lib.scala 374:16] + node _T_906 = eq(btb_wr_addr, UInt<7>("h06e")) @[ifu_bp_ctl.scala 415:95] + node _T_907 = and(_T_906, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_908 = bits(_T_907, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_120 of rvclkhdr_214 @[lib.scala 368:23] + rvclkhdr_120.clock <= clock + rvclkhdr_120.reset <= reset + rvclkhdr_120.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_120.io.en <= _T_908 @[lib.scala 371:17] + rvclkhdr_120.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_110 : UInt, rvclkhdr_120.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_110 <= btb_wr_data @[lib.scala 374:16] + node _T_909 = eq(btb_wr_addr, UInt<7>("h06f")) @[ifu_bp_ctl.scala 415:95] + node _T_910 = and(_T_909, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_911 = bits(_T_910, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_121 of rvclkhdr_215 @[lib.scala 368:23] + rvclkhdr_121.clock <= clock + rvclkhdr_121.reset <= reset + rvclkhdr_121.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_121.io.en <= _T_911 @[lib.scala 371:17] + rvclkhdr_121.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_111 : UInt, rvclkhdr_121.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_111 <= btb_wr_data @[lib.scala 374:16] + node _T_912 = eq(btb_wr_addr, UInt<7>("h070")) @[ifu_bp_ctl.scala 415:95] + node _T_913 = and(_T_912, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_914 = bits(_T_913, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_122 of rvclkhdr_216 @[lib.scala 368:23] + rvclkhdr_122.clock <= clock + rvclkhdr_122.reset <= reset + rvclkhdr_122.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_122.io.en <= _T_914 @[lib.scala 371:17] + rvclkhdr_122.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_112 : UInt, rvclkhdr_122.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_112 <= btb_wr_data @[lib.scala 374:16] + node _T_915 = eq(btb_wr_addr, UInt<7>("h071")) @[ifu_bp_ctl.scala 415:95] + node _T_916 = and(_T_915, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_917 = bits(_T_916, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_123 of rvclkhdr_217 @[lib.scala 368:23] + rvclkhdr_123.clock <= clock + rvclkhdr_123.reset <= reset + rvclkhdr_123.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_123.io.en <= _T_917 @[lib.scala 371:17] + rvclkhdr_123.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_113 : UInt, rvclkhdr_123.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_113 <= btb_wr_data @[lib.scala 374:16] + node _T_918 = eq(btb_wr_addr, UInt<7>("h072")) @[ifu_bp_ctl.scala 415:95] + node _T_919 = and(_T_918, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_920 = bits(_T_919, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_124 of rvclkhdr_218 @[lib.scala 368:23] + rvclkhdr_124.clock <= clock + rvclkhdr_124.reset <= reset + rvclkhdr_124.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_124.io.en <= _T_920 @[lib.scala 371:17] + rvclkhdr_124.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_114 : UInt, rvclkhdr_124.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_114 <= btb_wr_data @[lib.scala 374:16] + node _T_921 = eq(btb_wr_addr, UInt<7>("h073")) @[ifu_bp_ctl.scala 415:95] + node _T_922 = and(_T_921, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_923 = bits(_T_922, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_125 of rvclkhdr_219 @[lib.scala 368:23] + rvclkhdr_125.clock <= clock + rvclkhdr_125.reset <= reset + rvclkhdr_125.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_125.io.en <= _T_923 @[lib.scala 371:17] + rvclkhdr_125.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_115 : UInt, rvclkhdr_125.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_115 <= btb_wr_data @[lib.scala 374:16] + node _T_924 = eq(btb_wr_addr, UInt<7>("h074")) @[ifu_bp_ctl.scala 415:95] + node _T_925 = and(_T_924, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_926 = bits(_T_925, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_126 of rvclkhdr_220 @[lib.scala 368:23] + rvclkhdr_126.clock <= clock + rvclkhdr_126.reset <= reset + rvclkhdr_126.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_126.io.en <= _T_926 @[lib.scala 371:17] + rvclkhdr_126.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_116 : UInt, rvclkhdr_126.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_116 <= btb_wr_data @[lib.scala 374:16] + node _T_927 = eq(btb_wr_addr, UInt<7>("h075")) @[ifu_bp_ctl.scala 415:95] + node _T_928 = and(_T_927, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_929 = bits(_T_928, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_127 of rvclkhdr_221 @[lib.scala 368:23] + rvclkhdr_127.clock <= clock + rvclkhdr_127.reset <= reset + rvclkhdr_127.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_127.io.en <= _T_929 @[lib.scala 371:17] + rvclkhdr_127.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_117 : UInt, rvclkhdr_127.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_117 <= btb_wr_data @[lib.scala 374:16] + node _T_930 = eq(btb_wr_addr, UInt<7>("h076")) @[ifu_bp_ctl.scala 415:95] + node _T_931 = and(_T_930, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_932 = bits(_T_931, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_128 of rvclkhdr_222 @[lib.scala 368:23] + rvclkhdr_128.clock <= clock + rvclkhdr_128.reset <= reset + rvclkhdr_128.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_128.io.en <= _T_932 @[lib.scala 371:17] + rvclkhdr_128.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_118 : UInt, rvclkhdr_128.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_118 <= btb_wr_data @[lib.scala 374:16] + node _T_933 = eq(btb_wr_addr, UInt<7>("h077")) @[ifu_bp_ctl.scala 415:95] + node _T_934 = and(_T_933, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_935 = bits(_T_934, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_129 of rvclkhdr_223 @[lib.scala 368:23] + rvclkhdr_129.clock <= clock + rvclkhdr_129.reset <= reset + rvclkhdr_129.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_129.io.en <= _T_935 @[lib.scala 371:17] + rvclkhdr_129.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_119 : UInt, rvclkhdr_129.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_119 <= btb_wr_data @[lib.scala 374:16] + node _T_936 = eq(btb_wr_addr, UInt<7>("h078")) @[ifu_bp_ctl.scala 415:95] + node _T_937 = and(_T_936, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_938 = bits(_T_937, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_130 of rvclkhdr_224 @[lib.scala 368:23] + rvclkhdr_130.clock <= clock + rvclkhdr_130.reset <= reset + rvclkhdr_130.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_130.io.en <= _T_938 @[lib.scala 371:17] + rvclkhdr_130.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_120 : UInt, rvclkhdr_130.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_120 <= btb_wr_data @[lib.scala 374:16] + node _T_939 = eq(btb_wr_addr, UInt<7>("h079")) @[ifu_bp_ctl.scala 415:95] + node _T_940 = and(_T_939, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_941 = bits(_T_940, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_131 of rvclkhdr_225 @[lib.scala 368:23] + rvclkhdr_131.clock <= clock + rvclkhdr_131.reset <= reset + rvclkhdr_131.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_131.io.en <= _T_941 @[lib.scala 371:17] + rvclkhdr_131.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_121 : UInt, rvclkhdr_131.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_121 <= btb_wr_data @[lib.scala 374:16] + node _T_942 = eq(btb_wr_addr, UInt<7>("h07a")) @[ifu_bp_ctl.scala 415:95] + node _T_943 = and(_T_942, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_944 = bits(_T_943, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_132 of rvclkhdr_226 @[lib.scala 368:23] + rvclkhdr_132.clock <= clock + rvclkhdr_132.reset <= reset + rvclkhdr_132.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_132.io.en <= _T_944 @[lib.scala 371:17] + rvclkhdr_132.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_122 : UInt, rvclkhdr_132.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_122 <= btb_wr_data @[lib.scala 374:16] + node _T_945 = eq(btb_wr_addr, UInt<7>("h07b")) @[ifu_bp_ctl.scala 415:95] + node _T_946 = and(_T_945, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_947 = bits(_T_946, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_133 of rvclkhdr_227 @[lib.scala 368:23] + rvclkhdr_133.clock <= clock + rvclkhdr_133.reset <= reset + rvclkhdr_133.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_133.io.en <= _T_947 @[lib.scala 371:17] + rvclkhdr_133.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_123 : UInt, rvclkhdr_133.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_123 <= btb_wr_data @[lib.scala 374:16] + node _T_948 = eq(btb_wr_addr, UInt<7>("h07c")) @[ifu_bp_ctl.scala 415:95] + node _T_949 = and(_T_948, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_950 = bits(_T_949, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_134 of rvclkhdr_228 @[lib.scala 368:23] + rvclkhdr_134.clock <= clock + rvclkhdr_134.reset <= reset + rvclkhdr_134.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_134.io.en <= _T_950 @[lib.scala 371:17] + rvclkhdr_134.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_124 : UInt, rvclkhdr_134.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_124 <= btb_wr_data @[lib.scala 374:16] + node _T_951 = eq(btb_wr_addr, UInt<7>("h07d")) @[ifu_bp_ctl.scala 415:95] + node _T_952 = and(_T_951, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_953 = bits(_T_952, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_135 of rvclkhdr_229 @[lib.scala 368:23] + rvclkhdr_135.clock <= clock + rvclkhdr_135.reset <= reset + rvclkhdr_135.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_135.io.en <= _T_953 @[lib.scala 371:17] + rvclkhdr_135.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_125 : UInt, rvclkhdr_135.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_125 <= btb_wr_data @[lib.scala 374:16] + node _T_954 = eq(btb_wr_addr, UInt<7>("h07e")) @[ifu_bp_ctl.scala 415:95] + node _T_955 = and(_T_954, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_956 = bits(_T_955, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_136 of rvclkhdr_230 @[lib.scala 368:23] + rvclkhdr_136.clock <= clock + rvclkhdr_136.reset <= reset + rvclkhdr_136.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_136.io.en <= _T_956 @[lib.scala 371:17] + rvclkhdr_136.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_126 : UInt, rvclkhdr_136.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_126 <= btb_wr_data @[lib.scala 374:16] + node _T_957 = eq(btb_wr_addr, UInt<7>("h07f")) @[ifu_bp_ctl.scala 415:95] + node _T_958 = and(_T_957, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_959 = bits(_T_958, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_137 of rvclkhdr_231 @[lib.scala 368:23] + rvclkhdr_137.clock <= clock + rvclkhdr_137.reset <= reset + rvclkhdr_137.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_137.io.en <= _T_959 @[lib.scala 371:17] + rvclkhdr_137.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_127 : UInt, rvclkhdr_137.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_127 <= btb_wr_data @[lib.scala 374:16] + node _T_960 = eq(btb_wr_addr, UInt<8>("h080")) @[ifu_bp_ctl.scala 415:95] + node _T_961 = and(_T_960, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_962 = bits(_T_961, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_138 of rvclkhdr_232 @[lib.scala 368:23] + rvclkhdr_138.clock <= clock + rvclkhdr_138.reset <= reset + rvclkhdr_138.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_138.io.en <= _T_962 @[lib.scala 371:17] + rvclkhdr_138.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_128 : UInt, rvclkhdr_138.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_128 <= btb_wr_data @[lib.scala 374:16] + node _T_963 = eq(btb_wr_addr, UInt<8>("h081")) @[ifu_bp_ctl.scala 415:95] + node _T_964 = and(_T_963, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_965 = bits(_T_964, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_139 of rvclkhdr_233 @[lib.scala 368:23] + rvclkhdr_139.clock <= clock + rvclkhdr_139.reset <= reset + rvclkhdr_139.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_139.io.en <= _T_965 @[lib.scala 371:17] + rvclkhdr_139.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_129 : UInt, rvclkhdr_139.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_129 <= btb_wr_data @[lib.scala 374:16] + node _T_966 = eq(btb_wr_addr, UInt<8>("h082")) @[ifu_bp_ctl.scala 415:95] + node _T_967 = and(_T_966, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_968 = bits(_T_967, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_140 of rvclkhdr_234 @[lib.scala 368:23] + rvclkhdr_140.clock <= clock + rvclkhdr_140.reset <= reset + rvclkhdr_140.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_140.io.en <= _T_968 @[lib.scala 371:17] + rvclkhdr_140.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_130 : UInt, rvclkhdr_140.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_130 <= btb_wr_data @[lib.scala 374:16] + node _T_969 = eq(btb_wr_addr, UInt<8>("h083")) @[ifu_bp_ctl.scala 415:95] + node _T_970 = and(_T_969, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_971 = bits(_T_970, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_141 of rvclkhdr_235 @[lib.scala 368:23] + rvclkhdr_141.clock <= clock + rvclkhdr_141.reset <= reset + rvclkhdr_141.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_141.io.en <= _T_971 @[lib.scala 371:17] + rvclkhdr_141.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_131 : UInt, rvclkhdr_141.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_131 <= btb_wr_data @[lib.scala 374:16] + node _T_972 = eq(btb_wr_addr, UInt<8>("h084")) @[ifu_bp_ctl.scala 415:95] + node _T_973 = and(_T_972, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_974 = bits(_T_973, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_142 of rvclkhdr_236 @[lib.scala 368:23] + rvclkhdr_142.clock <= clock + rvclkhdr_142.reset <= reset + rvclkhdr_142.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_142.io.en <= _T_974 @[lib.scala 371:17] + rvclkhdr_142.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_132 : UInt, rvclkhdr_142.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_132 <= btb_wr_data @[lib.scala 374:16] + node _T_975 = eq(btb_wr_addr, UInt<8>("h085")) @[ifu_bp_ctl.scala 415:95] + node _T_976 = and(_T_975, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_977 = bits(_T_976, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_143 of rvclkhdr_237 @[lib.scala 368:23] + rvclkhdr_143.clock <= clock + rvclkhdr_143.reset <= reset + rvclkhdr_143.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_143.io.en <= _T_977 @[lib.scala 371:17] + rvclkhdr_143.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_133 : UInt, rvclkhdr_143.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_133 <= btb_wr_data @[lib.scala 374:16] + node _T_978 = eq(btb_wr_addr, UInt<8>("h086")) @[ifu_bp_ctl.scala 415:95] + node _T_979 = and(_T_978, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_980 = bits(_T_979, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_144 of rvclkhdr_238 @[lib.scala 368:23] + rvclkhdr_144.clock <= clock + rvclkhdr_144.reset <= reset + rvclkhdr_144.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_144.io.en <= _T_980 @[lib.scala 371:17] + rvclkhdr_144.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_134 : UInt, rvclkhdr_144.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_134 <= btb_wr_data @[lib.scala 374:16] + node _T_981 = eq(btb_wr_addr, UInt<8>("h087")) @[ifu_bp_ctl.scala 415:95] + node _T_982 = and(_T_981, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_983 = bits(_T_982, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_145 of rvclkhdr_239 @[lib.scala 368:23] + rvclkhdr_145.clock <= clock + rvclkhdr_145.reset <= reset + rvclkhdr_145.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_145.io.en <= _T_983 @[lib.scala 371:17] + rvclkhdr_145.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_135 : UInt, rvclkhdr_145.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_135 <= btb_wr_data @[lib.scala 374:16] + node _T_984 = eq(btb_wr_addr, UInt<8>("h088")) @[ifu_bp_ctl.scala 415:95] + node _T_985 = and(_T_984, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_986 = bits(_T_985, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_146 of rvclkhdr_240 @[lib.scala 368:23] + rvclkhdr_146.clock <= clock + rvclkhdr_146.reset <= reset + rvclkhdr_146.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_146.io.en <= _T_986 @[lib.scala 371:17] + rvclkhdr_146.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_136 : UInt, rvclkhdr_146.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_136 <= btb_wr_data @[lib.scala 374:16] + node _T_987 = eq(btb_wr_addr, UInt<8>("h089")) @[ifu_bp_ctl.scala 415:95] + node _T_988 = and(_T_987, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_989 = bits(_T_988, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_147 of rvclkhdr_241 @[lib.scala 368:23] + rvclkhdr_147.clock <= clock + rvclkhdr_147.reset <= reset + rvclkhdr_147.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_147.io.en <= _T_989 @[lib.scala 371:17] + rvclkhdr_147.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_137 : UInt, rvclkhdr_147.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_137 <= btb_wr_data @[lib.scala 374:16] + node _T_990 = eq(btb_wr_addr, UInt<8>("h08a")) @[ifu_bp_ctl.scala 415:95] + node _T_991 = and(_T_990, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_992 = bits(_T_991, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_148 of rvclkhdr_242 @[lib.scala 368:23] + rvclkhdr_148.clock <= clock + rvclkhdr_148.reset <= reset + rvclkhdr_148.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_148.io.en <= _T_992 @[lib.scala 371:17] + rvclkhdr_148.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_138 : UInt, rvclkhdr_148.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_138 <= btb_wr_data @[lib.scala 374:16] + node _T_993 = eq(btb_wr_addr, UInt<8>("h08b")) @[ifu_bp_ctl.scala 415:95] + node _T_994 = and(_T_993, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_995 = bits(_T_994, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_149 of rvclkhdr_243 @[lib.scala 368:23] + rvclkhdr_149.clock <= clock + rvclkhdr_149.reset <= reset + rvclkhdr_149.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_149.io.en <= _T_995 @[lib.scala 371:17] + rvclkhdr_149.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_139 : UInt, rvclkhdr_149.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_139 <= btb_wr_data @[lib.scala 374:16] + node _T_996 = eq(btb_wr_addr, UInt<8>("h08c")) @[ifu_bp_ctl.scala 415:95] + node _T_997 = and(_T_996, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_998 = bits(_T_997, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_150 of rvclkhdr_244 @[lib.scala 368:23] + rvclkhdr_150.clock <= clock + rvclkhdr_150.reset <= reset + rvclkhdr_150.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_150.io.en <= _T_998 @[lib.scala 371:17] + rvclkhdr_150.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_140 : UInt, rvclkhdr_150.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_140 <= btb_wr_data @[lib.scala 374:16] + node _T_999 = eq(btb_wr_addr, UInt<8>("h08d")) @[ifu_bp_ctl.scala 415:95] + node _T_1000 = and(_T_999, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1001 = bits(_T_1000, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_151 of rvclkhdr_245 @[lib.scala 368:23] + rvclkhdr_151.clock <= clock + rvclkhdr_151.reset <= reset + rvclkhdr_151.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_151.io.en <= _T_1001 @[lib.scala 371:17] + rvclkhdr_151.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_141 : UInt, rvclkhdr_151.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_141 <= btb_wr_data @[lib.scala 374:16] + node _T_1002 = eq(btb_wr_addr, UInt<8>("h08e")) @[ifu_bp_ctl.scala 415:95] + node _T_1003 = and(_T_1002, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1004 = bits(_T_1003, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_152 of rvclkhdr_246 @[lib.scala 368:23] + rvclkhdr_152.clock <= clock + rvclkhdr_152.reset <= reset + rvclkhdr_152.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_152.io.en <= _T_1004 @[lib.scala 371:17] + rvclkhdr_152.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_142 : UInt, rvclkhdr_152.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_142 <= btb_wr_data @[lib.scala 374:16] + node _T_1005 = eq(btb_wr_addr, UInt<8>("h08f")) @[ifu_bp_ctl.scala 415:95] + node _T_1006 = and(_T_1005, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1007 = bits(_T_1006, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_153 of rvclkhdr_247 @[lib.scala 368:23] + rvclkhdr_153.clock <= clock + rvclkhdr_153.reset <= reset + rvclkhdr_153.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_153.io.en <= _T_1007 @[lib.scala 371:17] + rvclkhdr_153.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_143 : UInt, rvclkhdr_153.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_143 <= btb_wr_data @[lib.scala 374:16] + node _T_1008 = eq(btb_wr_addr, UInt<8>("h090")) @[ifu_bp_ctl.scala 415:95] + node _T_1009 = and(_T_1008, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1010 = bits(_T_1009, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_154 of rvclkhdr_248 @[lib.scala 368:23] + rvclkhdr_154.clock <= clock + rvclkhdr_154.reset <= reset + rvclkhdr_154.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_154.io.en <= _T_1010 @[lib.scala 371:17] + rvclkhdr_154.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_144 : UInt, rvclkhdr_154.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_144 <= btb_wr_data @[lib.scala 374:16] + node _T_1011 = eq(btb_wr_addr, UInt<8>("h091")) @[ifu_bp_ctl.scala 415:95] + node _T_1012 = and(_T_1011, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1013 = bits(_T_1012, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_155 of rvclkhdr_249 @[lib.scala 368:23] + rvclkhdr_155.clock <= clock + rvclkhdr_155.reset <= reset + rvclkhdr_155.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_155.io.en <= _T_1013 @[lib.scala 371:17] + rvclkhdr_155.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_145 : UInt, rvclkhdr_155.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_145 <= btb_wr_data @[lib.scala 374:16] + node _T_1014 = eq(btb_wr_addr, UInt<8>("h092")) @[ifu_bp_ctl.scala 415:95] + node _T_1015 = and(_T_1014, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1016 = bits(_T_1015, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_156 of rvclkhdr_250 @[lib.scala 368:23] + rvclkhdr_156.clock <= clock + rvclkhdr_156.reset <= reset + rvclkhdr_156.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_156.io.en <= _T_1016 @[lib.scala 371:17] + rvclkhdr_156.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_146 : UInt, rvclkhdr_156.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_146 <= btb_wr_data @[lib.scala 374:16] + node _T_1017 = eq(btb_wr_addr, UInt<8>("h093")) @[ifu_bp_ctl.scala 415:95] + node _T_1018 = and(_T_1017, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1019 = bits(_T_1018, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_157 of rvclkhdr_251 @[lib.scala 368:23] + rvclkhdr_157.clock <= clock + rvclkhdr_157.reset <= reset + rvclkhdr_157.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_157.io.en <= _T_1019 @[lib.scala 371:17] + rvclkhdr_157.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_147 : UInt, rvclkhdr_157.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_147 <= btb_wr_data @[lib.scala 374:16] + node _T_1020 = eq(btb_wr_addr, UInt<8>("h094")) @[ifu_bp_ctl.scala 415:95] + node _T_1021 = and(_T_1020, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1022 = bits(_T_1021, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_158 of rvclkhdr_252 @[lib.scala 368:23] + rvclkhdr_158.clock <= clock + rvclkhdr_158.reset <= reset + rvclkhdr_158.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_158.io.en <= _T_1022 @[lib.scala 371:17] + rvclkhdr_158.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_148 : UInt, rvclkhdr_158.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_148 <= btb_wr_data @[lib.scala 374:16] + node _T_1023 = eq(btb_wr_addr, UInt<8>("h095")) @[ifu_bp_ctl.scala 415:95] + node _T_1024 = and(_T_1023, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1025 = bits(_T_1024, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_159 of rvclkhdr_253 @[lib.scala 368:23] + rvclkhdr_159.clock <= clock + rvclkhdr_159.reset <= reset + rvclkhdr_159.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_159.io.en <= _T_1025 @[lib.scala 371:17] + rvclkhdr_159.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_149 : UInt, rvclkhdr_159.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_149 <= btb_wr_data @[lib.scala 374:16] + node _T_1026 = eq(btb_wr_addr, UInt<8>("h096")) @[ifu_bp_ctl.scala 415:95] + node _T_1027 = and(_T_1026, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1028 = bits(_T_1027, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_160 of rvclkhdr_254 @[lib.scala 368:23] + rvclkhdr_160.clock <= clock + rvclkhdr_160.reset <= reset + rvclkhdr_160.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_160.io.en <= _T_1028 @[lib.scala 371:17] + rvclkhdr_160.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_150 : UInt, rvclkhdr_160.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_150 <= btb_wr_data @[lib.scala 374:16] + node _T_1029 = eq(btb_wr_addr, UInt<8>("h097")) @[ifu_bp_ctl.scala 415:95] + node _T_1030 = and(_T_1029, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1031 = bits(_T_1030, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_161 of rvclkhdr_255 @[lib.scala 368:23] + rvclkhdr_161.clock <= clock + rvclkhdr_161.reset <= reset + rvclkhdr_161.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_161.io.en <= _T_1031 @[lib.scala 371:17] + rvclkhdr_161.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_151 : UInt, rvclkhdr_161.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_151 <= btb_wr_data @[lib.scala 374:16] + node _T_1032 = eq(btb_wr_addr, UInt<8>("h098")) @[ifu_bp_ctl.scala 415:95] + node _T_1033 = and(_T_1032, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1034 = bits(_T_1033, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_162 of rvclkhdr_256 @[lib.scala 368:23] + rvclkhdr_162.clock <= clock + rvclkhdr_162.reset <= reset + rvclkhdr_162.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_162.io.en <= _T_1034 @[lib.scala 371:17] + rvclkhdr_162.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_152 : UInt, rvclkhdr_162.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_152 <= btb_wr_data @[lib.scala 374:16] + node _T_1035 = eq(btb_wr_addr, UInt<8>("h099")) @[ifu_bp_ctl.scala 415:95] + node _T_1036 = and(_T_1035, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1037 = bits(_T_1036, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_163 of rvclkhdr_257 @[lib.scala 368:23] + rvclkhdr_163.clock <= clock + rvclkhdr_163.reset <= reset + rvclkhdr_163.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_163.io.en <= _T_1037 @[lib.scala 371:17] + rvclkhdr_163.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_153 : UInt, rvclkhdr_163.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_153 <= btb_wr_data @[lib.scala 374:16] + node _T_1038 = eq(btb_wr_addr, UInt<8>("h09a")) @[ifu_bp_ctl.scala 415:95] + node _T_1039 = and(_T_1038, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1040 = bits(_T_1039, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_164 of rvclkhdr_258 @[lib.scala 368:23] + rvclkhdr_164.clock <= clock + rvclkhdr_164.reset <= reset + rvclkhdr_164.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_164.io.en <= _T_1040 @[lib.scala 371:17] + rvclkhdr_164.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_154 : UInt, rvclkhdr_164.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_154 <= btb_wr_data @[lib.scala 374:16] + node _T_1041 = eq(btb_wr_addr, UInt<8>("h09b")) @[ifu_bp_ctl.scala 415:95] + node _T_1042 = and(_T_1041, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1043 = bits(_T_1042, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_165 of rvclkhdr_259 @[lib.scala 368:23] + rvclkhdr_165.clock <= clock + rvclkhdr_165.reset <= reset + rvclkhdr_165.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_165.io.en <= _T_1043 @[lib.scala 371:17] + rvclkhdr_165.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_155 : UInt, rvclkhdr_165.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_155 <= btb_wr_data @[lib.scala 374:16] + node _T_1044 = eq(btb_wr_addr, UInt<8>("h09c")) @[ifu_bp_ctl.scala 415:95] + node _T_1045 = and(_T_1044, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1046 = bits(_T_1045, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_166 of rvclkhdr_260 @[lib.scala 368:23] + rvclkhdr_166.clock <= clock + rvclkhdr_166.reset <= reset + rvclkhdr_166.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_166.io.en <= _T_1046 @[lib.scala 371:17] + rvclkhdr_166.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_156 : UInt, rvclkhdr_166.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_156 <= btb_wr_data @[lib.scala 374:16] + node _T_1047 = eq(btb_wr_addr, UInt<8>("h09d")) @[ifu_bp_ctl.scala 415:95] + node _T_1048 = and(_T_1047, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1049 = bits(_T_1048, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_167 of rvclkhdr_261 @[lib.scala 368:23] + rvclkhdr_167.clock <= clock + rvclkhdr_167.reset <= reset + rvclkhdr_167.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_167.io.en <= _T_1049 @[lib.scala 371:17] + rvclkhdr_167.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_157 : UInt, rvclkhdr_167.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_157 <= btb_wr_data @[lib.scala 374:16] + node _T_1050 = eq(btb_wr_addr, UInt<8>("h09e")) @[ifu_bp_ctl.scala 415:95] + node _T_1051 = and(_T_1050, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1052 = bits(_T_1051, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_168 of rvclkhdr_262 @[lib.scala 368:23] + rvclkhdr_168.clock <= clock + rvclkhdr_168.reset <= reset + rvclkhdr_168.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_168.io.en <= _T_1052 @[lib.scala 371:17] + rvclkhdr_168.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_158 : UInt, rvclkhdr_168.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_158 <= btb_wr_data @[lib.scala 374:16] + node _T_1053 = eq(btb_wr_addr, UInt<8>("h09f")) @[ifu_bp_ctl.scala 415:95] + node _T_1054 = and(_T_1053, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1055 = bits(_T_1054, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_169 of rvclkhdr_263 @[lib.scala 368:23] + rvclkhdr_169.clock <= clock + rvclkhdr_169.reset <= reset + rvclkhdr_169.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_169.io.en <= _T_1055 @[lib.scala 371:17] + rvclkhdr_169.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_159 : UInt, rvclkhdr_169.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_159 <= btb_wr_data @[lib.scala 374:16] + node _T_1056 = eq(btb_wr_addr, UInt<8>("h0a0")) @[ifu_bp_ctl.scala 415:95] + node _T_1057 = and(_T_1056, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1058 = bits(_T_1057, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_170 of rvclkhdr_264 @[lib.scala 368:23] + rvclkhdr_170.clock <= clock + rvclkhdr_170.reset <= reset + rvclkhdr_170.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_170.io.en <= _T_1058 @[lib.scala 371:17] + rvclkhdr_170.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_160 : UInt, rvclkhdr_170.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_160 <= btb_wr_data @[lib.scala 374:16] + node _T_1059 = eq(btb_wr_addr, UInt<8>("h0a1")) @[ifu_bp_ctl.scala 415:95] + node _T_1060 = and(_T_1059, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1061 = bits(_T_1060, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_171 of rvclkhdr_265 @[lib.scala 368:23] + rvclkhdr_171.clock <= clock + rvclkhdr_171.reset <= reset + rvclkhdr_171.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_171.io.en <= _T_1061 @[lib.scala 371:17] + rvclkhdr_171.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_161 : UInt, rvclkhdr_171.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_161 <= btb_wr_data @[lib.scala 374:16] + node _T_1062 = eq(btb_wr_addr, UInt<8>("h0a2")) @[ifu_bp_ctl.scala 415:95] + node _T_1063 = and(_T_1062, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1064 = bits(_T_1063, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_172 of rvclkhdr_266 @[lib.scala 368:23] + rvclkhdr_172.clock <= clock + rvclkhdr_172.reset <= reset + rvclkhdr_172.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_172.io.en <= _T_1064 @[lib.scala 371:17] + rvclkhdr_172.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_162 : UInt, rvclkhdr_172.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_162 <= btb_wr_data @[lib.scala 374:16] + node _T_1065 = eq(btb_wr_addr, UInt<8>("h0a3")) @[ifu_bp_ctl.scala 415:95] + node _T_1066 = and(_T_1065, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1067 = bits(_T_1066, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_173 of rvclkhdr_267 @[lib.scala 368:23] + rvclkhdr_173.clock <= clock + rvclkhdr_173.reset <= reset + rvclkhdr_173.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_173.io.en <= _T_1067 @[lib.scala 371:17] + rvclkhdr_173.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_163 : UInt, rvclkhdr_173.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_163 <= btb_wr_data @[lib.scala 374:16] + node _T_1068 = eq(btb_wr_addr, UInt<8>("h0a4")) @[ifu_bp_ctl.scala 415:95] + node _T_1069 = and(_T_1068, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1070 = bits(_T_1069, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_174 of rvclkhdr_268 @[lib.scala 368:23] + rvclkhdr_174.clock <= clock + rvclkhdr_174.reset <= reset + rvclkhdr_174.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_174.io.en <= _T_1070 @[lib.scala 371:17] + rvclkhdr_174.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_164 : UInt, rvclkhdr_174.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_164 <= btb_wr_data @[lib.scala 374:16] + node _T_1071 = eq(btb_wr_addr, UInt<8>("h0a5")) @[ifu_bp_ctl.scala 415:95] + node _T_1072 = and(_T_1071, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1073 = bits(_T_1072, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_175 of rvclkhdr_269 @[lib.scala 368:23] + rvclkhdr_175.clock <= clock + rvclkhdr_175.reset <= reset + rvclkhdr_175.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_175.io.en <= _T_1073 @[lib.scala 371:17] + rvclkhdr_175.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_165 : UInt, rvclkhdr_175.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_165 <= btb_wr_data @[lib.scala 374:16] + node _T_1074 = eq(btb_wr_addr, UInt<8>("h0a6")) @[ifu_bp_ctl.scala 415:95] + node _T_1075 = and(_T_1074, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1076 = bits(_T_1075, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_176 of rvclkhdr_270 @[lib.scala 368:23] + rvclkhdr_176.clock <= clock + rvclkhdr_176.reset <= reset + rvclkhdr_176.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_176.io.en <= _T_1076 @[lib.scala 371:17] + rvclkhdr_176.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_166 : UInt, rvclkhdr_176.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_166 <= btb_wr_data @[lib.scala 374:16] + node _T_1077 = eq(btb_wr_addr, UInt<8>("h0a7")) @[ifu_bp_ctl.scala 415:95] + node _T_1078 = and(_T_1077, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1079 = bits(_T_1078, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_177 of rvclkhdr_271 @[lib.scala 368:23] + rvclkhdr_177.clock <= clock + rvclkhdr_177.reset <= reset + rvclkhdr_177.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_177.io.en <= _T_1079 @[lib.scala 371:17] + rvclkhdr_177.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_167 : UInt, rvclkhdr_177.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_167 <= btb_wr_data @[lib.scala 374:16] + node _T_1080 = eq(btb_wr_addr, UInt<8>("h0a8")) @[ifu_bp_ctl.scala 415:95] + node _T_1081 = and(_T_1080, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1082 = bits(_T_1081, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_178 of rvclkhdr_272 @[lib.scala 368:23] + rvclkhdr_178.clock <= clock + rvclkhdr_178.reset <= reset + rvclkhdr_178.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_178.io.en <= _T_1082 @[lib.scala 371:17] + rvclkhdr_178.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_168 : UInt, rvclkhdr_178.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_168 <= btb_wr_data @[lib.scala 374:16] + node _T_1083 = eq(btb_wr_addr, UInt<8>("h0a9")) @[ifu_bp_ctl.scala 415:95] + node _T_1084 = and(_T_1083, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1085 = bits(_T_1084, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_179 of rvclkhdr_273 @[lib.scala 368:23] + rvclkhdr_179.clock <= clock + rvclkhdr_179.reset <= reset + rvclkhdr_179.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_179.io.en <= _T_1085 @[lib.scala 371:17] + rvclkhdr_179.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_169 : UInt, rvclkhdr_179.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_169 <= btb_wr_data @[lib.scala 374:16] + node _T_1086 = eq(btb_wr_addr, UInt<8>("h0aa")) @[ifu_bp_ctl.scala 415:95] + node _T_1087 = and(_T_1086, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1088 = bits(_T_1087, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_180 of rvclkhdr_274 @[lib.scala 368:23] + rvclkhdr_180.clock <= clock + rvclkhdr_180.reset <= reset + rvclkhdr_180.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_180.io.en <= _T_1088 @[lib.scala 371:17] + rvclkhdr_180.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_170 : UInt, rvclkhdr_180.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_170 <= btb_wr_data @[lib.scala 374:16] + node _T_1089 = eq(btb_wr_addr, UInt<8>("h0ab")) @[ifu_bp_ctl.scala 415:95] + node _T_1090 = and(_T_1089, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1091 = bits(_T_1090, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_181 of rvclkhdr_275 @[lib.scala 368:23] + rvclkhdr_181.clock <= clock + rvclkhdr_181.reset <= reset + rvclkhdr_181.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_181.io.en <= _T_1091 @[lib.scala 371:17] + rvclkhdr_181.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_171 : UInt, rvclkhdr_181.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_171 <= btb_wr_data @[lib.scala 374:16] + node _T_1092 = eq(btb_wr_addr, UInt<8>("h0ac")) @[ifu_bp_ctl.scala 415:95] + node _T_1093 = and(_T_1092, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1094 = bits(_T_1093, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_182 of rvclkhdr_276 @[lib.scala 368:23] + rvclkhdr_182.clock <= clock + rvclkhdr_182.reset <= reset + rvclkhdr_182.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_182.io.en <= _T_1094 @[lib.scala 371:17] + rvclkhdr_182.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_172 : UInt, rvclkhdr_182.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_172 <= btb_wr_data @[lib.scala 374:16] + node _T_1095 = eq(btb_wr_addr, UInt<8>("h0ad")) @[ifu_bp_ctl.scala 415:95] + node _T_1096 = and(_T_1095, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1097 = bits(_T_1096, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_183 of rvclkhdr_277 @[lib.scala 368:23] + rvclkhdr_183.clock <= clock + rvclkhdr_183.reset <= reset + rvclkhdr_183.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_183.io.en <= _T_1097 @[lib.scala 371:17] + rvclkhdr_183.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_173 : UInt, rvclkhdr_183.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_173 <= btb_wr_data @[lib.scala 374:16] + node _T_1098 = eq(btb_wr_addr, UInt<8>("h0ae")) @[ifu_bp_ctl.scala 415:95] + node _T_1099 = and(_T_1098, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1100 = bits(_T_1099, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_184 of rvclkhdr_278 @[lib.scala 368:23] + rvclkhdr_184.clock <= clock + rvclkhdr_184.reset <= reset + rvclkhdr_184.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_184.io.en <= _T_1100 @[lib.scala 371:17] + rvclkhdr_184.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_174 : UInt, rvclkhdr_184.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_174 <= btb_wr_data @[lib.scala 374:16] + node _T_1101 = eq(btb_wr_addr, UInt<8>("h0af")) @[ifu_bp_ctl.scala 415:95] + node _T_1102 = and(_T_1101, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1103 = bits(_T_1102, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_185 of rvclkhdr_279 @[lib.scala 368:23] + rvclkhdr_185.clock <= clock + rvclkhdr_185.reset <= reset + rvclkhdr_185.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_185.io.en <= _T_1103 @[lib.scala 371:17] + rvclkhdr_185.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_175 : UInt, rvclkhdr_185.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_175 <= btb_wr_data @[lib.scala 374:16] + node _T_1104 = eq(btb_wr_addr, UInt<8>("h0b0")) @[ifu_bp_ctl.scala 415:95] + node _T_1105 = and(_T_1104, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1106 = bits(_T_1105, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_186 of rvclkhdr_280 @[lib.scala 368:23] + rvclkhdr_186.clock <= clock + rvclkhdr_186.reset <= reset + rvclkhdr_186.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_186.io.en <= _T_1106 @[lib.scala 371:17] + rvclkhdr_186.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_176 : UInt, rvclkhdr_186.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_176 <= btb_wr_data @[lib.scala 374:16] + node _T_1107 = eq(btb_wr_addr, UInt<8>("h0b1")) @[ifu_bp_ctl.scala 415:95] + node _T_1108 = and(_T_1107, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1109 = bits(_T_1108, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_187 of rvclkhdr_281 @[lib.scala 368:23] + rvclkhdr_187.clock <= clock + rvclkhdr_187.reset <= reset + rvclkhdr_187.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_187.io.en <= _T_1109 @[lib.scala 371:17] + rvclkhdr_187.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_177 : UInt, rvclkhdr_187.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_177 <= btb_wr_data @[lib.scala 374:16] + node _T_1110 = eq(btb_wr_addr, UInt<8>("h0b2")) @[ifu_bp_ctl.scala 415:95] + node _T_1111 = and(_T_1110, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1112 = bits(_T_1111, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_188 of rvclkhdr_282 @[lib.scala 368:23] + rvclkhdr_188.clock <= clock + rvclkhdr_188.reset <= reset + rvclkhdr_188.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_188.io.en <= _T_1112 @[lib.scala 371:17] + rvclkhdr_188.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_178 : UInt, rvclkhdr_188.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_178 <= btb_wr_data @[lib.scala 374:16] + node _T_1113 = eq(btb_wr_addr, UInt<8>("h0b3")) @[ifu_bp_ctl.scala 415:95] + node _T_1114 = and(_T_1113, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1115 = bits(_T_1114, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_189 of rvclkhdr_283 @[lib.scala 368:23] + rvclkhdr_189.clock <= clock + rvclkhdr_189.reset <= reset + rvclkhdr_189.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_189.io.en <= _T_1115 @[lib.scala 371:17] + rvclkhdr_189.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_179 : UInt, rvclkhdr_189.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_179 <= btb_wr_data @[lib.scala 374:16] + node _T_1116 = eq(btb_wr_addr, UInt<8>("h0b4")) @[ifu_bp_ctl.scala 415:95] + node _T_1117 = and(_T_1116, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1118 = bits(_T_1117, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_190 of rvclkhdr_284 @[lib.scala 368:23] + rvclkhdr_190.clock <= clock + rvclkhdr_190.reset <= reset + rvclkhdr_190.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_190.io.en <= _T_1118 @[lib.scala 371:17] + rvclkhdr_190.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_180 : UInt, rvclkhdr_190.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_180 <= btb_wr_data @[lib.scala 374:16] + node _T_1119 = eq(btb_wr_addr, UInt<8>("h0b5")) @[ifu_bp_ctl.scala 415:95] + node _T_1120 = and(_T_1119, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1121 = bits(_T_1120, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_191 of rvclkhdr_285 @[lib.scala 368:23] + rvclkhdr_191.clock <= clock + rvclkhdr_191.reset <= reset + rvclkhdr_191.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_191.io.en <= _T_1121 @[lib.scala 371:17] + rvclkhdr_191.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_181 : UInt, rvclkhdr_191.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_181 <= btb_wr_data @[lib.scala 374:16] + node _T_1122 = eq(btb_wr_addr, UInt<8>("h0b6")) @[ifu_bp_ctl.scala 415:95] + node _T_1123 = and(_T_1122, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1124 = bits(_T_1123, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_192 of rvclkhdr_286 @[lib.scala 368:23] + rvclkhdr_192.clock <= clock + rvclkhdr_192.reset <= reset + rvclkhdr_192.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_192.io.en <= _T_1124 @[lib.scala 371:17] + rvclkhdr_192.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_182 : UInt, rvclkhdr_192.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_182 <= btb_wr_data @[lib.scala 374:16] + node _T_1125 = eq(btb_wr_addr, UInt<8>("h0b7")) @[ifu_bp_ctl.scala 415:95] + node _T_1126 = and(_T_1125, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1127 = bits(_T_1126, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_193 of rvclkhdr_287 @[lib.scala 368:23] + rvclkhdr_193.clock <= clock + rvclkhdr_193.reset <= reset + rvclkhdr_193.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_193.io.en <= _T_1127 @[lib.scala 371:17] + rvclkhdr_193.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_183 : UInt, rvclkhdr_193.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_183 <= btb_wr_data @[lib.scala 374:16] + node _T_1128 = eq(btb_wr_addr, UInt<8>("h0b8")) @[ifu_bp_ctl.scala 415:95] + node _T_1129 = and(_T_1128, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1130 = bits(_T_1129, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_194 of rvclkhdr_288 @[lib.scala 368:23] + rvclkhdr_194.clock <= clock + rvclkhdr_194.reset <= reset + rvclkhdr_194.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_194.io.en <= _T_1130 @[lib.scala 371:17] + rvclkhdr_194.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_184 : UInt, rvclkhdr_194.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_184 <= btb_wr_data @[lib.scala 374:16] + node _T_1131 = eq(btb_wr_addr, UInt<8>("h0b9")) @[ifu_bp_ctl.scala 415:95] + node _T_1132 = and(_T_1131, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1133 = bits(_T_1132, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_195 of rvclkhdr_289 @[lib.scala 368:23] + rvclkhdr_195.clock <= clock + rvclkhdr_195.reset <= reset + rvclkhdr_195.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_195.io.en <= _T_1133 @[lib.scala 371:17] + rvclkhdr_195.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_185 : UInt, rvclkhdr_195.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_185 <= btb_wr_data @[lib.scala 374:16] + node _T_1134 = eq(btb_wr_addr, UInt<8>("h0ba")) @[ifu_bp_ctl.scala 415:95] + node _T_1135 = and(_T_1134, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1136 = bits(_T_1135, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_196 of rvclkhdr_290 @[lib.scala 368:23] + rvclkhdr_196.clock <= clock + rvclkhdr_196.reset <= reset + rvclkhdr_196.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_196.io.en <= _T_1136 @[lib.scala 371:17] + rvclkhdr_196.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_186 : UInt, rvclkhdr_196.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_186 <= btb_wr_data @[lib.scala 374:16] + node _T_1137 = eq(btb_wr_addr, UInt<8>("h0bb")) @[ifu_bp_ctl.scala 415:95] + node _T_1138 = and(_T_1137, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1139 = bits(_T_1138, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_197 of rvclkhdr_291 @[lib.scala 368:23] + rvclkhdr_197.clock <= clock + rvclkhdr_197.reset <= reset + rvclkhdr_197.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_197.io.en <= _T_1139 @[lib.scala 371:17] + rvclkhdr_197.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_187 : UInt, rvclkhdr_197.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_187 <= btb_wr_data @[lib.scala 374:16] + node _T_1140 = eq(btb_wr_addr, UInt<8>("h0bc")) @[ifu_bp_ctl.scala 415:95] + node _T_1141 = and(_T_1140, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1142 = bits(_T_1141, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_198 of rvclkhdr_292 @[lib.scala 368:23] + rvclkhdr_198.clock <= clock + rvclkhdr_198.reset <= reset + rvclkhdr_198.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_198.io.en <= _T_1142 @[lib.scala 371:17] + rvclkhdr_198.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_188 : UInt, rvclkhdr_198.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_188 <= btb_wr_data @[lib.scala 374:16] + node _T_1143 = eq(btb_wr_addr, UInt<8>("h0bd")) @[ifu_bp_ctl.scala 415:95] + node _T_1144 = and(_T_1143, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1145 = bits(_T_1144, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_199 of rvclkhdr_293 @[lib.scala 368:23] + rvclkhdr_199.clock <= clock + rvclkhdr_199.reset <= reset + rvclkhdr_199.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_199.io.en <= _T_1145 @[lib.scala 371:17] + rvclkhdr_199.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_189 : UInt, rvclkhdr_199.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_189 <= btb_wr_data @[lib.scala 374:16] + node _T_1146 = eq(btb_wr_addr, UInt<8>("h0be")) @[ifu_bp_ctl.scala 415:95] + node _T_1147 = and(_T_1146, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1148 = bits(_T_1147, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_200 of rvclkhdr_294 @[lib.scala 368:23] + rvclkhdr_200.clock <= clock + rvclkhdr_200.reset <= reset + rvclkhdr_200.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_200.io.en <= _T_1148 @[lib.scala 371:17] + rvclkhdr_200.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_190 : UInt, rvclkhdr_200.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_190 <= btb_wr_data @[lib.scala 374:16] + node _T_1149 = eq(btb_wr_addr, UInt<8>("h0bf")) @[ifu_bp_ctl.scala 415:95] + node _T_1150 = and(_T_1149, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1151 = bits(_T_1150, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_201 of rvclkhdr_295 @[lib.scala 368:23] + rvclkhdr_201.clock <= clock + rvclkhdr_201.reset <= reset + rvclkhdr_201.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_201.io.en <= _T_1151 @[lib.scala 371:17] + rvclkhdr_201.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_191 : UInt, rvclkhdr_201.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_191 <= btb_wr_data @[lib.scala 374:16] + node _T_1152 = eq(btb_wr_addr, UInt<8>("h0c0")) @[ifu_bp_ctl.scala 415:95] + node _T_1153 = and(_T_1152, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1154 = bits(_T_1153, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_202 of rvclkhdr_296 @[lib.scala 368:23] + rvclkhdr_202.clock <= clock + rvclkhdr_202.reset <= reset + rvclkhdr_202.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_202.io.en <= _T_1154 @[lib.scala 371:17] + rvclkhdr_202.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_192 : UInt, rvclkhdr_202.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_192 <= btb_wr_data @[lib.scala 374:16] + node _T_1155 = eq(btb_wr_addr, UInt<8>("h0c1")) @[ifu_bp_ctl.scala 415:95] + node _T_1156 = and(_T_1155, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1157 = bits(_T_1156, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_203 of rvclkhdr_297 @[lib.scala 368:23] + rvclkhdr_203.clock <= clock + rvclkhdr_203.reset <= reset + rvclkhdr_203.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_203.io.en <= _T_1157 @[lib.scala 371:17] + rvclkhdr_203.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_193 : UInt, rvclkhdr_203.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_193 <= btb_wr_data @[lib.scala 374:16] + node _T_1158 = eq(btb_wr_addr, UInt<8>("h0c2")) @[ifu_bp_ctl.scala 415:95] + node _T_1159 = and(_T_1158, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1160 = bits(_T_1159, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_204 of rvclkhdr_298 @[lib.scala 368:23] + rvclkhdr_204.clock <= clock + rvclkhdr_204.reset <= reset + rvclkhdr_204.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_204.io.en <= _T_1160 @[lib.scala 371:17] + rvclkhdr_204.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_194 : UInt, rvclkhdr_204.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_194 <= btb_wr_data @[lib.scala 374:16] + node _T_1161 = eq(btb_wr_addr, UInt<8>("h0c3")) @[ifu_bp_ctl.scala 415:95] + node _T_1162 = and(_T_1161, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1163 = bits(_T_1162, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_205 of rvclkhdr_299 @[lib.scala 368:23] + rvclkhdr_205.clock <= clock + rvclkhdr_205.reset <= reset + rvclkhdr_205.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_205.io.en <= _T_1163 @[lib.scala 371:17] + rvclkhdr_205.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_195 : UInt, rvclkhdr_205.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_195 <= btb_wr_data @[lib.scala 374:16] + node _T_1164 = eq(btb_wr_addr, UInt<8>("h0c4")) @[ifu_bp_ctl.scala 415:95] + node _T_1165 = and(_T_1164, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1166 = bits(_T_1165, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_206 of rvclkhdr_300 @[lib.scala 368:23] + rvclkhdr_206.clock <= clock + rvclkhdr_206.reset <= reset + rvclkhdr_206.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_206.io.en <= _T_1166 @[lib.scala 371:17] + rvclkhdr_206.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_196 : UInt, rvclkhdr_206.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_196 <= btb_wr_data @[lib.scala 374:16] + node _T_1167 = eq(btb_wr_addr, UInt<8>("h0c5")) @[ifu_bp_ctl.scala 415:95] + node _T_1168 = and(_T_1167, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1169 = bits(_T_1168, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_207 of rvclkhdr_301 @[lib.scala 368:23] + rvclkhdr_207.clock <= clock + rvclkhdr_207.reset <= reset + rvclkhdr_207.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_207.io.en <= _T_1169 @[lib.scala 371:17] + rvclkhdr_207.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_197 : UInt, rvclkhdr_207.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_197 <= btb_wr_data @[lib.scala 374:16] + node _T_1170 = eq(btb_wr_addr, UInt<8>("h0c6")) @[ifu_bp_ctl.scala 415:95] + node _T_1171 = and(_T_1170, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1172 = bits(_T_1171, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_208 of rvclkhdr_302 @[lib.scala 368:23] + rvclkhdr_208.clock <= clock + rvclkhdr_208.reset <= reset + rvclkhdr_208.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_208.io.en <= _T_1172 @[lib.scala 371:17] + rvclkhdr_208.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_198 : UInt, rvclkhdr_208.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_198 <= btb_wr_data @[lib.scala 374:16] + node _T_1173 = eq(btb_wr_addr, UInt<8>("h0c7")) @[ifu_bp_ctl.scala 415:95] + node _T_1174 = and(_T_1173, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1175 = bits(_T_1174, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_209 of rvclkhdr_303 @[lib.scala 368:23] + rvclkhdr_209.clock <= clock + rvclkhdr_209.reset <= reset + rvclkhdr_209.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_209.io.en <= _T_1175 @[lib.scala 371:17] + rvclkhdr_209.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_199 : UInt, rvclkhdr_209.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_199 <= btb_wr_data @[lib.scala 374:16] + node _T_1176 = eq(btb_wr_addr, UInt<8>("h0c8")) @[ifu_bp_ctl.scala 415:95] + node _T_1177 = and(_T_1176, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1178 = bits(_T_1177, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_210 of rvclkhdr_304 @[lib.scala 368:23] + rvclkhdr_210.clock <= clock + rvclkhdr_210.reset <= reset + rvclkhdr_210.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_210.io.en <= _T_1178 @[lib.scala 371:17] + rvclkhdr_210.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_200 : UInt, rvclkhdr_210.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_200 <= btb_wr_data @[lib.scala 374:16] + node _T_1179 = eq(btb_wr_addr, UInt<8>("h0c9")) @[ifu_bp_ctl.scala 415:95] + node _T_1180 = and(_T_1179, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1181 = bits(_T_1180, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_211 of rvclkhdr_305 @[lib.scala 368:23] + rvclkhdr_211.clock <= clock + rvclkhdr_211.reset <= reset + rvclkhdr_211.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_211.io.en <= _T_1181 @[lib.scala 371:17] + rvclkhdr_211.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_201 : UInt, rvclkhdr_211.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_201 <= btb_wr_data @[lib.scala 374:16] + node _T_1182 = eq(btb_wr_addr, UInt<8>("h0ca")) @[ifu_bp_ctl.scala 415:95] + node _T_1183 = and(_T_1182, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1184 = bits(_T_1183, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_212 of rvclkhdr_306 @[lib.scala 368:23] + rvclkhdr_212.clock <= clock + rvclkhdr_212.reset <= reset + rvclkhdr_212.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_212.io.en <= _T_1184 @[lib.scala 371:17] + rvclkhdr_212.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_202 : UInt, rvclkhdr_212.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_202 <= btb_wr_data @[lib.scala 374:16] + node _T_1185 = eq(btb_wr_addr, UInt<8>("h0cb")) @[ifu_bp_ctl.scala 415:95] + node _T_1186 = and(_T_1185, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1187 = bits(_T_1186, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_213 of rvclkhdr_307 @[lib.scala 368:23] + rvclkhdr_213.clock <= clock + rvclkhdr_213.reset <= reset + rvclkhdr_213.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_213.io.en <= _T_1187 @[lib.scala 371:17] + rvclkhdr_213.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_203 : UInt, rvclkhdr_213.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_203 <= btb_wr_data @[lib.scala 374:16] + node _T_1188 = eq(btb_wr_addr, UInt<8>("h0cc")) @[ifu_bp_ctl.scala 415:95] + node _T_1189 = and(_T_1188, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1190 = bits(_T_1189, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_214 of rvclkhdr_308 @[lib.scala 368:23] + rvclkhdr_214.clock <= clock + rvclkhdr_214.reset <= reset + rvclkhdr_214.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_214.io.en <= _T_1190 @[lib.scala 371:17] + rvclkhdr_214.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_204 : UInt, rvclkhdr_214.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_204 <= btb_wr_data @[lib.scala 374:16] + node _T_1191 = eq(btb_wr_addr, UInt<8>("h0cd")) @[ifu_bp_ctl.scala 415:95] + node _T_1192 = and(_T_1191, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1193 = bits(_T_1192, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_215 of rvclkhdr_309 @[lib.scala 368:23] + rvclkhdr_215.clock <= clock + rvclkhdr_215.reset <= reset + rvclkhdr_215.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_215.io.en <= _T_1193 @[lib.scala 371:17] + rvclkhdr_215.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_205 : UInt, rvclkhdr_215.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_205 <= btb_wr_data @[lib.scala 374:16] + node _T_1194 = eq(btb_wr_addr, UInt<8>("h0ce")) @[ifu_bp_ctl.scala 415:95] + node _T_1195 = and(_T_1194, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1196 = bits(_T_1195, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_216 of rvclkhdr_310 @[lib.scala 368:23] + rvclkhdr_216.clock <= clock + rvclkhdr_216.reset <= reset + rvclkhdr_216.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_216.io.en <= _T_1196 @[lib.scala 371:17] + rvclkhdr_216.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_206 : UInt, rvclkhdr_216.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_206 <= btb_wr_data @[lib.scala 374:16] + node _T_1197 = eq(btb_wr_addr, UInt<8>("h0cf")) @[ifu_bp_ctl.scala 415:95] + node _T_1198 = and(_T_1197, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1199 = bits(_T_1198, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_217 of rvclkhdr_311 @[lib.scala 368:23] + rvclkhdr_217.clock <= clock + rvclkhdr_217.reset <= reset + rvclkhdr_217.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_217.io.en <= _T_1199 @[lib.scala 371:17] + rvclkhdr_217.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_207 : UInt, rvclkhdr_217.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_207 <= btb_wr_data @[lib.scala 374:16] + node _T_1200 = eq(btb_wr_addr, UInt<8>("h0d0")) @[ifu_bp_ctl.scala 415:95] + node _T_1201 = and(_T_1200, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1202 = bits(_T_1201, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_218 of rvclkhdr_312 @[lib.scala 368:23] + rvclkhdr_218.clock <= clock + rvclkhdr_218.reset <= reset + rvclkhdr_218.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_218.io.en <= _T_1202 @[lib.scala 371:17] + rvclkhdr_218.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_208 : UInt, rvclkhdr_218.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_208 <= btb_wr_data @[lib.scala 374:16] + node _T_1203 = eq(btb_wr_addr, UInt<8>("h0d1")) @[ifu_bp_ctl.scala 415:95] + node _T_1204 = and(_T_1203, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1205 = bits(_T_1204, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_219 of rvclkhdr_313 @[lib.scala 368:23] + rvclkhdr_219.clock <= clock + rvclkhdr_219.reset <= reset + rvclkhdr_219.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_219.io.en <= _T_1205 @[lib.scala 371:17] + rvclkhdr_219.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_209 : UInt, rvclkhdr_219.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_209 <= btb_wr_data @[lib.scala 374:16] + node _T_1206 = eq(btb_wr_addr, UInt<8>("h0d2")) @[ifu_bp_ctl.scala 415:95] + node _T_1207 = and(_T_1206, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1208 = bits(_T_1207, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_220 of rvclkhdr_314 @[lib.scala 368:23] + rvclkhdr_220.clock <= clock + rvclkhdr_220.reset <= reset + rvclkhdr_220.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_220.io.en <= _T_1208 @[lib.scala 371:17] + rvclkhdr_220.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_210 : UInt, rvclkhdr_220.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_210 <= btb_wr_data @[lib.scala 374:16] + node _T_1209 = eq(btb_wr_addr, UInt<8>("h0d3")) @[ifu_bp_ctl.scala 415:95] + node _T_1210 = and(_T_1209, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1211 = bits(_T_1210, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_221 of rvclkhdr_315 @[lib.scala 368:23] + rvclkhdr_221.clock <= clock + rvclkhdr_221.reset <= reset + rvclkhdr_221.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_221.io.en <= _T_1211 @[lib.scala 371:17] + rvclkhdr_221.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_211 : UInt, rvclkhdr_221.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_211 <= btb_wr_data @[lib.scala 374:16] + node _T_1212 = eq(btb_wr_addr, UInt<8>("h0d4")) @[ifu_bp_ctl.scala 415:95] + node _T_1213 = and(_T_1212, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1214 = bits(_T_1213, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_222 of rvclkhdr_316 @[lib.scala 368:23] + rvclkhdr_222.clock <= clock + rvclkhdr_222.reset <= reset + rvclkhdr_222.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_222.io.en <= _T_1214 @[lib.scala 371:17] + rvclkhdr_222.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_212 : UInt, rvclkhdr_222.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_212 <= btb_wr_data @[lib.scala 374:16] + node _T_1215 = eq(btb_wr_addr, UInt<8>("h0d5")) @[ifu_bp_ctl.scala 415:95] + node _T_1216 = and(_T_1215, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1217 = bits(_T_1216, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_223 of rvclkhdr_317 @[lib.scala 368:23] + rvclkhdr_223.clock <= clock + rvclkhdr_223.reset <= reset + rvclkhdr_223.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_223.io.en <= _T_1217 @[lib.scala 371:17] + rvclkhdr_223.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_213 : UInt, rvclkhdr_223.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_213 <= btb_wr_data @[lib.scala 374:16] + node _T_1218 = eq(btb_wr_addr, UInt<8>("h0d6")) @[ifu_bp_ctl.scala 415:95] + node _T_1219 = and(_T_1218, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1220 = bits(_T_1219, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_224 of rvclkhdr_318 @[lib.scala 368:23] + rvclkhdr_224.clock <= clock + rvclkhdr_224.reset <= reset + rvclkhdr_224.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_224.io.en <= _T_1220 @[lib.scala 371:17] + rvclkhdr_224.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_214 : UInt, rvclkhdr_224.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_214 <= btb_wr_data @[lib.scala 374:16] + node _T_1221 = eq(btb_wr_addr, UInt<8>("h0d7")) @[ifu_bp_ctl.scala 415:95] + node _T_1222 = and(_T_1221, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1223 = bits(_T_1222, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_225 of rvclkhdr_319 @[lib.scala 368:23] + rvclkhdr_225.clock <= clock + rvclkhdr_225.reset <= reset + rvclkhdr_225.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_225.io.en <= _T_1223 @[lib.scala 371:17] + rvclkhdr_225.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_215 : UInt, rvclkhdr_225.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_215 <= btb_wr_data @[lib.scala 374:16] + node _T_1224 = eq(btb_wr_addr, UInt<8>("h0d8")) @[ifu_bp_ctl.scala 415:95] + node _T_1225 = and(_T_1224, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1226 = bits(_T_1225, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_226 of rvclkhdr_320 @[lib.scala 368:23] + rvclkhdr_226.clock <= clock + rvclkhdr_226.reset <= reset + rvclkhdr_226.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_226.io.en <= _T_1226 @[lib.scala 371:17] + rvclkhdr_226.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_216 : UInt, rvclkhdr_226.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_216 <= btb_wr_data @[lib.scala 374:16] + node _T_1227 = eq(btb_wr_addr, UInt<8>("h0d9")) @[ifu_bp_ctl.scala 415:95] + node _T_1228 = and(_T_1227, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1229 = bits(_T_1228, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_227 of rvclkhdr_321 @[lib.scala 368:23] + rvclkhdr_227.clock <= clock + rvclkhdr_227.reset <= reset + rvclkhdr_227.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_227.io.en <= _T_1229 @[lib.scala 371:17] + rvclkhdr_227.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_217 : UInt, rvclkhdr_227.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_217 <= btb_wr_data @[lib.scala 374:16] + node _T_1230 = eq(btb_wr_addr, UInt<8>("h0da")) @[ifu_bp_ctl.scala 415:95] + node _T_1231 = and(_T_1230, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1232 = bits(_T_1231, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_228 of rvclkhdr_322 @[lib.scala 368:23] + rvclkhdr_228.clock <= clock + rvclkhdr_228.reset <= reset + rvclkhdr_228.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_228.io.en <= _T_1232 @[lib.scala 371:17] + rvclkhdr_228.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_218 : UInt, rvclkhdr_228.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_218 <= btb_wr_data @[lib.scala 374:16] + node _T_1233 = eq(btb_wr_addr, UInt<8>("h0db")) @[ifu_bp_ctl.scala 415:95] + node _T_1234 = and(_T_1233, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1235 = bits(_T_1234, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_229 of rvclkhdr_323 @[lib.scala 368:23] + rvclkhdr_229.clock <= clock + rvclkhdr_229.reset <= reset + rvclkhdr_229.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_229.io.en <= _T_1235 @[lib.scala 371:17] + rvclkhdr_229.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_219 : UInt, rvclkhdr_229.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_219 <= btb_wr_data @[lib.scala 374:16] + node _T_1236 = eq(btb_wr_addr, UInt<8>("h0dc")) @[ifu_bp_ctl.scala 415:95] + node _T_1237 = and(_T_1236, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1238 = bits(_T_1237, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_230 of rvclkhdr_324 @[lib.scala 368:23] + rvclkhdr_230.clock <= clock + rvclkhdr_230.reset <= reset + rvclkhdr_230.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_230.io.en <= _T_1238 @[lib.scala 371:17] + rvclkhdr_230.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_220 : UInt, rvclkhdr_230.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_220 <= btb_wr_data @[lib.scala 374:16] + node _T_1239 = eq(btb_wr_addr, UInt<8>("h0dd")) @[ifu_bp_ctl.scala 415:95] + node _T_1240 = and(_T_1239, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1241 = bits(_T_1240, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_231 of rvclkhdr_325 @[lib.scala 368:23] + rvclkhdr_231.clock <= clock + rvclkhdr_231.reset <= reset + rvclkhdr_231.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_231.io.en <= _T_1241 @[lib.scala 371:17] + rvclkhdr_231.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_221 : UInt, rvclkhdr_231.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_221 <= btb_wr_data @[lib.scala 374:16] + node _T_1242 = eq(btb_wr_addr, UInt<8>("h0de")) @[ifu_bp_ctl.scala 415:95] + node _T_1243 = and(_T_1242, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1244 = bits(_T_1243, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_232 of rvclkhdr_326 @[lib.scala 368:23] + rvclkhdr_232.clock <= clock + rvclkhdr_232.reset <= reset + rvclkhdr_232.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_232.io.en <= _T_1244 @[lib.scala 371:17] + rvclkhdr_232.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_222 : UInt, rvclkhdr_232.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_222 <= btb_wr_data @[lib.scala 374:16] + node _T_1245 = eq(btb_wr_addr, UInt<8>("h0df")) @[ifu_bp_ctl.scala 415:95] + node _T_1246 = and(_T_1245, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1247 = bits(_T_1246, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_233 of rvclkhdr_327 @[lib.scala 368:23] + rvclkhdr_233.clock <= clock + rvclkhdr_233.reset <= reset + rvclkhdr_233.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_233.io.en <= _T_1247 @[lib.scala 371:17] + rvclkhdr_233.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_223 : UInt, rvclkhdr_233.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_223 <= btb_wr_data @[lib.scala 374:16] + node _T_1248 = eq(btb_wr_addr, UInt<8>("h0e0")) @[ifu_bp_ctl.scala 415:95] + node _T_1249 = and(_T_1248, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1250 = bits(_T_1249, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_234 of rvclkhdr_328 @[lib.scala 368:23] + rvclkhdr_234.clock <= clock + rvclkhdr_234.reset <= reset + rvclkhdr_234.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_234.io.en <= _T_1250 @[lib.scala 371:17] + rvclkhdr_234.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_224 : UInt, rvclkhdr_234.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_224 <= btb_wr_data @[lib.scala 374:16] + node _T_1251 = eq(btb_wr_addr, UInt<8>("h0e1")) @[ifu_bp_ctl.scala 415:95] + node _T_1252 = and(_T_1251, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1253 = bits(_T_1252, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_235 of rvclkhdr_329 @[lib.scala 368:23] + rvclkhdr_235.clock <= clock + rvclkhdr_235.reset <= reset + rvclkhdr_235.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_235.io.en <= _T_1253 @[lib.scala 371:17] + rvclkhdr_235.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_225 : UInt, rvclkhdr_235.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_225 <= btb_wr_data @[lib.scala 374:16] + node _T_1254 = eq(btb_wr_addr, UInt<8>("h0e2")) @[ifu_bp_ctl.scala 415:95] + node _T_1255 = and(_T_1254, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1256 = bits(_T_1255, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_236 of rvclkhdr_330 @[lib.scala 368:23] + rvclkhdr_236.clock <= clock + rvclkhdr_236.reset <= reset + rvclkhdr_236.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_236.io.en <= _T_1256 @[lib.scala 371:17] + rvclkhdr_236.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_226 : UInt, rvclkhdr_236.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_226 <= btb_wr_data @[lib.scala 374:16] + node _T_1257 = eq(btb_wr_addr, UInt<8>("h0e3")) @[ifu_bp_ctl.scala 415:95] + node _T_1258 = and(_T_1257, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1259 = bits(_T_1258, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_237 of rvclkhdr_331 @[lib.scala 368:23] + rvclkhdr_237.clock <= clock + rvclkhdr_237.reset <= reset + rvclkhdr_237.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_237.io.en <= _T_1259 @[lib.scala 371:17] + rvclkhdr_237.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_227 : UInt, rvclkhdr_237.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_227 <= btb_wr_data @[lib.scala 374:16] + node _T_1260 = eq(btb_wr_addr, UInt<8>("h0e4")) @[ifu_bp_ctl.scala 415:95] + node _T_1261 = and(_T_1260, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1262 = bits(_T_1261, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_238 of rvclkhdr_332 @[lib.scala 368:23] + rvclkhdr_238.clock <= clock + rvclkhdr_238.reset <= reset + rvclkhdr_238.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_238.io.en <= _T_1262 @[lib.scala 371:17] + rvclkhdr_238.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_228 : UInt, rvclkhdr_238.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_228 <= btb_wr_data @[lib.scala 374:16] + node _T_1263 = eq(btb_wr_addr, UInt<8>("h0e5")) @[ifu_bp_ctl.scala 415:95] + node _T_1264 = and(_T_1263, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1265 = bits(_T_1264, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_239 of rvclkhdr_333 @[lib.scala 368:23] + rvclkhdr_239.clock <= clock + rvclkhdr_239.reset <= reset + rvclkhdr_239.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_239.io.en <= _T_1265 @[lib.scala 371:17] + rvclkhdr_239.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_229 : UInt, rvclkhdr_239.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_229 <= btb_wr_data @[lib.scala 374:16] + node _T_1266 = eq(btb_wr_addr, UInt<8>("h0e6")) @[ifu_bp_ctl.scala 415:95] + node _T_1267 = and(_T_1266, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1268 = bits(_T_1267, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_240 of rvclkhdr_334 @[lib.scala 368:23] + rvclkhdr_240.clock <= clock + rvclkhdr_240.reset <= reset + rvclkhdr_240.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_240.io.en <= _T_1268 @[lib.scala 371:17] + rvclkhdr_240.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_230 : UInt, rvclkhdr_240.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_230 <= btb_wr_data @[lib.scala 374:16] + node _T_1269 = eq(btb_wr_addr, UInt<8>("h0e7")) @[ifu_bp_ctl.scala 415:95] + node _T_1270 = and(_T_1269, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1271 = bits(_T_1270, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_241 of rvclkhdr_335 @[lib.scala 368:23] + rvclkhdr_241.clock <= clock + rvclkhdr_241.reset <= reset + rvclkhdr_241.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_241.io.en <= _T_1271 @[lib.scala 371:17] + rvclkhdr_241.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_231 : UInt, rvclkhdr_241.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_231 <= btb_wr_data @[lib.scala 374:16] + node _T_1272 = eq(btb_wr_addr, UInt<8>("h0e8")) @[ifu_bp_ctl.scala 415:95] + node _T_1273 = and(_T_1272, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1274 = bits(_T_1273, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_242 of rvclkhdr_336 @[lib.scala 368:23] + rvclkhdr_242.clock <= clock + rvclkhdr_242.reset <= reset + rvclkhdr_242.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_242.io.en <= _T_1274 @[lib.scala 371:17] + rvclkhdr_242.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_232 : UInt, rvclkhdr_242.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_232 <= btb_wr_data @[lib.scala 374:16] + node _T_1275 = eq(btb_wr_addr, UInt<8>("h0e9")) @[ifu_bp_ctl.scala 415:95] + node _T_1276 = and(_T_1275, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1277 = bits(_T_1276, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_243 of rvclkhdr_337 @[lib.scala 368:23] + rvclkhdr_243.clock <= clock + rvclkhdr_243.reset <= reset + rvclkhdr_243.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_243.io.en <= _T_1277 @[lib.scala 371:17] + rvclkhdr_243.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_233 : UInt, rvclkhdr_243.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_233 <= btb_wr_data @[lib.scala 374:16] + node _T_1278 = eq(btb_wr_addr, UInt<8>("h0ea")) @[ifu_bp_ctl.scala 415:95] + node _T_1279 = and(_T_1278, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1280 = bits(_T_1279, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_244 of rvclkhdr_338 @[lib.scala 368:23] + rvclkhdr_244.clock <= clock + rvclkhdr_244.reset <= reset + rvclkhdr_244.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_244.io.en <= _T_1280 @[lib.scala 371:17] + rvclkhdr_244.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_234 : UInt, rvclkhdr_244.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_234 <= btb_wr_data @[lib.scala 374:16] + node _T_1281 = eq(btb_wr_addr, UInt<8>("h0eb")) @[ifu_bp_ctl.scala 415:95] + node _T_1282 = and(_T_1281, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1283 = bits(_T_1282, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_245 of rvclkhdr_339 @[lib.scala 368:23] + rvclkhdr_245.clock <= clock + rvclkhdr_245.reset <= reset + rvclkhdr_245.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_245.io.en <= _T_1283 @[lib.scala 371:17] + rvclkhdr_245.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_235 : UInt, rvclkhdr_245.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_235 <= btb_wr_data @[lib.scala 374:16] + node _T_1284 = eq(btb_wr_addr, UInt<8>("h0ec")) @[ifu_bp_ctl.scala 415:95] + node _T_1285 = and(_T_1284, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1286 = bits(_T_1285, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_246 of rvclkhdr_340 @[lib.scala 368:23] + rvclkhdr_246.clock <= clock + rvclkhdr_246.reset <= reset + rvclkhdr_246.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_246.io.en <= _T_1286 @[lib.scala 371:17] + rvclkhdr_246.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_236 : UInt, rvclkhdr_246.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_236 <= btb_wr_data @[lib.scala 374:16] + node _T_1287 = eq(btb_wr_addr, UInt<8>("h0ed")) @[ifu_bp_ctl.scala 415:95] + node _T_1288 = and(_T_1287, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1289 = bits(_T_1288, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_247 of rvclkhdr_341 @[lib.scala 368:23] + rvclkhdr_247.clock <= clock + rvclkhdr_247.reset <= reset + rvclkhdr_247.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_247.io.en <= _T_1289 @[lib.scala 371:17] + rvclkhdr_247.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_237 : UInt, rvclkhdr_247.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_237 <= btb_wr_data @[lib.scala 374:16] + node _T_1290 = eq(btb_wr_addr, UInt<8>("h0ee")) @[ifu_bp_ctl.scala 415:95] + node _T_1291 = and(_T_1290, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1292 = bits(_T_1291, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_248 of rvclkhdr_342 @[lib.scala 368:23] + rvclkhdr_248.clock <= clock + rvclkhdr_248.reset <= reset + rvclkhdr_248.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_248.io.en <= _T_1292 @[lib.scala 371:17] + rvclkhdr_248.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_238 : UInt, rvclkhdr_248.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_238 <= btb_wr_data @[lib.scala 374:16] + node _T_1293 = eq(btb_wr_addr, UInt<8>("h0ef")) @[ifu_bp_ctl.scala 415:95] + node _T_1294 = and(_T_1293, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1295 = bits(_T_1294, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_249 of rvclkhdr_343 @[lib.scala 368:23] + rvclkhdr_249.clock <= clock + rvclkhdr_249.reset <= reset + rvclkhdr_249.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_249.io.en <= _T_1295 @[lib.scala 371:17] + rvclkhdr_249.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_239 : UInt, rvclkhdr_249.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_239 <= btb_wr_data @[lib.scala 374:16] + node _T_1296 = eq(btb_wr_addr, UInt<8>("h0f0")) @[ifu_bp_ctl.scala 415:95] + node _T_1297 = and(_T_1296, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1298 = bits(_T_1297, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_250 of rvclkhdr_344 @[lib.scala 368:23] + rvclkhdr_250.clock <= clock + rvclkhdr_250.reset <= reset + rvclkhdr_250.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_250.io.en <= _T_1298 @[lib.scala 371:17] + rvclkhdr_250.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_240 : UInt, rvclkhdr_250.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_240 <= btb_wr_data @[lib.scala 374:16] + node _T_1299 = eq(btb_wr_addr, UInt<8>("h0f1")) @[ifu_bp_ctl.scala 415:95] + node _T_1300 = and(_T_1299, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1301 = bits(_T_1300, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_251 of rvclkhdr_345 @[lib.scala 368:23] + rvclkhdr_251.clock <= clock + rvclkhdr_251.reset <= reset + rvclkhdr_251.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_251.io.en <= _T_1301 @[lib.scala 371:17] + rvclkhdr_251.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_241 : UInt, rvclkhdr_251.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_241 <= btb_wr_data @[lib.scala 374:16] + node _T_1302 = eq(btb_wr_addr, UInt<8>("h0f2")) @[ifu_bp_ctl.scala 415:95] + node _T_1303 = and(_T_1302, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1304 = bits(_T_1303, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_252 of rvclkhdr_346 @[lib.scala 368:23] + rvclkhdr_252.clock <= clock + rvclkhdr_252.reset <= reset + rvclkhdr_252.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_252.io.en <= _T_1304 @[lib.scala 371:17] + rvclkhdr_252.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_242 : UInt, rvclkhdr_252.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_242 <= btb_wr_data @[lib.scala 374:16] + node _T_1305 = eq(btb_wr_addr, UInt<8>("h0f3")) @[ifu_bp_ctl.scala 415:95] + node _T_1306 = and(_T_1305, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1307 = bits(_T_1306, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_253 of rvclkhdr_347 @[lib.scala 368:23] + rvclkhdr_253.clock <= clock + rvclkhdr_253.reset <= reset + rvclkhdr_253.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_253.io.en <= _T_1307 @[lib.scala 371:17] + rvclkhdr_253.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_243 : UInt, rvclkhdr_253.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_243 <= btb_wr_data @[lib.scala 374:16] + node _T_1308 = eq(btb_wr_addr, UInt<8>("h0f4")) @[ifu_bp_ctl.scala 415:95] + node _T_1309 = and(_T_1308, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1310 = bits(_T_1309, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_254 of rvclkhdr_348 @[lib.scala 368:23] + rvclkhdr_254.clock <= clock + rvclkhdr_254.reset <= reset + rvclkhdr_254.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_254.io.en <= _T_1310 @[lib.scala 371:17] + rvclkhdr_254.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_244 : UInt, rvclkhdr_254.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_244 <= btb_wr_data @[lib.scala 374:16] + node _T_1311 = eq(btb_wr_addr, UInt<8>("h0f5")) @[ifu_bp_ctl.scala 415:95] + node _T_1312 = and(_T_1311, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1313 = bits(_T_1312, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_255 of rvclkhdr_349 @[lib.scala 368:23] + rvclkhdr_255.clock <= clock + rvclkhdr_255.reset <= reset + rvclkhdr_255.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_255.io.en <= _T_1313 @[lib.scala 371:17] + rvclkhdr_255.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_245 : UInt, rvclkhdr_255.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_245 <= btb_wr_data @[lib.scala 374:16] + node _T_1314 = eq(btb_wr_addr, UInt<8>("h0f6")) @[ifu_bp_ctl.scala 415:95] + node _T_1315 = and(_T_1314, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1316 = bits(_T_1315, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_256 of rvclkhdr_350 @[lib.scala 368:23] + rvclkhdr_256.clock <= clock + rvclkhdr_256.reset <= reset + rvclkhdr_256.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_256.io.en <= _T_1316 @[lib.scala 371:17] + rvclkhdr_256.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_246 : UInt, rvclkhdr_256.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_246 <= btb_wr_data @[lib.scala 374:16] + node _T_1317 = eq(btb_wr_addr, UInt<8>("h0f7")) @[ifu_bp_ctl.scala 415:95] + node _T_1318 = and(_T_1317, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1319 = bits(_T_1318, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_257 of rvclkhdr_351 @[lib.scala 368:23] + rvclkhdr_257.clock <= clock + rvclkhdr_257.reset <= reset + rvclkhdr_257.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_257.io.en <= _T_1319 @[lib.scala 371:17] + rvclkhdr_257.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_247 : UInt, rvclkhdr_257.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_247 <= btb_wr_data @[lib.scala 374:16] + node _T_1320 = eq(btb_wr_addr, UInt<8>("h0f8")) @[ifu_bp_ctl.scala 415:95] + node _T_1321 = and(_T_1320, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1322 = bits(_T_1321, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_258 of rvclkhdr_352 @[lib.scala 368:23] + rvclkhdr_258.clock <= clock + rvclkhdr_258.reset <= reset + rvclkhdr_258.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_258.io.en <= _T_1322 @[lib.scala 371:17] + rvclkhdr_258.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_248 : UInt, rvclkhdr_258.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_248 <= btb_wr_data @[lib.scala 374:16] + node _T_1323 = eq(btb_wr_addr, UInt<8>("h0f9")) @[ifu_bp_ctl.scala 415:95] + node _T_1324 = and(_T_1323, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1325 = bits(_T_1324, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_259 of rvclkhdr_353 @[lib.scala 368:23] + rvclkhdr_259.clock <= clock + rvclkhdr_259.reset <= reset + rvclkhdr_259.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_259.io.en <= _T_1325 @[lib.scala 371:17] + rvclkhdr_259.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_249 : UInt, rvclkhdr_259.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_249 <= btb_wr_data @[lib.scala 374:16] + node _T_1326 = eq(btb_wr_addr, UInt<8>("h0fa")) @[ifu_bp_ctl.scala 415:95] + node _T_1327 = and(_T_1326, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1328 = bits(_T_1327, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_260 of rvclkhdr_354 @[lib.scala 368:23] + rvclkhdr_260.clock <= clock + rvclkhdr_260.reset <= reset + rvclkhdr_260.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_260.io.en <= _T_1328 @[lib.scala 371:17] + rvclkhdr_260.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_250 : UInt, rvclkhdr_260.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_250 <= btb_wr_data @[lib.scala 374:16] + node _T_1329 = eq(btb_wr_addr, UInt<8>("h0fb")) @[ifu_bp_ctl.scala 415:95] + node _T_1330 = and(_T_1329, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1331 = bits(_T_1330, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_261 of rvclkhdr_355 @[lib.scala 368:23] + rvclkhdr_261.clock <= clock + rvclkhdr_261.reset <= reset + rvclkhdr_261.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_261.io.en <= _T_1331 @[lib.scala 371:17] + rvclkhdr_261.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_251 : UInt, rvclkhdr_261.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_251 <= btb_wr_data @[lib.scala 374:16] + node _T_1332 = eq(btb_wr_addr, UInt<8>("h0fc")) @[ifu_bp_ctl.scala 415:95] + node _T_1333 = and(_T_1332, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1334 = bits(_T_1333, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_262 of rvclkhdr_356 @[lib.scala 368:23] + rvclkhdr_262.clock <= clock + rvclkhdr_262.reset <= reset + rvclkhdr_262.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_262.io.en <= _T_1334 @[lib.scala 371:17] + rvclkhdr_262.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_252 : UInt, rvclkhdr_262.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_252 <= btb_wr_data @[lib.scala 374:16] + node _T_1335 = eq(btb_wr_addr, UInt<8>("h0fd")) @[ifu_bp_ctl.scala 415:95] + node _T_1336 = and(_T_1335, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1337 = bits(_T_1336, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_263 of rvclkhdr_357 @[lib.scala 368:23] + rvclkhdr_263.clock <= clock + rvclkhdr_263.reset <= reset + rvclkhdr_263.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_263.io.en <= _T_1337 @[lib.scala 371:17] + rvclkhdr_263.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_253 : UInt, rvclkhdr_263.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_253 <= btb_wr_data @[lib.scala 374:16] + node _T_1338 = eq(btb_wr_addr, UInt<8>("h0fe")) @[ifu_bp_ctl.scala 415:95] + node _T_1339 = and(_T_1338, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1340 = bits(_T_1339, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_264 of rvclkhdr_358 @[lib.scala 368:23] + rvclkhdr_264.clock <= clock + rvclkhdr_264.reset <= reset + rvclkhdr_264.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_264.io.en <= _T_1340 @[lib.scala 371:17] + rvclkhdr_264.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_254 : UInt, rvclkhdr_264.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_254 <= btb_wr_data @[lib.scala 374:16] + node _T_1341 = eq(btb_wr_addr, UInt<8>("h0ff")) @[ifu_bp_ctl.scala 415:95] + node _T_1342 = and(_T_1341, btb_wr_en_way0) @[ifu_bp_ctl.scala 415:103] + node _T_1343 = bits(_T_1342, 0, 0) @[ifu_bp_ctl.scala 415:121] + inst rvclkhdr_265 of rvclkhdr_359 @[lib.scala 368:23] + rvclkhdr_265.clock <= clock + rvclkhdr_265.reset <= reset + rvclkhdr_265.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_265.io.en <= _T_1343 @[lib.scala 371:17] + rvclkhdr_265.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way0_out_255 : UInt, rvclkhdr_265.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way0_out_255 <= btb_wr_data @[lib.scala 374:16] + node _T_1344 = eq(btb_wr_addr, UInt<1>("h00")) @[ifu_bp_ctl.scala 416:95] + node _T_1345 = and(_T_1344, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1346 = bits(_T_1345, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_266 of rvclkhdr_360 @[lib.scala 368:23] + rvclkhdr_266.clock <= clock + rvclkhdr_266.reset <= reset + rvclkhdr_266.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_266.io.en <= _T_1346 @[lib.scala 371:17] + rvclkhdr_266.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_0 : UInt, rvclkhdr_266.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_0 <= btb_wr_data @[lib.scala 374:16] + node _T_1347 = eq(btb_wr_addr, UInt<1>("h01")) @[ifu_bp_ctl.scala 416:95] + node _T_1348 = and(_T_1347, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1349 = bits(_T_1348, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_267 of rvclkhdr_361 @[lib.scala 368:23] + rvclkhdr_267.clock <= clock + rvclkhdr_267.reset <= reset + rvclkhdr_267.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_267.io.en <= _T_1349 @[lib.scala 371:17] + rvclkhdr_267.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_1 : UInt, rvclkhdr_267.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_1 <= btb_wr_data @[lib.scala 374:16] + node _T_1350 = eq(btb_wr_addr, UInt<2>("h02")) @[ifu_bp_ctl.scala 416:95] + node _T_1351 = and(_T_1350, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1352 = bits(_T_1351, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_268 of rvclkhdr_362 @[lib.scala 368:23] + rvclkhdr_268.clock <= clock + rvclkhdr_268.reset <= reset + rvclkhdr_268.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_268.io.en <= _T_1352 @[lib.scala 371:17] + rvclkhdr_268.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_2 : UInt, rvclkhdr_268.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_2 <= btb_wr_data @[lib.scala 374:16] + node _T_1353 = eq(btb_wr_addr, UInt<2>("h03")) @[ifu_bp_ctl.scala 416:95] + node _T_1354 = and(_T_1353, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1355 = bits(_T_1354, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_269 of rvclkhdr_363 @[lib.scala 368:23] + rvclkhdr_269.clock <= clock + rvclkhdr_269.reset <= reset + rvclkhdr_269.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_269.io.en <= _T_1355 @[lib.scala 371:17] + rvclkhdr_269.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_3 : UInt, rvclkhdr_269.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_3 <= btb_wr_data @[lib.scala 374:16] + node _T_1356 = eq(btb_wr_addr, UInt<3>("h04")) @[ifu_bp_ctl.scala 416:95] + node _T_1357 = and(_T_1356, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1358 = bits(_T_1357, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_270 of rvclkhdr_364 @[lib.scala 368:23] + rvclkhdr_270.clock <= clock + rvclkhdr_270.reset <= reset + rvclkhdr_270.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_270.io.en <= _T_1358 @[lib.scala 371:17] + rvclkhdr_270.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_4 : UInt, rvclkhdr_270.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_4 <= btb_wr_data @[lib.scala 374:16] + node _T_1359 = eq(btb_wr_addr, UInt<3>("h05")) @[ifu_bp_ctl.scala 416:95] + node _T_1360 = and(_T_1359, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1361 = bits(_T_1360, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_271 of rvclkhdr_365 @[lib.scala 368:23] + rvclkhdr_271.clock <= clock + rvclkhdr_271.reset <= reset + rvclkhdr_271.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_271.io.en <= _T_1361 @[lib.scala 371:17] + rvclkhdr_271.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_5 : UInt, rvclkhdr_271.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_5 <= btb_wr_data @[lib.scala 374:16] + node _T_1362 = eq(btb_wr_addr, UInt<3>("h06")) @[ifu_bp_ctl.scala 416:95] + node _T_1363 = and(_T_1362, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1364 = bits(_T_1363, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_272 of rvclkhdr_366 @[lib.scala 368:23] + rvclkhdr_272.clock <= clock + rvclkhdr_272.reset <= reset + rvclkhdr_272.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_272.io.en <= _T_1364 @[lib.scala 371:17] + rvclkhdr_272.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_6 : UInt, rvclkhdr_272.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_6 <= btb_wr_data @[lib.scala 374:16] + node _T_1365 = eq(btb_wr_addr, UInt<3>("h07")) @[ifu_bp_ctl.scala 416:95] + node _T_1366 = and(_T_1365, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1367 = bits(_T_1366, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_273 of rvclkhdr_367 @[lib.scala 368:23] + rvclkhdr_273.clock <= clock + rvclkhdr_273.reset <= reset + rvclkhdr_273.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_273.io.en <= _T_1367 @[lib.scala 371:17] + rvclkhdr_273.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_7 : UInt, rvclkhdr_273.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_7 <= btb_wr_data @[lib.scala 374:16] + node _T_1368 = eq(btb_wr_addr, UInt<4>("h08")) @[ifu_bp_ctl.scala 416:95] + node _T_1369 = and(_T_1368, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1370 = bits(_T_1369, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_274 of rvclkhdr_368 @[lib.scala 368:23] + rvclkhdr_274.clock <= clock + rvclkhdr_274.reset <= reset + rvclkhdr_274.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_274.io.en <= _T_1370 @[lib.scala 371:17] + rvclkhdr_274.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_8 : UInt, rvclkhdr_274.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_8 <= btb_wr_data @[lib.scala 374:16] + node _T_1371 = eq(btb_wr_addr, UInt<4>("h09")) @[ifu_bp_ctl.scala 416:95] + node _T_1372 = and(_T_1371, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1373 = bits(_T_1372, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_275 of rvclkhdr_369 @[lib.scala 368:23] + rvclkhdr_275.clock <= clock + rvclkhdr_275.reset <= reset + rvclkhdr_275.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_275.io.en <= _T_1373 @[lib.scala 371:17] + rvclkhdr_275.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_9 : UInt, rvclkhdr_275.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_9 <= btb_wr_data @[lib.scala 374:16] + node _T_1374 = eq(btb_wr_addr, UInt<4>("h0a")) @[ifu_bp_ctl.scala 416:95] + node _T_1375 = and(_T_1374, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1376 = bits(_T_1375, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_276 of rvclkhdr_370 @[lib.scala 368:23] + rvclkhdr_276.clock <= clock + rvclkhdr_276.reset <= reset + rvclkhdr_276.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_276.io.en <= _T_1376 @[lib.scala 371:17] + rvclkhdr_276.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_10 : UInt, rvclkhdr_276.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_10 <= btb_wr_data @[lib.scala 374:16] + node _T_1377 = eq(btb_wr_addr, UInt<4>("h0b")) @[ifu_bp_ctl.scala 416:95] + node _T_1378 = and(_T_1377, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1379 = bits(_T_1378, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_277 of rvclkhdr_371 @[lib.scala 368:23] + rvclkhdr_277.clock <= clock + rvclkhdr_277.reset <= reset + rvclkhdr_277.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_277.io.en <= _T_1379 @[lib.scala 371:17] + rvclkhdr_277.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_11 : UInt, rvclkhdr_277.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_11 <= btb_wr_data @[lib.scala 374:16] + node _T_1380 = eq(btb_wr_addr, UInt<4>("h0c")) @[ifu_bp_ctl.scala 416:95] + node _T_1381 = and(_T_1380, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1382 = bits(_T_1381, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_278 of rvclkhdr_372 @[lib.scala 368:23] + rvclkhdr_278.clock <= clock + rvclkhdr_278.reset <= reset + rvclkhdr_278.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_278.io.en <= _T_1382 @[lib.scala 371:17] + rvclkhdr_278.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_12 : UInt, rvclkhdr_278.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_12 <= btb_wr_data @[lib.scala 374:16] + node _T_1383 = eq(btb_wr_addr, UInt<4>("h0d")) @[ifu_bp_ctl.scala 416:95] + node _T_1384 = and(_T_1383, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1385 = bits(_T_1384, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_279 of rvclkhdr_373 @[lib.scala 368:23] + rvclkhdr_279.clock <= clock + rvclkhdr_279.reset <= reset + rvclkhdr_279.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_279.io.en <= _T_1385 @[lib.scala 371:17] + rvclkhdr_279.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_13 : UInt, rvclkhdr_279.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_13 <= btb_wr_data @[lib.scala 374:16] + node _T_1386 = eq(btb_wr_addr, UInt<4>("h0e")) @[ifu_bp_ctl.scala 416:95] + node _T_1387 = and(_T_1386, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1388 = bits(_T_1387, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_280 of rvclkhdr_374 @[lib.scala 368:23] + rvclkhdr_280.clock <= clock + rvclkhdr_280.reset <= reset + rvclkhdr_280.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_280.io.en <= _T_1388 @[lib.scala 371:17] + rvclkhdr_280.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_14 : UInt, rvclkhdr_280.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_14 <= btb_wr_data @[lib.scala 374:16] + node _T_1389 = eq(btb_wr_addr, UInt<4>("h0f")) @[ifu_bp_ctl.scala 416:95] + node _T_1390 = and(_T_1389, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1391 = bits(_T_1390, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_281 of rvclkhdr_375 @[lib.scala 368:23] + rvclkhdr_281.clock <= clock + rvclkhdr_281.reset <= reset + rvclkhdr_281.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_281.io.en <= _T_1391 @[lib.scala 371:17] + rvclkhdr_281.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_15 : UInt, rvclkhdr_281.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_15 <= btb_wr_data @[lib.scala 374:16] + node _T_1392 = eq(btb_wr_addr, UInt<5>("h010")) @[ifu_bp_ctl.scala 416:95] + node _T_1393 = and(_T_1392, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1394 = bits(_T_1393, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_282 of rvclkhdr_376 @[lib.scala 368:23] + rvclkhdr_282.clock <= clock + rvclkhdr_282.reset <= reset + rvclkhdr_282.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_282.io.en <= _T_1394 @[lib.scala 371:17] + rvclkhdr_282.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_16 : UInt, rvclkhdr_282.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_16 <= btb_wr_data @[lib.scala 374:16] + node _T_1395 = eq(btb_wr_addr, UInt<5>("h011")) @[ifu_bp_ctl.scala 416:95] + node _T_1396 = and(_T_1395, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1397 = bits(_T_1396, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_283 of rvclkhdr_377 @[lib.scala 368:23] + rvclkhdr_283.clock <= clock + rvclkhdr_283.reset <= reset + rvclkhdr_283.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_283.io.en <= _T_1397 @[lib.scala 371:17] + rvclkhdr_283.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_17 : UInt, rvclkhdr_283.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_17 <= btb_wr_data @[lib.scala 374:16] + node _T_1398 = eq(btb_wr_addr, UInt<5>("h012")) @[ifu_bp_ctl.scala 416:95] + node _T_1399 = and(_T_1398, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1400 = bits(_T_1399, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_284 of rvclkhdr_378 @[lib.scala 368:23] + rvclkhdr_284.clock <= clock + rvclkhdr_284.reset <= reset + rvclkhdr_284.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_284.io.en <= _T_1400 @[lib.scala 371:17] + rvclkhdr_284.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_18 : UInt, rvclkhdr_284.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_18 <= btb_wr_data @[lib.scala 374:16] + node _T_1401 = eq(btb_wr_addr, UInt<5>("h013")) @[ifu_bp_ctl.scala 416:95] + node _T_1402 = and(_T_1401, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1403 = bits(_T_1402, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_285 of rvclkhdr_379 @[lib.scala 368:23] + rvclkhdr_285.clock <= clock + rvclkhdr_285.reset <= reset + rvclkhdr_285.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_285.io.en <= _T_1403 @[lib.scala 371:17] + rvclkhdr_285.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_19 : UInt, rvclkhdr_285.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_19 <= btb_wr_data @[lib.scala 374:16] + node _T_1404 = eq(btb_wr_addr, UInt<5>("h014")) @[ifu_bp_ctl.scala 416:95] + node _T_1405 = and(_T_1404, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1406 = bits(_T_1405, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_286 of rvclkhdr_380 @[lib.scala 368:23] + rvclkhdr_286.clock <= clock + rvclkhdr_286.reset <= reset + rvclkhdr_286.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_286.io.en <= _T_1406 @[lib.scala 371:17] + rvclkhdr_286.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_20 : UInt, rvclkhdr_286.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_20 <= btb_wr_data @[lib.scala 374:16] + node _T_1407 = eq(btb_wr_addr, UInt<5>("h015")) @[ifu_bp_ctl.scala 416:95] + node _T_1408 = and(_T_1407, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1409 = bits(_T_1408, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_287 of rvclkhdr_381 @[lib.scala 368:23] + rvclkhdr_287.clock <= clock + rvclkhdr_287.reset <= reset + rvclkhdr_287.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_287.io.en <= _T_1409 @[lib.scala 371:17] + rvclkhdr_287.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_21 : UInt, rvclkhdr_287.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_21 <= btb_wr_data @[lib.scala 374:16] + node _T_1410 = eq(btb_wr_addr, UInt<5>("h016")) @[ifu_bp_ctl.scala 416:95] + node _T_1411 = and(_T_1410, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1412 = bits(_T_1411, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_288 of rvclkhdr_382 @[lib.scala 368:23] + rvclkhdr_288.clock <= clock + rvclkhdr_288.reset <= reset + rvclkhdr_288.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_288.io.en <= _T_1412 @[lib.scala 371:17] + rvclkhdr_288.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_22 : UInt, rvclkhdr_288.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_22 <= btb_wr_data @[lib.scala 374:16] + node _T_1413 = eq(btb_wr_addr, UInt<5>("h017")) @[ifu_bp_ctl.scala 416:95] + node _T_1414 = and(_T_1413, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1415 = bits(_T_1414, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_289 of rvclkhdr_383 @[lib.scala 368:23] + rvclkhdr_289.clock <= clock + rvclkhdr_289.reset <= reset + rvclkhdr_289.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_289.io.en <= _T_1415 @[lib.scala 371:17] + rvclkhdr_289.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_23 : UInt, rvclkhdr_289.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_23 <= btb_wr_data @[lib.scala 374:16] + node _T_1416 = eq(btb_wr_addr, UInt<5>("h018")) @[ifu_bp_ctl.scala 416:95] + node _T_1417 = and(_T_1416, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1418 = bits(_T_1417, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_290 of rvclkhdr_384 @[lib.scala 368:23] + rvclkhdr_290.clock <= clock + rvclkhdr_290.reset <= reset + rvclkhdr_290.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_290.io.en <= _T_1418 @[lib.scala 371:17] + rvclkhdr_290.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_24 : UInt, rvclkhdr_290.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_24 <= btb_wr_data @[lib.scala 374:16] + node _T_1419 = eq(btb_wr_addr, UInt<5>("h019")) @[ifu_bp_ctl.scala 416:95] + node _T_1420 = and(_T_1419, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1421 = bits(_T_1420, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_291 of rvclkhdr_385 @[lib.scala 368:23] + rvclkhdr_291.clock <= clock + rvclkhdr_291.reset <= reset + rvclkhdr_291.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_291.io.en <= _T_1421 @[lib.scala 371:17] + rvclkhdr_291.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_25 : UInt, rvclkhdr_291.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_25 <= btb_wr_data @[lib.scala 374:16] + node _T_1422 = eq(btb_wr_addr, UInt<5>("h01a")) @[ifu_bp_ctl.scala 416:95] + node _T_1423 = and(_T_1422, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1424 = bits(_T_1423, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_292 of rvclkhdr_386 @[lib.scala 368:23] + rvclkhdr_292.clock <= clock + rvclkhdr_292.reset <= reset + rvclkhdr_292.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_292.io.en <= _T_1424 @[lib.scala 371:17] + rvclkhdr_292.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_26 : UInt, rvclkhdr_292.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_26 <= btb_wr_data @[lib.scala 374:16] + node _T_1425 = eq(btb_wr_addr, UInt<5>("h01b")) @[ifu_bp_ctl.scala 416:95] + node _T_1426 = and(_T_1425, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1427 = bits(_T_1426, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_293 of rvclkhdr_387 @[lib.scala 368:23] + rvclkhdr_293.clock <= clock + rvclkhdr_293.reset <= reset + rvclkhdr_293.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_293.io.en <= _T_1427 @[lib.scala 371:17] + rvclkhdr_293.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_27 : UInt, rvclkhdr_293.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_27 <= btb_wr_data @[lib.scala 374:16] + node _T_1428 = eq(btb_wr_addr, UInt<5>("h01c")) @[ifu_bp_ctl.scala 416:95] + node _T_1429 = and(_T_1428, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1430 = bits(_T_1429, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_294 of rvclkhdr_388 @[lib.scala 368:23] + rvclkhdr_294.clock <= clock + rvclkhdr_294.reset <= reset + rvclkhdr_294.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_294.io.en <= _T_1430 @[lib.scala 371:17] + rvclkhdr_294.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_28 : UInt, rvclkhdr_294.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_28 <= btb_wr_data @[lib.scala 374:16] + node _T_1431 = eq(btb_wr_addr, UInt<5>("h01d")) @[ifu_bp_ctl.scala 416:95] + node _T_1432 = and(_T_1431, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1433 = bits(_T_1432, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_295 of rvclkhdr_389 @[lib.scala 368:23] + rvclkhdr_295.clock <= clock + rvclkhdr_295.reset <= reset + rvclkhdr_295.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_295.io.en <= _T_1433 @[lib.scala 371:17] + rvclkhdr_295.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_29 : UInt, rvclkhdr_295.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_29 <= btb_wr_data @[lib.scala 374:16] + node _T_1434 = eq(btb_wr_addr, UInt<5>("h01e")) @[ifu_bp_ctl.scala 416:95] + node _T_1435 = and(_T_1434, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1436 = bits(_T_1435, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_296 of rvclkhdr_390 @[lib.scala 368:23] + rvclkhdr_296.clock <= clock + rvclkhdr_296.reset <= reset + rvclkhdr_296.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_296.io.en <= _T_1436 @[lib.scala 371:17] + rvclkhdr_296.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_30 : UInt, rvclkhdr_296.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_30 <= btb_wr_data @[lib.scala 374:16] + node _T_1437 = eq(btb_wr_addr, UInt<5>("h01f")) @[ifu_bp_ctl.scala 416:95] + node _T_1438 = and(_T_1437, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1439 = bits(_T_1438, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_297 of rvclkhdr_391 @[lib.scala 368:23] + rvclkhdr_297.clock <= clock + rvclkhdr_297.reset <= reset + rvclkhdr_297.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_297.io.en <= _T_1439 @[lib.scala 371:17] + rvclkhdr_297.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_31 : UInt, rvclkhdr_297.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_31 <= btb_wr_data @[lib.scala 374:16] + node _T_1440 = eq(btb_wr_addr, UInt<6>("h020")) @[ifu_bp_ctl.scala 416:95] + node _T_1441 = and(_T_1440, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1442 = bits(_T_1441, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_298 of rvclkhdr_392 @[lib.scala 368:23] + rvclkhdr_298.clock <= clock + rvclkhdr_298.reset <= reset + rvclkhdr_298.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_298.io.en <= _T_1442 @[lib.scala 371:17] + rvclkhdr_298.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_32 : UInt, rvclkhdr_298.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_32 <= btb_wr_data @[lib.scala 374:16] + node _T_1443 = eq(btb_wr_addr, UInt<6>("h021")) @[ifu_bp_ctl.scala 416:95] + node _T_1444 = and(_T_1443, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1445 = bits(_T_1444, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_299 of rvclkhdr_393 @[lib.scala 368:23] + rvclkhdr_299.clock <= clock + rvclkhdr_299.reset <= reset + rvclkhdr_299.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_299.io.en <= _T_1445 @[lib.scala 371:17] + rvclkhdr_299.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_33 : UInt, rvclkhdr_299.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_33 <= btb_wr_data @[lib.scala 374:16] + node _T_1446 = eq(btb_wr_addr, UInt<6>("h022")) @[ifu_bp_ctl.scala 416:95] + node _T_1447 = and(_T_1446, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1448 = bits(_T_1447, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_300 of rvclkhdr_394 @[lib.scala 368:23] + rvclkhdr_300.clock <= clock + rvclkhdr_300.reset <= reset + rvclkhdr_300.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_300.io.en <= _T_1448 @[lib.scala 371:17] + rvclkhdr_300.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_34 : UInt, rvclkhdr_300.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_34 <= btb_wr_data @[lib.scala 374:16] + node _T_1449 = eq(btb_wr_addr, UInt<6>("h023")) @[ifu_bp_ctl.scala 416:95] + node _T_1450 = and(_T_1449, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1451 = bits(_T_1450, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_301 of rvclkhdr_395 @[lib.scala 368:23] + rvclkhdr_301.clock <= clock + rvclkhdr_301.reset <= reset + rvclkhdr_301.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_301.io.en <= _T_1451 @[lib.scala 371:17] + rvclkhdr_301.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_35 : UInt, rvclkhdr_301.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_35 <= btb_wr_data @[lib.scala 374:16] + node _T_1452 = eq(btb_wr_addr, UInt<6>("h024")) @[ifu_bp_ctl.scala 416:95] + node _T_1453 = and(_T_1452, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1454 = bits(_T_1453, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_302 of rvclkhdr_396 @[lib.scala 368:23] + rvclkhdr_302.clock <= clock + rvclkhdr_302.reset <= reset + rvclkhdr_302.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_302.io.en <= _T_1454 @[lib.scala 371:17] + rvclkhdr_302.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_36 : UInt, rvclkhdr_302.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_36 <= btb_wr_data @[lib.scala 374:16] + node _T_1455 = eq(btb_wr_addr, UInt<6>("h025")) @[ifu_bp_ctl.scala 416:95] + node _T_1456 = and(_T_1455, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1457 = bits(_T_1456, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_303 of rvclkhdr_397 @[lib.scala 368:23] + rvclkhdr_303.clock <= clock + rvclkhdr_303.reset <= reset + rvclkhdr_303.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_303.io.en <= _T_1457 @[lib.scala 371:17] + rvclkhdr_303.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_37 : UInt, rvclkhdr_303.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_37 <= btb_wr_data @[lib.scala 374:16] + node _T_1458 = eq(btb_wr_addr, UInt<6>("h026")) @[ifu_bp_ctl.scala 416:95] + node _T_1459 = and(_T_1458, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1460 = bits(_T_1459, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_304 of rvclkhdr_398 @[lib.scala 368:23] + rvclkhdr_304.clock <= clock + rvclkhdr_304.reset <= reset + rvclkhdr_304.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_304.io.en <= _T_1460 @[lib.scala 371:17] + rvclkhdr_304.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_38 : UInt, rvclkhdr_304.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_38 <= btb_wr_data @[lib.scala 374:16] + node _T_1461 = eq(btb_wr_addr, UInt<6>("h027")) @[ifu_bp_ctl.scala 416:95] + node _T_1462 = and(_T_1461, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1463 = bits(_T_1462, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_305 of rvclkhdr_399 @[lib.scala 368:23] + rvclkhdr_305.clock <= clock + rvclkhdr_305.reset <= reset + rvclkhdr_305.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_305.io.en <= _T_1463 @[lib.scala 371:17] + rvclkhdr_305.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_39 : UInt, rvclkhdr_305.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_39 <= btb_wr_data @[lib.scala 374:16] + node _T_1464 = eq(btb_wr_addr, UInt<6>("h028")) @[ifu_bp_ctl.scala 416:95] + node _T_1465 = and(_T_1464, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1466 = bits(_T_1465, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_306 of rvclkhdr_400 @[lib.scala 368:23] + rvclkhdr_306.clock <= clock + rvclkhdr_306.reset <= reset + rvclkhdr_306.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_306.io.en <= _T_1466 @[lib.scala 371:17] + rvclkhdr_306.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_40 : UInt, rvclkhdr_306.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_40 <= btb_wr_data @[lib.scala 374:16] + node _T_1467 = eq(btb_wr_addr, UInt<6>("h029")) @[ifu_bp_ctl.scala 416:95] + node _T_1468 = and(_T_1467, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1469 = bits(_T_1468, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_307 of rvclkhdr_401 @[lib.scala 368:23] + rvclkhdr_307.clock <= clock + rvclkhdr_307.reset <= reset + rvclkhdr_307.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_307.io.en <= _T_1469 @[lib.scala 371:17] + rvclkhdr_307.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_41 : UInt, rvclkhdr_307.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_41 <= btb_wr_data @[lib.scala 374:16] + node _T_1470 = eq(btb_wr_addr, UInt<6>("h02a")) @[ifu_bp_ctl.scala 416:95] + node _T_1471 = and(_T_1470, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1472 = bits(_T_1471, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_308 of rvclkhdr_402 @[lib.scala 368:23] + rvclkhdr_308.clock <= clock + rvclkhdr_308.reset <= reset + rvclkhdr_308.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_308.io.en <= _T_1472 @[lib.scala 371:17] + rvclkhdr_308.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_42 : UInt, rvclkhdr_308.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_42 <= btb_wr_data @[lib.scala 374:16] + node _T_1473 = eq(btb_wr_addr, UInt<6>("h02b")) @[ifu_bp_ctl.scala 416:95] + node _T_1474 = and(_T_1473, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1475 = bits(_T_1474, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_309 of rvclkhdr_403 @[lib.scala 368:23] + rvclkhdr_309.clock <= clock + rvclkhdr_309.reset <= reset + rvclkhdr_309.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_309.io.en <= _T_1475 @[lib.scala 371:17] + rvclkhdr_309.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_43 : UInt, rvclkhdr_309.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_43 <= btb_wr_data @[lib.scala 374:16] + node _T_1476 = eq(btb_wr_addr, UInt<6>("h02c")) @[ifu_bp_ctl.scala 416:95] + node _T_1477 = and(_T_1476, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1478 = bits(_T_1477, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_310 of rvclkhdr_404 @[lib.scala 368:23] + rvclkhdr_310.clock <= clock + rvclkhdr_310.reset <= reset + rvclkhdr_310.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_310.io.en <= _T_1478 @[lib.scala 371:17] + rvclkhdr_310.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_44 : UInt, rvclkhdr_310.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_44 <= btb_wr_data @[lib.scala 374:16] + node _T_1479 = eq(btb_wr_addr, UInt<6>("h02d")) @[ifu_bp_ctl.scala 416:95] + node _T_1480 = and(_T_1479, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1481 = bits(_T_1480, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_311 of rvclkhdr_405 @[lib.scala 368:23] + rvclkhdr_311.clock <= clock + rvclkhdr_311.reset <= reset + rvclkhdr_311.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_311.io.en <= _T_1481 @[lib.scala 371:17] + rvclkhdr_311.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_45 : UInt, rvclkhdr_311.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_45 <= btb_wr_data @[lib.scala 374:16] + node _T_1482 = eq(btb_wr_addr, UInt<6>("h02e")) @[ifu_bp_ctl.scala 416:95] + node _T_1483 = and(_T_1482, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1484 = bits(_T_1483, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_312 of rvclkhdr_406 @[lib.scala 368:23] + rvclkhdr_312.clock <= clock + rvclkhdr_312.reset <= reset + rvclkhdr_312.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_312.io.en <= _T_1484 @[lib.scala 371:17] + rvclkhdr_312.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_46 : UInt, rvclkhdr_312.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_46 <= btb_wr_data @[lib.scala 374:16] + node _T_1485 = eq(btb_wr_addr, UInt<6>("h02f")) @[ifu_bp_ctl.scala 416:95] + node _T_1486 = and(_T_1485, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1487 = bits(_T_1486, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_313 of rvclkhdr_407 @[lib.scala 368:23] + rvclkhdr_313.clock <= clock + rvclkhdr_313.reset <= reset + rvclkhdr_313.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_313.io.en <= _T_1487 @[lib.scala 371:17] + rvclkhdr_313.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_47 : UInt, rvclkhdr_313.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_47 <= btb_wr_data @[lib.scala 374:16] + node _T_1488 = eq(btb_wr_addr, UInt<6>("h030")) @[ifu_bp_ctl.scala 416:95] + node _T_1489 = and(_T_1488, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1490 = bits(_T_1489, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_314 of rvclkhdr_408 @[lib.scala 368:23] + rvclkhdr_314.clock <= clock + rvclkhdr_314.reset <= reset + rvclkhdr_314.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_314.io.en <= _T_1490 @[lib.scala 371:17] + rvclkhdr_314.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_48 : UInt, rvclkhdr_314.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_48 <= btb_wr_data @[lib.scala 374:16] + node _T_1491 = eq(btb_wr_addr, UInt<6>("h031")) @[ifu_bp_ctl.scala 416:95] + node _T_1492 = and(_T_1491, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1493 = bits(_T_1492, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_315 of rvclkhdr_409 @[lib.scala 368:23] + rvclkhdr_315.clock <= clock + rvclkhdr_315.reset <= reset + rvclkhdr_315.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_315.io.en <= _T_1493 @[lib.scala 371:17] + rvclkhdr_315.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_49 : UInt, rvclkhdr_315.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_49 <= btb_wr_data @[lib.scala 374:16] + node _T_1494 = eq(btb_wr_addr, UInt<6>("h032")) @[ifu_bp_ctl.scala 416:95] + node _T_1495 = and(_T_1494, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1496 = bits(_T_1495, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_316 of rvclkhdr_410 @[lib.scala 368:23] + rvclkhdr_316.clock <= clock + rvclkhdr_316.reset <= reset + rvclkhdr_316.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_316.io.en <= _T_1496 @[lib.scala 371:17] + rvclkhdr_316.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_50 : UInt, rvclkhdr_316.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_50 <= btb_wr_data @[lib.scala 374:16] + node _T_1497 = eq(btb_wr_addr, UInt<6>("h033")) @[ifu_bp_ctl.scala 416:95] + node _T_1498 = and(_T_1497, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1499 = bits(_T_1498, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_317 of rvclkhdr_411 @[lib.scala 368:23] + rvclkhdr_317.clock <= clock + rvclkhdr_317.reset <= reset + rvclkhdr_317.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_317.io.en <= _T_1499 @[lib.scala 371:17] + rvclkhdr_317.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_51 : UInt, rvclkhdr_317.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_51 <= btb_wr_data @[lib.scala 374:16] + node _T_1500 = eq(btb_wr_addr, UInt<6>("h034")) @[ifu_bp_ctl.scala 416:95] + node _T_1501 = and(_T_1500, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1502 = bits(_T_1501, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_318 of rvclkhdr_412 @[lib.scala 368:23] + rvclkhdr_318.clock <= clock + rvclkhdr_318.reset <= reset + rvclkhdr_318.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_318.io.en <= _T_1502 @[lib.scala 371:17] + rvclkhdr_318.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_52 : UInt, rvclkhdr_318.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_52 <= btb_wr_data @[lib.scala 374:16] + node _T_1503 = eq(btb_wr_addr, UInt<6>("h035")) @[ifu_bp_ctl.scala 416:95] + node _T_1504 = and(_T_1503, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1505 = bits(_T_1504, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_319 of rvclkhdr_413 @[lib.scala 368:23] + rvclkhdr_319.clock <= clock + rvclkhdr_319.reset <= reset + rvclkhdr_319.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_319.io.en <= _T_1505 @[lib.scala 371:17] + rvclkhdr_319.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_53 : UInt, rvclkhdr_319.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_53 <= btb_wr_data @[lib.scala 374:16] + node _T_1506 = eq(btb_wr_addr, UInt<6>("h036")) @[ifu_bp_ctl.scala 416:95] + node _T_1507 = and(_T_1506, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1508 = bits(_T_1507, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_320 of rvclkhdr_414 @[lib.scala 368:23] + rvclkhdr_320.clock <= clock + rvclkhdr_320.reset <= reset + rvclkhdr_320.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_320.io.en <= _T_1508 @[lib.scala 371:17] + rvclkhdr_320.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_54 : UInt, rvclkhdr_320.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_54 <= btb_wr_data @[lib.scala 374:16] + node _T_1509 = eq(btb_wr_addr, UInt<6>("h037")) @[ifu_bp_ctl.scala 416:95] + node _T_1510 = and(_T_1509, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1511 = bits(_T_1510, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_321 of rvclkhdr_415 @[lib.scala 368:23] + rvclkhdr_321.clock <= clock + rvclkhdr_321.reset <= reset + rvclkhdr_321.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_321.io.en <= _T_1511 @[lib.scala 371:17] + rvclkhdr_321.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_55 : UInt, rvclkhdr_321.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_55 <= btb_wr_data @[lib.scala 374:16] + node _T_1512 = eq(btb_wr_addr, UInt<6>("h038")) @[ifu_bp_ctl.scala 416:95] + node _T_1513 = and(_T_1512, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1514 = bits(_T_1513, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_322 of rvclkhdr_416 @[lib.scala 368:23] + rvclkhdr_322.clock <= clock + rvclkhdr_322.reset <= reset + rvclkhdr_322.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_322.io.en <= _T_1514 @[lib.scala 371:17] + rvclkhdr_322.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_56 : UInt, rvclkhdr_322.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_56 <= btb_wr_data @[lib.scala 374:16] + node _T_1515 = eq(btb_wr_addr, UInt<6>("h039")) @[ifu_bp_ctl.scala 416:95] + node _T_1516 = and(_T_1515, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1517 = bits(_T_1516, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_323 of rvclkhdr_417 @[lib.scala 368:23] + rvclkhdr_323.clock <= clock + rvclkhdr_323.reset <= reset + rvclkhdr_323.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_323.io.en <= _T_1517 @[lib.scala 371:17] + rvclkhdr_323.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_57 : UInt, rvclkhdr_323.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_57 <= btb_wr_data @[lib.scala 374:16] + node _T_1518 = eq(btb_wr_addr, UInt<6>("h03a")) @[ifu_bp_ctl.scala 416:95] + node _T_1519 = and(_T_1518, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1520 = bits(_T_1519, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_324 of rvclkhdr_418 @[lib.scala 368:23] + rvclkhdr_324.clock <= clock + rvclkhdr_324.reset <= reset + rvclkhdr_324.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_324.io.en <= _T_1520 @[lib.scala 371:17] + rvclkhdr_324.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_58 : UInt, rvclkhdr_324.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_58 <= btb_wr_data @[lib.scala 374:16] + node _T_1521 = eq(btb_wr_addr, UInt<6>("h03b")) @[ifu_bp_ctl.scala 416:95] + node _T_1522 = and(_T_1521, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1523 = bits(_T_1522, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_325 of rvclkhdr_419 @[lib.scala 368:23] + rvclkhdr_325.clock <= clock + rvclkhdr_325.reset <= reset + rvclkhdr_325.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_325.io.en <= _T_1523 @[lib.scala 371:17] + rvclkhdr_325.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_59 : UInt, rvclkhdr_325.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_59 <= btb_wr_data @[lib.scala 374:16] + node _T_1524 = eq(btb_wr_addr, UInt<6>("h03c")) @[ifu_bp_ctl.scala 416:95] + node _T_1525 = and(_T_1524, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1526 = bits(_T_1525, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_326 of rvclkhdr_420 @[lib.scala 368:23] + rvclkhdr_326.clock <= clock + rvclkhdr_326.reset <= reset + rvclkhdr_326.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_326.io.en <= _T_1526 @[lib.scala 371:17] + rvclkhdr_326.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_60 : UInt, rvclkhdr_326.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_60 <= btb_wr_data @[lib.scala 374:16] + node _T_1527 = eq(btb_wr_addr, UInt<6>("h03d")) @[ifu_bp_ctl.scala 416:95] + node _T_1528 = and(_T_1527, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1529 = bits(_T_1528, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_327 of rvclkhdr_421 @[lib.scala 368:23] + rvclkhdr_327.clock <= clock + rvclkhdr_327.reset <= reset + rvclkhdr_327.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_327.io.en <= _T_1529 @[lib.scala 371:17] + rvclkhdr_327.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_61 : UInt, rvclkhdr_327.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_61 <= btb_wr_data @[lib.scala 374:16] + node _T_1530 = eq(btb_wr_addr, UInt<6>("h03e")) @[ifu_bp_ctl.scala 416:95] + node _T_1531 = and(_T_1530, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1532 = bits(_T_1531, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_328 of rvclkhdr_422 @[lib.scala 368:23] + rvclkhdr_328.clock <= clock + rvclkhdr_328.reset <= reset + rvclkhdr_328.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_328.io.en <= _T_1532 @[lib.scala 371:17] + rvclkhdr_328.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_62 : UInt, rvclkhdr_328.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_62 <= btb_wr_data @[lib.scala 374:16] + node _T_1533 = eq(btb_wr_addr, UInt<6>("h03f")) @[ifu_bp_ctl.scala 416:95] + node _T_1534 = and(_T_1533, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1535 = bits(_T_1534, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_329 of rvclkhdr_423 @[lib.scala 368:23] + rvclkhdr_329.clock <= clock + rvclkhdr_329.reset <= reset + rvclkhdr_329.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_329.io.en <= _T_1535 @[lib.scala 371:17] + rvclkhdr_329.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_63 : UInt, rvclkhdr_329.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_63 <= btb_wr_data @[lib.scala 374:16] + node _T_1536 = eq(btb_wr_addr, UInt<7>("h040")) @[ifu_bp_ctl.scala 416:95] + node _T_1537 = and(_T_1536, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1538 = bits(_T_1537, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_330 of rvclkhdr_424 @[lib.scala 368:23] + rvclkhdr_330.clock <= clock + rvclkhdr_330.reset <= reset + rvclkhdr_330.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_330.io.en <= _T_1538 @[lib.scala 371:17] + rvclkhdr_330.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_64 : UInt, rvclkhdr_330.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_64 <= btb_wr_data @[lib.scala 374:16] + node _T_1539 = eq(btb_wr_addr, UInt<7>("h041")) @[ifu_bp_ctl.scala 416:95] + node _T_1540 = and(_T_1539, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1541 = bits(_T_1540, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_331 of rvclkhdr_425 @[lib.scala 368:23] + rvclkhdr_331.clock <= clock + rvclkhdr_331.reset <= reset + rvclkhdr_331.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_331.io.en <= _T_1541 @[lib.scala 371:17] + rvclkhdr_331.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_65 : UInt, rvclkhdr_331.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_65 <= btb_wr_data @[lib.scala 374:16] + node _T_1542 = eq(btb_wr_addr, UInt<7>("h042")) @[ifu_bp_ctl.scala 416:95] + node _T_1543 = and(_T_1542, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1544 = bits(_T_1543, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_332 of rvclkhdr_426 @[lib.scala 368:23] + rvclkhdr_332.clock <= clock + rvclkhdr_332.reset <= reset + rvclkhdr_332.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_332.io.en <= _T_1544 @[lib.scala 371:17] + rvclkhdr_332.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_66 : UInt, rvclkhdr_332.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_66 <= btb_wr_data @[lib.scala 374:16] + node _T_1545 = eq(btb_wr_addr, UInt<7>("h043")) @[ifu_bp_ctl.scala 416:95] + node _T_1546 = and(_T_1545, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1547 = bits(_T_1546, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_333 of rvclkhdr_427 @[lib.scala 368:23] + rvclkhdr_333.clock <= clock + rvclkhdr_333.reset <= reset + rvclkhdr_333.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_333.io.en <= _T_1547 @[lib.scala 371:17] + rvclkhdr_333.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_67 : UInt, rvclkhdr_333.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_67 <= btb_wr_data @[lib.scala 374:16] + node _T_1548 = eq(btb_wr_addr, UInt<7>("h044")) @[ifu_bp_ctl.scala 416:95] + node _T_1549 = and(_T_1548, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1550 = bits(_T_1549, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_334 of rvclkhdr_428 @[lib.scala 368:23] + rvclkhdr_334.clock <= clock + rvclkhdr_334.reset <= reset + rvclkhdr_334.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_334.io.en <= _T_1550 @[lib.scala 371:17] + rvclkhdr_334.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_68 : UInt, rvclkhdr_334.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_68 <= btb_wr_data @[lib.scala 374:16] + node _T_1551 = eq(btb_wr_addr, UInt<7>("h045")) @[ifu_bp_ctl.scala 416:95] + node _T_1552 = and(_T_1551, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1553 = bits(_T_1552, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_335 of rvclkhdr_429 @[lib.scala 368:23] + rvclkhdr_335.clock <= clock + rvclkhdr_335.reset <= reset + rvclkhdr_335.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_335.io.en <= _T_1553 @[lib.scala 371:17] + rvclkhdr_335.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_69 : UInt, rvclkhdr_335.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_69 <= btb_wr_data @[lib.scala 374:16] + node _T_1554 = eq(btb_wr_addr, UInt<7>("h046")) @[ifu_bp_ctl.scala 416:95] + node _T_1555 = and(_T_1554, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1556 = bits(_T_1555, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_336 of rvclkhdr_430 @[lib.scala 368:23] + rvclkhdr_336.clock <= clock + rvclkhdr_336.reset <= reset + rvclkhdr_336.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_336.io.en <= _T_1556 @[lib.scala 371:17] + rvclkhdr_336.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_70 : UInt, rvclkhdr_336.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_70 <= btb_wr_data @[lib.scala 374:16] + node _T_1557 = eq(btb_wr_addr, UInt<7>("h047")) @[ifu_bp_ctl.scala 416:95] + node _T_1558 = and(_T_1557, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1559 = bits(_T_1558, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_337 of rvclkhdr_431 @[lib.scala 368:23] + rvclkhdr_337.clock <= clock + rvclkhdr_337.reset <= reset + rvclkhdr_337.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_337.io.en <= _T_1559 @[lib.scala 371:17] + rvclkhdr_337.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_71 : UInt, rvclkhdr_337.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_71 <= btb_wr_data @[lib.scala 374:16] + node _T_1560 = eq(btb_wr_addr, UInt<7>("h048")) @[ifu_bp_ctl.scala 416:95] + node _T_1561 = and(_T_1560, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1562 = bits(_T_1561, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_338 of rvclkhdr_432 @[lib.scala 368:23] + rvclkhdr_338.clock <= clock + rvclkhdr_338.reset <= reset + rvclkhdr_338.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_338.io.en <= _T_1562 @[lib.scala 371:17] + rvclkhdr_338.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_72 : UInt, rvclkhdr_338.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_72 <= btb_wr_data @[lib.scala 374:16] + node _T_1563 = eq(btb_wr_addr, UInt<7>("h049")) @[ifu_bp_ctl.scala 416:95] + node _T_1564 = and(_T_1563, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1565 = bits(_T_1564, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_339 of rvclkhdr_433 @[lib.scala 368:23] + rvclkhdr_339.clock <= clock + rvclkhdr_339.reset <= reset + rvclkhdr_339.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_339.io.en <= _T_1565 @[lib.scala 371:17] + rvclkhdr_339.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_73 : UInt, rvclkhdr_339.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_73 <= btb_wr_data @[lib.scala 374:16] + node _T_1566 = eq(btb_wr_addr, UInt<7>("h04a")) @[ifu_bp_ctl.scala 416:95] + node _T_1567 = and(_T_1566, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1568 = bits(_T_1567, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_340 of rvclkhdr_434 @[lib.scala 368:23] + rvclkhdr_340.clock <= clock + rvclkhdr_340.reset <= reset + rvclkhdr_340.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_340.io.en <= _T_1568 @[lib.scala 371:17] + rvclkhdr_340.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_74 : UInt, rvclkhdr_340.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_74 <= btb_wr_data @[lib.scala 374:16] + node _T_1569 = eq(btb_wr_addr, UInt<7>("h04b")) @[ifu_bp_ctl.scala 416:95] + node _T_1570 = and(_T_1569, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1571 = bits(_T_1570, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_341 of rvclkhdr_435 @[lib.scala 368:23] + rvclkhdr_341.clock <= clock + rvclkhdr_341.reset <= reset + rvclkhdr_341.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_341.io.en <= _T_1571 @[lib.scala 371:17] + rvclkhdr_341.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_75 : UInt, rvclkhdr_341.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_75 <= btb_wr_data @[lib.scala 374:16] + node _T_1572 = eq(btb_wr_addr, UInt<7>("h04c")) @[ifu_bp_ctl.scala 416:95] + node _T_1573 = and(_T_1572, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1574 = bits(_T_1573, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_342 of rvclkhdr_436 @[lib.scala 368:23] + rvclkhdr_342.clock <= clock + rvclkhdr_342.reset <= reset + rvclkhdr_342.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_342.io.en <= _T_1574 @[lib.scala 371:17] + rvclkhdr_342.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_76 : UInt, rvclkhdr_342.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_76 <= btb_wr_data @[lib.scala 374:16] + node _T_1575 = eq(btb_wr_addr, UInt<7>("h04d")) @[ifu_bp_ctl.scala 416:95] + node _T_1576 = and(_T_1575, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1577 = bits(_T_1576, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_343 of rvclkhdr_437 @[lib.scala 368:23] + rvclkhdr_343.clock <= clock + rvclkhdr_343.reset <= reset + rvclkhdr_343.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_343.io.en <= _T_1577 @[lib.scala 371:17] + rvclkhdr_343.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_77 : UInt, rvclkhdr_343.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_77 <= btb_wr_data @[lib.scala 374:16] + node _T_1578 = eq(btb_wr_addr, UInt<7>("h04e")) @[ifu_bp_ctl.scala 416:95] + node _T_1579 = and(_T_1578, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1580 = bits(_T_1579, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_344 of rvclkhdr_438 @[lib.scala 368:23] + rvclkhdr_344.clock <= clock + rvclkhdr_344.reset <= reset + rvclkhdr_344.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_344.io.en <= _T_1580 @[lib.scala 371:17] + rvclkhdr_344.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_78 : UInt, rvclkhdr_344.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_78 <= btb_wr_data @[lib.scala 374:16] + node _T_1581 = eq(btb_wr_addr, UInt<7>("h04f")) @[ifu_bp_ctl.scala 416:95] + node _T_1582 = and(_T_1581, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1583 = bits(_T_1582, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_345 of rvclkhdr_439 @[lib.scala 368:23] + rvclkhdr_345.clock <= clock + rvclkhdr_345.reset <= reset + rvclkhdr_345.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_345.io.en <= _T_1583 @[lib.scala 371:17] + rvclkhdr_345.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_79 : UInt, rvclkhdr_345.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_79 <= btb_wr_data @[lib.scala 374:16] + node _T_1584 = eq(btb_wr_addr, UInt<7>("h050")) @[ifu_bp_ctl.scala 416:95] + node _T_1585 = and(_T_1584, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1586 = bits(_T_1585, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_346 of rvclkhdr_440 @[lib.scala 368:23] + rvclkhdr_346.clock <= clock + rvclkhdr_346.reset <= reset + rvclkhdr_346.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_346.io.en <= _T_1586 @[lib.scala 371:17] + rvclkhdr_346.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_80 : UInt, rvclkhdr_346.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_80 <= btb_wr_data @[lib.scala 374:16] + node _T_1587 = eq(btb_wr_addr, UInt<7>("h051")) @[ifu_bp_ctl.scala 416:95] + node _T_1588 = and(_T_1587, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1589 = bits(_T_1588, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_347 of rvclkhdr_441 @[lib.scala 368:23] + rvclkhdr_347.clock <= clock + rvclkhdr_347.reset <= reset + rvclkhdr_347.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_347.io.en <= _T_1589 @[lib.scala 371:17] + rvclkhdr_347.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_81 : UInt, rvclkhdr_347.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_81 <= btb_wr_data @[lib.scala 374:16] + node _T_1590 = eq(btb_wr_addr, UInt<7>("h052")) @[ifu_bp_ctl.scala 416:95] + node _T_1591 = and(_T_1590, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1592 = bits(_T_1591, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_348 of rvclkhdr_442 @[lib.scala 368:23] + rvclkhdr_348.clock <= clock + rvclkhdr_348.reset <= reset + rvclkhdr_348.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_348.io.en <= _T_1592 @[lib.scala 371:17] + rvclkhdr_348.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_82 : UInt, rvclkhdr_348.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_82 <= btb_wr_data @[lib.scala 374:16] + node _T_1593 = eq(btb_wr_addr, UInt<7>("h053")) @[ifu_bp_ctl.scala 416:95] + node _T_1594 = and(_T_1593, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1595 = bits(_T_1594, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_349 of rvclkhdr_443 @[lib.scala 368:23] + rvclkhdr_349.clock <= clock + rvclkhdr_349.reset <= reset + rvclkhdr_349.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_349.io.en <= _T_1595 @[lib.scala 371:17] + rvclkhdr_349.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_83 : UInt, rvclkhdr_349.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_83 <= btb_wr_data @[lib.scala 374:16] + node _T_1596 = eq(btb_wr_addr, UInt<7>("h054")) @[ifu_bp_ctl.scala 416:95] + node _T_1597 = and(_T_1596, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1598 = bits(_T_1597, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_350 of rvclkhdr_444 @[lib.scala 368:23] + rvclkhdr_350.clock <= clock + rvclkhdr_350.reset <= reset + rvclkhdr_350.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_350.io.en <= _T_1598 @[lib.scala 371:17] + rvclkhdr_350.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_84 : UInt, rvclkhdr_350.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_84 <= btb_wr_data @[lib.scala 374:16] + node _T_1599 = eq(btb_wr_addr, UInt<7>("h055")) @[ifu_bp_ctl.scala 416:95] + node _T_1600 = and(_T_1599, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1601 = bits(_T_1600, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_351 of rvclkhdr_445 @[lib.scala 368:23] + rvclkhdr_351.clock <= clock + rvclkhdr_351.reset <= reset + rvclkhdr_351.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_351.io.en <= _T_1601 @[lib.scala 371:17] + rvclkhdr_351.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_85 : UInt, rvclkhdr_351.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_85 <= btb_wr_data @[lib.scala 374:16] + node _T_1602 = eq(btb_wr_addr, UInt<7>("h056")) @[ifu_bp_ctl.scala 416:95] + node _T_1603 = and(_T_1602, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1604 = bits(_T_1603, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_352 of rvclkhdr_446 @[lib.scala 368:23] + rvclkhdr_352.clock <= clock + rvclkhdr_352.reset <= reset + rvclkhdr_352.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_352.io.en <= _T_1604 @[lib.scala 371:17] + rvclkhdr_352.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_86 : UInt, rvclkhdr_352.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_86 <= btb_wr_data @[lib.scala 374:16] + node _T_1605 = eq(btb_wr_addr, UInt<7>("h057")) @[ifu_bp_ctl.scala 416:95] + node _T_1606 = and(_T_1605, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1607 = bits(_T_1606, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_353 of rvclkhdr_447 @[lib.scala 368:23] + rvclkhdr_353.clock <= clock + rvclkhdr_353.reset <= reset + rvclkhdr_353.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_353.io.en <= _T_1607 @[lib.scala 371:17] + rvclkhdr_353.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_87 : UInt, rvclkhdr_353.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_87 <= btb_wr_data @[lib.scala 374:16] + node _T_1608 = eq(btb_wr_addr, UInt<7>("h058")) @[ifu_bp_ctl.scala 416:95] + node _T_1609 = and(_T_1608, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1610 = bits(_T_1609, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_354 of rvclkhdr_448 @[lib.scala 368:23] + rvclkhdr_354.clock <= clock + rvclkhdr_354.reset <= reset + rvclkhdr_354.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_354.io.en <= _T_1610 @[lib.scala 371:17] + rvclkhdr_354.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_88 : UInt, rvclkhdr_354.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_88 <= btb_wr_data @[lib.scala 374:16] + node _T_1611 = eq(btb_wr_addr, UInt<7>("h059")) @[ifu_bp_ctl.scala 416:95] + node _T_1612 = and(_T_1611, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1613 = bits(_T_1612, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_355 of rvclkhdr_449 @[lib.scala 368:23] + rvclkhdr_355.clock <= clock + rvclkhdr_355.reset <= reset + rvclkhdr_355.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_355.io.en <= _T_1613 @[lib.scala 371:17] + rvclkhdr_355.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_89 : UInt, rvclkhdr_355.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_89 <= btb_wr_data @[lib.scala 374:16] + node _T_1614 = eq(btb_wr_addr, UInt<7>("h05a")) @[ifu_bp_ctl.scala 416:95] + node _T_1615 = and(_T_1614, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1616 = bits(_T_1615, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_356 of rvclkhdr_450 @[lib.scala 368:23] + rvclkhdr_356.clock <= clock + rvclkhdr_356.reset <= reset + rvclkhdr_356.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_356.io.en <= _T_1616 @[lib.scala 371:17] + rvclkhdr_356.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_90 : UInt, rvclkhdr_356.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_90 <= btb_wr_data @[lib.scala 374:16] + node _T_1617 = eq(btb_wr_addr, UInt<7>("h05b")) @[ifu_bp_ctl.scala 416:95] + node _T_1618 = and(_T_1617, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1619 = bits(_T_1618, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_357 of rvclkhdr_451 @[lib.scala 368:23] + rvclkhdr_357.clock <= clock + rvclkhdr_357.reset <= reset + rvclkhdr_357.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_357.io.en <= _T_1619 @[lib.scala 371:17] + rvclkhdr_357.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_91 : UInt, rvclkhdr_357.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_91 <= btb_wr_data @[lib.scala 374:16] + node _T_1620 = eq(btb_wr_addr, UInt<7>("h05c")) @[ifu_bp_ctl.scala 416:95] + node _T_1621 = and(_T_1620, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1622 = bits(_T_1621, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_358 of rvclkhdr_452 @[lib.scala 368:23] + rvclkhdr_358.clock <= clock + rvclkhdr_358.reset <= reset + rvclkhdr_358.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_358.io.en <= _T_1622 @[lib.scala 371:17] + rvclkhdr_358.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_92 : UInt, rvclkhdr_358.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_92 <= btb_wr_data @[lib.scala 374:16] + node _T_1623 = eq(btb_wr_addr, UInt<7>("h05d")) @[ifu_bp_ctl.scala 416:95] + node _T_1624 = and(_T_1623, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1625 = bits(_T_1624, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_359 of rvclkhdr_453 @[lib.scala 368:23] + rvclkhdr_359.clock <= clock + rvclkhdr_359.reset <= reset + rvclkhdr_359.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_359.io.en <= _T_1625 @[lib.scala 371:17] + rvclkhdr_359.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_93 : UInt, rvclkhdr_359.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_93 <= btb_wr_data @[lib.scala 374:16] + node _T_1626 = eq(btb_wr_addr, UInt<7>("h05e")) @[ifu_bp_ctl.scala 416:95] + node _T_1627 = and(_T_1626, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1628 = bits(_T_1627, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_360 of rvclkhdr_454 @[lib.scala 368:23] + rvclkhdr_360.clock <= clock + rvclkhdr_360.reset <= reset + rvclkhdr_360.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_360.io.en <= _T_1628 @[lib.scala 371:17] + rvclkhdr_360.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_94 : UInt, rvclkhdr_360.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_94 <= btb_wr_data @[lib.scala 374:16] + node _T_1629 = eq(btb_wr_addr, UInt<7>("h05f")) @[ifu_bp_ctl.scala 416:95] + node _T_1630 = and(_T_1629, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1631 = bits(_T_1630, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_361 of rvclkhdr_455 @[lib.scala 368:23] + rvclkhdr_361.clock <= clock + rvclkhdr_361.reset <= reset + rvclkhdr_361.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_361.io.en <= _T_1631 @[lib.scala 371:17] + rvclkhdr_361.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_95 : UInt, rvclkhdr_361.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_95 <= btb_wr_data @[lib.scala 374:16] + node _T_1632 = eq(btb_wr_addr, UInt<7>("h060")) @[ifu_bp_ctl.scala 416:95] + node _T_1633 = and(_T_1632, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1634 = bits(_T_1633, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_362 of rvclkhdr_456 @[lib.scala 368:23] + rvclkhdr_362.clock <= clock + rvclkhdr_362.reset <= reset + rvclkhdr_362.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_362.io.en <= _T_1634 @[lib.scala 371:17] + rvclkhdr_362.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_96 : UInt, rvclkhdr_362.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_96 <= btb_wr_data @[lib.scala 374:16] + node _T_1635 = eq(btb_wr_addr, UInt<7>("h061")) @[ifu_bp_ctl.scala 416:95] + node _T_1636 = and(_T_1635, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1637 = bits(_T_1636, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_363 of rvclkhdr_457 @[lib.scala 368:23] + rvclkhdr_363.clock <= clock + rvclkhdr_363.reset <= reset + rvclkhdr_363.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_363.io.en <= _T_1637 @[lib.scala 371:17] + rvclkhdr_363.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_97 : UInt, rvclkhdr_363.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_97 <= btb_wr_data @[lib.scala 374:16] + node _T_1638 = eq(btb_wr_addr, UInt<7>("h062")) @[ifu_bp_ctl.scala 416:95] + node _T_1639 = and(_T_1638, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1640 = bits(_T_1639, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_364 of rvclkhdr_458 @[lib.scala 368:23] + rvclkhdr_364.clock <= clock + rvclkhdr_364.reset <= reset + rvclkhdr_364.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_364.io.en <= _T_1640 @[lib.scala 371:17] + rvclkhdr_364.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_98 : UInt, rvclkhdr_364.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_98 <= btb_wr_data @[lib.scala 374:16] + node _T_1641 = eq(btb_wr_addr, UInt<7>("h063")) @[ifu_bp_ctl.scala 416:95] + node _T_1642 = and(_T_1641, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1643 = bits(_T_1642, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_365 of rvclkhdr_459 @[lib.scala 368:23] + rvclkhdr_365.clock <= clock + rvclkhdr_365.reset <= reset + rvclkhdr_365.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_365.io.en <= _T_1643 @[lib.scala 371:17] + rvclkhdr_365.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_99 : UInt, rvclkhdr_365.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_99 <= btb_wr_data @[lib.scala 374:16] + node _T_1644 = eq(btb_wr_addr, UInt<7>("h064")) @[ifu_bp_ctl.scala 416:95] + node _T_1645 = and(_T_1644, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1646 = bits(_T_1645, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_366 of rvclkhdr_460 @[lib.scala 368:23] + rvclkhdr_366.clock <= clock + rvclkhdr_366.reset <= reset + rvclkhdr_366.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_366.io.en <= _T_1646 @[lib.scala 371:17] + rvclkhdr_366.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_100 : UInt, rvclkhdr_366.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_100 <= btb_wr_data @[lib.scala 374:16] + node _T_1647 = eq(btb_wr_addr, UInt<7>("h065")) @[ifu_bp_ctl.scala 416:95] + node _T_1648 = and(_T_1647, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1649 = bits(_T_1648, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_367 of rvclkhdr_461 @[lib.scala 368:23] + rvclkhdr_367.clock <= clock + rvclkhdr_367.reset <= reset + rvclkhdr_367.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_367.io.en <= _T_1649 @[lib.scala 371:17] + rvclkhdr_367.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_101 : UInt, rvclkhdr_367.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_101 <= btb_wr_data @[lib.scala 374:16] + node _T_1650 = eq(btb_wr_addr, UInt<7>("h066")) @[ifu_bp_ctl.scala 416:95] + node _T_1651 = and(_T_1650, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1652 = bits(_T_1651, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_368 of rvclkhdr_462 @[lib.scala 368:23] + rvclkhdr_368.clock <= clock + rvclkhdr_368.reset <= reset + rvclkhdr_368.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_368.io.en <= _T_1652 @[lib.scala 371:17] + rvclkhdr_368.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_102 : UInt, rvclkhdr_368.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_102 <= btb_wr_data @[lib.scala 374:16] + node _T_1653 = eq(btb_wr_addr, UInt<7>("h067")) @[ifu_bp_ctl.scala 416:95] + node _T_1654 = and(_T_1653, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1655 = bits(_T_1654, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_369 of rvclkhdr_463 @[lib.scala 368:23] + rvclkhdr_369.clock <= clock + rvclkhdr_369.reset <= reset + rvclkhdr_369.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_369.io.en <= _T_1655 @[lib.scala 371:17] + rvclkhdr_369.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_103 : UInt, rvclkhdr_369.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_103 <= btb_wr_data @[lib.scala 374:16] + node _T_1656 = eq(btb_wr_addr, UInt<7>("h068")) @[ifu_bp_ctl.scala 416:95] + node _T_1657 = and(_T_1656, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1658 = bits(_T_1657, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_370 of rvclkhdr_464 @[lib.scala 368:23] + rvclkhdr_370.clock <= clock + rvclkhdr_370.reset <= reset + rvclkhdr_370.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_370.io.en <= _T_1658 @[lib.scala 371:17] + rvclkhdr_370.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_104 : UInt, rvclkhdr_370.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_104 <= btb_wr_data @[lib.scala 374:16] + node _T_1659 = eq(btb_wr_addr, UInt<7>("h069")) @[ifu_bp_ctl.scala 416:95] + node _T_1660 = and(_T_1659, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1661 = bits(_T_1660, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_371 of rvclkhdr_465 @[lib.scala 368:23] + rvclkhdr_371.clock <= clock + rvclkhdr_371.reset <= reset + rvclkhdr_371.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_371.io.en <= _T_1661 @[lib.scala 371:17] + rvclkhdr_371.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_105 : UInt, rvclkhdr_371.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_105 <= btb_wr_data @[lib.scala 374:16] + node _T_1662 = eq(btb_wr_addr, UInt<7>("h06a")) @[ifu_bp_ctl.scala 416:95] + node _T_1663 = and(_T_1662, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1664 = bits(_T_1663, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_372 of rvclkhdr_466 @[lib.scala 368:23] + rvclkhdr_372.clock <= clock + rvclkhdr_372.reset <= reset + rvclkhdr_372.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_372.io.en <= _T_1664 @[lib.scala 371:17] + rvclkhdr_372.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_106 : UInt, rvclkhdr_372.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_106 <= btb_wr_data @[lib.scala 374:16] + node _T_1665 = eq(btb_wr_addr, UInt<7>("h06b")) @[ifu_bp_ctl.scala 416:95] + node _T_1666 = and(_T_1665, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1667 = bits(_T_1666, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_373 of rvclkhdr_467 @[lib.scala 368:23] + rvclkhdr_373.clock <= clock + rvclkhdr_373.reset <= reset + rvclkhdr_373.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_373.io.en <= _T_1667 @[lib.scala 371:17] + rvclkhdr_373.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_107 : UInt, rvclkhdr_373.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_107 <= btb_wr_data @[lib.scala 374:16] + node _T_1668 = eq(btb_wr_addr, UInt<7>("h06c")) @[ifu_bp_ctl.scala 416:95] + node _T_1669 = and(_T_1668, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1670 = bits(_T_1669, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_374 of rvclkhdr_468 @[lib.scala 368:23] + rvclkhdr_374.clock <= clock + rvclkhdr_374.reset <= reset + rvclkhdr_374.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_374.io.en <= _T_1670 @[lib.scala 371:17] + rvclkhdr_374.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_108 : UInt, rvclkhdr_374.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_108 <= btb_wr_data @[lib.scala 374:16] + node _T_1671 = eq(btb_wr_addr, UInt<7>("h06d")) @[ifu_bp_ctl.scala 416:95] + node _T_1672 = and(_T_1671, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1673 = bits(_T_1672, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_375 of rvclkhdr_469 @[lib.scala 368:23] + rvclkhdr_375.clock <= clock + rvclkhdr_375.reset <= reset + rvclkhdr_375.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_375.io.en <= _T_1673 @[lib.scala 371:17] + rvclkhdr_375.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_109 : UInt, rvclkhdr_375.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_109 <= btb_wr_data @[lib.scala 374:16] + node _T_1674 = eq(btb_wr_addr, UInt<7>("h06e")) @[ifu_bp_ctl.scala 416:95] + node _T_1675 = and(_T_1674, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1676 = bits(_T_1675, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_376 of rvclkhdr_470 @[lib.scala 368:23] + rvclkhdr_376.clock <= clock + rvclkhdr_376.reset <= reset + rvclkhdr_376.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_376.io.en <= _T_1676 @[lib.scala 371:17] + rvclkhdr_376.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_110 : UInt, rvclkhdr_376.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_110 <= btb_wr_data @[lib.scala 374:16] + node _T_1677 = eq(btb_wr_addr, UInt<7>("h06f")) @[ifu_bp_ctl.scala 416:95] + node _T_1678 = and(_T_1677, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1679 = bits(_T_1678, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_377 of rvclkhdr_471 @[lib.scala 368:23] + rvclkhdr_377.clock <= clock + rvclkhdr_377.reset <= reset + rvclkhdr_377.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_377.io.en <= _T_1679 @[lib.scala 371:17] + rvclkhdr_377.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_111 : UInt, rvclkhdr_377.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_111 <= btb_wr_data @[lib.scala 374:16] + node _T_1680 = eq(btb_wr_addr, UInt<7>("h070")) @[ifu_bp_ctl.scala 416:95] + node _T_1681 = and(_T_1680, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1682 = bits(_T_1681, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_378 of rvclkhdr_472 @[lib.scala 368:23] + rvclkhdr_378.clock <= clock + rvclkhdr_378.reset <= reset + rvclkhdr_378.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_378.io.en <= _T_1682 @[lib.scala 371:17] + rvclkhdr_378.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_112 : UInt, rvclkhdr_378.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_112 <= btb_wr_data @[lib.scala 374:16] + node _T_1683 = eq(btb_wr_addr, UInt<7>("h071")) @[ifu_bp_ctl.scala 416:95] + node _T_1684 = and(_T_1683, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1685 = bits(_T_1684, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_379 of rvclkhdr_473 @[lib.scala 368:23] + rvclkhdr_379.clock <= clock + rvclkhdr_379.reset <= reset + rvclkhdr_379.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_379.io.en <= _T_1685 @[lib.scala 371:17] + rvclkhdr_379.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_113 : UInt, rvclkhdr_379.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_113 <= btb_wr_data @[lib.scala 374:16] + node _T_1686 = eq(btb_wr_addr, UInt<7>("h072")) @[ifu_bp_ctl.scala 416:95] + node _T_1687 = and(_T_1686, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1688 = bits(_T_1687, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_380 of rvclkhdr_474 @[lib.scala 368:23] + rvclkhdr_380.clock <= clock + rvclkhdr_380.reset <= reset + rvclkhdr_380.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_380.io.en <= _T_1688 @[lib.scala 371:17] + rvclkhdr_380.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_114 : UInt, rvclkhdr_380.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_114 <= btb_wr_data @[lib.scala 374:16] + node _T_1689 = eq(btb_wr_addr, UInt<7>("h073")) @[ifu_bp_ctl.scala 416:95] + node _T_1690 = and(_T_1689, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1691 = bits(_T_1690, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_381 of rvclkhdr_475 @[lib.scala 368:23] + rvclkhdr_381.clock <= clock + rvclkhdr_381.reset <= reset + rvclkhdr_381.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_381.io.en <= _T_1691 @[lib.scala 371:17] + rvclkhdr_381.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_115 : UInt, rvclkhdr_381.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_115 <= btb_wr_data @[lib.scala 374:16] + node _T_1692 = eq(btb_wr_addr, UInt<7>("h074")) @[ifu_bp_ctl.scala 416:95] + node _T_1693 = and(_T_1692, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1694 = bits(_T_1693, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_382 of rvclkhdr_476 @[lib.scala 368:23] + rvclkhdr_382.clock <= clock + rvclkhdr_382.reset <= reset + rvclkhdr_382.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_382.io.en <= _T_1694 @[lib.scala 371:17] + rvclkhdr_382.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_116 : UInt, rvclkhdr_382.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_116 <= btb_wr_data @[lib.scala 374:16] + node _T_1695 = eq(btb_wr_addr, UInt<7>("h075")) @[ifu_bp_ctl.scala 416:95] + node _T_1696 = and(_T_1695, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1697 = bits(_T_1696, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_383 of rvclkhdr_477 @[lib.scala 368:23] + rvclkhdr_383.clock <= clock + rvclkhdr_383.reset <= reset + rvclkhdr_383.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_383.io.en <= _T_1697 @[lib.scala 371:17] + rvclkhdr_383.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_117 : UInt, rvclkhdr_383.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_117 <= btb_wr_data @[lib.scala 374:16] + node _T_1698 = eq(btb_wr_addr, UInt<7>("h076")) @[ifu_bp_ctl.scala 416:95] + node _T_1699 = and(_T_1698, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1700 = bits(_T_1699, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_384 of rvclkhdr_478 @[lib.scala 368:23] + rvclkhdr_384.clock <= clock + rvclkhdr_384.reset <= reset + rvclkhdr_384.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_384.io.en <= _T_1700 @[lib.scala 371:17] + rvclkhdr_384.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_118 : UInt, rvclkhdr_384.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_118 <= btb_wr_data @[lib.scala 374:16] + node _T_1701 = eq(btb_wr_addr, UInt<7>("h077")) @[ifu_bp_ctl.scala 416:95] + node _T_1702 = and(_T_1701, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1703 = bits(_T_1702, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_385 of rvclkhdr_479 @[lib.scala 368:23] + rvclkhdr_385.clock <= clock + rvclkhdr_385.reset <= reset + rvclkhdr_385.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_385.io.en <= _T_1703 @[lib.scala 371:17] + rvclkhdr_385.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_119 : UInt, rvclkhdr_385.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_119 <= btb_wr_data @[lib.scala 374:16] + node _T_1704 = eq(btb_wr_addr, UInt<7>("h078")) @[ifu_bp_ctl.scala 416:95] + node _T_1705 = and(_T_1704, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1706 = bits(_T_1705, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_386 of rvclkhdr_480 @[lib.scala 368:23] + rvclkhdr_386.clock <= clock + rvclkhdr_386.reset <= reset + rvclkhdr_386.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_386.io.en <= _T_1706 @[lib.scala 371:17] + rvclkhdr_386.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_120 : UInt, rvclkhdr_386.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_120 <= btb_wr_data @[lib.scala 374:16] + node _T_1707 = eq(btb_wr_addr, UInt<7>("h079")) @[ifu_bp_ctl.scala 416:95] + node _T_1708 = and(_T_1707, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1709 = bits(_T_1708, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_387 of rvclkhdr_481 @[lib.scala 368:23] + rvclkhdr_387.clock <= clock + rvclkhdr_387.reset <= reset + rvclkhdr_387.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_387.io.en <= _T_1709 @[lib.scala 371:17] + rvclkhdr_387.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_121 : UInt, rvclkhdr_387.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_121 <= btb_wr_data @[lib.scala 374:16] + node _T_1710 = eq(btb_wr_addr, UInt<7>("h07a")) @[ifu_bp_ctl.scala 416:95] + node _T_1711 = and(_T_1710, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1712 = bits(_T_1711, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_388 of rvclkhdr_482 @[lib.scala 368:23] + rvclkhdr_388.clock <= clock + rvclkhdr_388.reset <= reset + rvclkhdr_388.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_388.io.en <= _T_1712 @[lib.scala 371:17] + rvclkhdr_388.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_122 : UInt, rvclkhdr_388.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_122 <= btb_wr_data @[lib.scala 374:16] + node _T_1713 = eq(btb_wr_addr, UInt<7>("h07b")) @[ifu_bp_ctl.scala 416:95] + node _T_1714 = and(_T_1713, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1715 = bits(_T_1714, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_389 of rvclkhdr_483 @[lib.scala 368:23] + rvclkhdr_389.clock <= clock + rvclkhdr_389.reset <= reset + rvclkhdr_389.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_389.io.en <= _T_1715 @[lib.scala 371:17] + rvclkhdr_389.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_123 : UInt, rvclkhdr_389.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_123 <= btb_wr_data @[lib.scala 374:16] + node _T_1716 = eq(btb_wr_addr, UInt<7>("h07c")) @[ifu_bp_ctl.scala 416:95] + node _T_1717 = and(_T_1716, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1718 = bits(_T_1717, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_390 of rvclkhdr_484 @[lib.scala 368:23] + rvclkhdr_390.clock <= clock + rvclkhdr_390.reset <= reset + rvclkhdr_390.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_390.io.en <= _T_1718 @[lib.scala 371:17] + rvclkhdr_390.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_124 : UInt, rvclkhdr_390.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_124 <= btb_wr_data @[lib.scala 374:16] + node _T_1719 = eq(btb_wr_addr, UInt<7>("h07d")) @[ifu_bp_ctl.scala 416:95] + node _T_1720 = and(_T_1719, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1721 = bits(_T_1720, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_391 of rvclkhdr_485 @[lib.scala 368:23] + rvclkhdr_391.clock <= clock + rvclkhdr_391.reset <= reset + rvclkhdr_391.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_391.io.en <= _T_1721 @[lib.scala 371:17] + rvclkhdr_391.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_125 : UInt, rvclkhdr_391.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_125 <= btb_wr_data @[lib.scala 374:16] + node _T_1722 = eq(btb_wr_addr, UInt<7>("h07e")) @[ifu_bp_ctl.scala 416:95] + node _T_1723 = and(_T_1722, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1724 = bits(_T_1723, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_392 of rvclkhdr_486 @[lib.scala 368:23] + rvclkhdr_392.clock <= clock + rvclkhdr_392.reset <= reset + rvclkhdr_392.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_392.io.en <= _T_1724 @[lib.scala 371:17] + rvclkhdr_392.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_126 : UInt, rvclkhdr_392.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_126 <= btb_wr_data @[lib.scala 374:16] + node _T_1725 = eq(btb_wr_addr, UInt<7>("h07f")) @[ifu_bp_ctl.scala 416:95] + node _T_1726 = and(_T_1725, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1727 = bits(_T_1726, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_393 of rvclkhdr_487 @[lib.scala 368:23] + rvclkhdr_393.clock <= clock + rvclkhdr_393.reset <= reset + rvclkhdr_393.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_393.io.en <= _T_1727 @[lib.scala 371:17] + rvclkhdr_393.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_127 : UInt, rvclkhdr_393.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_127 <= btb_wr_data @[lib.scala 374:16] + node _T_1728 = eq(btb_wr_addr, UInt<8>("h080")) @[ifu_bp_ctl.scala 416:95] + node _T_1729 = and(_T_1728, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1730 = bits(_T_1729, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_394 of rvclkhdr_488 @[lib.scala 368:23] + rvclkhdr_394.clock <= clock + rvclkhdr_394.reset <= reset + rvclkhdr_394.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_394.io.en <= _T_1730 @[lib.scala 371:17] + rvclkhdr_394.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_128 : UInt, rvclkhdr_394.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_128 <= btb_wr_data @[lib.scala 374:16] + node _T_1731 = eq(btb_wr_addr, UInt<8>("h081")) @[ifu_bp_ctl.scala 416:95] + node _T_1732 = and(_T_1731, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1733 = bits(_T_1732, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_395 of rvclkhdr_489 @[lib.scala 368:23] + rvclkhdr_395.clock <= clock + rvclkhdr_395.reset <= reset + rvclkhdr_395.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_395.io.en <= _T_1733 @[lib.scala 371:17] + rvclkhdr_395.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_129 : UInt, rvclkhdr_395.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_129 <= btb_wr_data @[lib.scala 374:16] + node _T_1734 = eq(btb_wr_addr, UInt<8>("h082")) @[ifu_bp_ctl.scala 416:95] + node _T_1735 = and(_T_1734, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1736 = bits(_T_1735, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_396 of rvclkhdr_490 @[lib.scala 368:23] + rvclkhdr_396.clock <= clock + rvclkhdr_396.reset <= reset + rvclkhdr_396.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_396.io.en <= _T_1736 @[lib.scala 371:17] + rvclkhdr_396.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_130 : UInt, rvclkhdr_396.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_130 <= btb_wr_data @[lib.scala 374:16] + node _T_1737 = eq(btb_wr_addr, UInt<8>("h083")) @[ifu_bp_ctl.scala 416:95] + node _T_1738 = and(_T_1737, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1739 = bits(_T_1738, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_397 of rvclkhdr_491 @[lib.scala 368:23] + rvclkhdr_397.clock <= clock + rvclkhdr_397.reset <= reset + rvclkhdr_397.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_397.io.en <= _T_1739 @[lib.scala 371:17] + rvclkhdr_397.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_131 : UInt, rvclkhdr_397.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_131 <= btb_wr_data @[lib.scala 374:16] + node _T_1740 = eq(btb_wr_addr, UInt<8>("h084")) @[ifu_bp_ctl.scala 416:95] + node _T_1741 = and(_T_1740, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1742 = bits(_T_1741, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_398 of rvclkhdr_492 @[lib.scala 368:23] + rvclkhdr_398.clock <= clock + rvclkhdr_398.reset <= reset + rvclkhdr_398.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_398.io.en <= _T_1742 @[lib.scala 371:17] + rvclkhdr_398.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_132 : UInt, rvclkhdr_398.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_132 <= btb_wr_data @[lib.scala 374:16] + node _T_1743 = eq(btb_wr_addr, UInt<8>("h085")) @[ifu_bp_ctl.scala 416:95] + node _T_1744 = and(_T_1743, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1745 = bits(_T_1744, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_399 of rvclkhdr_493 @[lib.scala 368:23] + rvclkhdr_399.clock <= clock + rvclkhdr_399.reset <= reset + rvclkhdr_399.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_399.io.en <= _T_1745 @[lib.scala 371:17] + rvclkhdr_399.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_133 : UInt, rvclkhdr_399.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_133 <= btb_wr_data @[lib.scala 374:16] + node _T_1746 = eq(btb_wr_addr, UInt<8>("h086")) @[ifu_bp_ctl.scala 416:95] + node _T_1747 = and(_T_1746, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1748 = bits(_T_1747, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_400 of rvclkhdr_494 @[lib.scala 368:23] + rvclkhdr_400.clock <= clock + rvclkhdr_400.reset <= reset + rvclkhdr_400.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_400.io.en <= _T_1748 @[lib.scala 371:17] + rvclkhdr_400.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_134 : UInt, rvclkhdr_400.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_134 <= btb_wr_data @[lib.scala 374:16] + node _T_1749 = eq(btb_wr_addr, UInt<8>("h087")) @[ifu_bp_ctl.scala 416:95] + node _T_1750 = and(_T_1749, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1751 = bits(_T_1750, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_401 of rvclkhdr_495 @[lib.scala 368:23] + rvclkhdr_401.clock <= clock + rvclkhdr_401.reset <= reset + rvclkhdr_401.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_401.io.en <= _T_1751 @[lib.scala 371:17] + rvclkhdr_401.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_135 : UInt, rvclkhdr_401.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_135 <= btb_wr_data @[lib.scala 374:16] + node _T_1752 = eq(btb_wr_addr, UInt<8>("h088")) @[ifu_bp_ctl.scala 416:95] + node _T_1753 = and(_T_1752, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1754 = bits(_T_1753, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_402 of rvclkhdr_496 @[lib.scala 368:23] + rvclkhdr_402.clock <= clock + rvclkhdr_402.reset <= reset + rvclkhdr_402.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_402.io.en <= _T_1754 @[lib.scala 371:17] + rvclkhdr_402.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_136 : UInt, rvclkhdr_402.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_136 <= btb_wr_data @[lib.scala 374:16] + node _T_1755 = eq(btb_wr_addr, UInt<8>("h089")) @[ifu_bp_ctl.scala 416:95] + node _T_1756 = and(_T_1755, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1757 = bits(_T_1756, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_403 of rvclkhdr_497 @[lib.scala 368:23] + rvclkhdr_403.clock <= clock + rvclkhdr_403.reset <= reset + rvclkhdr_403.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_403.io.en <= _T_1757 @[lib.scala 371:17] + rvclkhdr_403.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_137 : UInt, rvclkhdr_403.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_137 <= btb_wr_data @[lib.scala 374:16] + node _T_1758 = eq(btb_wr_addr, UInt<8>("h08a")) @[ifu_bp_ctl.scala 416:95] + node _T_1759 = and(_T_1758, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1760 = bits(_T_1759, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_404 of rvclkhdr_498 @[lib.scala 368:23] + rvclkhdr_404.clock <= clock + rvclkhdr_404.reset <= reset + rvclkhdr_404.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_404.io.en <= _T_1760 @[lib.scala 371:17] + rvclkhdr_404.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_138 : UInt, rvclkhdr_404.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_138 <= btb_wr_data @[lib.scala 374:16] + node _T_1761 = eq(btb_wr_addr, UInt<8>("h08b")) @[ifu_bp_ctl.scala 416:95] + node _T_1762 = and(_T_1761, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1763 = bits(_T_1762, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_405 of rvclkhdr_499 @[lib.scala 368:23] + rvclkhdr_405.clock <= clock + rvclkhdr_405.reset <= reset + rvclkhdr_405.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_405.io.en <= _T_1763 @[lib.scala 371:17] + rvclkhdr_405.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_139 : UInt, rvclkhdr_405.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_139 <= btb_wr_data @[lib.scala 374:16] + node _T_1764 = eq(btb_wr_addr, UInt<8>("h08c")) @[ifu_bp_ctl.scala 416:95] + node _T_1765 = and(_T_1764, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1766 = bits(_T_1765, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_406 of rvclkhdr_500 @[lib.scala 368:23] + rvclkhdr_406.clock <= clock + rvclkhdr_406.reset <= reset + rvclkhdr_406.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_406.io.en <= _T_1766 @[lib.scala 371:17] + rvclkhdr_406.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_140 : UInt, rvclkhdr_406.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_140 <= btb_wr_data @[lib.scala 374:16] + node _T_1767 = eq(btb_wr_addr, UInt<8>("h08d")) @[ifu_bp_ctl.scala 416:95] + node _T_1768 = and(_T_1767, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1769 = bits(_T_1768, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_407 of rvclkhdr_501 @[lib.scala 368:23] + rvclkhdr_407.clock <= clock + rvclkhdr_407.reset <= reset + rvclkhdr_407.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_407.io.en <= _T_1769 @[lib.scala 371:17] + rvclkhdr_407.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_141 : UInt, rvclkhdr_407.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_141 <= btb_wr_data @[lib.scala 374:16] + node _T_1770 = eq(btb_wr_addr, UInt<8>("h08e")) @[ifu_bp_ctl.scala 416:95] + node _T_1771 = and(_T_1770, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1772 = bits(_T_1771, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_408 of rvclkhdr_502 @[lib.scala 368:23] + rvclkhdr_408.clock <= clock + rvclkhdr_408.reset <= reset + rvclkhdr_408.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_408.io.en <= _T_1772 @[lib.scala 371:17] + rvclkhdr_408.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_142 : UInt, rvclkhdr_408.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_142 <= btb_wr_data @[lib.scala 374:16] + node _T_1773 = eq(btb_wr_addr, UInt<8>("h08f")) @[ifu_bp_ctl.scala 416:95] + node _T_1774 = and(_T_1773, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1775 = bits(_T_1774, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_409 of rvclkhdr_503 @[lib.scala 368:23] + rvclkhdr_409.clock <= clock + rvclkhdr_409.reset <= reset + rvclkhdr_409.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_409.io.en <= _T_1775 @[lib.scala 371:17] + rvclkhdr_409.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_143 : UInt, rvclkhdr_409.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_143 <= btb_wr_data @[lib.scala 374:16] + node _T_1776 = eq(btb_wr_addr, UInt<8>("h090")) @[ifu_bp_ctl.scala 416:95] + node _T_1777 = and(_T_1776, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1778 = bits(_T_1777, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_410 of rvclkhdr_504 @[lib.scala 368:23] + rvclkhdr_410.clock <= clock + rvclkhdr_410.reset <= reset + rvclkhdr_410.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_410.io.en <= _T_1778 @[lib.scala 371:17] + rvclkhdr_410.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_144 : UInt, rvclkhdr_410.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_144 <= btb_wr_data @[lib.scala 374:16] + node _T_1779 = eq(btb_wr_addr, UInt<8>("h091")) @[ifu_bp_ctl.scala 416:95] + node _T_1780 = and(_T_1779, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1781 = bits(_T_1780, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_411 of rvclkhdr_505 @[lib.scala 368:23] + rvclkhdr_411.clock <= clock + rvclkhdr_411.reset <= reset + rvclkhdr_411.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_411.io.en <= _T_1781 @[lib.scala 371:17] + rvclkhdr_411.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_145 : UInt, rvclkhdr_411.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_145 <= btb_wr_data @[lib.scala 374:16] + node _T_1782 = eq(btb_wr_addr, UInt<8>("h092")) @[ifu_bp_ctl.scala 416:95] + node _T_1783 = and(_T_1782, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1784 = bits(_T_1783, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_412 of rvclkhdr_506 @[lib.scala 368:23] + rvclkhdr_412.clock <= clock + rvclkhdr_412.reset <= reset + rvclkhdr_412.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_412.io.en <= _T_1784 @[lib.scala 371:17] + rvclkhdr_412.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_146 : UInt, rvclkhdr_412.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_146 <= btb_wr_data @[lib.scala 374:16] + node _T_1785 = eq(btb_wr_addr, UInt<8>("h093")) @[ifu_bp_ctl.scala 416:95] + node _T_1786 = and(_T_1785, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1787 = bits(_T_1786, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_413 of rvclkhdr_507 @[lib.scala 368:23] + rvclkhdr_413.clock <= clock + rvclkhdr_413.reset <= reset + rvclkhdr_413.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_413.io.en <= _T_1787 @[lib.scala 371:17] + rvclkhdr_413.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_147 : UInt, rvclkhdr_413.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_147 <= btb_wr_data @[lib.scala 374:16] + node _T_1788 = eq(btb_wr_addr, UInt<8>("h094")) @[ifu_bp_ctl.scala 416:95] + node _T_1789 = and(_T_1788, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1790 = bits(_T_1789, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_414 of rvclkhdr_508 @[lib.scala 368:23] + rvclkhdr_414.clock <= clock + rvclkhdr_414.reset <= reset + rvclkhdr_414.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_414.io.en <= _T_1790 @[lib.scala 371:17] + rvclkhdr_414.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_148 : UInt, rvclkhdr_414.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_148 <= btb_wr_data @[lib.scala 374:16] + node _T_1791 = eq(btb_wr_addr, UInt<8>("h095")) @[ifu_bp_ctl.scala 416:95] + node _T_1792 = and(_T_1791, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1793 = bits(_T_1792, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_415 of rvclkhdr_509 @[lib.scala 368:23] + rvclkhdr_415.clock <= clock + rvclkhdr_415.reset <= reset + rvclkhdr_415.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_415.io.en <= _T_1793 @[lib.scala 371:17] + rvclkhdr_415.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_149 : UInt, rvclkhdr_415.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_149 <= btb_wr_data @[lib.scala 374:16] + node _T_1794 = eq(btb_wr_addr, UInt<8>("h096")) @[ifu_bp_ctl.scala 416:95] + node _T_1795 = and(_T_1794, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1796 = bits(_T_1795, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_416 of rvclkhdr_510 @[lib.scala 368:23] + rvclkhdr_416.clock <= clock + rvclkhdr_416.reset <= reset + rvclkhdr_416.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_416.io.en <= _T_1796 @[lib.scala 371:17] + rvclkhdr_416.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_150 : UInt, rvclkhdr_416.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_150 <= btb_wr_data @[lib.scala 374:16] + node _T_1797 = eq(btb_wr_addr, UInt<8>("h097")) @[ifu_bp_ctl.scala 416:95] + node _T_1798 = and(_T_1797, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1799 = bits(_T_1798, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_417 of rvclkhdr_511 @[lib.scala 368:23] + rvclkhdr_417.clock <= clock + rvclkhdr_417.reset <= reset + rvclkhdr_417.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_417.io.en <= _T_1799 @[lib.scala 371:17] + rvclkhdr_417.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_151 : UInt, rvclkhdr_417.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_151 <= btb_wr_data @[lib.scala 374:16] + node _T_1800 = eq(btb_wr_addr, UInt<8>("h098")) @[ifu_bp_ctl.scala 416:95] + node _T_1801 = and(_T_1800, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1802 = bits(_T_1801, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_418 of rvclkhdr_512 @[lib.scala 368:23] + rvclkhdr_418.clock <= clock + rvclkhdr_418.reset <= reset + rvclkhdr_418.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_418.io.en <= _T_1802 @[lib.scala 371:17] + rvclkhdr_418.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_152 : UInt, rvclkhdr_418.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_152 <= btb_wr_data @[lib.scala 374:16] + node _T_1803 = eq(btb_wr_addr, UInt<8>("h099")) @[ifu_bp_ctl.scala 416:95] + node _T_1804 = and(_T_1803, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1805 = bits(_T_1804, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_419 of rvclkhdr_513 @[lib.scala 368:23] + rvclkhdr_419.clock <= clock + rvclkhdr_419.reset <= reset + rvclkhdr_419.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_419.io.en <= _T_1805 @[lib.scala 371:17] + rvclkhdr_419.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_153 : UInt, rvclkhdr_419.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_153 <= btb_wr_data @[lib.scala 374:16] + node _T_1806 = eq(btb_wr_addr, UInt<8>("h09a")) @[ifu_bp_ctl.scala 416:95] + node _T_1807 = and(_T_1806, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1808 = bits(_T_1807, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_420 of rvclkhdr_514 @[lib.scala 368:23] + rvclkhdr_420.clock <= clock + rvclkhdr_420.reset <= reset + rvclkhdr_420.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_420.io.en <= _T_1808 @[lib.scala 371:17] + rvclkhdr_420.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_154 : UInt, rvclkhdr_420.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_154 <= btb_wr_data @[lib.scala 374:16] + node _T_1809 = eq(btb_wr_addr, UInt<8>("h09b")) @[ifu_bp_ctl.scala 416:95] + node _T_1810 = and(_T_1809, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1811 = bits(_T_1810, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_421 of rvclkhdr_515 @[lib.scala 368:23] + rvclkhdr_421.clock <= clock + rvclkhdr_421.reset <= reset + rvclkhdr_421.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_421.io.en <= _T_1811 @[lib.scala 371:17] + rvclkhdr_421.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_155 : UInt, rvclkhdr_421.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_155 <= btb_wr_data @[lib.scala 374:16] + node _T_1812 = eq(btb_wr_addr, UInt<8>("h09c")) @[ifu_bp_ctl.scala 416:95] + node _T_1813 = and(_T_1812, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1814 = bits(_T_1813, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_422 of rvclkhdr_516 @[lib.scala 368:23] + rvclkhdr_422.clock <= clock + rvclkhdr_422.reset <= reset + rvclkhdr_422.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_422.io.en <= _T_1814 @[lib.scala 371:17] + rvclkhdr_422.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_156 : UInt, rvclkhdr_422.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_156 <= btb_wr_data @[lib.scala 374:16] + node _T_1815 = eq(btb_wr_addr, UInt<8>("h09d")) @[ifu_bp_ctl.scala 416:95] + node _T_1816 = and(_T_1815, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1817 = bits(_T_1816, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_423 of rvclkhdr_517 @[lib.scala 368:23] + rvclkhdr_423.clock <= clock + rvclkhdr_423.reset <= reset + rvclkhdr_423.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_423.io.en <= _T_1817 @[lib.scala 371:17] + rvclkhdr_423.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_157 : UInt, rvclkhdr_423.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_157 <= btb_wr_data @[lib.scala 374:16] + node _T_1818 = eq(btb_wr_addr, UInt<8>("h09e")) @[ifu_bp_ctl.scala 416:95] + node _T_1819 = and(_T_1818, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1820 = bits(_T_1819, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_424 of rvclkhdr_518 @[lib.scala 368:23] + rvclkhdr_424.clock <= clock + rvclkhdr_424.reset <= reset + rvclkhdr_424.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_424.io.en <= _T_1820 @[lib.scala 371:17] + rvclkhdr_424.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_158 : UInt, rvclkhdr_424.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_158 <= btb_wr_data @[lib.scala 374:16] + node _T_1821 = eq(btb_wr_addr, UInt<8>("h09f")) @[ifu_bp_ctl.scala 416:95] + node _T_1822 = and(_T_1821, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1823 = bits(_T_1822, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_425 of rvclkhdr_519 @[lib.scala 368:23] + rvclkhdr_425.clock <= clock + rvclkhdr_425.reset <= reset + rvclkhdr_425.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_425.io.en <= _T_1823 @[lib.scala 371:17] + rvclkhdr_425.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_159 : UInt, rvclkhdr_425.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_159 <= btb_wr_data @[lib.scala 374:16] + node _T_1824 = eq(btb_wr_addr, UInt<8>("h0a0")) @[ifu_bp_ctl.scala 416:95] + node _T_1825 = and(_T_1824, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1826 = bits(_T_1825, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_426 of rvclkhdr_520 @[lib.scala 368:23] + rvclkhdr_426.clock <= clock + rvclkhdr_426.reset <= reset + rvclkhdr_426.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_426.io.en <= _T_1826 @[lib.scala 371:17] + rvclkhdr_426.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_160 : UInt, rvclkhdr_426.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_160 <= btb_wr_data @[lib.scala 374:16] + node _T_1827 = eq(btb_wr_addr, UInt<8>("h0a1")) @[ifu_bp_ctl.scala 416:95] + node _T_1828 = and(_T_1827, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1829 = bits(_T_1828, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_427 of rvclkhdr_521 @[lib.scala 368:23] + rvclkhdr_427.clock <= clock + rvclkhdr_427.reset <= reset + rvclkhdr_427.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_427.io.en <= _T_1829 @[lib.scala 371:17] + rvclkhdr_427.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_161 : UInt, rvclkhdr_427.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_161 <= btb_wr_data @[lib.scala 374:16] + node _T_1830 = eq(btb_wr_addr, UInt<8>("h0a2")) @[ifu_bp_ctl.scala 416:95] + node _T_1831 = and(_T_1830, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1832 = bits(_T_1831, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_428 of rvclkhdr_522 @[lib.scala 368:23] + rvclkhdr_428.clock <= clock + rvclkhdr_428.reset <= reset + rvclkhdr_428.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_428.io.en <= _T_1832 @[lib.scala 371:17] + rvclkhdr_428.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_162 : UInt, rvclkhdr_428.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_162 <= btb_wr_data @[lib.scala 374:16] + node _T_1833 = eq(btb_wr_addr, UInt<8>("h0a3")) @[ifu_bp_ctl.scala 416:95] + node _T_1834 = and(_T_1833, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1835 = bits(_T_1834, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_429 of rvclkhdr_523 @[lib.scala 368:23] + rvclkhdr_429.clock <= clock + rvclkhdr_429.reset <= reset + rvclkhdr_429.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_429.io.en <= _T_1835 @[lib.scala 371:17] + rvclkhdr_429.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_163 : UInt, rvclkhdr_429.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_163 <= btb_wr_data @[lib.scala 374:16] + node _T_1836 = eq(btb_wr_addr, UInt<8>("h0a4")) @[ifu_bp_ctl.scala 416:95] + node _T_1837 = and(_T_1836, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1838 = bits(_T_1837, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_430 of rvclkhdr_524 @[lib.scala 368:23] + rvclkhdr_430.clock <= clock + rvclkhdr_430.reset <= reset + rvclkhdr_430.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_430.io.en <= _T_1838 @[lib.scala 371:17] + rvclkhdr_430.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_164 : UInt, rvclkhdr_430.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_164 <= btb_wr_data @[lib.scala 374:16] + node _T_1839 = eq(btb_wr_addr, UInt<8>("h0a5")) @[ifu_bp_ctl.scala 416:95] + node _T_1840 = and(_T_1839, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1841 = bits(_T_1840, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_431 of rvclkhdr_525 @[lib.scala 368:23] + rvclkhdr_431.clock <= clock + rvclkhdr_431.reset <= reset + rvclkhdr_431.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_431.io.en <= _T_1841 @[lib.scala 371:17] + rvclkhdr_431.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_165 : UInt, rvclkhdr_431.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_165 <= btb_wr_data @[lib.scala 374:16] + node _T_1842 = eq(btb_wr_addr, UInt<8>("h0a6")) @[ifu_bp_ctl.scala 416:95] + node _T_1843 = and(_T_1842, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1844 = bits(_T_1843, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_432 of rvclkhdr_526 @[lib.scala 368:23] + rvclkhdr_432.clock <= clock + rvclkhdr_432.reset <= reset + rvclkhdr_432.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_432.io.en <= _T_1844 @[lib.scala 371:17] + rvclkhdr_432.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_166 : UInt, rvclkhdr_432.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_166 <= btb_wr_data @[lib.scala 374:16] + node _T_1845 = eq(btb_wr_addr, UInt<8>("h0a7")) @[ifu_bp_ctl.scala 416:95] + node _T_1846 = and(_T_1845, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1847 = bits(_T_1846, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_433 of rvclkhdr_527 @[lib.scala 368:23] + rvclkhdr_433.clock <= clock + rvclkhdr_433.reset <= reset + rvclkhdr_433.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_433.io.en <= _T_1847 @[lib.scala 371:17] + rvclkhdr_433.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_167 : UInt, rvclkhdr_433.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_167 <= btb_wr_data @[lib.scala 374:16] + node _T_1848 = eq(btb_wr_addr, UInt<8>("h0a8")) @[ifu_bp_ctl.scala 416:95] + node _T_1849 = and(_T_1848, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1850 = bits(_T_1849, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_434 of rvclkhdr_528 @[lib.scala 368:23] + rvclkhdr_434.clock <= clock + rvclkhdr_434.reset <= reset + rvclkhdr_434.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_434.io.en <= _T_1850 @[lib.scala 371:17] + rvclkhdr_434.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_168 : UInt, rvclkhdr_434.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_168 <= btb_wr_data @[lib.scala 374:16] + node _T_1851 = eq(btb_wr_addr, UInt<8>("h0a9")) @[ifu_bp_ctl.scala 416:95] + node _T_1852 = and(_T_1851, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1853 = bits(_T_1852, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_435 of rvclkhdr_529 @[lib.scala 368:23] + rvclkhdr_435.clock <= clock + rvclkhdr_435.reset <= reset + rvclkhdr_435.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_435.io.en <= _T_1853 @[lib.scala 371:17] + rvclkhdr_435.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_169 : UInt, rvclkhdr_435.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_169 <= btb_wr_data @[lib.scala 374:16] + node _T_1854 = eq(btb_wr_addr, UInt<8>("h0aa")) @[ifu_bp_ctl.scala 416:95] + node _T_1855 = and(_T_1854, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1856 = bits(_T_1855, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_436 of rvclkhdr_530 @[lib.scala 368:23] + rvclkhdr_436.clock <= clock + rvclkhdr_436.reset <= reset + rvclkhdr_436.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_436.io.en <= _T_1856 @[lib.scala 371:17] + rvclkhdr_436.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_170 : UInt, rvclkhdr_436.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_170 <= btb_wr_data @[lib.scala 374:16] + node _T_1857 = eq(btb_wr_addr, UInt<8>("h0ab")) @[ifu_bp_ctl.scala 416:95] + node _T_1858 = and(_T_1857, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1859 = bits(_T_1858, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_437 of rvclkhdr_531 @[lib.scala 368:23] + rvclkhdr_437.clock <= clock + rvclkhdr_437.reset <= reset + rvclkhdr_437.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_437.io.en <= _T_1859 @[lib.scala 371:17] + rvclkhdr_437.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_171 : UInt, rvclkhdr_437.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_171 <= btb_wr_data @[lib.scala 374:16] + node _T_1860 = eq(btb_wr_addr, UInt<8>("h0ac")) @[ifu_bp_ctl.scala 416:95] + node _T_1861 = and(_T_1860, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1862 = bits(_T_1861, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_438 of rvclkhdr_532 @[lib.scala 368:23] + rvclkhdr_438.clock <= clock + rvclkhdr_438.reset <= reset + rvclkhdr_438.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_438.io.en <= _T_1862 @[lib.scala 371:17] + rvclkhdr_438.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_172 : UInt, rvclkhdr_438.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_172 <= btb_wr_data @[lib.scala 374:16] + node _T_1863 = eq(btb_wr_addr, UInt<8>("h0ad")) @[ifu_bp_ctl.scala 416:95] + node _T_1864 = and(_T_1863, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1865 = bits(_T_1864, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_439 of rvclkhdr_533 @[lib.scala 368:23] + rvclkhdr_439.clock <= clock + rvclkhdr_439.reset <= reset + rvclkhdr_439.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_439.io.en <= _T_1865 @[lib.scala 371:17] + rvclkhdr_439.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_173 : UInt, rvclkhdr_439.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_173 <= btb_wr_data @[lib.scala 374:16] + node _T_1866 = eq(btb_wr_addr, UInt<8>("h0ae")) @[ifu_bp_ctl.scala 416:95] + node _T_1867 = and(_T_1866, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1868 = bits(_T_1867, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_440 of rvclkhdr_534 @[lib.scala 368:23] + rvclkhdr_440.clock <= clock + rvclkhdr_440.reset <= reset + rvclkhdr_440.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_440.io.en <= _T_1868 @[lib.scala 371:17] + rvclkhdr_440.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_174 : UInt, rvclkhdr_440.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_174 <= btb_wr_data @[lib.scala 374:16] + node _T_1869 = eq(btb_wr_addr, UInt<8>("h0af")) @[ifu_bp_ctl.scala 416:95] + node _T_1870 = and(_T_1869, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1871 = bits(_T_1870, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_441 of rvclkhdr_535 @[lib.scala 368:23] + rvclkhdr_441.clock <= clock + rvclkhdr_441.reset <= reset + rvclkhdr_441.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_441.io.en <= _T_1871 @[lib.scala 371:17] + rvclkhdr_441.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_175 : UInt, rvclkhdr_441.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_175 <= btb_wr_data @[lib.scala 374:16] + node _T_1872 = eq(btb_wr_addr, UInt<8>("h0b0")) @[ifu_bp_ctl.scala 416:95] + node _T_1873 = and(_T_1872, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1874 = bits(_T_1873, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_442 of rvclkhdr_536 @[lib.scala 368:23] + rvclkhdr_442.clock <= clock + rvclkhdr_442.reset <= reset + rvclkhdr_442.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_442.io.en <= _T_1874 @[lib.scala 371:17] + rvclkhdr_442.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_176 : UInt, rvclkhdr_442.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_176 <= btb_wr_data @[lib.scala 374:16] + node _T_1875 = eq(btb_wr_addr, UInt<8>("h0b1")) @[ifu_bp_ctl.scala 416:95] + node _T_1876 = and(_T_1875, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1877 = bits(_T_1876, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_443 of rvclkhdr_537 @[lib.scala 368:23] + rvclkhdr_443.clock <= clock + rvclkhdr_443.reset <= reset + rvclkhdr_443.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_443.io.en <= _T_1877 @[lib.scala 371:17] + rvclkhdr_443.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_177 : UInt, rvclkhdr_443.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_177 <= btb_wr_data @[lib.scala 374:16] + node _T_1878 = eq(btb_wr_addr, UInt<8>("h0b2")) @[ifu_bp_ctl.scala 416:95] + node _T_1879 = and(_T_1878, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1880 = bits(_T_1879, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_444 of rvclkhdr_538 @[lib.scala 368:23] + rvclkhdr_444.clock <= clock + rvclkhdr_444.reset <= reset + rvclkhdr_444.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_444.io.en <= _T_1880 @[lib.scala 371:17] + rvclkhdr_444.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_178 : UInt, rvclkhdr_444.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_178 <= btb_wr_data @[lib.scala 374:16] + node _T_1881 = eq(btb_wr_addr, UInt<8>("h0b3")) @[ifu_bp_ctl.scala 416:95] + node _T_1882 = and(_T_1881, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1883 = bits(_T_1882, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_445 of rvclkhdr_539 @[lib.scala 368:23] + rvclkhdr_445.clock <= clock + rvclkhdr_445.reset <= reset + rvclkhdr_445.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_445.io.en <= _T_1883 @[lib.scala 371:17] + rvclkhdr_445.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_179 : UInt, rvclkhdr_445.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_179 <= btb_wr_data @[lib.scala 374:16] + node _T_1884 = eq(btb_wr_addr, UInt<8>("h0b4")) @[ifu_bp_ctl.scala 416:95] + node _T_1885 = and(_T_1884, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1886 = bits(_T_1885, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_446 of rvclkhdr_540 @[lib.scala 368:23] + rvclkhdr_446.clock <= clock + rvclkhdr_446.reset <= reset + rvclkhdr_446.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_446.io.en <= _T_1886 @[lib.scala 371:17] + rvclkhdr_446.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_180 : UInt, rvclkhdr_446.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_180 <= btb_wr_data @[lib.scala 374:16] + node _T_1887 = eq(btb_wr_addr, UInt<8>("h0b5")) @[ifu_bp_ctl.scala 416:95] + node _T_1888 = and(_T_1887, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1889 = bits(_T_1888, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_447 of rvclkhdr_541 @[lib.scala 368:23] + rvclkhdr_447.clock <= clock + rvclkhdr_447.reset <= reset + rvclkhdr_447.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_447.io.en <= _T_1889 @[lib.scala 371:17] + rvclkhdr_447.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_181 : UInt, rvclkhdr_447.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_181 <= btb_wr_data @[lib.scala 374:16] + node _T_1890 = eq(btb_wr_addr, UInt<8>("h0b6")) @[ifu_bp_ctl.scala 416:95] + node _T_1891 = and(_T_1890, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1892 = bits(_T_1891, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_448 of rvclkhdr_542 @[lib.scala 368:23] + rvclkhdr_448.clock <= clock + rvclkhdr_448.reset <= reset + rvclkhdr_448.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_448.io.en <= _T_1892 @[lib.scala 371:17] + rvclkhdr_448.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_182 : UInt, rvclkhdr_448.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_182 <= btb_wr_data @[lib.scala 374:16] + node _T_1893 = eq(btb_wr_addr, UInt<8>("h0b7")) @[ifu_bp_ctl.scala 416:95] + node _T_1894 = and(_T_1893, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1895 = bits(_T_1894, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_449 of rvclkhdr_543 @[lib.scala 368:23] + rvclkhdr_449.clock <= clock + rvclkhdr_449.reset <= reset + rvclkhdr_449.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_449.io.en <= _T_1895 @[lib.scala 371:17] + rvclkhdr_449.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_183 : UInt, rvclkhdr_449.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_183 <= btb_wr_data @[lib.scala 374:16] + node _T_1896 = eq(btb_wr_addr, UInt<8>("h0b8")) @[ifu_bp_ctl.scala 416:95] + node _T_1897 = and(_T_1896, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1898 = bits(_T_1897, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_450 of rvclkhdr_544 @[lib.scala 368:23] + rvclkhdr_450.clock <= clock + rvclkhdr_450.reset <= reset + rvclkhdr_450.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_450.io.en <= _T_1898 @[lib.scala 371:17] + rvclkhdr_450.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_184 : UInt, rvclkhdr_450.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_184 <= btb_wr_data @[lib.scala 374:16] + node _T_1899 = eq(btb_wr_addr, UInt<8>("h0b9")) @[ifu_bp_ctl.scala 416:95] + node _T_1900 = and(_T_1899, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1901 = bits(_T_1900, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_451 of rvclkhdr_545 @[lib.scala 368:23] + rvclkhdr_451.clock <= clock + rvclkhdr_451.reset <= reset + rvclkhdr_451.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_451.io.en <= _T_1901 @[lib.scala 371:17] + rvclkhdr_451.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_185 : UInt, rvclkhdr_451.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_185 <= btb_wr_data @[lib.scala 374:16] + node _T_1902 = eq(btb_wr_addr, UInt<8>("h0ba")) @[ifu_bp_ctl.scala 416:95] + node _T_1903 = and(_T_1902, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1904 = bits(_T_1903, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_452 of rvclkhdr_546 @[lib.scala 368:23] + rvclkhdr_452.clock <= clock + rvclkhdr_452.reset <= reset + rvclkhdr_452.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_452.io.en <= _T_1904 @[lib.scala 371:17] + rvclkhdr_452.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_186 : UInt, rvclkhdr_452.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_186 <= btb_wr_data @[lib.scala 374:16] + node _T_1905 = eq(btb_wr_addr, UInt<8>("h0bb")) @[ifu_bp_ctl.scala 416:95] + node _T_1906 = and(_T_1905, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1907 = bits(_T_1906, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_453 of rvclkhdr_547 @[lib.scala 368:23] + rvclkhdr_453.clock <= clock + rvclkhdr_453.reset <= reset + rvclkhdr_453.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_453.io.en <= _T_1907 @[lib.scala 371:17] + rvclkhdr_453.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_187 : UInt, rvclkhdr_453.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_187 <= btb_wr_data @[lib.scala 374:16] + node _T_1908 = eq(btb_wr_addr, UInt<8>("h0bc")) @[ifu_bp_ctl.scala 416:95] + node _T_1909 = and(_T_1908, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1910 = bits(_T_1909, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_454 of rvclkhdr_548 @[lib.scala 368:23] + rvclkhdr_454.clock <= clock + rvclkhdr_454.reset <= reset + rvclkhdr_454.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_454.io.en <= _T_1910 @[lib.scala 371:17] + rvclkhdr_454.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_188 : UInt, rvclkhdr_454.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_188 <= btb_wr_data @[lib.scala 374:16] + node _T_1911 = eq(btb_wr_addr, UInt<8>("h0bd")) @[ifu_bp_ctl.scala 416:95] + node _T_1912 = and(_T_1911, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1913 = bits(_T_1912, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_455 of rvclkhdr_549 @[lib.scala 368:23] + rvclkhdr_455.clock <= clock + rvclkhdr_455.reset <= reset + rvclkhdr_455.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_455.io.en <= _T_1913 @[lib.scala 371:17] + rvclkhdr_455.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_189 : UInt, rvclkhdr_455.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_189 <= btb_wr_data @[lib.scala 374:16] + node _T_1914 = eq(btb_wr_addr, UInt<8>("h0be")) @[ifu_bp_ctl.scala 416:95] + node _T_1915 = and(_T_1914, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1916 = bits(_T_1915, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_456 of rvclkhdr_550 @[lib.scala 368:23] + rvclkhdr_456.clock <= clock + rvclkhdr_456.reset <= reset + rvclkhdr_456.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_456.io.en <= _T_1916 @[lib.scala 371:17] + rvclkhdr_456.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_190 : UInt, rvclkhdr_456.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_190 <= btb_wr_data @[lib.scala 374:16] + node _T_1917 = eq(btb_wr_addr, UInt<8>("h0bf")) @[ifu_bp_ctl.scala 416:95] + node _T_1918 = and(_T_1917, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1919 = bits(_T_1918, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_457 of rvclkhdr_551 @[lib.scala 368:23] + rvclkhdr_457.clock <= clock + rvclkhdr_457.reset <= reset + rvclkhdr_457.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_457.io.en <= _T_1919 @[lib.scala 371:17] + rvclkhdr_457.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_191 : UInt, rvclkhdr_457.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_191 <= btb_wr_data @[lib.scala 374:16] + node _T_1920 = eq(btb_wr_addr, UInt<8>("h0c0")) @[ifu_bp_ctl.scala 416:95] + node _T_1921 = and(_T_1920, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1922 = bits(_T_1921, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_458 of rvclkhdr_552 @[lib.scala 368:23] + rvclkhdr_458.clock <= clock + rvclkhdr_458.reset <= reset + rvclkhdr_458.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_458.io.en <= _T_1922 @[lib.scala 371:17] + rvclkhdr_458.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_192 : UInt, rvclkhdr_458.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_192 <= btb_wr_data @[lib.scala 374:16] + node _T_1923 = eq(btb_wr_addr, UInt<8>("h0c1")) @[ifu_bp_ctl.scala 416:95] + node _T_1924 = and(_T_1923, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1925 = bits(_T_1924, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_459 of rvclkhdr_553 @[lib.scala 368:23] + rvclkhdr_459.clock <= clock + rvclkhdr_459.reset <= reset + rvclkhdr_459.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_459.io.en <= _T_1925 @[lib.scala 371:17] + rvclkhdr_459.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_193 : UInt, rvclkhdr_459.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_193 <= btb_wr_data @[lib.scala 374:16] + node _T_1926 = eq(btb_wr_addr, UInt<8>("h0c2")) @[ifu_bp_ctl.scala 416:95] + node _T_1927 = and(_T_1926, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1928 = bits(_T_1927, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_460 of rvclkhdr_554 @[lib.scala 368:23] + rvclkhdr_460.clock <= clock + rvclkhdr_460.reset <= reset + rvclkhdr_460.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_460.io.en <= _T_1928 @[lib.scala 371:17] + rvclkhdr_460.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_194 : UInt, rvclkhdr_460.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_194 <= btb_wr_data @[lib.scala 374:16] + node _T_1929 = eq(btb_wr_addr, UInt<8>("h0c3")) @[ifu_bp_ctl.scala 416:95] + node _T_1930 = and(_T_1929, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1931 = bits(_T_1930, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_461 of rvclkhdr_555 @[lib.scala 368:23] + rvclkhdr_461.clock <= clock + rvclkhdr_461.reset <= reset + rvclkhdr_461.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_461.io.en <= _T_1931 @[lib.scala 371:17] + rvclkhdr_461.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_195 : UInt, rvclkhdr_461.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_195 <= btb_wr_data @[lib.scala 374:16] + node _T_1932 = eq(btb_wr_addr, UInt<8>("h0c4")) @[ifu_bp_ctl.scala 416:95] + node _T_1933 = and(_T_1932, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1934 = bits(_T_1933, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_462 of rvclkhdr_556 @[lib.scala 368:23] + rvclkhdr_462.clock <= clock + rvclkhdr_462.reset <= reset + rvclkhdr_462.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_462.io.en <= _T_1934 @[lib.scala 371:17] + rvclkhdr_462.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_196 : UInt, rvclkhdr_462.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_196 <= btb_wr_data @[lib.scala 374:16] + node _T_1935 = eq(btb_wr_addr, UInt<8>("h0c5")) @[ifu_bp_ctl.scala 416:95] + node _T_1936 = and(_T_1935, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1937 = bits(_T_1936, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_463 of rvclkhdr_557 @[lib.scala 368:23] + rvclkhdr_463.clock <= clock + rvclkhdr_463.reset <= reset + rvclkhdr_463.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_463.io.en <= _T_1937 @[lib.scala 371:17] + rvclkhdr_463.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_197 : UInt, rvclkhdr_463.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_197 <= btb_wr_data @[lib.scala 374:16] + node _T_1938 = eq(btb_wr_addr, UInt<8>("h0c6")) @[ifu_bp_ctl.scala 416:95] + node _T_1939 = and(_T_1938, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1940 = bits(_T_1939, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_464 of rvclkhdr_558 @[lib.scala 368:23] + rvclkhdr_464.clock <= clock + rvclkhdr_464.reset <= reset + rvclkhdr_464.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_464.io.en <= _T_1940 @[lib.scala 371:17] + rvclkhdr_464.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_198 : UInt, rvclkhdr_464.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_198 <= btb_wr_data @[lib.scala 374:16] + node _T_1941 = eq(btb_wr_addr, UInt<8>("h0c7")) @[ifu_bp_ctl.scala 416:95] + node _T_1942 = and(_T_1941, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1943 = bits(_T_1942, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_465 of rvclkhdr_559 @[lib.scala 368:23] + rvclkhdr_465.clock <= clock + rvclkhdr_465.reset <= reset + rvclkhdr_465.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_465.io.en <= _T_1943 @[lib.scala 371:17] + rvclkhdr_465.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_199 : UInt, rvclkhdr_465.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_199 <= btb_wr_data @[lib.scala 374:16] + node _T_1944 = eq(btb_wr_addr, UInt<8>("h0c8")) @[ifu_bp_ctl.scala 416:95] + node _T_1945 = and(_T_1944, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1946 = bits(_T_1945, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_466 of rvclkhdr_560 @[lib.scala 368:23] + rvclkhdr_466.clock <= clock + rvclkhdr_466.reset <= reset + rvclkhdr_466.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_466.io.en <= _T_1946 @[lib.scala 371:17] + rvclkhdr_466.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_200 : UInt, rvclkhdr_466.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_200 <= btb_wr_data @[lib.scala 374:16] + node _T_1947 = eq(btb_wr_addr, UInt<8>("h0c9")) @[ifu_bp_ctl.scala 416:95] + node _T_1948 = and(_T_1947, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1949 = bits(_T_1948, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_467 of rvclkhdr_561 @[lib.scala 368:23] + rvclkhdr_467.clock <= clock + rvclkhdr_467.reset <= reset + rvclkhdr_467.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_467.io.en <= _T_1949 @[lib.scala 371:17] + rvclkhdr_467.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_201 : UInt, rvclkhdr_467.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_201 <= btb_wr_data @[lib.scala 374:16] + node _T_1950 = eq(btb_wr_addr, UInt<8>("h0ca")) @[ifu_bp_ctl.scala 416:95] + node _T_1951 = and(_T_1950, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1952 = bits(_T_1951, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_468 of rvclkhdr_562 @[lib.scala 368:23] + rvclkhdr_468.clock <= clock + rvclkhdr_468.reset <= reset + rvclkhdr_468.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_468.io.en <= _T_1952 @[lib.scala 371:17] + rvclkhdr_468.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_202 : UInt, rvclkhdr_468.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_202 <= btb_wr_data @[lib.scala 374:16] + node _T_1953 = eq(btb_wr_addr, UInt<8>("h0cb")) @[ifu_bp_ctl.scala 416:95] + node _T_1954 = and(_T_1953, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1955 = bits(_T_1954, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_469 of rvclkhdr_563 @[lib.scala 368:23] + rvclkhdr_469.clock <= clock + rvclkhdr_469.reset <= reset + rvclkhdr_469.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_469.io.en <= _T_1955 @[lib.scala 371:17] + rvclkhdr_469.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_203 : UInt, rvclkhdr_469.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_203 <= btb_wr_data @[lib.scala 374:16] + node _T_1956 = eq(btb_wr_addr, UInt<8>("h0cc")) @[ifu_bp_ctl.scala 416:95] + node _T_1957 = and(_T_1956, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1958 = bits(_T_1957, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_470 of rvclkhdr_564 @[lib.scala 368:23] + rvclkhdr_470.clock <= clock + rvclkhdr_470.reset <= reset + rvclkhdr_470.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_470.io.en <= _T_1958 @[lib.scala 371:17] + rvclkhdr_470.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_204 : UInt, rvclkhdr_470.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_204 <= btb_wr_data @[lib.scala 374:16] + node _T_1959 = eq(btb_wr_addr, UInt<8>("h0cd")) @[ifu_bp_ctl.scala 416:95] + node _T_1960 = and(_T_1959, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1961 = bits(_T_1960, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_471 of rvclkhdr_565 @[lib.scala 368:23] + rvclkhdr_471.clock <= clock + rvclkhdr_471.reset <= reset + rvclkhdr_471.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_471.io.en <= _T_1961 @[lib.scala 371:17] + rvclkhdr_471.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_205 : UInt, rvclkhdr_471.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_205 <= btb_wr_data @[lib.scala 374:16] + node _T_1962 = eq(btb_wr_addr, UInt<8>("h0ce")) @[ifu_bp_ctl.scala 416:95] + node _T_1963 = and(_T_1962, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1964 = bits(_T_1963, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_472 of rvclkhdr_566 @[lib.scala 368:23] + rvclkhdr_472.clock <= clock + rvclkhdr_472.reset <= reset + rvclkhdr_472.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_472.io.en <= _T_1964 @[lib.scala 371:17] + rvclkhdr_472.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_206 : UInt, rvclkhdr_472.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_206 <= btb_wr_data @[lib.scala 374:16] + node _T_1965 = eq(btb_wr_addr, UInt<8>("h0cf")) @[ifu_bp_ctl.scala 416:95] + node _T_1966 = and(_T_1965, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1967 = bits(_T_1966, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_473 of rvclkhdr_567 @[lib.scala 368:23] + rvclkhdr_473.clock <= clock + rvclkhdr_473.reset <= reset + rvclkhdr_473.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_473.io.en <= _T_1967 @[lib.scala 371:17] + rvclkhdr_473.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_207 : UInt, rvclkhdr_473.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_207 <= btb_wr_data @[lib.scala 374:16] + node _T_1968 = eq(btb_wr_addr, UInt<8>("h0d0")) @[ifu_bp_ctl.scala 416:95] + node _T_1969 = and(_T_1968, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1970 = bits(_T_1969, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_474 of rvclkhdr_568 @[lib.scala 368:23] + rvclkhdr_474.clock <= clock + rvclkhdr_474.reset <= reset + rvclkhdr_474.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_474.io.en <= _T_1970 @[lib.scala 371:17] + rvclkhdr_474.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_208 : UInt, rvclkhdr_474.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_208 <= btb_wr_data @[lib.scala 374:16] + node _T_1971 = eq(btb_wr_addr, UInt<8>("h0d1")) @[ifu_bp_ctl.scala 416:95] + node _T_1972 = and(_T_1971, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1973 = bits(_T_1972, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_475 of rvclkhdr_569 @[lib.scala 368:23] + rvclkhdr_475.clock <= clock + rvclkhdr_475.reset <= reset + rvclkhdr_475.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_475.io.en <= _T_1973 @[lib.scala 371:17] + rvclkhdr_475.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_209 : UInt, rvclkhdr_475.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_209 <= btb_wr_data @[lib.scala 374:16] + node _T_1974 = eq(btb_wr_addr, UInt<8>("h0d2")) @[ifu_bp_ctl.scala 416:95] + node _T_1975 = and(_T_1974, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1976 = bits(_T_1975, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_476 of rvclkhdr_570 @[lib.scala 368:23] + rvclkhdr_476.clock <= clock + rvclkhdr_476.reset <= reset + rvclkhdr_476.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_476.io.en <= _T_1976 @[lib.scala 371:17] + rvclkhdr_476.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_210 : UInt, rvclkhdr_476.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_210 <= btb_wr_data @[lib.scala 374:16] + node _T_1977 = eq(btb_wr_addr, UInt<8>("h0d3")) @[ifu_bp_ctl.scala 416:95] + node _T_1978 = and(_T_1977, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1979 = bits(_T_1978, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_477 of rvclkhdr_571 @[lib.scala 368:23] + rvclkhdr_477.clock <= clock + rvclkhdr_477.reset <= reset + rvclkhdr_477.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_477.io.en <= _T_1979 @[lib.scala 371:17] + rvclkhdr_477.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_211 : UInt, rvclkhdr_477.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_211 <= btb_wr_data @[lib.scala 374:16] + node _T_1980 = eq(btb_wr_addr, UInt<8>("h0d4")) @[ifu_bp_ctl.scala 416:95] + node _T_1981 = and(_T_1980, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1982 = bits(_T_1981, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_478 of rvclkhdr_572 @[lib.scala 368:23] + rvclkhdr_478.clock <= clock + rvclkhdr_478.reset <= reset + rvclkhdr_478.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_478.io.en <= _T_1982 @[lib.scala 371:17] + rvclkhdr_478.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_212 : UInt, rvclkhdr_478.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_212 <= btb_wr_data @[lib.scala 374:16] + node _T_1983 = eq(btb_wr_addr, UInt<8>("h0d5")) @[ifu_bp_ctl.scala 416:95] + node _T_1984 = and(_T_1983, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1985 = bits(_T_1984, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_479 of rvclkhdr_573 @[lib.scala 368:23] + rvclkhdr_479.clock <= clock + rvclkhdr_479.reset <= reset + rvclkhdr_479.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_479.io.en <= _T_1985 @[lib.scala 371:17] + rvclkhdr_479.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_213 : UInt, rvclkhdr_479.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_213 <= btb_wr_data @[lib.scala 374:16] + node _T_1986 = eq(btb_wr_addr, UInt<8>("h0d6")) @[ifu_bp_ctl.scala 416:95] + node _T_1987 = and(_T_1986, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1988 = bits(_T_1987, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_480 of rvclkhdr_574 @[lib.scala 368:23] + rvclkhdr_480.clock <= clock + rvclkhdr_480.reset <= reset + rvclkhdr_480.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_480.io.en <= _T_1988 @[lib.scala 371:17] + rvclkhdr_480.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_214 : UInt, rvclkhdr_480.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_214 <= btb_wr_data @[lib.scala 374:16] + node _T_1989 = eq(btb_wr_addr, UInt<8>("h0d7")) @[ifu_bp_ctl.scala 416:95] + node _T_1990 = and(_T_1989, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1991 = bits(_T_1990, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_481 of rvclkhdr_575 @[lib.scala 368:23] + rvclkhdr_481.clock <= clock + rvclkhdr_481.reset <= reset + rvclkhdr_481.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_481.io.en <= _T_1991 @[lib.scala 371:17] + rvclkhdr_481.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_215 : UInt, rvclkhdr_481.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_215 <= btb_wr_data @[lib.scala 374:16] + node _T_1992 = eq(btb_wr_addr, UInt<8>("h0d8")) @[ifu_bp_ctl.scala 416:95] + node _T_1993 = and(_T_1992, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1994 = bits(_T_1993, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_482 of rvclkhdr_576 @[lib.scala 368:23] + rvclkhdr_482.clock <= clock + rvclkhdr_482.reset <= reset + rvclkhdr_482.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_482.io.en <= _T_1994 @[lib.scala 371:17] + rvclkhdr_482.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_216 : UInt, rvclkhdr_482.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_216 <= btb_wr_data @[lib.scala 374:16] + node _T_1995 = eq(btb_wr_addr, UInt<8>("h0d9")) @[ifu_bp_ctl.scala 416:95] + node _T_1996 = and(_T_1995, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_1997 = bits(_T_1996, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_483 of rvclkhdr_577 @[lib.scala 368:23] + rvclkhdr_483.clock <= clock + rvclkhdr_483.reset <= reset + rvclkhdr_483.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_483.io.en <= _T_1997 @[lib.scala 371:17] + rvclkhdr_483.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_217 : UInt, rvclkhdr_483.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_217 <= btb_wr_data @[lib.scala 374:16] + node _T_1998 = eq(btb_wr_addr, UInt<8>("h0da")) @[ifu_bp_ctl.scala 416:95] + node _T_1999 = and(_T_1998, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_2000 = bits(_T_1999, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_484 of rvclkhdr_578 @[lib.scala 368:23] + rvclkhdr_484.clock <= clock + rvclkhdr_484.reset <= reset + rvclkhdr_484.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_484.io.en <= _T_2000 @[lib.scala 371:17] + rvclkhdr_484.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_218 : UInt, rvclkhdr_484.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_218 <= btb_wr_data @[lib.scala 374:16] + node _T_2001 = eq(btb_wr_addr, UInt<8>("h0db")) @[ifu_bp_ctl.scala 416:95] + node _T_2002 = and(_T_2001, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_2003 = bits(_T_2002, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_485 of rvclkhdr_579 @[lib.scala 368:23] + rvclkhdr_485.clock <= clock + rvclkhdr_485.reset <= reset + rvclkhdr_485.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_485.io.en <= _T_2003 @[lib.scala 371:17] + rvclkhdr_485.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_219 : UInt, rvclkhdr_485.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_219 <= btb_wr_data @[lib.scala 374:16] + node _T_2004 = eq(btb_wr_addr, UInt<8>("h0dc")) @[ifu_bp_ctl.scala 416:95] + node _T_2005 = and(_T_2004, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_2006 = bits(_T_2005, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_486 of rvclkhdr_580 @[lib.scala 368:23] + rvclkhdr_486.clock <= clock + rvclkhdr_486.reset <= reset + rvclkhdr_486.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_486.io.en <= _T_2006 @[lib.scala 371:17] + rvclkhdr_486.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_220 : UInt, rvclkhdr_486.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_220 <= btb_wr_data @[lib.scala 374:16] + node _T_2007 = eq(btb_wr_addr, UInt<8>("h0dd")) @[ifu_bp_ctl.scala 416:95] + node _T_2008 = and(_T_2007, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_2009 = bits(_T_2008, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_487 of rvclkhdr_581 @[lib.scala 368:23] + rvclkhdr_487.clock <= clock + rvclkhdr_487.reset <= reset + rvclkhdr_487.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_487.io.en <= _T_2009 @[lib.scala 371:17] + rvclkhdr_487.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_221 : UInt, rvclkhdr_487.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_221 <= btb_wr_data @[lib.scala 374:16] + node _T_2010 = eq(btb_wr_addr, UInt<8>("h0de")) @[ifu_bp_ctl.scala 416:95] + node _T_2011 = and(_T_2010, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_2012 = bits(_T_2011, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_488 of rvclkhdr_582 @[lib.scala 368:23] + rvclkhdr_488.clock <= clock + rvclkhdr_488.reset <= reset + rvclkhdr_488.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_488.io.en <= _T_2012 @[lib.scala 371:17] + rvclkhdr_488.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_222 : UInt, rvclkhdr_488.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_222 <= btb_wr_data @[lib.scala 374:16] + node _T_2013 = eq(btb_wr_addr, UInt<8>("h0df")) @[ifu_bp_ctl.scala 416:95] + node _T_2014 = and(_T_2013, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_2015 = bits(_T_2014, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_489 of rvclkhdr_583 @[lib.scala 368:23] + rvclkhdr_489.clock <= clock + rvclkhdr_489.reset <= reset + rvclkhdr_489.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_489.io.en <= _T_2015 @[lib.scala 371:17] + rvclkhdr_489.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_223 : UInt, rvclkhdr_489.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_223 <= btb_wr_data @[lib.scala 374:16] + node _T_2016 = eq(btb_wr_addr, UInt<8>("h0e0")) @[ifu_bp_ctl.scala 416:95] + node _T_2017 = and(_T_2016, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_2018 = bits(_T_2017, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_490 of rvclkhdr_584 @[lib.scala 368:23] + rvclkhdr_490.clock <= clock + rvclkhdr_490.reset <= reset + rvclkhdr_490.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_490.io.en <= _T_2018 @[lib.scala 371:17] + rvclkhdr_490.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_224 : UInt, rvclkhdr_490.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_224 <= btb_wr_data @[lib.scala 374:16] + node _T_2019 = eq(btb_wr_addr, UInt<8>("h0e1")) @[ifu_bp_ctl.scala 416:95] + node _T_2020 = and(_T_2019, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_2021 = bits(_T_2020, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_491 of rvclkhdr_585 @[lib.scala 368:23] + rvclkhdr_491.clock <= clock + rvclkhdr_491.reset <= reset + rvclkhdr_491.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_491.io.en <= _T_2021 @[lib.scala 371:17] + rvclkhdr_491.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_225 : UInt, rvclkhdr_491.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_225 <= btb_wr_data @[lib.scala 374:16] + node _T_2022 = eq(btb_wr_addr, UInt<8>("h0e2")) @[ifu_bp_ctl.scala 416:95] + node _T_2023 = and(_T_2022, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_2024 = bits(_T_2023, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_492 of rvclkhdr_586 @[lib.scala 368:23] + rvclkhdr_492.clock <= clock + rvclkhdr_492.reset <= reset + rvclkhdr_492.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_492.io.en <= _T_2024 @[lib.scala 371:17] + rvclkhdr_492.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_226 : UInt, rvclkhdr_492.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_226 <= btb_wr_data @[lib.scala 374:16] + node _T_2025 = eq(btb_wr_addr, UInt<8>("h0e3")) @[ifu_bp_ctl.scala 416:95] + node _T_2026 = and(_T_2025, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_2027 = bits(_T_2026, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_493 of rvclkhdr_587 @[lib.scala 368:23] + rvclkhdr_493.clock <= clock + rvclkhdr_493.reset <= reset + rvclkhdr_493.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_493.io.en <= _T_2027 @[lib.scala 371:17] + rvclkhdr_493.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_227 : UInt, rvclkhdr_493.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_227 <= btb_wr_data @[lib.scala 374:16] + node _T_2028 = eq(btb_wr_addr, UInt<8>("h0e4")) @[ifu_bp_ctl.scala 416:95] + node _T_2029 = and(_T_2028, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_2030 = bits(_T_2029, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_494 of rvclkhdr_588 @[lib.scala 368:23] + rvclkhdr_494.clock <= clock + rvclkhdr_494.reset <= reset + rvclkhdr_494.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_494.io.en <= _T_2030 @[lib.scala 371:17] + rvclkhdr_494.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_228 : UInt, rvclkhdr_494.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_228 <= btb_wr_data @[lib.scala 374:16] + node _T_2031 = eq(btb_wr_addr, UInt<8>("h0e5")) @[ifu_bp_ctl.scala 416:95] + node _T_2032 = and(_T_2031, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_2033 = bits(_T_2032, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_495 of rvclkhdr_589 @[lib.scala 368:23] + rvclkhdr_495.clock <= clock + rvclkhdr_495.reset <= reset + rvclkhdr_495.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_495.io.en <= _T_2033 @[lib.scala 371:17] + rvclkhdr_495.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_229 : UInt, rvclkhdr_495.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_229 <= btb_wr_data @[lib.scala 374:16] + node _T_2034 = eq(btb_wr_addr, UInt<8>("h0e6")) @[ifu_bp_ctl.scala 416:95] + node _T_2035 = and(_T_2034, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_2036 = bits(_T_2035, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_496 of rvclkhdr_590 @[lib.scala 368:23] + rvclkhdr_496.clock <= clock + rvclkhdr_496.reset <= reset + rvclkhdr_496.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_496.io.en <= _T_2036 @[lib.scala 371:17] + rvclkhdr_496.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_230 : UInt, rvclkhdr_496.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_230 <= btb_wr_data @[lib.scala 374:16] + node _T_2037 = eq(btb_wr_addr, UInt<8>("h0e7")) @[ifu_bp_ctl.scala 416:95] + node _T_2038 = and(_T_2037, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_2039 = bits(_T_2038, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_497 of rvclkhdr_591 @[lib.scala 368:23] + rvclkhdr_497.clock <= clock + rvclkhdr_497.reset <= reset + rvclkhdr_497.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_497.io.en <= _T_2039 @[lib.scala 371:17] + rvclkhdr_497.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_231 : UInt, rvclkhdr_497.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_231 <= btb_wr_data @[lib.scala 374:16] + node _T_2040 = eq(btb_wr_addr, UInt<8>("h0e8")) @[ifu_bp_ctl.scala 416:95] + node _T_2041 = and(_T_2040, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_2042 = bits(_T_2041, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_498 of rvclkhdr_592 @[lib.scala 368:23] + rvclkhdr_498.clock <= clock + rvclkhdr_498.reset <= reset + rvclkhdr_498.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_498.io.en <= _T_2042 @[lib.scala 371:17] + rvclkhdr_498.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_232 : UInt, rvclkhdr_498.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_232 <= btb_wr_data @[lib.scala 374:16] + node _T_2043 = eq(btb_wr_addr, UInt<8>("h0e9")) @[ifu_bp_ctl.scala 416:95] + node _T_2044 = and(_T_2043, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_2045 = bits(_T_2044, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_499 of rvclkhdr_593 @[lib.scala 368:23] + rvclkhdr_499.clock <= clock + rvclkhdr_499.reset <= reset + rvclkhdr_499.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_499.io.en <= _T_2045 @[lib.scala 371:17] + rvclkhdr_499.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_233 : UInt, rvclkhdr_499.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_233 <= btb_wr_data @[lib.scala 374:16] + node _T_2046 = eq(btb_wr_addr, UInt<8>("h0ea")) @[ifu_bp_ctl.scala 416:95] + node _T_2047 = and(_T_2046, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_2048 = bits(_T_2047, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_500 of rvclkhdr_594 @[lib.scala 368:23] + rvclkhdr_500.clock <= clock + rvclkhdr_500.reset <= reset + rvclkhdr_500.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_500.io.en <= _T_2048 @[lib.scala 371:17] + rvclkhdr_500.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_234 : UInt, rvclkhdr_500.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_234 <= btb_wr_data @[lib.scala 374:16] + node _T_2049 = eq(btb_wr_addr, UInt<8>("h0eb")) @[ifu_bp_ctl.scala 416:95] + node _T_2050 = and(_T_2049, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_2051 = bits(_T_2050, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_501 of rvclkhdr_595 @[lib.scala 368:23] + rvclkhdr_501.clock <= clock + rvclkhdr_501.reset <= reset + rvclkhdr_501.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_501.io.en <= _T_2051 @[lib.scala 371:17] + rvclkhdr_501.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_235 : UInt, rvclkhdr_501.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_235 <= btb_wr_data @[lib.scala 374:16] + node _T_2052 = eq(btb_wr_addr, UInt<8>("h0ec")) @[ifu_bp_ctl.scala 416:95] + node _T_2053 = and(_T_2052, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_2054 = bits(_T_2053, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_502 of rvclkhdr_596 @[lib.scala 368:23] + rvclkhdr_502.clock <= clock + rvclkhdr_502.reset <= reset + rvclkhdr_502.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_502.io.en <= _T_2054 @[lib.scala 371:17] + rvclkhdr_502.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_236 : UInt, rvclkhdr_502.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_236 <= btb_wr_data @[lib.scala 374:16] + node _T_2055 = eq(btb_wr_addr, UInt<8>("h0ed")) @[ifu_bp_ctl.scala 416:95] + node _T_2056 = and(_T_2055, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_2057 = bits(_T_2056, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_503 of rvclkhdr_597 @[lib.scala 368:23] + rvclkhdr_503.clock <= clock + rvclkhdr_503.reset <= reset + rvclkhdr_503.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_503.io.en <= _T_2057 @[lib.scala 371:17] + rvclkhdr_503.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_237 : UInt, rvclkhdr_503.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_237 <= btb_wr_data @[lib.scala 374:16] + node _T_2058 = eq(btb_wr_addr, UInt<8>("h0ee")) @[ifu_bp_ctl.scala 416:95] + node _T_2059 = and(_T_2058, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_2060 = bits(_T_2059, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_504 of rvclkhdr_598 @[lib.scala 368:23] + rvclkhdr_504.clock <= clock + rvclkhdr_504.reset <= reset + rvclkhdr_504.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_504.io.en <= _T_2060 @[lib.scala 371:17] + rvclkhdr_504.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_238 : UInt, rvclkhdr_504.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_238 <= btb_wr_data @[lib.scala 374:16] + node _T_2061 = eq(btb_wr_addr, UInt<8>("h0ef")) @[ifu_bp_ctl.scala 416:95] + node _T_2062 = and(_T_2061, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_2063 = bits(_T_2062, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_505 of rvclkhdr_599 @[lib.scala 368:23] + rvclkhdr_505.clock <= clock + rvclkhdr_505.reset <= reset + rvclkhdr_505.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_505.io.en <= _T_2063 @[lib.scala 371:17] + rvclkhdr_505.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_239 : UInt, rvclkhdr_505.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_239 <= btb_wr_data @[lib.scala 374:16] + node _T_2064 = eq(btb_wr_addr, UInt<8>("h0f0")) @[ifu_bp_ctl.scala 416:95] + node _T_2065 = and(_T_2064, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_2066 = bits(_T_2065, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_506 of rvclkhdr_600 @[lib.scala 368:23] + rvclkhdr_506.clock <= clock + rvclkhdr_506.reset <= reset + rvclkhdr_506.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_506.io.en <= _T_2066 @[lib.scala 371:17] + rvclkhdr_506.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_240 : UInt, rvclkhdr_506.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_240 <= btb_wr_data @[lib.scala 374:16] + node _T_2067 = eq(btb_wr_addr, UInt<8>("h0f1")) @[ifu_bp_ctl.scala 416:95] + node _T_2068 = and(_T_2067, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_2069 = bits(_T_2068, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_507 of rvclkhdr_601 @[lib.scala 368:23] + rvclkhdr_507.clock <= clock + rvclkhdr_507.reset <= reset + rvclkhdr_507.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_507.io.en <= _T_2069 @[lib.scala 371:17] + rvclkhdr_507.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_241 : UInt, rvclkhdr_507.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_241 <= btb_wr_data @[lib.scala 374:16] + node _T_2070 = eq(btb_wr_addr, UInt<8>("h0f2")) @[ifu_bp_ctl.scala 416:95] + node _T_2071 = and(_T_2070, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_2072 = bits(_T_2071, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_508 of rvclkhdr_602 @[lib.scala 368:23] + rvclkhdr_508.clock <= clock + rvclkhdr_508.reset <= reset + rvclkhdr_508.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_508.io.en <= _T_2072 @[lib.scala 371:17] + rvclkhdr_508.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_242 : UInt, rvclkhdr_508.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_242 <= btb_wr_data @[lib.scala 374:16] + node _T_2073 = eq(btb_wr_addr, UInt<8>("h0f3")) @[ifu_bp_ctl.scala 416:95] + node _T_2074 = and(_T_2073, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_2075 = bits(_T_2074, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_509 of rvclkhdr_603 @[lib.scala 368:23] + rvclkhdr_509.clock <= clock + rvclkhdr_509.reset <= reset + rvclkhdr_509.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_509.io.en <= _T_2075 @[lib.scala 371:17] + rvclkhdr_509.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_243 : UInt, rvclkhdr_509.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_243 <= btb_wr_data @[lib.scala 374:16] + node _T_2076 = eq(btb_wr_addr, UInt<8>("h0f4")) @[ifu_bp_ctl.scala 416:95] + node _T_2077 = and(_T_2076, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_2078 = bits(_T_2077, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_510 of rvclkhdr_604 @[lib.scala 368:23] + rvclkhdr_510.clock <= clock + rvclkhdr_510.reset <= reset + rvclkhdr_510.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_510.io.en <= _T_2078 @[lib.scala 371:17] + rvclkhdr_510.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_244 : UInt, rvclkhdr_510.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_244 <= btb_wr_data @[lib.scala 374:16] + node _T_2079 = eq(btb_wr_addr, UInt<8>("h0f5")) @[ifu_bp_ctl.scala 416:95] + node _T_2080 = and(_T_2079, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_2081 = bits(_T_2080, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_511 of rvclkhdr_605 @[lib.scala 368:23] + rvclkhdr_511.clock <= clock + rvclkhdr_511.reset <= reset + rvclkhdr_511.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_511.io.en <= _T_2081 @[lib.scala 371:17] + rvclkhdr_511.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_245 : UInt, rvclkhdr_511.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_245 <= btb_wr_data @[lib.scala 374:16] + node _T_2082 = eq(btb_wr_addr, UInt<8>("h0f6")) @[ifu_bp_ctl.scala 416:95] + node _T_2083 = and(_T_2082, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_2084 = bits(_T_2083, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_512 of rvclkhdr_606 @[lib.scala 368:23] + rvclkhdr_512.clock <= clock + rvclkhdr_512.reset <= reset + rvclkhdr_512.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_512.io.en <= _T_2084 @[lib.scala 371:17] + rvclkhdr_512.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_246 : UInt, rvclkhdr_512.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_246 <= btb_wr_data @[lib.scala 374:16] + node _T_2085 = eq(btb_wr_addr, UInt<8>("h0f7")) @[ifu_bp_ctl.scala 416:95] + node _T_2086 = and(_T_2085, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_2087 = bits(_T_2086, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_513 of rvclkhdr_607 @[lib.scala 368:23] + rvclkhdr_513.clock <= clock + rvclkhdr_513.reset <= reset + rvclkhdr_513.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_513.io.en <= _T_2087 @[lib.scala 371:17] + rvclkhdr_513.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_247 : UInt, rvclkhdr_513.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_247 <= btb_wr_data @[lib.scala 374:16] + node _T_2088 = eq(btb_wr_addr, UInt<8>("h0f8")) @[ifu_bp_ctl.scala 416:95] + node _T_2089 = and(_T_2088, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_2090 = bits(_T_2089, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_514 of rvclkhdr_608 @[lib.scala 368:23] + rvclkhdr_514.clock <= clock + rvclkhdr_514.reset <= reset + rvclkhdr_514.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_514.io.en <= _T_2090 @[lib.scala 371:17] + rvclkhdr_514.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_248 : UInt, rvclkhdr_514.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_248 <= btb_wr_data @[lib.scala 374:16] + node _T_2091 = eq(btb_wr_addr, UInt<8>("h0f9")) @[ifu_bp_ctl.scala 416:95] + node _T_2092 = and(_T_2091, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_2093 = bits(_T_2092, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_515 of rvclkhdr_609 @[lib.scala 368:23] + rvclkhdr_515.clock <= clock + rvclkhdr_515.reset <= reset + rvclkhdr_515.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_515.io.en <= _T_2093 @[lib.scala 371:17] + rvclkhdr_515.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_249 : UInt, rvclkhdr_515.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_249 <= btb_wr_data @[lib.scala 374:16] + node _T_2094 = eq(btb_wr_addr, UInt<8>("h0fa")) @[ifu_bp_ctl.scala 416:95] + node _T_2095 = and(_T_2094, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_2096 = bits(_T_2095, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_516 of rvclkhdr_610 @[lib.scala 368:23] + rvclkhdr_516.clock <= clock + rvclkhdr_516.reset <= reset + rvclkhdr_516.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_516.io.en <= _T_2096 @[lib.scala 371:17] + rvclkhdr_516.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_250 : UInt, rvclkhdr_516.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_250 <= btb_wr_data @[lib.scala 374:16] + node _T_2097 = eq(btb_wr_addr, UInt<8>("h0fb")) @[ifu_bp_ctl.scala 416:95] + node _T_2098 = and(_T_2097, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_2099 = bits(_T_2098, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_517 of rvclkhdr_611 @[lib.scala 368:23] + rvclkhdr_517.clock <= clock + rvclkhdr_517.reset <= reset + rvclkhdr_517.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_517.io.en <= _T_2099 @[lib.scala 371:17] + rvclkhdr_517.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_251 : UInt, rvclkhdr_517.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_251 <= btb_wr_data @[lib.scala 374:16] + node _T_2100 = eq(btb_wr_addr, UInt<8>("h0fc")) @[ifu_bp_ctl.scala 416:95] + node _T_2101 = and(_T_2100, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_2102 = bits(_T_2101, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_518 of rvclkhdr_612 @[lib.scala 368:23] + rvclkhdr_518.clock <= clock + rvclkhdr_518.reset <= reset + rvclkhdr_518.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_518.io.en <= _T_2102 @[lib.scala 371:17] + rvclkhdr_518.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_252 : UInt, rvclkhdr_518.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_252 <= btb_wr_data @[lib.scala 374:16] + node _T_2103 = eq(btb_wr_addr, UInt<8>("h0fd")) @[ifu_bp_ctl.scala 416:95] + node _T_2104 = and(_T_2103, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_2105 = bits(_T_2104, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_519 of rvclkhdr_613 @[lib.scala 368:23] + rvclkhdr_519.clock <= clock + rvclkhdr_519.reset <= reset + rvclkhdr_519.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_519.io.en <= _T_2105 @[lib.scala 371:17] + rvclkhdr_519.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_253 : UInt, rvclkhdr_519.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_253 <= btb_wr_data @[lib.scala 374:16] + node _T_2106 = eq(btb_wr_addr, UInt<8>("h0fe")) @[ifu_bp_ctl.scala 416:95] + node _T_2107 = and(_T_2106, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_2108 = bits(_T_2107, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_520 of rvclkhdr_614 @[lib.scala 368:23] + rvclkhdr_520.clock <= clock + rvclkhdr_520.reset <= reset + rvclkhdr_520.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_520.io.en <= _T_2108 @[lib.scala 371:17] + rvclkhdr_520.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_254 : UInt, rvclkhdr_520.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_254 <= btb_wr_data @[lib.scala 374:16] + node _T_2109 = eq(btb_wr_addr, UInt<8>("h0ff")) @[ifu_bp_ctl.scala 416:95] + node _T_2110 = and(_T_2109, btb_wr_en_way1) @[ifu_bp_ctl.scala 416:103] + node _T_2111 = bits(_T_2110, 0, 0) @[ifu_bp_ctl.scala 416:121] + inst rvclkhdr_521 of rvclkhdr_615 @[lib.scala 368:23] + rvclkhdr_521.clock <= clock + rvclkhdr_521.reset <= reset + rvclkhdr_521.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_521.io.en <= _T_2111 @[lib.scala 371:17] + rvclkhdr_521.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg btb_bank0_rd_data_way1_out_255 : UInt, rvclkhdr_521.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + btb_bank0_rd_data_way1_out_255 <= btb_wr_data @[lib.scala 374:16] + node _T_2112 = eq(btb_rd_addr_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 418:77] + node _T_2113 = bits(_T_2112, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2114 = eq(btb_rd_addr_f, UInt<1>("h01")) @[ifu_bp_ctl.scala 418:77] + node _T_2115 = bits(_T_2114, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2116 = eq(btb_rd_addr_f, UInt<2>("h02")) @[ifu_bp_ctl.scala 418:77] + node _T_2117 = bits(_T_2116, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2118 = eq(btb_rd_addr_f, UInt<2>("h03")) @[ifu_bp_ctl.scala 418:77] + node _T_2119 = bits(_T_2118, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2120 = eq(btb_rd_addr_f, UInt<3>("h04")) @[ifu_bp_ctl.scala 418:77] + node _T_2121 = bits(_T_2120, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2122 = eq(btb_rd_addr_f, UInt<3>("h05")) @[ifu_bp_ctl.scala 418:77] + node _T_2123 = bits(_T_2122, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2124 = eq(btb_rd_addr_f, UInt<3>("h06")) @[ifu_bp_ctl.scala 418:77] + node _T_2125 = bits(_T_2124, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2126 = eq(btb_rd_addr_f, UInt<3>("h07")) @[ifu_bp_ctl.scala 418:77] + node _T_2127 = bits(_T_2126, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2128 = eq(btb_rd_addr_f, UInt<4>("h08")) @[ifu_bp_ctl.scala 418:77] + node _T_2129 = bits(_T_2128, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2130 = eq(btb_rd_addr_f, UInt<4>("h09")) @[ifu_bp_ctl.scala 418:77] + node _T_2131 = bits(_T_2130, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2132 = eq(btb_rd_addr_f, UInt<4>("h0a")) @[ifu_bp_ctl.scala 418:77] + node _T_2133 = bits(_T_2132, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2134 = eq(btb_rd_addr_f, UInt<4>("h0b")) @[ifu_bp_ctl.scala 418:77] + node _T_2135 = bits(_T_2134, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2136 = eq(btb_rd_addr_f, UInt<4>("h0c")) @[ifu_bp_ctl.scala 418:77] + node _T_2137 = bits(_T_2136, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2138 = eq(btb_rd_addr_f, UInt<4>("h0d")) @[ifu_bp_ctl.scala 418:77] + node _T_2139 = bits(_T_2138, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2140 = eq(btb_rd_addr_f, UInt<4>("h0e")) @[ifu_bp_ctl.scala 418:77] + node _T_2141 = bits(_T_2140, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2142 = eq(btb_rd_addr_f, UInt<4>("h0f")) @[ifu_bp_ctl.scala 418:77] + node _T_2143 = bits(_T_2142, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2144 = eq(btb_rd_addr_f, UInt<5>("h010")) @[ifu_bp_ctl.scala 418:77] + node _T_2145 = bits(_T_2144, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2146 = eq(btb_rd_addr_f, UInt<5>("h011")) @[ifu_bp_ctl.scala 418:77] + node _T_2147 = bits(_T_2146, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2148 = eq(btb_rd_addr_f, UInt<5>("h012")) @[ifu_bp_ctl.scala 418:77] + node _T_2149 = bits(_T_2148, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2150 = eq(btb_rd_addr_f, UInt<5>("h013")) @[ifu_bp_ctl.scala 418:77] + node _T_2151 = bits(_T_2150, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2152 = eq(btb_rd_addr_f, UInt<5>("h014")) @[ifu_bp_ctl.scala 418:77] + node _T_2153 = bits(_T_2152, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2154 = eq(btb_rd_addr_f, UInt<5>("h015")) @[ifu_bp_ctl.scala 418:77] + node _T_2155 = bits(_T_2154, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2156 = eq(btb_rd_addr_f, UInt<5>("h016")) @[ifu_bp_ctl.scala 418:77] + node _T_2157 = bits(_T_2156, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2158 = eq(btb_rd_addr_f, UInt<5>("h017")) @[ifu_bp_ctl.scala 418:77] + node _T_2159 = bits(_T_2158, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2160 = eq(btb_rd_addr_f, UInt<5>("h018")) @[ifu_bp_ctl.scala 418:77] + node _T_2161 = bits(_T_2160, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2162 = eq(btb_rd_addr_f, UInt<5>("h019")) @[ifu_bp_ctl.scala 418:77] + node _T_2163 = bits(_T_2162, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2164 = eq(btb_rd_addr_f, UInt<5>("h01a")) @[ifu_bp_ctl.scala 418:77] + node _T_2165 = bits(_T_2164, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2166 = eq(btb_rd_addr_f, UInt<5>("h01b")) @[ifu_bp_ctl.scala 418:77] + node _T_2167 = bits(_T_2166, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2168 = eq(btb_rd_addr_f, UInt<5>("h01c")) @[ifu_bp_ctl.scala 418:77] + node _T_2169 = bits(_T_2168, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2170 = eq(btb_rd_addr_f, UInt<5>("h01d")) @[ifu_bp_ctl.scala 418:77] + node _T_2171 = bits(_T_2170, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2172 = eq(btb_rd_addr_f, UInt<5>("h01e")) @[ifu_bp_ctl.scala 418:77] + node _T_2173 = bits(_T_2172, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2174 = eq(btb_rd_addr_f, UInt<5>("h01f")) @[ifu_bp_ctl.scala 418:77] + node _T_2175 = bits(_T_2174, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2176 = eq(btb_rd_addr_f, UInt<6>("h020")) @[ifu_bp_ctl.scala 418:77] + node _T_2177 = bits(_T_2176, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2178 = eq(btb_rd_addr_f, UInt<6>("h021")) @[ifu_bp_ctl.scala 418:77] + node _T_2179 = bits(_T_2178, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2180 = eq(btb_rd_addr_f, UInt<6>("h022")) @[ifu_bp_ctl.scala 418:77] + node _T_2181 = bits(_T_2180, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2182 = eq(btb_rd_addr_f, UInt<6>("h023")) @[ifu_bp_ctl.scala 418:77] + node _T_2183 = bits(_T_2182, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2184 = eq(btb_rd_addr_f, UInt<6>("h024")) @[ifu_bp_ctl.scala 418:77] + node _T_2185 = bits(_T_2184, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2186 = eq(btb_rd_addr_f, UInt<6>("h025")) @[ifu_bp_ctl.scala 418:77] + node _T_2187 = bits(_T_2186, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2188 = eq(btb_rd_addr_f, UInt<6>("h026")) @[ifu_bp_ctl.scala 418:77] + node _T_2189 = bits(_T_2188, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2190 = eq(btb_rd_addr_f, UInt<6>("h027")) @[ifu_bp_ctl.scala 418:77] + node _T_2191 = bits(_T_2190, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2192 = eq(btb_rd_addr_f, UInt<6>("h028")) @[ifu_bp_ctl.scala 418:77] + node _T_2193 = bits(_T_2192, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2194 = eq(btb_rd_addr_f, UInt<6>("h029")) @[ifu_bp_ctl.scala 418:77] + node _T_2195 = bits(_T_2194, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2196 = eq(btb_rd_addr_f, UInt<6>("h02a")) @[ifu_bp_ctl.scala 418:77] + node _T_2197 = bits(_T_2196, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2198 = eq(btb_rd_addr_f, UInt<6>("h02b")) @[ifu_bp_ctl.scala 418:77] + node _T_2199 = bits(_T_2198, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2200 = eq(btb_rd_addr_f, UInt<6>("h02c")) @[ifu_bp_ctl.scala 418:77] + node _T_2201 = bits(_T_2200, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2202 = eq(btb_rd_addr_f, UInt<6>("h02d")) @[ifu_bp_ctl.scala 418:77] + node _T_2203 = bits(_T_2202, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2204 = eq(btb_rd_addr_f, UInt<6>("h02e")) @[ifu_bp_ctl.scala 418:77] + node _T_2205 = bits(_T_2204, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2206 = eq(btb_rd_addr_f, UInt<6>("h02f")) @[ifu_bp_ctl.scala 418:77] + node _T_2207 = bits(_T_2206, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2208 = eq(btb_rd_addr_f, UInt<6>("h030")) @[ifu_bp_ctl.scala 418:77] + node _T_2209 = bits(_T_2208, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2210 = eq(btb_rd_addr_f, UInt<6>("h031")) @[ifu_bp_ctl.scala 418:77] + node _T_2211 = bits(_T_2210, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2212 = eq(btb_rd_addr_f, UInt<6>("h032")) @[ifu_bp_ctl.scala 418:77] + node _T_2213 = bits(_T_2212, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2214 = eq(btb_rd_addr_f, UInt<6>("h033")) @[ifu_bp_ctl.scala 418:77] + node _T_2215 = bits(_T_2214, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2216 = eq(btb_rd_addr_f, UInt<6>("h034")) @[ifu_bp_ctl.scala 418:77] + node _T_2217 = bits(_T_2216, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2218 = eq(btb_rd_addr_f, UInt<6>("h035")) @[ifu_bp_ctl.scala 418:77] + node _T_2219 = bits(_T_2218, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2220 = eq(btb_rd_addr_f, UInt<6>("h036")) @[ifu_bp_ctl.scala 418:77] + node _T_2221 = bits(_T_2220, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2222 = eq(btb_rd_addr_f, UInt<6>("h037")) @[ifu_bp_ctl.scala 418:77] + node _T_2223 = bits(_T_2222, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2224 = eq(btb_rd_addr_f, UInt<6>("h038")) @[ifu_bp_ctl.scala 418:77] + node _T_2225 = bits(_T_2224, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2226 = eq(btb_rd_addr_f, UInt<6>("h039")) @[ifu_bp_ctl.scala 418:77] + node _T_2227 = bits(_T_2226, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2228 = eq(btb_rd_addr_f, UInt<6>("h03a")) @[ifu_bp_ctl.scala 418:77] + node _T_2229 = bits(_T_2228, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2230 = eq(btb_rd_addr_f, UInt<6>("h03b")) @[ifu_bp_ctl.scala 418:77] + node _T_2231 = bits(_T_2230, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2232 = eq(btb_rd_addr_f, UInt<6>("h03c")) @[ifu_bp_ctl.scala 418:77] + node _T_2233 = bits(_T_2232, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2234 = eq(btb_rd_addr_f, UInt<6>("h03d")) @[ifu_bp_ctl.scala 418:77] + node _T_2235 = bits(_T_2234, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2236 = eq(btb_rd_addr_f, UInt<6>("h03e")) @[ifu_bp_ctl.scala 418:77] + node _T_2237 = bits(_T_2236, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2238 = eq(btb_rd_addr_f, UInt<6>("h03f")) @[ifu_bp_ctl.scala 418:77] + node _T_2239 = bits(_T_2238, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2240 = eq(btb_rd_addr_f, UInt<7>("h040")) @[ifu_bp_ctl.scala 418:77] + node _T_2241 = bits(_T_2240, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2242 = eq(btb_rd_addr_f, UInt<7>("h041")) @[ifu_bp_ctl.scala 418:77] + node _T_2243 = bits(_T_2242, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2244 = eq(btb_rd_addr_f, UInt<7>("h042")) @[ifu_bp_ctl.scala 418:77] + node _T_2245 = bits(_T_2244, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2246 = eq(btb_rd_addr_f, UInt<7>("h043")) @[ifu_bp_ctl.scala 418:77] + node _T_2247 = bits(_T_2246, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2248 = eq(btb_rd_addr_f, UInt<7>("h044")) @[ifu_bp_ctl.scala 418:77] + node _T_2249 = bits(_T_2248, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2250 = eq(btb_rd_addr_f, UInt<7>("h045")) @[ifu_bp_ctl.scala 418:77] + node _T_2251 = bits(_T_2250, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2252 = eq(btb_rd_addr_f, UInt<7>("h046")) @[ifu_bp_ctl.scala 418:77] + node _T_2253 = bits(_T_2252, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2254 = eq(btb_rd_addr_f, UInt<7>("h047")) @[ifu_bp_ctl.scala 418:77] + node _T_2255 = bits(_T_2254, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2256 = eq(btb_rd_addr_f, UInt<7>("h048")) @[ifu_bp_ctl.scala 418:77] + node _T_2257 = bits(_T_2256, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2258 = eq(btb_rd_addr_f, UInt<7>("h049")) @[ifu_bp_ctl.scala 418:77] + node _T_2259 = bits(_T_2258, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2260 = eq(btb_rd_addr_f, UInt<7>("h04a")) @[ifu_bp_ctl.scala 418:77] + node _T_2261 = bits(_T_2260, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2262 = eq(btb_rd_addr_f, UInt<7>("h04b")) @[ifu_bp_ctl.scala 418:77] + node _T_2263 = bits(_T_2262, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2264 = eq(btb_rd_addr_f, UInt<7>("h04c")) @[ifu_bp_ctl.scala 418:77] + node _T_2265 = bits(_T_2264, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2266 = eq(btb_rd_addr_f, UInt<7>("h04d")) @[ifu_bp_ctl.scala 418:77] + node _T_2267 = bits(_T_2266, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2268 = eq(btb_rd_addr_f, UInt<7>("h04e")) @[ifu_bp_ctl.scala 418:77] + node _T_2269 = bits(_T_2268, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2270 = eq(btb_rd_addr_f, UInt<7>("h04f")) @[ifu_bp_ctl.scala 418:77] + node _T_2271 = bits(_T_2270, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2272 = eq(btb_rd_addr_f, UInt<7>("h050")) @[ifu_bp_ctl.scala 418:77] + node _T_2273 = bits(_T_2272, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2274 = eq(btb_rd_addr_f, UInt<7>("h051")) @[ifu_bp_ctl.scala 418:77] + node _T_2275 = bits(_T_2274, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2276 = eq(btb_rd_addr_f, UInt<7>("h052")) @[ifu_bp_ctl.scala 418:77] + node _T_2277 = bits(_T_2276, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2278 = eq(btb_rd_addr_f, UInt<7>("h053")) @[ifu_bp_ctl.scala 418:77] + node _T_2279 = bits(_T_2278, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2280 = eq(btb_rd_addr_f, UInt<7>("h054")) @[ifu_bp_ctl.scala 418:77] + node _T_2281 = bits(_T_2280, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2282 = eq(btb_rd_addr_f, UInt<7>("h055")) @[ifu_bp_ctl.scala 418:77] + node _T_2283 = bits(_T_2282, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2284 = eq(btb_rd_addr_f, UInt<7>("h056")) @[ifu_bp_ctl.scala 418:77] + node _T_2285 = bits(_T_2284, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2286 = eq(btb_rd_addr_f, UInt<7>("h057")) @[ifu_bp_ctl.scala 418:77] + node _T_2287 = bits(_T_2286, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2288 = eq(btb_rd_addr_f, UInt<7>("h058")) @[ifu_bp_ctl.scala 418:77] + node _T_2289 = bits(_T_2288, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2290 = eq(btb_rd_addr_f, UInt<7>("h059")) @[ifu_bp_ctl.scala 418:77] + node _T_2291 = bits(_T_2290, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2292 = eq(btb_rd_addr_f, UInt<7>("h05a")) @[ifu_bp_ctl.scala 418:77] + node _T_2293 = bits(_T_2292, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2294 = eq(btb_rd_addr_f, UInt<7>("h05b")) @[ifu_bp_ctl.scala 418:77] + node _T_2295 = bits(_T_2294, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2296 = eq(btb_rd_addr_f, UInt<7>("h05c")) @[ifu_bp_ctl.scala 418:77] + node _T_2297 = bits(_T_2296, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2298 = eq(btb_rd_addr_f, UInt<7>("h05d")) @[ifu_bp_ctl.scala 418:77] + node _T_2299 = bits(_T_2298, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2300 = eq(btb_rd_addr_f, UInt<7>("h05e")) @[ifu_bp_ctl.scala 418:77] + node _T_2301 = bits(_T_2300, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2302 = eq(btb_rd_addr_f, UInt<7>("h05f")) @[ifu_bp_ctl.scala 418:77] + node _T_2303 = bits(_T_2302, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2304 = eq(btb_rd_addr_f, UInt<7>("h060")) @[ifu_bp_ctl.scala 418:77] + node _T_2305 = bits(_T_2304, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2306 = eq(btb_rd_addr_f, UInt<7>("h061")) @[ifu_bp_ctl.scala 418:77] + node _T_2307 = bits(_T_2306, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2308 = eq(btb_rd_addr_f, UInt<7>("h062")) @[ifu_bp_ctl.scala 418:77] + node _T_2309 = bits(_T_2308, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2310 = eq(btb_rd_addr_f, UInt<7>("h063")) @[ifu_bp_ctl.scala 418:77] + node _T_2311 = bits(_T_2310, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2312 = eq(btb_rd_addr_f, UInt<7>("h064")) @[ifu_bp_ctl.scala 418:77] + node _T_2313 = bits(_T_2312, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2314 = eq(btb_rd_addr_f, UInt<7>("h065")) @[ifu_bp_ctl.scala 418:77] + node _T_2315 = bits(_T_2314, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2316 = eq(btb_rd_addr_f, UInt<7>("h066")) @[ifu_bp_ctl.scala 418:77] + node _T_2317 = bits(_T_2316, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2318 = eq(btb_rd_addr_f, UInt<7>("h067")) @[ifu_bp_ctl.scala 418:77] + node _T_2319 = bits(_T_2318, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2320 = eq(btb_rd_addr_f, UInt<7>("h068")) @[ifu_bp_ctl.scala 418:77] + node _T_2321 = bits(_T_2320, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2322 = eq(btb_rd_addr_f, UInt<7>("h069")) @[ifu_bp_ctl.scala 418:77] + node _T_2323 = bits(_T_2322, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2324 = eq(btb_rd_addr_f, UInt<7>("h06a")) @[ifu_bp_ctl.scala 418:77] + node _T_2325 = bits(_T_2324, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2326 = eq(btb_rd_addr_f, UInt<7>("h06b")) @[ifu_bp_ctl.scala 418:77] + node _T_2327 = bits(_T_2326, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2328 = eq(btb_rd_addr_f, UInt<7>("h06c")) @[ifu_bp_ctl.scala 418:77] + node _T_2329 = bits(_T_2328, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2330 = eq(btb_rd_addr_f, UInt<7>("h06d")) @[ifu_bp_ctl.scala 418:77] + node _T_2331 = bits(_T_2330, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2332 = eq(btb_rd_addr_f, UInt<7>("h06e")) @[ifu_bp_ctl.scala 418:77] + node _T_2333 = bits(_T_2332, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2334 = eq(btb_rd_addr_f, UInt<7>("h06f")) @[ifu_bp_ctl.scala 418:77] + node _T_2335 = bits(_T_2334, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2336 = eq(btb_rd_addr_f, UInt<7>("h070")) @[ifu_bp_ctl.scala 418:77] + node _T_2337 = bits(_T_2336, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2338 = eq(btb_rd_addr_f, UInt<7>("h071")) @[ifu_bp_ctl.scala 418:77] + node _T_2339 = bits(_T_2338, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2340 = eq(btb_rd_addr_f, UInt<7>("h072")) @[ifu_bp_ctl.scala 418:77] + node _T_2341 = bits(_T_2340, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2342 = eq(btb_rd_addr_f, UInt<7>("h073")) @[ifu_bp_ctl.scala 418:77] + node _T_2343 = bits(_T_2342, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2344 = eq(btb_rd_addr_f, UInt<7>("h074")) @[ifu_bp_ctl.scala 418:77] + node _T_2345 = bits(_T_2344, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2346 = eq(btb_rd_addr_f, UInt<7>("h075")) @[ifu_bp_ctl.scala 418:77] + node _T_2347 = bits(_T_2346, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2348 = eq(btb_rd_addr_f, UInt<7>("h076")) @[ifu_bp_ctl.scala 418:77] + node _T_2349 = bits(_T_2348, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2350 = eq(btb_rd_addr_f, UInt<7>("h077")) @[ifu_bp_ctl.scala 418:77] + node _T_2351 = bits(_T_2350, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2352 = eq(btb_rd_addr_f, UInt<7>("h078")) @[ifu_bp_ctl.scala 418:77] + node _T_2353 = bits(_T_2352, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2354 = eq(btb_rd_addr_f, UInt<7>("h079")) @[ifu_bp_ctl.scala 418:77] + node _T_2355 = bits(_T_2354, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2356 = eq(btb_rd_addr_f, UInt<7>("h07a")) @[ifu_bp_ctl.scala 418:77] + node _T_2357 = bits(_T_2356, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2358 = eq(btb_rd_addr_f, UInt<7>("h07b")) @[ifu_bp_ctl.scala 418:77] + node _T_2359 = bits(_T_2358, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2360 = eq(btb_rd_addr_f, UInt<7>("h07c")) @[ifu_bp_ctl.scala 418:77] + node _T_2361 = bits(_T_2360, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2362 = eq(btb_rd_addr_f, UInt<7>("h07d")) @[ifu_bp_ctl.scala 418:77] + node _T_2363 = bits(_T_2362, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2364 = eq(btb_rd_addr_f, UInt<7>("h07e")) @[ifu_bp_ctl.scala 418:77] + node _T_2365 = bits(_T_2364, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2366 = eq(btb_rd_addr_f, UInt<7>("h07f")) @[ifu_bp_ctl.scala 418:77] + node _T_2367 = bits(_T_2366, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2368 = eq(btb_rd_addr_f, UInt<8>("h080")) @[ifu_bp_ctl.scala 418:77] + node _T_2369 = bits(_T_2368, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2370 = eq(btb_rd_addr_f, UInt<8>("h081")) @[ifu_bp_ctl.scala 418:77] + node _T_2371 = bits(_T_2370, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2372 = eq(btb_rd_addr_f, UInt<8>("h082")) @[ifu_bp_ctl.scala 418:77] + node _T_2373 = bits(_T_2372, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2374 = eq(btb_rd_addr_f, UInt<8>("h083")) @[ifu_bp_ctl.scala 418:77] + node _T_2375 = bits(_T_2374, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2376 = eq(btb_rd_addr_f, UInt<8>("h084")) @[ifu_bp_ctl.scala 418:77] + node _T_2377 = bits(_T_2376, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2378 = eq(btb_rd_addr_f, UInt<8>("h085")) @[ifu_bp_ctl.scala 418:77] + node _T_2379 = bits(_T_2378, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2380 = eq(btb_rd_addr_f, UInt<8>("h086")) @[ifu_bp_ctl.scala 418:77] + node _T_2381 = bits(_T_2380, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2382 = eq(btb_rd_addr_f, UInt<8>("h087")) @[ifu_bp_ctl.scala 418:77] + node _T_2383 = bits(_T_2382, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2384 = eq(btb_rd_addr_f, UInt<8>("h088")) @[ifu_bp_ctl.scala 418:77] + node _T_2385 = bits(_T_2384, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2386 = eq(btb_rd_addr_f, UInt<8>("h089")) @[ifu_bp_ctl.scala 418:77] + node _T_2387 = bits(_T_2386, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2388 = eq(btb_rd_addr_f, UInt<8>("h08a")) @[ifu_bp_ctl.scala 418:77] + node _T_2389 = bits(_T_2388, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2390 = eq(btb_rd_addr_f, UInt<8>("h08b")) @[ifu_bp_ctl.scala 418:77] + node _T_2391 = bits(_T_2390, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2392 = eq(btb_rd_addr_f, UInt<8>("h08c")) @[ifu_bp_ctl.scala 418:77] + node _T_2393 = bits(_T_2392, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2394 = eq(btb_rd_addr_f, UInt<8>("h08d")) @[ifu_bp_ctl.scala 418:77] + node _T_2395 = bits(_T_2394, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2396 = eq(btb_rd_addr_f, UInt<8>("h08e")) @[ifu_bp_ctl.scala 418:77] + node _T_2397 = bits(_T_2396, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2398 = eq(btb_rd_addr_f, UInt<8>("h08f")) @[ifu_bp_ctl.scala 418:77] + node _T_2399 = bits(_T_2398, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2400 = eq(btb_rd_addr_f, UInt<8>("h090")) @[ifu_bp_ctl.scala 418:77] + node _T_2401 = bits(_T_2400, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2402 = eq(btb_rd_addr_f, UInt<8>("h091")) @[ifu_bp_ctl.scala 418:77] + node _T_2403 = bits(_T_2402, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2404 = eq(btb_rd_addr_f, UInt<8>("h092")) @[ifu_bp_ctl.scala 418:77] + node _T_2405 = bits(_T_2404, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2406 = eq(btb_rd_addr_f, UInt<8>("h093")) @[ifu_bp_ctl.scala 418:77] + node _T_2407 = bits(_T_2406, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2408 = eq(btb_rd_addr_f, UInt<8>("h094")) @[ifu_bp_ctl.scala 418:77] + node _T_2409 = bits(_T_2408, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2410 = eq(btb_rd_addr_f, UInt<8>("h095")) @[ifu_bp_ctl.scala 418:77] + node _T_2411 = bits(_T_2410, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2412 = eq(btb_rd_addr_f, UInt<8>("h096")) @[ifu_bp_ctl.scala 418:77] + node _T_2413 = bits(_T_2412, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2414 = eq(btb_rd_addr_f, UInt<8>("h097")) @[ifu_bp_ctl.scala 418:77] + node _T_2415 = bits(_T_2414, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2416 = eq(btb_rd_addr_f, UInt<8>("h098")) @[ifu_bp_ctl.scala 418:77] + node _T_2417 = bits(_T_2416, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2418 = eq(btb_rd_addr_f, UInt<8>("h099")) @[ifu_bp_ctl.scala 418:77] + node _T_2419 = bits(_T_2418, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2420 = eq(btb_rd_addr_f, UInt<8>("h09a")) @[ifu_bp_ctl.scala 418:77] + node _T_2421 = bits(_T_2420, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2422 = eq(btb_rd_addr_f, UInt<8>("h09b")) @[ifu_bp_ctl.scala 418:77] + node _T_2423 = bits(_T_2422, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2424 = eq(btb_rd_addr_f, UInt<8>("h09c")) @[ifu_bp_ctl.scala 418:77] + node _T_2425 = bits(_T_2424, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2426 = eq(btb_rd_addr_f, UInt<8>("h09d")) @[ifu_bp_ctl.scala 418:77] + node _T_2427 = bits(_T_2426, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2428 = eq(btb_rd_addr_f, UInt<8>("h09e")) @[ifu_bp_ctl.scala 418:77] + node _T_2429 = bits(_T_2428, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2430 = eq(btb_rd_addr_f, UInt<8>("h09f")) @[ifu_bp_ctl.scala 418:77] + node _T_2431 = bits(_T_2430, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2432 = eq(btb_rd_addr_f, UInt<8>("h0a0")) @[ifu_bp_ctl.scala 418:77] + node _T_2433 = bits(_T_2432, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2434 = eq(btb_rd_addr_f, UInt<8>("h0a1")) @[ifu_bp_ctl.scala 418:77] + node _T_2435 = bits(_T_2434, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2436 = eq(btb_rd_addr_f, UInt<8>("h0a2")) @[ifu_bp_ctl.scala 418:77] + node _T_2437 = bits(_T_2436, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2438 = eq(btb_rd_addr_f, UInt<8>("h0a3")) @[ifu_bp_ctl.scala 418:77] + node _T_2439 = bits(_T_2438, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2440 = eq(btb_rd_addr_f, UInt<8>("h0a4")) @[ifu_bp_ctl.scala 418:77] + node _T_2441 = bits(_T_2440, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2442 = eq(btb_rd_addr_f, UInt<8>("h0a5")) @[ifu_bp_ctl.scala 418:77] + node _T_2443 = bits(_T_2442, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2444 = eq(btb_rd_addr_f, UInt<8>("h0a6")) @[ifu_bp_ctl.scala 418:77] + node _T_2445 = bits(_T_2444, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2446 = eq(btb_rd_addr_f, UInt<8>("h0a7")) @[ifu_bp_ctl.scala 418:77] + node _T_2447 = bits(_T_2446, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2448 = eq(btb_rd_addr_f, UInt<8>("h0a8")) @[ifu_bp_ctl.scala 418:77] + node _T_2449 = bits(_T_2448, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2450 = eq(btb_rd_addr_f, UInt<8>("h0a9")) @[ifu_bp_ctl.scala 418:77] + node _T_2451 = bits(_T_2450, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2452 = eq(btb_rd_addr_f, UInt<8>("h0aa")) @[ifu_bp_ctl.scala 418:77] + node _T_2453 = bits(_T_2452, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2454 = eq(btb_rd_addr_f, UInt<8>("h0ab")) @[ifu_bp_ctl.scala 418:77] + node _T_2455 = bits(_T_2454, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2456 = eq(btb_rd_addr_f, UInt<8>("h0ac")) @[ifu_bp_ctl.scala 418:77] + node _T_2457 = bits(_T_2456, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2458 = eq(btb_rd_addr_f, UInt<8>("h0ad")) @[ifu_bp_ctl.scala 418:77] + node _T_2459 = bits(_T_2458, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2460 = eq(btb_rd_addr_f, UInt<8>("h0ae")) @[ifu_bp_ctl.scala 418:77] + node _T_2461 = bits(_T_2460, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2462 = eq(btb_rd_addr_f, UInt<8>("h0af")) @[ifu_bp_ctl.scala 418:77] + node _T_2463 = bits(_T_2462, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2464 = eq(btb_rd_addr_f, UInt<8>("h0b0")) @[ifu_bp_ctl.scala 418:77] + node _T_2465 = bits(_T_2464, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2466 = eq(btb_rd_addr_f, UInt<8>("h0b1")) @[ifu_bp_ctl.scala 418:77] + node _T_2467 = bits(_T_2466, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2468 = eq(btb_rd_addr_f, UInt<8>("h0b2")) @[ifu_bp_ctl.scala 418:77] + node _T_2469 = bits(_T_2468, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2470 = eq(btb_rd_addr_f, UInt<8>("h0b3")) @[ifu_bp_ctl.scala 418:77] + node _T_2471 = bits(_T_2470, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2472 = eq(btb_rd_addr_f, UInt<8>("h0b4")) @[ifu_bp_ctl.scala 418:77] + node _T_2473 = bits(_T_2472, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2474 = eq(btb_rd_addr_f, UInt<8>("h0b5")) @[ifu_bp_ctl.scala 418:77] + node _T_2475 = bits(_T_2474, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2476 = eq(btb_rd_addr_f, UInt<8>("h0b6")) @[ifu_bp_ctl.scala 418:77] + node _T_2477 = bits(_T_2476, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2478 = eq(btb_rd_addr_f, UInt<8>("h0b7")) @[ifu_bp_ctl.scala 418:77] + node _T_2479 = bits(_T_2478, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2480 = eq(btb_rd_addr_f, UInt<8>("h0b8")) @[ifu_bp_ctl.scala 418:77] + node _T_2481 = bits(_T_2480, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2482 = eq(btb_rd_addr_f, UInt<8>("h0b9")) @[ifu_bp_ctl.scala 418:77] + node _T_2483 = bits(_T_2482, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2484 = eq(btb_rd_addr_f, UInt<8>("h0ba")) @[ifu_bp_ctl.scala 418:77] + node _T_2485 = bits(_T_2484, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2486 = eq(btb_rd_addr_f, UInt<8>("h0bb")) @[ifu_bp_ctl.scala 418:77] + node _T_2487 = bits(_T_2486, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2488 = eq(btb_rd_addr_f, UInt<8>("h0bc")) @[ifu_bp_ctl.scala 418:77] + node _T_2489 = bits(_T_2488, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2490 = eq(btb_rd_addr_f, UInt<8>("h0bd")) @[ifu_bp_ctl.scala 418:77] + node _T_2491 = bits(_T_2490, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2492 = eq(btb_rd_addr_f, UInt<8>("h0be")) @[ifu_bp_ctl.scala 418:77] + node _T_2493 = bits(_T_2492, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2494 = eq(btb_rd_addr_f, UInt<8>("h0bf")) @[ifu_bp_ctl.scala 418:77] + node _T_2495 = bits(_T_2494, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2496 = eq(btb_rd_addr_f, UInt<8>("h0c0")) @[ifu_bp_ctl.scala 418:77] + node _T_2497 = bits(_T_2496, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2498 = eq(btb_rd_addr_f, UInt<8>("h0c1")) @[ifu_bp_ctl.scala 418:77] + node _T_2499 = bits(_T_2498, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2500 = eq(btb_rd_addr_f, UInt<8>("h0c2")) @[ifu_bp_ctl.scala 418:77] + node _T_2501 = bits(_T_2500, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2502 = eq(btb_rd_addr_f, UInt<8>("h0c3")) @[ifu_bp_ctl.scala 418:77] + node _T_2503 = bits(_T_2502, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2504 = eq(btb_rd_addr_f, UInt<8>("h0c4")) @[ifu_bp_ctl.scala 418:77] + node _T_2505 = bits(_T_2504, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2506 = eq(btb_rd_addr_f, UInt<8>("h0c5")) @[ifu_bp_ctl.scala 418:77] + node _T_2507 = bits(_T_2506, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2508 = eq(btb_rd_addr_f, UInt<8>("h0c6")) @[ifu_bp_ctl.scala 418:77] + node _T_2509 = bits(_T_2508, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2510 = eq(btb_rd_addr_f, UInt<8>("h0c7")) @[ifu_bp_ctl.scala 418:77] + node _T_2511 = bits(_T_2510, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2512 = eq(btb_rd_addr_f, UInt<8>("h0c8")) @[ifu_bp_ctl.scala 418:77] + node _T_2513 = bits(_T_2512, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2514 = eq(btb_rd_addr_f, UInt<8>("h0c9")) @[ifu_bp_ctl.scala 418:77] + node _T_2515 = bits(_T_2514, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2516 = eq(btb_rd_addr_f, UInt<8>("h0ca")) @[ifu_bp_ctl.scala 418:77] + node _T_2517 = bits(_T_2516, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2518 = eq(btb_rd_addr_f, UInt<8>("h0cb")) @[ifu_bp_ctl.scala 418:77] + node _T_2519 = bits(_T_2518, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2520 = eq(btb_rd_addr_f, UInt<8>("h0cc")) @[ifu_bp_ctl.scala 418:77] + node _T_2521 = bits(_T_2520, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2522 = eq(btb_rd_addr_f, UInt<8>("h0cd")) @[ifu_bp_ctl.scala 418:77] + node _T_2523 = bits(_T_2522, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2524 = eq(btb_rd_addr_f, UInt<8>("h0ce")) @[ifu_bp_ctl.scala 418:77] + node _T_2525 = bits(_T_2524, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2526 = eq(btb_rd_addr_f, UInt<8>("h0cf")) @[ifu_bp_ctl.scala 418:77] + node _T_2527 = bits(_T_2526, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2528 = eq(btb_rd_addr_f, UInt<8>("h0d0")) @[ifu_bp_ctl.scala 418:77] + node _T_2529 = bits(_T_2528, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2530 = eq(btb_rd_addr_f, UInt<8>("h0d1")) @[ifu_bp_ctl.scala 418:77] + node _T_2531 = bits(_T_2530, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2532 = eq(btb_rd_addr_f, UInt<8>("h0d2")) @[ifu_bp_ctl.scala 418:77] + node _T_2533 = bits(_T_2532, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2534 = eq(btb_rd_addr_f, UInt<8>("h0d3")) @[ifu_bp_ctl.scala 418:77] + node _T_2535 = bits(_T_2534, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2536 = eq(btb_rd_addr_f, UInt<8>("h0d4")) @[ifu_bp_ctl.scala 418:77] + node _T_2537 = bits(_T_2536, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2538 = eq(btb_rd_addr_f, UInt<8>("h0d5")) @[ifu_bp_ctl.scala 418:77] + node _T_2539 = bits(_T_2538, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2540 = eq(btb_rd_addr_f, UInt<8>("h0d6")) @[ifu_bp_ctl.scala 418:77] + node _T_2541 = bits(_T_2540, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2542 = eq(btb_rd_addr_f, UInt<8>("h0d7")) @[ifu_bp_ctl.scala 418:77] + node _T_2543 = bits(_T_2542, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2544 = eq(btb_rd_addr_f, UInt<8>("h0d8")) @[ifu_bp_ctl.scala 418:77] + node _T_2545 = bits(_T_2544, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2546 = eq(btb_rd_addr_f, UInt<8>("h0d9")) @[ifu_bp_ctl.scala 418:77] + node _T_2547 = bits(_T_2546, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2548 = eq(btb_rd_addr_f, UInt<8>("h0da")) @[ifu_bp_ctl.scala 418:77] + node _T_2549 = bits(_T_2548, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2550 = eq(btb_rd_addr_f, UInt<8>("h0db")) @[ifu_bp_ctl.scala 418:77] + node _T_2551 = bits(_T_2550, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2552 = eq(btb_rd_addr_f, UInt<8>("h0dc")) @[ifu_bp_ctl.scala 418:77] + node _T_2553 = bits(_T_2552, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2554 = eq(btb_rd_addr_f, UInt<8>("h0dd")) @[ifu_bp_ctl.scala 418:77] + node _T_2555 = bits(_T_2554, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2556 = eq(btb_rd_addr_f, UInt<8>("h0de")) @[ifu_bp_ctl.scala 418:77] + node _T_2557 = bits(_T_2556, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2558 = eq(btb_rd_addr_f, UInt<8>("h0df")) @[ifu_bp_ctl.scala 418:77] + node _T_2559 = bits(_T_2558, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2560 = eq(btb_rd_addr_f, UInt<8>("h0e0")) @[ifu_bp_ctl.scala 418:77] + node _T_2561 = bits(_T_2560, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2562 = eq(btb_rd_addr_f, UInt<8>("h0e1")) @[ifu_bp_ctl.scala 418:77] + node _T_2563 = bits(_T_2562, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2564 = eq(btb_rd_addr_f, UInt<8>("h0e2")) @[ifu_bp_ctl.scala 418:77] + node _T_2565 = bits(_T_2564, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2566 = eq(btb_rd_addr_f, UInt<8>("h0e3")) @[ifu_bp_ctl.scala 418:77] + node _T_2567 = bits(_T_2566, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2568 = eq(btb_rd_addr_f, UInt<8>("h0e4")) @[ifu_bp_ctl.scala 418:77] + node _T_2569 = bits(_T_2568, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2570 = eq(btb_rd_addr_f, UInt<8>("h0e5")) @[ifu_bp_ctl.scala 418:77] + node _T_2571 = bits(_T_2570, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2572 = eq(btb_rd_addr_f, UInt<8>("h0e6")) @[ifu_bp_ctl.scala 418:77] + node _T_2573 = bits(_T_2572, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2574 = eq(btb_rd_addr_f, UInt<8>("h0e7")) @[ifu_bp_ctl.scala 418:77] + node _T_2575 = bits(_T_2574, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2576 = eq(btb_rd_addr_f, UInt<8>("h0e8")) @[ifu_bp_ctl.scala 418:77] + node _T_2577 = bits(_T_2576, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2578 = eq(btb_rd_addr_f, UInt<8>("h0e9")) @[ifu_bp_ctl.scala 418:77] + node _T_2579 = bits(_T_2578, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2580 = eq(btb_rd_addr_f, UInt<8>("h0ea")) @[ifu_bp_ctl.scala 418:77] + node _T_2581 = bits(_T_2580, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2582 = eq(btb_rd_addr_f, UInt<8>("h0eb")) @[ifu_bp_ctl.scala 418:77] + node _T_2583 = bits(_T_2582, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2584 = eq(btb_rd_addr_f, UInt<8>("h0ec")) @[ifu_bp_ctl.scala 418:77] + node _T_2585 = bits(_T_2584, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2586 = eq(btb_rd_addr_f, UInt<8>("h0ed")) @[ifu_bp_ctl.scala 418:77] + node _T_2587 = bits(_T_2586, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2588 = eq(btb_rd_addr_f, UInt<8>("h0ee")) @[ifu_bp_ctl.scala 418:77] + node _T_2589 = bits(_T_2588, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2590 = eq(btb_rd_addr_f, UInt<8>("h0ef")) @[ifu_bp_ctl.scala 418:77] + node _T_2591 = bits(_T_2590, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2592 = eq(btb_rd_addr_f, UInt<8>("h0f0")) @[ifu_bp_ctl.scala 418:77] + node _T_2593 = bits(_T_2592, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2594 = eq(btb_rd_addr_f, UInt<8>("h0f1")) @[ifu_bp_ctl.scala 418:77] + node _T_2595 = bits(_T_2594, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2596 = eq(btb_rd_addr_f, UInt<8>("h0f2")) @[ifu_bp_ctl.scala 418:77] + node _T_2597 = bits(_T_2596, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2598 = eq(btb_rd_addr_f, UInt<8>("h0f3")) @[ifu_bp_ctl.scala 418:77] + node _T_2599 = bits(_T_2598, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2600 = eq(btb_rd_addr_f, UInt<8>("h0f4")) @[ifu_bp_ctl.scala 418:77] + node _T_2601 = bits(_T_2600, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2602 = eq(btb_rd_addr_f, UInt<8>("h0f5")) @[ifu_bp_ctl.scala 418:77] + node _T_2603 = bits(_T_2602, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2604 = eq(btb_rd_addr_f, UInt<8>("h0f6")) @[ifu_bp_ctl.scala 418:77] + node _T_2605 = bits(_T_2604, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2606 = eq(btb_rd_addr_f, UInt<8>("h0f7")) @[ifu_bp_ctl.scala 418:77] + node _T_2607 = bits(_T_2606, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2608 = eq(btb_rd_addr_f, UInt<8>("h0f8")) @[ifu_bp_ctl.scala 418:77] + node _T_2609 = bits(_T_2608, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2610 = eq(btb_rd_addr_f, UInt<8>("h0f9")) @[ifu_bp_ctl.scala 418:77] + node _T_2611 = bits(_T_2610, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2612 = eq(btb_rd_addr_f, UInt<8>("h0fa")) @[ifu_bp_ctl.scala 418:77] + node _T_2613 = bits(_T_2612, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2614 = eq(btb_rd_addr_f, UInt<8>("h0fb")) @[ifu_bp_ctl.scala 418:77] + node _T_2615 = bits(_T_2614, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2616 = eq(btb_rd_addr_f, UInt<8>("h0fc")) @[ifu_bp_ctl.scala 418:77] + node _T_2617 = bits(_T_2616, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2618 = eq(btb_rd_addr_f, UInt<8>("h0fd")) @[ifu_bp_ctl.scala 418:77] + node _T_2619 = bits(_T_2618, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2620 = eq(btb_rd_addr_f, UInt<8>("h0fe")) @[ifu_bp_ctl.scala 418:77] + node _T_2621 = bits(_T_2620, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2622 = eq(btb_rd_addr_f, UInt<8>("h0ff")) @[ifu_bp_ctl.scala 418:77] + node _T_2623 = bits(_T_2622, 0, 0) @[ifu_bp_ctl.scala 418:85] + node _T_2624 = mux(_T_2113, btb_bank0_rd_data_way0_out_0, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2625 = mux(_T_2115, btb_bank0_rd_data_way0_out_1, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2626 = mux(_T_2117, btb_bank0_rd_data_way0_out_2, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2627 = mux(_T_2119, btb_bank0_rd_data_way0_out_3, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2628 = mux(_T_2121, btb_bank0_rd_data_way0_out_4, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2629 = mux(_T_2123, btb_bank0_rd_data_way0_out_5, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2630 = mux(_T_2125, btb_bank0_rd_data_way0_out_6, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2631 = mux(_T_2127, btb_bank0_rd_data_way0_out_7, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2632 = mux(_T_2129, btb_bank0_rd_data_way0_out_8, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2633 = mux(_T_2131, btb_bank0_rd_data_way0_out_9, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2634 = mux(_T_2133, btb_bank0_rd_data_way0_out_10, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2635 = mux(_T_2135, btb_bank0_rd_data_way0_out_11, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2636 = mux(_T_2137, btb_bank0_rd_data_way0_out_12, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2637 = mux(_T_2139, btb_bank0_rd_data_way0_out_13, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2638 = mux(_T_2141, btb_bank0_rd_data_way0_out_14, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2639 = mux(_T_2143, btb_bank0_rd_data_way0_out_15, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2640 = mux(_T_2145, btb_bank0_rd_data_way0_out_16, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2641 = mux(_T_2147, btb_bank0_rd_data_way0_out_17, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2642 = mux(_T_2149, btb_bank0_rd_data_way0_out_18, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2643 = mux(_T_2151, btb_bank0_rd_data_way0_out_19, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2644 = mux(_T_2153, btb_bank0_rd_data_way0_out_20, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2645 = mux(_T_2155, btb_bank0_rd_data_way0_out_21, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2646 = mux(_T_2157, btb_bank0_rd_data_way0_out_22, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2647 = mux(_T_2159, btb_bank0_rd_data_way0_out_23, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2648 = mux(_T_2161, btb_bank0_rd_data_way0_out_24, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2649 = mux(_T_2163, btb_bank0_rd_data_way0_out_25, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2650 = mux(_T_2165, btb_bank0_rd_data_way0_out_26, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2651 = mux(_T_2167, btb_bank0_rd_data_way0_out_27, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2652 = mux(_T_2169, btb_bank0_rd_data_way0_out_28, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2653 = mux(_T_2171, btb_bank0_rd_data_way0_out_29, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2654 = mux(_T_2173, btb_bank0_rd_data_way0_out_30, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2655 = mux(_T_2175, btb_bank0_rd_data_way0_out_31, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2656 = mux(_T_2177, btb_bank0_rd_data_way0_out_32, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2657 = mux(_T_2179, btb_bank0_rd_data_way0_out_33, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2658 = mux(_T_2181, btb_bank0_rd_data_way0_out_34, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2659 = mux(_T_2183, btb_bank0_rd_data_way0_out_35, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2660 = mux(_T_2185, btb_bank0_rd_data_way0_out_36, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2661 = mux(_T_2187, btb_bank0_rd_data_way0_out_37, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2662 = mux(_T_2189, btb_bank0_rd_data_way0_out_38, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2663 = mux(_T_2191, btb_bank0_rd_data_way0_out_39, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2664 = mux(_T_2193, btb_bank0_rd_data_way0_out_40, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2665 = mux(_T_2195, btb_bank0_rd_data_way0_out_41, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2666 = mux(_T_2197, btb_bank0_rd_data_way0_out_42, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2667 = mux(_T_2199, btb_bank0_rd_data_way0_out_43, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2668 = mux(_T_2201, btb_bank0_rd_data_way0_out_44, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2669 = mux(_T_2203, btb_bank0_rd_data_way0_out_45, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2670 = mux(_T_2205, btb_bank0_rd_data_way0_out_46, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2671 = mux(_T_2207, btb_bank0_rd_data_way0_out_47, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2672 = mux(_T_2209, btb_bank0_rd_data_way0_out_48, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2673 = mux(_T_2211, btb_bank0_rd_data_way0_out_49, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2674 = mux(_T_2213, btb_bank0_rd_data_way0_out_50, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2675 = mux(_T_2215, btb_bank0_rd_data_way0_out_51, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2676 = mux(_T_2217, btb_bank0_rd_data_way0_out_52, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2677 = mux(_T_2219, btb_bank0_rd_data_way0_out_53, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2678 = mux(_T_2221, btb_bank0_rd_data_way0_out_54, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2679 = mux(_T_2223, btb_bank0_rd_data_way0_out_55, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2680 = mux(_T_2225, btb_bank0_rd_data_way0_out_56, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2681 = mux(_T_2227, btb_bank0_rd_data_way0_out_57, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2682 = mux(_T_2229, btb_bank0_rd_data_way0_out_58, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2683 = mux(_T_2231, btb_bank0_rd_data_way0_out_59, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2684 = mux(_T_2233, btb_bank0_rd_data_way0_out_60, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2685 = mux(_T_2235, btb_bank0_rd_data_way0_out_61, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2686 = mux(_T_2237, btb_bank0_rd_data_way0_out_62, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2687 = mux(_T_2239, btb_bank0_rd_data_way0_out_63, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2688 = mux(_T_2241, btb_bank0_rd_data_way0_out_64, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2689 = mux(_T_2243, btb_bank0_rd_data_way0_out_65, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2690 = mux(_T_2245, btb_bank0_rd_data_way0_out_66, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2691 = mux(_T_2247, btb_bank0_rd_data_way0_out_67, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2692 = mux(_T_2249, btb_bank0_rd_data_way0_out_68, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2693 = mux(_T_2251, btb_bank0_rd_data_way0_out_69, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2694 = mux(_T_2253, btb_bank0_rd_data_way0_out_70, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2695 = mux(_T_2255, btb_bank0_rd_data_way0_out_71, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2696 = mux(_T_2257, btb_bank0_rd_data_way0_out_72, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2697 = mux(_T_2259, btb_bank0_rd_data_way0_out_73, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2698 = mux(_T_2261, btb_bank0_rd_data_way0_out_74, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2699 = mux(_T_2263, btb_bank0_rd_data_way0_out_75, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2700 = mux(_T_2265, btb_bank0_rd_data_way0_out_76, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2701 = mux(_T_2267, btb_bank0_rd_data_way0_out_77, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2702 = mux(_T_2269, btb_bank0_rd_data_way0_out_78, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2703 = mux(_T_2271, btb_bank0_rd_data_way0_out_79, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2704 = mux(_T_2273, btb_bank0_rd_data_way0_out_80, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2705 = mux(_T_2275, btb_bank0_rd_data_way0_out_81, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2706 = mux(_T_2277, btb_bank0_rd_data_way0_out_82, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2707 = mux(_T_2279, btb_bank0_rd_data_way0_out_83, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2708 = mux(_T_2281, btb_bank0_rd_data_way0_out_84, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2709 = mux(_T_2283, btb_bank0_rd_data_way0_out_85, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2710 = mux(_T_2285, btb_bank0_rd_data_way0_out_86, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2711 = mux(_T_2287, btb_bank0_rd_data_way0_out_87, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2712 = mux(_T_2289, btb_bank0_rd_data_way0_out_88, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2713 = mux(_T_2291, btb_bank0_rd_data_way0_out_89, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2714 = mux(_T_2293, btb_bank0_rd_data_way0_out_90, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2715 = mux(_T_2295, btb_bank0_rd_data_way0_out_91, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2716 = mux(_T_2297, btb_bank0_rd_data_way0_out_92, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2717 = mux(_T_2299, btb_bank0_rd_data_way0_out_93, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2718 = mux(_T_2301, btb_bank0_rd_data_way0_out_94, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2719 = mux(_T_2303, btb_bank0_rd_data_way0_out_95, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2720 = mux(_T_2305, btb_bank0_rd_data_way0_out_96, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2721 = mux(_T_2307, btb_bank0_rd_data_way0_out_97, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2722 = mux(_T_2309, btb_bank0_rd_data_way0_out_98, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2723 = mux(_T_2311, btb_bank0_rd_data_way0_out_99, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2724 = mux(_T_2313, btb_bank0_rd_data_way0_out_100, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2725 = mux(_T_2315, btb_bank0_rd_data_way0_out_101, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2726 = mux(_T_2317, btb_bank0_rd_data_way0_out_102, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2727 = mux(_T_2319, btb_bank0_rd_data_way0_out_103, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2728 = mux(_T_2321, btb_bank0_rd_data_way0_out_104, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2729 = mux(_T_2323, btb_bank0_rd_data_way0_out_105, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2730 = mux(_T_2325, btb_bank0_rd_data_way0_out_106, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2731 = mux(_T_2327, btb_bank0_rd_data_way0_out_107, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2732 = mux(_T_2329, btb_bank0_rd_data_way0_out_108, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2733 = mux(_T_2331, btb_bank0_rd_data_way0_out_109, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2734 = mux(_T_2333, btb_bank0_rd_data_way0_out_110, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2735 = mux(_T_2335, btb_bank0_rd_data_way0_out_111, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2736 = mux(_T_2337, btb_bank0_rd_data_way0_out_112, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2737 = mux(_T_2339, btb_bank0_rd_data_way0_out_113, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2738 = mux(_T_2341, btb_bank0_rd_data_way0_out_114, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2739 = mux(_T_2343, btb_bank0_rd_data_way0_out_115, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2740 = mux(_T_2345, btb_bank0_rd_data_way0_out_116, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2741 = mux(_T_2347, btb_bank0_rd_data_way0_out_117, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2742 = mux(_T_2349, btb_bank0_rd_data_way0_out_118, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2743 = mux(_T_2351, btb_bank0_rd_data_way0_out_119, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2744 = mux(_T_2353, btb_bank0_rd_data_way0_out_120, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2745 = mux(_T_2355, btb_bank0_rd_data_way0_out_121, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2746 = mux(_T_2357, btb_bank0_rd_data_way0_out_122, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2747 = mux(_T_2359, btb_bank0_rd_data_way0_out_123, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2748 = mux(_T_2361, btb_bank0_rd_data_way0_out_124, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2749 = mux(_T_2363, btb_bank0_rd_data_way0_out_125, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2750 = mux(_T_2365, btb_bank0_rd_data_way0_out_126, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2751 = mux(_T_2367, btb_bank0_rd_data_way0_out_127, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2752 = mux(_T_2369, btb_bank0_rd_data_way0_out_128, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2753 = mux(_T_2371, btb_bank0_rd_data_way0_out_129, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2754 = mux(_T_2373, btb_bank0_rd_data_way0_out_130, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2755 = mux(_T_2375, btb_bank0_rd_data_way0_out_131, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2756 = mux(_T_2377, btb_bank0_rd_data_way0_out_132, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2757 = mux(_T_2379, btb_bank0_rd_data_way0_out_133, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2758 = mux(_T_2381, btb_bank0_rd_data_way0_out_134, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2759 = mux(_T_2383, btb_bank0_rd_data_way0_out_135, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2760 = mux(_T_2385, btb_bank0_rd_data_way0_out_136, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2761 = mux(_T_2387, btb_bank0_rd_data_way0_out_137, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2762 = mux(_T_2389, btb_bank0_rd_data_way0_out_138, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2763 = mux(_T_2391, btb_bank0_rd_data_way0_out_139, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2764 = mux(_T_2393, btb_bank0_rd_data_way0_out_140, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2765 = mux(_T_2395, btb_bank0_rd_data_way0_out_141, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2766 = mux(_T_2397, btb_bank0_rd_data_way0_out_142, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2767 = mux(_T_2399, btb_bank0_rd_data_way0_out_143, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2768 = mux(_T_2401, btb_bank0_rd_data_way0_out_144, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2769 = mux(_T_2403, btb_bank0_rd_data_way0_out_145, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2770 = mux(_T_2405, btb_bank0_rd_data_way0_out_146, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2771 = mux(_T_2407, btb_bank0_rd_data_way0_out_147, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2772 = mux(_T_2409, btb_bank0_rd_data_way0_out_148, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2773 = mux(_T_2411, btb_bank0_rd_data_way0_out_149, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2774 = mux(_T_2413, btb_bank0_rd_data_way0_out_150, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2775 = mux(_T_2415, btb_bank0_rd_data_way0_out_151, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2776 = mux(_T_2417, btb_bank0_rd_data_way0_out_152, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2777 = mux(_T_2419, btb_bank0_rd_data_way0_out_153, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2778 = mux(_T_2421, btb_bank0_rd_data_way0_out_154, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2779 = mux(_T_2423, btb_bank0_rd_data_way0_out_155, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2780 = mux(_T_2425, btb_bank0_rd_data_way0_out_156, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2781 = mux(_T_2427, btb_bank0_rd_data_way0_out_157, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2782 = mux(_T_2429, btb_bank0_rd_data_way0_out_158, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2783 = mux(_T_2431, btb_bank0_rd_data_way0_out_159, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2784 = mux(_T_2433, btb_bank0_rd_data_way0_out_160, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2785 = mux(_T_2435, btb_bank0_rd_data_way0_out_161, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2786 = mux(_T_2437, btb_bank0_rd_data_way0_out_162, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2787 = mux(_T_2439, btb_bank0_rd_data_way0_out_163, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2788 = mux(_T_2441, btb_bank0_rd_data_way0_out_164, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2789 = mux(_T_2443, btb_bank0_rd_data_way0_out_165, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2790 = mux(_T_2445, btb_bank0_rd_data_way0_out_166, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2791 = mux(_T_2447, btb_bank0_rd_data_way0_out_167, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2792 = mux(_T_2449, btb_bank0_rd_data_way0_out_168, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2793 = mux(_T_2451, btb_bank0_rd_data_way0_out_169, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2794 = mux(_T_2453, btb_bank0_rd_data_way0_out_170, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2795 = mux(_T_2455, btb_bank0_rd_data_way0_out_171, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2796 = mux(_T_2457, btb_bank0_rd_data_way0_out_172, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2797 = mux(_T_2459, btb_bank0_rd_data_way0_out_173, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2798 = mux(_T_2461, btb_bank0_rd_data_way0_out_174, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2799 = mux(_T_2463, btb_bank0_rd_data_way0_out_175, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2800 = mux(_T_2465, btb_bank0_rd_data_way0_out_176, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2801 = mux(_T_2467, btb_bank0_rd_data_way0_out_177, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2802 = mux(_T_2469, btb_bank0_rd_data_way0_out_178, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2803 = mux(_T_2471, btb_bank0_rd_data_way0_out_179, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2804 = mux(_T_2473, btb_bank0_rd_data_way0_out_180, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2805 = mux(_T_2475, btb_bank0_rd_data_way0_out_181, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2806 = mux(_T_2477, btb_bank0_rd_data_way0_out_182, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2807 = mux(_T_2479, btb_bank0_rd_data_way0_out_183, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2808 = mux(_T_2481, btb_bank0_rd_data_way0_out_184, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2809 = mux(_T_2483, btb_bank0_rd_data_way0_out_185, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2810 = mux(_T_2485, btb_bank0_rd_data_way0_out_186, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2811 = mux(_T_2487, btb_bank0_rd_data_way0_out_187, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2812 = mux(_T_2489, btb_bank0_rd_data_way0_out_188, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2813 = mux(_T_2491, btb_bank0_rd_data_way0_out_189, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2814 = mux(_T_2493, btb_bank0_rd_data_way0_out_190, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2815 = mux(_T_2495, btb_bank0_rd_data_way0_out_191, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2816 = mux(_T_2497, btb_bank0_rd_data_way0_out_192, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2817 = mux(_T_2499, btb_bank0_rd_data_way0_out_193, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2818 = mux(_T_2501, btb_bank0_rd_data_way0_out_194, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2819 = mux(_T_2503, btb_bank0_rd_data_way0_out_195, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2820 = mux(_T_2505, btb_bank0_rd_data_way0_out_196, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2821 = mux(_T_2507, btb_bank0_rd_data_way0_out_197, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2822 = mux(_T_2509, btb_bank0_rd_data_way0_out_198, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2823 = mux(_T_2511, btb_bank0_rd_data_way0_out_199, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2824 = mux(_T_2513, btb_bank0_rd_data_way0_out_200, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2825 = mux(_T_2515, btb_bank0_rd_data_way0_out_201, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2826 = mux(_T_2517, btb_bank0_rd_data_way0_out_202, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2827 = mux(_T_2519, btb_bank0_rd_data_way0_out_203, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2828 = mux(_T_2521, btb_bank0_rd_data_way0_out_204, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2829 = mux(_T_2523, btb_bank0_rd_data_way0_out_205, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2830 = mux(_T_2525, btb_bank0_rd_data_way0_out_206, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2831 = mux(_T_2527, btb_bank0_rd_data_way0_out_207, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2832 = mux(_T_2529, btb_bank0_rd_data_way0_out_208, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2833 = mux(_T_2531, btb_bank0_rd_data_way0_out_209, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2834 = mux(_T_2533, btb_bank0_rd_data_way0_out_210, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2835 = mux(_T_2535, btb_bank0_rd_data_way0_out_211, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2836 = mux(_T_2537, btb_bank0_rd_data_way0_out_212, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2837 = mux(_T_2539, btb_bank0_rd_data_way0_out_213, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2838 = mux(_T_2541, btb_bank0_rd_data_way0_out_214, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2839 = mux(_T_2543, btb_bank0_rd_data_way0_out_215, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2840 = mux(_T_2545, btb_bank0_rd_data_way0_out_216, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2841 = mux(_T_2547, btb_bank0_rd_data_way0_out_217, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2842 = mux(_T_2549, btb_bank0_rd_data_way0_out_218, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2843 = mux(_T_2551, btb_bank0_rd_data_way0_out_219, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2844 = mux(_T_2553, btb_bank0_rd_data_way0_out_220, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2845 = mux(_T_2555, btb_bank0_rd_data_way0_out_221, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2846 = mux(_T_2557, btb_bank0_rd_data_way0_out_222, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2847 = mux(_T_2559, btb_bank0_rd_data_way0_out_223, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2848 = mux(_T_2561, btb_bank0_rd_data_way0_out_224, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2849 = mux(_T_2563, btb_bank0_rd_data_way0_out_225, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2850 = mux(_T_2565, btb_bank0_rd_data_way0_out_226, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2851 = mux(_T_2567, btb_bank0_rd_data_way0_out_227, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2852 = mux(_T_2569, btb_bank0_rd_data_way0_out_228, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2853 = mux(_T_2571, btb_bank0_rd_data_way0_out_229, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2854 = mux(_T_2573, btb_bank0_rd_data_way0_out_230, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2855 = mux(_T_2575, btb_bank0_rd_data_way0_out_231, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2856 = mux(_T_2577, btb_bank0_rd_data_way0_out_232, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2857 = mux(_T_2579, btb_bank0_rd_data_way0_out_233, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2858 = mux(_T_2581, btb_bank0_rd_data_way0_out_234, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2859 = mux(_T_2583, btb_bank0_rd_data_way0_out_235, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2860 = mux(_T_2585, btb_bank0_rd_data_way0_out_236, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2861 = mux(_T_2587, btb_bank0_rd_data_way0_out_237, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2862 = mux(_T_2589, btb_bank0_rd_data_way0_out_238, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2863 = mux(_T_2591, btb_bank0_rd_data_way0_out_239, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2864 = mux(_T_2593, btb_bank0_rd_data_way0_out_240, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2865 = mux(_T_2595, btb_bank0_rd_data_way0_out_241, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2866 = mux(_T_2597, btb_bank0_rd_data_way0_out_242, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2867 = mux(_T_2599, btb_bank0_rd_data_way0_out_243, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2868 = mux(_T_2601, btb_bank0_rd_data_way0_out_244, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2869 = mux(_T_2603, btb_bank0_rd_data_way0_out_245, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2870 = mux(_T_2605, btb_bank0_rd_data_way0_out_246, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2871 = mux(_T_2607, btb_bank0_rd_data_way0_out_247, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2872 = mux(_T_2609, btb_bank0_rd_data_way0_out_248, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2873 = mux(_T_2611, btb_bank0_rd_data_way0_out_249, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2874 = mux(_T_2613, btb_bank0_rd_data_way0_out_250, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2875 = mux(_T_2615, btb_bank0_rd_data_way0_out_251, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2876 = mux(_T_2617, btb_bank0_rd_data_way0_out_252, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2877 = mux(_T_2619, btb_bank0_rd_data_way0_out_253, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2878 = mux(_T_2621, btb_bank0_rd_data_way0_out_254, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2879 = mux(_T_2623, btb_bank0_rd_data_way0_out_255, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2880 = or(_T_2624, _T_2625) @[Mux.scala 27:72] + node _T_2881 = or(_T_2880, _T_2626) @[Mux.scala 27:72] + node _T_2882 = or(_T_2881, _T_2627) @[Mux.scala 27:72] + node _T_2883 = or(_T_2882, _T_2628) @[Mux.scala 27:72] + node _T_2884 = or(_T_2883, _T_2629) @[Mux.scala 27:72] + node _T_2885 = or(_T_2884, _T_2630) @[Mux.scala 27:72] + node _T_2886 = or(_T_2885, _T_2631) @[Mux.scala 27:72] + node _T_2887 = or(_T_2886, _T_2632) @[Mux.scala 27:72] + node _T_2888 = or(_T_2887, _T_2633) @[Mux.scala 27:72] + node _T_2889 = or(_T_2888, _T_2634) @[Mux.scala 27:72] + node _T_2890 = or(_T_2889, _T_2635) @[Mux.scala 27:72] + node _T_2891 = or(_T_2890, _T_2636) @[Mux.scala 27:72] + node _T_2892 = or(_T_2891, _T_2637) @[Mux.scala 27:72] + node _T_2893 = or(_T_2892, _T_2638) @[Mux.scala 27:72] + node _T_2894 = or(_T_2893, _T_2639) @[Mux.scala 27:72] + node _T_2895 = or(_T_2894, _T_2640) @[Mux.scala 27:72] + node _T_2896 = or(_T_2895, _T_2641) @[Mux.scala 27:72] + node _T_2897 = or(_T_2896, _T_2642) @[Mux.scala 27:72] + node _T_2898 = or(_T_2897, _T_2643) @[Mux.scala 27:72] + node _T_2899 = or(_T_2898, _T_2644) @[Mux.scala 27:72] + node _T_2900 = or(_T_2899, _T_2645) @[Mux.scala 27:72] + node _T_2901 = or(_T_2900, _T_2646) @[Mux.scala 27:72] + node _T_2902 = or(_T_2901, _T_2647) @[Mux.scala 27:72] + node _T_2903 = or(_T_2902, _T_2648) @[Mux.scala 27:72] + node _T_2904 = or(_T_2903, _T_2649) @[Mux.scala 27:72] + node _T_2905 = or(_T_2904, _T_2650) @[Mux.scala 27:72] + node _T_2906 = or(_T_2905, _T_2651) @[Mux.scala 27:72] + node _T_2907 = or(_T_2906, _T_2652) @[Mux.scala 27:72] + node _T_2908 = or(_T_2907, _T_2653) @[Mux.scala 27:72] + node _T_2909 = or(_T_2908, _T_2654) @[Mux.scala 27:72] + node _T_2910 = or(_T_2909, _T_2655) @[Mux.scala 27:72] + node _T_2911 = or(_T_2910, _T_2656) @[Mux.scala 27:72] + node _T_2912 = or(_T_2911, _T_2657) @[Mux.scala 27:72] + node _T_2913 = or(_T_2912, _T_2658) @[Mux.scala 27:72] + node _T_2914 = or(_T_2913, _T_2659) @[Mux.scala 27:72] + node _T_2915 = or(_T_2914, _T_2660) @[Mux.scala 27:72] + node _T_2916 = or(_T_2915, _T_2661) @[Mux.scala 27:72] + node _T_2917 = or(_T_2916, _T_2662) @[Mux.scala 27:72] + node _T_2918 = or(_T_2917, _T_2663) @[Mux.scala 27:72] + node _T_2919 = or(_T_2918, _T_2664) @[Mux.scala 27:72] + node _T_2920 = or(_T_2919, _T_2665) @[Mux.scala 27:72] + node _T_2921 = or(_T_2920, _T_2666) @[Mux.scala 27:72] + node _T_2922 = or(_T_2921, _T_2667) @[Mux.scala 27:72] + node _T_2923 = or(_T_2922, _T_2668) @[Mux.scala 27:72] + node _T_2924 = or(_T_2923, _T_2669) @[Mux.scala 27:72] + node _T_2925 = or(_T_2924, _T_2670) @[Mux.scala 27:72] + node _T_2926 = or(_T_2925, _T_2671) @[Mux.scala 27:72] + node _T_2927 = or(_T_2926, _T_2672) @[Mux.scala 27:72] + node _T_2928 = or(_T_2927, _T_2673) @[Mux.scala 27:72] + node _T_2929 = or(_T_2928, _T_2674) @[Mux.scala 27:72] + node _T_2930 = or(_T_2929, _T_2675) @[Mux.scala 27:72] + node _T_2931 = or(_T_2930, _T_2676) @[Mux.scala 27:72] + node _T_2932 = or(_T_2931, _T_2677) @[Mux.scala 27:72] + node _T_2933 = or(_T_2932, _T_2678) @[Mux.scala 27:72] + node _T_2934 = or(_T_2933, _T_2679) @[Mux.scala 27:72] + node _T_2935 = or(_T_2934, _T_2680) @[Mux.scala 27:72] + node _T_2936 = or(_T_2935, _T_2681) @[Mux.scala 27:72] + node _T_2937 = or(_T_2936, _T_2682) @[Mux.scala 27:72] + node _T_2938 = or(_T_2937, _T_2683) @[Mux.scala 27:72] + node _T_2939 = or(_T_2938, _T_2684) @[Mux.scala 27:72] + node _T_2940 = or(_T_2939, _T_2685) @[Mux.scala 27:72] + node _T_2941 = or(_T_2940, _T_2686) @[Mux.scala 27:72] + node _T_2942 = or(_T_2941, _T_2687) @[Mux.scala 27:72] + node _T_2943 = or(_T_2942, _T_2688) @[Mux.scala 27:72] + node _T_2944 = or(_T_2943, _T_2689) @[Mux.scala 27:72] + node _T_2945 = or(_T_2944, _T_2690) @[Mux.scala 27:72] + node _T_2946 = or(_T_2945, _T_2691) @[Mux.scala 27:72] + node _T_2947 = or(_T_2946, _T_2692) @[Mux.scala 27:72] + node _T_2948 = or(_T_2947, _T_2693) @[Mux.scala 27:72] + node _T_2949 = or(_T_2948, _T_2694) @[Mux.scala 27:72] + node _T_2950 = or(_T_2949, _T_2695) @[Mux.scala 27:72] + node _T_2951 = or(_T_2950, _T_2696) @[Mux.scala 27:72] + node _T_2952 = or(_T_2951, _T_2697) @[Mux.scala 27:72] + node _T_2953 = or(_T_2952, _T_2698) @[Mux.scala 27:72] + node _T_2954 = or(_T_2953, _T_2699) @[Mux.scala 27:72] + node _T_2955 = or(_T_2954, _T_2700) @[Mux.scala 27:72] + node _T_2956 = or(_T_2955, _T_2701) @[Mux.scala 27:72] + node _T_2957 = or(_T_2956, _T_2702) @[Mux.scala 27:72] + node _T_2958 = or(_T_2957, _T_2703) @[Mux.scala 27:72] + node _T_2959 = or(_T_2958, _T_2704) @[Mux.scala 27:72] + node _T_2960 = or(_T_2959, _T_2705) @[Mux.scala 27:72] + node _T_2961 = or(_T_2960, _T_2706) @[Mux.scala 27:72] + node _T_2962 = or(_T_2961, _T_2707) @[Mux.scala 27:72] + node _T_2963 = or(_T_2962, _T_2708) @[Mux.scala 27:72] + node _T_2964 = or(_T_2963, _T_2709) @[Mux.scala 27:72] + node _T_2965 = or(_T_2964, _T_2710) @[Mux.scala 27:72] + node _T_2966 = or(_T_2965, _T_2711) @[Mux.scala 27:72] + node _T_2967 = or(_T_2966, _T_2712) @[Mux.scala 27:72] + node _T_2968 = or(_T_2967, _T_2713) @[Mux.scala 27:72] + node _T_2969 = or(_T_2968, _T_2714) @[Mux.scala 27:72] + node _T_2970 = or(_T_2969, _T_2715) @[Mux.scala 27:72] + node _T_2971 = or(_T_2970, _T_2716) @[Mux.scala 27:72] + node _T_2972 = or(_T_2971, _T_2717) @[Mux.scala 27:72] + node _T_2973 = or(_T_2972, _T_2718) @[Mux.scala 27:72] + node _T_2974 = or(_T_2973, _T_2719) @[Mux.scala 27:72] + node _T_2975 = or(_T_2974, _T_2720) @[Mux.scala 27:72] + node _T_2976 = or(_T_2975, _T_2721) @[Mux.scala 27:72] + node _T_2977 = or(_T_2976, _T_2722) @[Mux.scala 27:72] + node _T_2978 = or(_T_2977, _T_2723) @[Mux.scala 27:72] + node _T_2979 = or(_T_2978, _T_2724) @[Mux.scala 27:72] + node _T_2980 = or(_T_2979, _T_2725) @[Mux.scala 27:72] + node _T_2981 = or(_T_2980, _T_2726) @[Mux.scala 27:72] + node _T_2982 = or(_T_2981, _T_2727) @[Mux.scala 27:72] + node _T_2983 = or(_T_2982, _T_2728) @[Mux.scala 27:72] + node _T_2984 = or(_T_2983, _T_2729) @[Mux.scala 27:72] + node _T_2985 = or(_T_2984, _T_2730) @[Mux.scala 27:72] + node _T_2986 = or(_T_2985, _T_2731) @[Mux.scala 27:72] + node _T_2987 = or(_T_2986, _T_2732) @[Mux.scala 27:72] + node _T_2988 = or(_T_2987, _T_2733) @[Mux.scala 27:72] + node _T_2989 = or(_T_2988, _T_2734) @[Mux.scala 27:72] + node _T_2990 = or(_T_2989, _T_2735) @[Mux.scala 27:72] + node _T_2991 = or(_T_2990, _T_2736) @[Mux.scala 27:72] + node _T_2992 = or(_T_2991, _T_2737) @[Mux.scala 27:72] + node _T_2993 = or(_T_2992, _T_2738) @[Mux.scala 27:72] + node _T_2994 = or(_T_2993, _T_2739) @[Mux.scala 27:72] + node _T_2995 = or(_T_2994, _T_2740) @[Mux.scala 27:72] + node _T_2996 = or(_T_2995, _T_2741) @[Mux.scala 27:72] + node _T_2997 = or(_T_2996, _T_2742) @[Mux.scala 27:72] + node _T_2998 = or(_T_2997, _T_2743) @[Mux.scala 27:72] + node _T_2999 = or(_T_2998, _T_2744) @[Mux.scala 27:72] + node _T_3000 = or(_T_2999, _T_2745) @[Mux.scala 27:72] + node _T_3001 = or(_T_3000, _T_2746) @[Mux.scala 27:72] + node _T_3002 = or(_T_3001, _T_2747) @[Mux.scala 27:72] + node _T_3003 = or(_T_3002, _T_2748) @[Mux.scala 27:72] + node _T_3004 = or(_T_3003, _T_2749) @[Mux.scala 27:72] + node _T_3005 = or(_T_3004, _T_2750) @[Mux.scala 27:72] + node _T_3006 = or(_T_3005, _T_2751) @[Mux.scala 27:72] + node _T_3007 = or(_T_3006, _T_2752) @[Mux.scala 27:72] + node _T_3008 = or(_T_3007, _T_2753) @[Mux.scala 27:72] + node _T_3009 = or(_T_3008, _T_2754) @[Mux.scala 27:72] + node _T_3010 = or(_T_3009, _T_2755) @[Mux.scala 27:72] + node _T_3011 = or(_T_3010, _T_2756) @[Mux.scala 27:72] + node _T_3012 = or(_T_3011, _T_2757) @[Mux.scala 27:72] + node _T_3013 = or(_T_3012, _T_2758) @[Mux.scala 27:72] + node _T_3014 = or(_T_3013, _T_2759) @[Mux.scala 27:72] + node _T_3015 = or(_T_3014, _T_2760) @[Mux.scala 27:72] + node _T_3016 = or(_T_3015, _T_2761) @[Mux.scala 27:72] + node _T_3017 = or(_T_3016, _T_2762) @[Mux.scala 27:72] + node _T_3018 = or(_T_3017, _T_2763) @[Mux.scala 27:72] + node _T_3019 = or(_T_3018, _T_2764) @[Mux.scala 27:72] + node _T_3020 = or(_T_3019, _T_2765) @[Mux.scala 27:72] + node _T_3021 = or(_T_3020, _T_2766) @[Mux.scala 27:72] + node _T_3022 = or(_T_3021, _T_2767) @[Mux.scala 27:72] + node _T_3023 = or(_T_3022, _T_2768) @[Mux.scala 27:72] + node _T_3024 = or(_T_3023, _T_2769) @[Mux.scala 27:72] + node _T_3025 = or(_T_3024, _T_2770) @[Mux.scala 27:72] + node _T_3026 = or(_T_3025, _T_2771) @[Mux.scala 27:72] + node _T_3027 = or(_T_3026, _T_2772) @[Mux.scala 27:72] + node _T_3028 = or(_T_3027, _T_2773) @[Mux.scala 27:72] + node _T_3029 = or(_T_3028, _T_2774) @[Mux.scala 27:72] + node _T_3030 = or(_T_3029, _T_2775) @[Mux.scala 27:72] + node _T_3031 = or(_T_3030, _T_2776) @[Mux.scala 27:72] + node _T_3032 = or(_T_3031, _T_2777) @[Mux.scala 27:72] + node _T_3033 = or(_T_3032, _T_2778) @[Mux.scala 27:72] + node _T_3034 = or(_T_3033, _T_2779) @[Mux.scala 27:72] + node _T_3035 = or(_T_3034, _T_2780) @[Mux.scala 27:72] + node _T_3036 = or(_T_3035, _T_2781) @[Mux.scala 27:72] + node _T_3037 = or(_T_3036, _T_2782) @[Mux.scala 27:72] + node _T_3038 = or(_T_3037, _T_2783) @[Mux.scala 27:72] + node _T_3039 = or(_T_3038, _T_2784) @[Mux.scala 27:72] + node _T_3040 = or(_T_3039, _T_2785) @[Mux.scala 27:72] + node _T_3041 = or(_T_3040, _T_2786) @[Mux.scala 27:72] + node _T_3042 = or(_T_3041, _T_2787) @[Mux.scala 27:72] + node _T_3043 = or(_T_3042, _T_2788) @[Mux.scala 27:72] + node _T_3044 = or(_T_3043, _T_2789) @[Mux.scala 27:72] + node _T_3045 = or(_T_3044, _T_2790) @[Mux.scala 27:72] + node _T_3046 = or(_T_3045, _T_2791) @[Mux.scala 27:72] + node _T_3047 = or(_T_3046, _T_2792) @[Mux.scala 27:72] + node _T_3048 = or(_T_3047, _T_2793) @[Mux.scala 27:72] + node _T_3049 = or(_T_3048, _T_2794) @[Mux.scala 27:72] + node _T_3050 = or(_T_3049, _T_2795) @[Mux.scala 27:72] + node _T_3051 = or(_T_3050, _T_2796) @[Mux.scala 27:72] + node _T_3052 = or(_T_3051, _T_2797) @[Mux.scala 27:72] + node _T_3053 = or(_T_3052, _T_2798) @[Mux.scala 27:72] + node _T_3054 = or(_T_3053, _T_2799) @[Mux.scala 27:72] + node _T_3055 = or(_T_3054, _T_2800) @[Mux.scala 27:72] + node _T_3056 = or(_T_3055, _T_2801) @[Mux.scala 27:72] + node _T_3057 = or(_T_3056, _T_2802) @[Mux.scala 27:72] + node _T_3058 = or(_T_3057, _T_2803) @[Mux.scala 27:72] + node _T_3059 = or(_T_3058, _T_2804) @[Mux.scala 27:72] + node _T_3060 = or(_T_3059, _T_2805) @[Mux.scala 27:72] + node _T_3061 = or(_T_3060, _T_2806) @[Mux.scala 27:72] + node _T_3062 = or(_T_3061, _T_2807) @[Mux.scala 27:72] + node _T_3063 = or(_T_3062, _T_2808) @[Mux.scala 27:72] + node _T_3064 = or(_T_3063, _T_2809) @[Mux.scala 27:72] + node _T_3065 = or(_T_3064, _T_2810) @[Mux.scala 27:72] + node _T_3066 = or(_T_3065, _T_2811) @[Mux.scala 27:72] + node _T_3067 = or(_T_3066, _T_2812) @[Mux.scala 27:72] + node _T_3068 = or(_T_3067, _T_2813) @[Mux.scala 27:72] + node _T_3069 = or(_T_3068, _T_2814) @[Mux.scala 27:72] + node _T_3070 = or(_T_3069, _T_2815) @[Mux.scala 27:72] + node _T_3071 = or(_T_3070, _T_2816) @[Mux.scala 27:72] + node _T_3072 = or(_T_3071, _T_2817) @[Mux.scala 27:72] + node _T_3073 = or(_T_3072, _T_2818) @[Mux.scala 27:72] + node _T_3074 = or(_T_3073, _T_2819) @[Mux.scala 27:72] + node _T_3075 = or(_T_3074, _T_2820) @[Mux.scala 27:72] + node _T_3076 = or(_T_3075, _T_2821) @[Mux.scala 27:72] + node _T_3077 = or(_T_3076, _T_2822) @[Mux.scala 27:72] + node _T_3078 = or(_T_3077, _T_2823) @[Mux.scala 27:72] + node _T_3079 = or(_T_3078, _T_2824) @[Mux.scala 27:72] + node _T_3080 = or(_T_3079, _T_2825) @[Mux.scala 27:72] + node _T_3081 = or(_T_3080, _T_2826) @[Mux.scala 27:72] + node _T_3082 = or(_T_3081, _T_2827) @[Mux.scala 27:72] + node _T_3083 = or(_T_3082, _T_2828) @[Mux.scala 27:72] + node _T_3084 = or(_T_3083, _T_2829) @[Mux.scala 27:72] + node _T_3085 = or(_T_3084, _T_2830) @[Mux.scala 27:72] + node _T_3086 = or(_T_3085, _T_2831) @[Mux.scala 27:72] + node _T_3087 = or(_T_3086, _T_2832) @[Mux.scala 27:72] + node _T_3088 = or(_T_3087, _T_2833) @[Mux.scala 27:72] + node _T_3089 = or(_T_3088, _T_2834) @[Mux.scala 27:72] + node _T_3090 = or(_T_3089, _T_2835) @[Mux.scala 27:72] + node _T_3091 = or(_T_3090, _T_2836) @[Mux.scala 27:72] + node _T_3092 = or(_T_3091, _T_2837) @[Mux.scala 27:72] + node _T_3093 = or(_T_3092, _T_2838) @[Mux.scala 27:72] + node _T_3094 = or(_T_3093, _T_2839) @[Mux.scala 27:72] + node _T_3095 = or(_T_3094, _T_2840) @[Mux.scala 27:72] + node _T_3096 = or(_T_3095, _T_2841) @[Mux.scala 27:72] + node _T_3097 = or(_T_3096, _T_2842) @[Mux.scala 27:72] + node _T_3098 = or(_T_3097, _T_2843) @[Mux.scala 27:72] + node _T_3099 = or(_T_3098, _T_2844) @[Mux.scala 27:72] + node _T_3100 = or(_T_3099, _T_2845) @[Mux.scala 27:72] + node _T_3101 = or(_T_3100, _T_2846) @[Mux.scala 27:72] + node _T_3102 = or(_T_3101, _T_2847) @[Mux.scala 27:72] + node _T_3103 = or(_T_3102, _T_2848) @[Mux.scala 27:72] + node _T_3104 = or(_T_3103, _T_2849) @[Mux.scala 27:72] + node _T_3105 = or(_T_3104, _T_2850) @[Mux.scala 27:72] + node _T_3106 = or(_T_3105, _T_2851) @[Mux.scala 27:72] + node _T_3107 = or(_T_3106, _T_2852) @[Mux.scala 27:72] + node _T_3108 = or(_T_3107, _T_2853) @[Mux.scala 27:72] + node _T_3109 = or(_T_3108, _T_2854) @[Mux.scala 27:72] + node _T_3110 = or(_T_3109, _T_2855) @[Mux.scala 27:72] + node _T_3111 = or(_T_3110, _T_2856) @[Mux.scala 27:72] + node _T_3112 = or(_T_3111, _T_2857) @[Mux.scala 27:72] + node _T_3113 = or(_T_3112, _T_2858) @[Mux.scala 27:72] + node _T_3114 = or(_T_3113, _T_2859) @[Mux.scala 27:72] + node _T_3115 = or(_T_3114, _T_2860) @[Mux.scala 27:72] + node _T_3116 = or(_T_3115, _T_2861) @[Mux.scala 27:72] + node _T_3117 = or(_T_3116, _T_2862) @[Mux.scala 27:72] + node _T_3118 = or(_T_3117, _T_2863) @[Mux.scala 27:72] + node _T_3119 = or(_T_3118, _T_2864) @[Mux.scala 27:72] + node _T_3120 = or(_T_3119, _T_2865) @[Mux.scala 27:72] + node _T_3121 = or(_T_3120, _T_2866) @[Mux.scala 27:72] + node _T_3122 = or(_T_3121, _T_2867) @[Mux.scala 27:72] + node _T_3123 = or(_T_3122, _T_2868) @[Mux.scala 27:72] + node _T_3124 = or(_T_3123, _T_2869) @[Mux.scala 27:72] + node _T_3125 = or(_T_3124, _T_2870) @[Mux.scala 27:72] + node _T_3126 = or(_T_3125, _T_2871) @[Mux.scala 27:72] + node _T_3127 = or(_T_3126, _T_2872) @[Mux.scala 27:72] + node _T_3128 = or(_T_3127, _T_2873) @[Mux.scala 27:72] + node _T_3129 = or(_T_3128, _T_2874) @[Mux.scala 27:72] + node _T_3130 = or(_T_3129, _T_2875) @[Mux.scala 27:72] + node _T_3131 = or(_T_3130, _T_2876) @[Mux.scala 27:72] + node _T_3132 = or(_T_3131, _T_2877) @[Mux.scala 27:72] + node _T_3133 = or(_T_3132, _T_2878) @[Mux.scala 27:72] + node _T_3134 = or(_T_3133, _T_2879) @[Mux.scala 27:72] + wire _T_3135 : UInt @[Mux.scala 27:72] + _T_3135 <= _T_3134 @[Mux.scala 27:72] + btb_bank0_rd_data_way0_f <= _T_3135 @[ifu_bp_ctl.scala 418:28] + node _T_3136 = eq(btb_rd_addr_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 419:77] + node _T_3137 = bits(_T_3136, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3138 = eq(btb_rd_addr_f, UInt<1>("h01")) @[ifu_bp_ctl.scala 419:77] + node _T_3139 = bits(_T_3138, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3140 = eq(btb_rd_addr_f, UInt<2>("h02")) @[ifu_bp_ctl.scala 419:77] + node _T_3141 = bits(_T_3140, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3142 = eq(btb_rd_addr_f, UInt<2>("h03")) @[ifu_bp_ctl.scala 419:77] + node _T_3143 = bits(_T_3142, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3144 = eq(btb_rd_addr_f, UInt<3>("h04")) @[ifu_bp_ctl.scala 419:77] + node _T_3145 = bits(_T_3144, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3146 = eq(btb_rd_addr_f, UInt<3>("h05")) @[ifu_bp_ctl.scala 419:77] + node _T_3147 = bits(_T_3146, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3148 = eq(btb_rd_addr_f, UInt<3>("h06")) @[ifu_bp_ctl.scala 419:77] + node _T_3149 = bits(_T_3148, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3150 = eq(btb_rd_addr_f, UInt<3>("h07")) @[ifu_bp_ctl.scala 419:77] + node _T_3151 = bits(_T_3150, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3152 = eq(btb_rd_addr_f, UInt<4>("h08")) @[ifu_bp_ctl.scala 419:77] + node _T_3153 = bits(_T_3152, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3154 = eq(btb_rd_addr_f, UInt<4>("h09")) @[ifu_bp_ctl.scala 419:77] + node _T_3155 = bits(_T_3154, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3156 = eq(btb_rd_addr_f, UInt<4>("h0a")) @[ifu_bp_ctl.scala 419:77] + node _T_3157 = bits(_T_3156, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3158 = eq(btb_rd_addr_f, UInt<4>("h0b")) @[ifu_bp_ctl.scala 419:77] + node _T_3159 = bits(_T_3158, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3160 = eq(btb_rd_addr_f, UInt<4>("h0c")) @[ifu_bp_ctl.scala 419:77] + node _T_3161 = bits(_T_3160, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3162 = eq(btb_rd_addr_f, UInt<4>("h0d")) @[ifu_bp_ctl.scala 419:77] + node _T_3163 = bits(_T_3162, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3164 = eq(btb_rd_addr_f, UInt<4>("h0e")) @[ifu_bp_ctl.scala 419:77] + node _T_3165 = bits(_T_3164, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3166 = eq(btb_rd_addr_f, UInt<4>("h0f")) @[ifu_bp_ctl.scala 419:77] + node _T_3167 = bits(_T_3166, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3168 = eq(btb_rd_addr_f, UInt<5>("h010")) @[ifu_bp_ctl.scala 419:77] + node _T_3169 = bits(_T_3168, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3170 = eq(btb_rd_addr_f, UInt<5>("h011")) @[ifu_bp_ctl.scala 419:77] + node _T_3171 = bits(_T_3170, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3172 = eq(btb_rd_addr_f, UInt<5>("h012")) @[ifu_bp_ctl.scala 419:77] + node _T_3173 = bits(_T_3172, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3174 = eq(btb_rd_addr_f, UInt<5>("h013")) @[ifu_bp_ctl.scala 419:77] + node _T_3175 = bits(_T_3174, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3176 = eq(btb_rd_addr_f, UInt<5>("h014")) @[ifu_bp_ctl.scala 419:77] + node _T_3177 = bits(_T_3176, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3178 = eq(btb_rd_addr_f, UInt<5>("h015")) @[ifu_bp_ctl.scala 419:77] + node _T_3179 = bits(_T_3178, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3180 = eq(btb_rd_addr_f, UInt<5>("h016")) @[ifu_bp_ctl.scala 419:77] + node _T_3181 = bits(_T_3180, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3182 = eq(btb_rd_addr_f, UInt<5>("h017")) @[ifu_bp_ctl.scala 419:77] + node _T_3183 = bits(_T_3182, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3184 = eq(btb_rd_addr_f, UInt<5>("h018")) @[ifu_bp_ctl.scala 419:77] + node _T_3185 = bits(_T_3184, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3186 = eq(btb_rd_addr_f, UInt<5>("h019")) @[ifu_bp_ctl.scala 419:77] + node _T_3187 = bits(_T_3186, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3188 = eq(btb_rd_addr_f, UInt<5>("h01a")) @[ifu_bp_ctl.scala 419:77] + node _T_3189 = bits(_T_3188, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3190 = eq(btb_rd_addr_f, UInt<5>("h01b")) @[ifu_bp_ctl.scala 419:77] + node _T_3191 = bits(_T_3190, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3192 = eq(btb_rd_addr_f, UInt<5>("h01c")) @[ifu_bp_ctl.scala 419:77] + node _T_3193 = bits(_T_3192, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3194 = eq(btb_rd_addr_f, UInt<5>("h01d")) @[ifu_bp_ctl.scala 419:77] + node _T_3195 = bits(_T_3194, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3196 = eq(btb_rd_addr_f, UInt<5>("h01e")) @[ifu_bp_ctl.scala 419:77] + node _T_3197 = bits(_T_3196, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3198 = eq(btb_rd_addr_f, UInt<5>("h01f")) @[ifu_bp_ctl.scala 419:77] + node _T_3199 = bits(_T_3198, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3200 = eq(btb_rd_addr_f, UInt<6>("h020")) @[ifu_bp_ctl.scala 419:77] + node _T_3201 = bits(_T_3200, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3202 = eq(btb_rd_addr_f, UInt<6>("h021")) @[ifu_bp_ctl.scala 419:77] + node _T_3203 = bits(_T_3202, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3204 = eq(btb_rd_addr_f, UInt<6>("h022")) @[ifu_bp_ctl.scala 419:77] + node _T_3205 = bits(_T_3204, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3206 = eq(btb_rd_addr_f, UInt<6>("h023")) @[ifu_bp_ctl.scala 419:77] + node _T_3207 = bits(_T_3206, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3208 = eq(btb_rd_addr_f, UInt<6>("h024")) @[ifu_bp_ctl.scala 419:77] + node _T_3209 = bits(_T_3208, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3210 = eq(btb_rd_addr_f, UInt<6>("h025")) @[ifu_bp_ctl.scala 419:77] + node _T_3211 = bits(_T_3210, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3212 = eq(btb_rd_addr_f, UInt<6>("h026")) @[ifu_bp_ctl.scala 419:77] + node _T_3213 = bits(_T_3212, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3214 = eq(btb_rd_addr_f, UInt<6>("h027")) @[ifu_bp_ctl.scala 419:77] + node _T_3215 = bits(_T_3214, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3216 = eq(btb_rd_addr_f, UInt<6>("h028")) @[ifu_bp_ctl.scala 419:77] + node _T_3217 = bits(_T_3216, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3218 = eq(btb_rd_addr_f, UInt<6>("h029")) @[ifu_bp_ctl.scala 419:77] + node _T_3219 = bits(_T_3218, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3220 = eq(btb_rd_addr_f, UInt<6>("h02a")) @[ifu_bp_ctl.scala 419:77] + node _T_3221 = bits(_T_3220, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3222 = eq(btb_rd_addr_f, UInt<6>("h02b")) @[ifu_bp_ctl.scala 419:77] + node _T_3223 = bits(_T_3222, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3224 = eq(btb_rd_addr_f, UInt<6>("h02c")) @[ifu_bp_ctl.scala 419:77] + node _T_3225 = bits(_T_3224, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3226 = eq(btb_rd_addr_f, UInt<6>("h02d")) @[ifu_bp_ctl.scala 419:77] + node _T_3227 = bits(_T_3226, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3228 = eq(btb_rd_addr_f, UInt<6>("h02e")) @[ifu_bp_ctl.scala 419:77] + node _T_3229 = bits(_T_3228, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3230 = eq(btb_rd_addr_f, UInt<6>("h02f")) @[ifu_bp_ctl.scala 419:77] + node _T_3231 = bits(_T_3230, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3232 = eq(btb_rd_addr_f, UInt<6>("h030")) @[ifu_bp_ctl.scala 419:77] + node _T_3233 = bits(_T_3232, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3234 = eq(btb_rd_addr_f, UInt<6>("h031")) @[ifu_bp_ctl.scala 419:77] + node _T_3235 = bits(_T_3234, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3236 = eq(btb_rd_addr_f, UInt<6>("h032")) @[ifu_bp_ctl.scala 419:77] + node _T_3237 = bits(_T_3236, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3238 = eq(btb_rd_addr_f, UInt<6>("h033")) @[ifu_bp_ctl.scala 419:77] + node _T_3239 = bits(_T_3238, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3240 = eq(btb_rd_addr_f, UInt<6>("h034")) @[ifu_bp_ctl.scala 419:77] + node _T_3241 = bits(_T_3240, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3242 = eq(btb_rd_addr_f, UInt<6>("h035")) @[ifu_bp_ctl.scala 419:77] + node _T_3243 = bits(_T_3242, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3244 = eq(btb_rd_addr_f, UInt<6>("h036")) @[ifu_bp_ctl.scala 419:77] + node _T_3245 = bits(_T_3244, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3246 = eq(btb_rd_addr_f, UInt<6>("h037")) @[ifu_bp_ctl.scala 419:77] + node _T_3247 = bits(_T_3246, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3248 = eq(btb_rd_addr_f, UInt<6>("h038")) @[ifu_bp_ctl.scala 419:77] + node _T_3249 = bits(_T_3248, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3250 = eq(btb_rd_addr_f, UInt<6>("h039")) @[ifu_bp_ctl.scala 419:77] + node _T_3251 = bits(_T_3250, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3252 = eq(btb_rd_addr_f, UInt<6>("h03a")) @[ifu_bp_ctl.scala 419:77] + node _T_3253 = bits(_T_3252, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3254 = eq(btb_rd_addr_f, UInt<6>("h03b")) @[ifu_bp_ctl.scala 419:77] + node _T_3255 = bits(_T_3254, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3256 = eq(btb_rd_addr_f, UInt<6>("h03c")) @[ifu_bp_ctl.scala 419:77] + node _T_3257 = bits(_T_3256, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3258 = eq(btb_rd_addr_f, UInt<6>("h03d")) @[ifu_bp_ctl.scala 419:77] + node _T_3259 = bits(_T_3258, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3260 = eq(btb_rd_addr_f, UInt<6>("h03e")) @[ifu_bp_ctl.scala 419:77] + node _T_3261 = bits(_T_3260, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3262 = eq(btb_rd_addr_f, UInt<6>("h03f")) @[ifu_bp_ctl.scala 419:77] + node _T_3263 = bits(_T_3262, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3264 = eq(btb_rd_addr_f, UInt<7>("h040")) @[ifu_bp_ctl.scala 419:77] + node _T_3265 = bits(_T_3264, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3266 = eq(btb_rd_addr_f, UInt<7>("h041")) @[ifu_bp_ctl.scala 419:77] + node _T_3267 = bits(_T_3266, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3268 = eq(btb_rd_addr_f, UInt<7>("h042")) @[ifu_bp_ctl.scala 419:77] + node _T_3269 = bits(_T_3268, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3270 = eq(btb_rd_addr_f, UInt<7>("h043")) @[ifu_bp_ctl.scala 419:77] + node _T_3271 = bits(_T_3270, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3272 = eq(btb_rd_addr_f, UInt<7>("h044")) @[ifu_bp_ctl.scala 419:77] + node _T_3273 = bits(_T_3272, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3274 = eq(btb_rd_addr_f, UInt<7>("h045")) @[ifu_bp_ctl.scala 419:77] + node _T_3275 = bits(_T_3274, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3276 = eq(btb_rd_addr_f, UInt<7>("h046")) @[ifu_bp_ctl.scala 419:77] + node _T_3277 = bits(_T_3276, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3278 = eq(btb_rd_addr_f, UInt<7>("h047")) @[ifu_bp_ctl.scala 419:77] + node _T_3279 = bits(_T_3278, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3280 = eq(btb_rd_addr_f, UInt<7>("h048")) @[ifu_bp_ctl.scala 419:77] + node _T_3281 = bits(_T_3280, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3282 = eq(btb_rd_addr_f, UInt<7>("h049")) @[ifu_bp_ctl.scala 419:77] + node _T_3283 = bits(_T_3282, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3284 = eq(btb_rd_addr_f, UInt<7>("h04a")) @[ifu_bp_ctl.scala 419:77] + node _T_3285 = bits(_T_3284, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3286 = eq(btb_rd_addr_f, UInt<7>("h04b")) @[ifu_bp_ctl.scala 419:77] + node _T_3287 = bits(_T_3286, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3288 = eq(btb_rd_addr_f, UInt<7>("h04c")) @[ifu_bp_ctl.scala 419:77] + node _T_3289 = bits(_T_3288, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3290 = eq(btb_rd_addr_f, UInt<7>("h04d")) @[ifu_bp_ctl.scala 419:77] + node _T_3291 = bits(_T_3290, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3292 = eq(btb_rd_addr_f, UInt<7>("h04e")) @[ifu_bp_ctl.scala 419:77] + node _T_3293 = bits(_T_3292, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3294 = eq(btb_rd_addr_f, UInt<7>("h04f")) @[ifu_bp_ctl.scala 419:77] + node _T_3295 = bits(_T_3294, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3296 = eq(btb_rd_addr_f, UInt<7>("h050")) @[ifu_bp_ctl.scala 419:77] + node _T_3297 = bits(_T_3296, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3298 = eq(btb_rd_addr_f, UInt<7>("h051")) @[ifu_bp_ctl.scala 419:77] + node _T_3299 = bits(_T_3298, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3300 = eq(btb_rd_addr_f, UInt<7>("h052")) @[ifu_bp_ctl.scala 419:77] + node _T_3301 = bits(_T_3300, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3302 = eq(btb_rd_addr_f, UInt<7>("h053")) @[ifu_bp_ctl.scala 419:77] + node _T_3303 = bits(_T_3302, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3304 = eq(btb_rd_addr_f, UInt<7>("h054")) @[ifu_bp_ctl.scala 419:77] + node _T_3305 = bits(_T_3304, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3306 = eq(btb_rd_addr_f, UInt<7>("h055")) @[ifu_bp_ctl.scala 419:77] + node _T_3307 = bits(_T_3306, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3308 = eq(btb_rd_addr_f, UInt<7>("h056")) @[ifu_bp_ctl.scala 419:77] + node _T_3309 = bits(_T_3308, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3310 = eq(btb_rd_addr_f, UInt<7>("h057")) @[ifu_bp_ctl.scala 419:77] + node _T_3311 = bits(_T_3310, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3312 = eq(btb_rd_addr_f, UInt<7>("h058")) @[ifu_bp_ctl.scala 419:77] + node _T_3313 = bits(_T_3312, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3314 = eq(btb_rd_addr_f, UInt<7>("h059")) @[ifu_bp_ctl.scala 419:77] + node _T_3315 = bits(_T_3314, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3316 = eq(btb_rd_addr_f, UInt<7>("h05a")) @[ifu_bp_ctl.scala 419:77] + node _T_3317 = bits(_T_3316, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3318 = eq(btb_rd_addr_f, UInt<7>("h05b")) @[ifu_bp_ctl.scala 419:77] + node _T_3319 = bits(_T_3318, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3320 = eq(btb_rd_addr_f, UInt<7>("h05c")) @[ifu_bp_ctl.scala 419:77] + node _T_3321 = bits(_T_3320, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3322 = eq(btb_rd_addr_f, UInt<7>("h05d")) @[ifu_bp_ctl.scala 419:77] + node _T_3323 = bits(_T_3322, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3324 = eq(btb_rd_addr_f, UInt<7>("h05e")) @[ifu_bp_ctl.scala 419:77] + node _T_3325 = bits(_T_3324, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3326 = eq(btb_rd_addr_f, UInt<7>("h05f")) @[ifu_bp_ctl.scala 419:77] + node _T_3327 = bits(_T_3326, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3328 = eq(btb_rd_addr_f, UInt<7>("h060")) @[ifu_bp_ctl.scala 419:77] + node _T_3329 = bits(_T_3328, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3330 = eq(btb_rd_addr_f, UInt<7>("h061")) @[ifu_bp_ctl.scala 419:77] + node _T_3331 = bits(_T_3330, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3332 = eq(btb_rd_addr_f, UInt<7>("h062")) @[ifu_bp_ctl.scala 419:77] + node _T_3333 = bits(_T_3332, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3334 = eq(btb_rd_addr_f, UInt<7>("h063")) @[ifu_bp_ctl.scala 419:77] + node _T_3335 = bits(_T_3334, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3336 = eq(btb_rd_addr_f, UInt<7>("h064")) @[ifu_bp_ctl.scala 419:77] + node _T_3337 = bits(_T_3336, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3338 = eq(btb_rd_addr_f, UInt<7>("h065")) @[ifu_bp_ctl.scala 419:77] + node _T_3339 = bits(_T_3338, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3340 = eq(btb_rd_addr_f, UInt<7>("h066")) @[ifu_bp_ctl.scala 419:77] + node _T_3341 = bits(_T_3340, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3342 = eq(btb_rd_addr_f, UInt<7>("h067")) @[ifu_bp_ctl.scala 419:77] + node _T_3343 = bits(_T_3342, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3344 = eq(btb_rd_addr_f, UInt<7>("h068")) @[ifu_bp_ctl.scala 419:77] + node _T_3345 = bits(_T_3344, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3346 = eq(btb_rd_addr_f, UInt<7>("h069")) @[ifu_bp_ctl.scala 419:77] + node _T_3347 = bits(_T_3346, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3348 = eq(btb_rd_addr_f, UInt<7>("h06a")) @[ifu_bp_ctl.scala 419:77] + node _T_3349 = bits(_T_3348, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3350 = eq(btb_rd_addr_f, UInt<7>("h06b")) @[ifu_bp_ctl.scala 419:77] + node _T_3351 = bits(_T_3350, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3352 = eq(btb_rd_addr_f, UInt<7>("h06c")) @[ifu_bp_ctl.scala 419:77] + node _T_3353 = bits(_T_3352, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3354 = eq(btb_rd_addr_f, UInt<7>("h06d")) @[ifu_bp_ctl.scala 419:77] + node _T_3355 = bits(_T_3354, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3356 = eq(btb_rd_addr_f, UInt<7>("h06e")) @[ifu_bp_ctl.scala 419:77] + node _T_3357 = bits(_T_3356, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3358 = eq(btb_rd_addr_f, UInt<7>("h06f")) @[ifu_bp_ctl.scala 419:77] + node _T_3359 = bits(_T_3358, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3360 = eq(btb_rd_addr_f, UInt<7>("h070")) @[ifu_bp_ctl.scala 419:77] + node _T_3361 = bits(_T_3360, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3362 = eq(btb_rd_addr_f, UInt<7>("h071")) @[ifu_bp_ctl.scala 419:77] + node _T_3363 = bits(_T_3362, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3364 = eq(btb_rd_addr_f, UInt<7>("h072")) @[ifu_bp_ctl.scala 419:77] + node _T_3365 = bits(_T_3364, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3366 = eq(btb_rd_addr_f, UInt<7>("h073")) @[ifu_bp_ctl.scala 419:77] + node _T_3367 = bits(_T_3366, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3368 = eq(btb_rd_addr_f, UInt<7>("h074")) @[ifu_bp_ctl.scala 419:77] + node _T_3369 = bits(_T_3368, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3370 = eq(btb_rd_addr_f, UInt<7>("h075")) @[ifu_bp_ctl.scala 419:77] + node _T_3371 = bits(_T_3370, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3372 = eq(btb_rd_addr_f, UInt<7>("h076")) @[ifu_bp_ctl.scala 419:77] + node _T_3373 = bits(_T_3372, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3374 = eq(btb_rd_addr_f, UInt<7>("h077")) @[ifu_bp_ctl.scala 419:77] + node _T_3375 = bits(_T_3374, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3376 = eq(btb_rd_addr_f, UInt<7>("h078")) @[ifu_bp_ctl.scala 419:77] + node _T_3377 = bits(_T_3376, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3378 = eq(btb_rd_addr_f, UInt<7>("h079")) @[ifu_bp_ctl.scala 419:77] + node _T_3379 = bits(_T_3378, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3380 = eq(btb_rd_addr_f, UInt<7>("h07a")) @[ifu_bp_ctl.scala 419:77] + node _T_3381 = bits(_T_3380, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3382 = eq(btb_rd_addr_f, UInt<7>("h07b")) @[ifu_bp_ctl.scala 419:77] + node _T_3383 = bits(_T_3382, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3384 = eq(btb_rd_addr_f, UInt<7>("h07c")) @[ifu_bp_ctl.scala 419:77] + node _T_3385 = bits(_T_3384, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3386 = eq(btb_rd_addr_f, UInt<7>("h07d")) @[ifu_bp_ctl.scala 419:77] + node _T_3387 = bits(_T_3386, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3388 = eq(btb_rd_addr_f, UInt<7>("h07e")) @[ifu_bp_ctl.scala 419:77] + node _T_3389 = bits(_T_3388, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3390 = eq(btb_rd_addr_f, UInt<7>("h07f")) @[ifu_bp_ctl.scala 419:77] + node _T_3391 = bits(_T_3390, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3392 = eq(btb_rd_addr_f, UInt<8>("h080")) @[ifu_bp_ctl.scala 419:77] + node _T_3393 = bits(_T_3392, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3394 = eq(btb_rd_addr_f, UInt<8>("h081")) @[ifu_bp_ctl.scala 419:77] + node _T_3395 = bits(_T_3394, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3396 = eq(btb_rd_addr_f, UInt<8>("h082")) @[ifu_bp_ctl.scala 419:77] + node _T_3397 = bits(_T_3396, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3398 = eq(btb_rd_addr_f, UInt<8>("h083")) @[ifu_bp_ctl.scala 419:77] + node _T_3399 = bits(_T_3398, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3400 = eq(btb_rd_addr_f, UInt<8>("h084")) @[ifu_bp_ctl.scala 419:77] + node _T_3401 = bits(_T_3400, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3402 = eq(btb_rd_addr_f, UInt<8>("h085")) @[ifu_bp_ctl.scala 419:77] + node _T_3403 = bits(_T_3402, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3404 = eq(btb_rd_addr_f, UInt<8>("h086")) @[ifu_bp_ctl.scala 419:77] + node _T_3405 = bits(_T_3404, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3406 = eq(btb_rd_addr_f, UInt<8>("h087")) @[ifu_bp_ctl.scala 419:77] + node _T_3407 = bits(_T_3406, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3408 = eq(btb_rd_addr_f, UInt<8>("h088")) @[ifu_bp_ctl.scala 419:77] + node _T_3409 = bits(_T_3408, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3410 = eq(btb_rd_addr_f, UInt<8>("h089")) @[ifu_bp_ctl.scala 419:77] + node _T_3411 = bits(_T_3410, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3412 = eq(btb_rd_addr_f, UInt<8>("h08a")) @[ifu_bp_ctl.scala 419:77] + node _T_3413 = bits(_T_3412, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3414 = eq(btb_rd_addr_f, UInt<8>("h08b")) @[ifu_bp_ctl.scala 419:77] + node _T_3415 = bits(_T_3414, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3416 = eq(btb_rd_addr_f, UInt<8>("h08c")) @[ifu_bp_ctl.scala 419:77] + node _T_3417 = bits(_T_3416, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3418 = eq(btb_rd_addr_f, UInt<8>("h08d")) @[ifu_bp_ctl.scala 419:77] + node _T_3419 = bits(_T_3418, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3420 = eq(btb_rd_addr_f, UInt<8>("h08e")) @[ifu_bp_ctl.scala 419:77] + node _T_3421 = bits(_T_3420, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3422 = eq(btb_rd_addr_f, UInt<8>("h08f")) @[ifu_bp_ctl.scala 419:77] + node _T_3423 = bits(_T_3422, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3424 = eq(btb_rd_addr_f, UInt<8>("h090")) @[ifu_bp_ctl.scala 419:77] + node _T_3425 = bits(_T_3424, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3426 = eq(btb_rd_addr_f, UInt<8>("h091")) @[ifu_bp_ctl.scala 419:77] + node _T_3427 = bits(_T_3426, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3428 = eq(btb_rd_addr_f, UInt<8>("h092")) @[ifu_bp_ctl.scala 419:77] + node _T_3429 = bits(_T_3428, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3430 = eq(btb_rd_addr_f, UInt<8>("h093")) @[ifu_bp_ctl.scala 419:77] + node _T_3431 = bits(_T_3430, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3432 = eq(btb_rd_addr_f, UInt<8>("h094")) @[ifu_bp_ctl.scala 419:77] + node _T_3433 = bits(_T_3432, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3434 = eq(btb_rd_addr_f, UInt<8>("h095")) @[ifu_bp_ctl.scala 419:77] + node _T_3435 = bits(_T_3434, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3436 = eq(btb_rd_addr_f, UInt<8>("h096")) @[ifu_bp_ctl.scala 419:77] + node _T_3437 = bits(_T_3436, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3438 = eq(btb_rd_addr_f, UInt<8>("h097")) @[ifu_bp_ctl.scala 419:77] + node _T_3439 = bits(_T_3438, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3440 = eq(btb_rd_addr_f, UInt<8>("h098")) @[ifu_bp_ctl.scala 419:77] + node _T_3441 = bits(_T_3440, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3442 = eq(btb_rd_addr_f, UInt<8>("h099")) @[ifu_bp_ctl.scala 419:77] + node _T_3443 = bits(_T_3442, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3444 = eq(btb_rd_addr_f, UInt<8>("h09a")) @[ifu_bp_ctl.scala 419:77] + node _T_3445 = bits(_T_3444, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3446 = eq(btb_rd_addr_f, UInt<8>("h09b")) @[ifu_bp_ctl.scala 419:77] + node _T_3447 = bits(_T_3446, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3448 = eq(btb_rd_addr_f, UInt<8>("h09c")) @[ifu_bp_ctl.scala 419:77] + node _T_3449 = bits(_T_3448, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3450 = eq(btb_rd_addr_f, UInt<8>("h09d")) @[ifu_bp_ctl.scala 419:77] + node _T_3451 = bits(_T_3450, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3452 = eq(btb_rd_addr_f, UInt<8>("h09e")) @[ifu_bp_ctl.scala 419:77] + node _T_3453 = bits(_T_3452, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3454 = eq(btb_rd_addr_f, UInt<8>("h09f")) @[ifu_bp_ctl.scala 419:77] + node _T_3455 = bits(_T_3454, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3456 = eq(btb_rd_addr_f, UInt<8>("h0a0")) @[ifu_bp_ctl.scala 419:77] + node _T_3457 = bits(_T_3456, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3458 = eq(btb_rd_addr_f, UInt<8>("h0a1")) @[ifu_bp_ctl.scala 419:77] + node _T_3459 = bits(_T_3458, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3460 = eq(btb_rd_addr_f, UInt<8>("h0a2")) @[ifu_bp_ctl.scala 419:77] + node _T_3461 = bits(_T_3460, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3462 = eq(btb_rd_addr_f, UInt<8>("h0a3")) @[ifu_bp_ctl.scala 419:77] + node _T_3463 = bits(_T_3462, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3464 = eq(btb_rd_addr_f, UInt<8>("h0a4")) @[ifu_bp_ctl.scala 419:77] + node _T_3465 = bits(_T_3464, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3466 = eq(btb_rd_addr_f, UInt<8>("h0a5")) @[ifu_bp_ctl.scala 419:77] + node _T_3467 = bits(_T_3466, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3468 = eq(btb_rd_addr_f, UInt<8>("h0a6")) @[ifu_bp_ctl.scala 419:77] + node _T_3469 = bits(_T_3468, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3470 = eq(btb_rd_addr_f, UInt<8>("h0a7")) @[ifu_bp_ctl.scala 419:77] + node _T_3471 = bits(_T_3470, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3472 = eq(btb_rd_addr_f, UInt<8>("h0a8")) @[ifu_bp_ctl.scala 419:77] + node _T_3473 = bits(_T_3472, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3474 = eq(btb_rd_addr_f, UInt<8>("h0a9")) @[ifu_bp_ctl.scala 419:77] + node _T_3475 = bits(_T_3474, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3476 = eq(btb_rd_addr_f, UInt<8>("h0aa")) @[ifu_bp_ctl.scala 419:77] + node _T_3477 = bits(_T_3476, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3478 = eq(btb_rd_addr_f, UInt<8>("h0ab")) @[ifu_bp_ctl.scala 419:77] + node _T_3479 = bits(_T_3478, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3480 = eq(btb_rd_addr_f, UInt<8>("h0ac")) @[ifu_bp_ctl.scala 419:77] + node _T_3481 = bits(_T_3480, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3482 = eq(btb_rd_addr_f, UInt<8>("h0ad")) @[ifu_bp_ctl.scala 419:77] + node _T_3483 = bits(_T_3482, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3484 = eq(btb_rd_addr_f, UInt<8>("h0ae")) @[ifu_bp_ctl.scala 419:77] + node _T_3485 = bits(_T_3484, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3486 = eq(btb_rd_addr_f, UInt<8>("h0af")) @[ifu_bp_ctl.scala 419:77] + node _T_3487 = bits(_T_3486, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3488 = eq(btb_rd_addr_f, UInt<8>("h0b0")) @[ifu_bp_ctl.scala 419:77] + node _T_3489 = bits(_T_3488, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3490 = eq(btb_rd_addr_f, UInt<8>("h0b1")) @[ifu_bp_ctl.scala 419:77] + node _T_3491 = bits(_T_3490, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3492 = eq(btb_rd_addr_f, UInt<8>("h0b2")) @[ifu_bp_ctl.scala 419:77] + node _T_3493 = bits(_T_3492, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3494 = eq(btb_rd_addr_f, UInt<8>("h0b3")) @[ifu_bp_ctl.scala 419:77] + node _T_3495 = bits(_T_3494, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3496 = eq(btb_rd_addr_f, UInt<8>("h0b4")) @[ifu_bp_ctl.scala 419:77] + node _T_3497 = bits(_T_3496, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3498 = eq(btb_rd_addr_f, UInt<8>("h0b5")) @[ifu_bp_ctl.scala 419:77] + node _T_3499 = bits(_T_3498, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3500 = eq(btb_rd_addr_f, UInt<8>("h0b6")) @[ifu_bp_ctl.scala 419:77] + node _T_3501 = bits(_T_3500, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3502 = eq(btb_rd_addr_f, UInt<8>("h0b7")) @[ifu_bp_ctl.scala 419:77] + node _T_3503 = bits(_T_3502, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3504 = eq(btb_rd_addr_f, UInt<8>("h0b8")) @[ifu_bp_ctl.scala 419:77] + node _T_3505 = bits(_T_3504, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3506 = eq(btb_rd_addr_f, UInt<8>("h0b9")) @[ifu_bp_ctl.scala 419:77] + node _T_3507 = bits(_T_3506, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3508 = eq(btb_rd_addr_f, UInt<8>("h0ba")) @[ifu_bp_ctl.scala 419:77] + node _T_3509 = bits(_T_3508, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3510 = eq(btb_rd_addr_f, UInt<8>("h0bb")) @[ifu_bp_ctl.scala 419:77] + node _T_3511 = bits(_T_3510, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3512 = eq(btb_rd_addr_f, UInt<8>("h0bc")) @[ifu_bp_ctl.scala 419:77] + node _T_3513 = bits(_T_3512, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3514 = eq(btb_rd_addr_f, UInt<8>("h0bd")) @[ifu_bp_ctl.scala 419:77] + node _T_3515 = bits(_T_3514, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3516 = eq(btb_rd_addr_f, UInt<8>("h0be")) @[ifu_bp_ctl.scala 419:77] + node _T_3517 = bits(_T_3516, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3518 = eq(btb_rd_addr_f, UInt<8>("h0bf")) @[ifu_bp_ctl.scala 419:77] + node _T_3519 = bits(_T_3518, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3520 = eq(btb_rd_addr_f, UInt<8>("h0c0")) @[ifu_bp_ctl.scala 419:77] + node _T_3521 = bits(_T_3520, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3522 = eq(btb_rd_addr_f, UInt<8>("h0c1")) @[ifu_bp_ctl.scala 419:77] + node _T_3523 = bits(_T_3522, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3524 = eq(btb_rd_addr_f, UInt<8>("h0c2")) @[ifu_bp_ctl.scala 419:77] + node _T_3525 = bits(_T_3524, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3526 = eq(btb_rd_addr_f, UInt<8>("h0c3")) @[ifu_bp_ctl.scala 419:77] + node _T_3527 = bits(_T_3526, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3528 = eq(btb_rd_addr_f, UInt<8>("h0c4")) @[ifu_bp_ctl.scala 419:77] + node _T_3529 = bits(_T_3528, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3530 = eq(btb_rd_addr_f, UInt<8>("h0c5")) @[ifu_bp_ctl.scala 419:77] + node _T_3531 = bits(_T_3530, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3532 = eq(btb_rd_addr_f, UInt<8>("h0c6")) @[ifu_bp_ctl.scala 419:77] + node _T_3533 = bits(_T_3532, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3534 = eq(btb_rd_addr_f, UInt<8>("h0c7")) @[ifu_bp_ctl.scala 419:77] + node _T_3535 = bits(_T_3534, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3536 = eq(btb_rd_addr_f, UInt<8>("h0c8")) @[ifu_bp_ctl.scala 419:77] + node _T_3537 = bits(_T_3536, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3538 = eq(btb_rd_addr_f, UInt<8>("h0c9")) @[ifu_bp_ctl.scala 419:77] + node _T_3539 = bits(_T_3538, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3540 = eq(btb_rd_addr_f, UInt<8>("h0ca")) @[ifu_bp_ctl.scala 419:77] + node _T_3541 = bits(_T_3540, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3542 = eq(btb_rd_addr_f, UInt<8>("h0cb")) @[ifu_bp_ctl.scala 419:77] + node _T_3543 = bits(_T_3542, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3544 = eq(btb_rd_addr_f, UInt<8>("h0cc")) @[ifu_bp_ctl.scala 419:77] + node _T_3545 = bits(_T_3544, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3546 = eq(btb_rd_addr_f, UInt<8>("h0cd")) @[ifu_bp_ctl.scala 419:77] + node _T_3547 = bits(_T_3546, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3548 = eq(btb_rd_addr_f, UInt<8>("h0ce")) @[ifu_bp_ctl.scala 419:77] + node _T_3549 = bits(_T_3548, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3550 = eq(btb_rd_addr_f, UInt<8>("h0cf")) @[ifu_bp_ctl.scala 419:77] + node _T_3551 = bits(_T_3550, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3552 = eq(btb_rd_addr_f, UInt<8>("h0d0")) @[ifu_bp_ctl.scala 419:77] + node _T_3553 = bits(_T_3552, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3554 = eq(btb_rd_addr_f, UInt<8>("h0d1")) @[ifu_bp_ctl.scala 419:77] + node _T_3555 = bits(_T_3554, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3556 = eq(btb_rd_addr_f, UInt<8>("h0d2")) @[ifu_bp_ctl.scala 419:77] + node _T_3557 = bits(_T_3556, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3558 = eq(btb_rd_addr_f, UInt<8>("h0d3")) @[ifu_bp_ctl.scala 419:77] + node _T_3559 = bits(_T_3558, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3560 = eq(btb_rd_addr_f, UInt<8>("h0d4")) @[ifu_bp_ctl.scala 419:77] + node _T_3561 = bits(_T_3560, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3562 = eq(btb_rd_addr_f, UInt<8>("h0d5")) @[ifu_bp_ctl.scala 419:77] + node _T_3563 = bits(_T_3562, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3564 = eq(btb_rd_addr_f, UInt<8>("h0d6")) @[ifu_bp_ctl.scala 419:77] + node _T_3565 = bits(_T_3564, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3566 = eq(btb_rd_addr_f, UInt<8>("h0d7")) @[ifu_bp_ctl.scala 419:77] + node _T_3567 = bits(_T_3566, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3568 = eq(btb_rd_addr_f, UInt<8>("h0d8")) @[ifu_bp_ctl.scala 419:77] + node _T_3569 = bits(_T_3568, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3570 = eq(btb_rd_addr_f, UInt<8>("h0d9")) @[ifu_bp_ctl.scala 419:77] + node _T_3571 = bits(_T_3570, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3572 = eq(btb_rd_addr_f, UInt<8>("h0da")) @[ifu_bp_ctl.scala 419:77] + node _T_3573 = bits(_T_3572, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3574 = eq(btb_rd_addr_f, UInt<8>("h0db")) @[ifu_bp_ctl.scala 419:77] + node _T_3575 = bits(_T_3574, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3576 = eq(btb_rd_addr_f, UInt<8>("h0dc")) @[ifu_bp_ctl.scala 419:77] + node _T_3577 = bits(_T_3576, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3578 = eq(btb_rd_addr_f, UInt<8>("h0dd")) @[ifu_bp_ctl.scala 419:77] + node _T_3579 = bits(_T_3578, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3580 = eq(btb_rd_addr_f, UInt<8>("h0de")) @[ifu_bp_ctl.scala 419:77] + node _T_3581 = bits(_T_3580, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3582 = eq(btb_rd_addr_f, UInt<8>("h0df")) @[ifu_bp_ctl.scala 419:77] + node _T_3583 = bits(_T_3582, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3584 = eq(btb_rd_addr_f, UInt<8>("h0e0")) @[ifu_bp_ctl.scala 419:77] + node _T_3585 = bits(_T_3584, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3586 = eq(btb_rd_addr_f, UInt<8>("h0e1")) @[ifu_bp_ctl.scala 419:77] + node _T_3587 = bits(_T_3586, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3588 = eq(btb_rd_addr_f, UInt<8>("h0e2")) @[ifu_bp_ctl.scala 419:77] + node _T_3589 = bits(_T_3588, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3590 = eq(btb_rd_addr_f, UInt<8>("h0e3")) @[ifu_bp_ctl.scala 419:77] + node _T_3591 = bits(_T_3590, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3592 = eq(btb_rd_addr_f, UInt<8>("h0e4")) @[ifu_bp_ctl.scala 419:77] + node _T_3593 = bits(_T_3592, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3594 = eq(btb_rd_addr_f, UInt<8>("h0e5")) @[ifu_bp_ctl.scala 419:77] + node _T_3595 = bits(_T_3594, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3596 = eq(btb_rd_addr_f, UInt<8>("h0e6")) @[ifu_bp_ctl.scala 419:77] + node _T_3597 = bits(_T_3596, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3598 = eq(btb_rd_addr_f, UInt<8>("h0e7")) @[ifu_bp_ctl.scala 419:77] + node _T_3599 = bits(_T_3598, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3600 = eq(btb_rd_addr_f, UInt<8>("h0e8")) @[ifu_bp_ctl.scala 419:77] + node _T_3601 = bits(_T_3600, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3602 = eq(btb_rd_addr_f, UInt<8>("h0e9")) @[ifu_bp_ctl.scala 419:77] + node _T_3603 = bits(_T_3602, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3604 = eq(btb_rd_addr_f, UInt<8>("h0ea")) @[ifu_bp_ctl.scala 419:77] + node _T_3605 = bits(_T_3604, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3606 = eq(btb_rd_addr_f, UInt<8>("h0eb")) @[ifu_bp_ctl.scala 419:77] + node _T_3607 = bits(_T_3606, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3608 = eq(btb_rd_addr_f, UInt<8>("h0ec")) @[ifu_bp_ctl.scala 419:77] + node _T_3609 = bits(_T_3608, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3610 = eq(btb_rd_addr_f, UInt<8>("h0ed")) @[ifu_bp_ctl.scala 419:77] + node _T_3611 = bits(_T_3610, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3612 = eq(btb_rd_addr_f, UInt<8>("h0ee")) @[ifu_bp_ctl.scala 419:77] + node _T_3613 = bits(_T_3612, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3614 = eq(btb_rd_addr_f, UInt<8>("h0ef")) @[ifu_bp_ctl.scala 419:77] + node _T_3615 = bits(_T_3614, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3616 = eq(btb_rd_addr_f, UInt<8>("h0f0")) @[ifu_bp_ctl.scala 419:77] + node _T_3617 = bits(_T_3616, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3618 = eq(btb_rd_addr_f, UInt<8>("h0f1")) @[ifu_bp_ctl.scala 419:77] + node _T_3619 = bits(_T_3618, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3620 = eq(btb_rd_addr_f, UInt<8>("h0f2")) @[ifu_bp_ctl.scala 419:77] + node _T_3621 = bits(_T_3620, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3622 = eq(btb_rd_addr_f, UInt<8>("h0f3")) @[ifu_bp_ctl.scala 419:77] + node _T_3623 = bits(_T_3622, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3624 = eq(btb_rd_addr_f, UInt<8>("h0f4")) @[ifu_bp_ctl.scala 419:77] + node _T_3625 = bits(_T_3624, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3626 = eq(btb_rd_addr_f, UInt<8>("h0f5")) @[ifu_bp_ctl.scala 419:77] + node _T_3627 = bits(_T_3626, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3628 = eq(btb_rd_addr_f, UInt<8>("h0f6")) @[ifu_bp_ctl.scala 419:77] + node _T_3629 = bits(_T_3628, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3630 = eq(btb_rd_addr_f, UInt<8>("h0f7")) @[ifu_bp_ctl.scala 419:77] + node _T_3631 = bits(_T_3630, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3632 = eq(btb_rd_addr_f, UInt<8>("h0f8")) @[ifu_bp_ctl.scala 419:77] + node _T_3633 = bits(_T_3632, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3634 = eq(btb_rd_addr_f, UInt<8>("h0f9")) @[ifu_bp_ctl.scala 419:77] + node _T_3635 = bits(_T_3634, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3636 = eq(btb_rd_addr_f, UInt<8>("h0fa")) @[ifu_bp_ctl.scala 419:77] + node _T_3637 = bits(_T_3636, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3638 = eq(btb_rd_addr_f, UInt<8>("h0fb")) @[ifu_bp_ctl.scala 419:77] + node _T_3639 = bits(_T_3638, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3640 = eq(btb_rd_addr_f, UInt<8>("h0fc")) @[ifu_bp_ctl.scala 419:77] + node _T_3641 = bits(_T_3640, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3642 = eq(btb_rd_addr_f, UInt<8>("h0fd")) @[ifu_bp_ctl.scala 419:77] + node _T_3643 = bits(_T_3642, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3644 = eq(btb_rd_addr_f, UInt<8>("h0fe")) @[ifu_bp_ctl.scala 419:77] + node _T_3645 = bits(_T_3644, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3646 = eq(btb_rd_addr_f, UInt<8>("h0ff")) @[ifu_bp_ctl.scala 419:77] + node _T_3647 = bits(_T_3646, 0, 0) @[ifu_bp_ctl.scala 419:85] + node _T_3648 = mux(_T_3137, btb_bank0_rd_data_way1_out_0, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3649 = mux(_T_3139, btb_bank0_rd_data_way1_out_1, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3650 = mux(_T_3141, btb_bank0_rd_data_way1_out_2, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3651 = mux(_T_3143, btb_bank0_rd_data_way1_out_3, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3652 = mux(_T_3145, btb_bank0_rd_data_way1_out_4, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3653 = mux(_T_3147, btb_bank0_rd_data_way1_out_5, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3654 = mux(_T_3149, btb_bank0_rd_data_way1_out_6, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3655 = mux(_T_3151, btb_bank0_rd_data_way1_out_7, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3656 = mux(_T_3153, btb_bank0_rd_data_way1_out_8, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3657 = mux(_T_3155, btb_bank0_rd_data_way1_out_9, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3658 = mux(_T_3157, btb_bank0_rd_data_way1_out_10, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3659 = mux(_T_3159, btb_bank0_rd_data_way1_out_11, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3660 = mux(_T_3161, btb_bank0_rd_data_way1_out_12, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3661 = mux(_T_3163, btb_bank0_rd_data_way1_out_13, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3662 = mux(_T_3165, btb_bank0_rd_data_way1_out_14, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3663 = mux(_T_3167, btb_bank0_rd_data_way1_out_15, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3664 = mux(_T_3169, btb_bank0_rd_data_way1_out_16, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3665 = mux(_T_3171, btb_bank0_rd_data_way1_out_17, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3666 = mux(_T_3173, btb_bank0_rd_data_way1_out_18, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3667 = mux(_T_3175, btb_bank0_rd_data_way1_out_19, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3668 = mux(_T_3177, btb_bank0_rd_data_way1_out_20, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3669 = mux(_T_3179, btb_bank0_rd_data_way1_out_21, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3670 = mux(_T_3181, btb_bank0_rd_data_way1_out_22, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3671 = mux(_T_3183, btb_bank0_rd_data_way1_out_23, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3672 = mux(_T_3185, btb_bank0_rd_data_way1_out_24, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3673 = mux(_T_3187, btb_bank0_rd_data_way1_out_25, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3674 = mux(_T_3189, btb_bank0_rd_data_way1_out_26, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3675 = mux(_T_3191, btb_bank0_rd_data_way1_out_27, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3676 = mux(_T_3193, btb_bank0_rd_data_way1_out_28, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3677 = mux(_T_3195, btb_bank0_rd_data_way1_out_29, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3678 = mux(_T_3197, btb_bank0_rd_data_way1_out_30, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3679 = mux(_T_3199, btb_bank0_rd_data_way1_out_31, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3680 = mux(_T_3201, btb_bank0_rd_data_way1_out_32, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3681 = mux(_T_3203, btb_bank0_rd_data_way1_out_33, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3682 = mux(_T_3205, btb_bank0_rd_data_way1_out_34, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3683 = mux(_T_3207, btb_bank0_rd_data_way1_out_35, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3684 = mux(_T_3209, btb_bank0_rd_data_way1_out_36, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3685 = mux(_T_3211, btb_bank0_rd_data_way1_out_37, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3686 = mux(_T_3213, btb_bank0_rd_data_way1_out_38, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3687 = mux(_T_3215, btb_bank0_rd_data_way1_out_39, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3688 = mux(_T_3217, btb_bank0_rd_data_way1_out_40, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3689 = mux(_T_3219, btb_bank0_rd_data_way1_out_41, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3690 = mux(_T_3221, btb_bank0_rd_data_way1_out_42, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3691 = mux(_T_3223, btb_bank0_rd_data_way1_out_43, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3692 = mux(_T_3225, btb_bank0_rd_data_way1_out_44, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3693 = mux(_T_3227, btb_bank0_rd_data_way1_out_45, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3694 = mux(_T_3229, btb_bank0_rd_data_way1_out_46, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3695 = mux(_T_3231, btb_bank0_rd_data_way1_out_47, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3696 = mux(_T_3233, btb_bank0_rd_data_way1_out_48, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3697 = mux(_T_3235, btb_bank0_rd_data_way1_out_49, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3698 = mux(_T_3237, btb_bank0_rd_data_way1_out_50, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3699 = mux(_T_3239, btb_bank0_rd_data_way1_out_51, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3700 = mux(_T_3241, btb_bank0_rd_data_way1_out_52, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3701 = mux(_T_3243, btb_bank0_rd_data_way1_out_53, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3702 = mux(_T_3245, btb_bank0_rd_data_way1_out_54, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3703 = mux(_T_3247, btb_bank0_rd_data_way1_out_55, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3704 = mux(_T_3249, btb_bank0_rd_data_way1_out_56, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3705 = mux(_T_3251, btb_bank0_rd_data_way1_out_57, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3706 = mux(_T_3253, btb_bank0_rd_data_way1_out_58, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3707 = mux(_T_3255, btb_bank0_rd_data_way1_out_59, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3708 = mux(_T_3257, btb_bank0_rd_data_way1_out_60, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3709 = mux(_T_3259, btb_bank0_rd_data_way1_out_61, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3710 = mux(_T_3261, btb_bank0_rd_data_way1_out_62, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3711 = mux(_T_3263, btb_bank0_rd_data_way1_out_63, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3712 = mux(_T_3265, btb_bank0_rd_data_way1_out_64, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3713 = mux(_T_3267, btb_bank0_rd_data_way1_out_65, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3714 = mux(_T_3269, btb_bank0_rd_data_way1_out_66, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3715 = mux(_T_3271, btb_bank0_rd_data_way1_out_67, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3716 = mux(_T_3273, btb_bank0_rd_data_way1_out_68, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3717 = mux(_T_3275, btb_bank0_rd_data_way1_out_69, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3718 = mux(_T_3277, btb_bank0_rd_data_way1_out_70, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3719 = mux(_T_3279, btb_bank0_rd_data_way1_out_71, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3720 = mux(_T_3281, btb_bank0_rd_data_way1_out_72, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3721 = mux(_T_3283, btb_bank0_rd_data_way1_out_73, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3722 = mux(_T_3285, btb_bank0_rd_data_way1_out_74, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3723 = mux(_T_3287, btb_bank0_rd_data_way1_out_75, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3724 = mux(_T_3289, btb_bank0_rd_data_way1_out_76, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3725 = mux(_T_3291, btb_bank0_rd_data_way1_out_77, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3726 = mux(_T_3293, btb_bank0_rd_data_way1_out_78, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3727 = mux(_T_3295, btb_bank0_rd_data_way1_out_79, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3728 = mux(_T_3297, btb_bank0_rd_data_way1_out_80, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3729 = mux(_T_3299, btb_bank0_rd_data_way1_out_81, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3730 = mux(_T_3301, btb_bank0_rd_data_way1_out_82, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3731 = mux(_T_3303, btb_bank0_rd_data_way1_out_83, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3732 = mux(_T_3305, btb_bank0_rd_data_way1_out_84, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3733 = mux(_T_3307, btb_bank0_rd_data_way1_out_85, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3734 = mux(_T_3309, btb_bank0_rd_data_way1_out_86, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3735 = mux(_T_3311, btb_bank0_rd_data_way1_out_87, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3736 = mux(_T_3313, btb_bank0_rd_data_way1_out_88, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3737 = mux(_T_3315, btb_bank0_rd_data_way1_out_89, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3738 = mux(_T_3317, btb_bank0_rd_data_way1_out_90, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3739 = mux(_T_3319, btb_bank0_rd_data_way1_out_91, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3740 = mux(_T_3321, btb_bank0_rd_data_way1_out_92, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3741 = mux(_T_3323, btb_bank0_rd_data_way1_out_93, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3742 = mux(_T_3325, btb_bank0_rd_data_way1_out_94, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3743 = mux(_T_3327, btb_bank0_rd_data_way1_out_95, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3744 = mux(_T_3329, btb_bank0_rd_data_way1_out_96, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3745 = mux(_T_3331, btb_bank0_rd_data_way1_out_97, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3746 = mux(_T_3333, btb_bank0_rd_data_way1_out_98, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3747 = mux(_T_3335, btb_bank0_rd_data_way1_out_99, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3748 = mux(_T_3337, btb_bank0_rd_data_way1_out_100, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3749 = mux(_T_3339, btb_bank0_rd_data_way1_out_101, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3750 = mux(_T_3341, btb_bank0_rd_data_way1_out_102, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3751 = mux(_T_3343, btb_bank0_rd_data_way1_out_103, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3752 = mux(_T_3345, btb_bank0_rd_data_way1_out_104, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3753 = mux(_T_3347, btb_bank0_rd_data_way1_out_105, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3754 = mux(_T_3349, btb_bank0_rd_data_way1_out_106, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3755 = mux(_T_3351, btb_bank0_rd_data_way1_out_107, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3756 = mux(_T_3353, btb_bank0_rd_data_way1_out_108, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3757 = mux(_T_3355, btb_bank0_rd_data_way1_out_109, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3758 = mux(_T_3357, btb_bank0_rd_data_way1_out_110, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3759 = mux(_T_3359, btb_bank0_rd_data_way1_out_111, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3760 = mux(_T_3361, btb_bank0_rd_data_way1_out_112, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3761 = mux(_T_3363, btb_bank0_rd_data_way1_out_113, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3762 = mux(_T_3365, btb_bank0_rd_data_way1_out_114, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3763 = mux(_T_3367, btb_bank0_rd_data_way1_out_115, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3764 = mux(_T_3369, btb_bank0_rd_data_way1_out_116, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3765 = mux(_T_3371, btb_bank0_rd_data_way1_out_117, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3766 = mux(_T_3373, btb_bank0_rd_data_way1_out_118, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3767 = mux(_T_3375, btb_bank0_rd_data_way1_out_119, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3768 = mux(_T_3377, btb_bank0_rd_data_way1_out_120, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3769 = mux(_T_3379, btb_bank0_rd_data_way1_out_121, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3770 = mux(_T_3381, btb_bank0_rd_data_way1_out_122, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3771 = mux(_T_3383, btb_bank0_rd_data_way1_out_123, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3772 = mux(_T_3385, btb_bank0_rd_data_way1_out_124, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3773 = mux(_T_3387, btb_bank0_rd_data_way1_out_125, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3774 = mux(_T_3389, btb_bank0_rd_data_way1_out_126, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3775 = mux(_T_3391, btb_bank0_rd_data_way1_out_127, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3776 = mux(_T_3393, btb_bank0_rd_data_way1_out_128, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3777 = mux(_T_3395, btb_bank0_rd_data_way1_out_129, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3778 = mux(_T_3397, btb_bank0_rd_data_way1_out_130, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3779 = mux(_T_3399, btb_bank0_rd_data_way1_out_131, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3780 = mux(_T_3401, btb_bank0_rd_data_way1_out_132, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3781 = mux(_T_3403, btb_bank0_rd_data_way1_out_133, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3782 = mux(_T_3405, btb_bank0_rd_data_way1_out_134, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3783 = mux(_T_3407, btb_bank0_rd_data_way1_out_135, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3784 = mux(_T_3409, btb_bank0_rd_data_way1_out_136, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3785 = mux(_T_3411, btb_bank0_rd_data_way1_out_137, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3786 = mux(_T_3413, btb_bank0_rd_data_way1_out_138, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3787 = mux(_T_3415, btb_bank0_rd_data_way1_out_139, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3788 = mux(_T_3417, btb_bank0_rd_data_way1_out_140, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3789 = mux(_T_3419, btb_bank0_rd_data_way1_out_141, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3790 = mux(_T_3421, btb_bank0_rd_data_way1_out_142, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3791 = mux(_T_3423, btb_bank0_rd_data_way1_out_143, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3792 = mux(_T_3425, btb_bank0_rd_data_way1_out_144, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3793 = mux(_T_3427, btb_bank0_rd_data_way1_out_145, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3794 = mux(_T_3429, btb_bank0_rd_data_way1_out_146, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3795 = mux(_T_3431, btb_bank0_rd_data_way1_out_147, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3796 = mux(_T_3433, btb_bank0_rd_data_way1_out_148, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3797 = mux(_T_3435, btb_bank0_rd_data_way1_out_149, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3798 = mux(_T_3437, btb_bank0_rd_data_way1_out_150, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3799 = mux(_T_3439, btb_bank0_rd_data_way1_out_151, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3800 = mux(_T_3441, btb_bank0_rd_data_way1_out_152, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3801 = mux(_T_3443, btb_bank0_rd_data_way1_out_153, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3802 = mux(_T_3445, btb_bank0_rd_data_way1_out_154, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3803 = mux(_T_3447, btb_bank0_rd_data_way1_out_155, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3804 = mux(_T_3449, btb_bank0_rd_data_way1_out_156, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3805 = mux(_T_3451, btb_bank0_rd_data_way1_out_157, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3806 = mux(_T_3453, btb_bank0_rd_data_way1_out_158, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3807 = mux(_T_3455, btb_bank0_rd_data_way1_out_159, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3808 = mux(_T_3457, btb_bank0_rd_data_way1_out_160, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3809 = mux(_T_3459, btb_bank0_rd_data_way1_out_161, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3810 = mux(_T_3461, btb_bank0_rd_data_way1_out_162, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3811 = mux(_T_3463, btb_bank0_rd_data_way1_out_163, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3812 = mux(_T_3465, btb_bank0_rd_data_way1_out_164, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3813 = mux(_T_3467, btb_bank0_rd_data_way1_out_165, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3814 = mux(_T_3469, btb_bank0_rd_data_way1_out_166, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3815 = mux(_T_3471, btb_bank0_rd_data_way1_out_167, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3816 = mux(_T_3473, btb_bank0_rd_data_way1_out_168, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3817 = mux(_T_3475, btb_bank0_rd_data_way1_out_169, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3818 = mux(_T_3477, btb_bank0_rd_data_way1_out_170, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3819 = mux(_T_3479, btb_bank0_rd_data_way1_out_171, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3820 = mux(_T_3481, btb_bank0_rd_data_way1_out_172, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3821 = mux(_T_3483, btb_bank0_rd_data_way1_out_173, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3822 = mux(_T_3485, btb_bank0_rd_data_way1_out_174, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3823 = mux(_T_3487, btb_bank0_rd_data_way1_out_175, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3824 = mux(_T_3489, btb_bank0_rd_data_way1_out_176, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3825 = mux(_T_3491, btb_bank0_rd_data_way1_out_177, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3826 = mux(_T_3493, btb_bank0_rd_data_way1_out_178, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3827 = mux(_T_3495, btb_bank0_rd_data_way1_out_179, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3828 = mux(_T_3497, btb_bank0_rd_data_way1_out_180, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3829 = mux(_T_3499, btb_bank0_rd_data_way1_out_181, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3830 = mux(_T_3501, btb_bank0_rd_data_way1_out_182, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3831 = mux(_T_3503, btb_bank0_rd_data_way1_out_183, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3832 = mux(_T_3505, btb_bank0_rd_data_way1_out_184, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3833 = mux(_T_3507, btb_bank0_rd_data_way1_out_185, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3834 = mux(_T_3509, btb_bank0_rd_data_way1_out_186, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3835 = mux(_T_3511, btb_bank0_rd_data_way1_out_187, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3836 = mux(_T_3513, btb_bank0_rd_data_way1_out_188, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3837 = mux(_T_3515, btb_bank0_rd_data_way1_out_189, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3838 = mux(_T_3517, btb_bank0_rd_data_way1_out_190, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3839 = mux(_T_3519, btb_bank0_rd_data_way1_out_191, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3840 = mux(_T_3521, btb_bank0_rd_data_way1_out_192, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3841 = mux(_T_3523, btb_bank0_rd_data_way1_out_193, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3842 = mux(_T_3525, btb_bank0_rd_data_way1_out_194, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3843 = mux(_T_3527, btb_bank0_rd_data_way1_out_195, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3844 = mux(_T_3529, btb_bank0_rd_data_way1_out_196, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3845 = mux(_T_3531, btb_bank0_rd_data_way1_out_197, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3846 = mux(_T_3533, btb_bank0_rd_data_way1_out_198, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3847 = mux(_T_3535, btb_bank0_rd_data_way1_out_199, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3848 = mux(_T_3537, btb_bank0_rd_data_way1_out_200, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3849 = mux(_T_3539, btb_bank0_rd_data_way1_out_201, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3850 = mux(_T_3541, btb_bank0_rd_data_way1_out_202, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3851 = mux(_T_3543, btb_bank0_rd_data_way1_out_203, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3852 = mux(_T_3545, btb_bank0_rd_data_way1_out_204, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3853 = mux(_T_3547, btb_bank0_rd_data_way1_out_205, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3854 = mux(_T_3549, btb_bank0_rd_data_way1_out_206, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3855 = mux(_T_3551, btb_bank0_rd_data_way1_out_207, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3856 = mux(_T_3553, btb_bank0_rd_data_way1_out_208, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3857 = mux(_T_3555, btb_bank0_rd_data_way1_out_209, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3858 = mux(_T_3557, btb_bank0_rd_data_way1_out_210, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3859 = mux(_T_3559, btb_bank0_rd_data_way1_out_211, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3860 = mux(_T_3561, btb_bank0_rd_data_way1_out_212, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3861 = mux(_T_3563, btb_bank0_rd_data_way1_out_213, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3862 = mux(_T_3565, btb_bank0_rd_data_way1_out_214, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3863 = mux(_T_3567, btb_bank0_rd_data_way1_out_215, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3864 = mux(_T_3569, btb_bank0_rd_data_way1_out_216, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3865 = mux(_T_3571, btb_bank0_rd_data_way1_out_217, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3866 = mux(_T_3573, btb_bank0_rd_data_way1_out_218, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3867 = mux(_T_3575, btb_bank0_rd_data_way1_out_219, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3868 = mux(_T_3577, btb_bank0_rd_data_way1_out_220, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3869 = mux(_T_3579, btb_bank0_rd_data_way1_out_221, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3870 = mux(_T_3581, btb_bank0_rd_data_way1_out_222, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3871 = mux(_T_3583, btb_bank0_rd_data_way1_out_223, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3872 = mux(_T_3585, btb_bank0_rd_data_way1_out_224, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3873 = mux(_T_3587, btb_bank0_rd_data_way1_out_225, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3874 = mux(_T_3589, btb_bank0_rd_data_way1_out_226, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3875 = mux(_T_3591, btb_bank0_rd_data_way1_out_227, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3876 = mux(_T_3593, btb_bank0_rd_data_way1_out_228, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3877 = mux(_T_3595, btb_bank0_rd_data_way1_out_229, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3878 = mux(_T_3597, btb_bank0_rd_data_way1_out_230, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3879 = mux(_T_3599, btb_bank0_rd_data_way1_out_231, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3880 = mux(_T_3601, btb_bank0_rd_data_way1_out_232, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3881 = mux(_T_3603, btb_bank0_rd_data_way1_out_233, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3882 = mux(_T_3605, btb_bank0_rd_data_way1_out_234, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3883 = mux(_T_3607, btb_bank0_rd_data_way1_out_235, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3884 = mux(_T_3609, btb_bank0_rd_data_way1_out_236, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3885 = mux(_T_3611, btb_bank0_rd_data_way1_out_237, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3886 = mux(_T_3613, btb_bank0_rd_data_way1_out_238, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3887 = mux(_T_3615, btb_bank0_rd_data_way1_out_239, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3888 = mux(_T_3617, btb_bank0_rd_data_way1_out_240, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3889 = mux(_T_3619, btb_bank0_rd_data_way1_out_241, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3890 = mux(_T_3621, btb_bank0_rd_data_way1_out_242, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3891 = mux(_T_3623, btb_bank0_rd_data_way1_out_243, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3892 = mux(_T_3625, btb_bank0_rd_data_way1_out_244, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3893 = mux(_T_3627, btb_bank0_rd_data_way1_out_245, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3894 = mux(_T_3629, btb_bank0_rd_data_way1_out_246, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3895 = mux(_T_3631, btb_bank0_rd_data_way1_out_247, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3896 = mux(_T_3633, btb_bank0_rd_data_way1_out_248, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3897 = mux(_T_3635, btb_bank0_rd_data_way1_out_249, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3898 = mux(_T_3637, btb_bank0_rd_data_way1_out_250, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3899 = mux(_T_3639, btb_bank0_rd_data_way1_out_251, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3900 = mux(_T_3641, btb_bank0_rd_data_way1_out_252, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3901 = mux(_T_3643, btb_bank0_rd_data_way1_out_253, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3902 = mux(_T_3645, btb_bank0_rd_data_way1_out_254, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3903 = mux(_T_3647, btb_bank0_rd_data_way1_out_255, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3904 = or(_T_3648, _T_3649) @[Mux.scala 27:72] + node _T_3905 = or(_T_3904, _T_3650) @[Mux.scala 27:72] + node _T_3906 = or(_T_3905, _T_3651) @[Mux.scala 27:72] + node _T_3907 = or(_T_3906, _T_3652) @[Mux.scala 27:72] + node _T_3908 = or(_T_3907, _T_3653) @[Mux.scala 27:72] + node _T_3909 = or(_T_3908, _T_3654) @[Mux.scala 27:72] + node _T_3910 = or(_T_3909, _T_3655) @[Mux.scala 27:72] + node _T_3911 = or(_T_3910, _T_3656) @[Mux.scala 27:72] + node _T_3912 = or(_T_3911, _T_3657) @[Mux.scala 27:72] + node _T_3913 = or(_T_3912, _T_3658) @[Mux.scala 27:72] + node _T_3914 = or(_T_3913, _T_3659) @[Mux.scala 27:72] + node _T_3915 = or(_T_3914, _T_3660) @[Mux.scala 27:72] + node _T_3916 = or(_T_3915, _T_3661) @[Mux.scala 27:72] + node _T_3917 = or(_T_3916, _T_3662) @[Mux.scala 27:72] + node _T_3918 = or(_T_3917, _T_3663) @[Mux.scala 27:72] + node _T_3919 = or(_T_3918, _T_3664) @[Mux.scala 27:72] + node _T_3920 = or(_T_3919, _T_3665) @[Mux.scala 27:72] + node _T_3921 = or(_T_3920, _T_3666) @[Mux.scala 27:72] + node _T_3922 = or(_T_3921, _T_3667) @[Mux.scala 27:72] + node _T_3923 = or(_T_3922, _T_3668) @[Mux.scala 27:72] + node _T_3924 = or(_T_3923, _T_3669) @[Mux.scala 27:72] + node _T_3925 = or(_T_3924, _T_3670) @[Mux.scala 27:72] + node _T_3926 = or(_T_3925, _T_3671) @[Mux.scala 27:72] + node _T_3927 = or(_T_3926, _T_3672) @[Mux.scala 27:72] + node _T_3928 = or(_T_3927, _T_3673) @[Mux.scala 27:72] + node _T_3929 = or(_T_3928, _T_3674) @[Mux.scala 27:72] + node _T_3930 = or(_T_3929, _T_3675) @[Mux.scala 27:72] + node _T_3931 = or(_T_3930, _T_3676) @[Mux.scala 27:72] + node _T_3932 = or(_T_3931, _T_3677) @[Mux.scala 27:72] + node _T_3933 = or(_T_3932, _T_3678) @[Mux.scala 27:72] + node _T_3934 = or(_T_3933, _T_3679) @[Mux.scala 27:72] + node _T_3935 = or(_T_3934, _T_3680) @[Mux.scala 27:72] + node _T_3936 = or(_T_3935, _T_3681) @[Mux.scala 27:72] + node _T_3937 = or(_T_3936, _T_3682) @[Mux.scala 27:72] + node _T_3938 = or(_T_3937, _T_3683) @[Mux.scala 27:72] + node _T_3939 = or(_T_3938, _T_3684) @[Mux.scala 27:72] + node _T_3940 = or(_T_3939, _T_3685) @[Mux.scala 27:72] + node _T_3941 = or(_T_3940, _T_3686) @[Mux.scala 27:72] + node _T_3942 = or(_T_3941, _T_3687) @[Mux.scala 27:72] + node _T_3943 = or(_T_3942, _T_3688) @[Mux.scala 27:72] + node _T_3944 = or(_T_3943, _T_3689) @[Mux.scala 27:72] + node _T_3945 = or(_T_3944, _T_3690) @[Mux.scala 27:72] + node _T_3946 = or(_T_3945, _T_3691) @[Mux.scala 27:72] + node _T_3947 = or(_T_3946, _T_3692) @[Mux.scala 27:72] + node _T_3948 = or(_T_3947, _T_3693) @[Mux.scala 27:72] + node _T_3949 = or(_T_3948, _T_3694) @[Mux.scala 27:72] + node _T_3950 = or(_T_3949, _T_3695) @[Mux.scala 27:72] + node _T_3951 = or(_T_3950, _T_3696) @[Mux.scala 27:72] + node _T_3952 = or(_T_3951, _T_3697) @[Mux.scala 27:72] + node _T_3953 = or(_T_3952, _T_3698) @[Mux.scala 27:72] + node _T_3954 = or(_T_3953, _T_3699) @[Mux.scala 27:72] + node _T_3955 = or(_T_3954, _T_3700) @[Mux.scala 27:72] + node _T_3956 = or(_T_3955, _T_3701) @[Mux.scala 27:72] + node _T_3957 = or(_T_3956, _T_3702) @[Mux.scala 27:72] + node _T_3958 = or(_T_3957, _T_3703) @[Mux.scala 27:72] + node _T_3959 = or(_T_3958, _T_3704) @[Mux.scala 27:72] + node _T_3960 = or(_T_3959, _T_3705) @[Mux.scala 27:72] + node _T_3961 = or(_T_3960, _T_3706) @[Mux.scala 27:72] + node _T_3962 = or(_T_3961, _T_3707) @[Mux.scala 27:72] + node _T_3963 = or(_T_3962, _T_3708) @[Mux.scala 27:72] + node _T_3964 = or(_T_3963, _T_3709) @[Mux.scala 27:72] + node _T_3965 = or(_T_3964, _T_3710) @[Mux.scala 27:72] + node _T_3966 = or(_T_3965, _T_3711) @[Mux.scala 27:72] + node _T_3967 = or(_T_3966, _T_3712) @[Mux.scala 27:72] + node _T_3968 = or(_T_3967, _T_3713) @[Mux.scala 27:72] + node _T_3969 = or(_T_3968, _T_3714) @[Mux.scala 27:72] + node _T_3970 = or(_T_3969, _T_3715) @[Mux.scala 27:72] + node _T_3971 = or(_T_3970, _T_3716) @[Mux.scala 27:72] + node _T_3972 = or(_T_3971, _T_3717) @[Mux.scala 27:72] + node _T_3973 = or(_T_3972, _T_3718) @[Mux.scala 27:72] + node _T_3974 = or(_T_3973, _T_3719) @[Mux.scala 27:72] + node _T_3975 = or(_T_3974, _T_3720) @[Mux.scala 27:72] + node _T_3976 = or(_T_3975, _T_3721) @[Mux.scala 27:72] + node _T_3977 = or(_T_3976, _T_3722) @[Mux.scala 27:72] + node _T_3978 = or(_T_3977, _T_3723) @[Mux.scala 27:72] + node _T_3979 = or(_T_3978, _T_3724) @[Mux.scala 27:72] + node _T_3980 = or(_T_3979, _T_3725) @[Mux.scala 27:72] + node _T_3981 = or(_T_3980, _T_3726) @[Mux.scala 27:72] + node _T_3982 = or(_T_3981, _T_3727) @[Mux.scala 27:72] + node _T_3983 = or(_T_3982, _T_3728) @[Mux.scala 27:72] + node _T_3984 = or(_T_3983, _T_3729) @[Mux.scala 27:72] + node _T_3985 = or(_T_3984, _T_3730) @[Mux.scala 27:72] + node _T_3986 = or(_T_3985, _T_3731) @[Mux.scala 27:72] + node _T_3987 = or(_T_3986, _T_3732) @[Mux.scala 27:72] + node _T_3988 = or(_T_3987, _T_3733) @[Mux.scala 27:72] + node _T_3989 = or(_T_3988, _T_3734) @[Mux.scala 27:72] + node _T_3990 = or(_T_3989, _T_3735) @[Mux.scala 27:72] + node _T_3991 = or(_T_3990, _T_3736) @[Mux.scala 27:72] + node _T_3992 = or(_T_3991, _T_3737) @[Mux.scala 27:72] + node _T_3993 = or(_T_3992, _T_3738) @[Mux.scala 27:72] + node _T_3994 = or(_T_3993, _T_3739) @[Mux.scala 27:72] + node _T_3995 = or(_T_3994, _T_3740) @[Mux.scala 27:72] + node _T_3996 = or(_T_3995, _T_3741) @[Mux.scala 27:72] + node _T_3997 = or(_T_3996, _T_3742) @[Mux.scala 27:72] + node _T_3998 = or(_T_3997, _T_3743) @[Mux.scala 27:72] + node _T_3999 = or(_T_3998, _T_3744) @[Mux.scala 27:72] + node _T_4000 = or(_T_3999, _T_3745) @[Mux.scala 27:72] + node _T_4001 = or(_T_4000, _T_3746) @[Mux.scala 27:72] + node _T_4002 = or(_T_4001, _T_3747) @[Mux.scala 27:72] + node _T_4003 = or(_T_4002, _T_3748) @[Mux.scala 27:72] + node _T_4004 = or(_T_4003, _T_3749) @[Mux.scala 27:72] + node _T_4005 = or(_T_4004, _T_3750) @[Mux.scala 27:72] + node _T_4006 = or(_T_4005, _T_3751) @[Mux.scala 27:72] + node _T_4007 = or(_T_4006, _T_3752) @[Mux.scala 27:72] + node _T_4008 = or(_T_4007, _T_3753) @[Mux.scala 27:72] + node _T_4009 = or(_T_4008, _T_3754) @[Mux.scala 27:72] + node _T_4010 = or(_T_4009, _T_3755) @[Mux.scala 27:72] + node _T_4011 = or(_T_4010, _T_3756) @[Mux.scala 27:72] + node _T_4012 = or(_T_4011, _T_3757) @[Mux.scala 27:72] + node _T_4013 = or(_T_4012, _T_3758) @[Mux.scala 27:72] + node _T_4014 = or(_T_4013, _T_3759) @[Mux.scala 27:72] + node _T_4015 = or(_T_4014, _T_3760) @[Mux.scala 27:72] + node _T_4016 = or(_T_4015, _T_3761) @[Mux.scala 27:72] + node _T_4017 = or(_T_4016, _T_3762) @[Mux.scala 27:72] + node _T_4018 = or(_T_4017, _T_3763) @[Mux.scala 27:72] + node _T_4019 = or(_T_4018, _T_3764) @[Mux.scala 27:72] + node _T_4020 = or(_T_4019, _T_3765) @[Mux.scala 27:72] + node _T_4021 = or(_T_4020, _T_3766) @[Mux.scala 27:72] + node _T_4022 = or(_T_4021, _T_3767) @[Mux.scala 27:72] + node _T_4023 = or(_T_4022, _T_3768) @[Mux.scala 27:72] + node _T_4024 = or(_T_4023, _T_3769) @[Mux.scala 27:72] + node _T_4025 = or(_T_4024, _T_3770) @[Mux.scala 27:72] + node _T_4026 = or(_T_4025, _T_3771) @[Mux.scala 27:72] + node _T_4027 = or(_T_4026, _T_3772) @[Mux.scala 27:72] + node _T_4028 = or(_T_4027, _T_3773) @[Mux.scala 27:72] + node _T_4029 = or(_T_4028, _T_3774) @[Mux.scala 27:72] + node _T_4030 = or(_T_4029, _T_3775) @[Mux.scala 27:72] + node _T_4031 = or(_T_4030, _T_3776) @[Mux.scala 27:72] + node _T_4032 = or(_T_4031, _T_3777) @[Mux.scala 27:72] + node _T_4033 = or(_T_4032, _T_3778) @[Mux.scala 27:72] + node _T_4034 = or(_T_4033, _T_3779) @[Mux.scala 27:72] + node _T_4035 = or(_T_4034, _T_3780) @[Mux.scala 27:72] + node _T_4036 = or(_T_4035, _T_3781) @[Mux.scala 27:72] + node _T_4037 = or(_T_4036, _T_3782) @[Mux.scala 27:72] + node _T_4038 = or(_T_4037, _T_3783) @[Mux.scala 27:72] + node _T_4039 = or(_T_4038, _T_3784) @[Mux.scala 27:72] + node _T_4040 = or(_T_4039, _T_3785) @[Mux.scala 27:72] + node _T_4041 = or(_T_4040, _T_3786) @[Mux.scala 27:72] + node _T_4042 = or(_T_4041, _T_3787) @[Mux.scala 27:72] + node _T_4043 = or(_T_4042, _T_3788) @[Mux.scala 27:72] + node _T_4044 = or(_T_4043, _T_3789) @[Mux.scala 27:72] + node _T_4045 = or(_T_4044, _T_3790) @[Mux.scala 27:72] + node _T_4046 = or(_T_4045, _T_3791) @[Mux.scala 27:72] + node _T_4047 = or(_T_4046, _T_3792) @[Mux.scala 27:72] + node _T_4048 = or(_T_4047, _T_3793) @[Mux.scala 27:72] + node _T_4049 = or(_T_4048, _T_3794) @[Mux.scala 27:72] + node _T_4050 = or(_T_4049, _T_3795) @[Mux.scala 27:72] + node _T_4051 = or(_T_4050, _T_3796) @[Mux.scala 27:72] + node _T_4052 = or(_T_4051, _T_3797) @[Mux.scala 27:72] + node _T_4053 = or(_T_4052, _T_3798) @[Mux.scala 27:72] + node _T_4054 = or(_T_4053, _T_3799) @[Mux.scala 27:72] + node _T_4055 = or(_T_4054, _T_3800) @[Mux.scala 27:72] + node _T_4056 = or(_T_4055, _T_3801) @[Mux.scala 27:72] + node _T_4057 = or(_T_4056, _T_3802) @[Mux.scala 27:72] + node _T_4058 = or(_T_4057, _T_3803) @[Mux.scala 27:72] + node _T_4059 = or(_T_4058, _T_3804) @[Mux.scala 27:72] + node _T_4060 = or(_T_4059, _T_3805) @[Mux.scala 27:72] + node _T_4061 = or(_T_4060, _T_3806) @[Mux.scala 27:72] + node _T_4062 = or(_T_4061, _T_3807) @[Mux.scala 27:72] + node _T_4063 = or(_T_4062, _T_3808) @[Mux.scala 27:72] + node _T_4064 = or(_T_4063, _T_3809) @[Mux.scala 27:72] + node _T_4065 = or(_T_4064, _T_3810) @[Mux.scala 27:72] + node _T_4066 = or(_T_4065, _T_3811) @[Mux.scala 27:72] + node _T_4067 = or(_T_4066, _T_3812) @[Mux.scala 27:72] + node _T_4068 = or(_T_4067, _T_3813) @[Mux.scala 27:72] + node _T_4069 = or(_T_4068, _T_3814) @[Mux.scala 27:72] + node _T_4070 = or(_T_4069, _T_3815) @[Mux.scala 27:72] + node _T_4071 = or(_T_4070, _T_3816) @[Mux.scala 27:72] + node _T_4072 = or(_T_4071, _T_3817) @[Mux.scala 27:72] + node _T_4073 = or(_T_4072, _T_3818) @[Mux.scala 27:72] + node _T_4074 = or(_T_4073, _T_3819) @[Mux.scala 27:72] + node _T_4075 = or(_T_4074, _T_3820) @[Mux.scala 27:72] + node _T_4076 = or(_T_4075, _T_3821) @[Mux.scala 27:72] + node _T_4077 = or(_T_4076, _T_3822) @[Mux.scala 27:72] + node _T_4078 = or(_T_4077, _T_3823) @[Mux.scala 27:72] + node _T_4079 = or(_T_4078, _T_3824) @[Mux.scala 27:72] + node _T_4080 = or(_T_4079, _T_3825) @[Mux.scala 27:72] + node _T_4081 = or(_T_4080, _T_3826) @[Mux.scala 27:72] + node _T_4082 = or(_T_4081, _T_3827) @[Mux.scala 27:72] + node _T_4083 = or(_T_4082, _T_3828) @[Mux.scala 27:72] + node _T_4084 = or(_T_4083, _T_3829) @[Mux.scala 27:72] + node _T_4085 = or(_T_4084, _T_3830) @[Mux.scala 27:72] + node _T_4086 = or(_T_4085, _T_3831) @[Mux.scala 27:72] + node _T_4087 = or(_T_4086, _T_3832) @[Mux.scala 27:72] + node _T_4088 = or(_T_4087, _T_3833) @[Mux.scala 27:72] + node _T_4089 = or(_T_4088, _T_3834) @[Mux.scala 27:72] + node _T_4090 = or(_T_4089, _T_3835) @[Mux.scala 27:72] + node _T_4091 = or(_T_4090, _T_3836) @[Mux.scala 27:72] + node _T_4092 = or(_T_4091, _T_3837) @[Mux.scala 27:72] + node _T_4093 = or(_T_4092, _T_3838) @[Mux.scala 27:72] + node _T_4094 = or(_T_4093, _T_3839) @[Mux.scala 27:72] + node _T_4095 = or(_T_4094, _T_3840) @[Mux.scala 27:72] + node _T_4096 = or(_T_4095, _T_3841) @[Mux.scala 27:72] + node _T_4097 = or(_T_4096, _T_3842) @[Mux.scala 27:72] + node _T_4098 = or(_T_4097, _T_3843) @[Mux.scala 27:72] + node _T_4099 = or(_T_4098, _T_3844) @[Mux.scala 27:72] + node _T_4100 = or(_T_4099, _T_3845) @[Mux.scala 27:72] + node _T_4101 = or(_T_4100, _T_3846) @[Mux.scala 27:72] + node _T_4102 = or(_T_4101, _T_3847) @[Mux.scala 27:72] + node _T_4103 = or(_T_4102, _T_3848) @[Mux.scala 27:72] + node _T_4104 = or(_T_4103, _T_3849) @[Mux.scala 27:72] + node _T_4105 = or(_T_4104, _T_3850) @[Mux.scala 27:72] + node _T_4106 = or(_T_4105, _T_3851) @[Mux.scala 27:72] + node _T_4107 = or(_T_4106, _T_3852) @[Mux.scala 27:72] + node _T_4108 = or(_T_4107, _T_3853) @[Mux.scala 27:72] + node _T_4109 = or(_T_4108, _T_3854) @[Mux.scala 27:72] + node _T_4110 = or(_T_4109, _T_3855) @[Mux.scala 27:72] + node _T_4111 = or(_T_4110, _T_3856) @[Mux.scala 27:72] + node _T_4112 = or(_T_4111, _T_3857) @[Mux.scala 27:72] + node _T_4113 = or(_T_4112, _T_3858) @[Mux.scala 27:72] + node _T_4114 = or(_T_4113, _T_3859) @[Mux.scala 27:72] + node _T_4115 = or(_T_4114, _T_3860) @[Mux.scala 27:72] + node _T_4116 = or(_T_4115, _T_3861) @[Mux.scala 27:72] + node _T_4117 = or(_T_4116, _T_3862) @[Mux.scala 27:72] + node _T_4118 = or(_T_4117, _T_3863) @[Mux.scala 27:72] + node _T_4119 = or(_T_4118, _T_3864) @[Mux.scala 27:72] + node _T_4120 = or(_T_4119, _T_3865) @[Mux.scala 27:72] + node _T_4121 = or(_T_4120, _T_3866) @[Mux.scala 27:72] + node _T_4122 = or(_T_4121, _T_3867) @[Mux.scala 27:72] + node _T_4123 = or(_T_4122, _T_3868) @[Mux.scala 27:72] + node _T_4124 = or(_T_4123, _T_3869) @[Mux.scala 27:72] + node _T_4125 = or(_T_4124, _T_3870) @[Mux.scala 27:72] + node _T_4126 = or(_T_4125, _T_3871) @[Mux.scala 27:72] + node _T_4127 = or(_T_4126, _T_3872) @[Mux.scala 27:72] + node _T_4128 = or(_T_4127, _T_3873) @[Mux.scala 27:72] + node _T_4129 = or(_T_4128, _T_3874) @[Mux.scala 27:72] + node _T_4130 = or(_T_4129, _T_3875) @[Mux.scala 27:72] + node _T_4131 = or(_T_4130, _T_3876) @[Mux.scala 27:72] + node _T_4132 = or(_T_4131, _T_3877) @[Mux.scala 27:72] + node _T_4133 = or(_T_4132, _T_3878) @[Mux.scala 27:72] + node _T_4134 = or(_T_4133, _T_3879) @[Mux.scala 27:72] + node _T_4135 = or(_T_4134, _T_3880) @[Mux.scala 27:72] + node _T_4136 = or(_T_4135, _T_3881) @[Mux.scala 27:72] + node _T_4137 = or(_T_4136, _T_3882) @[Mux.scala 27:72] + node _T_4138 = or(_T_4137, _T_3883) @[Mux.scala 27:72] + node _T_4139 = or(_T_4138, _T_3884) @[Mux.scala 27:72] + node _T_4140 = or(_T_4139, _T_3885) @[Mux.scala 27:72] + node _T_4141 = or(_T_4140, _T_3886) @[Mux.scala 27:72] + node _T_4142 = or(_T_4141, _T_3887) @[Mux.scala 27:72] + node _T_4143 = or(_T_4142, _T_3888) @[Mux.scala 27:72] + node _T_4144 = or(_T_4143, _T_3889) @[Mux.scala 27:72] + node _T_4145 = or(_T_4144, _T_3890) @[Mux.scala 27:72] + node _T_4146 = or(_T_4145, _T_3891) @[Mux.scala 27:72] + node _T_4147 = or(_T_4146, _T_3892) @[Mux.scala 27:72] + node _T_4148 = or(_T_4147, _T_3893) @[Mux.scala 27:72] + node _T_4149 = or(_T_4148, _T_3894) @[Mux.scala 27:72] + node _T_4150 = or(_T_4149, _T_3895) @[Mux.scala 27:72] + node _T_4151 = or(_T_4150, _T_3896) @[Mux.scala 27:72] + node _T_4152 = or(_T_4151, _T_3897) @[Mux.scala 27:72] + node _T_4153 = or(_T_4152, _T_3898) @[Mux.scala 27:72] + node _T_4154 = or(_T_4153, _T_3899) @[Mux.scala 27:72] + node _T_4155 = or(_T_4154, _T_3900) @[Mux.scala 27:72] + node _T_4156 = or(_T_4155, _T_3901) @[Mux.scala 27:72] + node _T_4157 = or(_T_4156, _T_3902) @[Mux.scala 27:72] + node _T_4158 = or(_T_4157, _T_3903) @[Mux.scala 27:72] + wire _T_4159 : UInt @[Mux.scala 27:72] + _T_4159 <= _T_4158 @[Mux.scala 27:72] + btb_bank0_rd_data_way1_f <= _T_4159 @[ifu_bp_ctl.scala 419:28] + node _T_4160 = eq(btb_rd_addr_p1_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 422:83] + node _T_4161 = bits(_T_4160, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4162 = eq(btb_rd_addr_p1_f, UInt<1>("h01")) @[ifu_bp_ctl.scala 422:83] + node _T_4163 = bits(_T_4162, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4164 = eq(btb_rd_addr_p1_f, UInt<2>("h02")) @[ifu_bp_ctl.scala 422:83] + node _T_4165 = bits(_T_4164, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4166 = eq(btb_rd_addr_p1_f, UInt<2>("h03")) @[ifu_bp_ctl.scala 422:83] + node _T_4167 = bits(_T_4166, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4168 = eq(btb_rd_addr_p1_f, UInt<3>("h04")) @[ifu_bp_ctl.scala 422:83] + node _T_4169 = bits(_T_4168, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4170 = eq(btb_rd_addr_p1_f, UInt<3>("h05")) @[ifu_bp_ctl.scala 422:83] + node _T_4171 = bits(_T_4170, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4172 = eq(btb_rd_addr_p1_f, UInt<3>("h06")) @[ifu_bp_ctl.scala 422:83] + node _T_4173 = bits(_T_4172, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4174 = eq(btb_rd_addr_p1_f, UInt<3>("h07")) @[ifu_bp_ctl.scala 422:83] + node _T_4175 = bits(_T_4174, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4176 = eq(btb_rd_addr_p1_f, UInt<4>("h08")) @[ifu_bp_ctl.scala 422:83] + node _T_4177 = bits(_T_4176, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4178 = eq(btb_rd_addr_p1_f, UInt<4>("h09")) @[ifu_bp_ctl.scala 422:83] + node _T_4179 = bits(_T_4178, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4180 = eq(btb_rd_addr_p1_f, UInt<4>("h0a")) @[ifu_bp_ctl.scala 422:83] + node _T_4181 = bits(_T_4180, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4182 = eq(btb_rd_addr_p1_f, UInt<4>("h0b")) @[ifu_bp_ctl.scala 422:83] + node _T_4183 = bits(_T_4182, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4184 = eq(btb_rd_addr_p1_f, UInt<4>("h0c")) @[ifu_bp_ctl.scala 422:83] + node _T_4185 = bits(_T_4184, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4186 = eq(btb_rd_addr_p1_f, UInt<4>("h0d")) @[ifu_bp_ctl.scala 422:83] + node _T_4187 = bits(_T_4186, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4188 = eq(btb_rd_addr_p1_f, UInt<4>("h0e")) @[ifu_bp_ctl.scala 422:83] + node _T_4189 = bits(_T_4188, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4190 = eq(btb_rd_addr_p1_f, UInt<4>("h0f")) @[ifu_bp_ctl.scala 422:83] + node _T_4191 = bits(_T_4190, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4192 = eq(btb_rd_addr_p1_f, UInt<5>("h010")) @[ifu_bp_ctl.scala 422:83] + node _T_4193 = bits(_T_4192, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4194 = eq(btb_rd_addr_p1_f, UInt<5>("h011")) @[ifu_bp_ctl.scala 422:83] + node _T_4195 = bits(_T_4194, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4196 = eq(btb_rd_addr_p1_f, UInt<5>("h012")) @[ifu_bp_ctl.scala 422:83] + node _T_4197 = bits(_T_4196, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4198 = eq(btb_rd_addr_p1_f, UInt<5>("h013")) @[ifu_bp_ctl.scala 422:83] + node _T_4199 = bits(_T_4198, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4200 = eq(btb_rd_addr_p1_f, UInt<5>("h014")) @[ifu_bp_ctl.scala 422:83] + node _T_4201 = bits(_T_4200, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4202 = eq(btb_rd_addr_p1_f, UInt<5>("h015")) @[ifu_bp_ctl.scala 422:83] + node _T_4203 = bits(_T_4202, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4204 = eq(btb_rd_addr_p1_f, UInt<5>("h016")) @[ifu_bp_ctl.scala 422:83] + node _T_4205 = bits(_T_4204, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4206 = eq(btb_rd_addr_p1_f, UInt<5>("h017")) @[ifu_bp_ctl.scala 422:83] + node _T_4207 = bits(_T_4206, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4208 = eq(btb_rd_addr_p1_f, UInt<5>("h018")) @[ifu_bp_ctl.scala 422:83] + node _T_4209 = bits(_T_4208, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4210 = eq(btb_rd_addr_p1_f, UInt<5>("h019")) @[ifu_bp_ctl.scala 422:83] + node _T_4211 = bits(_T_4210, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4212 = eq(btb_rd_addr_p1_f, UInt<5>("h01a")) @[ifu_bp_ctl.scala 422:83] + node _T_4213 = bits(_T_4212, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4214 = eq(btb_rd_addr_p1_f, UInt<5>("h01b")) @[ifu_bp_ctl.scala 422:83] + node _T_4215 = bits(_T_4214, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4216 = eq(btb_rd_addr_p1_f, UInt<5>("h01c")) @[ifu_bp_ctl.scala 422:83] + node _T_4217 = bits(_T_4216, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4218 = eq(btb_rd_addr_p1_f, UInt<5>("h01d")) @[ifu_bp_ctl.scala 422:83] + node _T_4219 = bits(_T_4218, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4220 = eq(btb_rd_addr_p1_f, UInt<5>("h01e")) @[ifu_bp_ctl.scala 422:83] + node _T_4221 = bits(_T_4220, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4222 = eq(btb_rd_addr_p1_f, UInt<5>("h01f")) @[ifu_bp_ctl.scala 422:83] + node _T_4223 = bits(_T_4222, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4224 = eq(btb_rd_addr_p1_f, UInt<6>("h020")) @[ifu_bp_ctl.scala 422:83] + node _T_4225 = bits(_T_4224, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4226 = eq(btb_rd_addr_p1_f, UInt<6>("h021")) @[ifu_bp_ctl.scala 422:83] + node _T_4227 = bits(_T_4226, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4228 = eq(btb_rd_addr_p1_f, UInt<6>("h022")) @[ifu_bp_ctl.scala 422:83] + node _T_4229 = bits(_T_4228, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4230 = eq(btb_rd_addr_p1_f, UInt<6>("h023")) @[ifu_bp_ctl.scala 422:83] + node _T_4231 = bits(_T_4230, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4232 = eq(btb_rd_addr_p1_f, UInt<6>("h024")) @[ifu_bp_ctl.scala 422:83] + node _T_4233 = bits(_T_4232, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4234 = eq(btb_rd_addr_p1_f, UInt<6>("h025")) @[ifu_bp_ctl.scala 422:83] + node _T_4235 = bits(_T_4234, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4236 = eq(btb_rd_addr_p1_f, UInt<6>("h026")) @[ifu_bp_ctl.scala 422:83] + node _T_4237 = bits(_T_4236, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4238 = eq(btb_rd_addr_p1_f, UInt<6>("h027")) @[ifu_bp_ctl.scala 422:83] + node _T_4239 = bits(_T_4238, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4240 = eq(btb_rd_addr_p1_f, UInt<6>("h028")) @[ifu_bp_ctl.scala 422:83] + node _T_4241 = bits(_T_4240, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4242 = eq(btb_rd_addr_p1_f, UInt<6>("h029")) @[ifu_bp_ctl.scala 422:83] + node _T_4243 = bits(_T_4242, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4244 = eq(btb_rd_addr_p1_f, UInt<6>("h02a")) @[ifu_bp_ctl.scala 422:83] + node _T_4245 = bits(_T_4244, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4246 = eq(btb_rd_addr_p1_f, UInt<6>("h02b")) @[ifu_bp_ctl.scala 422:83] + node _T_4247 = bits(_T_4246, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4248 = eq(btb_rd_addr_p1_f, UInt<6>("h02c")) @[ifu_bp_ctl.scala 422:83] + node _T_4249 = bits(_T_4248, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4250 = eq(btb_rd_addr_p1_f, UInt<6>("h02d")) @[ifu_bp_ctl.scala 422:83] + node _T_4251 = bits(_T_4250, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4252 = eq(btb_rd_addr_p1_f, UInt<6>("h02e")) @[ifu_bp_ctl.scala 422:83] + node _T_4253 = bits(_T_4252, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4254 = eq(btb_rd_addr_p1_f, UInt<6>("h02f")) @[ifu_bp_ctl.scala 422:83] + node _T_4255 = bits(_T_4254, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4256 = eq(btb_rd_addr_p1_f, UInt<6>("h030")) @[ifu_bp_ctl.scala 422:83] + node _T_4257 = bits(_T_4256, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4258 = eq(btb_rd_addr_p1_f, UInt<6>("h031")) @[ifu_bp_ctl.scala 422:83] + node _T_4259 = bits(_T_4258, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4260 = eq(btb_rd_addr_p1_f, UInt<6>("h032")) @[ifu_bp_ctl.scala 422:83] + node _T_4261 = bits(_T_4260, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4262 = eq(btb_rd_addr_p1_f, UInt<6>("h033")) @[ifu_bp_ctl.scala 422:83] + node _T_4263 = bits(_T_4262, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4264 = eq(btb_rd_addr_p1_f, UInt<6>("h034")) @[ifu_bp_ctl.scala 422:83] + node _T_4265 = bits(_T_4264, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4266 = eq(btb_rd_addr_p1_f, UInt<6>("h035")) @[ifu_bp_ctl.scala 422:83] + node _T_4267 = bits(_T_4266, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4268 = eq(btb_rd_addr_p1_f, UInt<6>("h036")) @[ifu_bp_ctl.scala 422:83] + node _T_4269 = bits(_T_4268, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4270 = eq(btb_rd_addr_p1_f, UInt<6>("h037")) @[ifu_bp_ctl.scala 422:83] + node _T_4271 = bits(_T_4270, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4272 = eq(btb_rd_addr_p1_f, UInt<6>("h038")) @[ifu_bp_ctl.scala 422:83] + node _T_4273 = bits(_T_4272, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4274 = eq(btb_rd_addr_p1_f, UInt<6>("h039")) @[ifu_bp_ctl.scala 422:83] + node _T_4275 = bits(_T_4274, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4276 = eq(btb_rd_addr_p1_f, UInt<6>("h03a")) @[ifu_bp_ctl.scala 422:83] + node _T_4277 = bits(_T_4276, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4278 = eq(btb_rd_addr_p1_f, UInt<6>("h03b")) @[ifu_bp_ctl.scala 422:83] + node _T_4279 = bits(_T_4278, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4280 = eq(btb_rd_addr_p1_f, UInt<6>("h03c")) @[ifu_bp_ctl.scala 422:83] + node _T_4281 = bits(_T_4280, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4282 = eq(btb_rd_addr_p1_f, UInt<6>("h03d")) @[ifu_bp_ctl.scala 422:83] + node _T_4283 = bits(_T_4282, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4284 = eq(btb_rd_addr_p1_f, UInt<6>("h03e")) @[ifu_bp_ctl.scala 422:83] + node _T_4285 = bits(_T_4284, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4286 = eq(btb_rd_addr_p1_f, UInt<6>("h03f")) @[ifu_bp_ctl.scala 422:83] + node _T_4287 = bits(_T_4286, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4288 = eq(btb_rd_addr_p1_f, UInt<7>("h040")) @[ifu_bp_ctl.scala 422:83] + node _T_4289 = bits(_T_4288, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4290 = eq(btb_rd_addr_p1_f, UInt<7>("h041")) @[ifu_bp_ctl.scala 422:83] + node _T_4291 = bits(_T_4290, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4292 = eq(btb_rd_addr_p1_f, UInt<7>("h042")) @[ifu_bp_ctl.scala 422:83] + node _T_4293 = bits(_T_4292, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4294 = eq(btb_rd_addr_p1_f, UInt<7>("h043")) @[ifu_bp_ctl.scala 422:83] + node _T_4295 = bits(_T_4294, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4296 = eq(btb_rd_addr_p1_f, UInt<7>("h044")) @[ifu_bp_ctl.scala 422:83] + node _T_4297 = bits(_T_4296, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4298 = eq(btb_rd_addr_p1_f, UInt<7>("h045")) @[ifu_bp_ctl.scala 422:83] + node _T_4299 = bits(_T_4298, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4300 = eq(btb_rd_addr_p1_f, UInt<7>("h046")) @[ifu_bp_ctl.scala 422:83] + node _T_4301 = bits(_T_4300, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4302 = eq(btb_rd_addr_p1_f, UInt<7>("h047")) @[ifu_bp_ctl.scala 422:83] + node _T_4303 = bits(_T_4302, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4304 = eq(btb_rd_addr_p1_f, UInt<7>("h048")) @[ifu_bp_ctl.scala 422:83] + node _T_4305 = bits(_T_4304, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4306 = eq(btb_rd_addr_p1_f, UInt<7>("h049")) @[ifu_bp_ctl.scala 422:83] + node _T_4307 = bits(_T_4306, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4308 = eq(btb_rd_addr_p1_f, UInt<7>("h04a")) @[ifu_bp_ctl.scala 422:83] + node _T_4309 = bits(_T_4308, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4310 = eq(btb_rd_addr_p1_f, UInt<7>("h04b")) @[ifu_bp_ctl.scala 422:83] + node _T_4311 = bits(_T_4310, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4312 = eq(btb_rd_addr_p1_f, UInt<7>("h04c")) @[ifu_bp_ctl.scala 422:83] + node _T_4313 = bits(_T_4312, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4314 = eq(btb_rd_addr_p1_f, UInt<7>("h04d")) @[ifu_bp_ctl.scala 422:83] + node _T_4315 = bits(_T_4314, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4316 = eq(btb_rd_addr_p1_f, UInt<7>("h04e")) @[ifu_bp_ctl.scala 422:83] + node _T_4317 = bits(_T_4316, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4318 = eq(btb_rd_addr_p1_f, UInt<7>("h04f")) @[ifu_bp_ctl.scala 422:83] + node _T_4319 = bits(_T_4318, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4320 = eq(btb_rd_addr_p1_f, UInt<7>("h050")) @[ifu_bp_ctl.scala 422:83] + node _T_4321 = bits(_T_4320, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4322 = eq(btb_rd_addr_p1_f, UInt<7>("h051")) @[ifu_bp_ctl.scala 422:83] + node _T_4323 = bits(_T_4322, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4324 = eq(btb_rd_addr_p1_f, UInt<7>("h052")) @[ifu_bp_ctl.scala 422:83] + node _T_4325 = bits(_T_4324, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4326 = eq(btb_rd_addr_p1_f, UInt<7>("h053")) @[ifu_bp_ctl.scala 422:83] + node _T_4327 = bits(_T_4326, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4328 = eq(btb_rd_addr_p1_f, UInt<7>("h054")) @[ifu_bp_ctl.scala 422:83] + node _T_4329 = bits(_T_4328, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4330 = eq(btb_rd_addr_p1_f, UInt<7>("h055")) @[ifu_bp_ctl.scala 422:83] + node _T_4331 = bits(_T_4330, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4332 = eq(btb_rd_addr_p1_f, UInt<7>("h056")) @[ifu_bp_ctl.scala 422:83] + node _T_4333 = bits(_T_4332, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4334 = eq(btb_rd_addr_p1_f, UInt<7>("h057")) @[ifu_bp_ctl.scala 422:83] + node _T_4335 = bits(_T_4334, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4336 = eq(btb_rd_addr_p1_f, UInt<7>("h058")) @[ifu_bp_ctl.scala 422:83] + node _T_4337 = bits(_T_4336, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4338 = eq(btb_rd_addr_p1_f, UInt<7>("h059")) @[ifu_bp_ctl.scala 422:83] + node _T_4339 = bits(_T_4338, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4340 = eq(btb_rd_addr_p1_f, UInt<7>("h05a")) @[ifu_bp_ctl.scala 422:83] + node _T_4341 = bits(_T_4340, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4342 = eq(btb_rd_addr_p1_f, UInt<7>("h05b")) @[ifu_bp_ctl.scala 422:83] + node _T_4343 = bits(_T_4342, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4344 = eq(btb_rd_addr_p1_f, UInt<7>("h05c")) @[ifu_bp_ctl.scala 422:83] + node _T_4345 = bits(_T_4344, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4346 = eq(btb_rd_addr_p1_f, UInt<7>("h05d")) @[ifu_bp_ctl.scala 422:83] + node _T_4347 = bits(_T_4346, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4348 = eq(btb_rd_addr_p1_f, UInt<7>("h05e")) @[ifu_bp_ctl.scala 422:83] + node _T_4349 = bits(_T_4348, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4350 = eq(btb_rd_addr_p1_f, UInt<7>("h05f")) @[ifu_bp_ctl.scala 422:83] + node _T_4351 = bits(_T_4350, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4352 = eq(btb_rd_addr_p1_f, UInt<7>("h060")) @[ifu_bp_ctl.scala 422:83] + node _T_4353 = bits(_T_4352, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4354 = eq(btb_rd_addr_p1_f, UInt<7>("h061")) @[ifu_bp_ctl.scala 422:83] + node _T_4355 = bits(_T_4354, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4356 = eq(btb_rd_addr_p1_f, UInt<7>("h062")) @[ifu_bp_ctl.scala 422:83] + node _T_4357 = bits(_T_4356, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4358 = eq(btb_rd_addr_p1_f, UInt<7>("h063")) @[ifu_bp_ctl.scala 422:83] + node _T_4359 = bits(_T_4358, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4360 = eq(btb_rd_addr_p1_f, UInt<7>("h064")) @[ifu_bp_ctl.scala 422:83] + node _T_4361 = bits(_T_4360, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4362 = eq(btb_rd_addr_p1_f, UInt<7>("h065")) @[ifu_bp_ctl.scala 422:83] + node _T_4363 = bits(_T_4362, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4364 = eq(btb_rd_addr_p1_f, UInt<7>("h066")) @[ifu_bp_ctl.scala 422:83] + node _T_4365 = bits(_T_4364, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4366 = eq(btb_rd_addr_p1_f, UInt<7>("h067")) @[ifu_bp_ctl.scala 422:83] + node _T_4367 = bits(_T_4366, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4368 = eq(btb_rd_addr_p1_f, UInt<7>("h068")) @[ifu_bp_ctl.scala 422:83] + node _T_4369 = bits(_T_4368, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4370 = eq(btb_rd_addr_p1_f, UInt<7>("h069")) @[ifu_bp_ctl.scala 422:83] + node _T_4371 = bits(_T_4370, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4372 = eq(btb_rd_addr_p1_f, UInt<7>("h06a")) @[ifu_bp_ctl.scala 422:83] + node _T_4373 = bits(_T_4372, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4374 = eq(btb_rd_addr_p1_f, UInt<7>("h06b")) @[ifu_bp_ctl.scala 422:83] + node _T_4375 = bits(_T_4374, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4376 = eq(btb_rd_addr_p1_f, UInt<7>("h06c")) @[ifu_bp_ctl.scala 422:83] + node _T_4377 = bits(_T_4376, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4378 = eq(btb_rd_addr_p1_f, UInt<7>("h06d")) @[ifu_bp_ctl.scala 422:83] + node _T_4379 = bits(_T_4378, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4380 = eq(btb_rd_addr_p1_f, UInt<7>("h06e")) @[ifu_bp_ctl.scala 422:83] + node _T_4381 = bits(_T_4380, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4382 = eq(btb_rd_addr_p1_f, UInt<7>("h06f")) @[ifu_bp_ctl.scala 422:83] + node _T_4383 = bits(_T_4382, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4384 = eq(btb_rd_addr_p1_f, UInt<7>("h070")) @[ifu_bp_ctl.scala 422:83] + node _T_4385 = bits(_T_4384, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4386 = eq(btb_rd_addr_p1_f, UInt<7>("h071")) @[ifu_bp_ctl.scala 422:83] + node _T_4387 = bits(_T_4386, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4388 = eq(btb_rd_addr_p1_f, UInt<7>("h072")) @[ifu_bp_ctl.scala 422:83] + node _T_4389 = bits(_T_4388, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4390 = eq(btb_rd_addr_p1_f, UInt<7>("h073")) @[ifu_bp_ctl.scala 422:83] + node _T_4391 = bits(_T_4390, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4392 = eq(btb_rd_addr_p1_f, UInt<7>("h074")) @[ifu_bp_ctl.scala 422:83] + node _T_4393 = bits(_T_4392, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4394 = eq(btb_rd_addr_p1_f, UInt<7>("h075")) @[ifu_bp_ctl.scala 422:83] + node _T_4395 = bits(_T_4394, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4396 = eq(btb_rd_addr_p1_f, UInt<7>("h076")) @[ifu_bp_ctl.scala 422:83] + node _T_4397 = bits(_T_4396, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4398 = eq(btb_rd_addr_p1_f, UInt<7>("h077")) @[ifu_bp_ctl.scala 422:83] + node _T_4399 = bits(_T_4398, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4400 = eq(btb_rd_addr_p1_f, UInt<7>("h078")) @[ifu_bp_ctl.scala 422:83] + node _T_4401 = bits(_T_4400, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4402 = eq(btb_rd_addr_p1_f, UInt<7>("h079")) @[ifu_bp_ctl.scala 422:83] + node _T_4403 = bits(_T_4402, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4404 = eq(btb_rd_addr_p1_f, UInt<7>("h07a")) @[ifu_bp_ctl.scala 422:83] + node _T_4405 = bits(_T_4404, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4406 = eq(btb_rd_addr_p1_f, UInt<7>("h07b")) @[ifu_bp_ctl.scala 422:83] + node _T_4407 = bits(_T_4406, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4408 = eq(btb_rd_addr_p1_f, UInt<7>("h07c")) @[ifu_bp_ctl.scala 422:83] + node _T_4409 = bits(_T_4408, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4410 = eq(btb_rd_addr_p1_f, UInt<7>("h07d")) @[ifu_bp_ctl.scala 422:83] + node _T_4411 = bits(_T_4410, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4412 = eq(btb_rd_addr_p1_f, UInt<7>("h07e")) @[ifu_bp_ctl.scala 422:83] + node _T_4413 = bits(_T_4412, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4414 = eq(btb_rd_addr_p1_f, UInt<7>("h07f")) @[ifu_bp_ctl.scala 422:83] + node _T_4415 = bits(_T_4414, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4416 = eq(btb_rd_addr_p1_f, UInt<8>("h080")) @[ifu_bp_ctl.scala 422:83] + node _T_4417 = bits(_T_4416, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4418 = eq(btb_rd_addr_p1_f, UInt<8>("h081")) @[ifu_bp_ctl.scala 422:83] + node _T_4419 = bits(_T_4418, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4420 = eq(btb_rd_addr_p1_f, UInt<8>("h082")) @[ifu_bp_ctl.scala 422:83] + node _T_4421 = bits(_T_4420, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4422 = eq(btb_rd_addr_p1_f, UInt<8>("h083")) @[ifu_bp_ctl.scala 422:83] + node _T_4423 = bits(_T_4422, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4424 = eq(btb_rd_addr_p1_f, UInt<8>("h084")) @[ifu_bp_ctl.scala 422:83] + node _T_4425 = bits(_T_4424, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4426 = eq(btb_rd_addr_p1_f, UInt<8>("h085")) @[ifu_bp_ctl.scala 422:83] + node _T_4427 = bits(_T_4426, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4428 = eq(btb_rd_addr_p1_f, UInt<8>("h086")) @[ifu_bp_ctl.scala 422:83] + node _T_4429 = bits(_T_4428, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4430 = eq(btb_rd_addr_p1_f, UInt<8>("h087")) @[ifu_bp_ctl.scala 422:83] + node _T_4431 = bits(_T_4430, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4432 = eq(btb_rd_addr_p1_f, UInt<8>("h088")) @[ifu_bp_ctl.scala 422:83] + node _T_4433 = bits(_T_4432, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4434 = eq(btb_rd_addr_p1_f, UInt<8>("h089")) @[ifu_bp_ctl.scala 422:83] + node _T_4435 = bits(_T_4434, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4436 = eq(btb_rd_addr_p1_f, UInt<8>("h08a")) @[ifu_bp_ctl.scala 422:83] + node _T_4437 = bits(_T_4436, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4438 = eq(btb_rd_addr_p1_f, UInt<8>("h08b")) @[ifu_bp_ctl.scala 422:83] + node _T_4439 = bits(_T_4438, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4440 = eq(btb_rd_addr_p1_f, UInt<8>("h08c")) @[ifu_bp_ctl.scala 422:83] + node _T_4441 = bits(_T_4440, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4442 = eq(btb_rd_addr_p1_f, UInt<8>("h08d")) @[ifu_bp_ctl.scala 422:83] + node _T_4443 = bits(_T_4442, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4444 = eq(btb_rd_addr_p1_f, UInt<8>("h08e")) @[ifu_bp_ctl.scala 422:83] + node _T_4445 = bits(_T_4444, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4446 = eq(btb_rd_addr_p1_f, UInt<8>("h08f")) @[ifu_bp_ctl.scala 422:83] + node _T_4447 = bits(_T_4446, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4448 = eq(btb_rd_addr_p1_f, UInt<8>("h090")) @[ifu_bp_ctl.scala 422:83] + node _T_4449 = bits(_T_4448, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4450 = eq(btb_rd_addr_p1_f, UInt<8>("h091")) @[ifu_bp_ctl.scala 422:83] + node _T_4451 = bits(_T_4450, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4452 = eq(btb_rd_addr_p1_f, UInt<8>("h092")) @[ifu_bp_ctl.scala 422:83] + node _T_4453 = bits(_T_4452, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4454 = eq(btb_rd_addr_p1_f, UInt<8>("h093")) @[ifu_bp_ctl.scala 422:83] + node _T_4455 = bits(_T_4454, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4456 = eq(btb_rd_addr_p1_f, UInt<8>("h094")) @[ifu_bp_ctl.scala 422:83] + node _T_4457 = bits(_T_4456, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4458 = eq(btb_rd_addr_p1_f, UInt<8>("h095")) @[ifu_bp_ctl.scala 422:83] + node _T_4459 = bits(_T_4458, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4460 = eq(btb_rd_addr_p1_f, UInt<8>("h096")) @[ifu_bp_ctl.scala 422:83] + node _T_4461 = bits(_T_4460, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4462 = eq(btb_rd_addr_p1_f, UInt<8>("h097")) @[ifu_bp_ctl.scala 422:83] + node _T_4463 = bits(_T_4462, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4464 = eq(btb_rd_addr_p1_f, UInt<8>("h098")) @[ifu_bp_ctl.scala 422:83] + node _T_4465 = bits(_T_4464, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4466 = eq(btb_rd_addr_p1_f, UInt<8>("h099")) @[ifu_bp_ctl.scala 422:83] + node _T_4467 = bits(_T_4466, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4468 = eq(btb_rd_addr_p1_f, UInt<8>("h09a")) @[ifu_bp_ctl.scala 422:83] + node _T_4469 = bits(_T_4468, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4470 = eq(btb_rd_addr_p1_f, UInt<8>("h09b")) @[ifu_bp_ctl.scala 422:83] + node _T_4471 = bits(_T_4470, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4472 = eq(btb_rd_addr_p1_f, UInt<8>("h09c")) @[ifu_bp_ctl.scala 422:83] + node _T_4473 = bits(_T_4472, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4474 = eq(btb_rd_addr_p1_f, UInt<8>("h09d")) @[ifu_bp_ctl.scala 422:83] + node _T_4475 = bits(_T_4474, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4476 = eq(btb_rd_addr_p1_f, UInt<8>("h09e")) @[ifu_bp_ctl.scala 422:83] + node _T_4477 = bits(_T_4476, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4478 = eq(btb_rd_addr_p1_f, UInt<8>("h09f")) @[ifu_bp_ctl.scala 422:83] + node _T_4479 = bits(_T_4478, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4480 = eq(btb_rd_addr_p1_f, UInt<8>("h0a0")) @[ifu_bp_ctl.scala 422:83] + node _T_4481 = bits(_T_4480, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4482 = eq(btb_rd_addr_p1_f, UInt<8>("h0a1")) @[ifu_bp_ctl.scala 422:83] + node _T_4483 = bits(_T_4482, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4484 = eq(btb_rd_addr_p1_f, UInt<8>("h0a2")) @[ifu_bp_ctl.scala 422:83] + node _T_4485 = bits(_T_4484, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4486 = eq(btb_rd_addr_p1_f, UInt<8>("h0a3")) @[ifu_bp_ctl.scala 422:83] + node _T_4487 = bits(_T_4486, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4488 = eq(btb_rd_addr_p1_f, UInt<8>("h0a4")) @[ifu_bp_ctl.scala 422:83] + node _T_4489 = bits(_T_4488, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4490 = eq(btb_rd_addr_p1_f, UInt<8>("h0a5")) @[ifu_bp_ctl.scala 422:83] + node _T_4491 = bits(_T_4490, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4492 = eq(btb_rd_addr_p1_f, UInt<8>("h0a6")) @[ifu_bp_ctl.scala 422:83] + node _T_4493 = bits(_T_4492, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4494 = eq(btb_rd_addr_p1_f, UInt<8>("h0a7")) @[ifu_bp_ctl.scala 422:83] + node _T_4495 = bits(_T_4494, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4496 = eq(btb_rd_addr_p1_f, UInt<8>("h0a8")) @[ifu_bp_ctl.scala 422:83] + node _T_4497 = bits(_T_4496, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4498 = eq(btb_rd_addr_p1_f, UInt<8>("h0a9")) @[ifu_bp_ctl.scala 422:83] + node _T_4499 = bits(_T_4498, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4500 = eq(btb_rd_addr_p1_f, UInt<8>("h0aa")) @[ifu_bp_ctl.scala 422:83] + node _T_4501 = bits(_T_4500, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4502 = eq(btb_rd_addr_p1_f, UInt<8>("h0ab")) @[ifu_bp_ctl.scala 422:83] + node _T_4503 = bits(_T_4502, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4504 = eq(btb_rd_addr_p1_f, UInt<8>("h0ac")) @[ifu_bp_ctl.scala 422:83] + node _T_4505 = bits(_T_4504, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4506 = eq(btb_rd_addr_p1_f, UInt<8>("h0ad")) @[ifu_bp_ctl.scala 422:83] + node _T_4507 = bits(_T_4506, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4508 = eq(btb_rd_addr_p1_f, UInt<8>("h0ae")) @[ifu_bp_ctl.scala 422:83] + node _T_4509 = bits(_T_4508, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4510 = eq(btb_rd_addr_p1_f, UInt<8>("h0af")) @[ifu_bp_ctl.scala 422:83] + node _T_4511 = bits(_T_4510, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4512 = eq(btb_rd_addr_p1_f, UInt<8>("h0b0")) @[ifu_bp_ctl.scala 422:83] + node _T_4513 = bits(_T_4512, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4514 = eq(btb_rd_addr_p1_f, UInt<8>("h0b1")) @[ifu_bp_ctl.scala 422:83] + node _T_4515 = bits(_T_4514, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4516 = eq(btb_rd_addr_p1_f, UInt<8>("h0b2")) @[ifu_bp_ctl.scala 422:83] + node _T_4517 = bits(_T_4516, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4518 = eq(btb_rd_addr_p1_f, UInt<8>("h0b3")) @[ifu_bp_ctl.scala 422:83] + node _T_4519 = bits(_T_4518, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4520 = eq(btb_rd_addr_p1_f, UInt<8>("h0b4")) @[ifu_bp_ctl.scala 422:83] + node _T_4521 = bits(_T_4520, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4522 = eq(btb_rd_addr_p1_f, UInt<8>("h0b5")) @[ifu_bp_ctl.scala 422:83] + node _T_4523 = bits(_T_4522, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4524 = eq(btb_rd_addr_p1_f, UInt<8>("h0b6")) @[ifu_bp_ctl.scala 422:83] + node _T_4525 = bits(_T_4524, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4526 = eq(btb_rd_addr_p1_f, UInt<8>("h0b7")) @[ifu_bp_ctl.scala 422:83] + node _T_4527 = bits(_T_4526, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4528 = eq(btb_rd_addr_p1_f, UInt<8>("h0b8")) @[ifu_bp_ctl.scala 422:83] + node _T_4529 = bits(_T_4528, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4530 = eq(btb_rd_addr_p1_f, UInt<8>("h0b9")) @[ifu_bp_ctl.scala 422:83] + node _T_4531 = bits(_T_4530, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4532 = eq(btb_rd_addr_p1_f, UInt<8>("h0ba")) @[ifu_bp_ctl.scala 422:83] + node _T_4533 = bits(_T_4532, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4534 = eq(btb_rd_addr_p1_f, UInt<8>("h0bb")) @[ifu_bp_ctl.scala 422:83] + node _T_4535 = bits(_T_4534, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4536 = eq(btb_rd_addr_p1_f, UInt<8>("h0bc")) @[ifu_bp_ctl.scala 422:83] + node _T_4537 = bits(_T_4536, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4538 = eq(btb_rd_addr_p1_f, UInt<8>("h0bd")) @[ifu_bp_ctl.scala 422:83] + node _T_4539 = bits(_T_4538, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4540 = eq(btb_rd_addr_p1_f, UInt<8>("h0be")) @[ifu_bp_ctl.scala 422:83] + node _T_4541 = bits(_T_4540, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4542 = eq(btb_rd_addr_p1_f, UInt<8>("h0bf")) @[ifu_bp_ctl.scala 422:83] + node _T_4543 = bits(_T_4542, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4544 = eq(btb_rd_addr_p1_f, UInt<8>("h0c0")) @[ifu_bp_ctl.scala 422:83] + node _T_4545 = bits(_T_4544, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4546 = eq(btb_rd_addr_p1_f, UInt<8>("h0c1")) @[ifu_bp_ctl.scala 422:83] + node _T_4547 = bits(_T_4546, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4548 = eq(btb_rd_addr_p1_f, UInt<8>("h0c2")) @[ifu_bp_ctl.scala 422:83] + node _T_4549 = bits(_T_4548, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4550 = eq(btb_rd_addr_p1_f, UInt<8>("h0c3")) @[ifu_bp_ctl.scala 422:83] + node _T_4551 = bits(_T_4550, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4552 = eq(btb_rd_addr_p1_f, UInt<8>("h0c4")) @[ifu_bp_ctl.scala 422:83] + node _T_4553 = bits(_T_4552, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4554 = eq(btb_rd_addr_p1_f, UInt<8>("h0c5")) @[ifu_bp_ctl.scala 422:83] + node _T_4555 = bits(_T_4554, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4556 = eq(btb_rd_addr_p1_f, UInt<8>("h0c6")) @[ifu_bp_ctl.scala 422:83] + node _T_4557 = bits(_T_4556, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4558 = eq(btb_rd_addr_p1_f, UInt<8>("h0c7")) @[ifu_bp_ctl.scala 422:83] + node _T_4559 = bits(_T_4558, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4560 = eq(btb_rd_addr_p1_f, UInt<8>("h0c8")) @[ifu_bp_ctl.scala 422:83] + node _T_4561 = bits(_T_4560, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4562 = eq(btb_rd_addr_p1_f, UInt<8>("h0c9")) @[ifu_bp_ctl.scala 422:83] + node _T_4563 = bits(_T_4562, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4564 = eq(btb_rd_addr_p1_f, UInt<8>("h0ca")) @[ifu_bp_ctl.scala 422:83] + node _T_4565 = bits(_T_4564, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4566 = eq(btb_rd_addr_p1_f, UInt<8>("h0cb")) @[ifu_bp_ctl.scala 422:83] + node _T_4567 = bits(_T_4566, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4568 = eq(btb_rd_addr_p1_f, UInt<8>("h0cc")) @[ifu_bp_ctl.scala 422:83] + node _T_4569 = bits(_T_4568, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4570 = eq(btb_rd_addr_p1_f, UInt<8>("h0cd")) @[ifu_bp_ctl.scala 422:83] + node _T_4571 = bits(_T_4570, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4572 = eq(btb_rd_addr_p1_f, UInt<8>("h0ce")) @[ifu_bp_ctl.scala 422:83] + node _T_4573 = bits(_T_4572, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4574 = eq(btb_rd_addr_p1_f, UInt<8>("h0cf")) @[ifu_bp_ctl.scala 422:83] + node _T_4575 = bits(_T_4574, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4576 = eq(btb_rd_addr_p1_f, UInt<8>("h0d0")) @[ifu_bp_ctl.scala 422:83] + node _T_4577 = bits(_T_4576, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4578 = eq(btb_rd_addr_p1_f, UInt<8>("h0d1")) @[ifu_bp_ctl.scala 422:83] + node _T_4579 = bits(_T_4578, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4580 = eq(btb_rd_addr_p1_f, UInt<8>("h0d2")) @[ifu_bp_ctl.scala 422:83] + node _T_4581 = bits(_T_4580, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4582 = eq(btb_rd_addr_p1_f, UInt<8>("h0d3")) @[ifu_bp_ctl.scala 422:83] + node _T_4583 = bits(_T_4582, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4584 = eq(btb_rd_addr_p1_f, UInt<8>("h0d4")) @[ifu_bp_ctl.scala 422:83] + node _T_4585 = bits(_T_4584, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4586 = eq(btb_rd_addr_p1_f, UInt<8>("h0d5")) @[ifu_bp_ctl.scala 422:83] + node _T_4587 = bits(_T_4586, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4588 = eq(btb_rd_addr_p1_f, UInt<8>("h0d6")) @[ifu_bp_ctl.scala 422:83] + node _T_4589 = bits(_T_4588, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4590 = eq(btb_rd_addr_p1_f, UInt<8>("h0d7")) @[ifu_bp_ctl.scala 422:83] + node _T_4591 = bits(_T_4590, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4592 = eq(btb_rd_addr_p1_f, UInt<8>("h0d8")) @[ifu_bp_ctl.scala 422:83] + node _T_4593 = bits(_T_4592, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4594 = eq(btb_rd_addr_p1_f, UInt<8>("h0d9")) @[ifu_bp_ctl.scala 422:83] + node _T_4595 = bits(_T_4594, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4596 = eq(btb_rd_addr_p1_f, UInt<8>("h0da")) @[ifu_bp_ctl.scala 422:83] + node _T_4597 = bits(_T_4596, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4598 = eq(btb_rd_addr_p1_f, UInt<8>("h0db")) @[ifu_bp_ctl.scala 422:83] + node _T_4599 = bits(_T_4598, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4600 = eq(btb_rd_addr_p1_f, UInt<8>("h0dc")) @[ifu_bp_ctl.scala 422:83] + node _T_4601 = bits(_T_4600, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4602 = eq(btb_rd_addr_p1_f, UInt<8>("h0dd")) @[ifu_bp_ctl.scala 422:83] + node _T_4603 = bits(_T_4602, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4604 = eq(btb_rd_addr_p1_f, UInt<8>("h0de")) @[ifu_bp_ctl.scala 422:83] + node _T_4605 = bits(_T_4604, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4606 = eq(btb_rd_addr_p1_f, UInt<8>("h0df")) @[ifu_bp_ctl.scala 422:83] + node _T_4607 = bits(_T_4606, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4608 = eq(btb_rd_addr_p1_f, UInt<8>("h0e0")) @[ifu_bp_ctl.scala 422:83] + node _T_4609 = bits(_T_4608, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4610 = eq(btb_rd_addr_p1_f, UInt<8>("h0e1")) @[ifu_bp_ctl.scala 422:83] + node _T_4611 = bits(_T_4610, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4612 = eq(btb_rd_addr_p1_f, UInt<8>("h0e2")) @[ifu_bp_ctl.scala 422:83] + node _T_4613 = bits(_T_4612, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4614 = eq(btb_rd_addr_p1_f, UInt<8>("h0e3")) @[ifu_bp_ctl.scala 422:83] + node _T_4615 = bits(_T_4614, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4616 = eq(btb_rd_addr_p1_f, UInt<8>("h0e4")) @[ifu_bp_ctl.scala 422:83] + node _T_4617 = bits(_T_4616, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4618 = eq(btb_rd_addr_p1_f, UInt<8>("h0e5")) @[ifu_bp_ctl.scala 422:83] + node _T_4619 = bits(_T_4618, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4620 = eq(btb_rd_addr_p1_f, UInt<8>("h0e6")) @[ifu_bp_ctl.scala 422:83] + node _T_4621 = bits(_T_4620, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4622 = eq(btb_rd_addr_p1_f, UInt<8>("h0e7")) @[ifu_bp_ctl.scala 422:83] + node _T_4623 = bits(_T_4622, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4624 = eq(btb_rd_addr_p1_f, UInt<8>("h0e8")) @[ifu_bp_ctl.scala 422:83] + node _T_4625 = bits(_T_4624, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4626 = eq(btb_rd_addr_p1_f, UInt<8>("h0e9")) @[ifu_bp_ctl.scala 422:83] + node _T_4627 = bits(_T_4626, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4628 = eq(btb_rd_addr_p1_f, UInt<8>("h0ea")) @[ifu_bp_ctl.scala 422:83] + node _T_4629 = bits(_T_4628, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4630 = eq(btb_rd_addr_p1_f, UInt<8>("h0eb")) @[ifu_bp_ctl.scala 422:83] + node _T_4631 = bits(_T_4630, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4632 = eq(btb_rd_addr_p1_f, UInt<8>("h0ec")) @[ifu_bp_ctl.scala 422:83] + node _T_4633 = bits(_T_4632, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4634 = eq(btb_rd_addr_p1_f, UInt<8>("h0ed")) @[ifu_bp_ctl.scala 422:83] + node _T_4635 = bits(_T_4634, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4636 = eq(btb_rd_addr_p1_f, UInt<8>("h0ee")) @[ifu_bp_ctl.scala 422:83] + node _T_4637 = bits(_T_4636, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4638 = eq(btb_rd_addr_p1_f, UInt<8>("h0ef")) @[ifu_bp_ctl.scala 422:83] + node _T_4639 = bits(_T_4638, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4640 = eq(btb_rd_addr_p1_f, UInt<8>("h0f0")) @[ifu_bp_ctl.scala 422:83] + node _T_4641 = bits(_T_4640, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4642 = eq(btb_rd_addr_p1_f, UInt<8>("h0f1")) @[ifu_bp_ctl.scala 422:83] + node _T_4643 = bits(_T_4642, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4644 = eq(btb_rd_addr_p1_f, UInt<8>("h0f2")) @[ifu_bp_ctl.scala 422:83] + node _T_4645 = bits(_T_4644, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4646 = eq(btb_rd_addr_p1_f, UInt<8>("h0f3")) @[ifu_bp_ctl.scala 422:83] + node _T_4647 = bits(_T_4646, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4648 = eq(btb_rd_addr_p1_f, UInt<8>("h0f4")) @[ifu_bp_ctl.scala 422:83] + node _T_4649 = bits(_T_4648, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4650 = eq(btb_rd_addr_p1_f, UInt<8>("h0f5")) @[ifu_bp_ctl.scala 422:83] + node _T_4651 = bits(_T_4650, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4652 = eq(btb_rd_addr_p1_f, UInt<8>("h0f6")) @[ifu_bp_ctl.scala 422:83] + node _T_4653 = bits(_T_4652, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4654 = eq(btb_rd_addr_p1_f, UInt<8>("h0f7")) @[ifu_bp_ctl.scala 422:83] + node _T_4655 = bits(_T_4654, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4656 = eq(btb_rd_addr_p1_f, UInt<8>("h0f8")) @[ifu_bp_ctl.scala 422:83] + node _T_4657 = bits(_T_4656, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4658 = eq(btb_rd_addr_p1_f, UInt<8>("h0f9")) @[ifu_bp_ctl.scala 422:83] + node _T_4659 = bits(_T_4658, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4660 = eq(btb_rd_addr_p1_f, UInt<8>("h0fa")) @[ifu_bp_ctl.scala 422:83] + node _T_4661 = bits(_T_4660, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4662 = eq(btb_rd_addr_p1_f, UInt<8>("h0fb")) @[ifu_bp_ctl.scala 422:83] + node _T_4663 = bits(_T_4662, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4664 = eq(btb_rd_addr_p1_f, UInt<8>("h0fc")) @[ifu_bp_ctl.scala 422:83] + node _T_4665 = bits(_T_4664, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4666 = eq(btb_rd_addr_p1_f, UInt<8>("h0fd")) @[ifu_bp_ctl.scala 422:83] + node _T_4667 = bits(_T_4666, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4668 = eq(btb_rd_addr_p1_f, UInt<8>("h0fe")) @[ifu_bp_ctl.scala 422:83] + node _T_4669 = bits(_T_4668, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4670 = eq(btb_rd_addr_p1_f, UInt<8>("h0ff")) @[ifu_bp_ctl.scala 422:83] + node _T_4671 = bits(_T_4670, 0, 0) @[ifu_bp_ctl.scala 422:91] + node _T_4672 = mux(_T_4161, btb_bank0_rd_data_way0_out_0, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4673 = mux(_T_4163, btb_bank0_rd_data_way0_out_1, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4674 = mux(_T_4165, btb_bank0_rd_data_way0_out_2, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4675 = mux(_T_4167, btb_bank0_rd_data_way0_out_3, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4676 = mux(_T_4169, btb_bank0_rd_data_way0_out_4, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4677 = mux(_T_4171, btb_bank0_rd_data_way0_out_5, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4678 = mux(_T_4173, btb_bank0_rd_data_way0_out_6, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4679 = mux(_T_4175, btb_bank0_rd_data_way0_out_7, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4680 = mux(_T_4177, btb_bank0_rd_data_way0_out_8, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4681 = mux(_T_4179, btb_bank0_rd_data_way0_out_9, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4682 = mux(_T_4181, btb_bank0_rd_data_way0_out_10, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4683 = mux(_T_4183, btb_bank0_rd_data_way0_out_11, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4684 = mux(_T_4185, btb_bank0_rd_data_way0_out_12, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4685 = mux(_T_4187, btb_bank0_rd_data_way0_out_13, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4686 = mux(_T_4189, btb_bank0_rd_data_way0_out_14, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4687 = mux(_T_4191, btb_bank0_rd_data_way0_out_15, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4688 = mux(_T_4193, btb_bank0_rd_data_way0_out_16, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4689 = mux(_T_4195, btb_bank0_rd_data_way0_out_17, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4690 = mux(_T_4197, btb_bank0_rd_data_way0_out_18, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4691 = mux(_T_4199, btb_bank0_rd_data_way0_out_19, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4692 = mux(_T_4201, btb_bank0_rd_data_way0_out_20, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4693 = mux(_T_4203, btb_bank0_rd_data_way0_out_21, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4694 = mux(_T_4205, btb_bank0_rd_data_way0_out_22, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4695 = mux(_T_4207, btb_bank0_rd_data_way0_out_23, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4696 = mux(_T_4209, btb_bank0_rd_data_way0_out_24, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4697 = mux(_T_4211, btb_bank0_rd_data_way0_out_25, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4698 = mux(_T_4213, btb_bank0_rd_data_way0_out_26, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4699 = mux(_T_4215, btb_bank0_rd_data_way0_out_27, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4700 = mux(_T_4217, btb_bank0_rd_data_way0_out_28, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4701 = mux(_T_4219, btb_bank0_rd_data_way0_out_29, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4702 = mux(_T_4221, btb_bank0_rd_data_way0_out_30, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4703 = mux(_T_4223, btb_bank0_rd_data_way0_out_31, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4704 = mux(_T_4225, btb_bank0_rd_data_way0_out_32, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4705 = mux(_T_4227, btb_bank0_rd_data_way0_out_33, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4706 = mux(_T_4229, btb_bank0_rd_data_way0_out_34, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4707 = mux(_T_4231, btb_bank0_rd_data_way0_out_35, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4708 = mux(_T_4233, btb_bank0_rd_data_way0_out_36, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4709 = mux(_T_4235, btb_bank0_rd_data_way0_out_37, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4710 = mux(_T_4237, btb_bank0_rd_data_way0_out_38, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4711 = mux(_T_4239, btb_bank0_rd_data_way0_out_39, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4712 = mux(_T_4241, btb_bank0_rd_data_way0_out_40, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4713 = mux(_T_4243, btb_bank0_rd_data_way0_out_41, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4714 = mux(_T_4245, btb_bank0_rd_data_way0_out_42, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4715 = mux(_T_4247, btb_bank0_rd_data_way0_out_43, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4716 = mux(_T_4249, btb_bank0_rd_data_way0_out_44, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4717 = mux(_T_4251, btb_bank0_rd_data_way0_out_45, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4718 = mux(_T_4253, btb_bank0_rd_data_way0_out_46, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4719 = mux(_T_4255, btb_bank0_rd_data_way0_out_47, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4720 = mux(_T_4257, btb_bank0_rd_data_way0_out_48, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4721 = mux(_T_4259, btb_bank0_rd_data_way0_out_49, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4722 = mux(_T_4261, btb_bank0_rd_data_way0_out_50, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4723 = mux(_T_4263, btb_bank0_rd_data_way0_out_51, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4724 = mux(_T_4265, btb_bank0_rd_data_way0_out_52, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4725 = mux(_T_4267, btb_bank0_rd_data_way0_out_53, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4726 = mux(_T_4269, btb_bank0_rd_data_way0_out_54, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4727 = mux(_T_4271, btb_bank0_rd_data_way0_out_55, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4728 = mux(_T_4273, btb_bank0_rd_data_way0_out_56, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4729 = mux(_T_4275, btb_bank0_rd_data_way0_out_57, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4730 = mux(_T_4277, btb_bank0_rd_data_way0_out_58, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4731 = mux(_T_4279, btb_bank0_rd_data_way0_out_59, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4732 = mux(_T_4281, btb_bank0_rd_data_way0_out_60, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4733 = mux(_T_4283, btb_bank0_rd_data_way0_out_61, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4734 = mux(_T_4285, btb_bank0_rd_data_way0_out_62, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4735 = mux(_T_4287, btb_bank0_rd_data_way0_out_63, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4736 = mux(_T_4289, btb_bank0_rd_data_way0_out_64, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4737 = mux(_T_4291, btb_bank0_rd_data_way0_out_65, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4738 = mux(_T_4293, btb_bank0_rd_data_way0_out_66, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4739 = mux(_T_4295, btb_bank0_rd_data_way0_out_67, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4740 = mux(_T_4297, btb_bank0_rd_data_way0_out_68, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4741 = mux(_T_4299, btb_bank0_rd_data_way0_out_69, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4742 = mux(_T_4301, btb_bank0_rd_data_way0_out_70, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4743 = mux(_T_4303, btb_bank0_rd_data_way0_out_71, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4744 = mux(_T_4305, btb_bank0_rd_data_way0_out_72, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4745 = mux(_T_4307, btb_bank0_rd_data_way0_out_73, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4746 = mux(_T_4309, btb_bank0_rd_data_way0_out_74, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4747 = mux(_T_4311, btb_bank0_rd_data_way0_out_75, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4748 = mux(_T_4313, btb_bank0_rd_data_way0_out_76, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4749 = mux(_T_4315, btb_bank0_rd_data_way0_out_77, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4750 = mux(_T_4317, btb_bank0_rd_data_way0_out_78, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4751 = mux(_T_4319, btb_bank0_rd_data_way0_out_79, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4752 = mux(_T_4321, btb_bank0_rd_data_way0_out_80, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4753 = mux(_T_4323, btb_bank0_rd_data_way0_out_81, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4754 = mux(_T_4325, btb_bank0_rd_data_way0_out_82, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4755 = mux(_T_4327, btb_bank0_rd_data_way0_out_83, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4756 = mux(_T_4329, btb_bank0_rd_data_way0_out_84, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4757 = mux(_T_4331, btb_bank0_rd_data_way0_out_85, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4758 = mux(_T_4333, btb_bank0_rd_data_way0_out_86, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4759 = mux(_T_4335, btb_bank0_rd_data_way0_out_87, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4760 = mux(_T_4337, btb_bank0_rd_data_way0_out_88, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4761 = mux(_T_4339, btb_bank0_rd_data_way0_out_89, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4762 = mux(_T_4341, btb_bank0_rd_data_way0_out_90, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4763 = mux(_T_4343, btb_bank0_rd_data_way0_out_91, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4764 = mux(_T_4345, btb_bank0_rd_data_way0_out_92, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4765 = mux(_T_4347, btb_bank0_rd_data_way0_out_93, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4766 = mux(_T_4349, btb_bank0_rd_data_way0_out_94, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4767 = mux(_T_4351, btb_bank0_rd_data_way0_out_95, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4768 = mux(_T_4353, btb_bank0_rd_data_way0_out_96, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4769 = mux(_T_4355, btb_bank0_rd_data_way0_out_97, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4770 = mux(_T_4357, btb_bank0_rd_data_way0_out_98, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4771 = mux(_T_4359, btb_bank0_rd_data_way0_out_99, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4772 = mux(_T_4361, btb_bank0_rd_data_way0_out_100, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4773 = mux(_T_4363, btb_bank0_rd_data_way0_out_101, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4774 = mux(_T_4365, btb_bank0_rd_data_way0_out_102, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4775 = mux(_T_4367, btb_bank0_rd_data_way0_out_103, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4776 = mux(_T_4369, btb_bank0_rd_data_way0_out_104, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4777 = mux(_T_4371, btb_bank0_rd_data_way0_out_105, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4778 = mux(_T_4373, btb_bank0_rd_data_way0_out_106, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4779 = mux(_T_4375, btb_bank0_rd_data_way0_out_107, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4780 = mux(_T_4377, btb_bank0_rd_data_way0_out_108, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4781 = mux(_T_4379, btb_bank0_rd_data_way0_out_109, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4782 = mux(_T_4381, btb_bank0_rd_data_way0_out_110, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4783 = mux(_T_4383, btb_bank0_rd_data_way0_out_111, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4784 = mux(_T_4385, btb_bank0_rd_data_way0_out_112, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4785 = mux(_T_4387, btb_bank0_rd_data_way0_out_113, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4786 = mux(_T_4389, btb_bank0_rd_data_way0_out_114, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4787 = mux(_T_4391, btb_bank0_rd_data_way0_out_115, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4788 = mux(_T_4393, btb_bank0_rd_data_way0_out_116, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4789 = mux(_T_4395, btb_bank0_rd_data_way0_out_117, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4790 = mux(_T_4397, btb_bank0_rd_data_way0_out_118, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4791 = mux(_T_4399, btb_bank0_rd_data_way0_out_119, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4792 = mux(_T_4401, btb_bank0_rd_data_way0_out_120, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4793 = mux(_T_4403, btb_bank0_rd_data_way0_out_121, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4794 = mux(_T_4405, btb_bank0_rd_data_way0_out_122, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4795 = mux(_T_4407, btb_bank0_rd_data_way0_out_123, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4796 = mux(_T_4409, btb_bank0_rd_data_way0_out_124, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4797 = mux(_T_4411, btb_bank0_rd_data_way0_out_125, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4798 = mux(_T_4413, btb_bank0_rd_data_way0_out_126, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4799 = mux(_T_4415, btb_bank0_rd_data_way0_out_127, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4800 = mux(_T_4417, btb_bank0_rd_data_way0_out_128, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4801 = mux(_T_4419, btb_bank0_rd_data_way0_out_129, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4802 = mux(_T_4421, btb_bank0_rd_data_way0_out_130, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4803 = mux(_T_4423, btb_bank0_rd_data_way0_out_131, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4804 = mux(_T_4425, btb_bank0_rd_data_way0_out_132, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4805 = mux(_T_4427, btb_bank0_rd_data_way0_out_133, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4806 = mux(_T_4429, btb_bank0_rd_data_way0_out_134, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4807 = mux(_T_4431, btb_bank0_rd_data_way0_out_135, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4808 = mux(_T_4433, btb_bank0_rd_data_way0_out_136, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4809 = mux(_T_4435, btb_bank0_rd_data_way0_out_137, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4810 = mux(_T_4437, btb_bank0_rd_data_way0_out_138, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4811 = mux(_T_4439, btb_bank0_rd_data_way0_out_139, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4812 = mux(_T_4441, btb_bank0_rd_data_way0_out_140, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4813 = mux(_T_4443, btb_bank0_rd_data_way0_out_141, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4814 = mux(_T_4445, btb_bank0_rd_data_way0_out_142, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4815 = mux(_T_4447, btb_bank0_rd_data_way0_out_143, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4816 = mux(_T_4449, btb_bank0_rd_data_way0_out_144, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4817 = mux(_T_4451, btb_bank0_rd_data_way0_out_145, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4818 = mux(_T_4453, btb_bank0_rd_data_way0_out_146, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4819 = mux(_T_4455, btb_bank0_rd_data_way0_out_147, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4820 = mux(_T_4457, btb_bank0_rd_data_way0_out_148, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4821 = mux(_T_4459, btb_bank0_rd_data_way0_out_149, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4822 = mux(_T_4461, btb_bank0_rd_data_way0_out_150, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4823 = mux(_T_4463, btb_bank0_rd_data_way0_out_151, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4824 = mux(_T_4465, btb_bank0_rd_data_way0_out_152, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4825 = mux(_T_4467, btb_bank0_rd_data_way0_out_153, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4826 = mux(_T_4469, btb_bank0_rd_data_way0_out_154, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4827 = mux(_T_4471, btb_bank0_rd_data_way0_out_155, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4828 = mux(_T_4473, btb_bank0_rd_data_way0_out_156, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4829 = mux(_T_4475, btb_bank0_rd_data_way0_out_157, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4830 = mux(_T_4477, btb_bank0_rd_data_way0_out_158, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4831 = mux(_T_4479, btb_bank0_rd_data_way0_out_159, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4832 = mux(_T_4481, btb_bank0_rd_data_way0_out_160, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4833 = mux(_T_4483, btb_bank0_rd_data_way0_out_161, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4834 = mux(_T_4485, btb_bank0_rd_data_way0_out_162, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4835 = mux(_T_4487, btb_bank0_rd_data_way0_out_163, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4836 = mux(_T_4489, btb_bank0_rd_data_way0_out_164, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4837 = mux(_T_4491, btb_bank0_rd_data_way0_out_165, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4838 = mux(_T_4493, btb_bank0_rd_data_way0_out_166, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4839 = mux(_T_4495, btb_bank0_rd_data_way0_out_167, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4840 = mux(_T_4497, btb_bank0_rd_data_way0_out_168, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4841 = mux(_T_4499, btb_bank0_rd_data_way0_out_169, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4842 = mux(_T_4501, btb_bank0_rd_data_way0_out_170, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4843 = mux(_T_4503, btb_bank0_rd_data_way0_out_171, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4844 = mux(_T_4505, btb_bank0_rd_data_way0_out_172, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4845 = mux(_T_4507, btb_bank0_rd_data_way0_out_173, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4846 = mux(_T_4509, btb_bank0_rd_data_way0_out_174, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4847 = mux(_T_4511, btb_bank0_rd_data_way0_out_175, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4848 = mux(_T_4513, btb_bank0_rd_data_way0_out_176, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4849 = mux(_T_4515, btb_bank0_rd_data_way0_out_177, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4850 = mux(_T_4517, btb_bank0_rd_data_way0_out_178, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4851 = mux(_T_4519, btb_bank0_rd_data_way0_out_179, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4852 = mux(_T_4521, btb_bank0_rd_data_way0_out_180, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4853 = mux(_T_4523, btb_bank0_rd_data_way0_out_181, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4854 = mux(_T_4525, btb_bank0_rd_data_way0_out_182, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4855 = mux(_T_4527, btb_bank0_rd_data_way0_out_183, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4856 = mux(_T_4529, btb_bank0_rd_data_way0_out_184, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4857 = mux(_T_4531, btb_bank0_rd_data_way0_out_185, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4858 = mux(_T_4533, btb_bank0_rd_data_way0_out_186, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4859 = mux(_T_4535, btb_bank0_rd_data_way0_out_187, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4860 = mux(_T_4537, btb_bank0_rd_data_way0_out_188, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4861 = mux(_T_4539, btb_bank0_rd_data_way0_out_189, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4862 = mux(_T_4541, btb_bank0_rd_data_way0_out_190, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4863 = mux(_T_4543, btb_bank0_rd_data_way0_out_191, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4864 = mux(_T_4545, btb_bank0_rd_data_way0_out_192, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4865 = mux(_T_4547, btb_bank0_rd_data_way0_out_193, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4866 = mux(_T_4549, btb_bank0_rd_data_way0_out_194, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4867 = mux(_T_4551, btb_bank0_rd_data_way0_out_195, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4868 = mux(_T_4553, btb_bank0_rd_data_way0_out_196, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4869 = mux(_T_4555, btb_bank0_rd_data_way0_out_197, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4870 = mux(_T_4557, btb_bank0_rd_data_way0_out_198, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4871 = mux(_T_4559, btb_bank0_rd_data_way0_out_199, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4872 = mux(_T_4561, btb_bank0_rd_data_way0_out_200, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4873 = mux(_T_4563, btb_bank0_rd_data_way0_out_201, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4874 = mux(_T_4565, btb_bank0_rd_data_way0_out_202, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4875 = mux(_T_4567, btb_bank0_rd_data_way0_out_203, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4876 = mux(_T_4569, btb_bank0_rd_data_way0_out_204, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4877 = mux(_T_4571, btb_bank0_rd_data_way0_out_205, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4878 = mux(_T_4573, btb_bank0_rd_data_way0_out_206, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4879 = mux(_T_4575, btb_bank0_rd_data_way0_out_207, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4880 = mux(_T_4577, btb_bank0_rd_data_way0_out_208, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4881 = mux(_T_4579, btb_bank0_rd_data_way0_out_209, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4882 = mux(_T_4581, btb_bank0_rd_data_way0_out_210, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4883 = mux(_T_4583, btb_bank0_rd_data_way0_out_211, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4884 = mux(_T_4585, btb_bank0_rd_data_way0_out_212, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4885 = mux(_T_4587, btb_bank0_rd_data_way0_out_213, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4886 = mux(_T_4589, btb_bank0_rd_data_way0_out_214, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4887 = mux(_T_4591, btb_bank0_rd_data_way0_out_215, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4888 = mux(_T_4593, btb_bank0_rd_data_way0_out_216, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4889 = mux(_T_4595, btb_bank0_rd_data_way0_out_217, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4890 = mux(_T_4597, btb_bank0_rd_data_way0_out_218, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4891 = mux(_T_4599, btb_bank0_rd_data_way0_out_219, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4892 = mux(_T_4601, btb_bank0_rd_data_way0_out_220, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4893 = mux(_T_4603, btb_bank0_rd_data_way0_out_221, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4894 = mux(_T_4605, btb_bank0_rd_data_way0_out_222, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4895 = mux(_T_4607, btb_bank0_rd_data_way0_out_223, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4896 = mux(_T_4609, btb_bank0_rd_data_way0_out_224, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4897 = mux(_T_4611, btb_bank0_rd_data_way0_out_225, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4898 = mux(_T_4613, btb_bank0_rd_data_way0_out_226, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4899 = mux(_T_4615, btb_bank0_rd_data_way0_out_227, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4900 = mux(_T_4617, btb_bank0_rd_data_way0_out_228, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4901 = mux(_T_4619, btb_bank0_rd_data_way0_out_229, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4902 = mux(_T_4621, btb_bank0_rd_data_way0_out_230, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4903 = mux(_T_4623, btb_bank0_rd_data_way0_out_231, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4904 = mux(_T_4625, btb_bank0_rd_data_way0_out_232, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4905 = mux(_T_4627, btb_bank0_rd_data_way0_out_233, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4906 = mux(_T_4629, btb_bank0_rd_data_way0_out_234, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4907 = mux(_T_4631, btb_bank0_rd_data_way0_out_235, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4908 = mux(_T_4633, btb_bank0_rd_data_way0_out_236, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4909 = mux(_T_4635, btb_bank0_rd_data_way0_out_237, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4910 = mux(_T_4637, btb_bank0_rd_data_way0_out_238, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4911 = mux(_T_4639, btb_bank0_rd_data_way0_out_239, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4912 = mux(_T_4641, btb_bank0_rd_data_way0_out_240, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4913 = mux(_T_4643, btb_bank0_rd_data_way0_out_241, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4914 = mux(_T_4645, btb_bank0_rd_data_way0_out_242, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4915 = mux(_T_4647, btb_bank0_rd_data_way0_out_243, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4916 = mux(_T_4649, btb_bank0_rd_data_way0_out_244, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4917 = mux(_T_4651, btb_bank0_rd_data_way0_out_245, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4918 = mux(_T_4653, btb_bank0_rd_data_way0_out_246, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4919 = mux(_T_4655, btb_bank0_rd_data_way0_out_247, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4920 = mux(_T_4657, btb_bank0_rd_data_way0_out_248, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4921 = mux(_T_4659, btb_bank0_rd_data_way0_out_249, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4922 = mux(_T_4661, btb_bank0_rd_data_way0_out_250, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4923 = mux(_T_4663, btb_bank0_rd_data_way0_out_251, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4924 = mux(_T_4665, btb_bank0_rd_data_way0_out_252, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4925 = mux(_T_4667, btb_bank0_rd_data_way0_out_253, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4926 = mux(_T_4669, btb_bank0_rd_data_way0_out_254, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4927 = mux(_T_4671, btb_bank0_rd_data_way0_out_255, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4928 = or(_T_4672, _T_4673) @[Mux.scala 27:72] + node _T_4929 = or(_T_4928, _T_4674) @[Mux.scala 27:72] + node _T_4930 = or(_T_4929, _T_4675) @[Mux.scala 27:72] + node _T_4931 = or(_T_4930, _T_4676) @[Mux.scala 27:72] + node _T_4932 = or(_T_4931, _T_4677) @[Mux.scala 27:72] + node _T_4933 = or(_T_4932, _T_4678) @[Mux.scala 27:72] + node _T_4934 = or(_T_4933, _T_4679) @[Mux.scala 27:72] + node _T_4935 = or(_T_4934, _T_4680) @[Mux.scala 27:72] + node _T_4936 = or(_T_4935, _T_4681) @[Mux.scala 27:72] + node _T_4937 = or(_T_4936, _T_4682) @[Mux.scala 27:72] + node _T_4938 = or(_T_4937, _T_4683) @[Mux.scala 27:72] + node _T_4939 = or(_T_4938, _T_4684) @[Mux.scala 27:72] + node _T_4940 = or(_T_4939, _T_4685) @[Mux.scala 27:72] + node _T_4941 = or(_T_4940, _T_4686) @[Mux.scala 27:72] + node _T_4942 = or(_T_4941, _T_4687) @[Mux.scala 27:72] + node _T_4943 = or(_T_4942, _T_4688) @[Mux.scala 27:72] + node _T_4944 = or(_T_4943, _T_4689) @[Mux.scala 27:72] + node _T_4945 = or(_T_4944, _T_4690) @[Mux.scala 27:72] + node _T_4946 = or(_T_4945, _T_4691) @[Mux.scala 27:72] + node _T_4947 = or(_T_4946, _T_4692) @[Mux.scala 27:72] + node _T_4948 = or(_T_4947, _T_4693) @[Mux.scala 27:72] + node _T_4949 = or(_T_4948, _T_4694) @[Mux.scala 27:72] + node _T_4950 = or(_T_4949, _T_4695) @[Mux.scala 27:72] + node _T_4951 = or(_T_4950, _T_4696) @[Mux.scala 27:72] + node _T_4952 = or(_T_4951, _T_4697) @[Mux.scala 27:72] + node _T_4953 = or(_T_4952, _T_4698) @[Mux.scala 27:72] + node _T_4954 = or(_T_4953, _T_4699) @[Mux.scala 27:72] + node _T_4955 = or(_T_4954, _T_4700) @[Mux.scala 27:72] + node _T_4956 = or(_T_4955, _T_4701) @[Mux.scala 27:72] + node _T_4957 = or(_T_4956, _T_4702) @[Mux.scala 27:72] + node _T_4958 = or(_T_4957, _T_4703) @[Mux.scala 27:72] + node _T_4959 = or(_T_4958, _T_4704) @[Mux.scala 27:72] + node _T_4960 = or(_T_4959, _T_4705) @[Mux.scala 27:72] + node _T_4961 = or(_T_4960, _T_4706) @[Mux.scala 27:72] + node _T_4962 = or(_T_4961, _T_4707) @[Mux.scala 27:72] + node _T_4963 = or(_T_4962, _T_4708) @[Mux.scala 27:72] + node _T_4964 = or(_T_4963, _T_4709) @[Mux.scala 27:72] + node _T_4965 = or(_T_4964, _T_4710) @[Mux.scala 27:72] + node _T_4966 = or(_T_4965, _T_4711) @[Mux.scala 27:72] + node _T_4967 = or(_T_4966, _T_4712) @[Mux.scala 27:72] + node _T_4968 = or(_T_4967, _T_4713) @[Mux.scala 27:72] + node _T_4969 = or(_T_4968, _T_4714) @[Mux.scala 27:72] + node _T_4970 = or(_T_4969, _T_4715) @[Mux.scala 27:72] + node _T_4971 = or(_T_4970, _T_4716) @[Mux.scala 27:72] + node _T_4972 = or(_T_4971, _T_4717) @[Mux.scala 27:72] + node _T_4973 = or(_T_4972, _T_4718) @[Mux.scala 27:72] + node _T_4974 = or(_T_4973, _T_4719) @[Mux.scala 27:72] + node _T_4975 = or(_T_4974, _T_4720) @[Mux.scala 27:72] + node _T_4976 = or(_T_4975, _T_4721) @[Mux.scala 27:72] + node _T_4977 = or(_T_4976, _T_4722) @[Mux.scala 27:72] + node _T_4978 = or(_T_4977, _T_4723) @[Mux.scala 27:72] + node _T_4979 = or(_T_4978, _T_4724) @[Mux.scala 27:72] + node _T_4980 = or(_T_4979, _T_4725) @[Mux.scala 27:72] + node _T_4981 = or(_T_4980, _T_4726) @[Mux.scala 27:72] + node _T_4982 = or(_T_4981, _T_4727) @[Mux.scala 27:72] + node _T_4983 = or(_T_4982, _T_4728) @[Mux.scala 27:72] + node _T_4984 = or(_T_4983, _T_4729) @[Mux.scala 27:72] + node _T_4985 = or(_T_4984, _T_4730) @[Mux.scala 27:72] + node _T_4986 = or(_T_4985, _T_4731) @[Mux.scala 27:72] + node _T_4987 = or(_T_4986, _T_4732) @[Mux.scala 27:72] + node _T_4988 = or(_T_4987, _T_4733) @[Mux.scala 27:72] + node _T_4989 = or(_T_4988, _T_4734) @[Mux.scala 27:72] + node _T_4990 = or(_T_4989, _T_4735) @[Mux.scala 27:72] + node _T_4991 = or(_T_4990, _T_4736) @[Mux.scala 27:72] + node _T_4992 = or(_T_4991, _T_4737) @[Mux.scala 27:72] + node _T_4993 = or(_T_4992, _T_4738) @[Mux.scala 27:72] + node _T_4994 = or(_T_4993, _T_4739) @[Mux.scala 27:72] + node _T_4995 = or(_T_4994, _T_4740) @[Mux.scala 27:72] + node _T_4996 = or(_T_4995, _T_4741) @[Mux.scala 27:72] + node _T_4997 = or(_T_4996, _T_4742) @[Mux.scala 27:72] + node _T_4998 = or(_T_4997, _T_4743) @[Mux.scala 27:72] + node _T_4999 = or(_T_4998, _T_4744) @[Mux.scala 27:72] + node _T_5000 = or(_T_4999, _T_4745) @[Mux.scala 27:72] + node _T_5001 = or(_T_5000, _T_4746) @[Mux.scala 27:72] + node _T_5002 = or(_T_5001, _T_4747) @[Mux.scala 27:72] + node _T_5003 = or(_T_5002, _T_4748) @[Mux.scala 27:72] + node _T_5004 = or(_T_5003, _T_4749) @[Mux.scala 27:72] + node _T_5005 = or(_T_5004, _T_4750) @[Mux.scala 27:72] + node _T_5006 = or(_T_5005, _T_4751) @[Mux.scala 27:72] + node _T_5007 = or(_T_5006, _T_4752) @[Mux.scala 27:72] + node _T_5008 = or(_T_5007, _T_4753) @[Mux.scala 27:72] + node _T_5009 = or(_T_5008, _T_4754) @[Mux.scala 27:72] + node _T_5010 = or(_T_5009, _T_4755) @[Mux.scala 27:72] + node _T_5011 = or(_T_5010, _T_4756) @[Mux.scala 27:72] + node _T_5012 = or(_T_5011, _T_4757) @[Mux.scala 27:72] + node _T_5013 = or(_T_5012, _T_4758) @[Mux.scala 27:72] + node _T_5014 = or(_T_5013, _T_4759) @[Mux.scala 27:72] + node _T_5015 = or(_T_5014, _T_4760) @[Mux.scala 27:72] + node _T_5016 = or(_T_5015, _T_4761) @[Mux.scala 27:72] + node _T_5017 = or(_T_5016, _T_4762) @[Mux.scala 27:72] + node _T_5018 = or(_T_5017, _T_4763) @[Mux.scala 27:72] + node _T_5019 = or(_T_5018, _T_4764) @[Mux.scala 27:72] + node _T_5020 = or(_T_5019, _T_4765) @[Mux.scala 27:72] + node _T_5021 = or(_T_5020, _T_4766) @[Mux.scala 27:72] + node _T_5022 = or(_T_5021, _T_4767) @[Mux.scala 27:72] + node _T_5023 = or(_T_5022, _T_4768) @[Mux.scala 27:72] + node _T_5024 = or(_T_5023, _T_4769) @[Mux.scala 27:72] + node _T_5025 = or(_T_5024, _T_4770) @[Mux.scala 27:72] + node _T_5026 = or(_T_5025, _T_4771) @[Mux.scala 27:72] + node _T_5027 = or(_T_5026, _T_4772) @[Mux.scala 27:72] + node _T_5028 = or(_T_5027, _T_4773) @[Mux.scala 27:72] + node _T_5029 = or(_T_5028, _T_4774) @[Mux.scala 27:72] + node _T_5030 = or(_T_5029, _T_4775) @[Mux.scala 27:72] + node _T_5031 = or(_T_5030, _T_4776) @[Mux.scala 27:72] + node _T_5032 = or(_T_5031, _T_4777) @[Mux.scala 27:72] + node _T_5033 = or(_T_5032, _T_4778) @[Mux.scala 27:72] + node _T_5034 = or(_T_5033, _T_4779) @[Mux.scala 27:72] + node _T_5035 = or(_T_5034, _T_4780) @[Mux.scala 27:72] + node _T_5036 = or(_T_5035, _T_4781) @[Mux.scala 27:72] + node _T_5037 = or(_T_5036, _T_4782) @[Mux.scala 27:72] + node _T_5038 = or(_T_5037, _T_4783) @[Mux.scala 27:72] + node _T_5039 = or(_T_5038, _T_4784) @[Mux.scala 27:72] + node _T_5040 = or(_T_5039, _T_4785) @[Mux.scala 27:72] + node _T_5041 = or(_T_5040, _T_4786) @[Mux.scala 27:72] + node _T_5042 = or(_T_5041, _T_4787) @[Mux.scala 27:72] + node _T_5043 = or(_T_5042, _T_4788) @[Mux.scala 27:72] + node _T_5044 = or(_T_5043, _T_4789) @[Mux.scala 27:72] + node _T_5045 = or(_T_5044, _T_4790) @[Mux.scala 27:72] + node _T_5046 = or(_T_5045, _T_4791) @[Mux.scala 27:72] + node _T_5047 = or(_T_5046, _T_4792) @[Mux.scala 27:72] + node _T_5048 = or(_T_5047, _T_4793) @[Mux.scala 27:72] + node _T_5049 = or(_T_5048, _T_4794) @[Mux.scala 27:72] + node _T_5050 = or(_T_5049, _T_4795) @[Mux.scala 27:72] + node _T_5051 = or(_T_5050, _T_4796) @[Mux.scala 27:72] + node _T_5052 = or(_T_5051, _T_4797) @[Mux.scala 27:72] + node _T_5053 = or(_T_5052, _T_4798) @[Mux.scala 27:72] + node _T_5054 = or(_T_5053, _T_4799) @[Mux.scala 27:72] + node _T_5055 = or(_T_5054, _T_4800) @[Mux.scala 27:72] + node _T_5056 = or(_T_5055, _T_4801) @[Mux.scala 27:72] + node _T_5057 = or(_T_5056, _T_4802) @[Mux.scala 27:72] + node _T_5058 = or(_T_5057, _T_4803) @[Mux.scala 27:72] + node _T_5059 = or(_T_5058, _T_4804) @[Mux.scala 27:72] + node _T_5060 = or(_T_5059, _T_4805) @[Mux.scala 27:72] + node _T_5061 = or(_T_5060, _T_4806) @[Mux.scala 27:72] + node _T_5062 = or(_T_5061, _T_4807) @[Mux.scala 27:72] + node _T_5063 = or(_T_5062, _T_4808) @[Mux.scala 27:72] + node _T_5064 = or(_T_5063, _T_4809) @[Mux.scala 27:72] + node _T_5065 = or(_T_5064, _T_4810) @[Mux.scala 27:72] + node _T_5066 = or(_T_5065, _T_4811) @[Mux.scala 27:72] + node _T_5067 = or(_T_5066, _T_4812) @[Mux.scala 27:72] + node _T_5068 = or(_T_5067, _T_4813) @[Mux.scala 27:72] + node _T_5069 = or(_T_5068, _T_4814) @[Mux.scala 27:72] + node _T_5070 = or(_T_5069, _T_4815) @[Mux.scala 27:72] + node _T_5071 = or(_T_5070, _T_4816) @[Mux.scala 27:72] + node _T_5072 = or(_T_5071, _T_4817) @[Mux.scala 27:72] + node _T_5073 = or(_T_5072, _T_4818) @[Mux.scala 27:72] + node _T_5074 = or(_T_5073, _T_4819) @[Mux.scala 27:72] + node _T_5075 = or(_T_5074, _T_4820) @[Mux.scala 27:72] + node _T_5076 = or(_T_5075, _T_4821) @[Mux.scala 27:72] + node _T_5077 = or(_T_5076, _T_4822) @[Mux.scala 27:72] + node _T_5078 = or(_T_5077, _T_4823) @[Mux.scala 27:72] + node _T_5079 = or(_T_5078, _T_4824) @[Mux.scala 27:72] + node _T_5080 = or(_T_5079, _T_4825) @[Mux.scala 27:72] + node _T_5081 = or(_T_5080, _T_4826) @[Mux.scala 27:72] + node _T_5082 = or(_T_5081, _T_4827) @[Mux.scala 27:72] + node _T_5083 = or(_T_5082, _T_4828) @[Mux.scala 27:72] + node _T_5084 = or(_T_5083, _T_4829) @[Mux.scala 27:72] + node _T_5085 = or(_T_5084, _T_4830) @[Mux.scala 27:72] + node _T_5086 = or(_T_5085, _T_4831) @[Mux.scala 27:72] + node _T_5087 = or(_T_5086, _T_4832) @[Mux.scala 27:72] + node _T_5088 = or(_T_5087, _T_4833) @[Mux.scala 27:72] + node _T_5089 = or(_T_5088, _T_4834) @[Mux.scala 27:72] + node _T_5090 = or(_T_5089, _T_4835) @[Mux.scala 27:72] + node _T_5091 = or(_T_5090, _T_4836) @[Mux.scala 27:72] + node _T_5092 = or(_T_5091, _T_4837) @[Mux.scala 27:72] + node _T_5093 = or(_T_5092, _T_4838) @[Mux.scala 27:72] + node _T_5094 = or(_T_5093, _T_4839) @[Mux.scala 27:72] + node _T_5095 = or(_T_5094, _T_4840) @[Mux.scala 27:72] + node _T_5096 = or(_T_5095, _T_4841) @[Mux.scala 27:72] + node _T_5097 = or(_T_5096, _T_4842) @[Mux.scala 27:72] + node _T_5098 = or(_T_5097, _T_4843) @[Mux.scala 27:72] + node _T_5099 = or(_T_5098, _T_4844) @[Mux.scala 27:72] + node _T_5100 = or(_T_5099, _T_4845) @[Mux.scala 27:72] + node _T_5101 = or(_T_5100, _T_4846) @[Mux.scala 27:72] + node _T_5102 = or(_T_5101, _T_4847) @[Mux.scala 27:72] + node _T_5103 = or(_T_5102, _T_4848) @[Mux.scala 27:72] + node _T_5104 = or(_T_5103, _T_4849) @[Mux.scala 27:72] + node _T_5105 = or(_T_5104, _T_4850) @[Mux.scala 27:72] + node _T_5106 = or(_T_5105, _T_4851) @[Mux.scala 27:72] + node _T_5107 = or(_T_5106, _T_4852) @[Mux.scala 27:72] + node _T_5108 = or(_T_5107, _T_4853) @[Mux.scala 27:72] + node _T_5109 = or(_T_5108, _T_4854) @[Mux.scala 27:72] + node _T_5110 = or(_T_5109, _T_4855) @[Mux.scala 27:72] + node _T_5111 = or(_T_5110, _T_4856) @[Mux.scala 27:72] + node _T_5112 = or(_T_5111, _T_4857) @[Mux.scala 27:72] + node _T_5113 = or(_T_5112, _T_4858) @[Mux.scala 27:72] + node _T_5114 = or(_T_5113, _T_4859) @[Mux.scala 27:72] + node _T_5115 = or(_T_5114, _T_4860) @[Mux.scala 27:72] + node _T_5116 = or(_T_5115, _T_4861) @[Mux.scala 27:72] + node _T_5117 = or(_T_5116, _T_4862) @[Mux.scala 27:72] + node _T_5118 = or(_T_5117, _T_4863) @[Mux.scala 27:72] + node _T_5119 = or(_T_5118, _T_4864) @[Mux.scala 27:72] + node _T_5120 = or(_T_5119, _T_4865) @[Mux.scala 27:72] + node _T_5121 = or(_T_5120, _T_4866) @[Mux.scala 27:72] + node _T_5122 = or(_T_5121, _T_4867) @[Mux.scala 27:72] + node _T_5123 = or(_T_5122, _T_4868) @[Mux.scala 27:72] + node _T_5124 = or(_T_5123, _T_4869) @[Mux.scala 27:72] + node _T_5125 = or(_T_5124, _T_4870) @[Mux.scala 27:72] + node _T_5126 = or(_T_5125, _T_4871) @[Mux.scala 27:72] + node _T_5127 = or(_T_5126, _T_4872) @[Mux.scala 27:72] + node _T_5128 = or(_T_5127, _T_4873) @[Mux.scala 27:72] + node _T_5129 = or(_T_5128, _T_4874) @[Mux.scala 27:72] + node _T_5130 = or(_T_5129, _T_4875) @[Mux.scala 27:72] + node _T_5131 = or(_T_5130, _T_4876) @[Mux.scala 27:72] + node _T_5132 = or(_T_5131, _T_4877) @[Mux.scala 27:72] + node _T_5133 = or(_T_5132, _T_4878) @[Mux.scala 27:72] + node _T_5134 = or(_T_5133, _T_4879) @[Mux.scala 27:72] + node _T_5135 = or(_T_5134, _T_4880) @[Mux.scala 27:72] + node _T_5136 = or(_T_5135, _T_4881) @[Mux.scala 27:72] + node _T_5137 = or(_T_5136, _T_4882) @[Mux.scala 27:72] + node _T_5138 = or(_T_5137, _T_4883) @[Mux.scala 27:72] + node _T_5139 = or(_T_5138, _T_4884) @[Mux.scala 27:72] + node _T_5140 = or(_T_5139, _T_4885) @[Mux.scala 27:72] + node _T_5141 = or(_T_5140, _T_4886) @[Mux.scala 27:72] + node _T_5142 = or(_T_5141, _T_4887) @[Mux.scala 27:72] + node _T_5143 = or(_T_5142, _T_4888) @[Mux.scala 27:72] + node _T_5144 = or(_T_5143, _T_4889) @[Mux.scala 27:72] + node _T_5145 = or(_T_5144, _T_4890) @[Mux.scala 27:72] + node _T_5146 = or(_T_5145, _T_4891) @[Mux.scala 27:72] + node _T_5147 = or(_T_5146, _T_4892) @[Mux.scala 27:72] + node _T_5148 = or(_T_5147, _T_4893) @[Mux.scala 27:72] + node _T_5149 = or(_T_5148, _T_4894) @[Mux.scala 27:72] + node _T_5150 = or(_T_5149, _T_4895) @[Mux.scala 27:72] + node _T_5151 = or(_T_5150, _T_4896) @[Mux.scala 27:72] + node _T_5152 = or(_T_5151, _T_4897) @[Mux.scala 27:72] + node _T_5153 = or(_T_5152, _T_4898) @[Mux.scala 27:72] + node _T_5154 = or(_T_5153, _T_4899) @[Mux.scala 27:72] + node _T_5155 = or(_T_5154, _T_4900) @[Mux.scala 27:72] + node _T_5156 = or(_T_5155, _T_4901) @[Mux.scala 27:72] + node _T_5157 = or(_T_5156, _T_4902) @[Mux.scala 27:72] + node _T_5158 = or(_T_5157, _T_4903) @[Mux.scala 27:72] + node _T_5159 = or(_T_5158, _T_4904) @[Mux.scala 27:72] + node _T_5160 = or(_T_5159, _T_4905) @[Mux.scala 27:72] + node _T_5161 = or(_T_5160, _T_4906) @[Mux.scala 27:72] + node _T_5162 = or(_T_5161, _T_4907) @[Mux.scala 27:72] + node _T_5163 = or(_T_5162, _T_4908) @[Mux.scala 27:72] + node _T_5164 = or(_T_5163, _T_4909) @[Mux.scala 27:72] + node _T_5165 = or(_T_5164, _T_4910) @[Mux.scala 27:72] + node _T_5166 = or(_T_5165, _T_4911) @[Mux.scala 27:72] + node _T_5167 = or(_T_5166, _T_4912) @[Mux.scala 27:72] + node _T_5168 = or(_T_5167, _T_4913) @[Mux.scala 27:72] + node _T_5169 = or(_T_5168, _T_4914) @[Mux.scala 27:72] + node _T_5170 = or(_T_5169, _T_4915) @[Mux.scala 27:72] + node _T_5171 = or(_T_5170, _T_4916) @[Mux.scala 27:72] + node _T_5172 = or(_T_5171, _T_4917) @[Mux.scala 27:72] + node _T_5173 = or(_T_5172, _T_4918) @[Mux.scala 27:72] + node _T_5174 = or(_T_5173, _T_4919) @[Mux.scala 27:72] + node _T_5175 = or(_T_5174, _T_4920) @[Mux.scala 27:72] + node _T_5176 = or(_T_5175, _T_4921) @[Mux.scala 27:72] + node _T_5177 = or(_T_5176, _T_4922) @[Mux.scala 27:72] + node _T_5178 = or(_T_5177, _T_4923) @[Mux.scala 27:72] + node _T_5179 = or(_T_5178, _T_4924) @[Mux.scala 27:72] + node _T_5180 = or(_T_5179, _T_4925) @[Mux.scala 27:72] + node _T_5181 = or(_T_5180, _T_4926) @[Mux.scala 27:72] + node _T_5182 = or(_T_5181, _T_4927) @[Mux.scala 27:72] + wire _T_5183 : UInt @[Mux.scala 27:72] + _T_5183 <= _T_5182 @[Mux.scala 27:72] + btb_bank0_rd_data_way0_p1_f <= _T_5183 @[ifu_bp_ctl.scala 422:31] + node _T_5184 = eq(btb_rd_addr_p1_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 423:83] + node _T_5185 = bits(_T_5184, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5186 = eq(btb_rd_addr_p1_f, UInt<1>("h01")) @[ifu_bp_ctl.scala 423:83] + node _T_5187 = bits(_T_5186, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5188 = eq(btb_rd_addr_p1_f, UInt<2>("h02")) @[ifu_bp_ctl.scala 423:83] + node _T_5189 = bits(_T_5188, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5190 = eq(btb_rd_addr_p1_f, UInt<2>("h03")) @[ifu_bp_ctl.scala 423:83] + node _T_5191 = bits(_T_5190, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5192 = eq(btb_rd_addr_p1_f, UInt<3>("h04")) @[ifu_bp_ctl.scala 423:83] + node _T_5193 = bits(_T_5192, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5194 = eq(btb_rd_addr_p1_f, UInt<3>("h05")) @[ifu_bp_ctl.scala 423:83] + node _T_5195 = bits(_T_5194, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5196 = eq(btb_rd_addr_p1_f, UInt<3>("h06")) @[ifu_bp_ctl.scala 423:83] + node _T_5197 = bits(_T_5196, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5198 = eq(btb_rd_addr_p1_f, UInt<3>("h07")) @[ifu_bp_ctl.scala 423:83] + node _T_5199 = bits(_T_5198, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5200 = eq(btb_rd_addr_p1_f, UInt<4>("h08")) @[ifu_bp_ctl.scala 423:83] + node _T_5201 = bits(_T_5200, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5202 = eq(btb_rd_addr_p1_f, UInt<4>("h09")) @[ifu_bp_ctl.scala 423:83] + node _T_5203 = bits(_T_5202, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5204 = eq(btb_rd_addr_p1_f, UInt<4>("h0a")) @[ifu_bp_ctl.scala 423:83] + node _T_5205 = bits(_T_5204, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5206 = eq(btb_rd_addr_p1_f, UInt<4>("h0b")) @[ifu_bp_ctl.scala 423:83] + node _T_5207 = bits(_T_5206, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5208 = eq(btb_rd_addr_p1_f, UInt<4>("h0c")) @[ifu_bp_ctl.scala 423:83] + node _T_5209 = bits(_T_5208, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5210 = eq(btb_rd_addr_p1_f, UInt<4>("h0d")) @[ifu_bp_ctl.scala 423:83] + node _T_5211 = bits(_T_5210, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5212 = eq(btb_rd_addr_p1_f, UInt<4>("h0e")) @[ifu_bp_ctl.scala 423:83] + node _T_5213 = bits(_T_5212, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5214 = eq(btb_rd_addr_p1_f, UInt<4>("h0f")) @[ifu_bp_ctl.scala 423:83] + node _T_5215 = bits(_T_5214, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5216 = eq(btb_rd_addr_p1_f, UInt<5>("h010")) @[ifu_bp_ctl.scala 423:83] + node _T_5217 = bits(_T_5216, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5218 = eq(btb_rd_addr_p1_f, UInt<5>("h011")) @[ifu_bp_ctl.scala 423:83] + node _T_5219 = bits(_T_5218, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5220 = eq(btb_rd_addr_p1_f, UInt<5>("h012")) @[ifu_bp_ctl.scala 423:83] + node _T_5221 = bits(_T_5220, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5222 = eq(btb_rd_addr_p1_f, UInt<5>("h013")) @[ifu_bp_ctl.scala 423:83] + node _T_5223 = bits(_T_5222, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5224 = eq(btb_rd_addr_p1_f, UInt<5>("h014")) @[ifu_bp_ctl.scala 423:83] + node _T_5225 = bits(_T_5224, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5226 = eq(btb_rd_addr_p1_f, UInt<5>("h015")) @[ifu_bp_ctl.scala 423:83] + node _T_5227 = bits(_T_5226, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5228 = eq(btb_rd_addr_p1_f, UInt<5>("h016")) @[ifu_bp_ctl.scala 423:83] + node _T_5229 = bits(_T_5228, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5230 = eq(btb_rd_addr_p1_f, UInt<5>("h017")) @[ifu_bp_ctl.scala 423:83] + node _T_5231 = bits(_T_5230, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5232 = eq(btb_rd_addr_p1_f, UInt<5>("h018")) @[ifu_bp_ctl.scala 423:83] + node _T_5233 = bits(_T_5232, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5234 = eq(btb_rd_addr_p1_f, UInt<5>("h019")) @[ifu_bp_ctl.scala 423:83] + node _T_5235 = bits(_T_5234, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5236 = eq(btb_rd_addr_p1_f, UInt<5>("h01a")) @[ifu_bp_ctl.scala 423:83] + node _T_5237 = bits(_T_5236, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5238 = eq(btb_rd_addr_p1_f, UInt<5>("h01b")) @[ifu_bp_ctl.scala 423:83] + node _T_5239 = bits(_T_5238, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5240 = eq(btb_rd_addr_p1_f, UInt<5>("h01c")) @[ifu_bp_ctl.scala 423:83] + node _T_5241 = bits(_T_5240, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5242 = eq(btb_rd_addr_p1_f, UInt<5>("h01d")) @[ifu_bp_ctl.scala 423:83] + node _T_5243 = bits(_T_5242, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5244 = eq(btb_rd_addr_p1_f, UInt<5>("h01e")) @[ifu_bp_ctl.scala 423:83] + node _T_5245 = bits(_T_5244, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5246 = eq(btb_rd_addr_p1_f, UInt<5>("h01f")) @[ifu_bp_ctl.scala 423:83] + node _T_5247 = bits(_T_5246, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5248 = eq(btb_rd_addr_p1_f, UInt<6>("h020")) @[ifu_bp_ctl.scala 423:83] + node _T_5249 = bits(_T_5248, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5250 = eq(btb_rd_addr_p1_f, UInt<6>("h021")) @[ifu_bp_ctl.scala 423:83] + node _T_5251 = bits(_T_5250, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5252 = eq(btb_rd_addr_p1_f, UInt<6>("h022")) @[ifu_bp_ctl.scala 423:83] + node _T_5253 = bits(_T_5252, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5254 = eq(btb_rd_addr_p1_f, UInt<6>("h023")) @[ifu_bp_ctl.scala 423:83] + node _T_5255 = bits(_T_5254, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5256 = eq(btb_rd_addr_p1_f, UInt<6>("h024")) @[ifu_bp_ctl.scala 423:83] + node _T_5257 = bits(_T_5256, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5258 = eq(btb_rd_addr_p1_f, UInt<6>("h025")) @[ifu_bp_ctl.scala 423:83] + node _T_5259 = bits(_T_5258, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5260 = eq(btb_rd_addr_p1_f, UInt<6>("h026")) @[ifu_bp_ctl.scala 423:83] + node _T_5261 = bits(_T_5260, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5262 = eq(btb_rd_addr_p1_f, UInt<6>("h027")) @[ifu_bp_ctl.scala 423:83] + node _T_5263 = bits(_T_5262, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5264 = eq(btb_rd_addr_p1_f, UInt<6>("h028")) @[ifu_bp_ctl.scala 423:83] + node _T_5265 = bits(_T_5264, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5266 = eq(btb_rd_addr_p1_f, UInt<6>("h029")) @[ifu_bp_ctl.scala 423:83] + node _T_5267 = bits(_T_5266, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5268 = eq(btb_rd_addr_p1_f, UInt<6>("h02a")) @[ifu_bp_ctl.scala 423:83] + node _T_5269 = bits(_T_5268, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5270 = eq(btb_rd_addr_p1_f, UInt<6>("h02b")) @[ifu_bp_ctl.scala 423:83] + node _T_5271 = bits(_T_5270, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5272 = eq(btb_rd_addr_p1_f, UInt<6>("h02c")) @[ifu_bp_ctl.scala 423:83] + node _T_5273 = bits(_T_5272, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5274 = eq(btb_rd_addr_p1_f, UInt<6>("h02d")) @[ifu_bp_ctl.scala 423:83] + node _T_5275 = bits(_T_5274, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5276 = eq(btb_rd_addr_p1_f, UInt<6>("h02e")) @[ifu_bp_ctl.scala 423:83] + node _T_5277 = bits(_T_5276, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5278 = eq(btb_rd_addr_p1_f, UInt<6>("h02f")) @[ifu_bp_ctl.scala 423:83] + node _T_5279 = bits(_T_5278, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5280 = eq(btb_rd_addr_p1_f, UInt<6>("h030")) @[ifu_bp_ctl.scala 423:83] + node _T_5281 = bits(_T_5280, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5282 = eq(btb_rd_addr_p1_f, UInt<6>("h031")) @[ifu_bp_ctl.scala 423:83] + node _T_5283 = bits(_T_5282, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5284 = eq(btb_rd_addr_p1_f, UInt<6>("h032")) @[ifu_bp_ctl.scala 423:83] + node _T_5285 = bits(_T_5284, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5286 = eq(btb_rd_addr_p1_f, UInt<6>("h033")) @[ifu_bp_ctl.scala 423:83] + node _T_5287 = bits(_T_5286, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5288 = eq(btb_rd_addr_p1_f, UInt<6>("h034")) @[ifu_bp_ctl.scala 423:83] + node _T_5289 = bits(_T_5288, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5290 = eq(btb_rd_addr_p1_f, UInt<6>("h035")) @[ifu_bp_ctl.scala 423:83] + node _T_5291 = bits(_T_5290, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5292 = eq(btb_rd_addr_p1_f, UInt<6>("h036")) @[ifu_bp_ctl.scala 423:83] + node _T_5293 = bits(_T_5292, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5294 = eq(btb_rd_addr_p1_f, UInt<6>("h037")) @[ifu_bp_ctl.scala 423:83] + node _T_5295 = bits(_T_5294, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5296 = eq(btb_rd_addr_p1_f, UInt<6>("h038")) @[ifu_bp_ctl.scala 423:83] + node _T_5297 = bits(_T_5296, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5298 = eq(btb_rd_addr_p1_f, UInt<6>("h039")) @[ifu_bp_ctl.scala 423:83] + node _T_5299 = bits(_T_5298, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5300 = eq(btb_rd_addr_p1_f, UInt<6>("h03a")) @[ifu_bp_ctl.scala 423:83] + node _T_5301 = bits(_T_5300, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5302 = eq(btb_rd_addr_p1_f, UInt<6>("h03b")) @[ifu_bp_ctl.scala 423:83] + node _T_5303 = bits(_T_5302, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5304 = eq(btb_rd_addr_p1_f, UInt<6>("h03c")) @[ifu_bp_ctl.scala 423:83] + node _T_5305 = bits(_T_5304, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5306 = eq(btb_rd_addr_p1_f, UInt<6>("h03d")) @[ifu_bp_ctl.scala 423:83] + node _T_5307 = bits(_T_5306, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5308 = eq(btb_rd_addr_p1_f, UInt<6>("h03e")) @[ifu_bp_ctl.scala 423:83] + node _T_5309 = bits(_T_5308, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5310 = eq(btb_rd_addr_p1_f, UInt<6>("h03f")) @[ifu_bp_ctl.scala 423:83] + node _T_5311 = bits(_T_5310, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5312 = eq(btb_rd_addr_p1_f, UInt<7>("h040")) @[ifu_bp_ctl.scala 423:83] + node _T_5313 = bits(_T_5312, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5314 = eq(btb_rd_addr_p1_f, UInt<7>("h041")) @[ifu_bp_ctl.scala 423:83] + node _T_5315 = bits(_T_5314, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5316 = eq(btb_rd_addr_p1_f, UInt<7>("h042")) @[ifu_bp_ctl.scala 423:83] + node _T_5317 = bits(_T_5316, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5318 = eq(btb_rd_addr_p1_f, UInt<7>("h043")) @[ifu_bp_ctl.scala 423:83] + node _T_5319 = bits(_T_5318, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5320 = eq(btb_rd_addr_p1_f, UInt<7>("h044")) @[ifu_bp_ctl.scala 423:83] + node _T_5321 = bits(_T_5320, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5322 = eq(btb_rd_addr_p1_f, UInt<7>("h045")) @[ifu_bp_ctl.scala 423:83] + node _T_5323 = bits(_T_5322, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5324 = eq(btb_rd_addr_p1_f, UInt<7>("h046")) @[ifu_bp_ctl.scala 423:83] + node _T_5325 = bits(_T_5324, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5326 = eq(btb_rd_addr_p1_f, UInt<7>("h047")) @[ifu_bp_ctl.scala 423:83] + node _T_5327 = bits(_T_5326, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5328 = eq(btb_rd_addr_p1_f, UInt<7>("h048")) @[ifu_bp_ctl.scala 423:83] + node _T_5329 = bits(_T_5328, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5330 = eq(btb_rd_addr_p1_f, UInt<7>("h049")) @[ifu_bp_ctl.scala 423:83] + node _T_5331 = bits(_T_5330, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5332 = eq(btb_rd_addr_p1_f, UInt<7>("h04a")) @[ifu_bp_ctl.scala 423:83] + node _T_5333 = bits(_T_5332, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5334 = eq(btb_rd_addr_p1_f, UInt<7>("h04b")) @[ifu_bp_ctl.scala 423:83] + node _T_5335 = bits(_T_5334, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5336 = eq(btb_rd_addr_p1_f, UInt<7>("h04c")) @[ifu_bp_ctl.scala 423:83] + node _T_5337 = bits(_T_5336, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5338 = eq(btb_rd_addr_p1_f, UInt<7>("h04d")) @[ifu_bp_ctl.scala 423:83] + node _T_5339 = bits(_T_5338, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5340 = eq(btb_rd_addr_p1_f, UInt<7>("h04e")) @[ifu_bp_ctl.scala 423:83] + node _T_5341 = bits(_T_5340, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5342 = eq(btb_rd_addr_p1_f, UInt<7>("h04f")) @[ifu_bp_ctl.scala 423:83] + node _T_5343 = bits(_T_5342, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5344 = eq(btb_rd_addr_p1_f, UInt<7>("h050")) @[ifu_bp_ctl.scala 423:83] + node _T_5345 = bits(_T_5344, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5346 = eq(btb_rd_addr_p1_f, UInt<7>("h051")) @[ifu_bp_ctl.scala 423:83] + node _T_5347 = bits(_T_5346, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5348 = eq(btb_rd_addr_p1_f, UInt<7>("h052")) @[ifu_bp_ctl.scala 423:83] + node _T_5349 = bits(_T_5348, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5350 = eq(btb_rd_addr_p1_f, UInt<7>("h053")) @[ifu_bp_ctl.scala 423:83] + node _T_5351 = bits(_T_5350, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5352 = eq(btb_rd_addr_p1_f, UInt<7>("h054")) @[ifu_bp_ctl.scala 423:83] + node _T_5353 = bits(_T_5352, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5354 = eq(btb_rd_addr_p1_f, UInt<7>("h055")) @[ifu_bp_ctl.scala 423:83] + node _T_5355 = bits(_T_5354, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5356 = eq(btb_rd_addr_p1_f, UInt<7>("h056")) @[ifu_bp_ctl.scala 423:83] + node _T_5357 = bits(_T_5356, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5358 = eq(btb_rd_addr_p1_f, UInt<7>("h057")) @[ifu_bp_ctl.scala 423:83] + node _T_5359 = bits(_T_5358, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5360 = eq(btb_rd_addr_p1_f, UInt<7>("h058")) @[ifu_bp_ctl.scala 423:83] + node _T_5361 = bits(_T_5360, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5362 = eq(btb_rd_addr_p1_f, UInt<7>("h059")) @[ifu_bp_ctl.scala 423:83] + node _T_5363 = bits(_T_5362, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5364 = eq(btb_rd_addr_p1_f, UInt<7>("h05a")) @[ifu_bp_ctl.scala 423:83] + node _T_5365 = bits(_T_5364, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5366 = eq(btb_rd_addr_p1_f, UInt<7>("h05b")) @[ifu_bp_ctl.scala 423:83] + node _T_5367 = bits(_T_5366, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5368 = eq(btb_rd_addr_p1_f, UInt<7>("h05c")) @[ifu_bp_ctl.scala 423:83] + node _T_5369 = bits(_T_5368, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5370 = eq(btb_rd_addr_p1_f, UInt<7>("h05d")) @[ifu_bp_ctl.scala 423:83] + node _T_5371 = bits(_T_5370, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5372 = eq(btb_rd_addr_p1_f, UInt<7>("h05e")) @[ifu_bp_ctl.scala 423:83] + node _T_5373 = bits(_T_5372, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5374 = eq(btb_rd_addr_p1_f, UInt<7>("h05f")) @[ifu_bp_ctl.scala 423:83] + node _T_5375 = bits(_T_5374, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5376 = eq(btb_rd_addr_p1_f, UInt<7>("h060")) @[ifu_bp_ctl.scala 423:83] + node _T_5377 = bits(_T_5376, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5378 = eq(btb_rd_addr_p1_f, UInt<7>("h061")) @[ifu_bp_ctl.scala 423:83] + node _T_5379 = bits(_T_5378, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5380 = eq(btb_rd_addr_p1_f, UInt<7>("h062")) @[ifu_bp_ctl.scala 423:83] + node _T_5381 = bits(_T_5380, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5382 = eq(btb_rd_addr_p1_f, UInt<7>("h063")) @[ifu_bp_ctl.scala 423:83] + node _T_5383 = bits(_T_5382, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5384 = eq(btb_rd_addr_p1_f, UInt<7>("h064")) @[ifu_bp_ctl.scala 423:83] + node _T_5385 = bits(_T_5384, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5386 = eq(btb_rd_addr_p1_f, UInt<7>("h065")) @[ifu_bp_ctl.scala 423:83] + node _T_5387 = bits(_T_5386, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5388 = eq(btb_rd_addr_p1_f, UInt<7>("h066")) @[ifu_bp_ctl.scala 423:83] + node _T_5389 = bits(_T_5388, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5390 = eq(btb_rd_addr_p1_f, UInt<7>("h067")) @[ifu_bp_ctl.scala 423:83] + node _T_5391 = bits(_T_5390, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5392 = eq(btb_rd_addr_p1_f, UInt<7>("h068")) @[ifu_bp_ctl.scala 423:83] + node _T_5393 = bits(_T_5392, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5394 = eq(btb_rd_addr_p1_f, UInt<7>("h069")) @[ifu_bp_ctl.scala 423:83] + node _T_5395 = bits(_T_5394, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5396 = eq(btb_rd_addr_p1_f, UInt<7>("h06a")) @[ifu_bp_ctl.scala 423:83] + node _T_5397 = bits(_T_5396, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5398 = eq(btb_rd_addr_p1_f, UInt<7>("h06b")) @[ifu_bp_ctl.scala 423:83] + node _T_5399 = bits(_T_5398, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5400 = eq(btb_rd_addr_p1_f, UInt<7>("h06c")) @[ifu_bp_ctl.scala 423:83] + node _T_5401 = bits(_T_5400, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5402 = eq(btb_rd_addr_p1_f, UInt<7>("h06d")) @[ifu_bp_ctl.scala 423:83] + node _T_5403 = bits(_T_5402, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5404 = eq(btb_rd_addr_p1_f, UInt<7>("h06e")) @[ifu_bp_ctl.scala 423:83] + node _T_5405 = bits(_T_5404, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5406 = eq(btb_rd_addr_p1_f, UInt<7>("h06f")) @[ifu_bp_ctl.scala 423:83] + node _T_5407 = bits(_T_5406, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5408 = eq(btb_rd_addr_p1_f, UInt<7>("h070")) @[ifu_bp_ctl.scala 423:83] + node _T_5409 = bits(_T_5408, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5410 = eq(btb_rd_addr_p1_f, UInt<7>("h071")) @[ifu_bp_ctl.scala 423:83] + node _T_5411 = bits(_T_5410, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5412 = eq(btb_rd_addr_p1_f, UInt<7>("h072")) @[ifu_bp_ctl.scala 423:83] + node _T_5413 = bits(_T_5412, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5414 = eq(btb_rd_addr_p1_f, UInt<7>("h073")) @[ifu_bp_ctl.scala 423:83] + node _T_5415 = bits(_T_5414, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5416 = eq(btb_rd_addr_p1_f, UInt<7>("h074")) @[ifu_bp_ctl.scala 423:83] + node _T_5417 = bits(_T_5416, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5418 = eq(btb_rd_addr_p1_f, UInt<7>("h075")) @[ifu_bp_ctl.scala 423:83] + node _T_5419 = bits(_T_5418, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5420 = eq(btb_rd_addr_p1_f, UInt<7>("h076")) @[ifu_bp_ctl.scala 423:83] + node _T_5421 = bits(_T_5420, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5422 = eq(btb_rd_addr_p1_f, UInt<7>("h077")) @[ifu_bp_ctl.scala 423:83] + node _T_5423 = bits(_T_5422, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5424 = eq(btb_rd_addr_p1_f, UInt<7>("h078")) @[ifu_bp_ctl.scala 423:83] + node _T_5425 = bits(_T_5424, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5426 = eq(btb_rd_addr_p1_f, UInt<7>("h079")) @[ifu_bp_ctl.scala 423:83] + node _T_5427 = bits(_T_5426, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5428 = eq(btb_rd_addr_p1_f, UInt<7>("h07a")) @[ifu_bp_ctl.scala 423:83] + node _T_5429 = bits(_T_5428, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5430 = eq(btb_rd_addr_p1_f, UInt<7>("h07b")) @[ifu_bp_ctl.scala 423:83] + node _T_5431 = bits(_T_5430, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5432 = eq(btb_rd_addr_p1_f, UInt<7>("h07c")) @[ifu_bp_ctl.scala 423:83] + node _T_5433 = bits(_T_5432, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5434 = eq(btb_rd_addr_p1_f, UInt<7>("h07d")) @[ifu_bp_ctl.scala 423:83] + node _T_5435 = bits(_T_5434, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5436 = eq(btb_rd_addr_p1_f, UInt<7>("h07e")) @[ifu_bp_ctl.scala 423:83] + node _T_5437 = bits(_T_5436, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5438 = eq(btb_rd_addr_p1_f, UInt<7>("h07f")) @[ifu_bp_ctl.scala 423:83] + node _T_5439 = bits(_T_5438, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5440 = eq(btb_rd_addr_p1_f, UInt<8>("h080")) @[ifu_bp_ctl.scala 423:83] + node _T_5441 = bits(_T_5440, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5442 = eq(btb_rd_addr_p1_f, UInt<8>("h081")) @[ifu_bp_ctl.scala 423:83] + node _T_5443 = bits(_T_5442, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5444 = eq(btb_rd_addr_p1_f, UInt<8>("h082")) @[ifu_bp_ctl.scala 423:83] + node _T_5445 = bits(_T_5444, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5446 = eq(btb_rd_addr_p1_f, UInt<8>("h083")) @[ifu_bp_ctl.scala 423:83] + node _T_5447 = bits(_T_5446, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5448 = eq(btb_rd_addr_p1_f, UInt<8>("h084")) @[ifu_bp_ctl.scala 423:83] + node _T_5449 = bits(_T_5448, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5450 = eq(btb_rd_addr_p1_f, UInt<8>("h085")) @[ifu_bp_ctl.scala 423:83] + node _T_5451 = bits(_T_5450, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5452 = eq(btb_rd_addr_p1_f, UInt<8>("h086")) @[ifu_bp_ctl.scala 423:83] + node _T_5453 = bits(_T_5452, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5454 = eq(btb_rd_addr_p1_f, UInt<8>("h087")) @[ifu_bp_ctl.scala 423:83] + node _T_5455 = bits(_T_5454, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5456 = eq(btb_rd_addr_p1_f, UInt<8>("h088")) @[ifu_bp_ctl.scala 423:83] + node _T_5457 = bits(_T_5456, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5458 = eq(btb_rd_addr_p1_f, UInt<8>("h089")) @[ifu_bp_ctl.scala 423:83] + node _T_5459 = bits(_T_5458, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5460 = eq(btb_rd_addr_p1_f, UInt<8>("h08a")) @[ifu_bp_ctl.scala 423:83] + node _T_5461 = bits(_T_5460, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5462 = eq(btb_rd_addr_p1_f, UInt<8>("h08b")) @[ifu_bp_ctl.scala 423:83] + node _T_5463 = bits(_T_5462, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5464 = eq(btb_rd_addr_p1_f, UInt<8>("h08c")) @[ifu_bp_ctl.scala 423:83] + node _T_5465 = bits(_T_5464, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5466 = eq(btb_rd_addr_p1_f, UInt<8>("h08d")) @[ifu_bp_ctl.scala 423:83] + node _T_5467 = bits(_T_5466, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5468 = eq(btb_rd_addr_p1_f, UInt<8>("h08e")) @[ifu_bp_ctl.scala 423:83] + node _T_5469 = bits(_T_5468, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5470 = eq(btb_rd_addr_p1_f, UInt<8>("h08f")) @[ifu_bp_ctl.scala 423:83] + node _T_5471 = bits(_T_5470, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5472 = eq(btb_rd_addr_p1_f, UInt<8>("h090")) @[ifu_bp_ctl.scala 423:83] + node _T_5473 = bits(_T_5472, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5474 = eq(btb_rd_addr_p1_f, UInt<8>("h091")) @[ifu_bp_ctl.scala 423:83] + node _T_5475 = bits(_T_5474, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5476 = eq(btb_rd_addr_p1_f, UInt<8>("h092")) @[ifu_bp_ctl.scala 423:83] + node _T_5477 = bits(_T_5476, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5478 = eq(btb_rd_addr_p1_f, UInt<8>("h093")) @[ifu_bp_ctl.scala 423:83] + node _T_5479 = bits(_T_5478, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5480 = eq(btb_rd_addr_p1_f, UInt<8>("h094")) @[ifu_bp_ctl.scala 423:83] + node _T_5481 = bits(_T_5480, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5482 = eq(btb_rd_addr_p1_f, UInt<8>("h095")) @[ifu_bp_ctl.scala 423:83] + node _T_5483 = bits(_T_5482, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5484 = eq(btb_rd_addr_p1_f, UInt<8>("h096")) @[ifu_bp_ctl.scala 423:83] + node _T_5485 = bits(_T_5484, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5486 = eq(btb_rd_addr_p1_f, UInt<8>("h097")) @[ifu_bp_ctl.scala 423:83] + node _T_5487 = bits(_T_5486, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5488 = eq(btb_rd_addr_p1_f, UInt<8>("h098")) @[ifu_bp_ctl.scala 423:83] + node _T_5489 = bits(_T_5488, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5490 = eq(btb_rd_addr_p1_f, UInt<8>("h099")) @[ifu_bp_ctl.scala 423:83] + node _T_5491 = bits(_T_5490, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5492 = eq(btb_rd_addr_p1_f, UInt<8>("h09a")) @[ifu_bp_ctl.scala 423:83] + node _T_5493 = bits(_T_5492, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5494 = eq(btb_rd_addr_p1_f, UInt<8>("h09b")) @[ifu_bp_ctl.scala 423:83] + node _T_5495 = bits(_T_5494, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5496 = eq(btb_rd_addr_p1_f, UInt<8>("h09c")) @[ifu_bp_ctl.scala 423:83] + node _T_5497 = bits(_T_5496, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5498 = eq(btb_rd_addr_p1_f, UInt<8>("h09d")) @[ifu_bp_ctl.scala 423:83] + node _T_5499 = bits(_T_5498, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5500 = eq(btb_rd_addr_p1_f, UInt<8>("h09e")) @[ifu_bp_ctl.scala 423:83] + node _T_5501 = bits(_T_5500, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5502 = eq(btb_rd_addr_p1_f, UInt<8>("h09f")) @[ifu_bp_ctl.scala 423:83] + node _T_5503 = bits(_T_5502, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5504 = eq(btb_rd_addr_p1_f, UInt<8>("h0a0")) @[ifu_bp_ctl.scala 423:83] + node _T_5505 = bits(_T_5504, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5506 = eq(btb_rd_addr_p1_f, UInt<8>("h0a1")) @[ifu_bp_ctl.scala 423:83] + node _T_5507 = bits(_T_5506, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5508 = eq(btb_rd_addr_p1_f, UInt<8>("h0a2")) @[ifu_bp_ctl.scala 423:83] + node _T_5509 = bits(_T_5508, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5510 = eq(btb_rd_addr_p1_f, UInt<8>("h0a3")) @[ifu_bp_ctl.scala 423:83] + node _T_5511 = bits(_T_5510, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5512 = eq(btb_rd_addr_p1_f, UInt<8>("h0a4")) @[ifu_bp_ctl.scala 423:83] + node _T_5513 = bits(_T_5512, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5514 = eq(btb_rd_addr_p1_f, UInt<8>("h0a5")) @[ifu_bp_ctl.scala 423:83] + node _T_5515 = bits(_T_5514, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5516 = eq(btb_rd_addr_p1_f, UInt<8>("h0a6")) @[ifu_bp_ctl.scala 423:83] + node _T_5517 = bits(_T_5516, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5518 = eq(btb_rd_addr_p1_f, UInt<8>("h0a7")) @[ifu_bp_ctl.scala 423:83] + node _T_5519 = bits(_T_5518, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5520 = eq(btb_rd_addr_p1_f, UInt<8>("h0a8")) @[ifu_bp_ctl.scala 423:83] + node _T_5521 = bits(_T_5520, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5522 = eq(btb_rd_addr_p1_f, UInt<8>("h0a9")) @[ifu_bp_ctl.scala 423:83] + node _T_5523 = bits(_T_5522, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5524 = eq(btb_rd_addr_p1_f, UInt<8>("h0aa")) @[ifu_bp_ctl.scala 423:83] + node _T_5525 = bits(_T_5524, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5526 = eq(btb_rd_addr_p1_f, UInt<8>("h0ab")) @[ifu_bp_ctl.scala 423:83] + node _T_5527 = bits(_T_5526, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5528 = eq(btb_rd_addr_p1_f, UInt<8>("h0ac")) @[ifu_bp_ctl.scala 423:83] + node _T_5529 = bits(_T_5528, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5530 = eq(btb_rd_addr_p1_f, UInt<8>("h0ad")) @[ifu_bp_ctl.scala 423:83] + node _T_5531 = bits(_T_5530, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5532 = eq(btb_rd_addr_p1_f, UInt<8>("h0ae")) @[ifu_bp_ctl.scala 423:83] + node _T_5533 = bits(_T_5532, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5534 = eq(btb_rd_addr_p1_f, UInt<8>("h0af")) @[ifu_bp_ctl.scala 423:83] + node _T_5535 = bits(_T_5534, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5536 = eq(btb_rd_addr_p1_f, UInt<8>("h0b0")) @[ifu_bp_ctl.scala 423:83] + node _T_5537 = bits(_T_5536, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5538 = eq(btb_rd_addr_p1_f, UInt<8>("h0b1")) @[ifu_bp_ctl.scala 423:83] + node _T_5539 = bits(_T_5538, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5540 = eq(btb_rd_addr_p1_f, UInt<8>("h0b2")) @[ifu_bp_ctl.scala 423:83] + node _T_5541 = bits(_T_5540, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5542 = eq(btb_rd_addr_p1_f, UInt<8>("h0b3")) @[ifu_bp_ctl.scala 423:83] + node _T_5543 = bits(_T_5542, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5544 = eq(btb_rd_addr_p1_f, UInt<8>("h0b4")) @[ifu_bp_ctl.scala 423:83] + node _T_5545 = bits(_T_5544, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5546 = eq(btb_rd_addr_p1_f, UInt<8>("h0b5")) @[ifu_bp_ctl.scala 423:83] + node _T_5547 = bits(_T_5546, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5548 = eq(btb_rd_addr_p1_f, UInt<8>("h0b6")) @[ifu_bp_ctl.scala 423:83] + node _T_5549 = bits(_T_5548, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5550 = eq(btb_rd_addr_p1_f, UInt<8>("h0b7")) @[ifu_bp_ctl.scala 423:83] + node _T_5551 = bits(_T_5550, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5552 = eq(btb_rd_addr_p1_f, UInt<8>("h0b8")) @[ifu_bp_ctl.scala 423:83] + node _T_5553 = bits(_T_5552, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5554 = eq(btb_rd_addr_p1_f, UInt<8>("h0b9")) @[ifu_bp_ctl.scala 423:83] + node _T_5555 = bits(_T_5554, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5556 = eq(btb_rd_addr_p1_f, UInt<8>("h0ba")) @[ifu_bp_ctl.scala 423:83] + node _T_5557 = bits(_T_5556, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5558 = eq(btb_rd_addr_p1_f, UInt<8>("h0bb")) @[ifu_bp_ctl.scala 423:83] + node _T_5559 = bits(_T_5558, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5560 = eq(btb_rd_addr_p1_f, UInt<8>("h0bc")) @[ifu_bp_ctl.scala 423:83] + node _T_5561 = bits(_T_5560, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5562 = eq(btb_rd_addr_p1_f, UInt<8>("h0bd")) @[ifu_bp_ctl.scala 423:83] + node _T_5563 = bits(_T_5562, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5564 = eq(btb_rd_addr_p1_f, UInt<8>("h0be")) @[ifu_bp_ctl.scala 423:83] + node _T_5565 = bits(_T_5564, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5566 = eq(btb_rd_addr_p1_f, UInt<8>("h0bf")) @[ifu_bp_ctl.scala 423:83] + node _T_5567 = bits(_T_5566, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5568 = eq(btb_rd_addr_p1_f, UInt<8>("h0c0")) @[ifu_bp_ctl.scala 423:83] + node _T_5569 = bits(_T_5568, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5570 = eq(btb_rd_addr_p1_f, UInt<8>("h0c1")) @[ifu_bp_ctl.scala 423:83] + node _T_5571 = bits(_T_5570, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5572 = eq(btb_rd_addr_p1_f, UInt<8>("h0c2")) @[ifu_bp_ctl.scala 423:83] + node _T_5573 = bits(_T_5572, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5574 = eq(btb_rd_addr_p1_f, UInt<8>("h0c3")) @[ifu_bp_ctl.scala 423:83] + node _T_5575 = bits(_T_5574, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5576 = eq(btb_rd_addr_p1_f, UInt<8>("h0c4")) @[ifu_bp_ctl.scala 423:83] + node _T_5577 = bits(_T_5576, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5578 = eq(btb_rd_addr_p1_f, UInt<8>("h0c5")) @[ifu_bp_ctl.scala 423:83] + node _T_5579 = bits(_T_5578, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5580 = eq(btb_rd_addr_p1_f, UInt<8>("h0c6")) @[ifu_bp_ctl.scala 423:83] + node _T_5581 = bits(_T_5580, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5582 = eq(btb_rd_addr_p1_f, UInt<8>("h0c7")) @[ifu_bp_ctl.scala 423:83] + node _T_5583 = bits(_T_5582, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5584 = eq(btb_rd_addr_p1_f, UInt<8>("h0c8")) @[ifu_bp_ctl.scala 423:83] + node _T_5585 = bits(_T_5584, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5586 = eq(btb_rd_addr_p1_f, UInt<8>("h0c9")) @[ifu_bp_ctl.scala 423:83] + node _T_5587 = bits(_T_5586, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5588 = eq(btb_rd_addr_p1_f, UInt<8>("h0ca")) @[ifu_bp_ctl.scala 423:83] + node _T_5589 = bits(_T_5588, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5590 = eq(btb_rd_addr_p1_f, UInt<8>("h0cb")) @[ifu_bp_ctl.scala 423:83] + node _T_5591 = bits(_T_5590, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5592 = eq(btb_rd_addr_p1_f, UInt<8>("h0cc")) @[ifu_bp_ctl.scala 423:83] + node _T_5593 = bits(_T_5592, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5594 = eq(btb_rd_addr_p1_f, UInt<8>("h0cd")) @[ifu_bp_ctl.scala 423:83] + node _T_5595 = bits(_T_5594, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5596 = eq(btb_rd_addr_p1_f, UInt<8>("h0ce")) @[ifu_bp_ctl.scala 423:83] + node _T_5597 = bits(_T_5596, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5598 = eq(btb_rd_addr_p1_f, UInt<8>("h0cf")) @[ifu_bp_ctl.scala 423:83] + node _T_5599 = bits(_T_5598, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5600 = eq(btb_rd_addr_p1_f, UInt<8>("h0d0")) @[ifu_bp_ctl.scala 423:83] + node _T_5601 = bits(_T_5600, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5602 = eq(btb_rd_addr_p1_f, UInt<8>("h0d1")) @[ifu_bp_ctl.scala 423:83] + node _T_5603 = bits(_T_5602, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5604 = eq(btb_rd_addr_p1_f, UInt<8>("h0d2")) @[ifu_bp_ctl.scala 423:83] + node _T_5605 = bits(_T_5604, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5606 = eq(btb_rd_addr_p1_f, UInt<8>("h0d3")) @[ifu_bp_ctl.scala 423:83] + node _T_5607 = bits(_T_5606, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5608 = eq(btb_rd_addr_p1_f, UInt<8>("h0d4")) @[ifu_bp_ctl.scala 423:83] + node _T_5609 = bits(_T_5608, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5610 = eq(btb_rd_addr_p1_f, UInt<8>("h0d5")) @[ifu_bp_ctl.scala 423:83] + node _T_5611 = bits(_T_5610, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5612 = eq(btb_rd_addr_p1_f, UInt<8>("h0d6")) @[ifu_bp_ctl.scala 423:83] + node _T_5613 = bits(_T_5612, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5614 = eq(btb_rd_addr_p1_f, UInt<8>("h0d7")) @[ifu_bp_ctl.scala 423:83] + node _T_5615 = bits(_T_5614, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5616 = eq(btb_rd_addr_p1_f, UInt<8>("h0d8")) @[ifu_bp_ctl.scala 423:83] + node _T_5617 = bits(_T_5616, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5618 = eq(btb_rd_addr_p1_f, UInt<8>("h0d9")) @[ifu_bp_ctl.scala 423:83] + node _T_5619 = bits(_T_5618, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5620 = eq(btb_rd_addr_p1_f, UInt<8>("h0da")) @[ifu_bp_ctl.scala 423:83] + node _T_5621 = bits(_T_5620, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5622 = eq(btb_rd_addr_p1_f, UInt<8>("h0db")) @[ifu_bp_ctl.scala 423:83] + node _T_5623 = bits(_T_5622, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5624 = eq(btb_rd_addr_p1_f, UInt<8>("h0dc")) @[ifu_bp_ctl.scala 423:83] + node _T_5625 = bits(_T_5624, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5626 = eq(btb_rd_addr_p1_f, UInt<8>("h0dd")) @[ifu_bp_ctl.scala 423:83] + node _T_5627 = bits(_T_5626, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5628 = eq(btb_rd_addr_p1_f, UInt<8>("h0de")) @[ifu_bp_ctl.scala 423:83] + node _T_5629 = bits(_T_5628, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5630 = eq(btb_rd_addr_p1_f, UInt<8>("h0df")) @[ifu_bp_ctl.scala 423:83] + node _T_5631 = bits(_T_5630, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5632 = eq(btb_rd_addr_p1_f, UInt<8>("h0e0")) @[ifu_bp_ctl.scala 423:83] + node _T_5633 = bits(_T_5632, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5634 = eq(btb_rd_addr_p1_f, UInt<8>("h0e1")) @[ifu_bp_ctl.scala 423:83] + node _T_5635 = bits(_T_5634, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5636 = eq(btb_rd_addr_p1_f, UInt<8>("h0e2")) @[ifu_bp_ctl.scala 423:83] + node _T_5637 = bits(_T_5636, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5638 = eq(btb_rd_addr_p1_f, UInt<8>("h0e3")) @[ifu_bp_ctl.scala 423:83] + node _T_5639 = bits(_T_5638, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5640 = eq(btb_rd_addr_p1_f, UInt<8>("h0e4")) @[ifu_bp_ctl.scala 423:83] + node _T_5641 = bits(_T_5640, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5642 = eq(btb_rd_addr_p1_f, UInt<8>("h0e5")) @[ifu_bp_ctl.scala 423:83] + node _T_5643 = bits(_T_5642, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5644 = eq(btb_rd_addr_p1_f, UInt<8>("h0e6")) @[ifu_bp_ctl.scala 423:83] + node _T_5645 = bits(_T_5644, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5646 = eq(btb_rd_addr_p1_f, UInt<8>("h0e7")) @[ifu_bp_ctl.scala 423:83] + node _T_5647 = bits(_T_5646, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5648 = eq(btb_rd_addr_p1_f, UInt<8>("h0e8")) @[ifu_bp_ctl.scala 423:83] + node _T_5649 = bits(_T_5648, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5650 = eq(btb_rd_addr_p1_f, UInt<8>("h0e9")) @[ifu_bp_ctl.scala 423:83] + node _T_5651 = bits(_T_5650, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5652 = eq(btb_rd_addr_p1_f, UInt<8>("h0ea")) @[ifu_bp_ctl.scala 423:83] + node _T_5653 = bits(_T_5652, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5654 = eq(btb_rd_addr_p1_f, UInt<8>("h0eb")) @[ifu_bp_ctl.scala 423:83] + node _T_5655 = bits(_T_5654, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5656 = eq(btb_rd_addr_p1_f, UInt<8>("h0ec")) @[ifu_bp_ctl.scala 423:83] + node _T_5657 = bits(_T_5656, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5658 = eq(btb_rd_addr_p1_f, UInt<8>("h0ed")) @[ifu_bp_ctl.scala 423:83] + node _T_5659 = bits(_T_5658, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5660 = eq(btb_rd_addr_p1_f, UInt<8>("h0ee")) @[ifu_bp_ctl.scala 423:83] + node _T_5661 = bits(_T_5660, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5662 = eq(btb_rd_addr_p1_f, UInt<8>("h0ef")) @[ifu_bp_ctl.scala 423:83] + node _T_5663 = bits(_T_5662, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5664 = eq(btb_rd_addr_p1_f, UInt<8>("h0f0")) @[ifu_bp_ctl.scala 423:83] + node _T_5665 = bits(_T_5664, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5666 = eq(btb_rd_addr_p1_f, UInt<8>("h0f1")) @[ifu_bp_ctl.scala 423:83] + node _T_5667 = bits(_T_5666, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5668 = eq(btb_rd_addr_p1_f, UInt<8>("h0f2")) @[ifu_bp_ctl.scala 423:83] + node _T_5669 = bits(_T_5668, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5670 = eq(btb_rd_addr_p1_f, UInt<8>("h0f3")) @[ifu_bp_ctl.scala 423:83] + node _T_5671 = bits(_T_5670, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5672 = eq(btb_rd_addr_p1_f, UInt<8>("h0f4")) @[ifu_bp_ctl.scala 423:83] + node _T_5673 = bits(_T_5672, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5674 = eq(btb_rd_addr_p1_f, UInt<8>("h0f5")) @[ifu_bp_ctl.scala 423:83] + node _T_5675 = bits(_T_5674, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5676 = eq(btb_rd_addr_p1_f, UInt<8>("h0f6")) @[ifu_bp_ctl.scala 423:83] + node _T_5677 = bits(_T_5676, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5678 = eq(btb_rd_addr_p1_f, UInt<8>("h0f7")) @[ifu_bp_ctl.scala 423:83] + node _T_5679 = bits(_T_5678, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5680 = eq(btb_rd_addr_p1_f, UInt<8>("h0f8")) @[ifu_bp_ctl.scala 423:83] + node _T_5681 = bits(_T_5680, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5682 = eq(btb_rd_addr_p1_f, UInt<8>("h0f9")) @[ifu_bp_ctl.scala 423:83] + node _T_5683 = bits(_T_5682, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5684 = eq(btb_rd_addr_p1_f, UInt<8>("h0fa")) @[ifu_bp_ctl.scala 423:83] + node _T_5685 = bits(_T_5684, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5686 = eq(btb_rd_addr_p1_f, UInt<8>("h0fb")) @[ifu_bp_ctl.scala 423:83] + node _T_5687 = bits(_T_5686, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5688 = eq(btb_rd_addr_p1_f, UInt<8>("h0fc")) @[ifu_bp_ctl.scala 423:83] + node _T_5689 = bits(_T_5688, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5690 = eq(btb_rd_addr_p1_f, UInt<8>("h0fd")) @[ifu_bp_ctl.scala 423:83] + node _T_5691 = bits(_T_5690, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5692 = eq(btb_rd_addr_p1_f, UInt<8>("h0fe")) @[ifu_bp_ctl.scala 423:83] + node _T_5693 = bits(_T_5692, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5694 = eq(btb_rd_addr_p1_f, UInt<8>("h0ff")) @[ifu_bp_ctl.scala 423:83] + node _T_5695 = bits(_T_5694, 0, 0) @[ifu_bp_ctl.scala 423:91] + node _T_5696 = mux(_T_5185, btb_bank0_rd_data_way1_out_0, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5697 = mux(_T_5187, btb_bank0_rd_data_way1_out_1, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5698 = mux(_T_5189, btb_bank0_rd_data_way1_out_2, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5699 = mux(_T_5191, btb_bank0_rd_data_way1_out_3, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5700 = mux(_T_5193, btb_bank0_rd_data_way1_out_4, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5701 = mux(_T_5195, btb_bank0_rd_data_way1_out_5, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5702 = mux(_T_5197, btb_bank0_rd_data_way1_out_6, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5703 = mux(_T_5199, btb_bank0_rd_data_way1_out_7, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5704 = mux(_T_5201, btb_bank0_rd_data_way1_out_8, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5705 = mux(_T_5203, btb_bank0_rd_data_way1_out_9, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5706 = mux(_T_5205, btb_bank0_rd_data_way1_out_10, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5707 = mux(_T_5207, btb_bank0_rd_data_way1_out_11, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5708 = mux(_T_5209, btb_bank0_rd_data_way1_out_12, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5709 = mux(_T_5211, btb_bank0_rd_data_way1_out_13, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5710 = mux(_T_5213, btb_bank0_rd_data_way1_out_14, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5711 = mux(_T_5215, btb_bank0_rd_data_way1_out_15, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5712 = mux(_T_5217, btb_bank0_rd_data_way1_out_16, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5713 = mux(_T_5219, btb_bank0_rd_data_way1_out_17, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5714 = mux(_T_5221, btb_bank0_rd_data_way1_out_18, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5715 = mux(_T_5223, btb_bank0_rd_data_way1_out_19, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5716 = mux(_T_5225, btb_bank0_rd_data_way1_out_20, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5717 = mux(_T_5227, btb_bank0_rd_data_way1_out_21, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5718 = mux(_T_5229, btb_bank0_rd_data_way1_out_22, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5719 = mux(_T_5231, btb_bank0_rd_data_way1_out_23, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5720 = mux(_T_5233, btb_bank0_rd_data_way1_out_24, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5721 = mux(_T_5235, btb_bank0_rd_data_way1_out_25, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5722 = mux(_T_5237, btb_bank0_rd_data_way1_out_26, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5723 = mux(_T_5239, btb_bank0_rd_data_way1_out_27, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5724 = mux(_T_5241, btb_bank0_rd_data_way1_out_28, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5725 = mux(_T_5243, btb_bank0_rd_data_way1_out_29, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5726 = mux(_T_5245, btb_bank0_rd_data_way1_out_30, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5727 = mux(_T_5247, btb_bank0_rd_data_way1_out_31, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5728 = mux(_T_5249, btb_bank0_rd_data_way1_out_32, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5729 = mux(_T_5251, btb_bank0_rd_data_way1_out_33, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5730 = mux(_T_5253, btb_bank0_rd_data_way1_out_34, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5731 = mux(_T_5255, btb_bank0_rd_data_way1_out_35, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5732 = mux(_T_5257, btb_bank0_rd_data_way1_out_36, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5733 = mux(_T_5259, btb_bank0_rd_data_way1_out_37, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5734 = mux(_T_5261, btb_bank0_rd_data_way1_out_38, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5735 = mux(_T_5263, btb_bank0_rd_data_way1_out_39, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5736 = mux(_T_5265, btb_bank0_rd_data_way1_out_40, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5737 = mux(_T_5267, btb_bank0_rd_data_way1_out_41, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5738 = mux(_T_5269, btb_bank0_rd_data_way1_out_42, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5739 = mux(_T_5271, btb_bank0_rd_data_way1_out_43, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5740 = mux(_T_5273, btb_bank0_rd_data_way1_out_44, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5741 = mux(_T_5275, btb_bank0_rd_data_way1_out_45, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5742 = mux(_T_5277, btb_bank0_rd_data_way1_out_46, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5743 = mux(_T_5279, btb_bank0_rd_data_way1_out_47, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5744 = mux(_T_5281, btb_bank0_rd_data_way1_out_48, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5745 = mux(_T_5283, btb_bank0_rd_data_way1_out_49, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5746 = mux(_T_5285, btb_bank0_rd_data_way1_out_50, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5747 = mux(_T_5287, btb_bank0_rd_data_way1_out_51, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5748 = mux(_T_5289, btb_bank0_rd_data_way1_out_52, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5749 = mux(_T_5291, btb_bank0_rd_data_way1_out_53, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5750 = mux(_T_5293, btb_bank0_rd_data_way1_out_54, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5751 = mux(_T_5295, btb_bank0_rd_data_way1_out_55, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5752 = mux(_T_5297, btb_bank0_rd_data_way1_out_56, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5753 = mux(_T_5299, btb_bank0_rd_data_way1_out_57, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5754 = mux(_T_5301, btb_bank0_rd_data_way1_out_58, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5755 = mux(_T_5303, btb_bank0_rd_data_way1_out_59, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5756 = mux(_T_5305, btb_bank0_rd_data_way1_out_60, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5757 = mux(_T_5307, btb_bank0_rd_data_way1_out_61, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5758 = mux(_T_5309, btb_bank0_rd_data_way1_out_62, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5759 = mux(_T_5311, btb_bank0_rd_data_way1_out_63, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5760 = mux(_T_5313, btb_bank0_rd_data_way1_out_64, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5761 = mux(_T_5315, btb_bank0_rd_data_way1_out_65, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5762 = mux(_T_5317, btb_bank0_rd_data_way1_out_66, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5763 = mux(_T_5319, btb_bank0_rd_data_way1_out_67, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5764 = mux(_T_5321, btb_bank0_rd_data_way1_out_68, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5765 = mux(_T_5323, btb_bank0_rd_data_way1_out_69, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5766 = mux(_T_5325, btb_bank0_rd_data_way1_out_70, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5767 = mux(_T_5327, btb_bank0_rd_data_way1_out_71, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5768 = mux(_T_5329, btb_bank0_rd_data_way1_out_72, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5769 = mux(_T_5331, btb_bank0_rd_data_way1_out_73, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5770 = mux(_T_5333, btb_bank0_rd_data_way1_out_74, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5771 = mux(_T_5335, btb_bank0_rd_data_way1_out_75, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5772 = mux(_T_5337, btb_bank0_rd_data_way1_out_76, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5773 = mux(_T_5339, btb_bank0_rd_data_way1_out_77, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5774 = mux(_T_5341, btb_bank0_rd_data_way1_out_78, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5775 = mux(_T_5343, btb_bank0_rd_data_way1_out_79, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5776 = mux(_T_5345, btb_bank0_rd_data_way1_out_80, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5777 = mux(_T_5347, btb_bank0_rd_data_way1_out_81, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5778 = mux(_T_5349, btb_bank0_rd_data_way1_out_82, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5779 = mux(_T_5351, btb_bank0_rd_data_way1_out_83, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5780 = mux(_T_5353, btb_bank0_rd_data_way1_out_84, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5781 = mux(_T_5355, btb_bank0_rd_data_way1_out_85, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5782 = mux(_T_5357, btb_bank0_rd_data_way1_out_86, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5783 = mux(_T_5359, btb_bank0_rd_data_way1_out_87, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5784 = mux(_T_5361, btb_bank0_rd_data_way1_out_88, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5785 = mux(_T_5363, btb_bank0_rd_data_way1_out_89, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5786 = mux(_T_5365, btb_bank0_rd_data_way1_out_90, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5787 = mux(_T_5367, btb_bank0_rd_data_way1_out_91, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5788 = mux(_T_5369, btb_bank0_rd_data_way1_out_92, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5789 = mux(_T_5371, btb_bank0_rd_data_way1_out_93, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5790 = mux(_T_5373, btb_bank0_rd_data_way1_out_94, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5791 = mux(_T_5375, btb_bank0_rd_data_way1_out_95, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5792 = mux(_T_5377, btb_bank0_rd_data_way1_out_96, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5793 = mux(_T_5379, btb_bank0_rd_data_way1_out_97, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5794 = mux(_T_5381, btb_bank0_rd_data_way1_out_98, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5795 = mux(_T_5383, btb_bank0_rd_data_way1_out_99, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5796 = mux(_T_5385, btb_bank0_rd_data_way1_out_100, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5797 = mux(_T_5387, btb_bank0_rd_data_way1_out_101, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5798 = mux(_T_5389, btb_bank0_rd_data_way1_out_102, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5799 = mux(_T_5391, btb_bank0_rd_data_way1_out_103, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5800 = mux(_T_5393, btb_bank0_rd_data_way1_out_104, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5801 = mux(_T_5395, btb_bank0_rd_data_way1_out_105, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5802 = mux(_T_5397, btb_bank0_rd_data_way1_out_106, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5803 = mux(_T_5399, btb_bank0_rd_data_way1_out_107, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5804 = mux(_T_5401, btb_bank0_rd_data_way1_out_108, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5805 = mux(_T_5403, btb_bank0_rd_data_way1_out_109, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5806 = mux(_T_5405, btb_bank0_rd_data_way1_out_110, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5807 = mux(_T_5407, btb_bank0_rd_data_way1_out_111, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5808 = mux(_T_5409, btb_bank0_rd_data_way1_out_112, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5809 = mux(_T_5411, btb_bank0_rd_data_way1_out_113, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5810 = mux(_T_5413, btb_bank0_rd_data_way1_out_114, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5811 = mux(_T_5415, btb_bank0_rd_data_way1_out_115, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5812 = mux(_T_5417, btb_bank0_rd_data_way1_out_116, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5813 = mux(_T_5419, btb_bank0_rd_data_way1_out_117, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5814 = mux(_T_5421, btb_bank0_rd_data_way1_out_118, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5815 = mux(_T_5423, btb_bank0_rd_data_way1_out_119, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5816 = mux(_T_5425, btb_bank0_rd_data_way1_out_120, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5817 = mux(_T_5427, btb_bank0_rd_data_way1_out_121, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5818 = mux(_T_5429, btb_bank0_rd_data_way1_out_122, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5819 = mux(_T_5431, btb_bank0_rd_data_way1_out_123, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5820 = mux(_T_5433, btb_bank0_rd_data_way1_out_124, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5821 = mux(_T_5435, btb_bank0_rd_data_way1_out_125, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5822 = mux(_T_5437, btb_bank0_rd_data_way1_out_126, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5823 = mux(_T_5439, btb_bank0_rd_data_way1_out_127, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5824 = mux(_T_5441, btb_bank0_rd_data_way1_out_128, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5825 = mux(_T_5443, btb_bank0_rd_data_way1_out_129, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5826 = mux(_T_5445, btb_bank0_rd_data_way1_out_130, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5827 = mux(_T_5447, btb_bank0_rd_data_way1_out_131, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5828 = mux(_T_5449, btb_bank0_rd_data_way1_out_132, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5829 = mux(_T_5451, btb_bank0_rd_data_way1_out_133, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5830 = mux(_T_5453, btb_bank0_rd_data_way1_out_134, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5831 = mux(_T_5455, btb_bank0_rd_data_way1_out_135, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5832 = mux(_T_5457, btb_bank0_rd_data_way1_out_136, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5833 = mux(_T_5459, btb_bank0_rd_data_way1_out_137, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5834 = mux(_T_5461, btb_bank0_rd_data_way1_out_138, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5835 = mux(_T_5463, btb_bank0_rd_data_way1_out_139, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5836 = mux(_T_5465, btb_bank0_rd_data_way1_out_140, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5837 = mux(_T_5467, btb_bank0_rd_data_way1_out_141, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5838 = mux(_T_5469, btb_bank0_rd_data_way1_out_142, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5839 = mux(_T_5471, btb_bank0_rd_data_way1_out_143, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5840 = mux(_T_5473, btb_bank0_rd_data_way1_out_144, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5841 = mux(_T_5475, btb_bank0_rd_data_way1_out_145, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5842 = mux(_T_5477, btb_bank0_rd_data_way1_out_146, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5843 = mux(_T_5479, btb_bank0_rd_data_way1_out_147, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5844 = mux(_T_5481, btb_bank0_rd_data_way1_out_148, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5845 = mux(_T_5483, btb_bank0_rd_data_way1_out_149, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5846 = mux(_T_5485, btb_bank0_rd_data_way1_out_150, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5847 = mux(_T_5487, btb_bank0_rd_data_way1_out_151, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5848 = mux(_T_5489, btb_bank0_rd_data_way1_out_152, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5849 = mux(_T_5491, btb_bank0_rd_data_way1_out_153, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5850 = mux(_T_5493, btb_bank0_rd_data_way1_out_154, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5851 = mux(_T_5495, btb_bank0_rd_data_way1_out_155, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5852 = mux(_T_5497, btb_bank0_rd_data_way1_out_156, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5853 = mux(_T_5499, btb_bank0_rd_data_way1_out_157, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5854 = mux(_T_5501, btb_bank0_rd_data_way1_out_158, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5855 = mux(_T_5503, btb_bank0_rd_data_way1_out_159, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5856 = mux(_T_5505, btb_bank0_rd_data_way1_out_160, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5857 = mux(_T_5507, btb_bank0_rd_data_way1_out_161, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5858 = mux(_T_5509, btb_bank0_rd_data_way1_out_162, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5859 = mux(_T_5511, btb_bank0_rd_data_way1_out_163, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5860 = mux(_T_5513, btb_bank0_rd_data_way1_out_164, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5861 = mux(_T_5515, btb_bank0_rd_data_way1_out_165, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5862 = mux(_T_5517, btb_bank0_rd_data_way1_out_166, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5863 = mux(_T_5519, btb_bank0_rd_data_way1_out_167, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5864 = mux(_T_5521, btb_bank0_rd_data_way1_out_168, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5865 = mux(_T_5523, btb_bank0_rd_data_way1_out_169, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5866 = mux(_T_5525, btb_bank0_rd_data_way1_out_170, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5867 = mux(_T_5527, btb_bank0_rd_data_way1_out_171, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5868 = mux(_T_5529, btb_bank0_rd_data_way1_out_172, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5869 = mux(_T_5531, btb_bank0_rd_data_way1_out_173, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5870 = mux(_T_5533, btb_bank0_rd_data_way1_out_174, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5871 = mux(_T_5535, btb_bank0_rd_data_way1_out_175, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5872 = mux(_T_5537, btb_bank0_rd_data_way1_out_176, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5873 = mux(_T_5539, btb_bank0_rd_data_way1_out_177, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5874 = mux(_T_5541, btb_bank0_rd_data_way1_out_178, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5875 = mux(_T_5543, btb_bank0_rd_data_way1_out_179, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5876 = mux(_T_5545, btb_bank0_rd_data_way1_out_180, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5877 = mux(_T_5547, btb_bank0_rd_data_way1_out_181, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5878 = mux(_T_5549, btb_bank0_rd_data_way1_out_182, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5879 = mux(_T_5551, btb_bank0_rd_data_way1_out_183, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5880 = mux(_T_5553, btb_bank0_rd_data_way1_out_184, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5881 = mux(_T_5555, btb_bank0_rd_data_way1_out_185, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5882 = mux(_T_5557, btb_bank0_rd_data_way1_out_186, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5883 = mux(_T_5559, btb_bank0_rd_data_way1_out_187, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5884 = mux(_T_5561, btb_bank0_rd_data_way1_out_188, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5885 = mux(_T_5563, btb_bank0_rd_data_way1_out_189, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5886 = mux(_T_5565, btb_bank0_rd_data_way1_out_190, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5887 = mux(_T_5567, btb_bank0_rd_data_way1_out_191, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5888 = mux(_T_5569, btb_bank0_rd_data_way1_out_192, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5889 = mux(_T_5571, btb_bank0_rd_data_way1_out_193, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5890 = mux(_T_5573, btb_bank0_rd_data_way1_out_194, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5891 = mux(_T_5575, btb_bank0_rd_data_way1_out_195, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5892 = mux(_T_5577, btb_bank0_rd_data_way1_out_196, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5893 = mux(_T_5579, btb_bank0_rd_data_way1_out_197, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5894 = mux(_T_5581, btb_bank0_rd_data_way1_out_198, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5895 = mux(_T_5583, btb_bank0_rd_data_way1_out_199, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5896 = mux(_T_5585, btb_bank0_rd_data_way1_out_200, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5897 = mux(_T_5587, btb_bank0_rd_data_way1_out_201, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5898 = mux(_T_5589, btb_bank0_rd_data_way1_out_202, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5899 = mux(_T_5591, btb_bank0_rd_data_way1_out_203, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5900 = mux(_T_5593, btb_bank0_rd_data_way1_out_204, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5901 = mux(_T_5595, btb_bank0_rd_data_way1_out_205, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5902 = mux(_T_5597, btb_bank0_rd_data_way1_out_206, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5903 = mux(_T_5599, btb_bank0_rd_data_way1_out_207, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5904 = mux(_T_5601, btb_bank0_rd_data_way1_out_208, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5905 = mux(_T_5603, btb_bank0_rd_data_way1_out_209, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5906 = mux(_T_5605, btb_bank0_rd_data_way1_out_210, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5907 = mux(_T_5607, btb_bank0_rd_data_way1_out_211, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5908 = mux(_T_5609, btb_bank0_rd_data_way1_out_212, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5909 = mux(_T_5611, btb_bank0_rd_data_way1_out_213, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5910 = mux(_T_5613, btb_bank0_rd_data_way1_out_214, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5911 = mux(_T_5615, btb_bank0_rd_data_way1_out_215, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5912 = mux(_T_5617, btb_bank0_rd_data_way1_out_216, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5913 = mux(_T_5619, btb_bank0_rd_data_way1_out_217, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5914 = mux(_T_5621, btb_bank0_rd_data_way1_out_218, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5915 = mux(_T_5623, btb_bank0_rd_data_way1_out_219, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5916 = mux(_T_5625, btb_bank0_rd_data_way1_out_220, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5917 = mux(_T_5627, btb_bank0_rd_data_way1_out_221, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5918 = mux(_T_5629, btb_bank0_rd_data_way1_out_222, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5919 = mux(_T_5631, btb_bank0_rd_data_way1_out_223, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5920 = mux(_T_5633, btb_bank0_rd_data_way1_out_224, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5921 = mux(_T_5635, btb_bank0_rd_data_way1_out_225, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5922 = mux(_T_5637, btb_bank0_rd_data_way1_out_226, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5923 = mux(_T_5639, btb_bank0_rd_data_way1_out_227, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5924 = mux(_T_5641, btb_bank0_rd_data_way1_out_228, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5925 = mux(_T_5643, btb_bank0_rd_data_way1_out_229, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5926 = mux(_T_5645, btb_bank0_rd_data_way1_out_230, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5927 = mux(_T_5647, btb_bank0_rd_data_way1_out_231, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5928 = mux(_T_5649, btb_bank0_rd_data_way1_out_232, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5929 = mux(_T_5651, btb_bank0_rd_data_way1_out_233, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5930 = mux(_T_5653, btb_bank0_rd_data_way1_out_234, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5931 = mux(_T_5655, btb_bank0_rd_data_way1_out_235, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5932 = mux(_T_5657, btb_bank0_rd_data_way1_out_236, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5933 = mux(_T_5659, btb_bank0_rd_data_way1_out_237, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5934 = mux(_T_5661, btb_bank0_rd_data_way1_out_238, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5935 = mux(_T_5663, btb_bank0_rd_data_way1_out_239, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5936 = mux(_T_5665, btb_bank0_rd_data_way1_out_240, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5937 = mux(_T_5667, btb_bank0_rd_data_way1_out_241, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5938 = mux(_T_5669, btb_bank0_rd_data_way1_out_242, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5939 = mux(_T_5671, btb_bank0_rd_data_way1_out_243, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5940 = mux(_T_5673, btb_bank0_rd_data_way1_out_244, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5941 = mux(_T_5675, btb_bank0_rd_data_way1_out_245, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5942 = mux(_T_5677, btb_bank0_rd_data_way1_out_246, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5943 = mux(_T_5679, btb_bank0_rd_data_way1_out_247, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5944 = mux(_T_5681, btb_bank0_rd_data_way1_out_248, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5945 = mux(_T_5683, btb_bank0_rd_data_way1_out_249, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5946 = mux(_T_5685, btb_bank0_rd_data_way1_out_250, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5947 = mux(_T_5687, btb_bank0_rd_data_way1_out_251, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5948 = mux(_T_5689, btb_bank0_rd_data_way1_out_252, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5949 = mux(_T_5691, btb_bank0_rd_data_way1_out_253, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5950 = mux(_T_5693, btb_bank0_rd_data_way1_out_254, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5951 = mux(_T_5695, btb_bank0_rd_data_way1_out_255, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5952 = or(_T_5696, _T_5697) @[Mux.scala 27:72] + node _T_5953 = or(_T_5952, _T_5698) @[Mux.scala 27:72] + node _T_5954 = or(_T_5953, _T_5699) @[Mux.scala 27:72] + node _T_5955 = or(_T_5954, _T_5700) @[Mux.scala 27:72] + node _T_5956 = or(_T_5955, _T_5701) @[Mux.scala 27:72] + node _T_5957 = or(_T_5956, _T_5702) @[Mux.scala 27:72] + node _T_5958 = or(_T_5957, _T_5703) @[Mux.scala 27:72] + node _T_5959 = or(_T_5958, _T_5704) @[Mux.scala 27:72] + node _T_5960 = or(_T_5959, _T_5705) @[Mux.scala 27:72] + node _T_5961 = or(_T_5960, _T_5706) @[Mux.scala 27:72] + node _T_5962 = or(_T_5961, _T_5707) @[Mux.scala 27:72] + node _T_5963 = or(_T_5962, _T_5708) @[Mux.scala 27:72] + node _T_5964 = or(_T_5963, _T_5709) @[Mux.scala 27:72] + node _T_5965 = or(_T_5964, _T_5710) @[Mux.scala 27:72] + node _T_5966 = or(_T_5965, _T_5711) @[Mux.scala 27:72] + node _T_5967 = or(_T_5966, _T_5712) @[Mux.scala 27:72] + node _T_5968 = or(_T_5967, _T_5713) @[Mux.scala 27:72] + node _T_5969 = or(_T_5968, _T_5714) @[Mux.scala 27:72] + node _T_5970 = or(_T_5969, _T_5715) @[Mux.scala 27:72] + node _T_5971 = or(_T_5970, _T_5716) @[Mux.scala 27:72] + node _T_5972 = or(_T_5971, _T_5717) @[Mux.scala 27:72] + node _T_5973 = or(_T_5972, _T_5718) @[Mux.scala 27:72] + node _T_5974 = or(_T_5973, _T_5719) @[Mux.scala 27:72] + node _T_5975 = or(_T_5974, _T_5720) @[Mux.scala 27:72] + node _T_5976 = or(_T_5975, _T_5721) @[Mux.scala 27:72] + node _T_5977 = or(_T_5976, _T_5722) @[Mux.scala 27:72] + node _T_5978 = or(_T_5977, _T_5723) @[Mux.scala 27:72] + node _T_5979 = or(_T_5978, _T_5724) @[Mux.scala 27:72] + node _T_5980 = or(_T_5979, _T_5725) @[Mux.scala 27:72] + node _T_5981 = or(_T_5980, _T_5726) @[Mux.scala 27:72] + node _T_5982 = or(_T_5981, _T_5727) @[Mux.scala 27:72] + node _T_5983 = or(_T_5982, _T_5728) @[Mux.scala 27:72] + node _T_5984 = or(_T_5983, _T_5729) @[Mux.scala 27:72] + node _T_5985 = or(_T_5984, _T_5730) @[Mux.scala 27:72] + node _T_5986 = or(_T_5985, _T_5731) @[Mux.scala 27:72] + node _T_5987 = or(_T_5986, _T_5732) @[Mux.scala 27:72] + node _T_5988 = or(_T_5987, _T_5733) @[Mux.scala 27:72] + node _T_5989 = or(_T_5988, _T_5734) @[Mux.scala 27:72] + node _T_5990 = or(_T_5989, _T_5735) @[Mux.scala 27:72] + node _T_5991 = or(_T_5990, _T_5736) @[Mux.scala 27:72] + node _T_5992 = or(_T_5991, _T_5737) @[Mux.scala 27:72] + node _T_5993 = or(_T_5992, _T_5738) @[Mux.scala 27:72] + node _T_5994 = or(_T_5993, _T_5739) @[Mux.scala 27:72] + node _T_5995 = or(_T_5994, _T_5740) @[Mux.scala 27:72] + node _T_5996 = or(_T_5995, _T_5741) @[Mux.scala 27:72] + node _T_5997 = or(_T_5996, _T_5742) @[Mux.scala 27:72] + node _T_5998 = or(_T_5997, _T_5743) @[Mux.scala 27:72] + node _T_5999 = or(_T_5998, _T_5744) @[Mux.scala 27:72] + node _T_6000 = or(_T_5999, _T_5745) @[Mux.scala 27:72] + node _T_6001 = or(_T_6000, _T_5746) @[Mux.scala 27:72] + node _T_6002 = or(_T_6001, _T_5747) @[Mux.scala 27:72] + node _T_6003 = or(_T_6002, _T_5748) @[Mux.scala 27:72] + node _T_6004 = or(_T_6003, _T_5749) @[Mux.scala 27:72] + node _T_6005 = or(_T_6004, _T_5750) @[Mux.scala 27:72] + node _T_6006 = or(_T_6005, _T_5751) @[Mux.scala 27:72] + node _T_6007 = or(_T_6006, _T_5752) @[Mux.scala 27:72] + node _T_6008 = or(_T_6007, _T_5753) @[Mux.scala 27:72] + node _T_6009 = or(_T_6008, _T_5754) @[Mux.scala 27:72] + node _T_6010 = or(_T_6009, _T_5755) @[Mux.scala 27:72] + node _T_6011 = or(_T_6010, _T_5756) @[Mux.scala 27:72] + node _T_6012 = or(_T_6011, _T_5757) @[Mux.scala 27:72] + node _T_6013 = or(_T_6012, _T_5758) @[Mux.scala 27:72] + node _T_6014 = or(_T_6013, _T_5759) @[Mux.scala 27:72] + node _T_6015 = or(_T_6014, _T_5760) @[Mux.scala 27:72] + node _T_6016 = or(_T_6015, _T_5761) @[Mux.scala 27:72] + node _T_6017 = or(_T_6016, _T_5762) @[Mux.scala 27:72] + node _T_6018 = or(_T_6017, _T_5763) @[Mux.scala 27:72] + node _T_6019 = or(_T_6018, _T_5764) @[Mux.scala 27:72] + node _T_6020 = or(_T_6019, _T_5765) @[Mux.scala 27:72] + node _T_6021 = or(_T_6020, _T_5766) @[Mux.scala 27:72] + node _T_6022 = or(_T_6021, _T_5767) @[Mux.scala 27:72] + node _T_6023 = or(_T_6022, _T_5768) @[Mux.scala 27:72] + node _T_6024 = or(_T_6023, _T_5769) @[Mux.scala 27:72] + node _T_6025 = or(_T_6024, _T_5770) @[Mux.scala 27:72] + node _T_6026 = or(_T_6025, _T_5771) @[Mux.scala 27:72] + node _T_6027 = or(_T_6026, _T_5772) @[Mux.scala 27:72] + node _T_6028 = or(_T_6027, _T_5773) @[Mux.scala 27:72] + node _T_6029 = or(_T_6028, _T_5774) @[Mux.scala 27:72] + node _T_6030 = or(_T_6029, _T_5775) @[Mux.scala 27:72] + node _T_6031 = or(_T_6030, _T_5776) @[Mux.scala 27:72] + node _T_6032 = or(_T_6031, _T_5777) @[Mux.scala 27:72] + node _T_6033 = or(_T_6032, _T_5778) @[Mux.scala 27:72] + node _T_6034 = or(_T_6033, _T_5779) @[Mux.scala 27:72] + node _T_6035 = or(_T_6034, _T_5780) @[Mux.scala 27:72] + node _T_6036 = or(_T_6035, _T_5781) @[Mux.scala 27:72] + node _T_6037 = or(_T_6036, _T_5782) @[Mux.scala 27:72] + node _T_6038 = or(_T_6037, _T_5783) @[Mux.scala 27:72] + node _T_6039 = or(_T_6038, _T_5784) @[Mux.scala 27:72] + node _T_6040 = or(_T_6039, _T_5785) @[Mux.scala 27:72] + node _T_6041 = or(_T_6040, _T_5786) @[Mux.scala 27:72] + node _T_6042 = or(_T_6041, _T_5787) @[Mux.scala 27:72] + node _T_6043 = or(_T_6042, _T_5788) @[Mux.scala 27:72] + node _T_6044 = or(_T_6043, _T_5789) @[Mux.scala 27:72] + node _T_6045 = or(_T_6044, _T_5790) @[Mux.scala 27:72] + node _T_6046 = or(_T_6045, _T_5791) @[Mux.scala 27:72] + node _T_6047 = or(_T_6046, _T_5792) @[Mux.scala 27:72] + node _T_6048 = or(_T_6047, _T_5793) @[Mux.scala 27:72] + node _T_6049 = or(_T_6048, _T_5794) @[Mux.scala 27:72] + node _T_6050 = or(_T_6049, _T_5795) @[Mux.scala 27:72] + node _T_6051 = or(_T_6050, _T_5796) @[Mux.scala 27:72] + node _T_6052 = or(_T_6051, _T_5797) @[Mux.scala 27:72] + node _T_6053 = or(_T_6052, _T_5798) @[Mux.scala 27:72] + node _T_6054 = or(_T_6053, _T_5799) @[Mux.scala 27:72] + node _T_6055 = or(_T_6054, _T_5800) @[Mux.scala 27:72] + node _T_6056 = or(_T_6055, _T_5801) @[Mux.scala 27:72] + node _T_6057 = or(_T_6056, _T_5802) @[Mux.scala 27:72] + node _T_6058 = or(_T_6057, _T_5803) @[Mux.scala 27:72] + node _T_6059 = or(_T_6058, _T_5804) @[Mux.scala 27:72] + node _T_6060 = or(_T_6059, _T_5805) @[Mux.scala 27:72] + node _T_6061 = or(_T_6060, _T_5806) @[Mux.scala 27:72] + node _T_6062 = or(_T_6061, _T_5807) @[Mux.scala 27:72] + node _T_6063 = or(_T_6062, _T_5808) @[Mux.scala 27:72] + node _T_6064 = or(_T_6063, _T_5809) @[Mux.scala 27:72] + node _T_6065 = or(_T_6064, _T_5810) @[Mux.scala 27:72] + node _T_6066 = or(_T_6065, _T_5811) @[Mux.scala 27:72] + node _T_6067 = or(_T_6066, _T_5812) @[Mux.scala 27:72] + node _T_6068 = or(_T_6067, _T_5813) @[Mux.scala 27:72] + node _T_6069 = or(_T_6068, _T_5814) @[Mux.scala 27:72] + node _T_6070 = or(_T_6069, _T_5815) @[Mux.scala 27:72] + node _T_6071 = or(_T_6070, _T_5816) @[Mux.scala 27:72] + node _T_6072 = or(_T_6071, _T_5817) @[Mux.scala 27:72] + node _T_6073 = or(_T_6072, _T_5818) @[Mux.scala 27:72] + node _T_6074 = or(_T_6073, _T_5819) @[Mux.scala 27:72] + node _T_6075 = or(_T_6074, _T_5820) @[Mux.scala 27:72] + node _T_6076 = or(_T_6075, _T_5821) @[Mux.scala 27:72] + node _T_6077 = or(_T_6076, _T_5822) @[Mux.scala 27:72] + node _T_6078 = or(_T_6077, _T_5823) @[Mux.scala 27:72] + node _T_6079 = or(_T_6078, _T_5824) @[Mux.scala 27:72] + node _T_6080 = or(_T_6079, _T_5825) @[Mux.scala 27:72] + node _T_6081 = or(_T_6080, _T_5826) @[Mux.scala 27:72] + node _T_6082 = or(_T_6081, _T_5827) @[Mux.scala 27:72] + node _T_6083 = or(_T_6082, _T_5828) @[Mux.scala 27:72] + node _T_6084 = or(_T_6083, _T_5829) @[Mux.scala 27:72] + node _T_6085 = or(_T_6084, _T_5830) @[Mux.scala 27:72] + node _T_6086 = or(_T_6085, _T_5831) @[Mux.scala 27:72] + node _T_6087 = or(_T_6086, _T_5832) @[Mux.scala 27:72] + node _T_6088 = or(_T_6087, _T_5833) @[Mux.scala 27:72] + node _T_6089 = or(_T_6088, _T_5834) @[Mux.scala 27:72] + node _T_6090 = or(_T_6089, _T_5835) @[Mux.scala 27:72] + node _T_6091 = or(_T_6090, _T_5836) @[Mux.scala 27:72] + node _T_6092 = or(_T_6091, _T_5837) @[Mux.scala 27:72] + node _T_6093 = or(_T_6092, _T_5838) @[Mux.scala 27:72] + node _T_6094 = or(_T_6093, _T_5839) @[Mux.scala 27:72] + node _T_6095 = or(_T_6094, _T_5840) @[Mux.scala 27:72] + node _T_6096 = or(_T_6095, _T_5841) @[Mux.scala 27:72] + node _T_6097 = or(_T_6096, _T_5842) @[Mux.scala 27:72] + node _T_6098 = or(_T_6097, _T_5843) @[Mux.scala 27:72] + node _T_6099 = or(_T_6098, _T_5844) @[Mux.scala 27:72] + node _T_6100 = or(_T_6099, _T_5845) @[Mux.scala 27:72] + node _T_6101 = or(_T_6100, _T_5846) @[Mux.scala 27:72] + node _T_6102 = or(_T_6101, _T_5847) @[Mux.scala 27:72] + node _T_6103 = or(_T_6102, _T_5848) @[Mux.scala 27:72] + node _T_6104 = or(_T_6103, _T_5849) @[Mux.scala 27:72] + node _T_6105 = or(_T_6104, _T_5850) @[Mux.scala 27:72] + node _T_6106 = or(_T_6105, _T_5851) @[Mux.scala 27:72] + node _T_6107 = or(_T_6106, _T_5852) @[Mux.scala 27:72] + node _T_6108 = or(_T_6107, _T_5853) @[Mux.scala 27:72] + node _T_6109 = or(_T_6108, _T_5854) @[Mux.scala 27:72] + node _T_6110 = or(_T_6109, _T_5855) @[Mux.scala 27:72] + node _T_6111 = or(_T_6110, _T_5856) @[Mux.scala 27:72] + node _T_6112 = or(_T_6111, _T_5857) @[Mux.scala 27:72] + node _T_6113 = or(_T_6112, _T_5858) @[Mux.scala 27:72] + node _T_6114 = or(_T_6113, _T_5859) @[Mux.scala 27:72] + node _T_6115 = or(_T_6114, _T_5860) @[Mux.scala 27:72] + node _T_6116 = or(_T_6115, _T_5861) @[Mux.scala 27:72] + node _T_6117 = or(_T_6116, _T_5862) @[Mux.scala 27:72] + node _T_6118 = or(_T_6117, _T_5863) @[Mux.scala 27:72] + node _T_6119 = or(_T_6118, _T_5864) @[Mux.scala 27:72] + node _T_6120 = or(_T_6119, _T_5865) @[Mux.scala 27:72] + node _T_6121 = or(_T_6120, _T_5866) @[Mux.scala 27:72] + node _T_6122 = or(_T_6121, _T_5867) @[Mux.scala 27:72] + node _T_6123 = or(_T_6122, _T_5868) @[Mux.scala 27:72] + node _T_6124 = or(_T_6123, _T_5869) @[Mux.scala 27:72] + node _T_6125 = or(_T_6124, _T_5870) @[Mux.scala 27:72] + node _T_6126 = or(_T_6125, _T_5871) @[Mux.scala 27:72] + node _T_6127 = or(_T_6126, _T_5872) @[Mux.scala 27:72] + node _T_6128 = or(_T_6127, _T_5873) @[Mux.scala 27:72] + node _T_6129 = or(_T_6128, _T_5874) @[Mux.scala 27:72] + node _T_6130 = or(_T_6129, _T_5875) @[Mux.scala 27:72] + node _T_6131 = or(_T_6130, _T_5876) @[Mux.scala 27:72] + node _T_6132 = or(_T_6131, _T_5877) @[Mux.scala 27:72] + node _T_6133 = or(_T_6132, _T_5878) @[Mux.scala 27:72] + node _T_6134 = or(_T_6133, _T_5879) @[Mux.scala 27:72] + node _T_6135 = or(_T_6134, _T_5880) @[Mux.scala 27:72] + node _T_6136 = or(_T_6135, _T_5881) @[Mux.scala 27:72] + node _T_6137 = or(_T_6136, _T_5882) @[Mux.scala 27:72] + node _T_6138 = or(_T_6137, _T_5883) @[Mux.scala 27:72] + node _T_6139 = or(_T_6138, _T_5884) @[Mux.scala 27:72] + node _T_6140 = or(_T_6139, _T_5885) @[Mux.scala 27:72] + node _T_6141 = or(_T_6140, _T_5886) @[Mux.scala 27:72] + node _T_6142 = or(_T_6141, _T_5887) @[Mux.scala 27:72] + node _T_6143 = or(_T_6142, _T_5888) @[Mux.scala 27:72] + node _T_6144 = or(_T_6143, _T_5889) @[Mux.scala 27:72] + node _T_6145 = or(_T_6144, _T_5890) @[Mux.scala 27:72] + node _T_6146 = or(_T_6145, _T_5891) @[Mux.scala 27:72] + node _T_6147 = or(_T_6146, _T_5892) @[Mux.scala 27:72] + node _T_6148 = or(_T_6147, _T_5893) @[Mux.scala 27:72] + node _T_6149 = or(_T_6148, _T_5894) @[Mux.scala 27:72] + node _T_6150 = or(_T_6149, _T_5895) @[Mux.scala 27:72] + node _T_6151 = or(_T_6150, _T_5896) @[Mux.scala 27:72] + node _T_6152 = or(_T_6151, _T_5897) @[Mux.scala 27:72] + node _T_6153 = or(_T_6152, _T_5898) @[Mux.scala 27:72] + node _T_6154 = or(_T_6153, _T_5899) @[Mux.scala 27:72] + node _T_6155 = or(_T_6154, _T_5900) @[Mux.scala 27:72] + node _T_6156 = or(_T_6155, _T_5901) @[Mux.scala 27:72] + node _T_6157 = or(_T_6156, _T_5902) @[Mux.scala 27:72] + node _T_6158 = or(_T_6157, _T_5903) @[Mux.scala 27:72] + node _T_6159 = or(_T_6158, _T_5904) @[Mux.scala 27:72] + node _T_6160 = or(_T_6159, _T_5905) @[Mux.scala 27:72] + node _T_6161 = or(_T_6160, _T_5906) @[Mux.scala 27:72] + node _T_6162 = or(_T_6161, _T_5907) @[Mux.scala 27:72] + node _T_6163 = or(_T_6162, _T_5908) @[Mux.scala 27:72] + node _T_6164 = or(_T_6163, _T_5909) @[Mux.scala 27:72] + node _T_6165 = or(_T_6164, _T_5910) @[Mux.scala 27:72] + node _T_6166 = or(_T_6165, _T_5911) @[Mux.scala 27:72] + node _T_6167 = or(_T_6166, _T_5912) @[Mux.scala 27:72] + node _T_6168 = or(_T_6167, _T_5913) @[Mux.scala 27:72] + node _T_6169 = or(_T_6168, _T_5914) @[Mux.scala 27:72] + node _T_6170 = or(_T_6169, _T_5915) @[Mux.scala 27:72] + node _T_6171 = or(_T_6170, _T_5916) @[Mux.scala 27:72] + node _T_6172 = or(_T_6171, _T_5917) @[Mux.scala 27:72] + node _T_6173 = or(_T_6172, _T_5918) @[Mux.scala 27:72] + node _T_6174 = or(_T_6173, _T_5919) @[Mux.scala 27:72] + node _T_6175 = or(_T_6174, _T_5920) @[Mux.scala 27:72] + node _T_6176 = or(_T_6175, _T_5921) @[Mux.scala 27:72] + node _T_6177 = or(_T_6176, _T_5922) @[Mux.scala 27:72] + node _T_6178 = or(_T_6177, _T_5923) @[Mux.scala 27:72] + node _T_6179 = or(_T_6178, _T_5924) @[Mux.scala 27:72] + node _T_6180 = or(_T_6179, _T_5925) @[Mux.scala 27:72] + node _T_6181 = or(_T_6180, _T_5926) @[Mux.scala 27:72] + node _T_6182 = or(_T_6181, _T_5927) @[Mux.scala 27:72] + node _T_6183 = or(_T_6182, _T_5928) @[Mux.scala 27:72] + node _T_6184 = or(_T_6183, _T_5929) @[Mux.scala 27:72] + node _T_6185 = or(_T_6184, _T_5930) @[Mux.scala 27:72] + node _T_6186 = or(_T_6185, _T_5931) @[Mux.scala 27:72] + node _T_6187 = or(_T_6186, _T_5932) @[Mux.scala 27:72] + node _T_6188 = or(_T_6187, _T_5933) @[Mux.scala 27:72] + node _T_6189 = or(_T_6188, _T_5934) @[Mux.scala 27:72] + node _T_6190 = or(_T_6189, _T_5935) @[Mux.scala 27:72] + node _T_6191 = or(_T_6190, _T_5936) @[Mux.scala 27:72] + node _T_6192 = or(_T_6191, _T_5937) @[Mux.scala 27:72] + node _T_6193 = or(_T_6192, _T_5938) @[Mux.scala 27:72] + node _T_6194 = or(_T_6193, _T_5939) @[Mux.scala 27:72] + node _T_6195 = or(_T_6194, _T_5940) @[Mux.scala 27:72] + node _T_6196 = or(_T_6195, _T_5941) @[Mux.scala 27:72] + node _T_6197 = or(_T_6196, _T_5942) @[Mux.scala 27:72] + node _T_6198 = or(_T_6197, _T_5943) @[Mux.scala 27:72] + node _T_6199 = or(_T_6198, _T_5944) @[Mux.scala 27:72] + node _T_6200 = or(_T_6199, _T_5945) @[Mux.scala 27:72] + node _T_6201 = or(_T_6200, _T_5946) @[Mux.scala 27:72] + node _T_6202 = or(_T_6201, _T_5947) @[Mux.scala 27:72] + node _T_6203 = or(_T_6202, _T_5948) @[Mux.scala 27:72] + node _T_6204 = or(_T_6203, _T_5949) @[Mux.scala 27:72] + node _T_6205 = or(_T_6204, _T_5950) @[Mux.scala 27:72] + node _T_6206 = or(_T_6205, _T_5951) @[Mux.scala 27:72] + wire _T_6207 : UInt @[Mux.scala 27:72] + _T_6207 <= _T_6206 @[Mux.scala 27:72] + btb_bank0_rd_data_way1_p1_f <= _T_6207 @[ifu_bp_ctl.scala 423:31] + wire bht_bank_clken : UInt<1>[16][2] @[ifu_bp_ctl.scala 425:28] + inst rvclkhdr_522 of rvclkhdr_616 @[lib.scala 343:22] + rvclkhdr_522.clock <= clock + rvclkhdr_522.reset <= reset + rvclkhdr_522.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_522.io.en <= bht_bank_clken[0][0] @[lib.scala 345:16] + rvclkhdr_522.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_523 of rvclkhdr_617 @[lib.scala 343:22] + rvclkhdr_523.clock <= clock + rvclkhdr_523.reset <= reset + rvclkhdr_523.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_523.io.en <= bht_bank_clken[0][1] @[lib.scala 345:16] + rvclkhdr_523.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_524 of rvclkhdr_618 @[lib.scala 343:22] + rvclkhdr_524.clock <= clock + rvclkhdr_524.reset <= reset + rvclkhdr_524.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_524.io.en <= bht_bank_clken[0][2] @[lib.scala 345:16] + rvclkhdr_524.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_525 of rvclkhdr_619 @[lib.scala 343:22] + rvclkhdr_525.clock <= clock + rvclkhdr_525.reset <= reset + rvclkhdr_525.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_525.io.en <= bht_bank_clken[0][3] @[lib.scala 345:16] + rvclkhdr_525.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_526 of rvclkhdr_620 @[lib.scala 343:22] + rvclkhdr_526.clock <= clock + rvclkhdr_526.reset <= reset + rvclkhdr_526.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_526.io.en <= bht_bank_clken[0][4] @[lib.scala 345:16] + rvclkhdr_526.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_527 of rvclkhdr_621 @[lib.scala 343:22] + rvclkhdr_527.clock <= clock + rvclkhdr_527.reset <= reset + rvclkhdr_527.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_527.io.en <= bht_bank_clken[0][5] @[lib.scala 345:16] + rvclkhdr_527.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_528 of rvclkhdr_622 @[lib.scala 343:22] + rvclkhdr_528.clock <= clock + rvclkhdr_528.reset <= reset + rvclkhdr_528.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_528.io.en <= bht_bank_clken[0][6] @[lib.scala 345:16] + rvclkhdr_528.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_529 of rvclkhdr_623 @[lib.scala 343:22] + rvclkhdr_529.clock <= clock + rvclkhdr_529.reset <= reset + rvclkhdr_529.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_529.io.en <= bht_bank_clken[0][7] @[lib.scala 345:16] + rvclkhdr_529.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_530 of rvclkhdr_624 @[lib.scala 343:22] + rvclkhdr_530.clock <= clock + rvclkhdr_530.reset <= reset + rvclkhdr_530.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_530.io.en <= bht_bank_clken[0][8] @[lib.scala 345:16] + rvclkhdr_530.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_531 of rvclkhdr_625 @[lib.scala 343:22] + rvclkhdr_531.clock <= clock + rvclkhdr_531.reset <= reset + rvclkhdr_531.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_531.io.en <= bht_bank_clken[0][9] @[lib.scala 345:16] + rvclkhdr_531.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_532 of rvclkhdr_626 @[lib.scala 343:22] + rvclkhdr_532.clock <= clock + rvclkhdr_532.reset <= reset + rvclkhdr_532.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_532.io.en <= bht_bank_clken[0][10] @[lib.scala 345:16] + rvclkhdr_532.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_533 of rvclkhdr_627 @[lib.scala 343:22] + rvclkhdr_533.clock <= clock + rvclkhdr_533.reset <= reset + rvclkhdr_533.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_533.io.en <= bht_bank_clken[0][11] @[lib.scala 345:16] + rvclkhdr_533.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_534 of rvclkhdr_628 @[lib.scala 343:22] + rvclkhdr_534.clock <= clock + rvclkhdr_534.reset <= reset + rvclkhdr_534.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_534.io.en <= bht_bank_clken[0][12] @[lib.scala 345:16] + rvclkhdr_534.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_535 of rvclkhdr_629 @[lib.scala 343:22] + rvclkhdr_535.clock <= clock + rvclkhdr_535.reset <= reset + rvclkhdr_535.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_535.io.en <= bht_bank_clken[0][13] @[lib.scala 345:16] + rvclkhdr_535.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_536 of rvclkhdr_630 @[lib.scala 343:22] + rvclkhdr_536.clock <= clock + rvclkhdr_536.reset <= reset + rvclkhdr_536.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_536.io.en <= bht_bank_clken[0][14] @[lib.scala 345:16] + rvclkhdr_536.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_537 of rvclkhdr_631 @[lib.scala 343:22] + rvclkhdr_537.clock <= clock + rvclkhdr_537.reset <= reset + rvclkhdr_537.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_537.io.en <= bht_bank_clken[0][15] @[lib.scala 345:16] + rvclkhdr_537.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_538 of rvclkhdr_632 @[lib.scala 343:22] + rvclkhdr_538.clock <= clock + rvclkhdr_538.reset <= reset + rvclkhdr_538.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_538.io.en <= bht_bank_clken[1][0] @[lib.scala 345:16] + rvclkhdr_538.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_539 of rvclkhdr_633 @[lib.scala 343:22] + rvclkhdr_539.clock <= clock + rvclkhdr_539.reset <= reset + rvclkhdr_539.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_539.io.en <= bht_bank_clken[1][1] @[lib.scala 345:16] + rvclkhdr_539.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_540 of rvclkhdr_634 @[lib.scala 343:22] + rvclkhdr_540.clock <= clock + rvclkhdr_540.reset <= reset + rvclkhdr_540.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_540.io.en <= bht_bank_clken[1][2] @[lib.scala 345:16] + rvclkhdr_540.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_541 of rvclkhdr_635 @[lib.scala 343:22] + rvclkhdr_541.clock <= clock + rvclkhdr_541.reset <= reset + rvclkhdr_541.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_541.io.en <= bht_bank_clken[1][3] @[lib.scala 345:16] + rvclkhdr_541.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_542 of rvclkhdr_636 @[lib.scala 343:22] + rvclkhdr_542.clock <= clock + rvclkhdr_542.reset <= reset + rvclkhdr_542.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_542.io.en <= bht_bank_clken[1][4] @[lib.scala 345:16] + rvclkhdr_542.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_543 of rvclkhdr_637 @[lib.scala 343:22] + rvclkhdr_543.clock <= clock + rvclkhdr_543.reset <= reset + rvclkhdr_543.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_543.io.en <= bht_bank_clken[1][5] @[lib.scala 345:16] + rvclkhdr_543.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_544 of rvclkhdr_638 @[lib.scala 343:22] + rvclkhdr_544.clock <= clock + rvclkhdr_544.reset <= reset + rvclkhdr_544.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_544.io.en <= bht_bank_clken[1][6] @[lib.scala 345:16] + rvclkhdr_544.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_545 of rvclkhdr_639 @[lib.scala 343:22] + rvclkhdr_545.clock <= clock + rvclkhdr_545.reset <= reset + rvclkhdr_545.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_545.io.en <= bht_bank_clken[1][7] @[lib.scala 345:16] + rvclkhdr_545.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_546 of rvclkhdr_640 @[lib.scala 343:22] + rvclkhdr_546.clock <= clock + rvclkhdr_546.reset <= reset + rvclkhdr_546.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_546.io.en <= bht_bank_clken[1][8] @[lib.scala 345:16] + rvclkhdr_546.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_547 of rvclkhdr_641 @[lib.scala 343:22] + rvclkhdr_547.clock <= clock + rvclkhdr_547.reset <= reset + rvclkhdr_547.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_547.io.en <= bht_bank_clken[1][9] @[lib.scala 345:16] + rvclkhdr_547.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_548 of rvclkhdr_642 @[lib.scala 343:22] + rvclkhdr_548.clock <= clock + rvclkhdr_548.reset <= reset + rvclkhdr_548.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_548.io.en <= bht_bank_clken[1][10] @[lib.scala 345:16] + rvclkhdr_548.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_549 of rvclkhdr_643 @[lib.scala 343:22] + rvclkhdr_549.clock <= clock + rvclkhdr_549.reset <= reset + rvclkhdr_549.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_549.io.en <= bht_bank_clken[1][11] @[lib.scala 345:16] + rvclkhdr_549.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_550 of rvclkhdr_644 @[lib.scala 343:22] + rvclkhdr_550.clock <= clock + rvclkhdr_550.reset <= reset + rvclkhdr_550.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_550.io.en <= bht_bank_clken[1][12] @[lib.scala 345:16] + rvclkhdr_550.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_551 of rvclkhdr_645 @[lib.scala 343:22] + rvclkhdr_551.clock <= clock + rvclkhdr_551.reset <= reset + rvclkhdr_551.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_551.io.en <= bht_bank_clken[1][13] @[lib.scala 345:16] + rvclkhdr_551.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_552 of rvclkhdr_646 @[lib.scala 343:22] + rvclkhdr_552.clock <= clock + rvclkhdr_552.reset <= reset + rvclkhdr_552.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_552.io.en <= bht_bank_clken[1][14] @[lib.scala 345:16] + rvclkhdr_552.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_553 of rvclkhdr_647 @[lib.scala 343:22] + rvclkhdr_553.clock <= clock + rvclkhdr_553.reset <= reset + rvclkhdr_553.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_553.io.en <= bht_bank_clken[1][15] @[lib.scala 345:16] + rvclkhdr_553.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + node _T_6208 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 429:40] + node _T_6209 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 429:60] + node _T_6210 = eq(_T_6209, UInt<1>("h00")) @[ifu_bp_ctl.scala 429:109] + node _T_6211 = or(_T_6210, UInt<1>("h00")) @[ifu_bp_ctl.scala 429:117] + node _T_6212 = and(_T_6208, _T_6211) @[ifu_bp_ctl.scala 429:44] + node _T_6213 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 430:40] + node _T_6214 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 430:60] + node _T_6215 = eq(_T_6214, UInt<1>("h00")) @[ifu_bp_ctl.scala 430:109] + node _T_6216 = or(_T_6215, UInt<1>("h00")) @[ifu_bp_ctl.scala 430:117] + node _T_6217 = and(_T_6213, _T_6216) @[ifu_bp_ctl.scala 430:44] + node _T_6218 = or(_T_6212, _T_6217) @[ifu_bp_ctl.scala 429:142] + bht_bank_clken[0][0] <= _T_6218 @[ifu_bp_ctl.scala 429:26] + node _T_6219 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 429:40] + node _T_6220 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 429:60] + node _T_6221 = eq(_T_6220, UInt<1>("h01")) @[ifu_bp_ctl.scala 429:109] + node _T_6222 = or(_T_6221, UInt<1>("h00")) @[ifu_bp_ctl.scala 429:117] + node _T_6223 = and(_T_6219, _T_6222) @[ifu_bp_ctl.scala 429:44] + node _T_6224 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 430:40] + node _T_6225 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 430:60] + node _T_6226 = eq(_T_6225, UInt<1>("h01")) @[ifu_bp_ctl.scala 430:109] + node _T_6227 = or(_T_6226, UInt<1>("h00")) @[ifu_bp_ctl.scala 430:117] + node _T_6228 = and(_T_6224, _T_6227) @[ifu_bp_ctl.scala 430:44] + node _T_6229 = or(_T_6223, _T_6228) @[ifu_bp_ctl.scala 429:142] + bht_bank_clken[0][1] <= _T_6229 @[ifu_bp_ctl.scala 429:26] + node _T_6230 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 429:40] + node _T_6231 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 429:60] + node _T_6232 = eq(_T_6231, UInt<2>("h02")) @[ifu_bp_ctl.scala 429:109] + node _T_6233 = or(_T_6232, UInt<1>("h00")) @[ifu_bp_ctl.scala 429:117] + node _T_6234 = and(_T_6230, _T_6233) @[ifu_bp_ctl.scala 429:44] + node _T_6235 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 430:40] + node _T_6236 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 430:60] + node _T_6237 = eq(_T_6236, UInt<2>("h02")) @[ifu_bp_ctl.scala 430:109] + node _T_6238 = or(_T_6237, UInt<1>("h00")) @[ifu_bp_ctl.scala 430:117] + node _T_6239 = and(_T_6235, _T_6238) @[ifu_bp_ctl.scala 430:44] + node _T_6240 = or(_T_6234, _T_6239) @[ifu_bp_ctl.scala 429:142] + bht_bank_clken[0][2] <= _T_6240 @[ifu_bp_ctl.scala 429:26] + node _T_6241 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 429:40] + node _T_6242 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 429:60] + node _T_6243 = eq(_T_6242, UInt<2>("h03")) @[ifu_bp_ctl.scala 429:109] + node _T_6244 = or(_T_6243, UInt<1>("h00")) @[ifu_bp_ctl.scala 429:117] + node _T_6245 = and(_T_6241, _T_6244) @[ifu_bp_ctl.scala 429:44] + node _T_6246 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 430:40] + node _T_6247 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 430:60] + node _T_6248 = eq(_T_6247, UInt<2>("h03")) @[ifu_bp_ctl.scala 430:109] + node _T_6249 = or(_T_6248, UInt<1>("h00")) @[ifu_bp_ctl.scala 430:117] + node _T_6250 = and(_T_6246, _T_6249) @[ifu_bp_ctl.scala 430:44] + node _T_6251 = or(_T_6245, _T_6250) @[ifu_bp_ctl.scala 429:142] + bht_bank_clken[0][3] <= _T_6251 @[ifu_bp_ctl.scala 429:26] + node _T_6252 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 429:40] + node _T_6253 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 429:60] + node _T_6254 = eq(_T_6253, UInt<3>("h04")) @[ifu_bp_ctl.scala 429:109] + node _T_6255 = or(_T_6254, UInt<1>("h00")) @[ifu_bp_ctl.scala 429:117] + node _T_6256 = and(_T_6252, _T_6255) @[ifu_bp_ctl.scala 429:44] + node _T_6257 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 430:40] + node _T_6258 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 430:60] + node _T_6259 = eq(_T_6258, UInt<3>("h04")) @[ifu_bp_ctl.scala 430:109] + node _T_6260 = or(_T_6259, UInt<1>("h00")) @[ifu_bp_ctl.scala 430:117] + node _T_6261 = and(_T_6257, _T_6260) @[ifu_bp_ctl.scala 430:44] + node _T_6262 = or(_T_6256, _T_6261) @[ifu_bp_ctl.scala 429:142] + bht_bank_clken[0][4] <= _T_6262 @[ifu_bp_ctl.scala 429:26] + node _T_6263 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 429:40] + node _T_6264 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 429:60] + node _T_6265 = eq(_T_6264, UInt<3>("h05")) @[ifu_bp_ctl.scala 429:109] + node _T_6266 = or(_T_6265, UInt<1>("h00")) @[ifu_bp_ctl.scala 429:117] + node _T_6267 = and(_T_6263, _T_6266) @[ifu_bp_ctl.scala 429:44] + node _T_6268 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 430:40] + node _T_6269 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 430:60] + node _T_6270 = eq(_T_6269, UInt<3>("h05")) @[ifu_bp_ctl.scala 430:109] + node _T_6271 = or(_T_6270, UInt<1>("h00")) @[ifu_bp_ctl.scala 430:117] + node _T_6272 = and(_T_6268, _T_6271) @[ifu_bp_ctl.scala 430:44] + node _T_6273 = or(_T_6267, _T_6272) @[ifu_bp_ctl.scala 429:142] + bht_bank_clken[0][5] <= _T_6273 @[ifu_bp_ctl.scala 429:26] + node _T_6274 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 429:40] + node _T_6275 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 429:60] + node _T_6276 = eq(_T_6275, UInt<3>("h06")) @[ifu_bp_ctl.scala 429:109] + node _T_6277 = or(_T_6276, UInt<1>("h00")) @[ifu_bp_ctl.scala 429:117] + node _T_6278 = and(_T_6274, _T_6277) @[ifu_bp_ctl.scala 429:44] + node _T_6279 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 430:40] + node _T_6280 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 430:60] + node _T_6281 = eq(_T_6280, UInt<3>("h06")) @[ifu_bp_ctl.scala 430:109] + node _T_6282 = or(_T_6281, UInt<1>("h00")) @[ifu_bp_ctl.scala 430:117] + node _T_6283 = and(_T_6279, _T_6282) @[ifu_bp_ctl.scala 430:44] + node _T_6284 = or(_T_6278, _T_6283) @[ifu_bp_ctl.scala 429:142] + bht_bank_clken[0][6] <= _T_6284 @[ifu_bp_ctl.scala 429:26] + node _T_6285 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 429:40] + node _T_6286 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 429:60] + node _T_6287 = eq(_T_6286, UInt<3>("h07")) @[ifu_bp_ctl.scala 429:109] + node _T_6288 = or(_T_6287, UInt<1>("h00")) @[ifu_bp_ctl.scala 429:117] + node _T_6289 = and(_T_6285, _T_6288) @[ifu_bp_ctl.scala 429:44] + node _T_6290 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 430:40] + node _T_6291 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 430:60] + node _T_6292 = eq(_T_6291, UInt<3>("h07")) @[ifu_bp_ctl.scala 430:109] + node _T_6293 = or(_T_6292, UInt<1>("h00")) @[ifu_bp_ctl.scala 430:117] + node _T_6294 = and(_T_6290, _T_6293) @[ifu_bp_ctl.scala 430:44] + node _T_6295 = or(_T_6289, _T_6294) @[ifu_bp_ctl.scala 429:142] + bht_bank_clken[0][7] <= _T_6295 @[ifu_bp_ctl.scala 429:26] + node _T_6296 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 429:40] + node _T_6297 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 429:60] + node _T_6298 = eq(_T_6297, UInt<4>("h08")) @[ifu_bp_ctl.scala 429:109] + node _T_6299 = or(_T_6298, UInt<1>("h00")) @[ifu_bp_ctl.scala 429:117] + node _T_6300 = and(_T_6296, _T_6299) @[ifu_bp_ctl.scala 429:44] + node _T_6301 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 430:40] + node _T_6302 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 430:60] + node _T_6303 = eq(_T_6302, UInt<4>("h08")) @[ifu_bp_ctl.scala 430:109] + node _T_6304 = or(_T_6303, UInt<1>("h00")) @[ifu_bp_ctl.scala 430:117] + node _T_6305 = and(_T_6301, _T_6304) @[ifu_bp_ctl.scala 430:44] + node _T_6306 = or(_T_6300, _T_6305) @[ifu_bp_ctl.scala 429:142] + bht_bank_clken[0][8] <= _T_6306 @[ifu_bp_ctl.scala 429:26] + node _T_6307 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 429:40] + node _T_6308 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 429:60] + node _T_6309 = eq(_T_6308, UInt<4>("h09")) @[ifu_bp_ctl.scala 429:109] + node _T_6310 = or(_T_6309, UInt<1>("h00")) @[ifu_bp_ctl.scala 429:117] + node _T_6311 = and(_T_6307, _T_6310) @[ifu_bp_ctl.scala 429:44] + node _T_6312 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 430:40] + node _T_6313 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 430:60] + node _T_6314 = eq(_T_6313, UInt<4>("h09")) @[ifu_bp_ctl.scala 430:109] + node _T_6315 = or(_T_6314, UInt<1>("h00")) @[ifu_bp_ctl.scala 430:117] + node _T_6316 = and(_T_6312, _T_6315) @[ifu_bp_ctl.scala 430:44] + node _T_6317 = or(_T_6311, _T_6316) @[ifu_bp_ctl.scala 429:142] + bht_bank_clken[0][9] <= _T_6317 @[ifu_bp_ctl.scala 429:26] + node _T_6318 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 429:40] + node _T_6319 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 429:60] + node _T_6320 = eq(_T_6319, UInt<4>("h0a")) @[ifu_bp_ctl.scala 429:109] + node _T_6321 = or(_T_6320, UInt<1>("h00")) @[ifu_bp_ctl.scala 429:117] + node _T_6322 = and(_T_6318, _T_6321) @[ifu_bp_ctl.scala 429:44] + node _T_6323 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 430:40] + node _T_6324 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 430:60] + node _T_6325 = eq(_T_6324, UInt<4>("h0a")) @[ifu_bp_ctl.scala 430:109] + node _T_6326 = or(_T_6325, UInt<1>("h00")) @[ifu_bp_ctl.scala 430:117] + node _T_6327 = and(_T_6323, _T_6326) @[ifu_bp_ctl.scala 430:44] + node _T_6328 = or(_T_6322, _T_6327) @[ifu_bp_ctl.scala 429:142] + bht_bank_clken[0][10] <= _T_6328 @[ifu_bp_ctl.scala 429:26] + node _T_6329 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 429:40] + node _T_6330 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 429:60] + node _T_6331 = eq(_T_6330, UInt<4>("h0b")) @[ifu_bp_ctl.scala 429:109] + node _T_6332 = or(_T_6331, UInt<1>("h00")) @[ifu_bp_ctl.scala 429:117] + node _T_6333 = and(_T_6329, _T_6332) @[ifu_bp_ctl.scala 429:44] + node _T_6334 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 430:40] + node _T_6335 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 430:60] + node _T_6336 = eq(_T_6335, UInt<4>("h0b")) @[ifu_bp_ctl.scala 430:109] + node _T_6337 = or(_T_6336, UInt<1>("h00")) @[ifu_bp_ctl.scala 430:117] + node _T_6338 = and(_T_6334, _T_6337) @[ifu_bp_ctl.scala 430:44] + node _T_6339 = or(_T_6333, _T_6338) @[ifu_bp_ctl.scala 429:142] + bht_bank_clken[0][11] <= _T_6339 @[ifu_bp_ctl.scala 429:26] + node _T_6340 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 429:40] + node _T_6341 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 429:60] + node _T_6342 = eq(_T_6341, UInt<4>("h0c")) @[ifu_bp_ctl.scala 429:109] + node _T_6343 = or(_T_6342, UInt<1>("h00")) @[ifu_bp_ctl.scala 429:117] + node _T_6344 = and(_T_6340, _T_6343) @[ifu_bp_ctl.scala 429:44] + node _T_6345 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 430:40] + node _T_6346 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 430:60] + node _T_6347 = eq(_T_6346, UInt<4>("h0c")) @[ifu_bp_ctl.scala 430:109] + node _T_6348 = or(_T_6347, UInt<1>("h00")) @[ifu_bp_ctl.scala 430:117] + node _T_6349 = and(_T_6345, _T_6348) @[ifu_bp_ctl.scala 430:44] + node _T_6350 = or(_T_6344, _T_6349) @[ifu_bp_ctl.scala 429:142] + bht_bank_clken[0][12] <= _T_6350 @[ifu_bp_ctl.scala 429:26] + node _T_6351 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 429:40] + node _T_6352 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 429:60] + node _T_6353 = eq(_T_6352, UInt<4>("h0d")) @[ifu_bp_ctl.scala 429:109] + node _T_6354 = or(_T_6353, UInt<1>("h00")) @[ifu_bp_ctl.scala 429:117] + node _T_6355 = and(_T_6351, _T_6354) @[ifu_bp_ctl.scala 429:44] + node _T_6356 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 430:40] + node _T_6357 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 430:60] + node _T_6358 = eq(_T_6357, UInt<4>("h0d")) @[ifu_bp_ctl.scala 430:109] + node _T_6359 = or(_T_6358, UInt<1>("h00")) @[ifu_bp_ctl.scala 430:117] + node _T_6360 = and(_T_6356, _T_6359) @[ifu_bp_ctl.scala 430:44] + node _T_6361 = or(_T_6355, _T_6360) @[ifu_bp_ctl.scala 429:142] + bht_bank_clken[0][13] <= _T_6361 @[ifu_bp_ctl.scala 429:26] + node _T_6362 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 429:40] + node _T_6363 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 429:60] + node _T_6364 = eq(_T_6363, UInt<4>("h0e")) @[ifu_bp_ctl.scala 429:109] + node _T_6365 = or(_T_6364, UInt<1>("h00")) @[ifu_bp_ctl.scala 429:117] + node _T_6366 = and(_T_6362, _T_6365) @[ifu_bp_ctl.scala 429:44] + node _T_6367 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 430:40] + node _T_6368 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 430:60] + node _T_6369 = eq(_T_6368, UInt<4>("h0e")) @[ifu_bp_ctl.scala 430:109] + node _T_6370 = or(_T_6369, UInt<1>("h00")) @[ifu_bp_ctl.scala 430:117] + node _T_6371 = and(_T_6367, _T_6370) @[ifu_bp_ctl.scala 430:44] + node _T_6372 = or(_T_6366, _T_6371) @[ifu_bp_ctl.scala 429:142] + bht_bank_clken[0][14] <= _T_6372 @[ifu_bp_ctl.scala 429:26] + node _T_6373 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 429:40] + node _T_6374 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 429:60] + node _T_6375 = eq(_T_6374, UInt<4>("h0f")) @[ifu_bp_ctl.scala 429:109] + node _T_6376 = or(_T_6375, UInt<1>("h00")) @[ifu_bp_ctl.scala 429:117] + node _T_6377 = and(_T_6373, _T_6376) @[ifu_bp_ctl.scala 429:44] + node _T_6378 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 430:40] + node _T_6379 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 430:60] + node _T_6380 = eq(_T_6379, UInt<4>("h0f")) @[ifu_bp_ctl.scala 430:109] + node _T_6381 = or(_T_6380, UInt<1>("h00")) @[ifu_bp_ctl.scala 430:117] + node _T_6382 = and(_T_6378, _T_6381) @[ifu_bp_ctl.scala 430:44] + node _T_6383 = or(_T_6377, _T_6382) @[ifu_bp_ctl.scala 429:142] + bht_bank_clken[0][15] <= _T_6383 @[ifu_bp_ctl.scala 429:26] + node _T_6384 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 429:40] + node _T_6385 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 429:60] + node _T_6386 = eq(_T_6385, UInt<1>("h00")) @[ifu_bp_ctl.scala 429:109] + node _T_6387 = or(_T_6386, UInt<1>("h00")) @[ifu_bp_ctl.scala 429:117] + node _T_6388 = and(_T_6384, _T_6387) @[ifu_bp_ctl.scala 429:44] + node _T_6389 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 430:40] + node _T_6390 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 430:60] + node _T_6391 = eq(_T_6390, UInt<1>("h00")) @[ifu_bp_ctl.scala 430:109] + node _T_6392 = or(_T_6391, UInt<1>("h00")) @[ifu_bp_ctl.scala 430:117] + node _T_6393 = and(_T_6389, _T_6392) @[ifu_bp_ctl.scala 430:44] + node _T_6394 = or(_T_6388, _T_6393) @[ifu_bp_ctl.scala 429:142] + bht_bank_clken[1][0] <= _T_6394 @[ifu_bp_ctl.scala 429:26] + node _T_6395 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 429:40] + node _T_6396 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 429:60] + node _T_6397 = eq(_T_6396, UInt<1>("h01")) @[ifu_bp_ctl.scala 429:109] + node _T_6398 = or(_T_6397, UInt<1>("h00")) @[ifu_bp_ctl.scala 429:117] + node _T_6399 = and(_T_6395, _T_6398) @[ifu_bp_ctl.scala 429:44] + node _T_6400 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 430:40] + node _T_6401 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 430:60] + node _T_6402 = eq(_T_6401, UInt<1>("h01")) @[ifu_bp_ctl.scala 430:109] + node _T_6403 = or(_T_6402, UInt<1>("h00")) @[ifu_bp_ctl.scala 430:117] + node _T_6404 = and(_T_6400, _T_6403) @[ifu_bp_ctl.scala 430:44] + node _T_6405 = or(_T_6399, _T_6404) @[ifu_bp_ctl.scala 429:142] + bht_bank_clken[1][1] <= _T_6405 @[ifu_bp_ctl.scala 429:26] + node _T_6406 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 429:40] + node _T_6407 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 429:60] + node _T_6408 = eq(_T_6407, UInt<2>("h02")) @[ifu_bp_ctl.scala 429:109] + node _T_6409 = or(_T_6408, UInt<1>("h00")) @[ifu_bp_ctl.scala 429:117] + node _T_6410 = and(_T_6406, _T_6409) @[ifu_bp_ctl.scala 429:44] + node _T_6411 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 430:40] + node _T_6412 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 430:60] + node _T_6413 = eq(_T_6412, UInt<2>("h02")) @[ifu_bp_ctl.scala 430:109] + node _T_6414 = or(_T_6413, UInt<1>("h00")) @[ifu_bp_ctl.scala 430:117] + node _T_6415 = and(_T_6411, _T_6414) @[ifu_bp_ctl.scala 430:44] + node _T_6416 = or(_T_6410, _T_6415) @[ifu_bp_ctl.scala 429:142] + bht_bank_clken[1][2] <= _T_6416 @[ifu_bp_ctl.scala 429:26] + node _T_6417 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 429:40] + node _T_6418 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 429:60] + node _T_6419 = eq(_T_6418, UInt<2>("h03")) @[ifu_bp_ctl.scala 429:109] + node _T_6420 = or(_T_6419, UInt<1>("h00")) @[ifu_bp_ctl.scala 429:117] + node _T_6421 = and(_T_6417, _T_6420) @[ifu_bp_ctl.scala 429:44] + node _T_6422 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 430:40] + node _T_6423 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 430:60] + node _T_6424 = eq(_T_6423, UInt<2>("h03")) @[ifu_bp_ctl.scala 430:109] + node _T_6425 = or(_T_6424, UInt<1>("h00")) @[ifu_bp_ctl.scala 430:117] + node _T_6426 = and(_T_6422, _T_6425) @[ifu_bp_ctl.scala 430:44] + node _T_6427 = or(_T_6421, _T_6426) @[ifu_bp_ctl.scala 429:142] + bht_bank_clken[1][3] <= _T_6427 @[ifu_bp_ctl.scala 429:26] + node _T_6428 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 429:40] + node _T_6429 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 429:60] + node _T_6430 = eq(_T_6429, UInt<3>("h04")) @[ifu_bp_ctl.scala 429:109] + node _T_6431 = or(_T_6430, UInt<1>("h00")) @[ifu_bp_ctl.scala 429:117] + node _T_6432 = and(_T_6428, _T_6431) @[ifu_bp_ctl.scala 429:44] + node _T_6433 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 430:40] + node _T_6434 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 430:60] + node _T_6435 = eq(_T_6434, UInt<3>("h04")) @[ifu_bp_ctl.scala 430:109] + node _T_6436 = or(_T_6435, UInt<1>("h00")) @[ifu_bp_ctl.scala 430:117] + node _T_6437 = and(_T_6433, _T_6436) @[ifu_bp_ctl.scala 430:44] + node _T_6438 = or(_T_6432, _T_6437) @[ifu_bp_ctl.scala 429:142] + bht_bank_clken[1][4] <= _T_6438 @[ifu_bp_ctl.scala 429:26] + node _T_6439 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 429:40] + node _T_6440 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 429:60] + node _T_6441 = eq(_T_6440, UInt<3>("h05")) @[ifu_bp_ctl.scala 429:109] + node _T_6442 = or(_T_6441, UInt<1>("h00")) @[ifu_bp_ctl.scala 429:117] + node _T_6443 = and(_T_6439, _T_6442) @[ifu_bp_ctl.scala 429:44] + node _T_6444 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 430:40] + node _T_6445 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 430:60] + node _T_6446 = eq(_T_6445, UInt<3>("h05")) @[ifu_bp_ctl.scala 430:109] + node _T_6447 = or(_T_6446, UInt<1>("h00")) @[ifu_bp_ctl.scala 430:117] + node _T_6448 = and(_T_6444, _T_6447) @[ifu_bp_ctl.scala 430:44] + node _T_6449 = or(_T_6443, _T_6448) @[ifu_bp_ctl.scala 429:142] + bht_bank_clken[1][5] <= _T_6449 @[ifu_bp_ctl.scala 429:26] + node _T_6450 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 429:40] + node _T_6451 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 429:60] + node _T_6452 = eq(_T_6451, UInt<3>("h06")) @[ifu_bp_ctl.scala 429:109] + node _T_6453 = or(_T_6452, UInt<1>("h00")) @[ifu_bp_ctl.scala 429:117] + node _T_6454 = and(_T_6450, _T_6453) @[ifu_bp_ctl.scala 429:44] + node _T_6455 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 430:40] + node _T_6456 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 430:60] + node _T_6457 = eq(_T_6456, UInt<3>("h06")) @[ifu_bp_ctl.scala 430:109] + node _T_6458 = or(_T_6457, UInt<1>("h00")) @[ifu_bp_ctl.scala 430:117] + node _T_6459 = and(_T_6455, _T_6458) @[ifu_bp_ctl.scala 430:44] + node _T_6460 = or(_T_6454, _T_6459) @[ifu_bp_ctl.scala 429:142] + bht_bank_clken[1][6] <= _T_6460 @[ifu_bp_ctl.scala 429:26] + node _T_6461 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 429:40] + node _T_6462 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 429:60] + node _T_6463 = eq(_T_6462, UInt<3>("h07")) @[ifu_bp_ctl.scala 429:109] + node _T_6464 = or(_T_6463, UInt<1>("h00")) @[ifu_bp_ctl.scala 429:117] + node _T_6465 = and(_T_6461, _T_6464) @[ifu_bp_ctl.scala 429:44] + node _T_6466 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 430:40] + node _T_6467 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 430:60] + node _T_6468 = eq(_T_6467, UInt<3>("h07")) @[ifu_bp_ctl.scala 430:109] + node _T_6469 = or(_T_6468, UInt<1>("h00")) @[ifu_bp_ctl.scala 430:117] + node _T_6470 = and(_T_6466, _T_6469) @[ifu_bp_ctl.scala 430:44] + node _T_6471 = or(_T_6465, _T_6470) @[ifu_bp_ctl.scala 429:142] + bht_bank_clken[1][7] <= _T_6471 @[ifu_bp_ctl.scala 429:26] + node _T_6472 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 429:40] + node _T_6473 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 429:60] + node _T_6474 = eq(_T_6473, UInt<4>("h08")) @[ifu_bp_ctl.scala 429:109] + node _T_6475 = or(_T_6474, UInt<1>("h00")) @[ifu_bp_ctl.scala 429:117] + node _T_6476 = and(_T_6472, _T_6475) @[ifu_bp_ctl.scala 429:44] + node _T_6477 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 430:40] + node _T_6478 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 430:60] + node _T_6479 = eq(_T_6478, UInt<4>("h08")) @[ifu_bp_ctl.scala 430:109] + node _T_6480 = or(_T_6479, UInt<1>("h00")) @[ifu_bp_ctl.scala 430:117] + node _T_6481 = and(_T_6477, _T_6480) @[ifu_bp_ctl.scala 430:44] + node _T_6482 = or(_T_6476, _T_6481) @[ifu_bp_ctl.scala 429:142] + bht_bank_clken[1][8] <= _T_6482 @[ifu_bp_ctl.scala 429:26] + node _T_6483 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 429:40] + node _T_6484 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 429:60] + node _T_6485 = eq(_T_6484, UInt<4>("h09")) @[ifu_bp_ctl.scala 429:109] + node _T_6486 = or(_T_6485, UInt<1>("h00")) @[ifu_bp_ctl.scala 429:117] + node _T_6487 = and(_T_6483, _T_6486) @[ifu_bp_ctl.scala 429:44] + node _T_6488 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 430:40] + node _T_6489 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 430:60] + node _T_6490 = eq(_T_6489, UInt<4>("h09")) @[ifu_bp_ctl.scala 430:109] + node _T_6491 = or(_T_6490, UInt<1>("h00")) @[ifu_bp_ctl.scala 430:117] + node _T_6492 = and(_T_6488, _T_6491) @[ifu_bp_ctl.scala 430:44] + node _T_6493 = or(_T_6487, _T_6492) @[ifu_bp_ctl.scala 429:142] + bht_bank_clken[1][9] <= _T_6493 @[ifu_bp_ctl.scala 429:26] + node _T_6494 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 429:40] + node _T_6495 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 429:60] + node _T_6496 = eq(_T_6495, UInt<4>("h0a")) @[ifu_bp_ctl.scala 429:109] + node _T_6497 = or(_T_6496, UInt<1>("h00")) @[ifu_bp_ctl.scala 429:117] + node _T_6498 = and(_T_6494, _T_6497) @[ifu_bp_ctl.scala 429:44] + node _T_6499 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 430:40] + node _T_6500 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 430:60] + node _T_6501 = eq(_T_6500, UInt<4>("h0a")) @[ifu_bp_ctl.scala 430:109] + node _T_6502 = or(_T_6501, UInt<1>("h00")) @[ifu_bp_ctl.scala 430:117] + node _T_6503 = and(_T_6499, _T_6502) @[ifu_bp_ctl.scala 430:44] + node _T_6504 = or(_T_6498, _T_6503) @[ifu_bp_ctl.scala 429:142] + bht_bank_clken[1][10] <= _T_6504 @[ifu_bp_ctl.scala 429:26] + node _T_6505 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 429:40] + node _T_6506 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 429:60] + node _T_6507 = eq(_T_6506, UInt<4>("h0b")) @[ifu_bp_ctl.scala 429:109] + node _T_6508 = or(_T_6507, UInt<1>("h00")) @[ifu_bp_ctl.scala 429:117] + node _T_6509 = and(_T_6505, _T_6508) @[ifu_bp_ctl.scala 429:44] + node _T_6510 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 430:40] + node _T_6511 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 430:60] + node _T_6512 = eq(_T_6511, UInt<4>("h0b")) @[ifu_bp_ctl.scala 430:109] + node _T_6513 = or(_T_6512, UInt<1>("h00")) @[ifu_bp_ctl.scala 430:117] + node _T_6514 = and(_T_6510, _T_6513) @[ifu_bp_ctl.scala 430:44] + node _T_6515 = or(_T_6509, _T_6514) @[ifu_bp_ctl.scala 429:142] + bht_bank_clken[1][11] <= _T_6515 @[ifu_bp_ctl.scala 429:26] + node _T_6516 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 429:40] + node _T_6517 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 429:60] + node _T_6518 = eq(_T_6517, UInt<4>("h0c")) @[ifu_bp_ctl.scala 429:109] + node _T_6519 = or(_T_6518, UInt<1>("h00")) @[ifu_bp_ctl.scala 429:117] + node _T_6520 = and(_T_6516, _T_6519) @[ifu_bp_ctl.scala 429:44] + node _T_6521 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 430:40] + node _T_6522 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 430:60] + node _T_6523 = eq(_T_6522, UInt<4>("h0c")) @[ifu_bp_ctl.scala 430:109] + node _T_6524 = or(_T_6523, UInt<1>("h00")) @[ifu_bp_ctl.scala 430:117] + node _T_6525 = and(_T_6521, _T_6524) @[ifu_bp_ctl.scala 430:44] + node _T_6526 = or(_T_6520, _T_6525) @[ifu_bp_ctl.scala 429:142] + bht_bank_clken[1][12] <= _T_6526 @[ifu_bp_ctl.scala 429:26] + node _T_6527 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 429:40] + node _T_6528 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 429:60] + node _T_6529 = eq(_T_6528, UInt<4>("h0d")) @[ifu_bp_ctl.scala 429:109] + node _T_6530 = or(_T_6529, UInt<1>("h00")) @[ifu_bp_ctl.scala 429:117] + node _T_6531 = and(_T_6527, _T_6530) @[ifu_bp_ctl.scala 429:44] + node _T_6532 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 430:40] + node _T_6533 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 430:60] + node _T_6534 = eq(_T_6533, UInt<4>("h0d")) @[ifu_bp_ctl.scala 430:109] + node _T_6535 = or(_T_6534, UInt<1>("h00")) @[ifu_bp_ctl.scala 430:117] + node _T_6536 = and(_T_6532, _T_6535) @[ifu_bp_ctl.scala 430:44] + node _T_6537 = or(_T_6531, _T_6536) @[ifu_bp_ctl.scala 429:142] + bht_bank_clken[1][13] <= _T_6537 @[ifu_bp_ctl.scala 429:26] + node _T_6538 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 429:40] + node _T_6539 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 429:60] + node _T_6540 = eq(_T_6539, UInt<4>("h0e")) @[ifu_bp_ctl.scala 429:109] + node _T_6541 = or(_T_6540, UInt<1>("h00")) @[ifu_bp_ctl.scala 429:117] + node _T_6542 = and(_T_6538, _T_6541) @[ifu_bp_ctl.scala 429:44] + node _T_6543 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 430:40] + node _T_6544 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 430:60] + node _T_6545 = eq(_T_6544, UInt<4>("h0e")) @[ifu_bp_ctl.scala 430:109] + node _T_6546 = or(_T_6545, UInt<1>("h00")) @[ifu_bp_ctl.scala 430:117] + node _T_6547 = and(_T_6543, _T_6546) @[ifu_bp_ctl.scala 430:44] + node _T_6548 = or(_T_6542, _T_6547) @[ifu_bp_ctl.scala 429:142] + bht_bank_clken[1][14] <= _T_6548 @[ifu_bp_ctl.scala 429:26] + node _T_6549 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 429:40] + node _T_6550 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 429:60] + node _T_6551 = eq(_T_6550, UInt<4>("h0f")) @[ifu_bp_ctl.scala 429:109] + node _T_6552 = or(_T_6551, UInt<1>("h00")) @[ifu_bp_ctl.scala 429:117] + node _T_6553 = and(_T_6549, _T_6552) @[ifu_bp_ctl.scala 429:44] + node _T_6554 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 430:40] + node _T_6555 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 430:60] + node _T_6556 = eq(_T_6555, UInt<4>("h0f")) @[ifu_bp_ctl.scala 430:109] + node _T_6557 = or(_T_6556, UInt<1>("h00")) @[ifu_bp_ctl.scala 430:117] + node _T_6558 = and(_T_6554, _T_6557) @[ifu_bp_ctl.scala 430:44] + node _T_6559 = or(_T_6553, _T_6558) @[ifu_bp_ctl.scala 429:142] + bht_bank_clken[1][15] <= _T_6559 @[ifu_bp_ctl.scala 429:26] + node _T_6560 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6561 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6562 = eq(_T_6561, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:74] + node _T_6563 = and(_T_6560, _T_6562) @[ifu_bp_ctl.scala 435:23] + node _T_6564 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6565 = eq(_T_6564, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:154] + node _T_6566 = and(_T_6563, _T_6565) @[ifu_bp_ctl.scala 435:81] + node _T_6567 = or(_T_6566, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6568 = bits(_T_6567, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_0_0 = mux(_T_6568, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6569 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6570 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6571 = eq(_T_6570, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:74] + node _T_6572 = and(_T_6569, _T_6571) @[ifu_bp_ctl.scala 435:23] + node _T_6573 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6574 = eq(_T_6573, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:154] + node _T_6575 = and(_T_6572, _T_6574) @[ifu_bp_ctl.scala 435:81] + node _T_6576 = or(_T_6575, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6577 = bits(_T_6576, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_0_1 = mux(_T_6577, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6578 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6579 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6580 = eq(_T_6579, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:74] + node _T_6581 = and(_T_6578, _T_6580) @[ifu_bp_ctl.scala 435:23] + node _T_6582 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6583 = eq(_T_6582, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:154] + node _T_6584 = and(_T_6581, _T_6583) @[ifu_bp_ctl.scala 435:81] + node _T_6585 = or(_T_6584, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6586 = bits(_T_6585, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_0_2 = mux(_T_6586, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6587 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6588 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6589 = eq(_T_6588, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:74] + node _T_6590 = and(_T_6587, _T_6589) @[ifu_bp_ctl.scala 435:23] + node _T_6591 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6592 = eq(_T_6591, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:154] + node _T_6593 = and(_T_6590, _T_6592) @[ifu_bp_ctl.scala 435:81] + node _T_6594 = or(_T_6593, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6595 = bits(_T_6594, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_0_3 = mux(_T_6595, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6596 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6597 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6598 = eq(_T_6597, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:74] + node _T_6599 = and(_T_6596, _T_6598) @[ifu_bp_ctl.scala 435:23] + node _T_6600 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6601 = eq(_T_6600, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:154] + node _T_6602 = and(_T_6599, _T_6601) @[ifu_bp_ctl.scala 435:81] + node _T_6603 = or(_T_6602, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6604 = bits(_T_6603, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_0_4 = mux(_T_6604, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6605 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6606 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6607 = eq(_T_6606, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:74] + node _T_6608 = and(_T_6605, _T_6607) @[ifu_bp_ctl.scala 435:23] + node _T_6609 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6610 = eq(_T_6609, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:154] + node _T_6611 = and(_T_6608, _T_6610) @[ifu_bp_ctl.scala 435:81] + node _T_6612 = or(_T_6611, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6613 = bits(_T_6612, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_0_5 = mux(_T_6613, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6614 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6615 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6616 = eq(_T_6615, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:74] + node _T_6617 = and(_T_6614, _T_6616) @[ifu_bp_ctl.scala 435:23] + node _T_6618 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6619 = eq(_T_6618, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:154] + node _T_6620 = and(_T_6617, _T_6619) @[ifu_bp_ctl.scala 435:81] + node _T_6621 = or(_T_6620, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6622 = bits(_T_6621, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_0_6 = mux(_T_6622, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6623 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6624 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6625 = eq(_T_6624, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:74] + node _T_6626 = and(_T_6623, _T_6625) @[ifu_bp_ctl.scala 435:23] + node _T_6627 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6628 = eq(_T_6627, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:154] + node _T_6629 = and(_T_6626, _T_6628) @[ifu_bp_ctl.scala 435:81] + node _T_6630 = or(_T_6629, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6631 = bits(_T_6630, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_0_7 = mux(_T_6631, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6632 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6633 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6634 = eq(_T_6633, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:74] + node _T_6635 = and(_T_6632, _T_6634) @[ifu_bp_ctl.scala 435:23] + node _T_6636 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6637 = eq(_T_6636, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:154] + node _T_6638 = and(_T_6635, _T_6637) @[ifu_bp_ctl.scala 435:81] + node _T_6639 = or(_T_6638, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6640 = bits(_T_6639, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_0_8 = mux(_T_6640, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6641 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6642 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6643 = eq(_T_6642, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:74] + node _T_6644 = and(_T_6641, _T_6643) @[ifu_bp_ctl.scala 435:23] + node _T_6645 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6646 = eq(_T_6645, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:154] + node _T_6647 = and(_T_6644, _T_6646) @[ifu_bp_ctl.scala 435:81] + node _T_6648 = or(_T_6647, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6649 = bits(_T_6648, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_0_9 = mux(_T_6649, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6650 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6651 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6652 = eq(_T_6651, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:74] + node _T_6653 = and(_T_6650, _T_6652) @[ifu_bp_ctl.scala 435:23] + node _T_6654 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6655 = eq(_T_6654, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:154] + node _T_6656 = and(_T_6653, _T_6655) @[ifu_bp_ctl.scala 435:81] + node _T_6657 = or(_T_6656, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6658 = bits(_T_6657, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_0_10 = mux(_T_6658, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6659 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6660 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6661 = eq(_T_6660, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:74] + node _T_6662 = and(_T_6659, _T_6661) @[ifu_bp_ctl.scala 435:23] + node _T_6663 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6664 = eq(_T_6663, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:154] + node _T_6665 = and(_T_6662, _T_6664) @[ifu_bp_ctl.scala 435:81] + node _T_6666 = or(_T_6665, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6667 = bits(_T_6666, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_0_11 = mux(_T_6667, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6668 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6669 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6670 = eq(_T_6669, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:74] + node _T_6671 = and(_T_6668, _T_6670) @[ifu_bp_ctl.scala 435:23] + node _T_6672 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6673 = eq(_T_6672, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:154] + node _T_6674 = and(_T_6671, _T_6673) @[ifu_bp_ctl.scala 435:81] + node _T_6675 = or(_T_6674, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6676 = bits(_T_6675, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_0_12 = mux(_T_6676, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6677 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6678 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6679 = eq(_T_6678, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:74] + node _T_6680 = and(_T_6677, _T_6679) @[ifu_bp_ctl.scala 435:23] + node _T_6681 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6682 = eq(_T_6681, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:154] + node _T_6683 = and(_T_6680, _T_6682) @[ifu_bp_ctl.scala 435:81] + node _T_6684 = or(_T_6683, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6685 = bits(_T_6684, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_0_13 = mux(_T_6685, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6686 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6687 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6688 = eq(_T_6687, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:74] + node _T_6689 = and(_T_6686, _T_6688) @[ifu_bp_ctl.scala 435:23] + node _T_6690 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6691 = eq(_T_6690, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:154] + node _T_6692 = and(_T_6689, _T_6691) @[ifu_bp_ctl.scala 435:81] + node _T_6693 = or(_T_6692, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6694 = bits(_T_6693, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_0_14 = mux(_T_6694, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6695 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6696 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6697 = eq(_T_6696, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:74] + node _T_6698 = and(_T_6695, _T_6697) @[ifu_bp_ctl.scala 435:23] + node _T_6699 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6700 = eq(_T_6699, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:154] + node _T_6701 = and(_T_6698, _T_6700) @[ifu_bp_ctl.scala 435:81] + node _T_6702 = or(_T_6701, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6703 = bits(_T_6702, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_0_15 = mux(_T_6703, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6704 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6705 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6706 = eq(_T_6705, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:74] + node _T_6707 = and(_T_6704, _T_6706) @[ifu_bp_ctl.scala 435:23] + node _T_6708 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6709 = eq(_T_6708, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:154] + node _T_6710 = and(_T_6707, _T_6709) @[ifu_bp_ctl.scala 435:81] + node _T_6711 = or(_T_6710, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6712 = bits(_T_6711, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_1_0 = mux(_T_6712, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6713 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6714 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6715 = eq(_T_6714, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:74] + node _T_6716 = and(_T_6713, _T_6715) @[ifu_bp_ctl.scala 435:23] + node _T_6717 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6718 = eq(_T_6717, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:154] + node _T_6719 = and(_T_6716, _T_6718) @[ifu_bp_ctl.scala 435:81] + node _T_6720 = or(_T_6719, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6721 = bits(_T_6720, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_1_1 = mux(_T_6721, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6722 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6723 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6724 = eq(_T_6723, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:74] + node _T_6725 = and(_T_6722, _T_6724) @[ifu_bp_ctl.scala 435:23] + node _T_6726 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6727 = eq(_T_6726, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:154] + node _T_6728 = and(_T_6725, _T_6727) @[ifu_bp_ctl.scala 435:81] + node _T_6729 = or(_T_6728, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6730 = bits(_T_6729, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_1_2 = mux(_T_6730, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6731 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6732 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6733 = eq(_T_6732, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:74] + node _T_6734 = and(_T_6731, _T_6733) @[ifu_bp_ctl.scala 435:23] + node _T_6735 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6736 = eq(_T_6735, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:154] + node _T_6737 = and(_T_6734, _T_6736) @[ifu_bp_ctl.scala 435:81] + node _T_6738 = or(_T_6737, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6739 = bits(_T_6738, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_1_3 = mux(_T_6739, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6740 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6741 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6742 = eq(_T_6741, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:74] + node _T_6743 = and(_T_6740, _T_6742) @[ifu_bp_ctl.scala 435:23] + node _T_6744 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6745 = eq(_T_6744, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:154] + node _T_6746 = and(_T_6743, _T_6745) @[ifu_bp_ctl.scala 435:81] + node _T_6747 = or(_T_6746, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6748 = bits(_T_6747, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_1_4 = mux(_T_6748, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6749 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6750 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6751 = eq(_T_6750, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:74] + node _T_6752 = and(_T_6749, _T_6751) @[ifu_bp_ctl.scala 435:23] + node _T_6753 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6754 = eq(_T_6753, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:154] + node _T_6755 = and(_T_6752, _T_6754) @[ifu_bp_ctl.scala 435:81] + node _T_6756 = or(_T_6755, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6757 = bits(_T_6756, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_1_5 = mux(_T_6757, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6758 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6759 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6760 = eq(_T_6759, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:74] + node _T_6761 = and(_T_6758, _T_6760) @[ifu_bp_ctl.scala 435:23] + node _T_6762 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6763 = eq(_T_6762, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:154] + node _T_6764 = and(_T_6761, _T_6763) @[ifu_bp_ctl.scala 435:81] + node _T_6765 = or(_T_6764, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6766 = bits(_T_6765, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_1_6 = mux(_T_6766, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6767 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6768 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6769 = eq(_T_6768, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:74] + node _T_6770 = and(_T_6767, _T_6769) @[ifu_bp_ctl.scala 435:23] + node _T_6771 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6772 = eq(_T_6771, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:154] + node _T_6773 = and(_T_6770, _T_6772) @[ifu_bp_ctl.scala 435:81] + node _T_6774 = or(_T_6773, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6775 = bits(_T_6774, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_1_7 = mux(_T_6775, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6776 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6777 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6778 = eq(_T_6777, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:74] + node _T_6779 = and(_T_6776, _T_6778) @[ifu_bp_ctl.scala 435:23] + node _T_6780 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6781 = eq(_T_6780, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:154] + node _T_6782 = and(_T_6779, _T_6781) @[ifu_bp_ctl.scala 435:81] + node _T_6783 = or(_T_6782, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6784 = bits(_T_6783, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_1_8 = mux(_T_6784, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6785 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6786 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6787 = eq(_T_6786, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:74] + node _T_6788 = and(_T_6785, _T_6787) @[ifu_bp_ctl.scala 435:23] + node _T_6789 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6790 = eq(_T_6789, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:154] + node _T_6791 = and(_T_6788, _T_6790) @[ifu_bp_ctl.scala 435:81] + node _T_6792 = or(_T_6791, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6793 = bits(_T_6792, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_1_9 = mux(_T_6793, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6794 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6795 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6796 = eq(_T_6795, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:74] + node _T_6797 = and(_T_6794, _T_6796) @[ifu_bp_ctl.scala 435:23] + node _T_6798 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6799 = eq(_T_6798, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:154] + node _T_6800 = and(_T_6797, _T_6799) @[ifu_bp_ctl.scala 435:81] + node _T_6801 = or(_T_6800, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6802 = bits(_T_6801, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_1_10 = mux(_T_6802, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6803 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6804 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6805 = eq(_T_6804, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:74] + node _T_6806 = and(_T_6803, _T_6805) @[ifu_bp_ctl.scala 435:23] + node _T_6807 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6808 = eq(_T_6807, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:154] + node _T_6809 = and(_T_6806, _T_6808) @[ifu_bp_ctl.scala 435:81] + node _T_6810 = or(_T_6809, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6811 = bits(_T_6810, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_1_11 = mux(_T_6811, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6812 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6813 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6814 = eq(_T_6813, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:74] + node _T_6815 = and(_T_6812, _T_6814) @[ifu_bp_ctl.scala 435:23] + node _T_6816 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6817 = eq(_T_6816, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:154] + node _T_6818 = and(_T_6815, _T_6817) @[ifu_bp_ctl.scala 435:81] + node _T_6819 = or(_T_6818, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6820 = bits(_T_6819, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_1_12 = mux(_T_6820, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6821 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6822 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6823 = eq(_T_6822, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:74] + node _T_6824 = and(_T_6821, _T_6823) @[ifu_bp_ctl.scala 435:23] + node _T_6825 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6826 = eq(_T_6825, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:154] + node _T_6827 = and(_T_6824, _T_6826) @[ifu_bp_ctl.scala 435:81] + node _T_6828 = or(_T_6827, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6829 = bits(_T_6828, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_1_13 = mux(_T_6829, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6830 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6831 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6832 = eq(_T_6831, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:74] + node _T_6833 = and(_T_6830, _T_6832) @[ifu_bp_ctl.scala 435:23] + node _T_6834 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6835 = eq(_T_6834, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:154] + node _T_6836 = and(_T_6833, _T_6835) @[ifu_bp_ctl.scala 435:81] + node _T_6837 = or(_T_6836, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6838 = bits(_T_6837, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_1_14 = mux(_T_6838, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6839 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6840 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6841 = eq(_T_6840, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:74] + node _T_6842 = and(_T_6839, _T_6841) @[ifu_bp_ctl.scala 435:23] + node _T_6843 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6844 = eq(_T_6843, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:154] + node _T_6845 = and(_T_6842, _T_6844) @[ifu_bp_ctl.scala 435:81] + node _T_6846 = or(_T_6845, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6847 = bits(_T_6846, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_1_15 = mux(_T_6847, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6848 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6849 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6850 = eq(_T_6849, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:74] + node _T_6851 = and(_T_6848, _T_6850) @[ifu_bp_ctl.scala 435:23] + node _T_6852 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6853 = eq(_T_6852, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:154] + node _T_6854 = and(_T_6851, _T_6853) @[ifu_bp_ctl.scala 435:81] + node _T_6855 = or(_T_6854, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6856 = bits(_T_6855, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_2_0 = mux(_T_6856, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6857 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6858 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6859 = eq(_T_6858, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:74] + node _T_6860 = and(_T_6857, _T_6859) @[ifu_bp_ctl.scala 435:23] + node _T_6861 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6862 = eq(_T_6861, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:154] + node _T_6863 = and(_T_6860, _T_6862) @[ifu_bp_ctl.scala 435:81] + node _T_6864 = or(_T_6863, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6865 = bits(_T_6864, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_2_1 = mux(_T_6865, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6866 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6867 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6868 = eq(_T_6867, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:74] + node _T_6869 = and(_T_6866, _T_6868) @[ifu_bp_ctl.scala 435:23] + node _T_6870 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6871 = eq(_T_6870, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:154] + node _T_6872 = and(_T_6869, _T_6871) @[ifu_bp_ctl.scala 435:81] + node _T_6873 = or(_T_6872, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6874 = bits(_T_6873, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_2_2 = mux(_T_6874, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6875 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6876 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6877 = eq(_T_6876, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:74] + node _T_6878 = and(_T_6875, _T_6877) @[ifu_bp_ctl.scala 435:23] + node _T_6879 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6880 = eq(_T_6879, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:154] + node _T_6881 = and(_T_6878, _T_6880) @[ifu_bp_ctl.scala 435:81] + node _T_6882 = or(_T_6881, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6883 = bits(_T_6882, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_2_3 = mux(_T_6883, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6884 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6885 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6886 = eq(_T_6885, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:74] + node _T_6887 = and(_T_6884, _T_6886) @[ifu_bp_ctl.scala 435:23] + node _T_6888 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6889 = eq(_T_6888, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:154] + node _T_6890 = and(_T_6887, _T_6889) @[ifu_bp_ctl.scala 435:81] + node _T_6891 = or(_T_6890, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6892 = bits(_T_6891, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_2_4 = mux(_T_6892, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6893 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6894 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6895 = eq(_T_6894, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:74] + node _T_6896 = and(_T_6893, _T_6895) @[ifu_bp_ctl.scala 435:23] + node _T_6897 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6898 = eq(_T_6897, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:154] + node _T_6899 = and(_T_6896, _T_6898) @[ifu_bp_ctl.scala 435:81] + node _T_6900 = or(_T_6899, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6901 = bits(_T_6900, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_2_5 = mux(_T_6901, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6902 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6903 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6904 = eq(_T_6903, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:74] + node _T_6905 = and(_T_6902, _T_6904) @[ifu_bp_ctl.scala 435:23] + node _T_6906 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6907 = eq(_T_6906, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:154] + node _T_6908 = and(_T_6905, _T_6907) @[ifu_bp_ctl.scala 435:81] + node _T_6909 = or(_T_6908, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6910 = bits(_T_6909, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_2_6 = mux(_T_6910, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6911 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6912 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6913 = eq(_T_6912, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:74] + node _T_6914 = and(_T_6911, _T_6913) @[ifu_bp_ctl.scala 435:23] + node _T_6915 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6916 = eq(_T_6915, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:154] + node _T_6917 = and(_T_6914, _T_6916) @[ifu_bp_ctl.scala 435:81] + node _T_6918 = or(_T_6917, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6919 = bits(_T_6918, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_2_7 = mux(_T_6919, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6920 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6921 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6922 = eq(_T_6921, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:74] + node _T_6923 = and(_T_6920, _T_6922) @[ifu_bp_ctl.scala 435:23] + node _T_6924 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6925 = eq(_T_6924, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:154] + node _T_6926 = and(_T_6923, _T_6925) @[ifu_bp_ctl.scala 435:81] + node _T_6927 = or(_T_6926, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6928 = bits(_T_6927, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_2_8 = mux(_T_6928, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6929 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6930 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6931 = eq(_T_6930, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:74] + node _T_6932 = and(_T_6929, _T_6931) @[ifu_bp_ctl.scala 435:23] + node _T_6933 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6934 = eq(_T_6933, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:154] + node _T_6935 = and(_T_6932, _T_6934) @[ifu_bp_ctl.scala 435:81] + node _T_6936 = or(_T_6935, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6937 = bits(_T_6936, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_2_9 = mux(_T_6937, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6938 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6939 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6940 = eq(_T_6939, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:74] + node _T_6941 = and(_T_6938, _T_6940) @[ifu_bp_ctl.scala 435:23] + node _T_6942 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6943 = eq(_T_6942, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:154] + node _T_6944 = and(_T_6941, _T_6943) @[ifu_bp_ctl.scala 435:81] + node _T_6945 = or(_T_6944, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6946 = bits(_T_6945, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_2_10 = mux(_T_6946, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6947 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6948 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6949 = eq(_T_6948, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:74] + node _T_6950 = and(_T_6947, _T_6949) @[ifu_bp_ctl.scala 435:23] + node _T_6951 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6952 = eq(_T_6951, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:154] + node _T_6953 = and(_T_6950, _T_6952) @[ifu_bp_ctl.scala 435:81] + node _T_6954 = or(_T_6953, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6955 = bits(_T_6954, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_2_11 = mux(_T_6955, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6956 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6957 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6958 = eq(_T_6957, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:74] + node _T_6959 = and(_T_6956, _T_6958) @[ifu_bp_ctl.scala 435:23] + node _T_6960 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6961 = eq(_T_6960, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:154] + node _T_6962 = and(_T_6959, _T_6961) @[ifu_bp_ctl.scala 435:81] + node _T_6963 = or(_T_6962, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6964 = bits(_T_6963, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_2_12 = mux(_T_6964, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6965 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6966 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6967 = eq(_T_6966, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:74] + node _T_6968 = and(_T_6965, _T_6967) @[ifu_bp_ctl.scala 435:23] + node _T_6969 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6970 = eq(_T_6969, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:154] + node _T_6971 = and(_T_6968, _T_6970) @[ifu_bp_ctl.scala 435:81] + node _T_6972 = or(_T_6971, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6973 = bits(_T_6972, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_2_13 = mux(_T_6973, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6974 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6975 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6976 = eq(_T_6975, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:74] + node _T_6977 = and(_T_6974, _T_6976) @[ifu_bp_ctl.scala 435:23] + node _T_6978 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6979 = eq(_T_6978, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:154] + node _T_6980 = and(_T_6977, _T_6979) @[ifu_bp_ctl.scala 435:81] + node _T_6981 = or(_T_6980, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6982 = bits(_T_6981, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_2_14 = mux(_T_6982, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6983 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6984 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6985 = eq(_T_6984, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:74] + node _T_6986 = and(_T_6983, _T_6985) @[ifu_bp_ctl.scala 435:23] + node _T_6987 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6988 = eq(_T_6987, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:154] + node _T_6989 = and(_T_6986, _T_6988) @[ifu_bp_ctl.scala 435:81] + node _T_6990 = or(_T_6989, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_6991 = bits(_T_6990, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_2_15 = mux(_T_6991, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_6992 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_6993 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_6994 = eq(_T_6993, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:74] + node _T_6995 = and(_T_6992, _T_6994) @[ifu_bp_ctl.scala 435:23] + node _T_6996 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_6997 = eq(_T_6996, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:154] + node _T_6998 = and(_T_6995, _T_6997) @[ifu_bp_ctl.scala 435:81] + node _T_6999 = or(_T_6998, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7000 = bits(_T_6999, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_3_0 = mux(_T_7000, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7001 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7002 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7003 = eq(_T_7002, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:74] + node _T_7004 = and(_T_7001, _T_7003) @[ifu_bp_ctl.scala 435:23] + node _T_7005 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7006 = eq(_T_7005, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:154] + node _T_7007 = and(_T_7004, _T_7006) @[ifu_bp_ctl.scala 435:81] + node _T_7008 = or(_T_7007, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7009 = bits(_T_7008, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_3_1 = mux(_T_7009, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7010 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7011 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7012 = eq(_T_7011, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:74] + node _T_7013 = and(_T_7010, _T_7012) @[ifu_bp_ctl.scala 435:23] + node _T_7014 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7015 = eq(_T_7014, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:154] + node _T_7016 = and(_T_7013, _T_7015) @[ifu_bp_ctl.scala 435:81] + node _T_7017 = or(_T_7016, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7018 = bits(_T_7017, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_3_2 = mux(_T_7018, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7019 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7020 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7021 = eq(_T_7020, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:74] + node _T_7022 = and(_T_7019, _T_7021) @[ifu_bp_ctl.scala 435:23] + node _T_7023 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7024 = eq(_T_7023, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:154] + node _T_7025 = and(_T_7022, _T_7024) @[ifu_bp_ctl.scala 435:81] + node _T_7026 = or(_T_7025, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7027 = bits(_T_7026, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_3_3 = mux(_T_7027, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7028 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7029 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7030 = eq(_T_7029, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:74] + node _T_7031 = and(_T_7028, _T_7030) @[ifu_bp_ctl.scala 435:23] + node _T_7032 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7033 = eq(_T_7032, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:154] + node _T_7034 = and(_T_7031, _T_7033) @[ifu_bp_ctl.scala 435:81] + node _T_7035 = or(_T_7034, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7036 = bits(_T_7035, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_3_4 = mux(_T_7036, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7037 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7038 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7039 = eq(_T_7038, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:74] + node _T_7040 = and(_T_7037, _T_7039) @[ifu_bp_ctl.scala 435:23] + node _T_7041 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7042 = eq(_T_7041, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:154] + node _T_7043 = and(_T_7040, _T_7042) @[ifu_bp_ctl.scala 435:81] + node _T_7044 = or(_T_7043, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7045 = bits(_T_7044, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_3_5 = mux(_T_7045, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7046 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7047 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7048 = eq(_T_7047, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:74] + node _T_7049 = and(_T_7046, _T_7048) @[ifu_bp_ctl.scala 435:23] + node _T_7050 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7051 = eq(_T_7050, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:154] + node _T_7052 = and(_T_7049, _T_7051) @[ifu_bp_ctl.scala 435:81] + node _T_7053 = or(_T_7052, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7054 = bits(_T_7053, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_3_6 = mux(_T_7054, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7055 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7056 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7057 = eq(_T_7056, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:74] + node _T_7058 = and(_T_7055, _T_7057) @[ifu_bp_ctl.scala 435:23] + node _T_7059 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7060 = eq(_T_7059, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:154] + node _T_7061 = and(_T_7058, _T_7060) @[ifu_bp_ctl.scala 435:81] + node _T_7062 = or(_T_7061, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7063 = bits(_T_7062, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_3_7 = mux(_T_7063, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7064 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7065 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7066 = eq(_T_7065, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:74] + node _T_7067 = and(_T_7064, _T_7066) @[ifu_bp_ctl.scala 435:23] + node _T_7068 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7069 = eq(_T_7068, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:154] + node _T_7070 = and(_T_7067, _T_7069) @[ifu_bp_ctl.scala 435:81] + node _T_7071 = or(_T_7070, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7072 = bits(_T_7071, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_3_8 = mux(_T_7072, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7073 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7074 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7075 = eq(_T_7074, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:74] + node _T_7076 = and(_T_7073, _T_7075) @[ifu_bp_ctl.scala 435:23] + node _T_7077 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7078 = eq(_T_7077, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:154] + node _T_7079 = and(_T_7076, _T_7078) @[ifu_bp_ctl.scala 435:81] + node _T_7080 = or(_T_7079, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7081 = bits(_T_7080, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_3_9 = mux(_T_7081, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7082 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7083 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7084 = eq(_T_7083, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:74] + node _T_7085 = and(_T_7082, _T_7084) @[ifu_bp_ctl.scala 435:23] + node _T_7086 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7087 = eq(_T_7086, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:154] + node _T_7088 = and(_T_7085, _T_7087) @[ifu_bp_ctl.scala 435:81] + node _T_7089 = or(_T_7088, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7090 = bits(_T_7089, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_3_10 = mux(_T_7090, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7091 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7092 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7093 = eq(_T_7092, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:74] + node _T_7094 = and(_T_7091, _T_7093) @[ifu_bp_ctl.scala 435:23] + node _T_7095 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7096 = eq(_T_7095, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:154] + node _T_7097 = and(_T_7094, _T_7096) @[ifu_bp_ctl.scala 435:81] + node _T_7098 = or(_T_7097, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7099 = bits(_T_7098, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_3_11 = mux(_T_7099, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7100 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7101 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7102 = eq(_T_7101, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:74] + node _T_7103 = and(_T_7100, _T_7102) @[ifu_bp_ctl.scala 435:23] + node _T_7104 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7105 = eq(_T_7104, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:154] + node _T_7106 = and(_T_7103, _T_7105) @[ifu_bp_ctl.scala 435:81] + node _T_7107 = or(_T_7106, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7108 = bits(_T_7107, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_3_12 = mux(_T_7108, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7109 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7110 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7111 = eq(_T_7110, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:74] + node _T_7112 = and(_T_7109, _T_7111) @[ifu_bp_ctl.scala 435:23] + node _T_7113 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7114 = eq(_T_7113, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:154] + node _T_7115 = and(_T_7112, _T_7114) @[ifu_bp_ctl.scala 435:81] + node _T_7116 = or(_T_7115, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7117 = bits(_T_7116, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_3_13 = mux(_T_7117, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7118 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7119 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7120 = eq(_T_7119, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:74] + node _T_7121 = and(_T_7118, _T_7120) @[ifu_bp_ctl.scala 435:23] + node _T_7122 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7123 = eq(_T_7122, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:154] + node _T_7124 = and(_T_7121, _T_7123) @[ifu_bp_ctl.scala 435:81] + node _T_7125 = or(_T_7124, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7126 = bits(_T_7125, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_3_14 = mux(_T_7126, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7127 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7128 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7129 = eq(_T_7128, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:74] + node _T_7130 = and(_T_7127, _T_7129) @[ifu_bp_ctl.scala 435:23] + node _T_7131 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7132 = eq(_T_7131, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:154] + node _T_7133 = and(_T_7130, _T_7132) @[ifu_bp_ctl.scala 435:81] + node _T_7134 = or(_T_7133, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7135 = bits(_T_7134, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_3_15 = mux(_T_7135, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7136 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7137 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7138 = eq(_T_7137, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:74] + node _T_7139 = and(_T_7136, _T_7138) @[ifu_bp_ctl.scala 435:23] + node _T_7140 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7141 = eq(_T_7140, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:154] + node _T_7142 = and(_T_7139, _T_7141) @[ifu_bp_ctl.scala 435:81] + node _T_7143 = or(_T_7142, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7144 = bits(_T_7143, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_4_0 = mux(_T_7144, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7145 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7146 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7147 = eq(_T_7146, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:74] + node _T_7148 = and(_T_7145, _T_7147) @[ifu_bp_ctl.scala 435:23] + node _T_7149 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7150 = eq(_T_7149, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:154] + node _T_7151 = and(_T_7148, _T_7150) @[ifu_bp_ctl.scala 435:81] + node _T_7152 = or(_T_7151, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7153 = bits(_T_7152, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_4_1 = mux(_T_7153, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7154 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7155 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7156 = eq(_T_7155, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:74] + node _T_7157 = and(_T_7154, _T_7156) @[ifu_bp_ctl.scala 435:23] + node _T_7158 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7159 = eq(_T_7158, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:154] + node _T_7160 = and(_T_7157, _T_7159) @[ifu_bp_ctl.scala 435:81] + node _T_7161 = or(_T_7160, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7162 = bits(_T_7161, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_4_2 = mux(_T_7162, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7163 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7164 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7165 = eq(_T_7164, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:74] + node _T_7166 = and(_T_7163, _T_7165) @[ifu_bp_ctl.scala 435:23] + node _T_7167 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7168 = eq(_T_7167, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:154] + node _T_7169 = and(_T_7166, _T_7168) @[ifu_bp_ctl.scala 435:81] + node _T_7170 = or(_T_7169, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7171 = bits(_T_7170, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_4_3 = mux(_T_7171, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7172 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7173 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7174 = eq(_T_7173, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:74] + node _T_7175 = and(_T_7172, _T_7174) @[ifu_bp_ctl.scala 435:23] + node _T_7176 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7177 = eq(_T_7176, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:154] + node _T_7178 = and(_T_7175, _T_7177) @[ifu_bp_ctl.scala 435:81] + node _T_7179 = or(_T_7178, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7180 = bits(_T_7179, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_4_4 = mux(_T_7180, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7181 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7182 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7183 = eq(_T_7182, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:74] + node _T_7184 = and(_T_7181, _T_7183) @[ifu_bp_ctl.scala 435:23] + node _T_7185 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7186 = eq(_T_7185, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:154] + node _T_7187 = and(_T_7184, _T_7186) @[ifu_bp_ctl.scala 435:81] + node _T_7188 = or(_T_7187, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7189 = bits(_T_7188, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_4_5 = mux(_T_7189, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7190 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7191 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7192 = eq(_T_7191, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:74] + node _T_7193 = and(_T_7190, _T_7192) @[ifu_bp_ctl.scala 435:23] + node _T_7194 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7195 = eq(_T_7194, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:154] + node _T_7196 = and(_T_7193, _T_7195) @[ifu_bp_ctl.scala 435:81] + node _T_7197 = or(_T_7196, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7198 = bits(_T_7197, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_4_6 = mux(_T_7198, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7199 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7200 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7201 = eq(_T_7200, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:74] + node _T_7202 = and(_T_7199, _T_7201) @[ifu_bp_ctl.scala 435:23] + node _T_7203 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7204 = eq(_T_7203, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:154] + node _T_7205 = and(_T_7202, _T_7204) @[ifu_bp_ctl.scala 435:81] + node _T_7206 = or(_T_7205, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7207 = bits(_T_7206, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_4_7 = mux(_T_7207, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7208 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7209 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7210 = eq(_T_7209, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:74] + node _T_7211 = and(_T_7208, _T_7210) @[ifu_bp_ctl.scala 435:23] + node _T_7212 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7213 = eq(_T_7212, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:154] + node _T_7214 = and(_T_7211, _T_7213) @[ifu_bp_ctl.scala 435:81] + node _T_7215 = or(_T_7214, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7216 = bits(_T_7215, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_4_8 = mux(_T_7216, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7217 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7218 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7219 = eq(_T_7218, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:74] + node _T_7220 = and(_T_7217, _T_7219) @[ifu_bp_ctl.scala 435:23] + node _T_7221 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7222 = eq(_T_7221, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:154] + node _T_7223 = and(_T_7220, _T_7222) @[ifu_bp_ctl.scala 435:81] + node _T_7224 = or(_T_7223, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7225 = bits(_T_7224, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_4_9 = mux(_T_7225, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7226 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7227 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7228 = eq(_T_7227, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:74] + node _T_7229 = and(_T_7226, _T_7228) @[ifu_bp_ctl.scala 435:23] + node _T_7230 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7231 = eq(_T_7230, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:154] + node _T_7232 = and(_T_7229, _T_7231) @[ifu_bp_ctl.scala 435:81] + node _T_7233 = or(_T_7232, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7234 = bits(_T_7233, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_4_10 = mux(_T_7234, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7235 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7236 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7237 = eq(_T_7236, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:74] + node _T_7238 = and(_T_7235, _T_7237) @[ifu_bp_ctl.scala 435:23] + node _T_7239 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7240 = eq(_T_7239, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:154] + node _T_7241 = and(_T_7238, _T_7240) @[ifu_bp_ctl.scala 435:81] + node _T_7242 = or(_T_7241, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7243 = bits(_T_7242, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_4_11 = mux(_T_7243, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7244 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7245 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7246 = eq(_T_7245, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:74] + node _T_7247 = and(_T_7244, _T_7246) @[ifu_bp_ctl.scala 435:23] + node _T_7248 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7249 = eq(_T_7248, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:154] + node _T_7250 = and(_T_7247, _T_7249) @[ifu_bp_ctl.scala 435:81] + node _T_7251 = or(_T_7250, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7252 = bits(_T_7251, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_4_12 = mux(_T_7252, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7253 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7254 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7255 = eq(_T_7254, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:74] + node _T_7256 = and(_T_7253, _T_7255) @[ifu_bp_ctl.scala 435:23] + node _T_7257 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7258 = eq(_T_7257, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:154] + node _T_7259 = and(_T_7256, _T_7258) @[ifu_bp_ctl.scala 435:81] + node _T_7260 = or(_T_7259, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7261 = bits(_T_7260, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_4_13 = mux(_T_7261, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7262 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7263 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7264 = eq(_T_7263, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:74] + node _T_7265 = and(_T_7262, _T_7264) @[ifu_bp_ctl.scala 435:23] + node _T_7266 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7267 = eq(_T_7266, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:154] + node _T_7268 = and(_T_7265, _T_7267) @[ifu_bp_ctl.scala 435:81] + node _T_7269 = or(_T_7268, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7270 = bits(_T_7269, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_4_14 = mux(_T_7270, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7271 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7272 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7273 = eq(_T_7272, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:74] + node _T_7274 = and(_T_7271, _T_7273) @[ifu_bp_ctl.scala 435:23] + node _T_7275 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7276 = eq(_T_7275, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:154] + node _T_7277 = and(_T_7274, _T_7276) @[ifu_bp_ctl.scala 435:81] + node _T_7278 = or(_T_7277, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7279 = bits(_T_7278, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_4_15 = mux(_T_7279, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7280 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7281 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7282 = eq(_T_7281, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:74] + node _T_7283 = and(_T_7280, _T_7282) @[ifu_bp_ctl.scala 435:23] + node _T_7284 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7285 = eq(_T_7284, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:154] + node _T_7286 = and(_T_7283, _T_7285) @[ifu_bp_ctl.scala 435:81] + node _T_7287 = or(_T_7286, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7288 = bits(_T_7287, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_5_0 = mux(_T_7288, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7289 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7290 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7291 = eq(_T_7290, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:74] + node _T_7292 = and(_T_7289, _T_7291) @[ifu_bp_ctl.scala 435:23] + node _T_7293 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7294 = eq(_T_7293, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:154] + node _T_7295 = and(_T_7292, _T_7294) @[ifu_bp_ctl.scala 435:81] + node _T_7296 = or(_T_7295, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7297 = bits(_T_7296, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_5_1 = mux(_T_7297, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7298 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7299 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7300 = eq(_T_7299, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:74] + node _T_7301 = and(_T_7298, _T_7300) @[ifu_bp_ctl.scala 435:23] + node _T_7302 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7303 = eq(_T_7302, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:154] + node _T_7304 = and(_T_7301, _T_7303) @[ifu_bp_ctl.scala 435:81] + node _T_7305 = or(_T_7304, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7306 = bits(_T_7305, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_5_2 = mux(_T_7306, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7307 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7308 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7309 = eq(_T_7308, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:74] + node _T_7310 = and(_T_7307, _T_7309) @[ifu_bp_ctl.scala 435:23] + node _T_7311 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7312 = eq(_T_7311, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:154] + node _T_7313 = and(_T_7310, _T_7312) @[ifu_bp_ctl.scala 435:81] + node _T_7314 = or(_T_7313, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7315 = bits(_T_7314, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_5_3 = mux(_T_7315, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7316 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7317 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7318 = eq(_T_7317, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:74] + node _T_7319 = and(_T_7316, _T_7318) @[ifu_bp_ctl.scala 435:23] + node _T_7320 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7321 = eq(_T_7320, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:154] + node _T_7322 = and(_T_7319, _T_7321) @[ifu_bp_ctl.scala 435:81] + node _T_7323 = or(_T_7322, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7324 = bits(_T_7323, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_5_4 = mux(_T_7324, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7325 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7326 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7327 = eq(_T_7326, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:74] + node _T_7328 = and(_T_7325, _T_7327) @[ifu_bp_ctl.scala 435:23] + node _T_7329 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7330 = eq(_T_7329, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:154] + node _T_7331 = and(_T_7328, _T_7330) @[ifu_bp_ctl.scala 435:81] + node _T_7332 = or(_T_7331, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7333 = bits(_T_7332, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_5_5 = mux(_T_7333, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7334 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7335 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7336 = eq(_T_7335, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:74] + node _T_7337 = and(_T_7334, _T_7336) @[ifu_bp_ctl.scala 435:23] + node _T_7338 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7339 = eq(_T_7338, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:154] + node _T_7340 = and(_T_7337, _T_7339) @[ifu_bp_ctl.scala 435:81] + node _T_7341 = or(_T_7340, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7342 = bits(_T_7341, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_5_6 = mux(_T_7342, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7343 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7344 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7345 = eq(_T_7344, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:74] + node _T_7346 = and(_T_7343, _T_7345) @[ifu_bp_ctl.scala 435:23] + node _T_7347 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7348 = eq(_T_7347, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:154] + node _T_7349 = and(_T_7346, _T_7348) @[ifu_bp_ctl.scala 435:81] + node _T_7350 = or(_T_7349, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7351 = bits(_T_7350, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_5_7 = mux(_T_7351, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7352 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7353 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7354 = eq(_T_7353, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:74] + node _T_7355 = and(_T_7352, _T_7354) @[ifu_bp_ctl.scala 435:23] + node _T_7356 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7357 = eq(_T_7356, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:154] + node _T_7358 = and(_T_7355, _T_7357) @[ifu_bp_ctl.scala 435:81] + node _T_7359 = or(_T_7358, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7360 = bits(_T_7359, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_5_8 = mux(_T_7360, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7361 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7362 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7363 = eq(_T_7362, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:74] + node _T_7364 = and(_T_7361, _T_7363) @[ifu_bp_ctl.scala 435:23] + node _T_7365 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7366 = eq(_T_7365, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:154] + node _T_7367 = and(_T_7364, _T_7366) @[ifu_bp_ctl.scala 435:81] + node _T_7368 = or(_T_7367, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7369 = bits(_T_7368, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_5_9 = mux(_T_7369, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7370 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7371 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7372 = eq(_T_7371, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:74] + node _T_7373 = and(_T_7370, _T_7372) @[ifu_bp_ctl.scala 435:23] + node _T_7374 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7375 = eq(_T_7374, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:154] + node _T_7376 = and(_T_7373, _T_7375) @[ifu_bp_ctl.scala 435:81] + node _T_7377 = or(_T_7376, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7378 = bits(_T_7377, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_5_10 = mux(_T_7378, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7379 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7380 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7381 = eq(_T_7380, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:74] + node _T_7382 = and(_T_7379, _T_7381) @[ifu_bp_ctl.scala 435:23] + node _T_7383 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7384 = eq(_T_7383, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:154] + node _T_7385 = and(_T_7382, _T_7384) @[ifu_bp_ctl.scala 435:81] + node _T_7386 = or(_T_7385, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7387 = bits(_T_7386, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_5_11 = mux(_T_7387, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7388 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7389 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7390 = eq(_T_7389, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:74] + node _T_7391 = and(_T_7388, _T_7390) @[ifu_bp_ctl.scala 435:23] + node _T_7392 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7393 = eq(_T_7392, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:154] + node _T_7394 = and(_T_7391, _T_7393) @[ifu_bp_ctl.scala 435:81] + node _T_7395 = or(_T_7394, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7396 = bits(_T_7395, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_5_12 = mux(_T_7396, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7397 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7398 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7399 = eq(_T_7398, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:74] + node _T_7400 = and(_T_7397, _T_7399) @[ifu_bp_ctl.scala 435:23] + node _T_7401 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7402 = eq(_T_7401, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:154] + node _T_7403 = and(_T_7400, _T_7402) @[ifu_bp_ctl.scala 435:81] + node _T_7404 = or(_T_7403, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7405 = bits(_T_7404, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_5_13 = mux(_T_7405, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7406 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7407 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7408 = eq(_T_7407, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:74] + node _T_7409 = and(_T_7406, _T_7408) @[ifu_bp_ctl.scala 435:23] + node _T_7410 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7411 = eq(_T_7410, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:154] + node _T_7412 = and(_T_7409, _T_7411) @[ifu_bp_ctl.scala 435:81] + node _T_7413 = or(_T_7412, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7414 = bits(_T_7413, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_5_14 = mux(_T_7414, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7415 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7416 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7417 = eq(_T_7416, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:74] + node _T_7418 = and(_T_7415, _T_7417) @[ifu_bp_ctl.scala 435:23] + node _T_7419 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7420 = eq(_T_7419, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:154] + node _T_7421 = and(_T_7418, _T_7420) @[ifu_bp_ctl.scala 435:81] + node _T_7422 = or(_T_7421, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7423 = bits(_T_7422, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_5_15 = mux(_T_7423, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7424 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7425 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7426 = eq(_T_7425, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:74] + node _T_7427 = and(_T_7424, _T_7426) @[ifu_bp_ctl.scala 435:23] + node _T_7428 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7429 = eq(_T_7428, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:154] + node _T_7430 = and(_T_7427, _T_7429) @[ifu_bp_ctl.scala 435:81] + node _T_7431 = or(_T_7430, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7432 = bits(_T_7431, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_6_0 = mux(_T_7432, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7433 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7434 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7435 = eq(_T_7434, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:74] + node _T_7436 = and(_T_7433, _T_7435) @[ifu_bp_ctl.scala 435:23] + node _T_7437 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7438 = eq(_T_7437, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:154] + node _T_7439 = and(_T_7436, _T_7438) @[ifu_bp_ctl.scala 435:81] + node _T_7440 = or(_T_7439, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7441 = bits(_T_7440, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_6_1 = mux(_T_7441, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7442 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7443 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7444 = eq(_T_7443, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:74] + node _T_7445 = and(_T_7442, _T_7444) @[ifu_bp_ctl.scala 435:23] + node _T_7446 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7447 = eq(_T_7446, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:154] + node _T_7448 = and(_T_7445, _T_7447) @[ifu_bp_ctl.scala 435:81] + node _T_7449 = or(_T_7448, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7450 = bits(_T_7449, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_6_2 = mux(_T_7450, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7451 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7452 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7453 = eq(_T_7452, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:74] + node _T_7454 = and(_T_7451, _T_7453) @[ifu_bp_ctl.scala 435:23] + node _T_7455 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7456 = eq(_T_7455, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:154] + node _T_7457 = and(_T_7454, _T_7456) @[ifu_bp_ctl.scala 435:81] + node _T_7458 = or(_T_7457, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7459 = bits(_T_7458, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_6_3 = mux(_T_7459, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7460 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7461 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7462 = eq(_T_7461, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:74] + node _T_7463 = and(_T_7460, _T_7462) @[ifu_bp_ctl.scala 435:23] + node _T_7464 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7465 = eq(_T_7464, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:154] + node _T_7466 = and(_T_7463, _T_7465) @[ifu_bp_ctl.scala 435:81] + node _T_7467 = or(_T_7466, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7468 = bits(_T_7467, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_6_4 = mux(_T_7468, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7469 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7470 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7471 = eq(_T_7470, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:74] + node _T_7472 = and(_T_7469, _T_7471) @[ifu_bp_ctl.scala 435:23] + node _T_7473 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7474 = eq(_T_7473, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:154] + node _T_7475 = and(_T_7472, _T_7474) @[ifu_bp_ctl.scala 435:81] + node _T_7476 = or(_T_7475, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7477 = bits(_T_7476, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_6_5 = mux(_T_7477, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7478 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7479 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7480 = eq(_T_7479, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:74] + node _T_7481 = and(_T_7478, _T_7480) @[ifu_bp_ctl.scala 435:23] + node _T_7482 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7483 = eq(_T_7482, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:154] + node _T_7484 = and(_T_7481, _T_7483) @[ifu_bp_ctl.scala 435:81] + node _T_7485 = or(_T_7484, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7486 = bits(_T_7485, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_6_6 = mux(_T_7486, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7487 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7488 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7489 = eq(_T_7488, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:74] + node _T_7490 = and(_T_7487, _T_7489) @[ifu_bp_ctl.scala 435:23] + node _T_7491 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7492 = eq(_T_7491, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:154] + node _T_7493 = and(_T_7490, _T_7492) @[ifu_bp_ctl.scala 435:81] + node _T_7494 = or(_T_7493, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7495 = bits(_T_7494, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_6_7 = mux(_T_7495, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7496 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7497 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7498 = eq(_T_7497, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:74] + node _T_7499 = and(_T_7496, _T_7498) @[ifu_bp_ctl.scala 435:23] + node _T_7500 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7501 = eq(_T_7500, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:154] + node _T_7502 = and(_T_7499, _T_7501) @[ifu_bp_ctl.scala 435:81] + node _T_7503 = or(_T_7502, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7504 = bits(_T_7503, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_6_8 = mux(_T_7504, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7505 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7506 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7507 = eq(_T_7506, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:74] + node _T_7508 = and(_T_7505, _T_7507) @[ifu_bp_ctl.scala 435:23] + node _T_7509 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7510 = eq(_T_7509, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:154] + node _T_7511 = and(_T_7508, _T_7510) @[ifu_bp_ctl.scala 435:81] + node _T_7512 = or(_T_7511, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7513 = bits(_T_7512, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_6_9 = mux(_T_7513, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7514 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7515 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7516 = eq(_T_7515, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:74] + node _T_7517 = and(_T_7514, _T_7516) @[ifu_bp_ctl.scala 435:23] + node _T_7518 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7519 = eq(_T_7518, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:154] + node _T_7520 = and(_T_7517, _T_7519) @[ifu_bp_ctl.scala 435:81] + node _T_7521 = or(_T_7520, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7522 = bits(_T_7521, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_6_10 = mux(_T_7522, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7523 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7524 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7525 = eq(_T_7524, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:74] + node _T_7526 = and(_T_7523, _T_7525) @[ifu_bp_ctl.scala 435:23] + node _T_7527 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7528 = eq(_T_7527, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:154] + node _T_7529 = and(_T_7526, _T_7528) @[ifu_bp_ctl.scala 435:81] + node _T_7530 = or(_T_7529, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7531 = bits(_T_7530, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_6_11 = mux(_T_7531, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7532 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7533 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7534 = eq(_T_7533, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:74] + node _T_7535 = and(_T_7532, _T_7534) @[ifu_bp_ctl.scala 435:23] + node _T_7536 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7537 = eq(_T_7536, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:154] + node _T_7538 = and(_T_7535, _T_7537) @[ifu_bp_ctl.scala 435:81] + node _T_7539 = or(_T_7538, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7540 = bits(_T_7539, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_6_12 = mux(_T_7540, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7541 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7542 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7543 = eq(_T_7542, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:74] + node _T_7544 = and(_T_7541, _T_7543) @[ifu_bp_ctl.scala 435:23] + node _T_7545 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7546 = eq(_T_7545, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:154] + node _T_7547 = and(_T_7544, _T_7546) @[ifu_bp_ctl.scala 435:81] + node _T_7548 = or(_T_7547, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7549 = bits(_T_7548, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_6_13 = mux(_T_7549, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7550 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7551 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7552 = eq(_T_7551, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:74] + node _T_7553 = and(_T_7550, _T_7552) @[ifu_bp_ctl.scala 435:23] + node _T_7554 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7555 = eq(_T_7554, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:154] + node _T_7556 = and(_T_7553, _T_7555) @[ifu_bp_ctl.scala 435:81] + node _T_7557 = or(_T_7556, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7558 = bits(_T_7557, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_6_14 = mux(_T_7558, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7559 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7560 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7561 = eq(_T_7560, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:74] + node _T_7562 = and(_T_7559, _T_7561) @[ifu_bp_ctl.scala 435:23] + node _T_7563 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7564 = eq(_T_7563, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:154] + node _T_7565 = and(_T_7562, _T_7564) @[ifu_bp_ctl.scala 435:81] + node _T_7566 = or(_T_7565, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7567 = bits(_T_7566, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_6_15 = mux(_T_7567, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7568 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7569 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7570 = eq(_T_7569, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:74] + node _T_7571 = and(_T_7568, _T_7570) @[ifu_bp_ctl.scala 435:23] + node _T_7572 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7573 = eq(_T_7572, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:154] + node _T_7574 = and(_T_7571, _T_7573) @[ifu_bp_ctl.scala 435:81] + node _T_7575 = or(_T_7574, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7576 = bits(_T_7575, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_7_0 = mux(_T_7576, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7577 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7578 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7579 = eq(_T_7578, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:74] + node _T_7580 = and(_T_7577, _T_7579) @[ifu_bp_ctl.scala 435:23] + node _T_7581 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7582 = eq(_T_7581, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:154] + node _T_7583 = and(_T_7580, _T_7582) @[ifu_bp_ctl.scala 435:81] + node _T_7584 = or(_T_7583, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7585 = bits(_T_7584, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_7_1 = mux(_T_7585, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7586 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7587 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7588 = eq(_T_7587, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:74] + node _T_7589 = and(_T_7586, _T_7588) @[ifu_bp_ctl.scala 435:23] + node _T_7590 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7591 = eq(_T_7590, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:154] + node _T_7592 = and(_T_7589, _T_7591) @[ifu_bp_ctl.scala 435:81] + node _T_7593 = or(_T_7592, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7594 = bits(_T_7593, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_7_2 = mux(_T_7594, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7595 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7596 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7597 = eq(_T_7596, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:74] + node _T_7598 = and(_T_7595, _T_7597) @[ifu_bp_ctl.scala 435:23] + node _T_7599 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7600 = eq(_T_7599, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:154] + node _T_7601 = and(_T_7598, _T_7600) @[ifu_bp_ctl.scala 435:81] + node _T_7602 = or(_T_7601, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7603 = bits(_T_7602, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_7_3 = mux(_T_7603, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7604 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7605 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7606 = eq(_T_7605, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:74] + node _T_7607 = and(_T_7604, _T_7606) @[ifu_bp_ctl.scala 435:23] + node _T_7608 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7609 = eq(_T_7608, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:154] + node _T_7610 = and(_T_7607, _T_7609) @[ifu_bp_ctl.scala 435:81] + node _T_7611 = or(_T_7610, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7612 = bits(_T_7611, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_7_4 = mux(_T_7612, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7613 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7614 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7615 = eq(_T_7614, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:74] + node _T_7616 = and(_T_7613, _T_7615) @[ifu_bp_ctl.scala 435:23] + node _T_7617 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7618 = eq(_T_7617, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:154] + node _T_7619 = and(_T_7616, _T_7618) @[ifu_bp_ctl.scala 435:81] + node _T_7620 = or(_T_7619, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7621 = bits(_T_7620, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_7_5 = mux(_T_7621, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7622 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7623 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7624 = eq(_T_7623, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:74] + node _T_7625 = and(_T_7622, _T_7624) @[ifu_bp_ctl.scala 435:23] + node _T_7626 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7627 = eq(_T_7626, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:154] + node _T_7628 = and(_T_7625, _T_7627) @[ifu_bp_ctl.scala 435:81] + node _T_7629 = or(_T_7628, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7630 = bits(_T_7629, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_7_6 = mux(_T_7630, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7631 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7632 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7633 = eq(_T_7632, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:74] + node _T_7634 = and(_T_7631, _T_7633) @[ifu_bp_ctl.scala 435:23] + node _T_7635 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7636 = eq(_T_7635, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:154] + node _T_7637 = and(_T_7634, _T_7636) @[ifu_bp_ctl.scala 435:81] + node _T_7638 = or(_T_7637, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7639 = bits(_T_7638, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_7_7 = mux(_T_7639, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7640 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7641 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7642 = eq(_T_7641, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:74] + node _T_7643 = and(_T_7640, _T_7642) @[ifu_bp_ctl.scala 435:23] + node _T_7644 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7645 = eq(_T_7644, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:154] + node _T_7646 = and(_T_7643, _T_7645) @[ifu_bp_ctl.scala 435:81] + node _T_7647 = or(_T_7646, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7648 = bits(_T_7647, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_7_8 = mux(_T_7648, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7649 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7650 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7651 = eq(_T_7650, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:74] + node _T_7652 = and(_T_7649, _T_7651) @[ifu_bp_ctl.scala 435:23] + node _T_7653 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7654 = eq(_T_7653, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:154] + node _T_7655 = and(_T_7652, _T_7654) @[ifu_bp_ctl.scala 435:81] + node _T_7656 = or(_T_7655, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7657 = bits(_T_7656, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_7_9 = mux(_T_7657, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7658 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7659 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7660 = eq(_T_7659, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:74] + node _T_7661 = and(_T_7658, _T_7660) @[ifu_bp_ctl.scala 435:23] + node _T_7662 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7663 = eq(_T_7662, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:154] + node _T_7664 = and(_T_7661, _T_7663) @[ifu_bp_ctl.scala 435:81] + node _T_7665 = or(_T_7664, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7666 = bits(_T_7665, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_7_10 = mux(_T_7666, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7667 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7668 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7669 = eq(_T_7668, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:74] + node _T_7670 = and(_T_7667, _T_7669) @[ifu_bp_ctl.scala 435:23] + node _T_7671 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7672 = eq(_T_7671, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:154] + node _T_7673 = and(_T_7670, _T_7672) @[ifu_bp_ctl.scala 435:81] + node _T_7674 = or(_T_7673, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7675 = bits(_T_7674, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_7_11 = mux(_T_7675, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7676 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7677 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7678 = eq(_T_7677, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:74] + node _T_7679 = and(_T_7676, _T_7678) @[ifu_bp_ctl.scala 435:23] + node _T_7680 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7681 = eq(_T_7680, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:154] + node _T_7682 = and(_T_7679, _T_7681) @[ifu_bp_ctl.scala 435:81] + node _T_7683 = or(_T_7682, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7684 = bits(_T_7683, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_7_12 = mux(_T_7684, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7685 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7686 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7687 = eq(_T_7686, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:74] + node _T_7688 = and(_T_7685, _T_7687) @[ifu_bp_ctl.scala 435:23] + node _T_7689 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7690 = eq(_T_7689, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:154] + node _T_7691 = and(_T_7688, _T_7690) @[ifu_bp_ctl.scala 435:81] + node _T_7692 = or(_T_7691, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7693 = bits(_T_7692, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_7_13 = mux(_T_7693, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7694 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7695 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7696 = eq(_T_7695, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:74] + node _T_7697 = and(_T_7694, _T_7696) @[ifu_bp_ctl.scala 435:23] + node _T_7698 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7699 = eq(_T_7698, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:154] + node _T_7700 = and(_T_7697, _T_7699) @[ifu_bp_ctl.scala 435:81] + node _T_7701 = or(_T_7700, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7702 = bits(_T_7701, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_7_14 = mux(_T_7702, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7703 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7704 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7705 = eq(_T_7704, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:74] + node _T_7706 = and(_T_7703, _T_7705) @[ifu_bp_ctl.scala 435:23] + node _T_7707 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7708 = eq(_T_7707, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:154] + node _T_7709 = and(_T_7706, _T_7708) @[ifu_bp_ctl.scala 435:81] + node _T_7710 = or(_T_7709, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7711 = bits(_T_7710, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_7_15 = mux(_T_7711, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7712 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7713 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7714 = eq(_T_7713, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:74] + node _T_7715 = and(_T_7712, _T_7714) @[ifu_bp_ctl.scala 435:23] + node _T_7716 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7717 = eq(_T_7716, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:154] + node _T_7718 = and(_T_7715, _T_7717) @[ifu_bp_ctl.scala 435:81] + node _T_7719 = or(_T_7718, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7720 = bits(_T_7719, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_8_0 = mux(_T_7720, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7721 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7722 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7723 = eq(_T_7722, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:74] + node _T_7724 = and(_T_7721, _T_7723) @[ifu_bp_ctl.scala 435:23] + node _T_7725 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7726 = eq(_T_7725, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:154] + node _T_7727 = and(_T_7724, _T_7726) @[ifu_bp_ctl.scala 435:81] + node _T_7728 = or(_T_7727, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7729 = bits(_T_7728, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_8_1 = mux(_T_7729, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7730 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7731 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7732 = eq(_T_7731, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:74] + node _T_7733 = and(_T_7730, _T_7732) @[ifu_bp_ctl.scala 435:23] + node _T_7734 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7735 = eq(_T_7734, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:154] + node _T_7736 = and(_T_7733, _T_7735) @[ifu_bp_ctl.scala 435:81] + node _T_7737 = or(_T_7736, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7738 = bits(_T_7737, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_8_2 = mux(_T_7738, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7739 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7740 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7741 = eq(_T_7740, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:74] + node _T_7742 = and(_T_7739, _T_7741) @[ifu_bp_ctl.scala 435:23] + node _T_7743 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7744 = eq(_T_7743, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:154] + node _T_7745 = and(_T_7742, _T_7744) @[ifu_bp_ctl.scala 435:81] + node _T_7746 = or(_T_7745, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7747 = bits(_T_7746, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_8_3 = mux(_T_7747, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7748 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7749 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7750 = eq(_T_7749, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:74] + node _T_7751 = and(_T_7748, _T_7750) @[ifu_bp_ctl.scala 435:23] + node _T_7752 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7753 = eq(_T_7752, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:154] + node _T_7754 = and(_T_7751, _T_7753) @[ifu_bp_ctl.scala 435:81] + node _T_7755 = or(_T_7754, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7756 = bits(_T_7755, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_8_4 = mux(_T_7756, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7757 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7758 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7759 = eq(_T_7758, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:74] + node _T_7760 = and(_T_7757, _T_7759) @[ifu_bp_ctl.scala 435:23] + node _T_7761 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7762 = eq(_T_7761, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:154] + node _T_7763 = and(_T_7760, _T_7762) @[ifu_bp_ctl.scala 435:81] + node _T_7764 = or(_T_7763, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7765 = bits(_T_7764, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_8_5 = mux(_T_7765, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7766 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7767 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7768 = eq(_T_7767, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:74] + node _T_7769 = and(_T_7766, _T_7768) @[ifu_bp_ctl.scala 435:23] + node _T_7770 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7771 = eq(_T_7770, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:154] + node _T_7772 = and(_T_7769, _T_7771) @[ifu_bp_ctl.scala 435:81] + node _T_7773 = or(_T_7772, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7774 = bits(_T_7773, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_8_6 = mux(_T_7774, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7775 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7776 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7777 = eq(_T_7776, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:74] + node _T_7778 = and(_T_7775, _T_7777) @[ifu_bp_ctl.scala 435:23] + node _T_7779 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7780 = eq(_T_7779, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:154] + node _T_7781 = and(_T_7778, _T_7780) @[ifu_bp_ctl.scala 435:81] + node _T_7782 = or(_T_7781, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7783 = bits(_T_7782, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_8_7 = mux(_T_7783, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7784 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7785 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7786 = eq(_T_7785, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:74] + node _T_7787 = and(_T_7784, _T_7786) @[ifu_bp_ctl.scala 435:23] + node _T_7788 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7789 = eq(_T_7788, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:154] + node _T_7790 = and(_T_7787, _T_7789) @[ifu_bp_ctl.scala 435:81] + node _T_7791 = or(_T_7790, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7792 = bits(_T_7791, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_8_8 = mux(_T_7792, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7793 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7794 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7795 = eq(_T_7794, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:74] + node _T_7796 = and(_T_7793, _T_7795) @[ifu_bp_ctl.scala 435:23] + node _T_7797 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7798 = eq(_T_7797, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:154] + node _T_7799 = and(_T_7796, _T_7798) @[ifu_bp_ctl.scala 435:81] + node _T_7800 = or(_T_7799, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7801 = bits(_T_7800, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_8_9 = mux(_T_7801, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7802 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7803 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7804 = eq(_T_7803, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:74] + node _T_7805 = and(_T_7802, _T_7804) @[ifu_bp_ctl.scala 435:23] + node _T_7806 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7807 = eq(_T_7806, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:154] + node _T_7808 = and(_T_7805, _T_7807) @[ifu_bp_ctl.scala 435:81] + node _T_7809 = or(_T_7808, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7810 = bits(_T_7809, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_8_10 = mux(_T_7810, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7811 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7812 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7813 = eq(_T_7812, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:74] + node _T_7814 = and(_T_7811, _T_7813) @[ifu_bp_ctl.scala 435:23] + node _T_7815 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7816 = eq(_T_7815, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:154] + node _T_7817 = and(_T_7814, _T_7816) @[ifu_bp_ctl.scala 435:81] + node _T_7818 = or(_T_7817, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7819 = bits(_T_7818, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_8_11 = mux(_T_7819, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7820 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7821 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7822 = eq(_T_7821, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:74] + node _T_7823 = and(_T_7820, _T_7822) @[ifu_bp_ctl.scala 435:23] + node _T_7824 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7825 = eq(_T_7824, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:154] + node _T_7826 = and(_T_7823, _T_7825) @[ifu_bp_ctl.scala 435:81] + node _T_7827 = or(_T_7826, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7828 = bits(_T_7827, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_8_12 = mux(_T_7828, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7829 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7830 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7831 = eq(_T_7830, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:74] + node _T_7832 = and(_T_7829, _T_7831) @[ifu_bp_ctl.scala 435:23] + node _T_7833 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7834 = eq(_T_7833, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:154] + node _T_7835 = and(_T_7832, _T_7834) @[ifu_bp_ctl.scala 435:81] + node _T_7836 = or(_T_7835, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7837 = bits(_T_7836, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_8_13 = mux(_T_7837, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7838 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7839 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7840 = eq(_T_7839, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:74] + node _T_7841 = and(_T_7838, _T_7840) @[ifu_bp_ctl.scala 435:23] + node _T_7842 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7843 = eq(_T_7842, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:154] + node _T_7844 = and(_T_7841, _T_7843) @[ifu_bp_ctl.scala 435:81] + node _T_7845 = or(_T_7844, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7846 = bits(_T_7845, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_8_14 = mux(_T_7846, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7847 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7848 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7849 = eq(_T_7848, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:74] + node _T_7850 = and(_T_7847, _T_7849) @[ifu_bp_ctl.scala 435:23] + node _T_7851 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7852 = eq(_T_7851, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:154] + node _T_7853 = and(_T_7850, _T_7852) @[ifu_bp_ctl.scala 435:81] + node _T_7854 = or(_T_7853, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7855 = bits(_T_7854, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_8_15 = mux(_T_7855, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7856 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7857 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7858 = eq(_T_7857, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:74] + node _T_7859 = and(_T_7856, _T_7858) @[ifu_bp_ctl.scala 435:23] + node _T_7860 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7861 = eq(_T_7860, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:154] + node _T_7862 = and(_T_7859, _T_7861) @[ifu_bp_ctl.scala 435:81] + node _T_7863 = or(_T_7862, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7864 = bits(_T_7863, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_9_0 = mux(_T_7864, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7865 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7866 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7867 = eq(_T_7866, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:74] + node _T_7868 = and(_T_7865, _T_7867) @[ifu_bp_ctl.scala 435:23] + node _T_7869 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7870 = eq(_T_7869, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:154] + node _T_7871 = and(_T_7868, _T_7870) @[ifu_bp_ctl.scala 435:81] + node _T_7872 = or(_T_7871, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7873 = bits(_T_7872, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_9_1 = mux(_T_7873, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7874 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7875 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7876 = eq(_T_7875, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:74] + node _T_7877 = and(_T_7874, _T_7876) @[ifu_bp_ctl.scala 435:23] + node _T_7878 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7879 = eq(_T_7878, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:154] + node _T_7880 = and(_T_7877, _T_7879) @[ifu_bp_ctl.scala 435:81] + node _T_7881 = or(_T_7880, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7882 = bits(_T_7881, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_9_2 = mux(_T_7882, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7883 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7884 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7885 = eq(_T_7884, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:74] + node _T_7886 = and(_T_7883, _T_7885) @[ifu_bp_ctl.scala 435:23] + node _T_7887 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7888 = eq(_T_7887, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:154] + node _T_7889 = and(_T_7886, _T_7888) @[ifu_bp_ctl.scala 435:81] + node _T_7890 = or(_T_7889, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7891 = bits(_T_7890, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_9_3 = mux(_T_7891, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7892 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7893 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7894 = eq(_T_7893, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:74] + node _T_7895 = and(_T_7892, _T_7894) @[ifu_bp_ctl.scala 435:23] + node _T_7896 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7897 = eq(_T_7896, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:154] + node _T_7898 = and(_T_7895, _T_7897) @[ifu_bp_ctl.scala 435:81] + node _T_7899 = or(_T_7898, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7900 = bits(_T_7899, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_9_4 = mux(_T_7900, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7901 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7902 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7903 = eq(_T_7902, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:74] + node _T_7904 = and(_T_7901, _T_7903) @[ifu_bp_ctl.scala 435:23] + node _T_7905 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7906 = eq(_T_7905, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:154] + node _T_7907 = and(_T_7904, _T_7906) @[ifu_bp_ctl.scala 435:81] + node _T_7908 = or(_T_7907, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7909 = bits(_T_7908, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_9_5 = mux(_T_7909, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7910 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7911 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7912 = eq(_T_7911, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:74] + node _T_7913 = and(_T_7910, _T_7912) @[ifu_bp_ctl.scala 435:23] + node _T_7914 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7915 = eq(_T_7914, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:154] + node _T_7916 = and(_T_7913, _T_7915) @[ifu_bp_ctl.scala 435:81] + node _T_7917 = or(_T_7916, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7918 = bits(_T_7917, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_9_6 = mux(_T_7918, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7919 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7920 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7921 = eq(_T_7920, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:74] + node _T_7922 = and(_T_7919, _T_7921) @[ifu_bp_ctl.scala 435:23] + node _T_7923 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7924 = eq(_T_7923, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:154] + node _T_7925 = and(_T_7922, _T_7924) @[ifu_bp_ctl.scala 435:81] + node _T_7926 = or(_T_7925, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7927 = bits(_T_7926, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_9_7 = mux(_T_7927, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7928 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7929 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7930 = eq(_T_7929, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:74] + node _T_7931 = and(_T_7928, _T_7930) @[ifu_bp_ctl.scala 435:23] + node _T_7932 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7933 = eq(_T_7932, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:154] + node _T_7934 = and(_T_7931, _T_7933) @[ifu_bp_ctl.scala 435:81] + node _T_7935 = or(_T_7934, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7936 = bits(_T_7935, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_9_8 = mux(_T_7936, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7937 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7938 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7939 = eq(_T_7938, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:74] + node _T_7940 = and(_T_7937, _T_7939) @[ifu_bp_ctl.scala 435:23] + node _T_7941 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7942 = eq(_T_7941, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:154] + node _T_7943 = and(_T_7940, _T_7942) @[ifu_bp_ctl.scala 435:81] + node _T_7944 = or(_T_7943, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7945 = bits(_T_7944, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_9_9 = mux(_T_7945, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7946 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7947 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7948 = eq(_T_7947, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:74] + node _T_7949 = and(_T_7946, _T_7948) @[ifu_bp_ctl.scala 435:23] + node _T_7950 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7951 = eq(_T_7950, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:154] + node _T_7952 = and(_T_7949, _T_7951) @[ifu_bp_ctl.scala 435:81] + node _T_7953 = or(_T_7952, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7954 = bits(_T_7953, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_9_10 = mux(_T_7954, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7955 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7956 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7957 = eq(_T_7956, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:74] + node _T_7958 = and(_T_7955, _T_7957) @[ifu_bp_ctl.scala 435:23] + node _T_7959 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7960 = eq(_T_7959, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:154] + node _T_7961 = and(_T_7958, _T_7960) @[ifu_bp_ctl.scala 435:81] + node _T_7962 = or(_T_7961, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7963 = bits(_T_7962, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_9_11 = mux(_T_7963, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7964 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7965 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7966 = eq(_T_7965, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:74] + node _T_7967 = and(_T_7964, _T_7966) @[ifu_bp_ctl.scala 435:23] + node _T_7968 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7969 = eq(_T_7968, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:154] + node _T_7970 = and(_T_7967, _T_7969) @[ifu_bp_ctl.scala 435:81] + node _T_7971 = or(_T_7970, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7972 = bits(_T_7971, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_9_12 = mux(_T_7972, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7973 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7974 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7975 = eq(_T_7974, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:74] + node _T_7976 = and(_T_7973, _T_7975) @[ifu_bp_ctl.scala 435:23] + node _T_7977 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7978 = eq(_T_7977, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:154] + node _T_7979 = and(_T_7976, _T_7978) @[ifu_bp_ctl.scala 435:81] + node _T_7980 = or(_T_7979, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7981 = bits(_T_7980, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_9_13 = mux(_T_7981, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7982 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7983 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7984 = eq(_T_7983, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:74] + node _T_7985 = and(_T_7982, _T_7984) @[ifu_bp_ctl.scala 435:23] + node _T_7986 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7987 = eq(_T_7986, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:154] + node _T_7988 = and(_T_7985, _T_7987) @[ifu_bp_ctl.scala 435:81] + node _T_7989 = or(_T_7988, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7990 = bits(_T_7989, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_9_14 = mux(_T_7990, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_7991 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_7992 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_7993 = eq(_T_7992, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:74] + node _T_7994 = and(_T_7991, _T_7993) @[ifu_bp_ctl.scala 435:23] + node _T_7995 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_7996 = eq(_T_7995, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:154] + node _T_7997 = and(_T_7994, _T_7996) @[ifu_bp_ctl.scala 435:81] + node _T_7998 = or(_T_7997, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_7999 = bits(_T_7998, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_9_15 = mux(_T_7999, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8000 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8001 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8002 = eq(_T_8001, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:74] + node _T_8003 = and(_T_8000, _T_8002) @[ifu_bp_ctl.scala 435:23] + node _T_8004 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8005 = eq(_T_8004, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:154] + node _T_8006 = and(_T_8003, _T_8005) @[ifu_bp_ctl.scala 435:81] + node _T_8007 = or(_T_8006, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8008 = bits(_T_8007, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_10_0 = mux(_T_8008, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8009 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8010 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8011 = eq(_T_8010, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:74] + node _T_8012 = and(_T_8009, _T_8011) @[ifu_bp_ctl.scala 435:23] + node _T_8013 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8014 = eq(_T_8013, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:154] + node _T_8015 = and(_T_8012, _T_8014) @[ifu_bp_ctl.scala 435:81] + node _T_8016 = or(_T_8015, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8017 = bits(_T_8016, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_10_1 = mux(_T_8017, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8018 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8019 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8020 = eq(_T_8019, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:74] + node _T_8021 = and(_T_8018, _T_8020) @[ifu_bp_ctl.scala 435:23] + node _T_8022 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8023 = eq(_T_8022, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:154] + node _T_8024 = and(_T_8021, _T_8023) @[ifu_bp_ctl.scala 435:81] + node _T_8025 = or(_T_8024, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8026 = bits(_T_8025, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_10_2 = mux(_T_8026, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8027 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8028 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8029 = eq(_T_8028, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:74] + node _T_8030 = and(_T_8027, _T_8029) @[ifu_bp_ctl.scala 435:23] + node _T_8031 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8032 = eq(_T_8031, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:154] + node _T_8033 = and(_T_8030, _T_8032) @[ifu_bp_ctl.scala 435:81] + node _T_8034 = or(_T_8033, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8035 = bits(_T_8034, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_10_3 = mux(_T_8035, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8036 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8037 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8038 = eq(_T_8037, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:74] + node _T_8039 = and(_T_8036, _T_8038) @[ifu_bp_ctl.scala 435:23] + node _T_8040 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8041 = eq(_T_8040, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:154] + node _T_8042 = and(_T_8039, _T_8041) @[ifu_bp_ctl.scala 435:81] + node _T_8043 = or(_T_8042, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8044 = bits(_T_8043, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_10_4 = mux(_T_8044, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8045 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8046 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8047 = eq(_T_8046, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:74] + node _T_8048 = and(_T_8045, _T_8047) @[ifu_bp_ctl.scala 435:23] + node _T_8049 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8050 = eq(_T_8049, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:154] + node _T_8051 = and(_T_8048, _T_8050) @[ifu_bp_ctl.scala 435:81] + node _T_8052 = or(_T_8051, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8053 = bits(_T_8052, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_10_5 = mux(_T_8053, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8054 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8055 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8056 = eq(_T_8055, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:74] + node _T_8057 = and(_T_8054, _T_8056) @[ifu_bp_ctl.scala 435:23] + node _T_8058 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8059 = eq(_T_8058, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:154] + node _T_8060 = and(_T_8057, _T_8059) @[ifu_bp_ctl.scala 435:81] + node _T_8061 = or(_T_8060, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8062 = bits(_T_8061, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_10_6 = mux(_T_8062, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8063 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8064 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8065 = eq(_T_8064, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:74] + node _T_8066 = and(_T_8063, _T_8065) @[ifu_bp_ctl.scala 435:23] + node _T_8067 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8068 = eq(_T_8067, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:154] + node _T_8069 = and(_T_8066, _T_8068) @[ifu_bp_ctl.scala 435:81] + node _T_8070 = or(_T_8069, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8071 = bits(_T_8070, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_10_7 = mux(_T_8071, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8072 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8073 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8074 = eq(_T_8073, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:74] + node _T_8075 = and(_T_8072, _T_8074) @[ifu_bp_ctl.scala 435:23] + node _T_8076 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8077 = eq(_T_8076, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:154] + node _T_8078 = and(_T_8075, _T_8077) @[ifu_bp_ctl.scala 435:81] + node _T_8079 = or(_T_8078, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8080 = bits(_T_8079, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_10_8 = mux(_T_8080, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8081 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8082 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8083 = eq(_T_8082, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:74] + node _T_8084 = and(_T_8081, _T_8083) @[ifu_bp_ctl.scala 435:23] + node _T_8085 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8086 = eq(_T_8085, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:154] + node _T_8087 = and(_T_8084, _T_8086) @[ifu_bp_ctl.scala 435:81] + node _T_8088 = or(_T_8087, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8089 = bits(_T_8088, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_10_9 = mux(_T_8089, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8090 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8091 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8092 = eq(_T_8091, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:74] + node _T_8093 = and(_T_8090, _T_8092) @[ifu_bp_ctl.scala 435:23] + node _T_8094 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8095 = eq(_T_8094, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:154] + node _T_8096 = and(_T_8093, _T_8095) @[ifu_bp_ctl.scala 435:81] + node _T_8097 = or(_T_8096, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8098 = bits(_T_8097, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_10_10 = mux(_T_8098, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8099 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8100 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8101 = eq(_T_8100, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:74] + node _T_8102 = and(_T_8099, _T_8101) @[ifu_bp_ctl.scala 435:23] + node _T_8103 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8104 = eq(_T_8103, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:154] + node _T_8105 = and(_T_8102, _T_8104) @[ifu_bp_ctl.scala 435:81] + node _T_8106 = or(_T_8105, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8107 = bits(_T_8106, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_10_11 = mux(_T_8107, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8108 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8109 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8110 = eq(_T_8109, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:74] + node _T_8111 = and(_T_8108, _T_8110) @[ifu_bp_ctl.scala 435:23] + node _T_8112 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8113 = eq(_T_8112, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:154] + node _T_8114 = and(_T_8111, _T_8113) @[ifu_bp_ctl.scala 435:81] + node _T_8115 = or(_T_8114, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8116 = bits(_T_8115, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_10_12 = mux(_T_8116, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8117 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8118 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8119 = eq(_T_8118, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:74] + node _T_8120 = and(_T_8117, _T_8119) @[ifu_bp_ctl.scala 435:23] + node _T_8121 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8122 = eq(_T_8121, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:154] + node _T_8123 = and(_T_8120, _T_8122) @[ifu_bp_ctl.scala 435:81] + node _T_8124 = or(_T_8123, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8125 = bits(_T_8124, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_10_13 = mux(_T_8125, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8126 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8127 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8128 = eq(_T_8127, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:74] + node _T_8129 = and(_T_8126, _T_8128) @[ifu_bp_ctl.scala 435:23] + node _T_8130 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8131 = eq(_T_8130, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:154] + node _T_8132 = and(_T_8129, _T_8131) @[ifu_bp_ctl.scala 435:81] + node _T_8133 = or(_T_8132, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8134 = bits(_T_8133, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_10_14 = mux(_T_8134, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8135 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8136 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8137 = eq(_T_8136, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:74] + node _T_8138 = and(_T_8135, _T_8137) @[ifu_bp_ctl.scala 435:23] + node _T_8139 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8140 = eq(_T_8139, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:154] + node _T_8141 = and(_T_8138, _T_8140) @[ifu_bp_ctl.scala 435:81] + node _T_8142 = or(_T_8141, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8143 = bits(_T_8142, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_10_15 = mux(_T_8143, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8144 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8145 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8146 = eq(_T_8145, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:74] + node _T_8147 = and(_T_8144, _T_8146) @[ifu_bp_ctl.scala 435:23] + node _T_8148 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8149 = eq(_T_8148, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:154] + node _T_8150 = and(_T_8147, _T_8149) @[ifu_bp_ctl.scala 435:81] + node _T_8151 = or(_T_8150, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8152 = bits(_T_8151, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_11_0 = mux(_T_8152, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8153 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8154 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8155 = eq(_T_8154, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:74] + node _T_8156 = and(_T_8153, _T_8155) @[ifu_bp_ctl.scala 435:23] + node _T_8157 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8158 = eq(_T_8157, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:154] + node _T_8159 = and(_T_8156, _T_8158) @[ifu_bp_ctl.scala 435:81] + node _T_8160 = or(_T_8159, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8161 = bits(_T_8160, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_11_1 = mux(_T_8161, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8162 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8163 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8164 = eq(_T_8163, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:74] + node _T_8165 = and(_T_8162, _T_8164) @[ifu_bp_ctl.scala 435:23] + node _T_8166 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8167 = eq(_T_8166, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:154] + node _T_8168 = and(_T_8165, _T_8167) @[ifu_bp_ctl.scala 435:81] + node _T_8169 = or(_T_8168, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8170 = bits(_T_8169, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_11_2 = mux(_T_8170, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8171 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8172 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8173 = eq(_T_8172, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:74] + node _T_8174 = and(_T_8171, _T_8173) @[ifu_bp_ctl.scala 435:23] + node _T_8175 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8176 = eq(_T_8175, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:154] + node _T_8177 = and(_T_8174, _T_8176) @[ifu_bp_ctl.scala 435:81] + node _T_8178 = or(_T_8177, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8179 = bits(_T_8178, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_11_3 = mux(_T_8179, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8180 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8181 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8182 = eq(_T_8181, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:74] + node _T_8183 = and(_T_8180, _T_8182) @[ifu_bp_ctl.scala 435:23] + node _T_8184 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8185 = eq(_T_8184, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:154] + node _T_8186 = and(_T_8183, _T_8185) @[ifu_bp_ctl.scala 435:81] + node _T_8187 = or(_T_8186, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8188 = bits(_T_8187, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_11_4 = mux(_T_8188, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8189 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8190 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8191 = eq(_T_8190, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:74] + node _T_8192 = and(_T_8189, _T_8191) @[ifu_bp_ctl.scala 435:23] + node _T_8193 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8194 = eq(_T_8193, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:154] + node _T_8195 = and(_T_8192, _T_8194) @[ifu_bp_ctl.scala 435:81] + node _T_8196 = or(_T_8195, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8197 = bits(_T_8196, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_11_5 = mux(_T_8197, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8198 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8199 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8200 = eq(_T_8199, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:74] + node _T_8201 = and(_T_8198, _T_8200) @[ifu_bp_ctl.scala 435:23] + node _T_8202 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8203 = eq(_T_8202, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:154] + node _T_8204 = and(_T_8201, _T_8203) @[ifu_bp_ctl.scala 435:81] + node _T_8205 = or(_T_8204, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8206 = bits(_T_8205, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_11_6 = mux(_T_8206, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8207 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8208 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8209 = eq(_T_8208, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:74] + node _T_8210 = and(_T_8207, _T_8209) @[ifu_bp_ctl.scala 435:23] + node _T_8211 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8212 = eq(_T_8211, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:154] + node _T_8213 = and(_T_8210, _T_8212) @[ifu_bp_ctl.scala 435:81] + node _T_8214 = or(_T_8213, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8215 = bits(_T_8214, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_11_7 = mux(_T_8215, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8216 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8217 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8218 = eq(_T_8217, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:74] + node _T_8219 = and(_T_8216, _T_8218) @[ifu_bp_ctl.scala 435:23] + node _T_8220 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8221 = eq(_T_8220, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:154] + node _T_8222 = and(_T_8219, _T_8221) @[ifu_bp_ctl.scala 435:81] + node _T_8223 = or(_T_8222, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8224 = bits(_T_8223, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_11_8 = mux(_T_8224, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8225 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8226 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8227 = eq(_T_8226, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:74] + node _T_8228 = and(_T_8225, _T_8227) @[ifu_bp_ctl.scala 435:23] + node _T_8229 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8230 = eq(_T_8229, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:154] + node _T_8231 = and(_T_8228, _T_8230) @[ifu_bp_ctl.scala 435:81] + node _T_8232 = or(_T_8231, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8233 = bits(_T_8232, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_11_9 = mux(_T_8233, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8234 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8235 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8236 = eq(_T_8235, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:74] + node _T_8237 = and(_T_8234, _T_8236) @[ifu_bp_ctl.scala 435:23] + node _T_8238 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8239 = eq(_T_8238, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:154] + node _T_8240 = and(_T_8237, _T_8239) @[ifu_bp_ctl.scala 435:81] + node _T_8241 = or(_T_8240, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8242 = bits(_T_8241, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_11_10 = mux(_T_8242, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8243 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8244 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8245 = eq(_T_8244, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:74] + node _T_8246 = and(_T_8243, _T_8245) @[ifu_bp_ctl.scala 435:23] + node _T_8247 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8248 = eq(_T_8247, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:154] + node _T_8249 = and(_T_8246, _T_8248) @[ifu_bp_ctl.scala 435:81] + node _T_8250 = or(_T_8249, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8251 = bits(_T_8250, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_11_11 = mux(_T_8251, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8252 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8253 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8254 = eq(_T_8253, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:74] + node _T_8255 = and(_T_8252, _T_8254) @[ifu_bp_ctl.scala 435:23] + node _T_8256 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8257 = eq(_T_8256, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:154] + node _T_8258 = and(_T_8255, _T_8257) @[ifu_bp_ctl.scala 435:81] + node _T_8259 = or(_T_8258, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8260 = bits(_T_8259, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_11_12 = mux(_T_8260, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8261 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8262 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8263 = eq(_T_8262, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:74] + node _T_8264 = and(_T_8261, _T_8263) @[ifu_bp_ctl.scala 435:23] + node _T_8265 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8266 = eq(_T_8265, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:154] + node _T_8267 = and(_T_8264, _T_8266) @[ifu_bp_ctl.scala 435:81] + node _T_8268 = or(_T_8267, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8269 = bits(_T_8268, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_11_13 = mux(_T_8269, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8270 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8271 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8272 = eq(_T_8271, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:74] + node _T_8273 = and(_T_8270, _T_8272) @[ifu_bp_ctl.scala 435:23] + node _T_8274 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8275 = eq(_T_8274, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:154] + node _T_8276 = and(_T_8273, _T_8275) @[ifu_bp_ctl.scala 435:81] + node _T_8277 = or(_T_8276, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8278 = bits(_T_8277, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_11_14 = mux(_T_8278, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8279 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8280 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8281 = eq(_T_8280, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:74] + node _T_8282 = and(_T_8279, _T_8281) @[ifu_bp_ctl.scala 435:23] + node _T_8283 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8284 = eq(_T_8283, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:154] + node _T_8285 = and(_T_8282, _T_8284) @[ifu_bp_ctl.scala 435:81] + node _T_8286 = or(_T_8285, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8287 = bits(_T_8286, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_11_15 = mux(_T_8287, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8288 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8289 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8290 = eq(_T_8289, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:74] + node _T_8291 = and(_T_8288, _T_8290) @[ifu_bp_ctl.scala 435:23] + node _T_8292 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8293 = eq(_T_8292, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:154] + node _T_8294 = and(_T_8291, _T_8293) @[ifu_bp_ctl.scala 435:81] + node _T_8295 = or(_T_8294, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8296 = bits(_T_8295, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_12_0 = mux(_T_8296, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8297 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8298 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8299 = eq(_T_8298, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:74] + node _T_8300 = and(_T_8297, _T_8299) @[ifu_bp_ctl.scala 435:23] + node _T_8301 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8302 = eq(_T_8301, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:154] + node _T_8303 = and(_T_8300, _T_8302) @[ifu_bp_ctl.scala 435:81] + node _T_8304 = or(_T_8303, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8305 = bits(_T_8304, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_12_1 = mux(_T_8305, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8306 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8307 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8308 = eq(_T_8307, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:74] + node _T_8309 = and(_T_8306, _T_8308) @[ifu_bp_ctl.scala 435:23] + node _T_8310 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8311 = eq(_T_8310, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:154] + node _T_8312 = and(_T_8309, _T_8311) @[ifu_bp_ctl.scala 435:81] + node _T_8313 = or(_T_8312, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8314 = bits(_T_8313, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_12_2 = mux(_T_8314, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8315 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8316 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8317 = eq(_T_8316, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:74] + node _T_8318 = and(_T_8315, _T_8317) @[ifu_bp_ctl.scala 435:23] + node _T_8319 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8320 = eq(_T_8319, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:154] + node _T_8321 = and(_T_8318, _T_8320) @[ifu_bp_ctl.scala 435:81] + node _T_8322 = or(_T_8321, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8323 = bits(_T_8322, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_12_3 = mux(_T_8323, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8324 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8325 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8326 = eq(_T_8325, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:74] + node _T_8327 = and(_T_8324, _T_8326) @[ifu_bp_ctl.scala 435:23] + node _T_8328 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8329 = eq(_T_8328, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:154] + node _T_8330 = and(_T_8327, _T_8329) @[ifu_bp_ctl.scala 435:81] + node _T_8331 = or(_T_8330, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8332 = bits(_T_8331, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_12_4 = mux(_T_8332, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8333 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8334 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8335 = eq(_T_8334, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:74] + node _T_8336 = and(_T_8333, _T_8335) @[ifu_bp_ctl.scala 435:23] + node _T_8337 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8338 = eq(_T_8337, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:154] + node _T_8339 = and(_T_8336, _T_8338) @[ifu_bp_ctl.scala 435:81] + node _T_8340 = or(_T_8339, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8341 = bits(_T_8340, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_12_5 = mux(_T_8341, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8342 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8343 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8344 = eq(_T_8343, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:74] + node _T_8345 = and(_T_8342, _T_8344) @[ifu_bp_ctl.scala 435:23] + node _T_8346 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8347 = eq(_T_8346, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:154] + node _T_8348 = and(_T_8345, _T_8347) @[ifu_bp_ctl.scala 435:81] + node _T_8349 = or(_T_8348, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8350 = bits(_T_8349, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_12_6 = mux(_T_8350, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8351 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8352 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8353 = eq(_T_8352, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:74] + node _T_8354 = and(_T_8351, _T_8353) @[ifu_bp_ctl.scala 435:23] + node _T_8355 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8356 = eq(_T_8355, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:154] + node _T_8357 = and(_T_8354, _T_8356) @[ifu_bp_ctl.scala 435:81] + node _T_8358 = or(_T_8357, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8359 = bits(_T_8358, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_12_7 = mux(_T_8359, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8360 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8361 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8362 = eq(_T_8361, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:74] + node _T_8363 = and(_T_8360, _T_8362) @[ifu_bp_ctl.scala 435:23] + node _T_8364 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8365 = eq(_T_8364, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:154] + node _T_8366 = and(_T_8363, _T_8365) @[ifu_bp_ctl.scala 435:81] + node _T_8367 = or(_T_8366, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8368 = bits(_T_8367, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_12_8 = mux(_T_8368, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8369 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8370 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8371 = eq(_T_8370, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:74] + node _T_8372 = and(_T_8369, _T_8371) @[ifu_bp_ctl.scala 435:23] + node _T_8373 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8374 = eq(_T_8373, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:154] + node _T_8375 = and(_T_8372, _T_8374) @[ifu_bp_ctl.scala 435:81] + node _T_8376 = or(_T_8375, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8377 = bits(_T_8376, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_12_9 = mux(_T_8377, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8378 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8379 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8380 = eq(_T_8379, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:74] + node _T_8381 = and(_T_8378, _T_8380) @[ifu_bp_ctl.scala 435:23] + node _T_8382 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8383 = eq(_T_8382, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:154] + node _T_8384 = and(_T_8381, _T_8383) @[ifu_bp_ctl.scala 435:81] + node _T_8385 = or(_T_8384, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8386 = bits(_T_8385, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_12_10 = mux(_T_8386, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8387 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8388 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8389 = eq(_T_8388, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:74] + node _T_8390 = and(_T_8387, _T_8389) @[ifu_bp_ctl.scala 435:23] + node _T_8391 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8392 = eq(_T_8391, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:154] + node _T_8393 = and(_T_8390, _T_8392) @[ifu_bp_ctl.scala 435:81] + node _T_8394 = or(_T_8393, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8395 = bits(_T_8394, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_12_11 = mux(_T_8395, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8396 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8397 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8398 = eq(_T_8397, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:74] + node _T_8399 = and(_T_8396, _T_8398) @[ifu_bp_ctl.scala 435:23] + node _T_8400 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8401 = eq(_T_8400, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:154] + node _T_8402 = and(_T_8399, _T_8401) @[ifu_bp_ctl.scala 435:81] + node _T_8403 = or(_T_8402, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8404 = bits(_T_8403, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_12_12 = mux(_T_8404, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8405 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8406 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8407 = eq(_T_8406, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:74] + node _T_8408 = and(_T_8405, _T_8407) @[ifu_bp_ctl.scala 435:23] + node _T_8409 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8410 = eq(_T_8409, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:154] + node _T_8411 = and(_T_8408, _T_8410) @[ifu_bp_ctl.scala 435:81] + node _T_8412 = or(_T_8411, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8413 = bits(_T_8412, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_12_13 = mux(_T_8413, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8414 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8415 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8416 = eq(_T_8415, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:74] + node _T_8417 = and(_T_8414, _T_8416) @[ifu_bp_ctl.scala 435:23] + node _T_8418 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8419 = eq(_T_8418, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:154] + node _T_8420 = and(_T_8417, _T_8419) @[ifu_bp_ctl.scala 435:81] + node _T_8421 = or(_T_8420, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8422 = bits(_T_8421, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_12_14 = mux(_T_8422, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8423 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8424 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8425 = eq(_T_8424, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:74] + node _T_8426 = and(_T_8423, _T_8425) @[ifu_bp_ctl.scala 435:23] + node _T_8427 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8428 = eq(_T_8427, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:154] + node _T_8429 = and(_T_8426, _T_8428) @[ifu_bp_ctl.scala 435:81] + node _T_8430 = or(_T_8429, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8431 = bits(_T_8430, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_12_15 = mux(_T_8431, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8432 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8433 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8434 = eq(_T_8433, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:74] + node _T_8435 = and(_T_8432, _T_8434) @[ifu_bp_ctl.scala 435:23] + node _T_8436 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8437 = eq(_T_8436, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:154] + node _T_8438 = and(_T_8435, _T_8437) @[ifu_bp_ctl.scala 435:81] + node _T_8439 = or(_T_8438, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8440 = bits(_T_8439, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_13_0 = mux(_T_8440, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8441 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8442 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8443 = eq(_T_8442, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:74] + node _T_8444 = and(_T_8441, _T_8443) @[ifu_bp_ctl.scala 435:23] + node _T_8445 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8446 = eq(_T_8445, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:154] + node _T_8447 = and(_T_8444, _T_8446) @[ifu_bp_ctl.scala 435:81] + node _T_8448 = or(_T_8447, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8449 = bits(_T_8448, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_13_1 = mux(_T_8449, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8450 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8451 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8452 = eq(_T_8451, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:74] + node _T_8453 = and(_T_8450, _T_8452) @[ifu_bp_ctl.scala 435:23] + node _T_8454 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8455 = eq(_T_8454, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:154] + node _T_8456 = and(_T_8453, _T_8455) @[ifu_bp_ctl.scala 435:81] + node _T_8457 = or(_T_8456, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8458 = bits(_T_8457, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_13_2 = mux(_T_8458, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8459 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8460 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8461 = eq(_T_8460, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:74] + node _T_8462 = and(_T_8459, _T_8461) @[ifu_bp_ctl.scala 435:23] + node _T_8463 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8464 = eq(_T_8463, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:154] + node _T_8465 = and(_T_8462, _T_8464) @[ifu_bp_ctl.scala 435:81] + node _T_8466 = or(_T_8465, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8467 = bits(_T_8466, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_13_3 = mux(_T_8467, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8468 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8469 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8470 = eq(_T_8469, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:74] + node _T_8471 = and(_T_8468, _T_8470) @[ifu_bp_ctl.scala 435:23] + node _T_8472 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8473 = eq(_T_8472, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:154] + node _T_8474 = and(_T_8471, _T_8473) @[ifu_bp_ctl.scala 435:81] + node _T_8475 = or(_T_8474, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8476 = bits(_T_8475, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_13_4 = mux(_T_8476, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8477 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8478 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8479 = eq(_T_8478, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:74] + node _T_8480 = and(_T_8477, _T_8479) @[ifu_bp_ctl.scala 435:23] + node _T_8481 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8482 = eq(_T_8481, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:154] + node _T_8483 = and(_T_8480, _T_8482) @[ifu_bp_ctl.scala 435:81] + node _T_8484 = or(_T_8483, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8485 = bits(_T_8484, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_13_5 = mux(_T_8485, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8486 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8487 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8488 = eq(_T_8487, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:74] + node _T_8489 = and(_T_8486, _T_8488) @[ifu_bp_ctl.scala 435:23] + node _T_8490 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8491 = eq(_T_8490, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:154] + node _T_8492 = and(_T_8489, _T_8491) @[ifu_bp_ctl.scala 435:81] + node _T_8493 = or(_T_8492, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8494 = bits(_T_8493, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_13_6 = mux(_T_8494, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8495 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8496 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8497 = eq(_T_8496, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:74] + node _T_8498 = and(_T_8495, _T_8497) @[ifu_bp_ctl.scala 435:23] + node _T_8499 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8500 = eq(_T_8499, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:154] + node _T_8501 = and(_T_8498, _T_8500) @[ifu_bp_ctl.scala 435:81] + node _T_8502 = or(_T_8501, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8503 = bits(_T_8502, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_13_7 = mux(_T_8503, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8504 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8505 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8506 = eq(_T_8505, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:74] + node _T_8507 = and(_T_8504, _T_8506) @[ifu_bp_ctl.scala 435:23] + node _T_8508 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8509 = eq(_T_8508, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:154] + node _T_8510 = and(_T_8507, _T_8509) @[ifu_bp_ctl.scala 435:81] + node _T_8511 = or(_T_8510, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8512 = bits(_T_8511, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_13_8 = mux(_T_8512, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8513 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8514 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8515 = eq(_T_8514, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:74] + node _T_8516 = and(_T_8513, _T_8515) @[ifu_bp_ctl.scala 435:23] + node _T_8517 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8518 = eq(_T_8517, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:154] + node _T_8519 = and(_T_8516, _T_8518) @[ifu_bp_ctl.scala 435:81] + node _T_8520 = or(_T_8519, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8521 = bits(_T_8520, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_13_9 = mux(_T_8521, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8522 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8523 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8524 = eq(_T_8523, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:74] + node _T_8525 = and(_T_8522, _T_8524) @[ifu_bp_ctl.scala 435:23] + node _T_8526 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8527 = eq(_T_8526, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:154] + node _T_8528 = and(_T_8525, _T_8527) @[ifu_bp_ctl.scala 435:81] + node _T_8529 = or(_T_8528, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8530 = bits(_T_8529, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_13_10 = mux(_T_8530, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8531 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8532 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8533 = eq(_T_8532, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:74] + node _T_8534 = and(_T_8531, _T_8533) @[ifu_bp_ctl.scala 435:23] + node _T_8535 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8536 = eq(_T_8535, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:154] + node _T_8537 = and(_T_8534, _T_8536) @[ifu_bp_ctl.scala 435:81] + node _T_8538 = or(_T_8537, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8539 = bits(_T_8538, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_13_11 = mux(_T_8539, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8540 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8541 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8542 = eq(_T_8541, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:74] + node _T_8543 = and(_T_8540, _T_8542) @[ifu_bp_ctl.scala 435:23] + node _T_8544 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8545 = eq(_T_8544, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:154] + node _T_8546 = and(_T_8543, _T_8545) @[ifu_bp_ctl.scala 435:81] + node _T_8547 = or(_T_8546, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8548 = bits(_T_8547, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_13_12 = mux(_T_8548, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8549 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8550 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8551 = eq(_T_8550, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:74] + node _T_8552 = and(_T_8549, _T_8551) @[ifu_bp_ctl.scala 435:23] + node _T_8553 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8554 = eq(_T_8553, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:154] + node _T_8555 = and(_T_8552, _T_8554) @[ifu_bp_ctl.scala 435:81] + node _T_8556 = or(_T_8555, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8557 = bits(_T_8556, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_13_13 = mux(_T_8557, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8558 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8559 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8560 = eq(_T_8559, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:74] + node _T_8561 = and(_T_8558, _T_8560) @[ifu_bp_ctl.scala 435:23] + node _T_8562 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8563 = eq(_T_8562, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:154] + node _T_8564 = and(_T_8561, _T_8563) @[ifu_bp_ctl.scala 435:81] + node _T_8565 = or(_T_8564, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8566 = bits(_T_8565, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_13_14 = mux(_T_8566, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8567 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8568 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8569 = eq(_T_8568, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:74] + node _T_8570 = and(_T_8567, _T_8569) @[ifu_bp_ctl.scala 435:23] + node _T_8571 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8572 = eq(_T_8571, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:154] + node _T_8573 = and(_T_8570, _T_8572) @[ifu_bp_ctl.scala 435:81] + node _T_8574 = or(_T_8573, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8575 = bits(_T_8574, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_13_15 = mux(_T_8575, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8576 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8577 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8578 = eq(_T_8577, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:74] + node _T_8579 = and(_T_8576, _T_8578) @[ifu_bp_ctl.scala 435:23] + node _T_8580 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8581 = eq(_T_8580, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:154] + node _T_8582 = and(_T_8579, _T_8581) @[ifu_bp_ctl.scala 435:81] + node _T_8583 = or(_T_8582, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8584 = bits(_T_8583, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_14_0 = mux(_T_8584, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8585 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8586 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8587 = eq(_T_8586, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:74] + node _T_8588 = and(_T_8585, _T_8587) @[ifu_bp_ctl.scala 435:23] + node _T_8589 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8590 = eq(_T_8589, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:154] + node _T_8591 = and(_T_8588, _T_8590) @[ifu_bp_ctl.scala 435:81] + node _T_8592 = or(_T_8591, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8593 = bits(_T_8592, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_14_1 = mux(_T_8593, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8594 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8595 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8596 = eq(_T_8595, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:74] + node _T_8597 = and(_T_8594, _T_8596) @[ifu_bp_ctl.scala 435:23] + node _T_8598 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8599 = eq(_T_8598, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:154] + node _T_8600 = and(_T_8597, _T_8599) @[ifu_bp_ctl.scala 435:81] + node _T_8601 = or(_T_8600, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8602 = bits(_T_8601, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_14_2 = mux(_T_8602, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8603 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8604 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8605 = eq(_T_8604, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:74] + node _T_8606 = and(_T_8603, _T_8605) @[ifu_bp_ctl.scala 435:23] + node _T_8607 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8608 = eq(_T_8607, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:154] + node _T_8609 = and(_T_8606, _T_8608) @[ifu_bp_ctl.scala 435:81] + node _T_8610 = or(_T_8609, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8611 = bits(_T_8610, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_14_3 = mux(_T_8611, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8612 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8613 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8614 = eq(_T_8613, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:74] + node _T_8615 = and(_T_8612, _T_8614) @[ifu_bp_ctl.scala 435:23] + node _T_8616 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8617 = eq(_T_8616, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:154] + node _T_8618 = and(_T_8615, _T_8617) @[ifu_bp_ctl.scala 435:81] + node _T_8619 = or(_T_8618, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8620 = bits(_T_8619, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_14_4 = mux(_T_8620, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8621 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8622 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8623 = eq(_T_8622, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:74] + node _T_8624 = and(_T_8621, _T_8623) @[ifu_bp_ctl.scala 435:23] + node _T_8625 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8626 = eq(_T_8625, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:154] + node _T_8627 = and(_T_8624, _T_8626) @[ifu_bp_ctl.scala 435:81] + node _T_8628 = or(_T_8627, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8629 = bits(_T_8628, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_14_5 = mux(_T_8629, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8630 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8631 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8632 = eq(_T_8631, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:74] + node _T_8633 = and(_T_8630, _T_8632) @[ifu_bp_ctl.scala 435:23] + node _T_8634 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8635 = eq(_T_8634, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:154] + node _T_8636 = and(_T_8633, _T_8635) @[ifu_bp_ctl.scala 435:81] + node _T_8637 = or(_T_8636, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8638 = bits(_T_8637, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_14_6 = mux(_T_8638, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8639 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8640 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8641 = eq(_T_8640, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:74] + node _T_8642 = and(_T_8639, _T_8641) @[ifu_bp_ctl.scala 435:23] + node _T_8643 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8644 = eq(_T_8643, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:154] + node _T_8645 = and(_T_8642, _T_8644) @[ifu_bp_ctl.scala 435:81] + node _T_8646 = or(_T_8645, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8647 = bits(_T_8646, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_14_7 = mux(_T_8647, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8648 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8649 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8650 = eq(_T_8649, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:74] + node _T_8651 = and(_T_8648, _T_8650) @[ifu_bp_ctl.scala 435:23] + node _T_8652 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8653 = eq(_T_8652, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:154] + node _T_8654 = and(_T_8651, _T_8653) @[ifu_bp_ctl.scala 435:81] + node _T_8655 = or(_T_8654, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8656 = bits(_T_8655, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_14_8 = mux(_T_8656, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8657 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8658 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8659 = eq(_T_8658, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:74] + node _T_8660 = and(_T_8657, _T_8659) @[ifu_bp_ctl.scala 435:23] + node _T_8661 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8662 = eq(_T_8661, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:154] + node _T_8663 = and(_T_8660, _T_8662) @[ifu_bp_ctl.scala 435:81] + node _T_8664 = or(_T_8663, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8665 = bits(_T_8664, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_14_9 = mux(_T_8665, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8666 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8667 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8668 = eq(_T_8667, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:74] + node _T_8669 = and(_T_8666, _T_8668) @[ifu_bp_ctl.scala 435:23] + node _T_8670 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8671 = eq(_T_8670, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:154] + node _T_8672 = and(_T_8669, _T_8671) @[ifu_bp_ctl.scala 435:81] + node _T_8673 = or(_T_8672, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8674 = bits(_T_8673, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_14_10 = mux(_T_8674, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8675 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8676 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8677 = eq(_T_8676, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:74] + node _T_8678 = and(_T_8675, _T_8677) @[ifu_bp_ctl.scala 435:23] + node _T_8679 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8680 = eq(_T_8679, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:154] + node _T_8681 = and(_T_8678, _T_8680) @[ifu_bp_ctl.scala 435:81] + node _T_8682 = or(_T_8681, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8683 = bits(_T_8682, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_14_11 = mux(_T_8683, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8684 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8685 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8686 = eq(_T_8685, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:74] + node _T_8687 = and(_T_8684, _T_8686) @[ifu_bp_ctl.scala 435:23] + node _T_8688 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8689 = eq(_T_8688, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:154] + node _T_8690 = and(_T_8687, _T_8689) @[ifu_bp_ctl.scala 435:81] + node _T_8691 = or(_T_8690, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8692 = bits(_T_8691, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_14_12 = mux(_T_8692, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8693 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8694 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8695 = eq(_T_8694, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:74] + node _T_8696 = and(_T_8693, _T_8695) @[ifu_bp_ctl.scala 435:23] + node _T_8697 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8698 = eq(_T_8697, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:154] + node _T_8699 = and(_T_8696, _T_8698) @[ifu_bp_ctl.scala 435:81] + node _T_8700 = or(_T_8699, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8701 = bits(_T_8700, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_14_13 = mux(_T_8701, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8702 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8703 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8704 = eq(_T_8703, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:74] + node _T_8705 = and(_T_8702, _T_8704) @[ifu_bp_ctl.scala 435:23] + node _T_8706 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8707 = eq(_T_8706, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:154] + node _T_8708 = and(_T_8705, _T_8707) @[ifu_bp_ctl.scala 435:81] + node _T_8709 = or(_T_8708, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8710 = bits(_T_8709, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_14_14 = mux(_T_8710, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8711 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8712 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8713 = eq(_T_8712, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:74] + node _T_8714 = and(_T_8711, _T_8713) @[ifu_bp_ctl.scala 435:23] + node _T_8715 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8716 = eq(_T_8715, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:154] + node _T_8717 = and(_T_8714, _T_8716) @[ifu_bp_ctl.scala 435:81] + node _T_8718 = or(_T_8717, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8719 = bits(_T_8718, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_14_15 = mux(_T_8719, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8720 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8721 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8722 = eq(_T_8721, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:74] + node _T_8723 = and(_T_8720, _T_8722) @[ifu_bp_ctl.scala 435:23] + node _T_8724 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8725 = eq(_T_8724, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:154] + node _T_8726 = and(_T_8723, _T_8725) @[ifu_bp_ctl.scala 435:81] + node _T_8727 = or(_T_8726, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8728 = bits(_T_8727, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_15_0 = mux(_T_8728, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8729 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8730 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8731 = eq(_T_8730, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:74] + node _T_8732 = and(_T_8729, _T_8731) @[ifu_bp_ctl.scala 435:23] + node _T_8733 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8734 = eq(_T_8733, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:154] + node _T_8735 = and(_T_8732, _T_8734) @[ifu_bp_ctl.scala 435:81] + node _T_8736 = or(_T_8735, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8737 = bits(_T_8736, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_15_1 = mux(_T_8737, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8738 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8739 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8740 = eq(_T_8739, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:74] + node _T_8741 = and(_T_8738, _T_8740) @[ifu_bp_ctl.scala 435:23] + node _T_8742 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8743 = eq(_T_8742, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:154] + node _T_8744 = and(_T_8741, _T_8743) @[ifu_bp_ctl.scala 435:81] + node _T_8745 = or(_T_8744, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8746 = bits(_T_8745, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_15_2 = mux(_T_8746, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8747 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8748 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8749 = eq(_T_8748, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:74] + node _T_8750 = and(_T_8747, _T_8749) @[ifu_bp_ctl.scala 435:23] + node _T_8751 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8752 = eq(_T_8751, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:154] + node _T_8753 = and(_T_8750, _T_8752) @[ifu_bp_ctl.scala 435:81] + node _T_8754 = or(_T_8753, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8755 = bits(_T_8754, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_15_3 = mux(_T_8755, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8756 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8757 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8758 = eq(_T_8757, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:74] + node _T_8759 = and(_T_8756, _T_8758) @[ifu_bp_ctl.scala 435:23] + node _T_8760 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8761 = eq(_T_8760, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:154] + node _T_8762 = and(_T_8759, _T_8761) @[ifu_bp_ctl.scala 435:81] + node _T_8763 = or(_T_8762, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8764 = bits(_T_8763, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_15_4 = mux(_T_8764, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8765 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8766 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8767 = eq(_T_8766, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:74] + node _T_8768 = and(_T_8765, _T_8767) @[ifu_bp_ctl.scala 435:23] + node _T_8769 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8770 = eq(_T_8769, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:154] + node _T_8771 = and(_T_8768, _T_8770) @[ifu_bp_ctl.scala 435:81] + node _T_8772 = or(_T_8771, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8773 = bits(_T_8772, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_15_5 = mux(_T_8773, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8774 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8775 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8776 = eq(_T_8775, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:74] + node _T_8777 = and(_T_8774, _T_8776) @[ifu_bp_ctl.scala 435:23] + node _T_8778 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8779 = eq(_T_8778, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:154] + node _T_8780 = and(_T_8777, _T_8779) @[ifu_bp_ctl.scala 435:81] + node _T_8781 = or(_T_8780, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8782 = bits(_T_8781, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_15_6 = mux(_T_8782, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8783 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8784 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8785 = eq(_T_8784, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:74] + node _T_8786 = and(_T_8783, _T_8785) @[ifu_bp_ctl.scala 435:23] + node _T_8787 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8788 = eq(_T_8787, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:154] + node _T_8789 = and(_T_8786, _T_8788) @[ifu_bp_ctl.scala 435:81] + node _T_8790 = or(_T_8789, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8791 = bits(_T_8790, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_15_7 = mux(_T_8791, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8792 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8793 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8794 = eq(_T_8793, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:74] + node _T_8795 = and(_T_8792, _T_8794) @[ifu_bp_ctl.scala 435:23] + node _T_8796 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8797 = eq(_T_8796, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:154] + node _T_8798 = and(_T_8795, _T_8797) @[ifu_bp_ctl.scala 435:81] + node _T_8799 = or(_T_8798, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8800 = bits(_T_8799, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_15_8 = mux(_T_8800, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8801 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8802 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8803 = eq(_T_8802, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:74] + node _T_8804 = and(_T_8801, _T_8803) @[ifu_bp_ctl.scala 435:23] + node _T_8805 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8806 = eq(_T_8805, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:154] + node _T_8807 = and(_T_8804, _T_8806) @[ifu_bp_ctl.scala 435:81] + node _T_8808 = or(_T_8807, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8809 = bits(_T_8808, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_15_9 = mux(_T_8809, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8810 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8811 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8812 = eq(_T_8811, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:74] + node _T_8813 = and(_T_8810, _T_8812) @[ifu_bp_ctl.scala 435:23] + node _T_8814 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8815 = eq(_T_8814, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:154] + node _T_8816 = and(_T_8813, _T_8815) @[ifu_bp_ctl.scala 435:81] + node _T_8817 = or(_T_8816, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8818 = bits(_T_8817, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_15_10 = mux(_T_8818, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8819 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8820 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8821 = eq(_T_8820, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:74] + node _T_8822 = and(_T_8819, _T_8821) @[ifu_bp_ctl.scala 435:23] + node _T_8823 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8824 = eq(_T_8823, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:154] + node _T_8825 = and(_T_8822, _T_8824) @[ifu_bp_ctl.scala 435:81] + node _T_8826 = or(_T_8825, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8827 = bits(_T_8826, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_15_11 = mux(_T_8827, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8828 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8829 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8830 = eq(_T_8829, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:74] + node _T_8831 = and(_T_8828, _T_8830) @[ifu_bp_ctl.scala 435:23] + node _T_8832 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8833 = eq(_T_8832, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:154] + node _T_8834 = and(_T_8831, _T_8833) @[ifu_bp_ctl.scala 435:81] + node _T_8835 = or(_T_8834, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8836 = bits(_T_8835, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_15_12 = mux(_T_8836, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8837 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8838 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8839 = eq(_T_8838, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:74] + node _T_8840 = and(_T_8837, _T_8839) @[ifu_bp_ctl.scala 435:23] + node _T_8841 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8842 = eq(_T_8841, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:154] + node _T_8843 = and(_T_8840, _T_8842) @[ifu_bp_ctl.scala 435:81] + node _T_8844 = or(_T_8843, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8845 = bits(_T_8844, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_15_13 = mux(_T_8845, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8846 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8847 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8848 = eq(_T_8847, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:74] + node _T_8849 = and(_T_8846, _T_8848) @[ifu_bp_ctl.scala 435:23] + node _T_8850 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8851 = eq(_T_8850, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:154] + node _T_8852 = and(_T_8849, _T_8851) @[ifu_bp_ctl.scala 435:81] + node _T_8853 = or(_T_8852, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8854 = bits(_T_8853, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_15_14 = mux(_T_8854, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8855 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 435:20] + node _T_8856 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8857 = eq(_T_8856, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:74] + node _T_8858 = and(_T_8855, _T_8857) @[ifu_bp_ctl.scala 435:23] + node _T_8859 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8860 = eq(_T_8859, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:154] + node _T_8861 = and(_T_8858, _T_8860) @[ifu_bp_ctl.scala 435:81] + node _T_8862 = or(_T_8861, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8863 = bits(_T_8862, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_0_15_15 = mux(_T_8863, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8864 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_8865 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8866 = eq(_T_8865, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:74] + node _T_8867 = and(_T_8864, _T_8866) @[ifu_bp_ctl.scala 435:23] + node _T_8868 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8869 = eq(_T_8868, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:154] + node _T_8870 = and(_T_8867, _T_8869) @[ifu_bp_ctl.scala 435:81] + node _T_8871 = or(_T_8870, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8872 = bits(_T_8871, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_0_0 = mux(_T_8872, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8873 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_8874 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8875 = eq(_T_8874, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:74] + node _T_8876 = and(_T_8873, _T_8875) @[ifu_bp_ctl.scala 435:23] + node _T_8877 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8878 = eq(_T_8877, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:154] + node _T_8879 = and(_T_8876, _T_8878) @[ifu_bp_ctl.scala 435:81] + node _T_8880 = or(_T_8879, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8881 = bits(_T_8880, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_0_1 = mux(_T_8881, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8882 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_8883 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8884 = eq(_T_8883, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:74] + node _T_8885 = and(_T_8882, _T_8884) @[ifu_bp_ctl.scala 435:23] + node _T_8886 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8887 = eq(_T_8886, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:154] + node _T_8888 = and(_T_8885, _T_8887) @[ifu_bp_ctl.scala 435:81] + node _T_8889 = or(_T_8888, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8890 = bits(_T_8889, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_0_2 = mux(_T_8890, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8891 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_8892 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8893 = eq(_T_8892, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:74] + node _T_8894 = and(_T_8891, _T_8893) @[ifu_bp_ctl.scala 435:23] + node _T_8895 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8896 = eq(_T_8895, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:154] + node _T_8897 = and(_T_8894, _T_8896) @[ifu_bp_ctl.scala 435:81] + node _T_8898 = or(_T_8897, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8899 = bits(_T_8898, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_0_3 = mux(_T_8899, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8900 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_8901 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8902 = eq(_T_8901, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:74] + node _T_8903 = and(_T_8900, _T_8902) @[ifu_bp_ctl.scala 435:23] + node _T_8904 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8905 = eq(_T_8904, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:154] + node _T_8906 = and(_T_8903, _T_8905) @[ifu_bp_ctl.scala 435:81] + node _T_8907 = or(_T_8906, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8908 = bits(_T_8907, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_0_4 = mux(_T_8908, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8909 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_8910 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8911 = eq(_T_8910, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:74] + node _T_8912 = and(_T_8909, _T_8911) @[ifu_bp_ctl.scala 435:23] + node _T_8913 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8914 = eq(_T_8913, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:154] + node _T_8915 = and(_T_8912, _T_8914) @[ifu_bp_ctl.scala 435:81] + node _T_8916 = or(_T_8915, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8917 = bits(_T_8916, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_0_5 = mux(_T_8917, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8918 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_8919 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8920 = eq(_T_8919, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:74] + node _T_8921 = and(_T_8918, _T_8920) @[ifu_bp_ctl.scala 435:23] + node _T_8922 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8923 = eq(_T_8922, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:154] + node _T_8924 = and(_T_8921, _T_8923) @[ifu_bp_ctl.scala 435:81] + node _T_8925 = or(_T_8924, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8926 = bits(_T_8925, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_0_6 = mux(_T_8926, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8927 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_8928 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8929 = eq(_T_8928, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:74] + node _T_8930 = and(_T_8927, _T_8929) @[ifu_bp_ctl.scala 435:23] + node _T_8931 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8932 = eq(_T_8931, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:154] + node _T_8933 = and(_T_8930, _T_8932) @[ifu_bp_ctl.scala 435:81] + node _T_8934 = or(_T_8933, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8935 = bits(_T_8934, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_0_7 = mux(_T_8935, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8936 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_8937 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8938 = eq(_T_8937, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:74] + node _T_8939 = and(_T_8936, _T_8938) @[ifu_bp_ctl.scala 435:23] + node _T_8940 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8941 = eq(_T_8940, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:154] + node _T_8942 = and(_T_8939, _T_8941) @[ifu_bp_ctl.scala 435:81] + node _T_8943 = or(_T_8942, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8944 = bits(_T_8943, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_0_8 = mux(_T_8944, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8945 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_8946 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8947 = eq(_T_8946, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:74] + node _T_8948 = and(_T_8945, _T_8947) @[ifu_bp_ctl.scala 435:23] + node _T_8949 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8950 = eq(_T_8949, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:154] + node _T_8951 = and(_T_8948, _T_8950) @[ifu_bp_ctl.scala 435:81] + node _T_8952 = or(_T_8951, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8953 = bits(_T_8952, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_0_9 = mux(_T_8953, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8954 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_8955 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8956 = eq(_T_8955, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:74] + node _T_8957 = and(_T_8954, _T_8956) @[ifu_bp_ctl.scala 435:23] + node _T_8958 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8959 = eq(_T_8958, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:154] + node _T_8960 = and(_T_8957, _T_8959) @[ifu_bp_ctl.scala 435:81] + node _T_8961 = or(_T_8960, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8962 = bits(_T_8961, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_0_10 = mux(_T_8962, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8963 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_8964 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8965 = eq(_T_8964, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:74] + node _T_8966 = and(_T_8963, _T_8965) @[ifu_bp_ctl.scala 435:23] + node _T_8967 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8968 = eq(_T_8967, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:154] + node _T_8969 = and(_T_8966, _T_8968) @[ifu_bp_ctl.scala 435:81] + node _T_8970 = or(_T_8969, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8971 = bits(_T_8970, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_0_11 = mux(_T_8971, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8972 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_8973 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8974 = eq(_T_8973, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:74] + node _T_8975 = and(_T_8972, _T_8974) @[ifu_bp_ctl.scala 435:23] + node _T_8976 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8977 = eq(_T_8976, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:154] + node _T_8978 = and(_T_8975, _T_8977) @[ifu_bp_ctl.scala 435:81] + node _T_8979 = or(_T_8978, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8980 = bits(_T_8979, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_0_12 = mux(_T_8980, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8981 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_8982 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8983 = eq(_T_8982, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:74] + node _T_8984 = and(_T_8981, _T_8983) @[ifu_bp_ctl.scala 435:23] + node _T_8985 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8986 = eq(_T_8985, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:154] + node _T_8987 = and(_T_8984, _T_8986) @[ifu_bp_ctl.scala 435:81] + node _T_8988 = or(_T_8987, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8989 = bits(_T_8988, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_0_13 = mux(_T_8989, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8990 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_8991 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_8992 = eq(_T_8991, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:74] + node _T_8993 = and(_T_8990, _T_8992) @[ifu_bp_ctl.scala 435:23] + node _T_8994 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_8995 = eq(_T_8994, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:154] + node _T_8996 = and(_T_8993, _T_8995) @[ifu_bp_ctl.scala 435:81] + node _T_8997 = or(_T_8996, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_8998 = bits(_T_8997, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_0_14 = mux(_T_8998, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_8999 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9000 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9001 = eq(_T_9000, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:74] + node _T_9002 = and(_T_8999, _T_9001) @[ifu_bp_ctl.scala 435:23] + node _T_9003 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9004 = eq(_T_9003, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:154] + node _T_9005 = and(_T_9002, _T_9004) @[ifu_bp_ctl.scala 435:81] + node _T_9006 = or(_T_9005, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9007 = bits(_T_9006, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_0_15 = mux(_T_9007, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9008 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9009 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9010 = eq(_T_9009, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:74] + node _T_9011 = and(_T_9008, _T_9010) @[ifu_bp_ctl.scala 435:23] + node _T_9012 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9013 = eq(_T_9012, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:154] + node _T_9014 = and(_T_9011, _T_9013) @[ifu_bp_ctl.scala 435:81] + node _T_9015 = or(_T_9014, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9016 = bits(_T_9015, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_1_0 = mux(_T_9016, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9017 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9018 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9019 = eq(_T_9018, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:74] + node _T_9020 = and(_T_9017, _T_9019) @[ifu_bp_ctl.scala 435:23] + node _T_9021 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9022 = eq(_T_9021, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:154] + node _T_9023 = and(_T_9020, _T_9022) @[ifu_bp_ctl.scala 435:81] + node _T_9024 = or(_T_9023, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9025 = bits(_T_9024, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_1_1 = mux(_T_9025, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9026 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9027 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9028 = eq(_T_9027, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:74] + node _T_9029 = and(_T_9026, _T_9028) @[ifu_bp_ctl.scala 435:23] + node _T_9030 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9031 = eq(_T_9030, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:154] + node _T_9032 = and(_T_9029, _T_9031) @[ifu_bp_ctl.scala 435:81] + node _T_9033 = or(_T_9032, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9034 = bits(_T_9033, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_1_2 = mux(_T_9034, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9035 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9036 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9037 = eq(_T_9036, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:74] + node _T_9038 = and(_T_9035, _T_9037) @[ifu_bp_ctl.scala 435:23] + node _T_9039 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9040 = eq(_T_9039, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:154] + node _T_9041 = and(_T_9038, _T_9040) @[ifu_bp_ctl.scala 435:81] + node _T_9042 = or(_T_9041, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9043 = bits(_T_9042, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_1_3 = mux(_T_9043, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9044 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9045 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9046 = eq(_T_9045, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:74] + node _T_9047 = and(_T_9044, _T_9046) @[ifu_bp_ctl.scala 435:23] + node _T_9048 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9049 = eq(_T_9048, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:154] + node _T_9050 = and(_T_9047, _T_9049) @[ifu_bp_ctl.scala 435:81] + node _T_9051 = or(_T_9050, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9052 = bits(_T_9051, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_1_4 = mux(_T_9052, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9053 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9054 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9055 = eq(_T_9054, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:74] + node _T_9056 = and(_T_9053, _T_9055) @[ifu_bp_ctl.scala 435:23] + node _T_9057 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9058 = eq(_T_9057, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:154] + node _T_9059 = and(_T_9056, _T_9058) @[ifu_bp_ctl.scala 435:81] + node _T_9060 = or(_T_9059, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9061 = bits(_T_9060, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_1_5 = mux(_T_9061, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9062 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9063 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9064 = eq(_T_9063, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:74] + node _T_9065 = and(_T_9062, _T_9064) @[ifu_bp_ctl.scala 435:23] + node _T_9066 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9067 = eq(_T_9066, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:154] + node _T_9068 = and(_T_9065, _T_9067) @[ifu_bp_ctl.scala 435:81] + node _T_9069 = or(_T_9068, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9070 = bits(_T_9069, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_1_6 = mux(_T_9070, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9071 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9072 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9073 = eq(_T_9072, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:74] + node _T_9074 = and(_T_9071, _T_9073) @[ifu_bp_ctl.scala 435:23] + node _T_9075 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9076 = eq(_T_9075, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:154] + node _T_9077 = and(_T_9074, _T_9076) @[ifu_bp_ctl.scala 435:81] + node _T_9078 = or(_T_9077, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9079 = bits(_T_9078, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_1_7 = mux(_T_9079, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9080 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9081 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9082 = eq(_T_9081, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:74] + node _T_9083 = and(_T_9080, _T_9082) @[ifu_bp_ctl.scala 435:23] + node _T_9084 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9085 = eq(_T_9084, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:154] + node _T_9086 = and(_T_9083, _T_9085) @[ifu_bp_ctl.scala 435:81] + node _T_9087 = or(_T_9086, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9088 = bits(_T_9087, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_1_8 = mux(_T_9088, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9089 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9090 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9091 = eq(_T_9090, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:74] + node _T_9092 = and(_T_9089, _T_9091) @[ifu_bp_ctl.scala 435:23] + node _T_9093 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9094 = eq(_T_9093, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:154] + node _T_9095 = and(_T_9092, _T_9094) @[ifu_bp_ctl.scala 435:81] + node _T_9096 = or(_T_9095, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9097 = bits(_T_9096, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_1_9 = mux(_T_9097, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9098 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9099 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9100 = eq(_T_9099, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:74] + node _T_9101 = and(_T_9098, _T_9100) @[ifu_bp_ctl.scala 435:23] + node _T_9102 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9103 = eq(_T_9102, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:154] + node _T_9104 = and(_T_9101, _T_9103) @[ifu_bp_ctl.scala 435:81] + node _T_9105 = or(_T_9104, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9106 = bits(_T_9105, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_1_10 = mux(_T_9106, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9107 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9108 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9109 = eq(_T_9108, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:74] + node _T_9110 = and(_T_9107, _T_9109) @[ifu_bp_ctl.scala 435:23] + node _T_9111 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9112 = eq(_T_9111, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:154] + node _T_9113 = and(_T_9110, _T_9112) @[ifu_bp_ctl.scala 435:81] + node _T_9114 = or(_T_9113, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9115 = bits(_T_9114, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_1_11 = mux(_T_9115, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9116 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9117 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9118 = eq(_T_9117, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:74] + node _T_9119 = and(_T_9116, _T_9118) @[ifu_bp_ctl.scala 435:23] + node _T_9120 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9121 = eq(_T_9120, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:154] + node _T_9122 = and(_T_9119, _T_9121) @[ifu_bp_ctl.scala 435:81] + node _T_9123 = or(_T_9122, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9124 = bits(_T_9123, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_1_12 = mux(_T_9124, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9125 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9126 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9127 = eq(_T_9126, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:74] + node _T_9128 = and(_T_9125, _T_9127) @[ifu_bp_ctl.scala 435:23] + node _T_9129 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9130 = eq(_T_9129, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:154] + node _T_9131 = and(_T_9128, _T_9130) @[ifu_bp_ctl.scala 435:81] + node _T_9132 = or(_T_9131, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9133 = bits(_T_9132, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_1_13 = mux(_T_9133, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9134 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9135 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9136 = eq(_T_9135, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:74] + node _T_9137 = and(_T_9134, _T_9136) @[ifu_bp_ctl.scala 435:23] + node _T_9138 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9139 = eq(_T_9138, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:154] + node _T_9140 = and(_T_9137, _T_9139) @[ifu_bp_ctl.scala 435:81] + node _T_9141 = or(_T_9140, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9142 = bits(_T_9141, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_1_14 = mux(_T_9142, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9143 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9144 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9145 = eq(_T_9144, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:74] + node _T_9146 = and(_T_9143, _T_9145) @[ifu_bp_ctl.scala 435:23] + node _T_9147 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9148 = eq(_T_9147, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:154] + node _T_9149 = and(_T_9146, _T_9148) @[ifu_bp_ctl.scala 435:81] + node _T_9150 = or(_T_9149, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9151 = bits(_T_9150, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_1_15 = mux(_T_9151, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9152 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9153 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9154 = eq(_T_9153, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:74] + node _T_9155 = and(_T_9152, _T_9154) @[ifu_bp_ctl.scala 435:23] + node _T_9156 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9157 = eq(_T_9156, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:154] + node _T_9158 = and(_T_9155, _T_9157) @[ifu_bp_ctl.scala 435:81] + node _T_9159 = or(_T_9158, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9160 = bits(_T_9159, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_2_0 = mux(_T_9160, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9161 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9162 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9163 = eq(_T_9162, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:74] + node _T_9164 = and(_T_9161, _T_9163) @[ifu_bp_ctl.scala 435:23] + node _T_9165 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9166 = eq(_T_9165, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:154] + node _T_9167 = and(_T_9164, _T_9166) @[ifu_bp_ctl.scala 435:81] + node _T_9168 = or(_T_9167, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9169 = bits(_T_9168, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_2_1 = mux(_T_9169, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9170 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9171 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9172 = eq(_T_9171, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:74] + node _T_9173 = and(_T_9170, _T_9172) @[ifu_bp_ctl.scala 435:23] + node _T_9174 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9175 = eq(_T_9174, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:154] + node _T_9176 = and(_T_9173, _T_9175) @[ifu_bp_ctl.scala 435:81] + node _T_9177 = or(_T_9176, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9178 = bits(_T_9177, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_2_2 = mux(_T_9178, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9179 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9180 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9181 = eq(_T_9180, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:74] + node _T_9182 = and(_T_9179, _T_9181) @[ifu_bp_ctl.scala 435:23] + node _T_9183 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9184 = eq(_T_9183, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:154] + node _T_9185 = and(_T_9182, _T_9184) @[ifu_bp_ctl.scala 435:81] + node _T_9186 = or(_T_9185, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9187 = bits(_T_9186, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_2_3 = mux(_T_9187, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9188 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9189 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9190 = eq(_T_9189, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:74] + node _T_9191 = and(_T_9188, _T_9190) @[ifu_bp_ctl.scala 435:23] + node _T_9192 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9193 = eq(_T_9192, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:154] + node _T_9194 = and(_T_9191, _T_9193) @[ifu_bp_ctl.scala 435:81] + node _T_9195 = or(_T_9194, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9196 = bits(_T_9195, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_2_4 = mux(_T_9196, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9197 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9198 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9199 = eq(_T_9198, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:74] + node _T_9200 = and(_T_9197, _T_9199) @[ifu_bp_ctl.scala 435:23] + node _T_9201 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9202 = eq(_T_9201, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:154] + node _T_9203 = and(_T_9200, _T_9202) @[ifu_bp_ctl.scala 435:81] + node _T_9204 = or(_T_9203, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9205 = bits(_T_9204, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_2_5 = mux(_T_9205, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9206 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9207 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9208 = eq(_T_9207, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:74] + node _T_9209 = and(_T_9206, _T_9208) @[ifu_bp_ctl.scala 435:23] + node _T_9210 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9211 = eq(_T_9210, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:154] + node _T_9212 = and(_T_9209, _T_9211) @[ifu_bp_ctl.scala 435:81] + node _T_9213 = or(_T_9212, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9214 = bits(_T_9213, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_2_6 = mux(_T_9214, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9215 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9216 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9217 = eq(_T_9216, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:74] + node _T_9218 = and(_T_9215, _T_9217) @[ifu_bp_ctl.scala 435:23] + node _T_9219 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9220 = eq(_T_9219, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:154] + node _T_9221 = and(_T_9218, _T_9220) @[ifu_bp_ctl.scala 435:81] + node _T_9222 = or(_T_9221, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9223 = bits(_T_9222, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_2_7 = mux(_T_9223, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9224 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9225 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9226 = eq(_T_9225, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:74] + node _T_9227 = and(_T_9224, _T_9226) @[ifu_bp_ctl.scala 435:23] + node _T_9228 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9229 = eq(_T_9228, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:154] + node _T_9230 = and(_T_9227, _T_9229) @[ifu_bp_ctl.scala 435:81] + node _T_9231 = or(_T_9230, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9232 = bits(_T_9231, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_2_8 = mux(_T_9232, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9233 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9234 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9235 = eq(_T_9234, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:74] + node _T_9236 = and(_T_9233, _T_9235) @[ifu_bp_ctl.scala 435:23] + node _T_9237 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9238 = eq(_T_9237, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:154] + node _T_9239 = and(_T_9236, _T_9238) @[ifu_bp_ctl.scala 435:81] + node _T_9240 = or(_T_9239, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9241 = bits(_T_9240, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_2_9 = mux(_T_9241, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9242 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9243 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9244 = eq(_T_9243, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:74] + node _T_9245 = and(_T_9242, _T_9244) @[ifu_bp_ctl.scala 435:23] + node _T_9246 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9247 = eq(_T_9246, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:154] + node _T_9248 = and(_T_9245, _T_9247) @[ifu_bp_ctl.scala 435:81] + node _T_9249 = or(_T_9248, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9250 = bits(_T_9249, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_2_10 = mux(_T_9250, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9251 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9252 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9253 = eq(_T_9252, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:74] + node _T_9254 = and(_T_9251, _T_9253) @[ifu_bp_ctl.scala 435:23] + node _T_9255 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9256 = eq(_T_9255, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:154] + node _T_9257 = and(_T_9254, _T_9256) @[ifu_bp_ctl.scala 435:81] + node _T_9258 = or(_T_9257, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9259 = bits(_T_9258, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_2_11 = mux(_T_9259, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9260 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9261 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9262 = eq(_T_9261, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:74] + node _T_9263 = and(_T_9260, _T_9262) @[ifu_bp_ctl.scala 435:23] + node _T_9264 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9265 = eq(_T_9264, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:154] + node _T_9266 = and(_T_9263, _T_9265) @[ifu_bp_ctl.scala 435:81] + node _T_9267 = or(_T_9266, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9268 = bits(_T_9267, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_2_12 = mux(_T_9268, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9269 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9270 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9271 = eq(_T_9270, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:74] + node _T_9272 = and(_T_9269, _T_9271) @[ifu_bp_ctl.scala 435:23] + node _T_9273 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9274 = eq(_T_9273, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:154] + node _T_9275 = and(_T_9272, _T_9274) @[ifu_bp_ctl.scala 435:81] + node _T_9276 = or(_T_9275, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9277 = bits(_T_9276, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_2_13 = mux(_T_9277, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9278 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9279 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9280 = eq(_T_9279, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:74] + node _T_9281 = and(_T_9278, _T_9280) @[ifu_bp_ctl.scala 435:23] + node _T_9282 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9283 = eq(_T_9282, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:154] + node _T_9284 = and(_T_9281, _T_9283) @[ifu_bp_ctl.scala 435:81] + node _T_9285 = or(_T_9284, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9286 = bits(_T_9285, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_2_14 = mux(_T_9286, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9287 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9288 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9289 = eq(_T_9288, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:74] + node _T_9290 = and(_T_9287, _T_9289) @[ifu_bp_ctl.scala 435:23] + node _T_9291 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9292 = eq(_T_9291, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:154] + node _T_9293 = and(_T_9290, _T_9292) @[ifu_bp_ctl.scala 435:81] + node _T_9294 = or(_T_9293, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9295 = bits(_T_9294, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_2_15 = mux(_T_9295, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9296 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9297 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9298 = eq(_T_9297, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:74] + node _T_9299 = and(_T_9296, _T_9298) @[ifu_bp_ctl.scala 435:23] + node _T_9300 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9301 = eq(_T_9300, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:154] + node _T_9302 = and(_T_9299, _T_9301) @[ifu_bp_ctl.scala 435:81] + node _T_9303 = or(_T_9302, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9304 = bits(_T_9303, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_3_0 = mux(_T_9304, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9305 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9306 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9307 = eq(_T_9306, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:74] + node _T_9308 = and(_T_9305, _T_9307) @[ifu_bp_ctl.scala 435:23] + node _T_9309 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9310 = eq(_T_9309, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:154] + node _T_9311 = and(_T_9308, _T_9310) @[ifu_bp_ctl.scala 435:81] + node _T_9312 = or(_T_9311, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9313 = bits(_T_9312, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_3_1 = mux(_T_9313, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9314 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9315 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9316 = eq(_T_9315, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:74] + node _T_9317 = and(_T_9314, _T_9316) @[ifu_bp_ctl.scala 435:23] + node _T_9318 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9319 = eq(_T_9318, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:154] + node _T_9320 = and(_T_9317, _T_9319) @[ifu_bp_ctl.scala 435:81] + node _T_9321 = or(_T_9320, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9322 = bits(_T_9321, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_3_2 = mux(_T_9322, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9323 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9324 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9325 = eq(_T_9324, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:74] + node _T_9326 = and(_T_9323, _T_9325) @[ifu_bp_ctl.scala 435:23] + node _T_9327 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9328 = eq(_T_9327, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:154] + node _T_9329 = and(_T_9326, _T_9328) @[ifu_bp_ctl.scala 435:81] + node _T_9330 = or(_T_9329, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9331 = bits(_T_9330, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_3_3 = mux(_T_9331, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9332 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9333 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9334 = eq(_T_9333, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:74] + node _T_9335 = and(_T_9332, _T_9334) @[ifu_bp_ctl.scala 435:23] + node _T_9336 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9337 = eq(_T_9336, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:154] + node _T_9338 = and(_T_9335, _T_9337) @[ifu_bp_ctl.scala 435:81] + node _T_9339 = or(_T_9338, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9340 = bits(_T_9339, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_3_4 = mux(_T_9340, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9341 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9342 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9343 = eq(_T_9342, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:74] + node _T_9344 = and(_T_9341, _T_9343) @[ifu_bp_ctl.scala 435:23] + node _T_9345 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9346 = eq(_T_9345, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:154] + node _T_9347 = and(_T_9344, _T_9346) @[ifu_bp_ctl.scala 435:81] + node _T_9348 = or(_T_9347, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9349 = bits(_T_9348, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_3_5 = mux(_T_9349, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9350 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9351 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9352 = eq(_T_9351, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:74] + node _T_9353 = and(_T_9350, _T_9352) @[ifu_bp_ctl.scala 435:23] + node _T_9354 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9355 = eq(_T_9354, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:154] + node _T_9356 = and(_T_9353, _T_9355) @[ifu_bp_ctl.scala 435:81] + node _T_9357 = or(_T_9356, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9358 = bits(_T_9357, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_3_6 = mux(_T_9358, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9359 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9360 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9361 = eq(_T_9360, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:74] + node _T_9362 = and(_T_9359, _T_9361) @[ifu_bp_ctl.scala 435:23] + node _T_9363 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9364 = eq(_T_9363, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:154] + node _T_9365 = and(_T_9362, _T_9364) @[ifu_bp_ctl.scala 435:81] + node _T_9366 = or(_T_9365, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9367 = bits(_T_9366, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_3_7 = mux(_T_9367, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9368 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9369 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9370 = eq(_T_9369, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:74] + node _T_9371 = and(_T_9368, _T_9370) @[ifu_bp_ctl.scala 435:23] + node _T_9372 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9373 = eq(_T_9372, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:154] + node _T_9374 = and(_T_9371, _T_9373) @[ifu_bp_ctl.scala 435:81] + node _T_9375 = or(_T_9374, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9376 = bits(_T_9375, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_3_8 = mux(_T_9376, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9377 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9378 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9379 = eq(_T_9378, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:74] + node _T_9380 = and(_T_9377, _T_9379) @[ifu_bp_ctl.scala 435:23] + node _T_9381 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9382 = eq(_T_9381, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:154] + node _T_9383 = and(_T_9380, _T_9382) @[ifu_bp_ctl.scala 435:81] + node _T_9384 = or(_T_9383, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9385 = bits(_T_9384, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_3_9 = mux(_T_9385, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9386 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9387 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9388 = eq(_T_9387, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:74] + node _T_9389 = and(_T_9386, _T_9388) @[ifu_bp_ctl.scala 435:23] + node _T_9390 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9391 = eq(_T_9390, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:154] + node _T_9392 = and(_T_9389, _T_9391) @[ifu_bp_ctl.scala 435:81] + node _T_9393 = or(_T_9392, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9394 = bits(_T_9393, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_3_10 = mux(_T_9394, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9395 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9396 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9397 = eq(_T_9396, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:74] + node _T_9398 = and(_T_9395, _T_9397) @[ifu_bp_ctl.scala 435:23] + node _T_9399 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9400 = eq(_T_9399, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:154] + node _T_9401 = and(_T_9398, _T_9400) @[ifu_bp_ctl.scala 435:81] + node _T_9402 = or(_T_9401, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9403 = bits(_T_9402, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_3_11 = mux(_T_9403, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9404 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9405 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9406 = eq(_T_9405, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:74] + node _T_9407 = and(_T_9404, _T_9406) @[ifu_bp_ctl.scala 435:23] + node _T_9408 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9409 = eq(_T_9408, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:154] + node _T_9410 = and(_T_9407, _T_9409) @[ifu_bp_ctl.scala 435:81] + node _T_9411 = or(_T_9410, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9412 = bits(_T_9411, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_3_12 = mux(_T_9412, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9413 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9414 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9415 = eq(_T_9414, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:74] + node _T_9416 = and(_T_9413, _T_9415) @[ifu_bp_ctl.scala 435:23] + node _T_9417 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9418 = eq(_T_9417, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:154] + node _T_9419 = and(_T_9416, _T_9418) @[ifu_bp_ctl.scala 435:81] + node _T_9420 = or(_T_9419, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9421 = bits(_T_9420, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_3_13 = mux(_T_9421, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9422 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9423 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9424 = eq(_T_9423, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:74] + node _T_9425 = and(_T_9422, _T_9424) @[ifu_bp_ctl.scala 435:23] + node _T_9426 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9427 = eq(_T_9426, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:154] + node _T_9428 = and(_T_9425, _T_9427) @[ifu_bp_ctl.scala 435:81] + node _T_9429 = or(_T_9428, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9430 = bits(_T_9429, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_3_14 = mux(_T_9430, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9431 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9432 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9433 = eq(_T_9432, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:74] + node _T_9434 = and(_T_9431, _T_9433) @[ifu_bp_ctl.scala 435:23] + node _T_9435 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9436 = eq(_T_9435, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:154] + node _T_9437 = and(_T_9434, _T_9436) @[ifu_bp_ctl.scala 435:81] + node _T_9438 = or(_T_9437, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9439 = bits(_T_9438, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_3_15 = mux(_T_9439, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9440 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9441 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9442 = eq(_T_9441, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:74] + node _T_9443 = and(_T_9440, _T_9442) @[ifu_bp_ctl.scala 435:23] + node _T_9444 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9445 = eq(_T_9444, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:154] + node _T_9446 = and(_T_9443, _T_9445) @[ifu_bp_ctl.scala 435:81] + node _T_9447 = or(_T_9446, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9448 = bits(_T_9447, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_4_0 = mux(_T_9448, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9449 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9450 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9451 = eq(_T_9450, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:74] + node _T_9452 = and(_T_9449, _T_9451) @[ifu_bp_ctl.scala 435:23] + node _T_9453 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9454 = eq(_T_9453, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:154] + node _T_9455 = and(_T_9452, _T_9454) @[ifu_bp_ctl.scala 435:81] + node _T_9456 = or(_T_9455, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9457 = bits(_T_9456, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_4_1 = mux(_T_9457, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9458 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9459 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9460 = eq(_T_9459, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:74] + node _T_9461 = and(_T_9458, _T_9460) @[ifu_bp_ctl.scala 435:23] + node _T_9462 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9463 = eq(_T_9462, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:154] + node _T_9464 = and(_T_9461, _T_9463) @[ifu_bp_ctl.scala 435:81] + node _T_9465 = or(_T_9464, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9466 = bits(_T_9465, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_4_2 = mux(_T_9466, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9467 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9468 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9469 = eq(_T_9468, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:74] + node _T_9470 = and(_T_9467, _T_9469) @[ifu_bp_ctl.scala 435:23] + node _T_9471 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9472 = eq(_T_9471, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:154] + node _T_9473 = and(_T_9470, _T_9472) @[ifu_bp_ctl.scala 435:81] + node _T_9474 = or(_T_9473, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9475 = bits(_T_9474, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_4_3 = mux(_T_9475, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9476 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9477 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9478 = eq(_T_9477, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:74] + node _T_9479 = and(_T_9476, _T_9478) @[ifu_bp_ctl.scala 435:23] + node _T_9480 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9481 = eq(_T_9480, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:154] + node _T_9482 = and(_T_9479, _T_9481) @[ifu_bp_ctl.scala 435:81] + node _T_9483 = or(_T_9482, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9484 = bits(_T_9483, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_4_4 = mux(_T_9484, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9485 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9486 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9487 = eq(_T_9486, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:74] + node _T_9488 = and(_T_9485, _T_9487) @[ifu_bp_ctl.scala 435:23] + node _T_9489 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9490 = eq(_T_9489, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:154] + node _T_9491 = and(_T_9488, _T_9490) @[ifu_bp_ctl.scala 435:81] + node _T_9492 = or(_T_9491, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9493 = bits(_T_9492, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_4_5 = mux(_T_9493, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9494 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9495 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9496 = eq(_T_9495, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:74] + node _T_9497 = and(_T_9494, _T_9496) @[ifu_bp_ctl.scala 435:23] + node _T_9498 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9499 = eq(_T_9498, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:154] + node _T_9500 = and(_T_9497, _T_9499) @[ifu_bp_ctl.scala 435:81] + node _T_9501 = or(_T_9500, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9502 = bits(_T_9501, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_4_6 = mux(_T_9502, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9503 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9504 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9505 = eq(_T_9504, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:74] + node _T_9506 = and(_T_9503, _T_9505) @[ifu_bp_ctl.scala 435:23] + node _T_9507 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9508 = eq(_T_9507, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:154] + node _T_9509 = and(_T_9506, _T_9508) @[ifu_bp_ctl.scala 435:81] + node _T_9510 = or(_T_9509, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9511 = bits(_T_9510, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_4_7 = mux(_T_9511, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9512 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9513 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9514 = eq(_T_9513, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:74] + node _T_9515 = and(_T_9512, _T_9514) @[ifu_bp_ctl.scala 435:23] + node _T_9516 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9517 = eq(_T_9516, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:154] + node _T_9518 = and(_T_9515, _T_9517) @[ifu_bp_ctl.scala 435:81] + node _T_9519 = or(_T_9518, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9520 = bits(_T_9519, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_4_8 = mux(_T_9520, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9521 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9522 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9523 = eq(_T_9522, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:74] + node _T_9524 = and(_T_9521, _T_9523) @[ifu_bp_ctl.scala 435:23] + node _T_9525 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9526 = eq(_T_9525, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:154] + node _T_9527 = and(_T_9524, _T_9526) @[ifu_bp_ctl.scala 435:81] + node _T_9528 = or(_T_9527, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9529 = bits(_T_9528, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_4_9 = mux(_T_9529, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9530 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9531 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9532 = eq(_T_9531, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:74] + node _T_9533 = and(_T_9530, _T_9532) @[ifu_bp_ctl.scala 435:23] + node _T_9534 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9535 = eq(_T_9534, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:154] + node _T_9536 = and(_T_9533, _T_9535) @[ifu_bp_ctl.scala 435:81] + node _T_9537 = or(_T_9536, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9538 = bits(_T_9537, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_4_10 = mux(_T_9538, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9539 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9540 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9541 = eq(_T_9540, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:74] + node _T_9542 = and(_T_9539, _T_9541) @[ifu_bp_ctl.scala 435:23] + node _T_9543 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9544 = eq(_T_9543, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:154] + node _T_9545 = and(_T_9542, _T_9544) @[ifu_bp_ctl.scala 435:81] + node _T_9546 = or(_T_9545, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9547 = bits(_T_9546, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_4_11 = mux(_T_9547, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9548 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9549 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9550 = eq(_T_9549, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:74] + node _T_9551 = and(_T_9548, _T_9550) @[ifu_bp_ctl.scala 435:23] + node _T_9552 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9553 = eq(_T_9552, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:154] + node _T_9554 = and(_T_9551, _T_9553) @[ifu_bp_ctl.scala 435:81] + node _T_9555 = or(_T_9554, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9556 = bits(_T_9555, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_4_12 = mux(_T_9556, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9557 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9558 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9559 = eq(_T_9558, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:74] + node _T_9560 = and(_T_9557, _T_9559) @[ifu_bp_ctl.scala 435:23] + node _T_9561 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9562 = eq(_T_9561, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:154] + node _T_9563 = and(_T_9560, _T_9562) @[ifu_bp_ctl.scala 435:81] + node _T_9564 = or(_T_9563, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9565 = bits(_T_9564, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_4_13 = mux(_T_9565, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9566 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9567 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9568 = eq(_T_9567, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:74] + node _T_9569 = and(_T_9566, _T_9568) @[ifu_bp_ctl.scala 435:23] + node _T_9570 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9571 = eq(_T_9570, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:154] + node _T_9572 = and(_T_9569, _T_9571) @[ifu_bp_ctl.scala 435:81] + node _T_9573 = or(_T_9572, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9574 = bits(_T_9573, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_4_14 = mux(_T_9574, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9575 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9576 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9577 = eq(_T_9576, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:74] + node _T_9578 = and(_T_9575, _T_9577) @[ifu_bp_ctl.scala 435:23] + node _T_9579 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9580 = eq(_T_9579, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:154] + node _T_9581 = and(_T_9578, _T_9580) @[ifu_bp_ctl.scala 435:81] + node _T_9582 = or(_T_9581, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9583 = bits(_T_9582, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_4_15 = mux(_T_9583, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9584 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9585 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9586 = eq(_T_9585, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:74] + node _T_9587 = and(_T_9584, _T_9586) @[ifu_bp_ctl.scala 435:23] + node _T_9588 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9589 = eq(_T_9588, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:154] + node _T_9590 = and(_T_9587, _T_9589) @[ifu_bp_ctl.scala 435:81] + node _T_9591 = or(_T_9590, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9592 = bits(_T_9591, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_5_0 = mux(_T_9592, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9593 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9594 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9595 = eq(_T_9594, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:74] + node _T_9596 = and(_T_9593, _T_9595) @[ifu_bp_ctl.scala 435:23] + node _T_9597 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9598 = eq(_T_9597, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:154] + node _T_9599 = and(_T_9596, _T_9598) @[ifu_bp_ctl.scala 435:81] + node _T_9600 = or(_T_9599, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9601 = bits(_T_9600, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_5_1 = mux(_T_9601, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9602 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9603 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9604 = eq(_T_9603, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:74] + node _T_9605 = and(_T_9602, _T_9604) @[ifu_bp_ctl.scala 435:23] + node _T_9606 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9607 = eq(_T_9606, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:154] + node _T_9608 = and(_T_9605, _T_9607) @[ifu_bp_ctl.scala 435:81] + node _T_9609 = or(_T_9608, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9610 = bits(_T_9609, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_5_2 = mux(_T_9610, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9611 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9612 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9613 = eq(_T_9612, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:74] + node _T_9614 = and(_T_9611, _T_9613) @[ifu_bp_ctl.scala 435:23] + node _T_9615 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9616 = eq(_T_9615, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:154] + node _T_9617 = and(_T_9614, _T_9616) @[ifu_bp_ctl.scala 435:81] + node _T_9618 = or(_T_9617, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9619 = bits(_T_9618, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_5_3 = mux(_T_9619, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9620 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9621 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9622 = eq(_T_9621, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:74] + node _T_9623 = and(_T_9620, _T_9622) @[ifu_bp_ctl.scala 435:23] + node _T_9624 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9625 = eq(_T_9624, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:154] + node _T_9626 = and(_T_9623, _T_9625) @[ifu_bp_ctl.scala 435:81] + node _T_9627 = or(_T_9626, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9628 = bits(_T_9627, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_5_4 = mux(_T_9628, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9629 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9630 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9631 = eq(_T_9630, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:74] + node _T_9632 = and(_T_9629, _T_9631) @[ifu_bp_ctl.scala 435:23] + node _T_9633 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9634 = eq(_T_9633, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:154] + node _T_9635 = and(_T_9632, _T_9634) @[ifu_bp_ctl.scala 435:81] + node _T_9636 = or(_T_9635, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9637 = bits(_T_9636, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_5_5 = mux(_T_9637, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9638 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9639 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9640 = eq(_T_9639, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:74] + node _T_9641 = and(_T_9638, _T_9640) @[ifu_bp_ctl.scala 435:23] + node _T_9642 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9643 = eq(_T_9642, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:154] + node _T_9644 = and(_T_9641, _T_9643) @[ifu_bp_ctl.scala 435:81] + node _T_9645 = or(_T_9644, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9646 = bits(_T_9645, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_5_6 = mux(_T_9646, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9647 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9648 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9649 = eq(_T_9648, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:74] + node _T_9650 = and(_T_9647, _T_9649) @[ifu_bp_ctl.scala 435:23] + node _T_9651 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9652 = eq(_T_9651, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:154] + node _T_9653 = and(_T_9650, _T_9652) @[ifu_bp_ctl.scala 435:81] + node _T_9654 = or(_T_9653, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9655 = bits(_T_9654, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_5_7 = mux(_T_9655, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9656 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9657 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9658 = eq(_T_9657, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:74] + node _T_9659 = and(_T_9656, _T_9658) @[ifu_bp_ctl.scala 435:23] + node _T_9660 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9661 = eq(_T_9660, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:154] + node _T_9662 = and(_T_9659, _T_9661) @[ifu_bp_ctl.scala 435:81] + node _T_9663 = or(_T_9662, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9664 = bits(_T_9663, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_5_8 = mux(_T_9664, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9665 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9666 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9667 = eq(_T_9666, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:74] + node _T_9668 = and(_T_9665, _T_9667) @[ifu_bp_ctl.scala 435:23] + node _T_9669 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9670 = eq(_T_9669, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:154] + node _T_9671 = and(_T_9668, _T_9670) @[ifu_bp_ctl.scala 435:81] + node _T_9672 = or(_T_9671, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9673 = bits(_T_9672, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_5_9 = mux(_T_9673, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9674 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9675 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9676 = eq(_T_9675, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:74] + node _T_9677 = and(_T_9674, _T_9676) @[ifu_bp_ctl.scala 435:23] + node _T_9678 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9679 = eq(_T_9678, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:154] + node _T_9680 = and(_T_9677, _T_9679) @[ifu_bp_ctl.scala 435:81] + node _T_9681 = or(_T_9680, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9682 = bits(_T_9681, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_5_10 = mux(_T_9682, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9683 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9684 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9685 = eq(_T_9684, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:74] + node _T_9686 = and(_T_9683, _T_9685) @[ifu_bp_ctl.scala 435:23] + node _T_9687 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9688 = eq(_T_9687, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:154] + node _T_9689 = and(_T_9686, _T_9688) @[ifu_bp_ctl.scala 435:81] + node _T_9690 = or(_T_9689, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9691 = bits(_T_9690, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_5_11 = mux(_T_9691, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9692 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9693 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9694 = eq(_T_9693, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:74] + node _T_9695 = and(_T_9692, _T_9694) @[ifu_bp_ctl.scala 435:23] + node _T_9696 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9697 = eq(_T_9696, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:154] + node _T_9698 = and(_T_9695, _T_9697) @[ifu_bp_ctl.scala 435:81] + node _T_9699 = or(_T_9698, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9700 = bits(_T_9699, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_5_12 = mux(_T_9700, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9701 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9702 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9703 = eq(_T_9702, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:74] + node _T_9704 = and(_T_9701, _T_9703) @[ifu_bp_ctl.scala 435:23] + node _T_9705 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9706 = eq(_T_9705, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:154] + node _T_9707 = and(_T_9704, _T_9706) @[ifu_bp_ctl.scala 435:81] + node _T_9708 = or(_T_9707, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9709 = bits(_T_9708, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_5_13 = mux(_T_9709, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9710 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9711 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9712 = eq(_T_9711, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:74] + node _T_9713 = and(_T_9710, _T_9712) @[ifu_bp_ctl.scala 435:23] + node _T_9714 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9715 = eq(_T_9714, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:154] + node _T_9716 = and(_T_9713, _T_9715) @[ifu_bp_ctl.scala 435:81] + node _T_9717 = or(_T_9716, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9718 = bits(_T_9717, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_5_14 = mux(_T_9718, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9719 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9720 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9721 = eq(_T_9720, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:74] + node _T_9722 = and(_T_9719, _T_9721) @[ifu_bp_ctl.scala 435:23] + node _T_9723 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9724 = eq(_T_9723, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:154] + node _T_9725 = and(_T_9722, _T_9724) @[ifu_bp_ctl.scala 435:81] + node _T_9726 = or(_T_9725, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9727 = bits(_T_9726, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_5_15 = mux(_T_9727, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9728 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9729 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9730 = eq(_T_9729, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:74] + node _T_9731 = and(_T_9728, _T_9730) @[ifu_bp_ctl.scala 435:23] + node _T_9732 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9733 = eq(_T_9732, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:154] + node _T_9734 = and(_T_9731, _T_9733) @[ifu_bp_ctl.scala 435:81] + node _T_9735 = or(_T_9734, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9736 = bits(_T_9735, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_6_0 = mux(_T_9736, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9737 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9738 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9739 = eq(_T_9738, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:74] + node _T_9740 = and(_T_9737, _T_9739) @[ifu_bp_ctl.scala 435:23] + node _T_9741 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9742 = eq(_T_9741, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:154] + node _T_9743 = and(_T_9740, _T_9742) @[ifu_bp_ctl.scala 435:81] + node _T_9744 = or(_T_9743, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9745 = bits(_T_9744, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_6_1 = mux(_T_9745, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9746 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9747 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9748 = eq(_T_9747, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:74] + node _T_9749 = and(_T_9746, _T_9748) @[ifu_bp_ctl.scala 435:23] + node _T_9750 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9751 = eq(_T_9750, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:154] + node _T_9752 = and(_T_9749, _T_9751) @[ifu_bp_ctl.scala 435:81] + node _T_9753 = or(_T_9752, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9754 = bits(_T_9753, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_6_2 = mux(_T_9754, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9755 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9756 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9757 = eq(_T_9756, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:74] + node _T_9758 = and(_T_9755, _T_9757) @[ifu_bp_ctl.scala 435:23] + node _T_9759 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9760 = eq(_T_9759, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:154] + node _T_9761 = and(_T_9758, _T_9760) @[ifu_bp_ctl.scala 435:81] + node _T_9762 = or(_T_9761, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9763 = bits(_T_9762, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_6_3 = mux(_T_9763, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9764 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9765 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9766 = eq(_T_9765, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:74] + node _T_9767 = and(_T_9764, _T_9766) @[ifu_bp_ctl.scala 435:23] + node _T_9768 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9769 = eq(_T_9768, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:154] + node _T_9770 = and(_T_9767, _T_9769) @[ifu_bp_ctl.scala 435:81] + node _T_9771 = or(_T_9770, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9772 = bits(_T_9771, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_6_4 = mux(_T_9772, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9773 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9774 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9775 = eq(_T_9774, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:74] + node _T_9776 = and(_T_9773, _T_9775) @[ifu_bp_ctl.scala 435:23] + node _T_9777 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9778 = eq(_T_9777, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:154] + node _T_9779 = and(_T_9776, _T_9778) @[ifu_bp_ctl.scala 435:81] + node _T_9780 = or(_T_9779, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9781 = bits(_T_9780, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_6_5 = mux(_T_9781, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9782 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9783 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9784 = eq(_T_9783, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:74] + node _T_9785 = and(_T_9782, _T_9784) @[ifu_bp_ctl.scala 435:23] + node _T_9786 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9787 = eq(_T_9786, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:154] + node _T_9788 = and(_T_9785, _T_9787) @[ifu_bp_ctl.scala 435:81] + node _T_9789 = or(_T_9788, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9790 = bits(_T_9789, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_6_6 = mux(_T_9790, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9791 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9792 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9793 = eq(_T_9792, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:74] + node _T_9794 = and(_T_9791, _T_9793) @[ifu_bp_ctl.scala 435:23] + node _T_9795 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9796 = eq(_T_9795, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:154] + node _T_9797 = and(_T_9794, _T_9796) @[ifu_bp_ctl.scala 435:81] + node _T_9798 = or(_T_9797, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9799 = bits(_T_9798, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_6_7 = mux(_T_9799, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9800 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9801 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9802 = eq(_T_9801, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:74] + node _T_9803 = and(_T_9800, _T_9802) @[ifu_bp_ctl.scala 435:23] + node _T_9804 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9805 = eq(_T_9804, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:154] + node _T_9806 = and(_T_9803, _T_9805) @[ifu_bp_ctl.scala 435:81] + node _T_9807 = or(_T_9806, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9808 = bits(_T_9807, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_6_8 = mux(_T_9808, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9809 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9810 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9811 = eq(_T_9810, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:74] + node _T_9812 = and(_T_9809, _T_9811) @[ifu_bp_ctl.scala 435:23] + node _T_9813 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9814 = eq(_T_9813, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:154] + node _T_9815 = and(_T_9812, _T_9814) @[ifu_bp_ctl.scala 435:81] + node _T_9816 = or(_T_9815, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9817 = bits(_T_9816, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_6_9 = mux(_T_9817, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9818 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9819 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9820 = eq(_T_9819, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:74] + node _T_9821 = and(_T_9818, _T_9820) @[ifu_bp_ctl.scala 435:23] + node _T_9822 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9823 = eq(_T_9822, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:154] + node _T_9824 = and(_T_9821, _T_9823) @[ifu_bp_ctl.scala 435:81] + node _T_9825 = or(_T_9824, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9826 = bits(_T_9825, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_6_10 = mux(_T_9826, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9827 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9828 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9829 = eq(_T_9828, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:74] + node _T_9830 = and(_T_9827, _T_9829) @[ifu_bp_ctl.scala 435:23] + node _T_9831 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9832 = eq(_T_9831, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:154] + node _T_9833 = and(_T_9830, _T_9832) @[ifu_bp_ctl.scala 435:81] + node _T_9834 = or(_T_9833, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9835 = bits(_T_9834, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_6_11 = mux(_T_9835, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9836 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9837 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9838 = eq(_T_9837, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:74] + node _T_9839 = and(_T_9836, _T_9838) @[ifu_bp_ctl.scala 435:23] + node _T_9840 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9841 = eq(_T_9840, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:154] + node _T_9842 = and(_T_9839, _T_9841) @[ifu_bp_ctl.scala 435:81] + node _T_9843 = or(_T_9842, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9844 = bits(_T_9843, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_6_12 = mux(_T_9844, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9845 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9846 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9847 = eq(_T_9846, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:74] + node _T_9848 = and(_T_9845, _T_9847) @[ifu_bp_ctl.scala 435:23] + node _T_9849 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9850 = eq(_T_9849, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:154] + node _T_9851 = and(_T_9848, _T_9850) @[ifu_bp_ctl.scala 435:81] + node _T_9852 = or(_T_9851, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9853 = bits(_T_9852, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_6_13 = mux(_T_9853, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9854 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9855 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9856 = eq(_T_9855, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:74] + node _T_9857 = and(_T_9854, _T_9856) @[ifu_bp_ctl.scala 435:23] + node _T_9858 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9859 = eq(_T_9858, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:154] + node _T_9860 = and(_T_9857, _T_9859) @[ifu_bp_ctl.scala 435:81] + node _T_9861 = or(_T_9860, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9862 = bits(_T_9861, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_6_14 = mux(_T_9862, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9863 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9864 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9865 = eq(_T_9864, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:74] + node _T_9866 = and(_T_9863, _T_9865) @[ifu_bp_ctl.scala 435:23] + node _T_9867 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9868 = eq(_T_9867, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:154] + node _T_9869 = and(_T_9866, _T_9868) @[ifu_bp_ctl.scala 435:81] + node _T_9870 = or(_T_9869, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9871 = bits(_T_9870, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_6_15 = mux(_T_9871, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9872 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9873 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9874 = eq(_T_9873, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:74] + node _T_9875 = and(_T_9872, _T_9874) @[ifu_bp_ctl.scala 435:23] + node _T_9876 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9877 = eq(_T_9876, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:154] + node _T_9878 = and(_T_9875, _T_9877) @[ifu_bp_ctl.scala 435:81] + node _T_9879 = or(_T_9878, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9880 = bits(_T_9879, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_7_0 = mux(_T_9880, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9881 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9882 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9883 = eq(_T_9882, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:74] + node _T_9884 = and(_T_9881, _T_9883) @[ifu_bp_ctl.scala 435:23] + node _T_9885 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9886 = eq(_T_9885, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:154] + node _T_9887 = and(_T_9884, _T_9886) @[ifu_bp_ctl.scala 435:81] + node _T_9888 = or(_T_9887, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9889 = bits(_T_9888, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_7_1 = mux(_T_9889, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9890 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9891 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9892 = eq(_T_9891, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:74] + node _T_9893 = and(_T_9890, _T_9892) @[ifu_bp_ctl.scala 435:23] + node _T_9894 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9895 = eq(_T_9894, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:154] + node _T_9896 = and(_T_9893, _T_9895) @[ifu_bp_ctl.scala 435:81] + node _T_9897 = or(_T_9896, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9898 = bits(_T_9897, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_7_2 = mux(_T_9898, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9899 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9900 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9901 = eq(_T_9900, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:74] + node _T_9902 = and(_T_9899, _T_9901) @[ifu_bp_ctl.scala 435:23] + node _T_9903 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9904 = eq(_T_9903, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:154] + node _T_9905 = and(_T_9902, _T_9904) @[ifu_bp_ctl.scala 435:81] + node _T_9906 = or(_T_9905, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9907 = bits(_T_9906, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_7_3 = mux(_T_9907, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9908 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9909 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9910 = eq(_T_9909, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:74] + node _T_9911 = and(_T_9908, _T_9910) @[ifu_bp_ctl.scala 435:23] + node _T_9912 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9913 = eq(_T_9912, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:154] + node _T_9914 = and(_T_9911, _T_9913) @[ifu_bp_ctl.scala 435:81] + node _T_9915 = or(_T_9914, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9916 = bits(_T_9915, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_7_4 = mux(_T_9916, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9917 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9918 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9919 = eq(_T_9918, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:74] + node _T_9920 = and(_T_9917, _T_9919) @[ifu_bp_ctl.scala 435:23] + node _T_9921 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9922 = eq(_T_9921, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:154] + node _T_9923 = and(_T_9920, _T_9922) @[ifu_bp_ctl.scala 435:81] + node _T_9924 = or(_T_9923, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9925 = bits(_T_9924, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_7_5 = mux(_T_9925, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9926 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9927 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9928 = eq(_T_9927, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:74] + node _T_9929 = and(_T_9926, _T_9928) @[ifu_bp_ctl.scala 435:23] + node _T_9930 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9931 = eq(_T_9930, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:154] + node _T_9932 = and(_T_9929, _T_9931) @[ifu_bp_ctl.scala 435:81] + node _T_9933 = or(_T_9932, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9934 = bits(_T_9933, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_7_6 = mux(_T_9934, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9935 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9936 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9937 = eq(_T_9936, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:74] + node _T_9938 = and(_T_9935, _T_9937) @[ifu_bp_ctl.scala 435:23] + node _T_9939 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9940 = eq(_T_9939, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:154] + node _T_9941 = and(_T_9938, _T_9940) @[ifu_bp_ctl.scala 435:81] + node _T_9942 = or(_T_9941, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9943 = bits(_T_9942, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_7_7 = mux(_T_9943, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9944 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9945 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9946 = eq(_T_9945, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:74] + node _T_9947 = and(_T_9944, _T_9946) @[ifu_bp_ctl.scala 435:23] + node _T_9948 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9949 = eq(_T_9948, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:154] + node _T_9950 = and(_T_9947, _T_9949) @[ifu_bp_ctl.scala 435:81] + node _T_9951 = or(_T_9950, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9952 = bits(_T_9951, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_7_8 = mux(_T_9952, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9953 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9954 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9955 = eq(_T_9954, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:74] + node _T_9956 = and(_T_9953, _T_9955) @[ifu_bp_ctl.scala 435:23] + node _T_9957 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9958 = eq(_T_9957, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:154] + node _T_9959 = and(_T_9956, _T_9958) @[ifu_bp_ctl.scala 435:81] + node _T_9960 = or(_T_9959, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9961 = bits(_T_9960, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_7_9 = mux(_T_9961, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9962 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9963 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9964 = eq(_T_9963, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:74] + node _T_9965 = and(_T_9962, _T_9964) @[ifu_bp_ctl.scala 435:23] + node _T_9966 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9967 = eq(_T_9966, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:154] + node _T_9968 = and(_T_9965, _T_9967) @[ifu_bp_ctl.scala 435:81] + node _T_9969 = or(_T_9968, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9970 = bits(_T_9969, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_7_10 = mux(_T_9970, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9971 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9972 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9973 = eq(_T_9972, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:74] + node _T_9974 = and(_T_9971, _T_9973) @[ifu_bp_ctl.scala 435:23] + node _T_9975 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9976 = eq(_T_9975, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:154] + node _T_9977 = and(_T_9974, _T_9976) @[ifu_bp_ctl.scala 435:81] + node _T_9978 = or(_T_9977, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9979 = bits(_T_9978, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_7_11 = mux(_T_9979, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9980 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9981 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9982 = eq(_T_9981, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:74] + node _T_9983 = and(_T_9980, _T_9982) @[ifu_bp_ctl.scala 435:23] + node _T_9984 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9985 = eq(_T_9984, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:154] + node _T_9986 = and(_T_9983, _T_9985) @[ifu_bp_ctl.scala 435:81] + node _T_9987 = or(_T_9986, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9988 = bits(_T_9987, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_7_12 = mux(_T_9988, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9989 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9990 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_9991 = eq(_T_9990, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:74] + node _T_9992 = and(_T_9989, _T_9991) @[ifu_bp_ctl.scala 435:23] + node _T_9993 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_9994 = eq(_T_9993, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:154] + node _T_9995 = and(_T_9992, _T_9994) @[ifu_bp_ctl.scala 435:81] + node _T_9996 = or(_T_9995, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_9997 = bits(_T_9996, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_7_13 = mux(_T_9997, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_9998 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_9999 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10000 = eq(_T_9999, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:74] + node _T_10001 = and(_T_9998, _T_10000) @[ifu_bp_ctl.scala 435:23] + node _T_10002 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10003 = eq(_T_10002, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:154] + node _T_10004 = and(_T_10001, _T_10003) @[ifu_bp_ctl.scala 435:81] + node _T_10005 = or(_T_10004, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10006 = bits(_T_10005, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_7_14 = mux(_T_10006, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10007 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10008 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10009 = eq(_T_10008, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:74] + node _T_10010 = and(_T_10007, _T_10009) @[ifu_bp_ctl.scala 435:23] + node _T_10011 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10012 = eq(_T_10011, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:154] + node _T_10013 = and(_T_10010, _T_10012) @[ifu_bp_ctl.scala 435:81] + node _T_10014 = or(_T_10013, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10015 = bits(_T_10014, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_7_15 = mux(_T_10015, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10016 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10017 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10018 = eq(_T_10017, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:74] + node _T_10019 = and(_T_10016, _T_10018) @[ifu_bp_ctl.scala 435:23] + node _T_10020 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10021 = eq(_T_10020, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:154] + node _T_10022 = and(_T_10019, _T_10021) @[ifu_bp_ctl.scala 435:81] + node _T_10023 = or(_T_10022, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10024 = bits(_T_10023, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_8_0 = mux(_T_10024, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10025 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10026 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10027 = eq(_T_10026, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:74] + node _T_10028 = and(_T_10025, _T_10027) @[ifu_bp_ctl.scala 435:23] + node _T_10029 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10030 = eq(_T_10029, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:154] + node _T_10031 = and(_T_10028, _T_10030) @[ifu_bp_ctl.scala 435:81] + node _T_10032 = or(_T_10031, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10033 = bits(_T_10032, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_8_1 = mux(_T_10033, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10034 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10035 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10036 = eq(_T_10035, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:74] + node _T_10037 = and(_T_10034, _T_10036) @[ifu_bp_ctl.scala 435:23] + node _T_10038 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10039 = eq(_T_10038, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:154] + node _T_10040 = and(_T_10037, _T_10039) @[ifu_bp_ctl.scala 435:81] + node _T_10041 = or(_T_10040, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10042 = bits(_T_10041, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_8_2 = mux(_T_10042, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10043 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10044 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10045 = eq(_T_10044, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:74] + node _T_10046 = and(_T_10043, _T_10045) @[ifu_bp_ctl.scala 435:23] + node _T_10047 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10048 = eq(_T_10047, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:154] + node _T_10049 = and(_T_10046, _T_10048) @[ifu_bp_ctl.scala 435:81] + node _T_10050 = or(_T_10049, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10051 = bits(_T_10050, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_8_3 = mux(_T_10051, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10052 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10053 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10054 = eq(_T_10053, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:74] + node _T_10055 = and(_T_10052, _T_10054) @[ifu_bp_ctl.scala 435:23] + node _T_10056 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10057 = eq(_T_10056, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:154] + node _T_10058 = and(_T_10055, _T_10057) @[ifu_bp_ctl.scala 435:81] + node _T_10059 = or(_T_10058, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10060 = bits(_T_10059, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_8_4 = mux(_T_10060, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10061 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10062 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10063 = eq(_T_10062, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:74] + node _T_10064 = and(_T_10061, _T_10063) @[ifu_bp_ctl.scala 435:23] + node _T_10065 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10066 = eq(_T_10065, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:154] + node _T_10067 = and(_T_10064, _T_10066) @[ifu_bp_ctl.scala 435:81] + node _T_10068 = or(_T_10067, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10069 = bits(_T_10068, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_8_5 = mux(_T_10069, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10070 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10071 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10072 = eq(_T_10071, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:74] + node _T_10073 = and(_T_10070, _T_10072) @[ifu_bp_ctl.scala 435:23] + node _T_10074 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10075 = eq(_T_10074, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:154] + node _T_10076 = and(_T_10073, _T_10075) @[ifu_bp_ctl.scala 435:81] + node _T_10077 = or(_T_10076, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10078 = bits(_T_10077, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_8_6 = mux(_T_10078, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10079 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10080 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10081 = eq(_T_10080, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:74] + node _T_10082 = and(_T_10079, _T_10081) @[ifu_bp_ctl.scala 435:23] + node _T_10083 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10084 = eq(_T_10083, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:154] + node _T_10085 = and(_T_10082, _T_10084) @[ifu_bp_ctl.scala 435:81] + node _T_10086 = or(_T_10085, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10087 = bits(_T_10086, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_8_7 = mux(_T_10087, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10088 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10089 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10090 = eq(_T_10089, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:74] + node _T_10091 = and(_T_10088, _T_10090) @[ifu_bp_ctl.scala 435:23] + node _T_10092 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10093 = eq(_T_10092, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:154] + node _T_10094 = and(_T_10091, _T_10093) @[ifu_bp_ctl.scala 435:81] + node _T_10095 = or(_T_10094, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10096 = bits(_T_10095, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_8_8 = mux(_T_10096, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10097 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10098 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10099 = eq(_T_10098, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:74] + node _T_10100 = and(_T_10097, _T_10099) @[ifu_bp_ctl.scala 435:23] + node _T_10101 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10102 = eq(_T_10101, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:154] + node _T_10103 = and(_T_10100, _T_10102) @[ifu_bp_ctl.scala 435:81] + node _T_10104 = or(_T_10103, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10105 = bits(_T_10104, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_8_9 = mux(_T_10105, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10106 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10107 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10108 = eq(_T_10107, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:74] + node _T_10109 = and(_T_10106, _T_10108) @[ifu_bp_ctl.scala 435:23] + node _T_10110 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10111 = eq(_T_10110, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:154] + node _T_10112 = and(_T_10109, _T_10111) @[ifu_bp_ctl.scala 435:81] + node _T_10113 = or(_T_10112, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10114 = bits(_T_10113, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_8_10 = mux(_T_10114, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10115 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10116 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10117 = eq(_T_10116, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:74] + node _T_10118 = and(_T_10115, _T_10117) @[ifu_bp_ctl.scala 435:23] + node _T_10119 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10120 = eq(_T_10119, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:154] + node _T_10121 = and(_T_10118, _T_10120) @[ifu_bp_ctl.scala 435:81] + node _T_10122 = or(_T_10121, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10123 = bits(_T_10122, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_8_11 = mux(_T_10123, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10124 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10125 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10126 = eq(_T_10125, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:74] + node _T_10127 = and(_T_10124, _T_10126) @[ifu_bp_ctl.scala 435:23] + node _T_10128 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10129 = eq(_T_10128, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:154] + node _T_10130 = and(_T_10127, _T_10129) @[ifu_bp_ctl.scala 435:81] + node _T_10131 = or(_T_10130, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10132 = bits(_T_10131, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_8_12 = mux(_T_10132, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10133 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10134 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10135 = eq(_T_10134, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:74] + node _T_10136 = and(_T_10133, _T_10135) @[ifu_bp_ctl.scala 435:23] + node _T_10137 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10138 = eq(_T_10137, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:154] + node _T_10139 = and(_T_10136, _T_10138) @[ifu_bp_ctl.scala 435:81] + node _T_10140 = or(_T_10139, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10141 = bits(_T_10140, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_8_13 = mux(_T_10141, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10142 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10143 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10144 = eq(_T_10143, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:74] + node _T_10145 = and(_T_10142, _T_10144) @[ifu_bp_ctl.scala 435:23] + node _T_10146 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10147 = eq(_T_10146, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:154] + node _T_10148 = and(_T_10145, _T_10147) @[ifu_bp_ctl.scala 435:81] + node _T_10149 = or(_T_10148, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10150 = bits(_T_10149, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_8_14 = mux(_T_10150, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10151 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10152 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10153 = eq(_T_10152, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:74] + node _T_10154 = and(_T_10151, _T_10153) @[ifu_bp_ctl.scala 435:23] + node _T_10155 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10156 = eq(_T_10155, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:154] + node _T_10157 = and(_T_10154, _T_10156) @[ifu_bp_ctl.scala 435:81] + node _T_10158 = or(_T_10157, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10159 = bits(_T_10158, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_8_15 = mux(_T_10159, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10160 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10161 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10162 = eq(_T_10161, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:74] + node _T_10163 = and(_T_10160, _T_10162) @[ifu_bp_ctl.scala 435:23] + node _T_10164 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10165 = eq(_T_10164, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:154] + node _T_10166 = and(_T_10163, _T_10165) @[ifu_bp_ctl.scala 435:81] + node _T_10167 = or(_T_10166, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10168 = bits(_T_10167, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_9_0 = mux(_T_10168, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10169 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10170 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10171 = eq(_T_10170, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:74] + node _T_10172 = and(_T_10169, _T_10171) @[ifu_bp_ctl.scala 435:23] + node _T_10173 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10174 = eq(_T_10173, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:154] + node _T_10175 = and(_T_10172, _T_10174) @[ifu_bp_ctl.scala 435:81] + node _T_10176 = or(_T_10175, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10177 = bits(_T_10176, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_9_1 = mux(_T_10177, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10178 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10179 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10180 = eq(_T_10179, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:74] + node _T_10181 = and(_T_10178, _T_10180) @[ifu_bp_ctl.scala 435:23] + node _T_10182 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10183 = eq(_T_10182, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:154] + node _T_10184 = and(_T_10181, _T_10183) @[ifu_bp_ctl.scala 435:81] + node _T_10185 = or(_T_10184, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10186 = bits(_T_10185, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_9_2 = mux(_T_10186, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10187 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10188 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10189 = eq(_T_10188, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:74] + node _T_10190 = and(_T_10187, _T_10189) @[ifu_bp_ctl.scala 435:23] + node _T_10191 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10192 = eq(_T_10191, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:154] + node _T_10193 = and(_T_10190, _T_10192) @[ifu_bp_ctl.scala 435:81] + node _T_10194 = or(_T_10193, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10195 = bits(_T_10194, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_9_3 = mux(_T_10195, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10196 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10197 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10198 = eq(_T_10197, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:74] + node _T_10199 = and(_T_10196, _T_10198) @[ifu_bp_ctl.scala 435:23] + node _T_10200 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10201 = eq(_T_10200, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:154] + node _T_10202 = and(_T_10199, _T_10201) @[ifu_bp_ctl.scala 435:81] + node _T_10203 = or(_T_10202, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10204 = bits(_T_10203, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_9_4 = mux(_T_10204, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10205 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10206 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10207 = eq(_T_10206, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:74] + node _T_10208 = and(_T_10205, _T_10207) @[ifu_bp_ctl.scala 435:23] + node _T_10209 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10210 = eq(_T_10209, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:154] + node _T_10211 = and(_T_10208, _T_10210) @[ifu_bp_ctl.scala 435:81] + node _T_10212 = or(_T_10211, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10213 = bits(_T_10212, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_9_5 = mux(_T_10213, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10214 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10215 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10216 = eq(_T_10215, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:74] + node _T_10217 = and(_T_10214, _T_10216) @[ifu_bp_ctl.scala 435:23] + node _T_10218 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10219 = eq(_T_10218, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:154] + node _T_10220 = and(_T_10217, _T_10219) @[ifu_bp_ctl.scala 435:81] + node _T_10221 = or(_T_10220, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10222 = bits(_T_10221, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_9_6 = mux(_T_10222, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10223 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10224 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10225 = eq(_T_10224, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:74] + node _T_10226 = and(_T_10223, _T_10225) @[ifu_bp_ctl.scala 435:23] + node _T_10227 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10228 = eq(_T_10227, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:154] + node _T_10229 = and(_T_10226, _T_10228) @[ifu_bp_ctl.scala 435:81] + node _T_10230 = or(_T_10229, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10231 = bits(_T_10230, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_9_7 = mux(_T_10231, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10232 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10233 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10234 = eq(_T_10233, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:74] + node _T_10235 = and(_T_10232, _T_10234) @[ifu_bp_ctl.scala 435:23] + node _T_10236 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10237 = eq(_T_10236, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:154] + node _T_10238 = and(_T_10235, _T_10237) @[ifu_bp_ctl.scala 435:81] + node _T_10239 = or(_T_10238, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10240 = bits(_T_10239, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_9_8 = mux(_T_10240, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10241 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10242 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10243 = eq(_T_10242, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:74] + node _T_10244 = and(_T_10241, _T_10243) @[ifu_bp_ctl.scala 435:23] + node _T_10245 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10246 = eq(_T_10245, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:154] + node _T_10247 = and(_T_10244, _T_10246) @[ifu_bp_ctl.scala 435:81] + node _T_10248 = or(_T_10247, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10249 = bits(_T_10248, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_9_9 = mux(_T_10249, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10250 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10251 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10252 = eq(_T_10251, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:74] + node _T_10253 = and(_T_10250, _T_10252) @[ifu_bp_ctl.scala 435:23] + node _T_10254 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10255 = eq(_T_10254, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:154] + node _T_10256 = and(_T_10253, _T_10255) @[ifu_bp_ctl.scala 435:81] + node _T_10257 = or(_T_10256, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10258 = bits(_T_10257, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_9_10 = mux(_T_10258, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10259 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10260 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10261 = eq(_T_10260, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:74] + node _T_10262 = and(_T_10259, _T_10261) @[ifu_bp_ctl.scala 435:23] + node _T_10263 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10264 = eq(_T_10263, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:154] + node _T_10265 = and(_T_10262, _T_10264) @[ifu_bp_ctl.scala 435:81] + node _T_10266 = or(_T_10265, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10267 = bits(_T_10266, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_9_11 = mux(_T_10267, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10268 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10269 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10270 = eq(_T_10269, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:74] + node _T_10271 = and(_T_10268, _T_10270) @[ifu_bp_ctl.scala 435:23] + node _T_10272 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10273 = eq(_T_10272, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:154] + node _T_10274 = and(_T_10271, _T_10273) @[ifu_bp_ctl.scala 435:81] + node _T_10275 = or(_T_10274, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10276 = bits(_T_10275, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_9_12 = mux(_T_10276, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10277 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10278 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10279 = eq(_T_10278, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:74] + node _T_10280 = and(_T_10277, _T_10279) @[ifu_bp_ctl.scala 435:23] + node _T_10281 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10282 = eq(_T_10281, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:154] + node _T_10283 = and(_T_10280, _T_10282) @[ifu_bp_ctl.scala 435:81] + node _T_10284 = or(_T_10283, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10285 = bits(_T_10284, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_9_13 = mux(_T_10285, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10286 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10287 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10288 = eq(_T_10287, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:74] + node _T_10289 = and(_T_10286, _T_10288) @[ifu_bp_ctl.scala 435:23] + node _T_10290 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10291 = eq(_T_10290, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:154] + node _T_10292 = and(_T_10289, _T_10291) @[ifu_bp_ctl.scala 435:81] + node _T_10293 = or(_T_10292, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10294 = bits(_T_10293, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_9_14 = mux(_T_10294, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10295 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10296 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10297 = eq(_T_10296, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:74] + node _T_10298 = and(_T_10295, _T_10297) @[ifu_bp_ctl.scala 435:23] + node _T_10299 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10300 = eq(_T_10299, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:154] + node _T_10301 = and(_T_10298, _T_10300) @[ifu_bp_ctl.scala 435:81] + node _T_10302 = or(_T_10301, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10303 = bits(_T_10302, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_9_15 = mux(_T_10303, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10304 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10305 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10306 = eq(_T_10305, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:74] + node _T_10307 = and(_T_10304, _T_10306) @[ifu_bp_ctl.scala 435:23] + node _T_10308 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10309 = eq(_T_10308, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:154] + node _T_10310 = and(_T_10307, _T_10309) @[ifu_bp_ctl.scala 435:81] + node _T_10311 = or(_T_10310, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10312 = bits(_T_10311, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_10_0 = mux(_T_10312, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10313 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10314 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10315 = eq(_T_10314, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:74] + node _T_10316 = and(_T_10313, _T_10315) @[ifu_bp_ctl.scala 435:23] + node _T_10317 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10318 = eq(_T_10317, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:154] + node _T_10319 = and(_T_10316, _T_10318) @[ifu_bp_ctl.scala 435:81] + node _T_10320 = or(_T_10319, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10321 = bits(_T_10320, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_10_1 = mux(_T_10321, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10322 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10323 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10324 = eq(_T_10323, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:74] + node _T_10325 = and(_T_10322, _T_10324) @[ifu_bp_ctl.scala 435:23] + node _T_10326 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10327 = eq(_T_10326, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:154] + node _T_10328 = and(_T_10325, _T_10327) @[ifu_bp_ctl.scala 435:81] + node _T_10329 = or(_T_10328, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10330 = bits(_T_10329, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_10_2 = mux(_T_10330, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10331 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10332 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10333 = eq(_T_10332, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:74] + node _T_10334 = and(_T_10331, _T_10333) @[ifu_bp_ctl.scala 435:23] + node _T_10335 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10336 = eq(_T_10335, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:154] + node _T_10337 = and(_T_10334, _T_10336) @[ifu_bp_ctl.scala 435:81] + node _T_10338 = or(_T_10337, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10339 = bits(_T_10338, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_10_3 = mux(_T_10339, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10340 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10341 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10342 = eq(_T_10341, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:74] + node _T_10343 = and(_T_10340, _T_10342) @[ifu_bp_ctl.scala 435:23] + node _T_10344 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10345 = eq(_T_10344, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:154] + node _T_10346 = and(_T_10343, _T_10345) @[ifu_bp_ctl.scala 435:81] + node _T_10347 = or(_T_10346, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10348 = bits(_T_10347, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_10_4 = mux(_T_10348, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10349 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10350 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10351 = eq(_T_10350, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:74] + node _T_10352 = and(_T_10349, _T_10351) @[ifu_bp_ctl.scala 435:23] + node _T_10353 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10354 = eq(_T_10353, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:154] + node _T_10355 = and(_T_10352, _T_10354) @[ifu_bp_ctl.scala 435:81] + node _T_10356 = or(_T_10355, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10357 = bits(_T_10356, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_10_5 = mux(_T_10357, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10358 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10359 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10360 = eq(_T_10359, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:74] + node _T_10361 = and(_T_10358, _T_10360) @[ifu_bp_ctl.scala 435:23] + node _T_10362 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10363 = eq(_T_10362, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:154] + node _T_10364 = and(_T_10361, _T_10363) @[ifu_bp_ctl.scala 435:81] + node _T_10365 = or(_T_10364, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10366 = bits(_T_10365, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_10_6 = mux(_T_10366, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10367 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10368 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10369 = eq(_T_10368, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:74] + node _T_10370 = and(_T_10367, _T_10369) @[ifu_bp_ctl.scala 435:23] + node _T_10371 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10372 = eq(_T_10371, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:154] + node _T_10373 = and(_T_10370, _T_10372) @[ifu_bp_ctl.scala 435:81] + node _T_10374 = or(_T_10373, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10375 = bits(_T_10374, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_10_7 = mux(_T_10375, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10376 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10377 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10378 = eq(_T_10377, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:74] + node _T_10379 = and(_T_10376, _T_10378) @[ifu_bp_ctl.scala 435:23] + node _T_10380 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10381 = eq(_T_10380, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:154] + node _T_10382 = and(_T_10379, _T_10381) @[ifu_bp_ctl.scala 435:81] + node _T_10383 = or(_T_10382, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10384 = bits(_T_10383, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_10_8 = mux(_T_10384, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10385 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10386 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10387 = eq(_T_10386, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:74] + node _T_10388 = and(_T_10385, _T_10387) @[ifu_bp_ctl.scala 435:23] + node _T_10389 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10390 = eq(_T_10389, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:154] + node _T_10391 = and(_T_10388, _T_10390) @[ifu_bp_ctl.scala 435:81] + node _T_10392 = or(_T_10391, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10393 = bits(_T_10392, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_10_9 = mux(_T_10393, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10394 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10395 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10396 = eq(_T_10395, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:74] + node _T_10397 = and(_T_10394, _T_10396) @[ifu_bp_ctl.scala 435:23] + node _T_10398 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10399 = eq(_T_10398, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:154] + node _T_10400 = and(_T_10397, _T_10399) @[ifu_bp_ctl.scala 435:81] + node _T_10401 = or(_T_10400, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10402 = bits(_T_10401, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_10_10 = mux(_T_10402, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10403 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10404 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10405 = eq(_T_10404, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:74] + node _T_10406 = and(_T_10403, _T_10405) @[ifu_bp_ctl.scala 435:23] + node _T_10407 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10408 = eq(_T_10407, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:154] + node _T_10409 = and(_T_10406, _T_10408) @[ifu_bp_ctl.scala 435:81] + node _T_10410 = or(_T_10409, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10411 = bits(_T_10410, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_10_11 = mux(_T_10411, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10412 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10413 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10414 = eq(_T_10413, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:74] + node _T_10415 = and(_T_10412, _T_10414) @[ifu_bp_ctl.scala 435:23] + node _T_10416 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10417 = eq(_T_10416, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:154] + node _T_10418 = and(_T_10415, _T_10417) @[ifu_bp_ctl.scala 435:81] + node _T_10419 = or(_T_10418, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10420 = bits(_T_10419, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_10_12 = mux(_T_10420, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10421 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10422 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10423 = eq(_T_10422, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:74] + node _T_10424 = and(_T_10421, _T_10423) @[ifu_bp_ctl.scala 435:23] + node _T_10425 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10426 = eq(_T_10425, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:154] + node _T_10427 = and(_T_10424, _T_10426) @[ifu_bp_ctl.scala 435:81] + node _T_10428 = or(_T_10427, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10429 = bits(_T_10428, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_10_13 = mux(_T_10429, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10430 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10431 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10432 = eq(_T_10431, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:74] + node _T_10433 = and(_T_10430, _T_10432) @[ifu_bp_ctl.scala 435:23] + node _T_10434 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10435 = eq(_T_10434, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:154] + node _T_10436 = and(_T_10433, _T_10435) @[ifu_bp_ctl.scala 435:81] + node _T_10437 = or(_T_10436, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10438 = bits(_T_10437, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_10_14 = mux(_T_10438, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10439 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10440 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10441 = eq(_T_10440, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:74] + node _T_10442 = and(_T_10439, _T_10441) @[ifu_bp_ctl.scala 435:23] + node _T_10443 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10444 = eq(_T_10443, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:154] + node _T_10445 = and(_T_10442, _T_10444) @[ifu_bp_ctl.scala 435:81] + node _T_10446 = or(_T_10445, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10447 = bits(_T_10446, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_10_15 = mux(_T_10447, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10448 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10449 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10450 = eq(_T_10449, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:74] + node _T_10451 = and(_T_10448, _T_10450) @[ifu_bp_ctl.scala 435:23] + node _T_10452 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10453 = eq(_T_10452, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:154] + node _T_10454 = and(_T_10451, _T_10453) @[ifu_bp_ctl.scala 435:81] + node _T_10455 = or(_T_10454, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10456 = bits(_T_10455, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_11_0 = mux(_T_10456, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10457 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10458 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10459 = eq(_T_10458, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:74] + node _T_10460 = and(_T_10457, _T_10459) @[ifu_bp_ctl.scala 435:23] + node _T_10461 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10462 = eq(_T_10461, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:154] + node _T_10463 = and(_T_10460, _T_10462) @[ifu_bp_ctl.scala 435:81] + node _T_10464 = or(_T_10463, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10465 = bits(_T_10464, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_11_1 = mux(_T_10465, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10466 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10467 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10468 = eq(_T_10467, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:74] + node _T_10469 = and(_T_10466, _T_10468) @[ifu_bp_ctl.scala 435:23] + node _T_10470 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10471 = eq(_T_10470, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:154] + node _T_10472 = and(_T_10469, _T_10471) @[ifu_bp_ctl.scala 435:81] + node _T_10473 = or(_T_10472, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10474 = bits(_T_10473, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_11_2 = mux(_T_10474, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10475 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10476 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10477 = eq(_T_10476, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:74] + node _T_10478 = and(_T_10475, _T_10477) @[ifu_bp_ctl.scala 435:23] + node _T_10479 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10480 = eq(_T_10479, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:154] + node _T_10481 = and(_T_10478, _T_10480) @[ifu_bp_ctl.scala 435:81] + node _T_10482 = or(_T_10481, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10483 = bits(_T_10482, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_11_3 = mux(_T_10483, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10484 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10485 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10486 = eq(_T_10485, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:74] + node _T_10487 = and(_T_10484, _T_10486) @[ifu_bp_ctl.scala 435:23] + node _T_10488 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10489 = eq(_T_10488, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:154] + node _T_10490 = and(_T_10487, _T_10489) @[ifu_bp_ctl.scala 435:81] + node _T_10491 = or(_T_10490, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10492 = bits(_T_10491, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_11_4 = mux(_T_10492, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10493 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10494 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10495 = eq(_T_10494, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:74] + node _T_10496 = and(_T_10493, _T_10495) @[ifu_bp_ctl.scala 435:23] + node _T_10497 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10498 = eq(_T_10497, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:154] + node _T_10499 = and(_T_10496, _T_10498) @[ifu_bp_ctl.scala 435:81] + node _T_10500 = or(_T_10499, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10501 = bits(_T_10500, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_11_5 = mux(_T_10501, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10502 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10503 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10504 = eq(_T_10503, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:74] + node _T_10505 = and(_T_10502, _T_10504) @[ifu_bp_ctl.scala 435:23] + node _T_10506 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10507 = eq(_T_10506, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:154] + node _T_10508 = and(_T_10505, _T_10507) @[ifu_bp_ctl.scala 435:81] + node _T_10509 = or(_T_10508, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10510 = bits(_T_10509, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_11_6 = mux(_T_10510, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10511 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10512 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10513 = eq(_T_10512, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:74] + node _T_10514 = and(_T_10511, _T_10513) @[ifu_bp_ctl.scala 435:23] + node _T_10515 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10516 = eq(_T_10515, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:154] + node _T_10517 = and(_T_10514, _T_10516) @[ifu_bp_ctl.scala 435:81] + node _T_10518 = or(_T_10517, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10519 = bits(_T_10518, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_11_7 = mux(_T_10519, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10520 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10521 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10522 = eq(_T_10521, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:74] + node _T_10523 = and(_T_10520, _T_10522) @[ifu_bp_ctl.scala 435:23] + node _T_10524 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10525 = eq(_T_10524, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:154] + node _T_10526 = and(_T_10523, _T_10525) @[ifu_bp_ctl.scala 435:81] + node _T_10527 = or(_T_10526, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10528 = bits(_T_10527, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_11_8 = mux(_T_10528, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10529 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10530 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10531 = eq(_T_10530, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:74] + node _T_10532 = and(_T_10529, _T_10531) @[ifu_bp_ctl.scala 435:23] + node _T_10533 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10534 = eq(_T_10533, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:154] + node _T_10535 = and(_T_10532, _T_10534) @[ifu_bp_ctl.scala 435:81] + node _T_10536 = or(_T_10535, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10537 = bits(_T_10536, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_11_9 = mux(_T_10537, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10538 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10539 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10540 = eq(_T_10539, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:74] + node _T_10541 = and(_T_10538, _T_10540) @[ifu_bp_ctl.scala 435:23] + node _T_10542 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10543 = eq(_T_10542, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:154] + node _T_10544 = and(_T_10541, _T_10543) @[ifu_bp_ctl.scala 435:81] + node _T_10545 = or(_T_10544, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10546 = bits(_T_10545, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_11_10 = mux(_T_10546, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10547 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10548 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10549 = eq(_T_10548, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:74] + node _T_10550 = and(_T_10547, _T_10549) @[ifu_bp_ctl.scala 435:23] + node _T_10551 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10552 = eq(_T_10551, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:154] + node _T_10553 = and(_T_10550, _T_10552) @[ifu_bp_ctl.scala 435:81] + node _T_10554 = or(_T_10553, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10555 = bits(_T_10554, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_11_11 = mux(_T_10555, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10556 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10557 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10558 = eq(_T_10557, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:74] + node _T_10559 = and(_T_10556, _T_10558) @[ifu_bp_ctl.scala 435:23] + node _T_10560 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10561 = eq(_T_10560, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:154] + node _T_10562 = and(_T_10559, _T_10561) @[ifu_bp_ctl.scala 435:81] + node _T_10563 = or(_T_10562, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10564 = bits(_T_10563, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_11_12 = mux(_T_10564, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10565 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10566 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10567 = eq(_T_10566, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:74] + node _T_10568 = and(_T_10565, _T_10567) @[ifu_bp_ctl.scala 435:23] + node _T_10569 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10570 = eq(_T_10569, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:154] + node _T_10571 = and(_T_10568, _T_10570) @[ifu_bp_ctl.scala 435:81] + node _T_10572 = or(_T_10571, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10573 = bits(_T_10572, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_11_13 = mux(_T_10573, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10574 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10575 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10576 = eq(_T_10575, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:74] + node _T_10577 = and(_T_10574, _T_10576) @[ifu_bp_ctl.scala 435:23] + node _T_10578 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10579 = eq(_T_10578, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:154] + node _T_10580 = and(_T_10577, _T_10579) @[ifu_bp_ctl.scala 435:81] + node _T_10581 = or(_T_10580, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10582 = bits(_T_10581, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_11_14 = mux(_T_10582, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10583 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10584 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10585 = eq(_T_10584, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:74] + node _T_10586 = and(_T_10583, _T_10585) @[ifu_bp_ctl.scala 435:23] + node _T_10587 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10588 = eq(_T_10587, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:154] + node _T_10589 = and(_T_10586, _T_10588) @[ifu_bp_ctl.scala 435:81] + node _T_10590 = or(_T_10589, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10591 = bits(_T_10590, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_11_15 = mux(_T_10591, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10592 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10593 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10594 = eq(_T_10593, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:74] + node _T_10595 = and(_T_10592, _T_10594) @[ifu_bp_ctl.scala 435:23] + node _T_10596 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10597 = eq(_T_10596, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:154] + node _T_10598 = and(_T_10595, _T_10597) @[ifu_bp_ctl.scala 435:81] + node _T_10599 = or(_T_10598, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10600 = bits(_T_10599, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_12_0 = mux(_T_10600, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10601 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10602 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10603 = eq(_T_10602, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:74] + node _T_10604 = and(_T_10601, _T_10603) @[ifu_bp_ctl.scala 435:23] + node _T_10605 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10606 = eq(_T_10605, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:154] + node _T_10607 = and(_T_10604, _T_10606) @[ifu_bp_ctl.scala 435:81] + node _T_10608 = or(_T_10607, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10609 = bits(_T_10608, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_12_1 = mux(_T_10609, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10610 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10611 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10612 = eq(_T_10611, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:74] + node _T_10613 = and(_T_10610, _T_10612) @[ifu_bp_ctl.scala 435:23] + node _T_10614 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10615 = eq(_T_10614, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:154] + node _T_10616 = and(_T_10613, _T_10615) @[ifu_bp_ctl.scala 435:81] + node _T_10617 = or(_T_10616, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10618 = bits(_T_10617, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_12_2 = mux(_T_10618, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10619 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10620 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10621 = eq(_T_10620, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:74] + node _T_10622 = and(_T_10619, _T_10621) @[ifu_bp_ctl.scala 435:23] + node _T_10623 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10624 = eq(_T_10623, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:154] + node _T_10625 = and(_T_10622, _T_10624) @[ifu_bp_ctl.scala 435:81] + node _T_10626 = or(_T_10625, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10627 = bits(_T_10626, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_12_3 = mux(_T_10627, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10628 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10629 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10630 = eq(_T_10629, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:74] + node _T_10631 = and(_T_10628, _T_10630) @[ifu_bp_ctl.scala 435:23] + node _T_10632 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10633 = eq(_T_10632, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:154] + node _T_10634 = and(_T_10631, _T_10633) @[ifu_bp_ctl.scala 435:81] + node _T_10635 = or(_T_10634, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10636 = bits(_T_10635, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_12_4 = mux(_T_10636, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10637 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10638 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10639 = eq(_T_10638, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:74] + node _T_10640 = and(_T_10637, _T_10639) @[ifu_bp_ctl.scala 435:23] + node _T_10641 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10642 = eq(_T_10641, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:154] + node _T_10643 = and(_T_10640, _T_10642) @[ifu_bp_ctl.scala 435:81] + node _T_10644 = or(_T_10643, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10645 = bits(_T_10644, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_12_5 = mux(_T_10645, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10646 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10647 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10648 = eq(_T_10647, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:74] + node _T_10649 = and(_T_10646, _T_10648) @[ifu_bp_ctl.scala 435:23] + node _T_10650 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10651 = eq(_T_10650, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:154] + node _T_10652 = and(_T_10649, _T_10651) @[ifu_bp_ctl.scala 435:81] + node _T_10653 = or(_T_10652, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10654 = bits(_T_10653, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_12_6 = mux(_T_10654, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10655 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10656 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10657 = eq(_T_10656, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:74] + node _T_10658 = and(_T_10655, _T_10657) @[ifu_bp_ctl.scala 435:23] + node _T_10659 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10660 = eq(_T_10659, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:154] + node _T_10661 = and(_T_10658, _T_10660) @[ifu_bp_ctl.scala 435:81] + node _T_10662 = or(_T_10661, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10663 = bits(_T_10662, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_12_7 = mux(_T_10663, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10664 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10665 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10666 = eq(_T_10665, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:74] + node _T_10667 = and(_T_10664, _T_10666) @[ifu_bp_ctl.scala 435:23] + node _T_10668 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10669 = eq(_T_10668, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:154] + node _T_10670 = and(_T_10667, _T_10669) @[ifu_bp_ctl.scala 435:81] + node _T_10671 = or(_T_10670, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10672 = bits(_T_10671, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_12_8 = mux(_T_10672, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10673 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10674 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10675 = eq(_T_10674, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:74] + node _T_10676 = and(_T_10673, _T_10675) @[ifu_bp_ctl.scala 435:23] + node _T_10677 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10678 = eq(_T_10677, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:154] + node _T_10679 = and(_T_10676, _T_10678) @[ifu_bp_ctl.scala 435:81] + node _T_10680 = or(_T_10679, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10681 = bits(_T_10680, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_12_9 = mux(_T_10681, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10682 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10683 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10684 = eq(_T_10683, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:74] + node _T_10685 = and(_T_10682, _T_10684) @[ifu_bp_ctl.scala 435:23] + node _T_10686 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10687 = eq(_T_10686, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:154] + node _T_10688 = and(_T_10685, _T_10687) @[ifu_bp_ctl.scala 435:81] + node _T_10689 = or(_T_10688, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10690 = bits(_T_10689, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_12_10 = mux(_T_10690, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10691 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10692 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10693 = eq(_T_10692, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:74] + node _T_10694 = and(_T_10691, _T_10693) @[ifu_bp_ctl.scala 435:23] + node _T_10695 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10696 = eq(_T_10695, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:154] + node _T_10697 = and(_T_10694, _T_10696) @[ifu_bp_ctl.scala 435:81] + node _T_10698 = or(_T_10697, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10699 = bits(_T_10698, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_12_11 = mux(_T_10699, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10700 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10701 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10702 = eq(_T_10701, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:74] + node _T_10703 = and(_T_10700, _T_10702) @[ifu_bp_ctl.scala 435:23] + node _T_10704 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10705 = eq(_T_10704, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:154] + node _T_10706 = and(_T_10703, _T_10705) @[ifu_bp_ctl.scala 435:81] + node _T_10707 = or(_T_10706, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10708 = bits(_T_10707, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_12_12 = mux(_T_10708, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10709 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10710 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10711 = eq(_T_10710, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:74] + node _T_10712 = and(_T_10709, _T_10711) @[ifu_bp_ctl.scala 435:23] + node _T_10713 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10714 = eq(_T_10713, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:154] + node _T_10715 = and(_T_10712, _T_10714) @[ifu_bp_ctl.scala 435:81] + node _T_10716 = or(_T_10715, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10717 = bits(_T_10716, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_12_13 = mux(_T_10717, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10718 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10719 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10720 = eq(_T_10719, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:74] + node _T_10721 = and(_T_10718, _T_10720) @[ifu_bp_ctl.scala 435:23] + node _T_10722 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10723 = eq(_T_10722, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:154] + node _T_10724 = and(_T_10721, _T_10723) @[ifu_bp_ctl.scala 435:81] + node _T_10725 = or(_T_10724, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10726 = bits(_T_10725, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_12_14 = mux(_T_10726, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10727 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10728 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10729 = eq(_T_10728, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:74] + node _T_10730 = and(_T_10727, _T_10729) @[ifu_bp_ctl.scala 435:23] + node _T_10731 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10732 = eq(_T_10731, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:154] + node _T_10733 = and(_T_10730, _T_10732) @[ifu_bp_ctl.scala 435:81] + node _T_10734 = or(_T_10733, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10735 = bits(_T_10734, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_12_15 = mux(_T_10735, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10736 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10737 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10738 = eq(_T_10737, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:74] + node _T_10739 = and(_T_10736, _T_10738) @[ifu_bp_ctl.scala 435:23] + node _T_10740 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10741 = eq(_T_10740, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:154] + node _T_10742 = and(_T_10739, _T_10741) @[ifu_bp_ctl.scala 435:81] + node _T_10743 = or(_T_10742, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10744 = bits(_T_10743, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_13_0 = mux(_T_10744, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10745 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10746 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10747 = eq(_T_10746, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:74] + node _T_10748 = and(_T_10745, _T_10747) @[ifu_bp_ctl.scala 435:23] + node _T_10749 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10750 = eq(_T_10749, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:154] + node _T_10751 = and(_T_10748, _T_10750) @[ifu_bp_ctl.scala 435:81] + node _T_10752 = or(_T_10751, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10753 = bits(_T_10752, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_13_1 = mux(_T_10753, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10754 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10755 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10756 = eq(_T_10755, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:74] + node _T_10757 = and(_T_10754, _T_10756) @[ifu_bp_ctl.scala 435:23] + node _T_10758 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10759 = eq(_T_10758, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:154] + node _T_10760 = and(_T_10757, _T_10759) @[ifu_bp_ctl.scala 435:81] + node _T_10761 = or(_T_10760, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10762 = bits(_T_10761, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_13_2 = mux(_T_10762, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10763 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10764 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10765 = eq(_T_10764, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:74] + node _T_10766 = and(_T_10763, _T_10765) @[ifu_bp_ctl.scala 435:23] + node _T_10767 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10768 = eq(_T_10767, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:154] + node _T_10769 = and(_T_10766, _T_10768) @[ifu_bp_ctl.scala 435:81] + node _T_10770 = or(_T_10769, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10771 = bits(_T_10770, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_13_3 = mux(_T_10771, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10772 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10773 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10774 = eq(_T_10773, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:74] + node _T_10775 = and(_T_10772, _T_10774) @[ifu_bp_ctl.scala 435:23] + node _T_10776 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10777 = eq(_T_10776, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:154] + node _T_10778 = and(_T_10775, _T_10777) @[ifu_bp_ctl.scala 435:81] + node _T_10779 = or(_T_10778, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10780 = bits(_T_10779, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_13_4 = mux(_T_10780, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10781 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10782 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10783 = eq(_T_10782, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:74] + node _T_10784 = and(_T_10781, _T_10783) @[ifu_bp_ctl.scala 435:23] + node _T_10785 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10786 = eq(_T_10785, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:154] + node _T_10787 = and(_T_10784, _T_10786) @[ifu_bp_ctl.scala 435:81] + node _T_10788 = or(_T_10787, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10789 = bits(_T_10788, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_13_5 = mux(_T_10789, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10790 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10791 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10792 = eq(_T_10791, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:74] + node _T_10793 = and(_T_10790, _T_10792) @[ifu_bp_ctl.scala 435:23] + node _T_10794 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10795 = eq(_T_10794, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:154] + node _T_10796 = and(_T_10793, _T_10795) @[ifu_bp_ctl.scala 435:81] + node _T_10797 = or(_T_10796, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10798 = bits(_T_10797, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_13_6 = mux(_T_10798, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10799 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10800 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10801 = eq(_T_10800, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:74] + node _T_10802 = and(_T_10799, _T_10801) @[ifu_bp_ctl.scala 435:23] + node _T_10803 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10804 = eq(_T_10803, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:154] + node _T_10805 = and(_T_10802, _T_10804) @[ifu_bp_ctl.scala 435:81] + node _T_10806 = or(_T_10805, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10807 = bits(_T_10806, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_13_7 = mux(_T_10807, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10808 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10809 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10810 = eq(_T_10809, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:74] + node _T_10811 = and(_T_10808, _T_10810) @[ifu_bp_ctl.scala 435:23] + node _T_10812 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10813 = eq(_T_10812, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:154] + node _T_10814 = and(_T_10811, _T_10813) @[ifu_bp_ctl.scala 435:81] + node _T_10815 = or(_T_10814, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10816 = bits(_T_10815, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_13_8 = mux(_T_10816, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10817 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10818 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10819 = eq(_T_10818, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:74] + node _T_10820 = and(_T_10817, _T_10819) @[ifu_bp_ctl.scala 435:23] + node _T_10821 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10822 = eq(_T_10821, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:154] + node _T_10823 = and(_T_10820, _T_10822) @[ifu_bp_ctl.scala 435:81] + node _T_10824 = or(_T_10823, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10825 = bits(_T_10824, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_13_9 = mux(_T_10825, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10826 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10827 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10828 = eq(_T_10827, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:74] + node _T_10829 = and(_T_10826, _T_10828) @[ifu_bp_ctl.scala 435:23] + node _T_10830 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10831 = eq(_T_10830, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:154] + node _T_10832 = and(_T_10829, _T_10831) @[ifu_bp_ctl.scala 435:81] + node _T_10833 = or(_T_10832, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10834 = bits(_T_10833, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_13_10 = mux(_T_10834, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10835 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10836 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10837 = eq(_T_10836, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:74] + node _T_10838 = and(_T_10835, _T_10837) @[ifu_bp_ctl.scala 435:23] + node _T_10839 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10840 = eq(_T_10839, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:154] + node _T_10841 = and(_T_10838, _T_10840) @[ifu_bp_ctl.scala 435:81] + node _T_10842 = or(_T_10841, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10843 = bits(_T_10842, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_13_11 = mux(_T_10843, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10844 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10845 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10846 = eq(_T_10845, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:74] + node _T_10847 = and(_T_10844, _T_10846) @[ifu_bp_ctl.scala 435:23] + node _T_10848 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10849 = eq(_T_10848, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:154] + node _T_10850 = and(_T_10847, _T_10849) @[ifu_bp_ctl.scala 435:81] + node _T_10851 = or(_T_10850, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10852 = bits(_T_10851, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_13_12 = mux(_T_10852, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10853 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10854 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10855 = eq(_T_10854, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:74] + node _T_10856 = and(_T_10853, _T_10855) @[ifu_bp_ctl.scala 435:23] + node _T_10857 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10858 = eq(_T_10857, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:154] + node _T_10859 = and(_T_10856, _T_10858) @[ifu_bp_ctl.scala 435:81] + node _T_10860 = or(_T_10859, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10861 = bits(_T_10860, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_13_13 = mux(_T_10861, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10862 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10863 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10864 = eq(_T_10863, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:74] + node _T_10865 = and(_T_10862, _T_10864) @[ifu_bp_ctl.scala 435:23] + node _T_10866 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10867 = eq(_T_10866, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:154] + node _T_10868 = and(_T_10865, _T_10867) @[ifu_bp_ctl.scala 435:81] + node _T_10869 = or(_T_10868, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10870 = bits(_T_10869, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_13_14 = mux(_T_10870, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10871 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10872 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10873 = eq(_T_10872, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:74] + node _T_10874 = and(_T_10871, _T_10873) @[ifu_bp_ctl.scala 435:23] + node _T_10875 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10876 = eq(_T_10875, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:154] + node _T_10877 = and(_T_10874, _T_10876) @[ifu_bp_ctl.scala 435:81] + node _T_10878 = or(_T_10877, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10879 = bits(_T_10878, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_13_15 = mux(_T_10879, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10880 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10881 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10882 = eq(_T_10881, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:74] + node _T_10883 = and(_T_10880, _T_10882) @[ifu_bp_ctl.scala 435:23] + node _T_10884 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10885 = eq(_T_10884, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:154] + node _T_10886 = and(_T_10883, _T_10885) @[ifu_bp_ctl.scala 435:81] + node _T_10887 = or(_T_10886, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10888 = bits(_T_10887, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_14_0 = mux(_T_10888, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10889 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10890 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10891 = eq(_T_10890, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:74] + node _T_10892 = and(_T_10889, _T_10891) @[ifu_bp_ctl.scala 435:23] + node _T_10893 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10894 = eq(_T_10893, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:154] + node _T_10895 = and(_T_10892, _T_10894) @[ifu_bp_ctl.scala 435:81] + node _T_10896 = or(_T_10895, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10897 = bits(_T_10896, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_14_1 = mux(_T_10897, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10898 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10899 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10900 = eq(_T_10899, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:74] + node _T_10901 = and(_T_10898, _T_10900) @[ifu_bp_ctl.scala 435:23] + node _T_10902 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10903 = eq(_T_10902, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:154] + node _T_10904 = and(_T_10901, _T_10903) @[ifu_bp_ctl.scala 435:81] + node _T_10905 = or(_T_10904, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10906 = bits(_T_10905, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_14_2 = mux(_T_10906, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10907 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10908 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10909 = eq(_T_10908, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:74] + node _T_10910 = and(_T_10907, _T_10909) @[ifu_bp_ctl.scala 435:23] + node _T_10911 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10912 = eq(_T_10911, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:154] + node _T_10913 = and(_T_10910, _T_10912) @[ifu_bp_ctl.scala 435:81] + node _T_10914 = or(_T_10913, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10915 = bits(_T_10914, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_14_3 = mux(_T_10915, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10916 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10917 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10918 = eq(_T_10917, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:74] + node _T_10919 = and(_T_10916, _T_10918) @[ifu_bp_ctl.scala 435:23] + node _T_10920 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10921 = eq(_T_10920, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:154] + node _T_10922 = and(_T_10919, _T_10921) @[ifu_bp_ctl.scala 435:81] + node _T_10923 = or(_T_10922, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10924 = bits(_T_10923, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_14_4 = mux(_T_10924, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10925 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10926 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10927 = eq(_T_10926, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:74] + node _T_10928 = and(_T_10925, _T_10927) @[ifu_bp_ctl.scala 435:23] + node _T_10929 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10930 = eq(_T_10929, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:154] + node _T_10931 = and(_T_10928, _T_10930) @[ifu_bp_ctl.scala 435:81] + node _T_10932 = or(_T_10931, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10933 = bits(_T_10932, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_14_5 = mux(_T_10933, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10934 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10935 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10936 = eq(_T_10935, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:74] + node _T_10937 = and(_T_10934, _T_10936) @[ifu_bp_ctl.scala 435:23] + node _T_10938 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10939 = eq(_T_10938, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:154] + node _T_10940 = and(_T_10937, _T_10939) @[ifu_bp_ctl.scala 435:81] + node _T_10941 = or(_T_10940, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10942 = bits(_T_10941, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_14_6 = mux(_T_10942, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10943 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10944 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10945 = eq(_T_10944, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:74] + node _T_10946 = and(_T_10943, _T_10945) @[ifu_bp_ctl.scala 435:23] + node _T_10947 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10948 = eq(_T_10947, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:154] + node _T_10949 = and(_T_10946, _T_10948) @[ifu_bp_ctl.scala 435:81] + node _T_10950 = or(_T_10949, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10951 = bits(_T_10950, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_14_7 = mux(_T_10951, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10952 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10953 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10954 = eq(_T_10953, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:74] + node _T_10955 = and(_T_10952, _T_10954) @[ifu_bp_ctl.scala 435:23] + node _T_10956 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10957 = eq(_T_10956, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:154] + node _T_10958 = and(_T_10955, _T_10957) @[ifu_bp_ctl.scala 435:81] + node _T_10959 = or(_T_10958, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10960 = bits(_T_10959, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_14_8 = mux(_T_10960, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10961 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10962 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10963 = eq(_T_10962, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:74] + node _T_10964 = and(_T_10961, _T_10963) @[ifu_bp_ctl.scala 435:23] + node _T_10965 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10966 = eq(_T_10965, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:154] + node _T_10967 = and(_T_10964, _T_10966) @[ifu_bp_ctl.scala 435:81] + node _T_10968 = or(_T_10967, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10969 = bits(_T_10968, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_14_9 = mux(_T_10969, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10970 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10971 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10972 = eq(_T_10971, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:74] + node _T_10973 = and(_T_10970, _T_10972) @[ifu_bp_ctl.scala 435:23] + node _T_10974 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10975 = eq(_T_10974, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:154] + node _T_10976 = and(_T_10973, _T_10975) @[ifu_bp_ctl.scala 435:81] + node _T_10977 = or(_T_10976, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10978 = bits(_T_10977, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_14_10 = mux(_T_10978, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10979 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10980 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10981 = eq(_T_10980, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:74] + node _T_10982 = and(_T_10979, _T_10981) @[ifu_bp_ctl.scala 435:23] + node _T_10983 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10984 = eq(_T_10983, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:154] + node _T_10985 = and(_T_10982, _T_10984) @[ifu_bp_ctl.scala 435:81] + node _T_10986 = or(_T_10985, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10987 = bits(_T_10986, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_14_11 = mux(_T_10987, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10988 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10989 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10990 = eq(_T_10989, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:74] + node _T_10991 = and(_T_10988, _T_10990) @[ifu_bp_ctl.scala 435:23] + node _T_10992 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_10993 = eq(_T_10992, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:154] + node _T_10994 = and(_T_10991, _T_10993) @[ifu_bp_ctl.scala 435:81] + node _T_10995 = or(_T_10994, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_10996 = bits(_T_10995, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_14_12 = mux(_T_10996, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_10997 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_10998 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_10999 = eq(_T_10998, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:74] + node _T_11000 = and(_T_10997, _T_10999) @[ifu_bp_ctl.scala 435:23] + node _T_11001 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_11002 = eq(_T_11001, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:154] + node _T_11003 = and(_T_11000, _T_11002) @[ifu_bp_ctl.scala 435:81] + node _T_11004 = or(_T_11003, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_11005 = bits(_T_11004, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_14_13 = mux(_T_11005, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_11006 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_11007 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_11008 = eq(_T_11007, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:74] + node _T_11009 = and(_T_11006, _T_11008) @[ifu_bp_ctl.scala 435:23] + node _T_11010 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_11011 = eq(_T_11010, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:154] + node _T_11012 = and(_T_11009, _T_11011) @[ifu_bp_ctl.scala 435:81] + node _T_11013 = or(_T_11012, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_11014 = bits(_T_11013, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_14_14 = mux(_T_11014, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_11015 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_11016 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_11017 = eq(_T_11016, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:74] + node _T_11018 = and(_T_11015, _T_11017) @[ifu_bp_ctl.scala 435:23] + node _T_11019 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_11020 = eq(_T_11019, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:154] + node _T_11021 = and(_T_11018, _T_11020) @[ifu_bp_ctl.scala 435:81] + node _T_11022 = or(_T_11021, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_11023 = bits(_T_11022, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_14_15 = mux(_T_11023, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_11024 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_11025 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_11026 = eq(_T_11025, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:74] + node _T_11027 = and(_T_11024, _T_11026) @[ifu_bp_ctl.scala 435:23] + node _T_11028 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_11029 = eq(_T_11028, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:154] + node _T_11030 = and(_T_11027, _T_11029) @[ifu_bp_ctl.scala 435:81] + node _T_11031 = or(_T_11030, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_11032 = bits(_T_11031, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_15_0 = mux(_T_11032, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_11033 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_11034 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_11035 = eq(_T_11034, UInt<1>("h01")) @[ifu_bp_ctl.scala 435:74] + node _T_11036 = and(_T_11033, _T_11035) @[ifu_bp_ctl.scala 435:23] + node _T_11037 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_11038 = eq(_T_11037, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:154] + node _T_11039 = and(_T_11036, _T_11038) @[ifu_bp_ctl.scala 435:81] + node _T_11040 = or(_T_11039, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_11041 = bits(_T_11040, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_15_1 = mux(_T_11041, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_11042 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_11043 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_11044 = eq(_T_11043, UInt<2>("h02")) @[ifu_bp_ctl.scala 435:74] + node _T_11045 = and(_T_11042, _T_11044) @[ifu_bp_ctl.scala 435:23] + node _T_11046 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_11047 = eq(_T_11046, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:154] + node _T_11048 = and(_T_11045, _T_11047) @[ifu_bp_ctl.scala 435:81] + node _T_11049 = or(_T_11048, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_11050 = bits(_T_11049, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_15_2 = mux(_T_11050, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_11051 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_11052 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_11053 = eq(_T_11052, UInt<2>("h03")) @[ifu_bp_ctl.scala 435:74] + node _T_11054 = and(_T_11051, _T_11053) @[ifu_bp_ctl.scala 435:23] + node _T_11055 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_11056 = eq(_T_11055, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:154] + node _T_11057 = and(_T_11054, _T_11056) @[ifu_bp_ctl.scala 435:81] + node _T_11058 = or(_T_11057, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_11059 = bits(_T_11058, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_15_3 = mux(_T_11059, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_11060 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_11061 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_11062 = eq(_T_11061, UInt<3>("h04")) @[ifu_bp_ctl.scala 435:74] + node _T_11063 = and(_T_11060, _T_11062) @[ifu_bp_ctl.scala 435:23] + node _T_11064 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_11065 = eq(_T_11064, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:154] + node _T_11066 = and(_T_11063, _T_11065) @[ifu_bp_ctl.scala 435:81] + node _T_11067 = or(_T_11066, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_11068 = bits(_T_11067, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_15_4 = mux(_T_11068, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_11069 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_11070 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_11071 = eq(_T_11070, UInt<3>("h05")) @[ifu_bp_ctl.scala 435:74] + node _T_11072 = and(_T_11069, _T_11071) @[ifu_bp_ctl.scala 435:23] + node _T_11073 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_11074 = eq(_T_11073, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:154] + node _T_11075 = and(_T_11072, _T_11074) @[ifu_bp_ctl.scala 435:81] + node _T_11076 = or(_T_11075, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_11077 = bits(_T_11076, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_15_5 = mux(_T_11077, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_11078 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_11079 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_11080 = eq(_T_11079, UInt<3>("h06")) @[ifu_bp_ctl.scala 435:74] + node _T_11081 = and(_T_11078, _T_11080) @[ifu_bp_ctl.scala 435:23] + node _T_11082 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_11083 = eq(_T_11082, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:154] + node _T_11084 = and(_T_11081, _T_11083) @[ifu_bp_ctl.scala 435:81] + node _T_11085 = or(_T_11084, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_11086 = bits(_T_11085, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_15_6 = mux(_T_11086, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_11087 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_11088 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_11089 = eq(_T_11088, UInt<3>("h07")) @[ifu_bp_ctl.scala 435:74] + node _T_11090 = and(_T_11087, _T_11089) @[ifu_bp_ctl.scala 435:23] + node _T_11091 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_11092 = eq(_T_11091, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:154] + node _T_11093 = and(_T_11090, _T_11092) @[ifu_bp_ctl.scala 435:81] + node _T_11094 = or(_T_11093, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_11095 = bits(_T_11094, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_15_7 = mux(_T_11095, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_11096 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_11097 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_11098 = eq(_T_11097, UInt<4>("h08")) @[ifu_bp_ctl.scala 435:74] + node _T_11099 = and(_T_11096, _T_11098) @[ifu_bp_ctl.scala 435:23] + node _T_11100 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_11101 = eq(_T_11100, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:154] + node _T_11102 = and(_T_11099, _T_11101) @[ifu_bp_ctl.scala 435:81] + node _T_11103 = or(_T_11102, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_11104 = bits(_T_11103, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_15_8 = mux(_T_11104, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_11105 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_11106 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_11107 = eq(_T_11106, UInt<4>("h09")) @[ifu_bp_ctl.scala 435:74] + node _T_11108 = and(_T_11105, _T_11107) @[ifu_bp_ctl.scala 435:23] + node _T_11109 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_11110 = eq(_T_11109, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:154] + node _T_11111 = and(_T_11108, _T_11110) @[ifu_bp_ctl.scala 435:81] + node _T_11112 = or(_T_11111, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_11113 = bits(_T_11112, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_15_9 = mux(_T_11113, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_11114 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_11115 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_11116 = eq(_T_11115, UInt<4>("h0a")) @[ifu_bp_ctl.scala 435:74] + node _T_11117 = and(_T_11114, _T_11116) @[ifu_bp_ctl.scala 435:23] + node _T_11118 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_11119 = eq(_T_11118, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:154] + node _T_11120 = and(_T_11117, _T_11119) @[ifu_bp_ctl.scala 435:81] + node _T_11121 = or(_T_11120, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_11122 = bits(_T_11121, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_15_10 = mux(_T_11122, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_11123 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_11124 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_11125 = eq(_T_11124, UInt<4>("h0b")) @[ifu_bp_ctl.scala 435:74] + node _T_11126 = and(_T_11123, _T_11125) @[ifu_bp_ctl.scala 435:23] + node _T_11127 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_11128 = eq(_T_11127, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:154] + node _T_11129 = and(_T_11126, _T_11128) @[ifu_bp_ctl.scala 435:81] + node _T_11130 = or(_T_11129, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_11131 = bits(_T_11130, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_15_11 = mux(_T_11131, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_11132 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_11133 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_11134 = eq(_T_11133, UInt<4>("h0c")) @[ifu_bp_ctl.scala 435:74] + node _T_11135 = and(_T_11132, _T_11134) @[ifu_bp_ctl.scala 435:23] + node _T_11136 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_11137 = eq(_T_11136, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:154] + node _T_11138 = and(_T_11135, _T_11137) @[ifu_bp_ctl.scala 435:81] + node _T_11139 = or(_T_11138, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_11140 = bits(_T_11139, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_15_12 = mux(_T_11140, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_11141 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_11142 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_11143 = eq(_T_11142, UInt<4>("h0d")) @[ifu_bp_ctl.scala 435:74] + node _T_11144 = and(_T_11141, _T_11143) @[ifu_bp_ctl.scala 435:23] + node _T_11145 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_11146 = eq(_T_11145, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:154] + node _T_11147 = and(_T_11144, _T_11146) @[ifu_bp_ctl.scala 435:81] + node _T_11148 = or(_T_11147, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_11149 = bits(_T_11148, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_15_13 = mux(_T_11149, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_11150 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_11151 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_11152 = eq(_T_11151, UInt<4>("h0e")) @[ifu_bp_ctl.scala 435:74] + node _T_11153 = and(_T_11150, _T_11152) @[ifu_bp_ctl.scala 435:23] + node _T_11154 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_11155 = eq(_T_11154, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:154] + node _T_11156 = and(_T_11153, _T_11155) @[ifu_bp_ctl.scala 435:81] + node _T_11157 = or(_T_11156, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_11158 = bits(_T_11157, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_15_14 = mux(_T_11158, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + node _T_11159 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 435:20] + node _T_11160 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 435:37] + node _T_11161 = eq(_T_11160, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:74] + node _T_11162 = and(_T_11159, _T_11161) @[ifu_bp_ctl.scala 435:23] + node _T_11163 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 435:95] + node _T_11164 = eq(_T_11163, UInt<4>("h0f")) @[ifu_bp_ctl.scala 435:154] + node _T_11165 = and(_T_11162, _T_11164) @[ifu_bp_ctl.scala 435:81] + node _T_11166 = or(_T_11165, UInt<1>("h00")) @[ifu_bp_ctl.scala 435:161] + node _T_11167 = bits(_T_11166, 0, 0) @[ifu_bp_ctl.scala 435:183] + node bht_bank_wr_data_1_15_15 = mux(_T_11167, io.dec_bp.dec_tlu_br0_r_pkt.bits.hist, io.exu_bp.exu_mp_pkt.bits.hist) @[ifu_bp_ctl.scala 435:8] + wire bht_bank_sel : UInt<1>[16][16][2] @[ifu_bp_ctl.scala 437:26] + node _T_11168 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11169 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11170 = eq(_T_11169, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:97] + node _T_11171 = and(_T_11168, _T_11170) @[ifu_bp_ctl.scala 443:45] + node _T_11172 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11173 = eq(_T_11172, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:186] + node _T_11174 = or(_T_11173, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11175 = and(_T_11171, _T_11174) @[ifu_bp_ctl.scala 443:110] + node _T_11176 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11177 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11178 = eq(_T_11177, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:74] + node _T_11179 = and(_T_11176, _T_11178) @[ifu_bp_ctl.scala 444:22] + node _T_11180 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11181 = eq(_T_11180, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:163] + node _T_11182 = or(_T_11181, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11183 = and(_T_11179, _T_11182) @[ifu_bp_ctl.scala 444:87] + node _T_11184 = or(_T_11175, _T_11183) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][0][0] <= _T_11184 @[ifu_bp_ctl.scala 443:27] + node _T_11185 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11186 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11187 = eq(_T_11186, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:97] + node _T_11188 = and(_T_11185, _T_11187) @[ifu_bp_ctl.scala 443:45] + node _T_11189 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11190 = eq(_T_11189, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:186] + node _T_11191 = or(_T_11190, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11192 = and(_T_11188, _T_11191) @[ifu_bp_ctl.scala 443:110] + node _T_11193 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11194 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11195 = eq(_T_11194, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:74] + node _T_11196 = and(_T_11193, _T_11195) @[ifu_bp_ctl.scala 444:22] + node _T_11197 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11198 = eq(_T_11197, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:163] + node _T_11199 = or(_T_11198, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11200 = and(_T_11196, _T_11199) @[ifu_bp_ctl.scala 444:87] + node _T_11201 = or(_T_11192, _T_11200) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][0][1] <= _T_11201 @[ifu_bp_ctl.scala 443:27] + node _T_11202 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11203 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11204 = eq(_T_11203, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:97] + node _T_11205 = and(_T_11202, _T_11204) @[ifu_bp_ctl.scala 443:45] + node _T_11206 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11207 = eq(_T_11206, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:186] + node _T_11208 = or(_T_11207, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11209 = and(_T_11205, _T_11208) @[ifu_bp_ctl.scala 443:110] + node _T_11210 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11211 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11212 = eq(_T_11211, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:74] + node _T_11213 = and(_T_11210, _T_11212) @[ifu_bp_ctl.scala 444:22] + node _T_11214 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11215 = eq(_T_11214, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:163] + node _T_11216 = or(_T_11215, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11217 = and(_T_11213, _T_11216) @[ifu_bp_ctl.scala 444:87] + node _T_11218 = or(_T_11209, _T_11217) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][0][2] <= _T_11218 @[ifu_bp_ctl.scala 443:27] + node _T_11219 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11220 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11221 = eq(_T_11220, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:97] + node _T_11222 = and(_T_11219, _T_11221) @[ifu_bp_ctl.scala 443:45] + node _T_11223 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11224 = eq(_T_11223, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:186] + node _T_11225 = or(_T_11224, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11226 = and(_T_11222, _T_11225) @[ifu_bp_ctl.scala 443:110] + node _T_11227 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11228 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11229 = eq(_T_11228, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:74] + node _T_11230 = and(_T_11227, _T_11229) @[ifu_bp_ctl.scala 444:22] + node _T_11231 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11232 = eq(_T_11231, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:163] + node _T_11233 = or(_T_11232, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11234 = and(_T_11230, _T_11233) @[ifu_bp_ctl.scala 444:87] + node _T_11235 = or(_T_11226, _T_11234) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][0][3] <= _T_11235 @[ifu_bp_ctl.scala 443:27] + node _T_11236 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11237 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11238 = eq(_T_11237, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:97] + node _T_11239 = and(_T_11236, _T_11238) @[ifu_bp_ctl.scala 443:45] + node _T_11240 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11241 = eq(_T_11240, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:186] + node _T_11242 = or(_T_11241, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11243 = and(_T_11239, _T_11242) @[ifu_bp_ctl.scala 443:110] + node _T_11244 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11245 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11246 = eq(_T_11245, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:74] + node _T_11247 = and(_T_11244, _T_11246) @[ifu_bp_ctl.scala 444:22] + node _T_11248 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11249 = eq(_T_11248, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:163] + node _T_11250 = or(_T_11249, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11251 = and(_T_11247, _T_11250) @[ifu_bp_ctl.scala 444:87] + node _T_11252 = or(_T_11243, _T_11251) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][0][4] <= _T_11252 @[ifu_bp_ctl.scala 443:27] + node _T_11253 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11254 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11255 = eq(_T_11254, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:97] + node _T_11256 = and(_T_11253, _T_11255) @[ifu_bp_ctl.scala 443:45] + node _T_11257 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11258 = eq(_T_11257, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:186] + node _T_11259 = or(_T_11258, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11260 = and(_T_11256, _T_11259) @[ifu_bp_ctl.scala 443:110] + node _T_11261 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11262 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11263 = eq(_T_11262, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:74] + node _T_11264 = and(_T_11261, _T_11263) @[ifu_bp_ctl.scala 444:22] + node _T_11265 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11266 = eq(_T_11265, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:163] + node _T_11267 = or(_T_11266, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11268 = and(_T_11264, _T_11267) @[ifu_bp_ctl.scala 444:87] + node _T_11269 = or(_T_11260, _T_11268) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][0][5] <= _T_11269 @[ifu_bp_ctl.scala 443:27] + node _T_11270 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11271 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11272 = eq(_T_11271, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:97] + node _T_11273 = and(_T_11270, _T_11272) @[ifu_bp_ctl.scala 443:45] + node _T_11274 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11275 = eq(_T_11274, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:186] + node _T_11276 = or(_T_11275, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11277 = and(_T_11273, _T_11276) @[ifu_bp_ctl.scala 443:110] + node _T_11278 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11279 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11280 = eq(_T_11279, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:74] + node _T_11281 = and(_T_11278, _T_11280) @[ifu_bp_ctl.scala 444:22] + node _T_11282 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11283 = eq(_T_11282, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:163] + node _T_11284 = or(_T_11283, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11285 = and(_T_11281, _T_11284) @[ifu_bp_ctl.scala 444:87] + node _T_11286 = or(_T_11277, _T_11285) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][0][6] <= _T_11286 @[ifu_bp_ctl.scala 443:27] + node _T_11287 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11288 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11289 = eq(_T_11288, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:97] + node _T_11290 = and(_T_11287, _T_11289) @[ifu_bp_ctl.scala 443:45] + node _T_11291 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11292 = eq(_T_11291, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:186] + node _T_11293 = or(_T_11292, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11294 = and(_T_11290, _T_11293) @[ifu_bp_ctl.scala 443:110] + node _T_11295 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11296 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11297 = eq(_T_11296, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:74] + node _T_11298 = and(_T_11295, _T_11297) @[ifu_bp_ctl.scala 444:22] + node _T_11299 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11300 = eq(_T_11299, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:163] + node _T_11301 = or(_T_11300, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11302 = and(_T_11298, _T_11301) @[ifu_bp_ctl.scala 444:87] + node _T_11303 = or(_T_11294, _T_11302) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][0][7] <= _T_11303 @[ifu_bp_ctl.scala 443:27] + node _T_11304 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11305 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11306 = eq(_T_11305, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:97] + node _T_11307 = and(_T_11304, _T_11306) @[ifu_bp_ctl.scala 443:45] + node _T_11308 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11309 = eq(_T_11308, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:186] + node _T_11310 = or(_T_11309, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11311 = and(_T_11307, _T_11310) @[ifu_bp_ctl.scala 443:110] + node _T_11312 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11313 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11314 = eq(_T_11313, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:74] + node _T_11315 = and(_T_11312, _T_11314) @[ifu_bp_ctl.scala 444:22] + node _T_11316 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11317 = eq(_T_11316, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:163] + node _T_11318 = or(_T_11317, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11319 = and(_T_11315, _T_11318) @[ifu_bp_ctl.scala 444:87] + node _T_11320 = or(_T_11311, _T_11319) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][0][8] <= _T_11320 @[ifu_bp_ctl.scala 443:27] + node _T_11321 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11322 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11323 = eq(_T_11322, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:97] + node _T_11324 = and(_T_11321, _T_11323) @[ifu_bp_ctl.scala 443:45] + node _T_11325 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11326 = eq(_T_11325, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:186] + node _T_11327 = or(_T_11326, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11328 = and(_T_11324, _T_11327) @[ifu_bp_ctl.scala 443:110] + node _T_11329 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11330 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11331 = eq(_T_11330, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:74] + node _T_11332 = and(_T_11329, _T_11331) @[ifu_bp_ctl.scala 444:22] + node _T_11333 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11334 = eq(_T_11333, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:163] + node _T_11335 = or(_T_11334, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11336 = and(_T_11332, _T_11335) @[ifu_bp_ctl.scala 444:87] + node _T_11337 = or(_T_11328, _T_11336) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][0][9] <= _T_11337 @[ifu_bp_ctl.scala 443:27] + node _T_11338 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11339 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11340 = eq(_T_11339, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:97] + node _T_11341 = and(_T_11338, _T_11340) @[ifu_bp_ctl.scala 443:45] + node _T_11342 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11343 = eq(_T_11342, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:186] + node _T_11344 = or(_T_11343, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11345 = and(_T_11341, _T_11344) @[ifu_bp_ctl.scala 443:110] + node _T_11346 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11347 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11348 = eq(_T_11347, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:74] + node _T_11349 = and(_T_11346, _T_11348) @[ifu_bp_ctl.scala 444:22] + node _T_11350 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11351 = eq(_T_11350, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:163] + node _T_11352 = or(_T_11351, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11353 = and(_T_11349, _T_11352) @[ifu_bp_ctl.scala 444:87] + node _T_11354 = or(_T_11345, _T_11353) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][0][10] <= _T_11354 @[ifu_bp_ctl.scala 443:27] + node _T_11355 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11356 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11357 = eq(_T_11356, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:97] + node _T_11358 = and(_T_11355, _T_11357) @[ifu_bp_ctl.scala 443:45] + node _T_11359 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11360 = eq(_T_11359, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:186] + node _T_11361 = or(_T_11360, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11362 = and(_T_11358, _T_11361) @[ifu_bp_ctl.scala 443:110] + node _T_11363 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11364 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11365 = eq(_T_11364, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:74] + node _T_11366 = and(_T_11363, _T_11365) @[ifu_bp_ctl.scala 444:22] + node _T_11367 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11368 = eq(_T_11367, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:163] + node _T_11369 = or(_T_11368, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11370 = and(_T_11366, _T_11369) @[ifu_bp_ctl.scala 444:87] + node _T_11371 = or(_T_11362, _T_11370) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][0][11] <= _T_11371 @[ifu_bp_ctl.scala 443:27] + node _T_11372 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11373 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11374 = eq(_T_11373, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:97] + node _T_11375 = and(_T_11372, _T_11374) @[ifu_bp_ctl.scala 443:45] + node _T_11376 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11377 = eq(_T_11376, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:186] + node _T_11378 = or(_T_11377, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11379 = and(_T_11375, _T_11378) @[ifu_bp_ctl.scala 443:110] + node _T_11380 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11381 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11382 = eq(_T_11381, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:74] + node _T_11383 = and(_T_11380, _T_11382) @[ifu_bp_ctl.scala 444:22] + node _T_11384 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11385 = eq(_T_11384, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:163] + node _T_11386 = or(_T_11385, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11387 = and(_T_11383, _T_11386) @[ifu_bp_ctl.scala 444:87] + node _T_11388 = or(_T_11379, _T_11387) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][0][12] <= _T_11388 @[ifu_bp_ctl.scala 443:27] + node _T_11389 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11390 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11391 = eq(_T_11390, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:97] + node _T_11392 = and(_T_11389, _T_11391) @[ifu_bp_ctl.scala 443:45] + node _T_11393 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11394 = eq(_T_11393, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:186] + node _T_11395 = or(_T_11394, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11396 = and(_T_11392, _T_11395) @[ifu_bp_ctl.scala 443:110] + node _T_11397 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11398 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11399 = eq(_T_11398, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:74] + node _T_11400 = and(_T_11397, _T_11399) @[ifu_bp_ctl.scala 444:22] + node _T_11401 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11402 = eq(_T_11401, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:163] + node _T_11403 = or(_T_11402, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11404 = and(_T_11400, _T_11403) @[ifu_bp_ctl.scala 444:87] + node _T_11405 = or(_T_11396, _T_11404) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][0][13] <= _T_11405 @[ifu_bp_ctl.scala 443:27] + node _T_11406 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11407 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11408 = eq(_T_11407, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:97] + node _T_11409 = and(_T_11406, _T_11408) @[ifu_bp_ctl.scala 443:45] + node _T_11410 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11411 = eq(_T_11410, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:186] + node _T_11412 = or(_T_11411, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11413 = and(_T_11409, _T_11412) @[ifu_bp_ctl.scala 443:110] + node _T_11414 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11415 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11416 = eq(_T_11415, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:74] + node _T_11417 = and(_T_11414, _T_11416) @[ifu_bp_ctl.scala 444:22] + node _T_11418 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11419 = eq(_T_11418, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:163] + node _T_11420 = or(_T_11419, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11421 = and(_T_11417, _T_11420) @[ifu_bp_ctl.scala 444:87] + node _T_11422 = or(_T_11413, _T_11421) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][0][14] <= _T_11422 @[ifu_bp_ctl.scala 443:27] + node _T_11423 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11424 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11425 = eq(_T_11424, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:97] + node _T_11426 = and(_T_11423, _T_11425) @[ifu_bp_ctl.scala 443:45] + node _T_11427 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11428 = eq(_T_11427, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:186] + node _T_11429 = or(_T_11428, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11430 = and(_T_11426, _T_11429) @[ifu_bp_ctl.scala 443:110] + node _T_11431 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11432 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11433 = eq(_T_11432, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:74] + node _T_11434 = and(_T_11431, _T_11433) @[ifu_bp_ctl.scala 444:22] + node _T_11435 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11436 = eq(_T_11435, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:163] + node _T_11437 = or(_T_11436, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11438 = and(_T_11434, _T_11437) @[ifu_bp_ctl.scala 444:87] + node _T_11439 = or(_T_11430, _T_11438) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][0][15] <= _T_11439 @[ifu_bp_ctl.scala 443:27] + node _T_11440 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11441 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11442 = eq(_T_11441, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:97] + node _T_11443 = and(_T_11440, _T_11442) @[ifu_bp_ctl.scala 443:45] + node _T_11444 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11445 = eq(_T_11444, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:186] + node _T_11446 = or(_T_11445, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11447 = and(_T_11443, _T_11446) @[ifu_bp_ctl.scala 443:110] + node _T_11448 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11449 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11450 = eq(_T_11449, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:74] + node _T_11451 = and(_T_11448, _T_11450) @[ifu_bp_ctl.scala 444:22] + node _T_11452 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11453 = eq(_T_11452, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:163] + node _T_11454 = or(_T_11453, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11455 = and(_T_11451, _T_11454) @[ifu_bp_ctl.scala 444:87] + node _T_11456 = or(_T_11447, _T_11455) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][1][0] <= _T_11456 @[ifu_bp_ctl.scala 443:27] + node _T_11457 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11458 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11459 = eq(_T_11458, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:97] + node _T_11460 = and(_T_11457, _T_11459) @[ifu_bp_ctl.scala 443:45] + node _T_11461 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11462 = eq(_T_11461, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:186] + node _T_11463 = or(_T_11462, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11464 = and(_T_11460, _T_11463) @[ifu_bp_ctl.scala 443:110] + node _T_11465 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11466 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11467 = eq(_T_11466, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:74] + node _T_11468 = and(_T_11465, _T_11467) @[ifu_bp_ctl.scala 444:22] + node _T_11469 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11470 = eq(_T_11469, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:163] + node _T_11471 = or(_T_11470, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11472 = and(_T_11468, _T_11471) @[ifu_bp_ctl.scala 444:87] + node _T_11473 = or(_T_11464, _T_11472) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][1][1] <= _T_11473 @[ifu_bp_ctl.scala 443:27] + node _T_11474 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11475 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11476 = eq(_T_11475, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:97] + node _T_11477 = and(_T_11474, _T_11476) @[ifu_bp_ctl.scala 443:45] + node _T_11478 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11479 = eq(_T_11478, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:186] + node _T_11480 = or(_T_11479, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11481 = and(_T_11477, _T_11480) @[ifu_bp_ctl.scala 443:110] + node _T_11482 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11483 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11484 = eq(_T_11483, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:74] + node _T_11485 = and(_T_11482, _T_11484) @[ifu_bp_ctl.scala 444:22] + node _T_11486 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11487 = eq(_T_11486, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:163] + node _T_11488 = or(_T_11487, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11489 = and(_T_11485, _T_11488) @[ifu_bp_ctl.scala 444:87] + node _T_11490 = or(_T_11481, _T_11489) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][1][2] <= _T_11490 @[ifu_bp_ctl.scala 443:27] + node _T_11491 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11492 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11493 = eq(_T_11492, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:97] + node _T_11494 = and(_T_11491, _T_11493) @[ifu_bp_ctl.scala 443:45] + node _T_11495 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11496 = eq(_T_11495, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:186] + node _T_11497 = or(_T_11496, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11498 = and(_T_11494, _T_11497) @[ifu_bp_ctl.scala 443:110] + node _T_11499 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11500 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11501 = eq(_T_11500, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:74] + node _T_11502 = and(_T_11499, _T_11501) @[ifu_bp_ctl.scala 444:22] + node _T_11503 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11504 = eq(_T_11503, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:163] + node _T_11505 = or(_T_11504, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11506 = and(_T_11502, _T_11505) @[ifu_bp_ctl.scala 444:87] + node _T_11507 = or(_T_11498, _T_11506) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][1][3] <= _T_11507 @[ifu_bp_ctl.scala 443:27] + node _T_11508 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11509 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11510 = eq(_T_11509, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:97] + node _T_11511 = and(_T_11508, _T_11510) @[ifu_bp_ctl.scala 443:45] + node _T_11512 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11513 = eq(_T_11512, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:186] + node _T_11514 = or(_T_11513, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11515 = and(_T_11511, _T_11514) @[ifu_bp_ctl.scala 443:110] + node _T_11516 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11517 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11518 = eq(_T_11517, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:74] + node _T_11519 = and(_T_11516, _T_11518) @[ifu_bp_ctl.scala 444:22] + node _T_11520 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11521 = eq(_T_11520, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:163] + node _T_11522 = or(_T_11521, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11523 = and(_T_11519, _T_11522) @[ifu_bp_ctl.scala 444:87] + node _T_11524 = or(_T_11515, _T_11523) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][1][4] <= _T_11524 @[ifu_bp_ctl.scala 443:27] + node _T_11525 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11526 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11527 = eq(_T_11526, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:97] + node _T_11528 = and(_T_11525, _T_11527) @[ifu_bp_ctl.scala 443:45] + node _T_11529 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11530 = eq(_T_11529, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:186] + node _T_11531 = or(_T_11530, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11532 = and(_T_11528, _T_11531) @[ifu_bp_ctl.scala 443:110] + node _T_11533 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11534 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11535 = eq(_T_11534, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:74] + node _T_11536 = and(_T_11533, _T_11535) @[ifu_bp_ctl.scala 444:22] + node _T_11537 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11538 = eq(_T_11537, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:163] + node _T_11539 = or(_T_11538, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11540 = and(_T_11536, _T_11539) @[ifu_bp_ctl.scala 444:87] + node _T_11541 = or(_T_11532, _T_11540) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][1][5] <= _T_11541 @[ifu_bp_ctl.scala 443:27] + node _T_11542 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11543 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11544 = eq(_T_11543, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:97] + node _T_11545 = and(_T_11542, _T_11544) @[ifu_bp_ctl.scala 443:45] + node _T_11546 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11547 = eq(_T_11546, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:186] + node _T_11548 = or(_T_11547, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11549 = and(_T_11545, _T_11548) @[ifu_bp_ctl.scala 443:110] + node _T_11550 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11551 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11552 = eq(_T_11551, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:74] + node _T_11553 = and(_T_11550, _T_11552) @[ifu_bp_ctl.scala 444:22] + node _T_11554 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11555 = eq(_T_11554, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:163] + node _T_11556 = or(_T_11555, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11557 = and(_T_11553, _T_11556) @[ifu_bp_ctl.scala 444:87] + node _T_11558 = or(_T_11549, _T_11557) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][1][6] <= _T_11558 @[ifu_bp_ctl.scala 443:27] + node _T_11559 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11560 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11561 = eq(_T_11560, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:97] + node _T_11562 = and(_T_11559, _T_11561) @[ifu_bp_ctl.scala 443:45] + node _T_11563 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11564 = eq(_T_11563, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:186] + node _T_11565 = or(_T_11564, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11566 = and(_T_11562, _T_11565) @[ifu_bp_ctl.scala 443:110] + node _T_11567 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11568 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11569 = eq(_T_11568, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:74] + node _T_11570 = and(_T_11567, _T_11569) @[ifu_bp_ctl.scala 444:22] + node _T_11571 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11572 = eq(_T_11571, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:163] + node _T_11573 = or(_T_11572, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11574 = and(_T_11570, _T_11573) @[ifu_bp_ctl.scala 444:87] + node _T_11575 = or(_T_11566, _T_11574) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][1][7] <= _T_11575 @[ifu_bp_ctl.scala 443:27] + node _T_11576 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11577 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11578 = eq(_T_11577, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:97] + node _T_11579 = and(_T_11576, _T_11578) @[ifu_bp_ctl.scala 443:45] + node _T_11580 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11581 = eq(_T_11580, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:186] + node _T_11582 = or(_T_11581, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11583 = and(_T_11579, _T_11582) @[ifu_bp_ctl.scala 443:110] + node _T_11584 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11585 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11586 = eq(_T_11585, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:74] + node _T_11587 = and(_T_11584, _T_11586) @[ifu_bp_ctl.scala 444:22] + node _T_11588 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11589 = eq(_T_11588, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:163] + node _T_11590 = or(_T_11589, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11591 = and(_T_11587, _T_11590) @[ifu_bp_ctl.scala 444:87] + node _T_11592 = or(_T_11583, _T_11591) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][1][8] <= _T_11592 @[ifu_bp_ctl.scala 443:27] + node _T_11593 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11594 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11595 = eq(_T_11594, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:97] + node _T_11596 = and(_T_11593, _T_11595) @[ifu_bp_ctl.scala 443:45] + node _T_11597 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11598 = eq(_T_11597, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:186] + node _T_11599 = or(_T_11598, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11600 = and(_T_11596, _T_11599) @[ifu_bp_ctl.scala 443:110] + node _T_11601 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11602 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11603 = eq(_T_11602, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:74] + node _T_11604 = and(_T_11601, _T_11603) @[ifu_bp_ctl.scala 444:22] + node _T_11605 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11606 = eq(_T_11605, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:163] + node _T_11607 = or(_T_11606, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11608 = and(_T_11604, _T_11607) @[ifu_bp_ctl.scala 444:87] + node _T_11609 = or(_T_11600, _T_11608) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][1][9] <= _T_11609 @[ifu_bp_ctl.scala 443:27] + node _T_11610 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11611 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11612 = eq(_T_11611, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:97] + node _T_11613 = and(_T_11610, _T_11612) @[ifu_bp_ctl.scala 443:45] + node _T_11614 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11615 = eq(_T_11614, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:186] + node _T_11616 = or(_T_11615, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11617 = and(_T_11613, _T_11616) @[ifu_bp_ctl.scala 443:110] + node _T_11618 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11619 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11620 = eq(_T_11619, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:74] + node _T_11621 = and(_T_11618, _T_11620) @[ifu_bp_ctl.scala 444:22] + node _T_11622 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11623 = eq(_T_11622, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:163] + node _T_11624 = or(_T_11623, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11625 = and(_T_11621, _T_11624) @[ifu_bp_ctl.scala 444:87] + node _T_11626 = or(_T_11617, _T_11625) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][1][10] <= _T_11626 @[ifu_bp_ctl.scala 443:27] + node _T_11627 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11628 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11629 = eq(_T_11628, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:97] + node _T_11630 = and(_T_11627, _T_11629) @[ifu_bp_ctl.scala 443:45] + node _T_11631 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11632 = eq(_T_11631, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:186] + node _T_11633 = or(_T_11632, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11634 = and(_T_11630, _T_11633) @[ifu_bp_ctl.scala 443:110] + node _T_11635 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11636 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11637 = eq(_T_11636, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:74] + node _T_11638 = and(_T_11635, _T_11637) @[ifu_bp_ctl.scala 444:22] + node _T_11639 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11640 = eq(_T_11639, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:163] + node _T_11641 = or(_T_11640, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11642 = and(_T_11638, _T_11641) @[ifu_bp_ctl.scala 444:87] + node _T_11643 = or(_T_11634, _T_11642) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][1][11] <= _T_11643 @[ifu_bp_ctl.scala 443:27] + node _T_11644 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11645 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11646 = eq(_T_11645, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:97] + node _T_11647 = and(_T_11644, _T_11646) @[ifu_bp_ctl.scala 443:45] + node _T_11648 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11649 = eq(_T_11648, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:186] + node _T_11650 = or(_T_11649, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11651 = and(_T_11647, _T_11650) @[ifu_bp_ctl.scala 443:110] + node _T_11652 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11653 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11654 = eq(_T_11653, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:74] + node _T_11655 = and(_T_11652, _T_11654) @[ifu_bp_ctl.scala 444:22] + node _T_11656 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11657 = eq(_T_11656, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:163] + node _T_11658 = or(_T_11657, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11659 = and(_T_11655, _T_11658) @[ifu_bp_ctl.scala 444:87] + node _T_11660 = or(_T_11651, _T_11659) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][1][12] <= _T_11660 @[ifu_bp_ctl.scala 443:27] + node _T_11661 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11662 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11663 = eq(_T_11662, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:97] + node _T_11664 = and(_T_11661, _T_11663) @[ifu_bp_ctl.scala 443:45] + node _T_11665 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11666 = eq(_T_11665, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:186] + node _T_11667 = or(_T_11666, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11668 = and(_T_11664, _T_11667) @[ifu_bp_ctl.scala 443:110] + node _T_11669 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11670 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11671 = eq(_T_11670, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:74] + node _T_11672 = and(_T_11669, _T_11671) @[ifu_bp_ctl.scala 444:22] + node _T_11673 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11674 = eq(_T_11673, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:163] + node _T_11675 = or(_T_11674, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11676 = and(_T_11672, _T_11675) @[ifu_bp_ctl.scala 444:87] + node _T_11677 = or(_T_11668, _T_11676) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][1][13] <= _T_11677 @[ifu_bp_ctl.scala 443:27] + node _T_11678 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11679 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11680 = eq(_T_11679, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:97] + node _T_11681 = and(_T_11678, _T_11680) @[ifu_bp_ctl.scala 443:45] + node _T_11682 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11683 = eq(_T_11682, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:186] + node _T_11684 = or(_T_11683, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11685 = and(_T_11681, _T_11684) @[ifu_bp_ctl.scala 443:110] + node _T_11686 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11687 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11688 = eq(_T_11687, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:74] + node _T_11689 = and(_T_11686, _T_11688) @[ifu_bp_ctl.scala 444:22] + node _T_11690 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11691 = eq(_T_11690, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:163] + node _T_11692 = or(_T_11691, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11693 = and(_T_11689, _T_11692) @[ifu_bp_ctl.scala 444:87] + node _T_11694 = or(_T_11685, _T_11693) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][1][14] <= _T_11694 @[ifu_bp_ctl.scala 443:27] + node _T_11695 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11696 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11697 = eq(_T_11696, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:97] + node _T_11698 = and(_T_11695, _T_11697) @[ifu_bp_ctl.scala 443:45] + node _T_11699 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11700 = eq(_T_11699, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:186] + node _T_11701 = or(_T_11700, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11702 = and(_T_11698, _T_11701) @[ifu_bp_ctl.scala 443:110] + node _T_11703 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11704 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11705 = eq(_T_11704, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:74] + node _T_11706 = and(_T_11703, _T_11705) @[ifu_bp_ctl.scala 444:22] + node _T_11707 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11708 = eq(_T_11707, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:163] + node _T_11709 = or(_T_11708, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11710 = and(_T_11706, _T_11709) @[ifu_bp_ctl.scala 444:87] + node _T_11711 = or(_T_11702, _T_11710) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][1][15] <= _T_11711 @[ifu_bp_ctl.scala 443:27] + node _T_11712 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11713 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11714 = eq(_T_11713, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:97] + node _T_11715 = and(_T_11712, _T_11714) @[ifu_bp_ctl.scala 443:45] + node _T_11716 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11717 = eq(_T_11716, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:186] + node _T_11718 = or(_T_11717, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11719 = and(_T_11715, _T_11718) @[ifu_bp_ctl.scala 443:110] + node _T_11720 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11721 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11722 = eq(_T_11721, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:74] + node _T_11723 = and(_T_11720, _T_11722) @[ifu_bp_ctl.scala 444:22] + node _T_11724 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11725 = eq(_T_11724, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:163] + node _T_11726 = or(_T_11725, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11727 = and(_T_11723, _T_11726) @[ifu_bp_ctl.scala 444:87] + node _T_11728 = or(_T_11719, _T_11727) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][2][0] <= _T_11728 @[ifu_bp_ctl.scala 443:27] + node _T_11729 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11730 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11731 = eq(_T_11730, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:97] + node _T_11732 = and(_T_11729, _T_11731) @[ifu_bp_ctl.scala 443:45] + node _T_11733 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11734 = eq(_T_11733, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:186] + node _T_11735 = or(_T_11734, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11736 = and(_T_11732, _T_11735) @[ifu_bp_ctl.scala 443:110] + node _T_11737 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11738 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11739 = eq(_T_11738, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:74] + node _T_11740 = and(_T_11737, _T_11739) @[ifu_bp_ctl.scala 444:22] + node _T_11741 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11742 = eq(_T_11741, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:163] + node _T_11743 = or(_T_11742, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11744 = and(_T_11740, _T_11743) @[ifu_bp_ctl.scala 444:87] + node _T_11745 = or(_T_11736, _T_11744) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][2][1] <= _T_11745 @[ifu_bp_ctl.scala 443:27] + node _T_11746 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11747 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11748 = eq(_T_11747, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:97] + node _T_11749 = and(_T_11746, _T_11748) @[ifu_bp_ctl.scala 443:45] + node _T_11750 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11751 = eq(_T_11750, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:186] + node _T_11752 = or(_T_11751, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11753 = and(_T_11749, _T_11752) @[ifu_bp_ctl.scala 443:110] + node _T_11754 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11755 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11756 = eq(_T_11755, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:74] + node _T_11757 = and(_T_11754, _T_11756) @[ifu_bp_ctl.scala 444:22] + node _T_11758 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11759 = eq(_T_11758, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:163] + node _T_11760 = or(_T_11759, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11761 = and(_T_11757, _T_11760) @[ifu_bp_ctl.scala 444:87] + node _T_11762 = or(_T_11753, _T_11761) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][2][2] <= _T_11762 @[ifu_bp_ctl.scala 443:27] + node _T_11763 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11764 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11765 = eq(_T_11764, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:97] + node _T_11766 = and(_T_11763, _T_11765) @[ifu_bp_ctl.scala 443:45] + node _T_11767 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11768 = eq(_T_11767, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:186] + node _T_11769 = or(_T_11768, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11770 = and(_T_11766, _T_11769) @[ifu_bp_ctl.scala 443:110] + node _T_11771 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11772 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11773 = eq(_T_11772, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:74] + node _T_11774 = and(_T_11771, _T_11773) @[ifu_bp_ctl.scala 444:22] + node _T_11775 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11776 = eq(_T_11775, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:163] + node _T_11777 = or(_T_11776, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11778 = and(_T_11774, _T_11777) @[ifu_bp_ctl.scala 444:87] + node _T_11779 = or(_T_11770, _T_11778) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][2][3] <= _T_11779 @[ifu_bp_ctl.scala 443:27] + node _T_11780 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11781 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11782 = eq(_T_11781, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:97] + node _T_11783 = and(_T_11780, _T_11782) @[ifu_bp_ctl.scala 443:45] + node _T_11784 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11785 = eq(_T_11784, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:186] + node _T_11786 = or(_T_11785, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11787 = and(_T_11783, _T_11786) @[ifu_bp_ctl.scala 443:110] + node _T_11788 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11789 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11790 = eq(_T_11789, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:74] + node _T_11791 = and(_T_11788, _T_11790) @[ifu_bp_ctl.scala 444:22] + node _T_11792 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11793 = eq(_T_11792, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:163] + node _T_11794 = or(_T_11793, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11795 = and(_T_11791, _T_11794) @[ifu_bp_ctl.scala 444:87] + node _T_11796 = or(_T_11787, _T_11795) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][2][4] <= _T_11796 @[ifu_bp_ctl.scala 443:27] + node _T_11797 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11798 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11799 = eq(_T_11798, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:97] + node _T_11800 = and(_T_11797, _T_11799) @[ifu_bp_ctl.scala 443:45] + node _T_11801 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11802 = eq(_T_11801, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:186] + node _T_11803 = or(_T_11802, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11804 = and(_T_11800, _T_11803) @[ifu_bp_ctl.scala 443:110] + node _T_11805 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11806 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11807 = eq(_T_11806, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:74] + node _T_11808 = and(_T_11805, _T_11807) @[ifu_bp_ctl.scala 444:22] + node _T_11809 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11810 = eq(_T_11809, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:163] + node _T_11811 = or(_T_11810, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11812 = and(_T_11808, _T_11811) @[ifu_bp_ctl.scala 444:87] + node _T_11813 = or(_T_11804, _T_11812) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][2][5] <= _T_11813 @[ifu_bp_ctl.scala 443:27] + node _T_11814 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11815 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11816 = eq(_T_11815, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:97] + node _T_11817 = and(_T_11814, _T_11816) @[ifu_bp_ctl.scala 443:45] + node _T_11818 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11819 = eq(_T_11818, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:186] + node _T_11820 = or(_T_11819, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11821 = and(_T_11817, _T_11820) @[ifu_bp_ctl.scala 443:110] + node _T_11822 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11823 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11824 = eq(_T_11823, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:74] + node _T_11825 = and(_T_11822, _T_11824) @[ifu_bp_ctl.scala 444:22] + node _T_11826 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11827 = eq(_T_11826, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:163] + node _T_11828 = or(_T_11827, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11829 = and(_T_11825, _T_11828) @[ifu_bp_ctl.scala 444:87] + node _T_11830 = or(_T_11821, _T_11829) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][2][6] <= _T_11830 @[ifu_bp_ctl.scala 443:27] + node _T_11831 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11832 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11833 = eq(_T_11832, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:97] + node _T_11834 = and(_T_11831, _T_11833) @[ifu_bp_ctl.scala 443:45] + node _T_11835 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11836 = eq(_T_11835, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:186] + node _T_11837 = or(_T_11836, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11838 = and(_T_11834, _T_11837) @[ifu_bp_ctl.scala 443:110] + node _T_11839 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11840 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11841 = eq(_T_11840, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:74] + node _T_11842 = and(_T_11839, _T_11841) @[ifu_bp_ctl.scala 444:22] + node _T_11843 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11844 = eq(_T_11843, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:163] + node _T_11845 = or(_T_11844, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11846 = and(_T_11842, _T_11845) @[ifu_bp_ctl.scala 444:87] + node _T_11847 = or(_T_11838, _T_11846) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][2][7] <= _T_11847 @[ifu_bp_ctl.scala 443:27] + node _T_11848 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11849 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11850 = eq(_T_11849, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:97] + node _T_11851 = and(_T_11848, _T_11850) @[ifu_bp_ctl.scala 443:45] + node _T_11852 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11853 = eq(_T_11852, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:186] + node _T_11854 = or(_T_11853, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11855 = and(_T_11851, _T_11854) @[ifu_bp_ctl.scala 443:110] + node _T_11856 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11857 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11858 = eq(_T_11857, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:74] + node _T_11859 = and(_T_11856, _T_11858) @[ifu_bp_ctl.scala 444:22] + node _T_11860 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11861 = eq(_T_11860, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:163] + node _T_11862 = or(_T_11861, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11863 = and(_T_11859, _T_11862) @[ifu_bp_ctl.scala 444:87] + node _T_11864 = or(_T_11855, _T_11863) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][2][8] <= _T_11864 @[ifu_bp_ctl.scala 443:27] + node _T_11865 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11866 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11867 = eq(_T_11866, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:97] + node _T_11868 = and(_T_11865, _T_11867) @[ifu_bp_ctl.scala 443:45] + node _T_11869 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11870 = eq(_T_11869, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:186] + node _T_11871 = or(_T_11870, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11872 = and(_T_11868, _T_11871) @[ifu_bp_ctl.scala 443:110] + node _T_11873 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11874 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11875 = eq(_T_11874, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:74] + node _T_11876 = and(_T_11873, _T_11875) @[ifu_bp_ctl.scala 444:22] + node _T_11877 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11878 = eq(_T_11877, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:163] + node _T_11879 = or(_T_11878, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11880 = and(_T_11876, _T_11879) @[ifu_bp_ctl.scala 444:87] + node _T_11881 = or(_T_11872, _T_11880) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][2][9] <= _T_11881 @[ifu_bp_ctl.scala 443:27] + node _T_11882 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11883 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11884 = eq(_T_11883, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:97] + node _T_11885 = and(_T_11882, _T_11884) @[ifu_bp_ctl.scala 443:45] + node _T_11886 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11887 = eq(_T_11886, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:186] + node _T_11888 = or(_T_11887, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11889 = and(_T_11885, _T_11888) @[ifu_bp_ctl.scala 443:110] + node _T_11890 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11891 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11892 = eq(_T_11891, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:74] + node _T_11893 = and(_T_11890, _T_11892) @[ifu_bp_ctl.scala 444:22] + node _T_11894 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11895 = eq(_T_11894, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:163] + node _T_11896 = or(_T_11895, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11897 = and(_T_11893, _T_11896) @[ifu_bp_ctl.scala 444:87] + node _T_11898 = or(_T_11889, _T_11897) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][2][10] <= _T_11898 @[ifu_bp_ctl.scala 443:27] + node _T_11899 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11900 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11901 = eq(_T_11900, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:97] + node _T_11902 = and(_T_11899, _T_11901) @[ifu_bp_ctl.scala 443:45] + node _T_11903 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11904 = eq(_T_11903, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:186] + node _T_11905 = or(_T_11904, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11906 = and(_T_11902, _T_11905) @[ifu_bp_ctl.scala 443:110] + node _T_11907 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11908 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11909 = eq(_T_11908, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:74] + node _T_11910 = and(_T_11907, _T_11909) @[ifu_bp_ctl.scala 444:22] + node _T_11911 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11912 = eq(_T_11911, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:163] + node _T_11913 = or(_T_11912, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11914 = and(_T_11910, _T_11913) @[ifu_bp_ctl.scala 444:87] + node _T_11915 = or(_T_11906, _T_11914) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][2][11] <= _T_11915 @[ifu_bp_ctl.scala 443:27] + node _T_11916 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11917 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11918 = eq(_T_11917, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:97] + node _T_11919 = and(_T_11916, _T_11918) @[ifu_bp_ctl.scala 443:45] + node _T_11920 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11921 = eq(_T_11920, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:186] + node _T_11922 = or(_T_11921, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11923 = and(_T_11919, _T_11922) @[ifu_bp_ctl.scala 443:110] + node _T_11924 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11925 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11926 = eq(_T_11925, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:74] + node _T_11927 = and(_T_11924, _T_11926) @[ifu_bp_ctl.scala 444:22] + node _T_11928 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11929 = eq(_T_11928, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:163] + node _T_11930 = or(_T_11929, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11931 = and(_T_11927, _T_11930) @[ifu_bp_ctl.scala 444:87] + node _T_11932 = or(_T_11923, _T_11931) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][2][12] <= _T_11932 @[ifu_bp_ctl.scala 443:27] + node _T_11933 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11934 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11935 = eq(_T_11934, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:97] + node _T_11936 = and(_T_11933, _T_11935) @[ifu_bp_ctl.scala 443:45] + node _T_11937 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11938 = eq(_T_11937, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:186] + node _T_11939 = or(_T_11938, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11940 = and(_T_11936, _T_11939) @[ifu_bp_ctl.scala 443:110] + node _T_11941 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11942 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11943 = eq(_T_11942, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:74] + node _T_11944 = and(_T_11941, _T_11943) @[ifu_bp_ctl.scala 444:22] + node _T_11945 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11946 = eq(_T_11945, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:163] + node _T_11947 = or(_T_11946, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11948 = and(_T_11944, _T_11947) @[ifu_bp_ctl.scala 444:87] + node _T_11949 = or(_T_11940, _T_11948) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][2][13] <= _T_11949 @[ifu_bp_ctl.scala 443:27] + node _T_11950 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11951 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11952 = eq(_T_11951, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:97] + node _T_11953 = and(_T_11950, _T_11952) @[ifu_bp_ctl.scala 443:45] + node _T_11954 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11955 = eq(_T_11954, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:186] + node _T_11956 = or(_T_11955, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11957 = and(_T_11953, _T_11956) @[ifu_bp_ctl.scala 443:110] + node _T_11958 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11959 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11960 = eq(_T_11959, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:74] + node _T_11961 = and(_T_11958, _T_11960) @[ifu_bp_ctl.scala 444:22] + node _T_11962 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11963 = eq(_T_11962, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:163] + node _T_11964 = or(_T_11963, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11965 = and(_T_11961, _T_11964) @[ifu_bp_ctl.scala 444:87] + node _T_11966 = or(_T_11957, _T_11965) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][2][14] <= _T_11966 @[ifu_bp_ctl.scala 443:27] + node _T_11967 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11968 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11969 = eq(_T_11968, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:97] + node _T_11970 = and(_T_11967, _T_11969) @[ifu_bp_ctl.scala 443:45] + node _T_11971 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11972 = eq(_T_11971, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:186] + node _T_11973 = or(_T_11972, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11974 = and(_T_11970, _T_11973) @[ifu_bp_ctl.scala 443:110] + node _T_11975 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11976 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11977 = eq(_T_11976, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:74] + node _T_11978 = and(_T_11975, _T_11977) @[ifu_bp_ctl.scala 444:22] + node _T_11979 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11980 = eq(_T_11979, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:163] + node _T_11981 = or(_T_11980, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11982 = and(_T_11978, _T_11981) @[ifu_bp_ctl.scala 444:87] + node _T_11983 = or(_T_11974, _T_11982) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][2][15] <= _T_11983 @[ifu_bp_ctl.scala 443:27] + node _T_11984 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_11985 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_11986 = eq(_T_11985, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:97] + node _T_11987 = and(_T_11984, _T_11986) @[ifu_bp_ctl.scala 443:45] + node _T_11988 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_11989 = eq(_T_11988, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:186] + node _T_11990 = or(_T_11989, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_11991 = and(_T_11987, _T_11990) @[ifu_bp_ctl.scala 443:110] + node _T_11992 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_11993 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_11994 = eq(_T_11993, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:74] + node _T_11995 = and(_T_11992, _T_11994) @[ifu_bp_ctl.scala 444:22] + node _T_11996 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_11997 = eq(_T_11996, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:163] + node _T_11998 = or(_T_11997, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_11999 = and(_T_11995, _T_11998) @[ifu_bp_ctl.scala 444:87] + node _T_12000 = or(_T_11991, _T_11999) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][3][0] <= _T_12000 @[ifu_bp_ctl.scala 443:27] + node _T_12001 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12002 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12003 = eq(_T_12002, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:97] + node _T_12004 = and(_T_12001, _T_12003) @[ifu_bp_ctl.scala 443:45] + node _T_12005 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12006 = eq(_T_12005, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:186] + node _T_12007 = or(_T_12006, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12008 = and(_T_12004, _T_12007) @[ifu_bp_ctl.scala 443:110] + node _T_12009 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12010 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12011 = eq(_T_12010, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:74] + node _T_12012 = and(_T_12009, _T_12011) @[ifu_bp_ctl.scala 444:22] + node _T_12013 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12014 = eq(_T_12013, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:163] + node _T_12015 = or(_T_12014, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12016 = and(_T_12012, _T_12015) @[ifu_bp_ctl.scala 444:87] + node _T_12017 = or(_T_12008, _T_12016) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][3][1] <= _T_12017 @[ifu_bp_ctl.scala 443:27] + node _T_12018 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12019 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12020 = eq(_T_12019, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:97] + node _T_12021 = and(_T_12018, _T_12020) @[ifu_bp_ctl.scala 443:45] + node _T_12022 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12023 = eq(_T_12022, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:186] + node _T_12024 = or(_T_12023, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12025 = and(_T_12021, _T_12024) @[ifu_bp_ctl.scala 443:110] + node _T_12026 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12027 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12028 = eq(_T_12027, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:74] + node _T_12029 = and(_T_12026, _T_12028) @[ifu_bp_ctl.scala 444:22] + node _T_12030 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12031 = eq(_T_12030, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:163] + node _T_12032 = or(_T_12031, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12033 = and(_T_12029, _T_12032) @[ifu_bp_ctl.scala 444:87] + node _T_12034 = or(_T_12025, _T_12033) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][3][2] <= _T_12034 @[ifu_bp_ctl.scala 443:27] + node _T_12035 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12036 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12037 = eq(_T_12036, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:97] + node _T_12038 = and(_T_12035, _T_12037) @[ifu_bp_ctl.scala 443:45] + node _T_12039 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12040 = eq(_T_12039, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:186] + node _T_12041 = or(_T_12040, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12042 = and(_T_12038, _T_12041) @[ifu_bp_ctl.scala 443:110] + node _T_12043 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12044 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12045 = eq(_T_12044, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:74] + node _T_12046 = and(_T_12043, _T_12045) @[ifu_bp_ctl.scala 444:22] + node _T_12047 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12048 = eq(_T_12047, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:163] + node _T_12049 = or(_T_12048, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12050 = and(_T_12046, _T_12049) @[ifu_bp_ctl.scala 444:87] + node _T_12051 = or(_T_12042, _T_12050) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][3][3] <= _T_12051 @[ifu_bp_ctl.scala 443:27] + node _T_12052 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12053 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12054 = eq(_T_12053, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:97] + node _T_12055 = and(_T_12052, _T_12054) @[ifu_bp_ctl.scala 443:45] + node _T_12056 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12057 = eq(_T_12056, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:186] + node _T_12058 = or(_T_12057, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12059 = and(_T_12055, _T_12058) @[ifu_bp_ctl.scala 443:110] + node _T_12060 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12061 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12062 = eq(_T_12061, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:74] + node _T_12063 = and(_T_12060, _T_12062) @[ifu_bp_ctl.scala 444:22] + node _T_12064 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12065 = eq(_T_12064, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:163] + node _T_12066 = or(_T_12065, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12067 = and(_T_12063, _T_12066) @[ifu_bp_ctl.scala 444:87] + node _T_12068 = or(_T_12059, _T_12067) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][3][4] <= _T_12068 @[ifu_bp_ctl.scala 443:27] + node _T_12069 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12070 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12071 = eq(_T_12070, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:97] + node _T_12072 = and(_T_12069, _T_12071) @[ifu_bp_ctl.scala 443:45] + node _T_12073 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12074 = eq(_T_12073, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:186] + node _T_12075 = or(_T_12074, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12076 = and(_T_12072, _T_12075) @[ifu_bp_ctl.scala 443:110] + node _T_12077 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12078 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12079 = eq(_T_12078, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:74] + node _T_12080 = and(_T_12077, _T_12079) @[ifu_bp_ctl.scala 444:22] + node _T_12081 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12082 = eq(_T_12081, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:163] + node _T_12083 = or(_T_12082, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12084 = and(_T_12080, _T_12083) @[ifu_bp_ctl.scala 444:87] + node _T_12085 = or(_T_12076, _T_12084) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][3][5] <= _T_12085 @[ifu_bp_ctl.scala 443:27] + node _T_12086 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12087 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12088 = eq(_T_12087, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:97] + node _T_12089 = and(_T_12086, _T_12088) @[ifu_bp_ctl.scala 443:45] + node _T_12090 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12091 = eq(_T_12090, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:186] + node _T_12092 = or(_T_12091, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12093 = and(_T_12089, _T_12092) @[ifu_bp_ctl.scala 443:110] + node _T_12094 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12095 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12096 = eq(_T_12095, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:74] + node _T_12097 = and(_T_12094, _T_12096) @[ifu_bp_ctl.scala 444:22] + node _T_12098 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12099 = eq(_T_12098, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:163] + node _T_12100 = or(_T_12099, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12101 = and(_T_12097, _T_12100) @[ifu_bp_ctl.scala 444:87] + node _T_12102 = or(_T_12093, _T_12101) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][3][6] <= _T_12102 @[ifu_bp_ctl.scala 443:27] + node _T_12103 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12104 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12105 = eq(_T_12104, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:97] + node _T_12106 = and(_T_12103, _T_12105) @[ifu_bp_ctl.scala 443:45] + node _T_12107 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12108 = eq(_T_12107, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:186] + node _T_12109 = or(_T_12108, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12110 = and(_T_12106, _T_12109) @[ifu_bp_ctl.scala 443:110] + node _T_12111 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12112 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12113 = eq(_T_12112, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:74] + node _T_12114 = and(_T_12111, _T_12113) @[ifu_bp_ctl.scala 444:22] + node _T_12115 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12116 = eq(_T_12115, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:163] + node _T_12117 = or(_T_12116, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12118 = and(_T_12114, _T_12117) @[ifu_bp_ctl.scala 444:87] + node _T_12119 = or(_T_12110, _T_12118) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][3][7] <= _T_12119 @[ifu_bp_ctl.scala 443:27] + node _T_12120 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12121 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12122 = eq(_T_12121, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:97] + node _T_12123 = and(_T_12120, _T_12122) @[ifu_bp_ctl.scala 443:45] + node _T_12124 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12125 = eq(_T_12124, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:186] + node _T_12126 = or(_T_12125, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12127 = and(_T_12123, _T_12126) @[ifu_bp_ctl.scala 443:110] + node _T_12128 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12129 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12130 = eq(_T_12129, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:74] + node _T_12131 = and(_T_12128, _T_12130) @[ifu_bp_ctl.scala 444:22] + node _T_12132 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12133 = eq(_T_12132, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:163] + node _T_12134 = or(_T_12133, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12135 = and(_T_12131, _T_12134) @[ifu_bp_ctl.scala 444:87] + node _T_12136 = or(_T_12127, _T_12135) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][3][8] <= _T_12136 @[ifu_bp_ctl.scala 443:27] + node _T_12137 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12138 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12139 = eq(_T_12138, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:97] + node _T_12140 = and(_T_12137, _T_12139) @[ifu_bp_ctl.scala 443:45] + node _T_12141 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12142 = eq(_T_12141, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:186] + node _T_12143 = or(_T_12142, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12144 = and(_T_12140, _T_12143) @[ifu_bp_ctl.scala 443:110] + node _T_12145 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12146 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12147 = eq(_T_12146, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:74] + node _T_12148 = and(_T_12145, _T_12147) @[ifu_bp_ctl.scala 444:22] + node _T_12149 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12150 = eq(_T_12149, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:163] + node _T_12151 = or(_T_12150, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12152 = and(_T_12148, _T_12151) @[ifu_bp_ctl.scala 444:87] + node _T_12153 = or(_T_12144, _T_12152) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][3][9] <= _T_12153 @[ifu_bp_ctl.scala 443:27] + node _T_12154 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12155 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12156 = eq(_T_12155, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:97] + node _T_12157 = and(_T_12154, _T_12156) @[ifu_bp_ctl.scala 443:45] + node _T_12158 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12159 = eq(_T_12158, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:186] + node _T_12160 = or(_T_12159, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12161 = and(_T_12157, _T_12160) @[ifu_bp_ctl.scala 443:110] + node _T_12162 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12163 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12164 = eq(_T_12163, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:74] + node _T_12165 = and(_T_12162, _T_12164) @[ifu_bp_ctl.scala 444:22] + node _T_12166 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12167 = eq(_T_12166, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:163] + node _T_12168 = or(_T_12167, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12169 = and(_T_12165, _T_12168) @[ifu_bp_ctl.scala 444:87] + node _T_12170 = or(_T_12161, _T_12169) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][3][10] <= _T_12170 @[ifu_bp_ctl.scala 443:27] + node _T_12171 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12172 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12173 = eq(_T_12172, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:97] + node _T_12174 = and(_T_12171, _T_12173) @[ifu_bp_ctl.scala 443:45] + node _T_12175 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12176 = eq(_T_12175, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:186] + node _T_12177 = or(_T_12176, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12178 = and(_T_12174, _T_12177) @[ifu_bp_ctl.scala 443:110] + node _T_12179 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12180 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12181 = eq(_T_12180, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:74] + node _T_12182 = and(_T_12179, _T_12181) @[ifu_bp_ctl.scala 444:22] + node _T_12183 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12184 = eq(_T_12183, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:163] + node _T_12185 = or(_T_12184, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12186 = and(_T_12182, _T_12185) @[ifu_bp_ctl.scala 444:87] + node _T_12187 = or(_T_12178, _T_12186) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][3][11] <= _T_12187 @[ifu_bp_ctl.scala 443:27] + node _T_12188 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12189 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12190 = eq(_T_12189, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:97] + node _T_12191 = and(_T_12188, _T_12190) @[ifu_bp_ctl.scala 443:45] + node _T_12192 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12193 = eq(_T_12192, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:186] + node _T_12194 = or(_T_12193, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12195 = and(_T_12191, _T_12194) @[ifu_bp_ctl.scala 443:110] + node _T_12196 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12197 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12198 = eq(_T_12197, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:74] + node _T_12199 = and(_T_12196, _T_12198) @[ifu_bp_ctl.scala 444:22] + node _T_12200 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12201 = eq(_T_12200, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:163] + node _T_12202 = or(_T_12201, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12203 = and(_T_12199, _T_12202) @[ifu_bp_ctl.scala 444:87] + node _T_12204 = or(_T_12195, _T_12203) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][3][12] <= _T_12204 @[ifu_bp_ctl.scala 443:27] + node _T_12205 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12206 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12207 = eq(_T_12206, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:97] + node _T_12208 = and(_T_12205, _T_12207) @[ifu_bp_ctl.scala 443:45] + node _T_12209 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12210 = eq(_T_12209, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:186] + node _T_12211 = or(_T_12210, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12212 = and(_T_12208, _T_12211) @[ifu_bp_ctl.scala 443:110] + node _T_12213 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12214 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12215 = eq(_T_12214, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:74] + node _T_12216 = and(_T_12213, _T_12215) @[ifu_bp_ctl.scala 444:22] + node _T_12217 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12218 = eq(_T_12217, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:163] + node _T_12219 = or(_T_12218, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12220 = and(_T_12216, _T_12219) @[ifu_bp_ctl.scala 444:87] + node _T_12221 = or(_T_12212, _T_12220) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][3][13] <= _T_12221 @[ifu_bp_ctl.scala 443:27] + node _T_12222 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12223 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12224 = eq(_T_12223, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:97] + node _T_12225 = and(_T_12222, _T_12224) @[ifu_bp_ctl.scala 443:45] + node _T_12226 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12227 = eq(_T_12226, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:186] + node _T_12228 = or(_T_12227, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12229 = and(_T_12225, _T_12228) @[ifu_bp_ctl.scala 443:110] + node _T_12230 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12231 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12232 = eq(_T_12231, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:74] + node _T_12233 = and(_T_12230, _T_12232) @[ifu_bp_ctl.scala 444:22] + node _T_12234 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12235 = eq(_T_12234, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:163] + node _T_12236 = or(_T_12235, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12237 = and(_T_12233, _T_12236) @[ifu_bp_ctl.scala 444:87] + node _T_12238 = or(_T_12229, _T_12237) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][3][14] <= _T_12238 @[ifu_bp_ctl.scala 443:27] + node _T_12239 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12240 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12241 = eq(_T_12240, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:97] + node _T_12242 = and(_T_12239, _T_12241) @[ifu_bp_ctl.scala 443:45] + node _T_12243 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12244 = eq(_T_12243, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:186] + node _T_12245 = or(_T_12244, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12246 = and(_T_12242, _T_12245) @[ifu_bp_ctl.scala 443:110] + node _T_12247 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12248 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12249 = eq(_T_12248, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:74] + node _T_12250 = and(_T_12247, _T_12249) @[ifu_bp_ctl.scala 444:22] + node _T_12251 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12252 = eq(_T_12251, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:163] + node _T_12253 = or(_T_12252, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12254 = and(_T_12250, _T_12253) @[ifu_bp_ctl.scala 444:87] + node _T_12255 = or(_T_12246, _T_12254) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][3][15] <= _T_12255 @[ifu_bp_ctl.scala 443:27] + node _T_12256 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12257 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12258 = eq(_T_12257, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:97] + node _T_12259 = and(_T_12256, _T_12258) @[ifu_bp_ctl.scala 443:45] + node _T_12260 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12261 = eq(_T_12260, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:186] + node _T_12262 = or(_T_12261, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12263 = and(_T_12259, _T_12262) @[ifu_bp_ctl.scala 443:110] + node _T_12264 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12265 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12266 = eq(_T_12265, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:74] + node _T_12267 = and(_T_12264, _T_12266) @[ifu_bp_ctl.scala 444:22] + node _T_12268 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12269 = eq(_T_12268, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:163] + node _T_12270 = or(_T_12269, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12271 = and(_T_12267, _T_12270) @[ifu_bp_ctl.scala 444:87] + node _T_12272 = or(_T_12263, _T_12271) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][4][0] <= _T_12272 @[ifu_bp_ctl.scala 443:27] + node _T_12273 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12274 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12275 = eq(_T_12274, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:97] + node _T_12276 = and(_T_12273, _T_12275) @[ifu_bp_ctl.scala 443:45] + node _T_12277 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12278 = eq(_T_12277, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:186] + node _T_12279 = or(_T_12278, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12280 = and(_T_12276, _T_12279) @[ifu_bp_ctl.scala 443:110] + node _T_12281 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12282 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12283 = eq(_T_12282, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:74] + node _T_12284 = and(_T_12281, _T_12283) @[ifu_bp_ctl.scala 444:22] + node _T_12285 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12286 = eq(_T_12285, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:163] + node _T_12287 = or(_T_12286, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12288 = and(_T_12284, _T_12287) @[ifu_bp_ctl.scala 444:87] + node _T_12289 = or(_T_12280, _T_12288) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][4][1] <= _T_12289 @[ifu_bp_ctl.scala 443:27] + node _T_12290 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12291 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12292 = eq(_T_12291, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:97] + node _T_12293 = and(_T_12290, _T_12292) @[ifu_bp_ctl.scala 443:45] + node _T_12294 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12295 = eq(_T_12294, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:186] + node _T_12296 = or(_T_12295, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12297 = and(_T_12293, _T_12296) @[ifu_bp_ctl.scala 443:110] + node _T_12298 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12299 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12300 = eq(_T_12299, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:74] + node _T_12301 = and(_T_12298, _T_12300) @[ifu_bp_ctl.scala 444:22] + node _T_12302 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12303 = eq(_T_12302, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:163] + node _T_12304 = or(_T_12303, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12305 = and(_T_12301, _T_12304) @[ifu_bp_ctl.scala 444:87] + node _T_12306 = or(_T_12297, _T_12305) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][4][2] <= _T_12306 @[ifu_bp_ctl.scala 443:27] + node _T_12307 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12308 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12309 = eq(_T_12308, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:97] + node _T_12310 = and(_T_12307, _T_12309) @[ifu_bp_ctl.scala 443:45] + node _T_12311 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12312 = eq(_T_12311, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:186] + node _T_12313 = or(_T_12312, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12314 = and(_T_12310, _T_12313) @[ifu_bp_ctl.scala 443:110] + node _T_12315 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12316 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12317 = eq(_T_12316, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:74] + node _T_12318 = and(_T_12315, _T_12317) @[ifu_bp_ctl.scala 444:22] + node _T_12319 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12320 = eq(_T_12319, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:163] + node _T_12321 = or(_T_12320, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12322 = and(_T_12318, _T_12321) @[ifu_bp_ctl.scala 444:87] + node _T_12323 = or(_T_12314, _T_12322) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][4][3] <= _T_12323 @[ifu_bp_ctl.scala 443:27] + node _T_12324 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12325 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12326 = eq(_T_12325, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:97] + node _T_12327 = and(_T_12324, _T_12326) @[ifu_bp_ctl.scala 443:45] + node _T_12328 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12329 = eq(_T_12328, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:186] + node _T_12330 = or(_T_12329, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12331 = and(_T_12327, _T_12330) @[ifu_bp_ctl.scala 443:110] + node _T_12332 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12333 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12334 = eq(_T_12333, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:74] + node _T_12335 = and(_T_12332, _T_12334) @[ifu_bp_ctl.scala 444:22] + node _T_12336 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12337 = eq(_T_12336, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:163] + node _T_12338 = or(_T_12337, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12339 = and(_T_12335, _T_12338) @[ifu_bp_ctl.scala 444:87] + node _T_12340 = or(_T_12331, _T_12339) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][4][4] <= _T_12340 @[ifu_bp_ctl.scala 443:27] + node _T_12341 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12342 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12343 = eq(_T_12342, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:97] + node _T_12344 = and(_T_12341, _T_12343) @[ifu_bp_ctl.scala 443:45] + node _T_12345 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12346 = eq(_T_12345, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:186] + node _T_12347 = or(_T_12346, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12348 = and(_T_12344, _T_12347) @[ifu_bp_ctl.scala 443:110] + node _T_12349 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12350 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12351 = eq(_T_12350, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:74] + node _T_12352 = and(_T_12349, _T_12351) @[ifu_bp_ctl.scala 444:22] + node _T_12353 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12354 = eq(_T_12353, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:163] + node _T_12355 = or(_T_12354, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12356 = and(_T_12352, _T_12355) @[ifu_bp_ctl.scala 444:87] + node _T_12357 = or(_T_12348, _T_12356) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][4][5] <= _T_12357 @[ifu_bp_ctl.scala 443:27] + node _T_12358 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12359 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12360 = eq(_T_12359, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:97] + node _T_12361 = and(_T_12358, _T_12360) @[ifu_bp_ctl.scala 443:45] + node _T_12362 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12363 = eq(_T_12362, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:186] + node _T_12364 = or(_T_12363, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12365 = and(_T_12361, _T_12364) @[ifu_bp_ctl.scala 443:110] + node _T_12366 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12367 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12368 = eq(_T_12367, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:74] + node _T_12369 = and(_T_12366, _T_12368) @[ifu_bp_ctl.scala 444:22] + node _T_12370 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12371 = eq(_T_12370, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:163] + node _T_12372 = or(_T_12371, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12373 = and(_T_12369, _T_12372) @[ifu_bp_ctl.scala 444:87] + node _T_12374 = or(_T_12365, _T_12373) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][4][6] <= _T_12374 @[ifu_bp_ctl.scala 443:27] + node _T_12375 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12376 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12377 = eq(_T_12376, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:97] + node _T_12378 = and(_T_12375, _T_12377) @[ifu_bp_ctl.scala 443:45] + node _T_12379 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12380 = eq(_T_12379, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:186] + node _T_12381 = or(_T_12380, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12382 = and(_T_12378, _T_12381) @[ifu_bp_ctl.scala 443:110] + node _T_12383 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12384 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12385 = eq(_T_12384, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:74] + node _T_12386 = and(_T_12383, _T_12385) @[ifu_bp_ctl.scala 444:22] + node _T_12387 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12388 = eq(_T_12387, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:163] + node _T_12389 = or(_T_12388, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12390 = and(_T_12386, _T_12389) @[ifu_bp_ctl.scala 444:87] + node _T_12391 = or(_T_12382, _T_12390) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][4][7] <= _T_12391 @[ifu_bp_ctl.scala 443:27] + node _T_12392 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12393 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12394 = eq(_T_12393, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:97] + node _T_12395 = and(_T_12392, _T_12394) @[ifu_bp_ctl.scala 443:45] + node _T_12396 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12397 = eq(_T_12396, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:186] + node _T_12398 = or(_T_12397, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12399 = and(_T_12395, _T_12398) @[ifu_bp_ctl.scala 443:110] + node _T_12400 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12401 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12402 = eq(_T_12401, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:74] + node _T_12403 = and(_T_12400, _T_12402) @[ifu_bp_ctl.scala 444:22] + node _T_12404 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12405 = eq(_T_12404, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:163] + node _T_12406 = or(_T_12405, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12407 = and(_T_12403, _T_12406) @[ifu_bp_ctl.scala 444:87] + node _T_12408 = or(_T_12399, _T_12407) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][4][8] <= _T_12408 @[ifu_bp_ctl.scala 443:27] + node _T_12409 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12410 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12411 = eq(_T_12410, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:97] + node _T_12412 = and(_T_12409, _T_12411) @[ifu_bp_ctl.scala 443:45] + node _T_12413 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12414 = eq(_T_12413, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:186] + node _T_12415 = or(_T_12414, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12416 = and(_T_12412, _T_12415) @[ifu_bp_ctl.scala 443:110] + node _T_12417 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12418 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12419 = eq(_T_12418, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:74] + node _T_12420 = and(_T_12417, _T_12419) @[ifu_bp_ctl.scala 444:22] + node _T_12421 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12422 = eq(_T_12421, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:163] + node _T_12423 = or(_T_12422, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12424 = and(_T_12420, _T_12423) @[ifu_bp_ctl.scala 444:87] + node _T_12425 = or(_T_12416, _T_12424) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][4][9] <= _T_12425 @[ifu_bp_ctl.scala 443:27] + node _T_12426 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12427 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12428 = eq(_T_12427, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:97] + node _T_12429 = and(_T_12426, _T_12428) @[ifu_bp_ctl.scala 443:45] + node _T_12430 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12431 = eq(_T_12430, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:186] + node _T_12432 = or(_T_12431, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12433 = and(_T_12429, _T_12432) @[ifu_bp_ctl.scala 443:110] + node _T_12434 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12435 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12436 = eq(_T_12435, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:74] + node _T_12437 = and(_T_12434, _T_12436) @[ifu_bp_ctl.scala 444:22] + node _T_12438 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12439 = eq(_T_12438, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:163] + node _T_12440 = or(_T_12439, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12441 = and(_T_12437, _T_12440) @[ifu_bp_ctl.scala 444:87] + node _T_12442 = or(_T_12433, _T_12441) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][4][10] <= _T_12442 @[ifu_bp_ctl.scala 443:27] + node _T_12443 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12444 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12445 = eq(_T_12444, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:97] + node _T_12446 = and(_T_12443, _T_12445) @[ifu_bp_ctl.scala 443:45] + node _T_12447 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12448 = eq(_T_12447, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:186] + node _T_12449 = or(_T_12448, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12450 = and(_T_12446, _T_12449) @[ifu_bp_ctl.scala 443:110] + node _T_12451 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12452 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12453 = eq(_T_12452, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:74] + node _T_12454 = and(_T_12451, _T_12453) @[ifu_bp_ctl.scala 444:22] + node _T_12455 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12456 = eq(_T_12455, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:163] + node _T_12457 = or(_T_12456, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12458 = and(_T_12454, _T_12457) @[ifu_bp_ctl.scala 444:87] + node _T_12459 = or(_T_12450, _T_12458) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][4][11] <= _T_12459 @[ifu_bp_ctl.scala 443:27] + node _T_12460 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12461 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12462 = eq(_T_12461, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:97] + node _T_12463 = and(_T_12460, _T_12462) @[ifu_bp_ctl.scala 443:45] + node _T_12464 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12465 = eq(_T_12464, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:186] + node _T_12466 = or(_T_12465, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12467 = and(_T_12463, _T_12466) @[ifu_bp_ctl.scala 443:110] + node _T_12468 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12469 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12470 = eq(_T_12469, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:74] + node _T_12471 = and(_T_12468, _T_12470) @[ifu_bp_ctl.scala 444:22] + node _T_12472 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12473 = eq(_T_12472, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:163] + node _T_12474 = or(_T_12473, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12475 = and(_T_12471, _T_12474) @[ifu_bp_ctl.scala 444:87] + node _T_12476 = or(_T_12467, _T_12475) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][4][12] <= _T_12476 @[ifu_bp_ctl.scala 443:27] + node _T_12477 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12478 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12479 = eq(_T_12478, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:97] + node _T_12480 = and(_T_12477, _T_12479) @[ifu_bp_ctl.scala 443:45] + node _T_12481 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12482 = eq(_T_12481, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:186] + node _T_12483 = or(_T_12482, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12484 = and(_T_12480, _T_12483) @[ifu_bp_ctl.scala 443:110] + node _T_12485 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12486 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12487 = eq(_T_12486, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:74] + node _T_12488 = and(_T_12485, _T_12487) @[ifu_bp_ctl.scala 444:22] + node _T_12489 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12490 = eq(_T_12489, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:163] + node _T_12491 = or(_T_12490, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12492 = and(_T_12488, _T_12491) @[ifu_bp_ctl.scala 444:87] + node _T_12493 = or(_T_12484, _T_12492) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][4][13] <= _T_12493 @[ifu_bp_ctl.scala 443:27] + node _T_12494 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12495 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12496 = eq(_T_12495, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:97] + node _T_12497 = and(_T_12494, _T_12496) @[ifu_bp_ctl.scala 443:45] + node _T_12498 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12499 = eq(_T_12498, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:186] + node _T_12500 = or(_T_12499, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12501 = and(_T_12497, _T_12500) @[ifu_bp_ctl.scala 443:110] + node _T_12502 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12503 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12504 = eq(_T_12503, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:74] + node _T_12505 = and(_T_12502, _T_12504) @[ifu_bp_ctl.scala 444:22] + node _T_12506 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12507 = eq(_T_12506, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:163] + node _T_12508 = or(_T_12507, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12509 = and(_T_12505, _T_12508) @[ifu_bp_ctl.scala 444:87] + node _T_12510 = or(_T_12501, _T_12509) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][4][14] <= _T_12510 @[ifu_bp_ctl.scala 443:27] + node _T_12511 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12512 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12513 = eq(_T_12512, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:97] + node _T_12514 = and(_T_12511, _T_12513) @[ifu_bp_ctl.scala 443:45] + node _T_12515 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12516 = eq(_T_12515, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:186] + node _T_12517 = or(_T_12516, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12518 = and(_T_12514, _T_12517) @[ifu_bp_ctl.scala 443:110] + node _T_12519 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12520 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12521 = eq(_T_12520, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:74] + node _T_12522 = and(_T_12519, _T_12521) @[ifu_bp_ctl.scala 444:22] + node _T_12523 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12524 = eq(_T_12523, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:163] + node _T_12525 = or(_T_12524, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12526 = and(_T_12522, _T_12525) @[ifu_bp_ctl.scala 444:87] + node _T_12527 = or(_T_12518, _T_12526) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][4][15] <= _T_12527 @[ifu_bp_ctl.scala 443:27] + node _T_12528 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12529 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12530 = eq(_T_12529, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:97] + node _T_12531 = and(_T_12528, _T_12530) @[ifu_bp_ctl.scala 443:45] + node _T_12532 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12533 = eq(_T_12532, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:186] + node _T_12534 = or(_T_12533, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12535 = and(_T_12531, _T_12534) @[ifu_bp_ctl.scala 443:110] + node _T_12536 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12537 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12538 = eq(_T_12537, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:74] + node _T_12539 = and(_T_12536, _T_12538) @[ifu_bp_ctl.scala 444:22] + node _T_12540 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12541 = eq(_T_12540, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:163] + node _T_12542 = or(_T_12541, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12543 = and(_T_12539, _T_12542) @[ifu_bp_ctl.scala 444:87] + node _T_12544 = or(_T_12535, _T_12543) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][5][0] <= _T_12544 @[ifu_bp_ctl.scala 443:27] + node _T_12545 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12546 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12547 = eq(_T_12546, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:97] + node _T_12548 = and(_T_12545, _T_12547) @[ifu_bp_ctl.scala 443:45] + node _T_12549 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12550 = eq(_T_12549, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:186] + node _T_12551 = or(_T_12550, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12552 = and(_T_12548, _T_12551) @[ifu_bp_ctl.scala 443:110] + node _T_12553 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12554 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12555 = eq(_T_12554, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:74] + node _T_12556 = and(_T_12553, _T_12555) @[ifu_bp_ctl.scala 444:22] + node _T_12557 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12558 = eq(_T_12557, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:163] + node _T_12559 = or(_T_12558, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12560 = and(_T_12556, _T_12559) @[ifu_bp_ctl.scala 444:87] + node _T_12561 = or(_T_12552, _T_12560) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][5][1] <= _T_12561 @[ifu_bp_ctl.scala 443:27] + node _T_12562 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12563 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12564 = eq(_T_12563, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:97] + node _T_12565 = and(_T_12562, _T_12564) @[ifu_bp_ctl.scala 443:45] + node _T_12566 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12567 = eq(_T_12566, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:186] + node _T_12568 = or(_T_12567, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12569 = and(_T_12565, _T_12568) @[ifu_bp_ctl.scala 443:110] + node _T_12570 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12571 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12572 = eq(_T_12571, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:74] + node _T_12573 = and(_T_12570, _T_12572) @[ifu_bp_ctl.scala 444:22] + node _T_12574 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12575 = eq(_T_12574, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:163] + node _T_12576 = or(_T_12575, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12577 = and(_T_12573, _T_12576) @[ifu_bp_ctl.scala 444:87] + node _T_12578 = or(_T_12569, _T_12577) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][5][2] <= _T_12578 @[ifu_bp_ctl.scala 443:27] + node _T_12579 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12580 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12581 = eq(_T_12580, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:97] + node _T_12582 = and(_T_12579, _T_12581) @[ifu_bp_ctl.scala 443:45] + node _T_12583 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12584 = eq(_T_12583, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:186] + node _T_12585 = or(_T_12584, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12586 = and(_T_12582, _T_12585) @[ifu_bp_ctl.scala 443:110] + node _T_12587 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12588 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12589 = eq(_T_12588, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:74] + node _T_12590 = and(_T_12587, _T_12589) @[ifu_bp_ctl.scala 444:22] + node _T_12591 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12592 = eq(_T_12591, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:163] + node _T_12593 = or(_T_12592, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12594 = and(_T_12590, _T_12593) @[ifu_bp_ctl.scala 444:87] + node _T_12595 = or(_T_12586, _T_12594) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][5][3] <= _T_12595 @[ifu_bp_ctl.scala 443:27] + node _T_12596 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12597 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12598 = eq(_T_12597, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:97] + node _T_12599 = and(_T_12596, _T_12598) @[ifu_bp_ctl.scala 443:45] + node _T_12600 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12601 = eq(_T_12600, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:186] + node _T_12602 = or(_T_12601, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12603 = and(_T_12599, _T_12602) @[ifu_bp_ctl.scala 443:110] + node _T_12604 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12605 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12606 = eq(_T_12605, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:74] + node _T_12607 = and(_T_12604, _T_12606) @[ifu_bp_ctl.scala 444:22] + node _T_12608 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12609 = eq(_T_12608, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:163] + node _T_12610 = or(_T_12609, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12611 = and(_T_12607, _T_12610) @[ifu_bp_ctl.scala 444:87] + node _T_12612 = or(_T_12603, _T_12611) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][5][4] <= _T_12612 @[ifu_bp_ctl.scala 443:27] + node _T_12613 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12614 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12615 = eq(_T_12614, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:97] + node _T_12616 = and(_T_12613, _T_12615) @[ifu_bp_ctl.scala 443:45] + node _T_12617 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12618 = eq(_T_12617, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:186] + node _T_12619 = or(_T_12618, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12620 = and(_T_12616, _T_12619) @[ifu_bp_ctl.scala 443:110] + node _T_12621 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12622 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12623 = eq(_T_12622, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:74] + node _T_12624 = and(_T_12621, _T_12623) @[ifu_bp_ctl.scala 444:22] + node _T_12625 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12626 = eq(_T_12625, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:163] + node _T_12627 = or(_T_12626, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12628 = and(_T_12624, _T_12627) @[ifu_bp_ctl.scala 444:87] + node _T_12629 = or(_T_12620, _T_12628) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][5][5] <= _T_12629 @[ifu_bp_ctl.scala 443:27] + node _T_12630 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12631 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12632 = eq(_T_12631, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:97] + node _T_12633 = and(_T_12630, _T_12632) @[ifu_bp_ctl.scala 443:45] + node _T_12634 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12635 = eq(_T_12634, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:186] + node _T_12636 = or(_T_12635, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12637 = and(_T_12633, _T_12636) @[ifu_bp_ctl.scala 443:110] + node _T_12638 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12639 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12640 = eq(_T_12639, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:74] + node _T_12641 = and(_T_12638, _T_12640) @[ifu_bp_ctl.scala 444:22] + node _T_12642 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12643 = eq(_T_12642, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:163] + node _T_12644 = or(_T_12643, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12645 = and(_T_12641, _T_12644) @[ifu_bp_ctl.scala 444:87] + node _T_12646 = or(_T_12637, _T_12645) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][5][6] <= _T_12646 @[ifu_bp_ctl.scala 443:27] + node _T_12647 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12648 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12649 = eq(_T_12648, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:97] + node _T_12650 = and(_T_12647, _T_12649) @[ifu_bp_ctl.scala 443:45] + node _T_12651 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12652 = eq(_T_12651, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:186] + node _T_12653 = or(_T_12652, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12654 = and(_T_12650, _T_12653) @[ifu_bp_ctl.scala 443:110] + node _T_12655 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12656 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12657 = eq(_T_12656, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:74] + node _T_12658 = and(_T_12655, _T_12657) @[ifu_bp_ctl.scala 444:22] + node _T_12659 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12660 = eq(_T_12659, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:163] + node _T_12661 = or(_T_12660, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12662 = and(_T_12658, _T_12661) @[ifu_bp_ctl.scala 444:87] + node _T_12663 = or(_T_12654, _T_12662) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][5][7] <= _T_12663 @[ifu_bp_ctl.scala 443:27] + node _T_12664 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12665 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12666 = eq(_T_12665, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:97] + node _T_12667 = and(_T_12664, _T_12666) @[ifu_bp_ctl.scala 443:45] + node _T_12668 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12669 = eq(_T_12668, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:186] + node _T_12670 = or(_T_12669, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12671 = and(_T_12667, _T_12670) @[ifu_bp_ctl.scala 443:110] + node _T_12672 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12673 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12674 = eq(_T_12673, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:74] + node _T_12675 = and(_T_12672, _T_12674) @[ifu_bp_ctl.scala 444:22] + node _T_12676 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12677 = eq(_T_12676, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:163] + node _T_12678 = or(_T_12677, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12679 = and(_T_12675, _T_12678) @[ifu_bp_ctl.scala 444:87] + node _T_12680 = or(_T_12671, _T_12679) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][5][8] <= _T_12680 @[ifu_bp_ctl.scala 443:27] + node _T_12681 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12682 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12683 = eq(_T_12682, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:97] + node _T_12684 = and(_T_12681, _T_12683) @[ifu_bp_ctl.scala 443:45] + node _T_12685 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12686 = eq(_T_12685, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:186] + node _T_12687 = or(_T_12686, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12688 = and(_T_12684, _T_12687) @[ifu_bp_ctl.scala 443:110] + node _T_12689 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12690 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12691 = eq(_T_12690, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:74] + node _T_12692 = and(_T_12689, _T_12691) @[ifu_bp_ctl.scala 444:22] + node _T_12693 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12694 = eq(_T_12693, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:163] + node _T_12695 = or(_T_12694, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12696 = and(_T_12692, _T_12695) @[ifu_bp_ctl.scala 444:87] + node _T_12697 = or(_T_12688, _T_12696) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][5][9] <= _T_12697 @[ifu_bp_ctl.scala 443:27] + node _T_12698 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12699 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12700 = eq(_T_12699, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:97] + node _T_12701 = and(_T_12698, _T_12700) @[ifu_bp_ctl.scala 443:45] + node _T_12702 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12703 = eq(_T_12702, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:186] + node _T_12704 = or(_T_12703, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12705 = and(_T_12701, _T_12704) @[ifu_bp_ctl.scala 443:110] + node _T_12706 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12707 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12708 = eq(_T_12707, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:74] + node _T_12709 = and(_T_12706, _T_12708) @[ifu_bp_ctl.scala 444:22] + node _T_12710 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12711 = eq(_T_12710, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:163] + node _T_12712 = or(_T_12711, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12713 = and(_T_12709, _T_12712) @[ifu_bp_ctl.scala 444:87] + node _T_12714 = or(_T_12705, _T_12713) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][5][10] <= _T_12714 @[ifu_bp_ctl.scala 443:27] + node _T_12715 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12716 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12717 = eq(_T_12716, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:97] + node _T_12718 = and(_T_12715, _T_12717) @[ifu_bp_ctl.scala 443:45] + node _T_12719 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12720 = eq(_T_12719, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:186] + node _T_12721 = or(_T_12720, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12722 = and(_T_12718, _T_12721) @[ifu_bp_ctl.scala 443:110] + node _T_12723 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12724 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12725 = eq(_T_12724, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:74] + node _T_12726 = and(_T_12723, _T_12725) @[ifu_bp_ctl.scala 444:22] + node _T_12727 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12728 = eq(_T_12727, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:163] + node _T_12729 = or(_T_12728, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12730 = and(_T_12726, _T_12729) @[ifu_bp_ctl.scala 444:87] + node _T_12731 = or(_T_12722, _T_12730) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][5][11] <= _T_12731 @[ifu_bp_ctl.scala 443:27] + node _T_12732 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12733 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12734 = eq(_T_12733, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:97] + node _T_12735 = and(_T_12732, _T_12734) @[ifu_bp_ctl.scala 443:45] + node _T_12736 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12737 = eq(_T_12736, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:186] + node _T_12738 = or(_T_12737, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12739 = and(_T_12735, _T_12738) @[ifu_bp_ctl.scala 443:110] + node _T_12740 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12741 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12742 = eq(_T_12741, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:74] + node _T_12743 = and(_T_12740, _T_12742) @[ifu_bp_ctl.scala 444:22] + node _T_12744 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12745 = eq(_T_12744, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:163] + node _T_12746 = or(_T_12745, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12747 = and(_T_12743, _T_12746) @[ifu_bp_ctl.scala 444:87] + node _T_12748 = or(_T_12739, _T_12747) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][5][12] <= _T_12748 @[ifu_bp_ctl.scala 443:27] + node _T_12749 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12750 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12751 = eq(_T_12750, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:97] + node _T_12752 = and(_T_12749, _T_12751) @[ifu_bp_ctl.scala 443:45] + node _T_12753 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12754 = eq(_T_12753, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:186] + node _T_12755 = or(_T_12754, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12756 = and(_T_12752, _T_12755) @[ifu_bp_ctl.scala 443:110] + node _T_12757 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12758 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12759 = eq(_T_12758, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:74] + node _T_12760 = and(_T_12757, _T_12759) @[ifu_bp_ctl.scala 444:22] + node _T_12761 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12762 = eq(_T_12761, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:163] + node _T_12763 = or(_T_12762, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12764 = and(_T_12760, _T_12763) @[ifu_bp_ctl.scala 444:87] + node _T_12765 = or(_T_12756, _T_12764) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][5][13] <= _T_12765 @[ifu_bp_ctl.scala 443:27] + node _T_12766 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12767 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12768 = eq(_T_12767, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:97] + node _T_12769 = and(_T_12766, _T_12768) @[ifu_bp_ctl.scala 443:45] + node _T_12770 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12771 = eq(_T_12770, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:186] + node _T_12772 = or(_T_12771, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12773 = and(_T_12769, _T_12772) @[ifu_bp_ctl.scala 443:110] + node _T_12774 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12775 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12776 = eq(_T_12775, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:74] + node _T_12777 = and(_T_12774, _T_12776) @[ifu_bp_ctl.scala 444:22] + node _T_12778 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12779 = eq(_T_12778, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:163] + node _T_12780 = or(_T_12779, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12781 = and(_T_12777, _T_12780) @[ifu_bp_ctl.scala 444:87] + node _T_12782 = or(_T_12773, _T_12781) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][5][14] <= _T_12782 @[ifu_bp_ctl.scala 443:27] + node _T_12783 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12784 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12785 = eq(_T_12784, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:97] + node _T_12786 = and(_T_12783, _T_12785) @[ifu_bp_ctl.scala 443:45] + node _T_12787 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12788 = eq(_T_12787, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:186] + node _T_12789 = or(_T_12788, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12790 = and(_T_12786, _T_12789) @[ifu_bp_ctl.scala 443:110] + node _T_12791 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12792 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12793 = eq(_T_12792, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:74] + node _T_12794 = and(_T_12791, _T_12793) @[ifu_bp_ctl.scala 444:22] + node _T_12795 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12796 = eq(_T_12795, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:163] + node _T_12797 = or(_T_12796, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12798 = and(_T_12794, _T_12797) @[ifu_bp_ctl.scala 444:87] + node _T_12799 = or(_T_12790, _T_12798) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][5][15] <= _T_12799 @[ifu_bp_ctl.scala 443:27] + node _T_12800 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12801 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12802 = eq(_T_12801, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:97] + node _T_12803 = and(_T_12800, _T_12802) @[ifu_bp_ctl.scala 443:45] + node _T_12804 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12805 = eq(_T_12804, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:186] + node _T_12806 = or(_T_12805, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12807 = and(_T_12803, _T_12806) @[ifu_bp_ctl.scala 443:110] + node _T_12808 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12809 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12810 = eq(_T_12809, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:74] + node _T_12811 = and(_T_12808, _T_12810) @[ifu_bp_ctl.scala 444:22] + node _T_12812 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12813 = eq(_T_12812, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:163] + node _T_12814 = or(_T_12813, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12815 = and(_T_12811, _T_12814) @[ifu_bp_ctl.scala 444:87] + node _T_12816 = or(_T_12807, _T_12815) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][6][0] <= _T_12816 @[ifu_bp_ctl.scala 443:27] + node _T_12817 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12818 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12819 = eq(_T_12818, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:97] + node _T_12820 = and(_T_12817, _T_12819) @[ifu_bp_ctl.scala 443:45] + node _T_12821 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12822 = eq(_T_12821, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:186] + node _T_12823 = or(_T_12822, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12824 = and(_T_12820, _T_12823) @[ifu_bp_ctl.scala 443:110] + node _T_12825 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12826 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12827 = eq(_T_12826, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:74] + node _T_12828 = and(_T_12825, _T_12827) @[ifu_bp_ctl.scala 444:22] + node _T_12829 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12830 = eq(_T_12829, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:163] + node _T_12831 = or(_T_12830, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12832 = and(_T_12828, _T_12831) @[ifu_bp_ctl.scala 444:87] + node _T_12833 = or(_T_12824, _T_12832) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][6][1] <= _T_12833 @[ifu_bp_ctl.scala 443:27] + node _T_12834 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12835 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12836 = eq(_T_12835, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:97] + node _T_12837 = and(_T_12834, _T_12836) @[ifu_bp_ctl.scala 443:45] + node _T_12838 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12839 = eq(_T_12838, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:186] + node _T_12840 = or(_T_12839, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12841 = and(_T_12837, _T_12840) @[ifu_bp_ctl.scala 443:110] + node _T_12842 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12843 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12844 = eq(_T_12843, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:74] + node _T_12845 = and(_T_12842, _T_12844) @[ifu_bp_ctl.scala 444:22] + node _T_12846 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12847 = eq(_T_12846, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:163] + node _T_12848 = or(_T_12847, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12849 = and(_T_12845, _T_12848) @[ifu_bp_ctl.scala 444:87] + node _T_12850 = or(_T_12841, _T_12849) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][6][2] <= _T_12850 @[ifu_bp_ctl.scala 443:27] + node _T_12851 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12852 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12853 = eq(_T_12852, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:97] + node _T_12854 = and(_T_12851, _T_12853) @[ifu_bp_ctl.scala 443:45] + node _T_12855 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12856 = eq(_T_12855, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:186] + node _T_12857 = or(_T_12856, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12858 = and(_T_12854, _T_12857) @[ifu_bp_ctl.scala 443:110] + node _T_12859 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12860 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12861 = eq(_T_12860, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:74] + node _T_12862 = and(_T_12859, _T_12861) @[ifu_bp_ctl.scala 444:22] + node _T_12863 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12864 = eq(_T_12863, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:163] + node _T_12865 = or(_T_12864, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12866 = and(_T_12862, _T_12865) @[ifu_bp_ctl.scala 444:87] + node _T_12867 = or(_T_12858, _T_12866) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][6][3] <= _T_12867 @[ifu_bp_ctl.scala 443:27] + node _T_12868 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12869 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12870 = eq(_T_12869, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:97] + node _T_12871 = and(_T_12868, _T_12870) @[ifu_bp_ctl.scala 443:45] + node _T_12872 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12873 = eq(_T_12872, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:186] + node _T_12874 = or(_T_12873, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12875 = and(_T_12871, _T_12874) @[ifu_bp_ctl.scala 443:110] + node _T_12876 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12877 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12878 = eq(_T_12877, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:74] + node _T_12879 = and(_T_12876, _T_12878) @[ifu_bp_ctl.scala 444:22] + node _T_12880 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12881 = eq(_T_12880, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:163] + node _T_12882 = or(_T_12881, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12883 = and(_T_12879, _T_12882) @[ifu_bp_ctl.scala 444:87] + node _T_12884 = or(_T_12875, _T_12883) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][6][4] <= _T_12884 @[ifu_bp_ctl.scala 443:27] + node _T_12885 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12886 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12887 = eq(_T_12886, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:97] + node _T_12888 = and(_T_12885, _T_12887) @[ifu_bp_ctl.scala 443:45] + node _T_12889 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12890 = eq(_T_12889, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:186] + node _T_12891 = or(_T_12890, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12892 = and(_T_12888, _T_12891) @[ifu_bp_ctl.scala 443:110] + node _T_12893 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12894 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12895 = eq(_T_12894, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:74] + node _T_12896 = and(_T_12893, _T_12895) @[ifu_bp_ctl.scala 444:22] + node _T_12897 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12898 = eq(_T_12897, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:163] + node _T_12899 = or(_T_12898, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12900 = and(_T_12896, _T_12899) @[ifu_bp_ctl.scala 444:87] + node _T_12901 = or(_T_12892, _T_12900) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][6][5] <= _T_12901 @[ifu_bp_ctl.scala 443:27] + node _T_12902 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12903 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12904 = eq(_T_12903, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:97] + node _T_12905 = and(_T_12902, _T_12904) @[ifu_bp_ctl.scala 443:45] + node _T_12906 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12907 = eq(_T_12906, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:186] + node _T_12908 = or(_T_12907, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12909 = and(_T_12905, _T_12908) @[ifu_bp_ctl.scala 443:110] + node _T_12910 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12911 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12912 = eq(_T_12911, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:74] + node _T_12913 = and(_T_12910, _T_12912) @[ifu_bp_ctl.scala 444:22] + node _T_12914 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12915 = eq(_T_12914, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:163] + node _T_12916 = or(_T_12915, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12917 = and(_T_12913, _T_12916) @[ifu_bp_ctl.scala 444:87] + node _T_12918 = or(_T_12909, _T_12917) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][6][6] <= _T_12918 @[ifu_bp_ctl.scala 443:27] + node _T_12919 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12920 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12921 = eq(_T_12920, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:97] + node _T_12922 = and(_T_12919, _T_12921) @[ifu_bp_ctl.scala 443:45] + node _T_12923 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12924 = eq(_T_12923, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:186] + node _T_12925 = or(_T_12924, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12926 = and(_T_12922, _T_12925) @[ifu_bp_ctl.scala 443:110] + node _T_12927 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12928 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12929 = eq(_T_12928, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:74] + node _T_12930 = and(_T_12927, _T_12929) @[ifu_bp_ctl.scala 444:22] + node _T_12931 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12932 = eq(_T_12931, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:163] + node _T_12933 = or(_T_12932, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12934 = and(_T_12930, _T_12933) @[ifu_bp_ctl.scala 444:87] + node _T_12935 = or(_T_12926, _T_12934) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][6][7] <= _T_12935 @[ifu_bp_ctl.scala 443:27] + node _T_12936 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12937 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12938 = eq(_T_12937, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:97] + node _T_12939 = and(_T_12936, _T_12938) @[ifu_bp_ctl.scala 443:45] + node _T_12940 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12941 = eq(_T_12940, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:186] + node _T_12942 = or(_T_12941, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12943 = and(_T_12939, _T_12942) @[ifu_bp_ctl.scala 443:110] + node _T_12944 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12945 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12946 = eq(_T_12945, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:74] + node _T_12947 = and(_T_12944, _T_12946) @[ifu_bp_ctl.scala 444:22] + node _T_12948 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12949 = eq(_T_12948, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:163] + node _T_12950 = or(_T_12949, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12951 = and(_T_12947, _T_12950) @[ifu_bp_ctl.scala 444:87] + node _T_12952 = or(_T_12943, _T_12951) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][6][8] <= _T_12952 @[ifu_bp_ctl.scala 443:27] + node _T_12953 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12954 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12955 = eq(_T_12954, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:97] + node _T_12956 = and(_T_12953, _T_12955) @[ifu_bp_ctl.scala 443:45] + node _T_12957 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12958 = eq(_T_12957, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:186] + node _T_12959 = or(_T_12958, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12960 = and(_T_12956, _T_12959) @[ifu_bp_ctl.scala 443:110] + node _T_12961 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12962 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12963 = eq(_T_12962, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:74] + node _T_12964 = and(_T_12961, _T_12963) @[ifu_bp_ctl.scala 444:22] + node _T_12965 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12966 = eq(_T_12965, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:163] + node _T_12967 = or(_T_12966, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12968 = and(_T_12964, _T_12967) @[ifu_bp_ctl.scala 444:87] + node _T_12969 = or(_T_12960, _T_12968) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][6][9] <= _T_12969 @[ifu_bp_ctl.scala 443:27] + node _T_12970 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12971 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12972 = eq(_T_12971, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:97] + node _T_12973 = and(_T_12970, _T_12972) @[ifu_bp_ctl.scala 443:45] + node _T_12974 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12975 = eq(_T_12974, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:186] + node _T_12976 = or(_T_12975, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12977 = and(_T_12973, _T_12976) @[ifu_bp_ctl.scala 443:110] + node _T_12978 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12979 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12980 = eq(_T_12979, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:74] + node _T_12981 = and(_T_12978, _T_12980) @[ifu_bp_ctl.scala 444:22] + node _T_12982 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_12983 = eq(_T_12982, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:163] + node _T_12984 = or(_T_12983, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_12985 = and(_T_12981, _T_12984) @[ifu_bp_ctl.scala 444:87] + node _T_12986 = or(_T_12977, _T_12985) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][6][10] <= _T_12986 @[ifu_bp_ctl.scala 443:27] + node _T_12987 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_12988 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_12989 = eq(_T_12988, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:97] + node _T_12990 = and(_T_12987, _T_12989) @[ifu_bp_ctl.scala 443:45] + node _T_12991 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_12992 = eq(_T_12991, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:186] + node _T_12993 = or(_T_12992, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_12994 = and(_T_12990, _T_12993) @[ifu_bp_ctl.scala 443:110] + node _T_12995 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_12996 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_12997 = eq(_T_12996, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:74] + node _T_12998 = and(_T_12995, _T_12997) @[ifu_bp_ctl.scala 444:22] + node _T_12999 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13000 = eq(_T_12999, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:163] + node _T_13001 = or(_T_13000, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13002 = and(_T_12998, _T_13001) @[ifu_bp_ctl.scala 444:87] + node _T_13003 = or(_T_12994, _T_13002) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][6][11] <= _T_13003 @[ifu_bp_ctl.scala 443:27] + node _T_13004 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13005 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13006 = eq(_T_13005, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:97] + node _T_13007 = and(_T_13004, _T_13006) @[ifu_bp_ctl.scala 443:45] + node _T_13008 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13009 = eq(_T_13008, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:186] + node _T_13010 = or(_T_13009, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13011 = and(_T_13007, _T_13010) @[ifu_bp_ctl.scala 443:110] + node _T_13012 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13013 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13014 = eq(_T_13013, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:74] + node _T_13015 = and(_T_13012, _T_13014) @[ifu_bp_ctl.scala 444:22] + node _T_13016 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13017 = eq(_T_13016, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:163] + node _T_13018 = or(_T_13017, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13019 = and(_T_13015, _T_13018) @[ifu_bp_ctl.scala 444:87] + node _T_13020 = or(_T_13011, _T_13019) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][6][12] <= _T_13020 @[ifu_bp_ctl.scala 443:27] + node _T_13021 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13022 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13023 = eq(_T_13022, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:97] + node _T_13024 = and(_T_13021, _T_13023) @[ifu_bp_ctl.scala 443:45] + node _T_13025 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13026 = eq(_T_13025, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:186] + node _T_13027 = or(_T_13026, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13028 = and(_T_13024, _T_13027) @[ifu_bp_ctl.scala 443:110] + node _T_13029 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13030 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13031 = eq(_T_13030, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:74] + node _T_13032 = and(_T_13029, _T_13031) @[ifu_bp_ctl.scala 444:22] + node _T_13033 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13034 = eq(_T_13033, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:163] + node _T_13035 = or(_T_13034, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13036 = and(_T_13032, _T_13035) @[ifu_bp_ctl.scala 444:87] + node _T_13037 = or(_T_13028, _T_13036) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][6][13] <= _T_13037 @[ifu_bp_ctl.scala 443:27] + node _T_13038 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13039 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13040 = eq(_T_13039, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:97] + node _T_13041 = and(_T_13038, _T_13040) @[ifu_bp_ctl.scala 443:45] + node _T_13042 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13043 = eq(_T_13042, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:186] + node _T_13044 = or(_T_13043, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13045 = and(_T_13041, _T_13044) @[ifu_bp_ctl.scala 443:110] + node _T_13046 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13047 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13048 = eq(_T_13047, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:74] + node _T_13049 = and(_T_13046, _T_13048) @[ifu_bp_ctl.scala 444:22] + node _T_13050 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13051 = eq(_T_13050, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:163] + node _T_13052 = or(_T_13051, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13053 = and(_T_13049, _T_13052) @[ifu_bp_ctl.scala 444:87] + node _T_13054 = or(_T_13045, _T_13053) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][6][14] <= _T_13054 @[ifu_bp_ctl.scala 443:27] + node _T_13055 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13056 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13057 = eq(_T_13056, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:97] + node _T_13058 = and(_T_13055, _T_13057) @[ifu_bp_ctl.scala 443:45] + node _T_13059 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13060 = eq(_T_13059, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:186] + node _T_13061 = or(_T_13060, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13062 = and(_T_13058, _T_13061) @[ifu_bp_ctl.scala 443:110] + node _T_13063 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13064 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13065 = eq(_T_13064, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:74] + node _T_13066 = and(_T_13063, _T_13065) @[ifu_bp_ctl.scala 444:22] + node _T_13067 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13068 = eq(_T_13067, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:163] + node _T_13069 = or(_T_13068, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13070 = and(_T_13066, _T_13069) @[ifu_bp_ctl.scala 444:87] + node _T_13071 = or(_T_13062, _T_13070) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][6][15] <= _T_13071 @[ifu_bp_ctl.scala 443:27] + node _T_13072 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13073 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13074 = eq(_T_13073, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:97] + node _T_13075 = and(_T_13072, _T_13074) @[ifu_bp_ctl.scala 443:45] + node _T_13076 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13077 = eq(_T_13076, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:186] + node _T_13078 = or(_T_13077, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13079 = and(_T_13075, _T_13078) @[ifu_bp_ctl.scala 443:110] + node _T_13080 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13081 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13082 = eq(_T_13081, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:74] + node _T_13083 = and(_T_13080, _T_13082) @[ifu_bp_ctl.scala 444:22] + node _T_13084 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13085 = eq(_T_13084, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:163] + node _T_13086 = or(_T_13085, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13087 = and(_T_13083, _T_13086) @[ifu_bp_ctl.scala 444:87] + node _T_13088 = or(_T_13079, _T_13087) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][7][0] <= _T_13088 @[ifu_bp_ctl.scala 443:27] + node _T_13089 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13090 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13091 = eq(_T_13090, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:97] + node _T_13092 = and(_T_13089, _T_13091) @[ifu_bp_ctl.scala 443:45] + node _T_13093 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13094 = eq(_T_13093, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:186] + node _T_13095 = or(_T_13094, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13096 = and(_T_13092, _T_13095) @[ifu_bp_ctl.scala 443:110] + node _T_13097 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13098 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13099 = eq(_T_13098, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:74] + node _T_13100 = and(_T_13097, _T_13099) @[ifu_bp_ctl.scala 444:22] + node _T_13101 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13102 = eq(_T_13101, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:163] + node _T_13103 = or(_T_13102, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13104 = and(_T_13100, _T_13103) @[ifu_bp_ctl.scala 444:87] + node _T_13105 = or(_T_13096, _T_13104) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][7][1] <= _T_13105 @[ifu_bp_ctl.scala 443:27] + node _T_13106 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13107 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13108 = eq(_T_13107, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:97] + node _T_13109 = and(_T_13106, _T_13108) @[ifu_bp_ctl.scala 443:45] + node _T_13110 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13111 = eq(_T_13110, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:186] + node _T_13112 = or(_T_13111, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13113 = and(_T_13109, _T_13112) @[ifu_bp_ctl.scala 443:110] + node _T_13114 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13115 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13116 = eq(_T_13115, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:74] + node _T_13117 = and(_T_13114, _T_13116) @[ifu_bp_ctl.scala 444:22] + node _T_13118 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13119 = eq(_T_13118, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:163] + node _T_13120 = or(_T_13119, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13121 = and(_T_13117, _T_13120) @[ifu_bp_ctl.scala 444:87] + node _T_13122 = or(_T_13113, _T_13121) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][7][2] <= _T_13122 @[ifu_bp_ctl.scala 443:27] + node _T_13123 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13124 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13125 = eq(_T_13124, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:97] + node _T_13126 = and(_T_13123, _T_13125) @[ifu_bp_ctl.scala 443:45] + node _T_13127 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13128 = eq(_T_13127, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:186] + node _T_13129 = or(_T_13128, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13130 = and(_T_13126, _T_13129) @[ifu_bp_ctl.scala 443:110] + node _T_13131 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13132 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13133 = eq(_T_13132, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:74] + node _T_13134 = and(_T_13131, _T_13133) @[ifu_bp_ctl.scala 444:22] + node _T_13135 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13136 = eq(_T_13135, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:163] + node _T_13137 = or(_T_13136, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13138 = and(_T_13134, _T_13137) @[ifu_bp_ctl.scala 444:87] + node _T_13139 = or(_T_13130, _T_13138) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][7][3] <= _T_13139 @[ifu_bp_ctl.scala 443:27] + node _T_13140 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13141 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13142 = eq(_T_13141, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:97] + node _T_13143 = and(_T_13140, _T_13142) @[ifu_bp_ctl.scala 443:45] + node _T_13144 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13145 = eq(_T_13144, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:186] + node _T_13146 = or(_T_13145, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13147 = and(_T_13143, _T_13146) @[ifu_bp_ctl.scala 443:110] + node _T_13148 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13149 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13150 = eq(_T_13149, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:74] + node _T_13151 = and(_T_13148, _T_13150) @[ifu_bp_ctl.scala 444:22] + node _T_13152 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13153 = eq(_T_13152, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:163] + node _T_13154 = or(_T_13153, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13155 = and(_T_13151, _T_13154) @[ifu_bp_ctl.scala 444:87] + node _T_13156 = or(_T_13147, _T_13155) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][7][4] <= _T_13156 @[ifu_bp_ctl.scala 443:27] + node _T_13157 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13158 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13159 = eq(_T_13158, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:97] + node _T_13160 = and(_T_13157, _T_13159) @[ifu_bp_ctl.scala 443:45] + node _T_13161 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13162 = eq(_T_13161, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:186] + node _T_13163 = or(_T_13162, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13164 = and(_T_13160, _T_13163) @[ifu_bp_ctl.scala 443:110] + node _T_13165 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13166 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13167 = eq(_T_13166, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:74] + node _T_13168 = and(_T_13165, _T_13167) @[ifu_bp_ctl.scala 444:22] + node _T_13169 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13170 = eq(_T_13169, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:163] + node _T_13171 = or(_T_13170, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13172 = and(_T_13168, _T_13171) @[ifu_bp_ctl.scala 444:87] + node _T_13173 = or(_T_13164, _T_13172) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][7][5] <= _T_13173 @[ifu_bp_ctl.scala 443:27] + node _T_13174 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13175 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13176 = eq(_T_13175, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:97] + node _T_13177 = and(_T_13174, _T_13176) @[ifu_bp_ctl.scala 443:45] + node _T_13178 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13179 = eq(_T_13178, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:186] + node _T_13180 = or(_T_13179, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13181 = and(_T_13177, _T_13180) @[ifu_bp_ctl.scala 443:110] + node _T_13182 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13183 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13184 = eq(_T_13183, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:74] + node _T_13185 = and(_T_13182, _T_13184) @[ifu_bp_ctl.scala 444:22] + node _T_13186 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13187 = eq(_T_13186, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:163] + node _T_13188 = or(_T_13187, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13189 = and(_T_13185, _T_13188) @[ifu_bp_ctl.scala 444:87] + node _T_13190 = or(_T_13181, _T_13189) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][7][6] <= _T_13190 @[ifu_bp_ctl.scala 443:27] + node _T_13191 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13192 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13193 = eq(_T_13192, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:97] + node _T_13194 = and(_T_13191, _T_13193) @[ifu_bp_ctl.scala 443:45] + node _T_13195 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13196 = eq(_T_13195, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:186] + node _T_13197 = or(_T_13196, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13198 = and(_T_13194, _T_13197) @[ifu_bp_ctl.scala 443:110] + node _T_13199 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13200 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13201 = eq(_T_13200, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:74] + node _T_13202 = and(_T_13199, _T_13201) @[ifu_bp_ctl.scala 444:22] + node _T_13203 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13204 = eq(_T_13203, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:163] + node _T_13205 = or(_T_13204, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13206 = and(_T_13202, _T_13205) @[ifu_bp_ctl.scala 444:87] + node _T_13207 = or(_T_13198, _T_13206) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][7][7] <= _T_13207 @[ifu_bp_ctl.scala 443:27] + node _T_13208 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13209 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13210 = eq(_T_13209, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:97] + node _T_13211 = and(_T_13208, _T_13210) @[ifu_bp_ctl.scala 443:45] + node _T_13212 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13213 = eq(_T_13212, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:186] + node _T_13214 = or(_T_13213, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13215 = and(_T_13211, _T_13214) @[ifu_bp_ctl.scala 443:110] + node _T_13216 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13217 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13218 = eq(_T_13217, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:74] + node _T_13219 = and(_T_13216, _T_13218) @[ifu_bp_ctl.scala 444:22] + node _T_13220 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13221 = eq(_T_13220, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:163] + node _T_13222 = or(_T_13221, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13223 = and(_T_13219, _T_13222) @[ifu_bp_ctl.scala 444:87] + node _T_13224 = or(_T_13215, _T_13223) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][7][8] <= _T_13224 @[ifu_bp_ctl.scala 443:27] + node _T_13225 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13226 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13227 = eq(_T_13226, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:97] + node _T_13228 = and(_T_13225, _T_13227) @[ifu_bp_ctl.scala 443:45] + node _T_13229 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13230 = eq(_T_13229, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:186] + node _T_13231 = or(_T_13230, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13232 = and(_T_13228, _T_13231) @[ifu_bp_ctl.scala 443:110] + node _T_13233 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13234 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13235 = eq(_T_13234, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:74] + node _T_13236 = and(_T_13233, _T_13235) @[ifu_bp_ctl.scala 444:22] + node _T_13237 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13238 = eq(_T_13237, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:163] + node _T_13239 = or(_T_13238, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13240 = and(_T_13236, _T_13239) @[ifu_bp_ctl.scala 444:87] + node _T_13241 = or(_T_13232, _T_13240) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][7][9] <= _T_13241 @[ifu_bp_ctl.scala 443:27] + node _T_13242 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13243 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13244 = eq(_T_13243, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:97] + node _T_13245 = and(_T_13242, _T_13244) @[ifu_bp_ctl.scala 443:45] + node _T_13246 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13247 = eq(_T_13246, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:186] + node _T_13248 = or(_T_13247, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13249 = and(_T_13245, _T_13248) @[ifu_bp_ctl.scala 443:110] + node _T_13250 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13251 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13252 = eq(_T_13251, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:74] + node _T_13253 = and(_T_13250, _T_13252) @[ifu_bp_ctl.scala 444:22] + node _T_13254 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13255 = eq(_T_13254, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:163] + node _T_13256 = or(_T_13255, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13257 = and(_T_13253, _T_13256) @[ifu_bp_ctl.scala 444:87] + node _T_13258 = or(_T_13249, _T_13257) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][7][10] <= _T_13258 @[ifu_bp_ctl.scala 443:27] + node _T_13259 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13260 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13261 = eq(_T_13260, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:97] + node _T_13262 = and(_T_13259, _T_13261) @[ifu_bp_ctl.scala 443:45] + node _T_13263 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13264 = eq(_T_13263, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:186] + node _T_13265 = or(_T_13264, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13266 = and(_T_13262, _T_13265) @[ifu_bp_ctl.scala 443:110] + node _T_13267 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13268 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13269 = eq(_T_13268, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:74] + node _T_13270 = and(_T_13267, _T_13269) @[ifu_bp_ctl.scala 444:22] + node _T_13271 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13272 = eq(_T_13271, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:163] + node _T_13273 = or(_T_13272, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13274 = and(_T_13270, _T_13273) @[ifu_bp_ctl.scala 444:87] + node _T_13275 = or(_T_13266, _T_13274) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][7][11] <= _T_13275 @[ifu_bp_ctl.scala 443:27] + node _T_13276 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13277 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13278 = eq(_T_13277, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:97] + node _T_13279 = and(_T_13276, _T_13278) @[ifu_bp_ctl.scala 443:45] + node _T_13280 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13281 = eq(_T_13280, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:186] + node _T_13282 = or(_T_13281, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13283 = and(_T_13279, _T_13282) @[ifu_bp_ctl.scala 443:110] + node _T_13284 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13285 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13286 = eq(_T_13285, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:74] + node _T_13287 = and(_T_13284, _T_13286) @[ifu_bp_ctl.scala 444:22] + node _T_13288 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13289 = eq(_T_13288, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:163] + node _T_13290 = or(_T_13289, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13291 = and(_T_13287, _T_13290) @[ifu_bp_ctl.scala 444:87] + node _T_13292 = or(_T_13283, _T_13291) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][7][12] <= _T_13292 @[ifu_bp_ctl.scala 443:27] + node _T_13293 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13294 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13295 = eq(_T_13294, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:97] + node _T_13296 = and(_T_13293, _T_13295) @[ifu_bp_ctl.scala 443:45] + node _T_13297 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13298 = eq(_T_13297, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:186] + node _T_13299 = or(_T_13298, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13300 = and(_T_13296, _T_13299) @[ifu_bp_ctl.scala 443:110] + node _T_13301 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13302 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13303 = eq(_T_13302, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:74] + node _T_13304 = and(_T_13301, _T_13303) @[ifu_bp_ctl.scala 444:22] + node _T_13305 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13306 = eq(_T_13305, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:163] + node _T_13307 = or(_T_13306, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13308 = and(_T_13304, _T_13307) @[ifu_bp_ctl.scala 444:87] + node _T_13309 = or(_T_13300, _T_13308) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][7][13] <= _T_13309 @[ifu_bp_ctl.scala 443:27] + node _T_13310 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13311 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13312 = eq(_T_13311, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:97] + node _T_13313 = and(_T_13310, _T_13312) @[ifu_bp_ctl.scala 443:45] + node _T_13314 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13315 = eq(_T_13314, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:186] + node _T_13316 = or(_T_13315, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13317 = and(_T_13313, _T_13316) @[ifu_bp_ctl.scala 443:110] + node _T_13318 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13319 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13320 = eq(_T_13319, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:74] + node _T_13321 = and(_T_13318, _T_13320) @[ifu_bp_ctl.scala 444:22] + node _T_13322 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13323 = eq(_T_13322, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:163] + node _T_13324 = or(_T_13323, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13325 = and(_T_13321, _T_13324) @[ifu_bp_ctl.scala 444:87] + node _T_13326 = or(_T_13317, _T_13325) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][7][14] <= _T_13326 @[ifu_bp_ctl.scala 443:27] + node _T_13327 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13328 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13329 = eq(_T_13328, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:97] + node _T_13330 = and(_T_13327, _T_13329) @[ifu_bp_ctl.scala 443:45] + node _T_13331 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13332 = eq(_T_13331, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:186] + node _T_13333 = or(_T_13332, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13334 = and(_T_13330, _T_13333) @[ifu_bp_ctl.scala 443:110] + node _T_13335 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13336 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13337 = eq(_T_13336, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:74] + node _T_13338 = and(_T_13335, _T_13337) @[ifu_bp_ctl.scala 444:22] + node _T_13339 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13340 = eq(_T_13339, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:163] + node _T_13341 = or(_T_13340, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13342 = and(_T_13338, _T_13341) @[ifu_bp_ctl.scala 444:87] + node _T_13343 = or(_T_13334, _T_13342) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][7][15] <= _T_13343 @[ifu_bp_ctl.scala 443:27] + node _T_13344 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13345 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13346 = eq(_T_13345, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:97] + node _T_13347 = and(_T_13344, _T_13346) @[ifu_bp_ctl.scala 443:45] + node _T_13348 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13349 = eq(_T_13348, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:186] + node _T_13350 = or(_T_13349, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13351 = and(_T_13347, _T_13350) @[ifu_bp_ctl.scala 443:110] + node _T_13352 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13353 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13354 = eq(_T_13353, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:74] + node _T_13355 = and(_T_13352, _T_13354) @[ifu_bp_ctl.scala 444:22] + node _T_13356 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13357 = eq(_T_13356, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:163] + node _T_13358 = or(_T_13357, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13359 = and(_T_13355, _T_13358) @[ifu_bp_ctl.scala 444:87] + node _T_13360 = or(_T_13351, _T_13359) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][8][0] <= _T_13360 @[ifu_bp_ctl.scala 443:27] + node _T_13361 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13362 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13363 = eq(_T_13362, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:97] + node _T_13364 = and(_T_13361, _T_13363) @[ifu_bp_ctl.scala 443:45] + node _T_13365 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13366 = eq(_T_13365, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:186] + node _T_13367 = or(_T_13366, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13368 = and(_T_13364, _T_13367) @[ifu_bp_ctl.scala 443:110] + node _T_13369 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13370 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13371 = eq(_T_13370, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:74] + node _T_13372 = and(_T_13369, _T_13371) @[ifu_bp_ctl.scala 444:22] + node _T_13373 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13374 = eq(_T_13373, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:163] + node _T_13375 = or(_T_13374, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13376 = and(_T_13372, _T_13375) @[ifu_bp_ctl.scala 444:87] + node _T_13377 = or(_T_13368, _T_13376) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][8][1] <= _T_13377 @[ifu_bp_ctl.scala 443:27] + node _T_13378 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13379 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13380 = eq(_T_13379, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:97] + node _T_13381 = and(_T_13378, _T_13380) @[ifu_bp_ctl.scala 443:45] + node _T_13382 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13383 = eq(_T_13382, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:186] + node _T_13384 = or(_T_13383, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13385 = and(_T_13381, _T_13384) @[ifu_bp_ctl.scala 443:110] + node _T_13386 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13387 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13388 = eq(_T_13387, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:74] + node _T_13389 = and(_T_13386, _T_13388) @[ifu_bp_ctl.scala 444:22] + node _T_13390 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13391 = eq(_T_13390, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:163] + node _T_13392 = or(_T_13391, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13393 = and(_T_13389, _T_13392) @[ifu_bp_ctl.scala 444:87] + node _T_13394 = or(_T_13385, _T_13393) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][8][2] <= _T_13394 @[ifu_bp_ctl.scala 443:27] + node _T_13395 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13396 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13397 = eq(_T_13396, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:97] + node _T_13398 = and(_T_13395, _T_13397) @[ifu_bp_ctl.scala 443:45] + node _T_13399 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13400 = eq(_T_13399, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:186] + node _T_13401 = or(_T_13400, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13402 = and(_T_13398, _T_13401) @[ifu_bp_ctl.scala 443:110] + node _T_13403 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13404 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13405 = eq(_T_13404, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:74] + node _T_13406 = and(_T_13403, _T_13405) @[ifu_bp_ctl.scala 444:22] + node _T_13407 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13408 = eq(_T_13407, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:163] + node _T_13409 = or(_T_13408, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13410 = and(_T_13406, _T_13409) @[ifu_bp_ctl.scala 444:87] + node _T_13411 = or(_T_13402, _T_13410) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][8][3] <= _T_13411 @[ifu_bp_ctl.scala 443:27] + node _T_13412 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13413 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13414 = eq(_T_13413, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:97] + node _T_13415 = and(_T_13412, _T_13414) @[ifu_bp_ctl.scala 443:45] + node _T_13416 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13417 = eq(_T_13416, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:186] + node _T_13418 = or(_T_13417, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13419 = and(_T_13415, _T_13418) @[ifu_bp_ctl.scala 443:110] + node _T_13420 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13421 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13422 = eq(_T_13421, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:74] + node _T_13423 = and(_T_13420, _T_13422) @[ifu_bp_ctl.scala 444:22] + node _T_13424 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13425 = eq(_T_13424, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:163] + node _T_13426 = or(_T_13425, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13427 = and(_T_13423, _T_13426) @[ifu_bp_ctl.scala 444:87] + node _T_13428 = or(_T_13419, _T_13427) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][8][4] <= _T_13428 @[ifu_bp_ctl.scala 443:27] + node _T_13429 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13430 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13431 = eq(_T_13430, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:97] + node _T_13432 = and(_T_13429, _T_13431) @[ifu_bp_ctl.scala 443:45] + node _T_13433 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13434 = eq(_T_13433, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:186] + node _T_13435 = or(_T_13434, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13436 = and(_T_13432, _T_13435) @[ifu_bp_ctl.scala 443:110] + node _T_13437 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13438 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13439 = eq(_T_13438, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:74] + node _T_13440 = and(_T_13437, _T_13439) @[ifu_bp_ctl.scala 444:22] + node _T_13441 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13442 = eq(_T_13441, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:163] + node _T_13443 = or(_T_13442, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13444 = and(_T_13440, _T_13443) @[ifu_bp_ctl.scala 444:87] + node _T_13445 = or(_T_13436, _T_13444) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][8][5] <= _T_13445 @[ifu_bp_ctl.scala 443:27] + node _T_13446 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13447 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13448 = eq(_T_13447, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:97] + node _T_13449 = and(_T_13446, _T_13448) @[ifu_bp_ctl.scala 443:45] + node _T_13450 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13451 = eq(_T_13450, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:186] + node _T_13452 = or(_T_13451, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13453 = and(_T_13449, _T_13452) @[ifu_bp_ctl.scala 443:110] + node _T_13454 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13455 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13456 = eq(_T_13455, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:74] + node _T_13457 = and(_T_13454, _T_13456) @[ifu_bp_ctl.scala 444:22] + node _T_13458 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13459 = eq(_T_13458, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:163] + node _T_13460 = or(_T_13459, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13461 = and(_T_13457, _T_13460) @[ifu_bp_ctl.scala 444:87] + node _T_13462 = or(_T_13453, _T_13461) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][8][6] <= _T_13462 @[ifu_bp_ctl.scala 443:27] + node _T_13463 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13464 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13465 = eq(_T_13464, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:97] + node _T_13466 = and(_T_13463, _T_13465) @[ifu_bp_ctl.scala 443:45] + node _T_13467 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13468 = eq(_T_13467, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:186] + node _T_13469 = or(_T_13468, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13470 = and(_T_13466, _T_13469) @[ifu_bp_ctl.scala 443:110] + node _T_13471 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13472 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13473 = eq(_T_13472, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:74] + node _T_13474 = and(_T_13471, _T_13473) @[ifu_bp_ctl.scala 444:22] + node _T_13475 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13476 = eq(_T_13475, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:163] + node _T_13477 = or(_T_13476, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13478 = and(_T_13474, _T_13477) @[ifu_bp_ctl.scala 444:87] + node _T_13479 = or(_T_13470, _T_13478) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][8][7] <= _T_13479 @[ifu_bp_ctl.scala 443:27] + node _T_13480 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13481 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13482 = eq(_T_13481, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:97] + node _T_13483 = and(_T_13480, _T_13482) @[ifu_bp_ctl.scala 443:45] + node _T_13484 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13485 = eq(_T_13484, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:186] + node _T_13486 = or(_T_13485, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13487 = and(_T_13483, _T_13486) @[ifu_bp_ctl.scala 443:110] + node _T_13488 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13489 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13490 = eq(_T_13489, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:74] + node _T_13491 = and(_T_13488, _T_13490) @[ifu_bp_ctl.scala 444:22] + node _T_13492 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13493 = eq(_T_13492, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:163] + node _T_13494 = or(_T_13493, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13495 = and(_T_13491, _T_13494) @[ifu_bp_ctl.scala 444:87] + node _T_13496 = or(_T_13487, _T_13495) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][8][8] <= _T_13496 @[ifu_bp_ctl.scala 443:27] + node _T_13497 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13498 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13499 = eq(_T_13498, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:97] + node _T_13500 = and(_T_13497, _T_13499) @[ifu_bp_ctl.scala 443:45] + node _T_13501 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13502 = eq(_T_13501, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:186] + node _T_13503 = or(_T_13502, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13504 = and(_T_13500, _T_13503) @[ifu_bp_ctl.scala 443:110] + node _T_13505 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13506 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13507 = eq(_T_13506, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:74] + node _T_13508 = and(_T_13505, _T_13507) @[ifu_bp_ctl.scala 444:22] + node _T_13509 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13510 = eq(_T_13509, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:163] + node _T_13511 = or(_T_13510, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13512 = and(_T_13508, _T_13511) @[ifu_bp_ctl.scala 444:87] + node _T_13513 = or(_T_13504, _T_13512) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][8][9] <= _T_13513 @[ifu_bp_ctl.scala 443:27] + node _T_13514 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13515 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13516 = eq(_T_13515, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:97] + node _T_13517 = and(_T_13514, _T_13516) @[ifu_bp_ctl.scala 443:45] + node _T_13518 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13519 = eq(_T_13518, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:186] + node _T_13520 = or(_T_13519, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13521 = and(_T_13517, _T_13520) @[ifu_bp_ctl.scala 443:110] + node _T_13522 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13523 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13524 = eq(_T_13523, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:74] + node _T_13525 = and(_T_13522, _T_13524) @[ifu_bp_ctl.scala 444:22] + node _T_13526 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13527 = eq(_T_13526, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:163] + node _T_13528 = or(_T_13527, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13529 = and(_T_13525, _T_13528) @[ifu_bp_ctl.scala 444:87] + node _T_13530 = or(_T_13521, _T_13529) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][8][10] <= _T_13530 @[ifu_bp_ctl.scala 443:27] + node _T_13531 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13532 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13533 = eq(_T_13532, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:97] + node _T_13534 = and(_T_13531, _T_13533) @[ifu_bp_ctl.scala 443:45] + node _T_13535 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13536 = eq(_T_13535, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:186] + node _T_13537 = or(_T_13536, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13538 = and(_T_13534, _T_13537) @[ifu_bp_ctl.scala 443:110] + node _T_13539 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13540 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13541 = eq(_T_13540, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:74] + node _T_13542 = and(_T_13539, _T_13541) @[ifu_bp_ctl.scala 444:22] + node _T_13543 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13544 = eq(_T_13543, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:163] + node _T_13545 = or(_T_13544, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13546 = and(_T_13542, _T_13545) @[ifu_bp_ctl.scala 444:87] + node _T_13547 = or(_T_13538, _T_13546) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][8][11] <= _T_13547 @[ifu_bp_ctl.scala 443:27] + node _T_13548 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13549 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13550 = eq(_T_13549, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:97] + node _T_13551 = and(_T_13548, _T_13550) @[ifu_bp_ctl.scala 443:45] + node _T_13552 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13553 = eq(_T_13552, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:186] + node _T_13554 = or(_T_13553, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13555 = and(_T_13551, _T_13554) @[ifu_bp_ctl.scala 443:110] + node _T_13556 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13557 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13558 = eq(_T_13557, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:74] + node _T_13559 = and(_T_13556, _T_13558) @[ifu_bp_ctl.scala 444:22] + node _T_13560 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13561 = eq(_T_13560, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:163] + node _T_13562 = or(_T_13561, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13563 = and(_T_13559, _T_13562) @[ifu_bp_ctl.scala 444:87] + node _T_13564 = or(_T_13555, _T_13563) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][8][12] <= _T_13564 @[ifu_bp_ctl.scala 443:27] + node _T_13565 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13566 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13567 = eq(_T_13566, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:97] + node _T_13568 = and(_T_13565, _T_13567) @[ifu_bp_ctl.scala 443:45] + node _T_13569 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13570 = eq(_T_13569, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:186] + node _T_13571 = or(_T_13570, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13572 = and(_T_13568, _T_13571) @[ifu_bp_ctl.scala 443:110] + node _T_13573 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13574 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13575 = eq(_T_13574, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:74] + node _T_13576 = and(_T_13573, _T_13575) @[ifu_bp_ctl.scala 444:22] + node _T_13577 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13578 = eq(_T_13577, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:163] + node _T_13579 = or(_T_13578, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13580 = and(_T_13576, _T_13579) @[ifu_bp_ctl.scala 444:87] + node _T_13581 = or(_T_13572, _T_13580) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][8][13] <= _T_13581 @[ifu_bp_ctl.scala 443:27] + node _T_13582 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13583 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13584 = eq(_T_13583, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:97] + node _T_13585 = and(_T_13582, _T_13584) @[ifu_bp_ctl.scala 443:45] + node _T_13586 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13587 = eq(_T_13586, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:186] + node _T_13588 = or(_T_13587, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13589 = and(_T_13585, _T_13588) @[ifu_bp_ctl.scala 443:110] + node _T_13590 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13591 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13592 = eq(_T_13591, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:74] + node _T_13593 = and(_T_13590, _T_13592) @[ifu_bp_ctl.scala 444:22] + node _T_13594 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13595 = eq(_T_13594, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:163] + node _T_13596 = or(_T_13595, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13597 = and(_T_13593, _T_13596) @[ifu_bp_ctl.scala 444:87] + node _T_13598 = or(_T_13589, _T_13597) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][8][14] <= _T_13598 @[ifu_bp_ctl.scala 443:27] + node _T_13599 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13600 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13601 = eq(_T_13600, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:97] + node _T_13602 = and(_T_13599, _T_13601) @[ifu_bp_ctl.scala 443:45] + node _T_13603 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13604 = eq(_T_13603, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:186] + node _T_13605 = or(_T_13604, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13606 = and(_T_13602, _T_13605) @[ifu_bp_ctl.scala 443:110] + node _T_13607 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13608 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13609 = eq(_T_13608, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:74] + node _T_13610 = and(_T_13607, _T_13609) @[ifu_bp_ctl.scala 444:22] + node _T_13611 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13612 = eq(_T_13611, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:163] + node _T_13613 = or(_T_13612, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13614 = and(_T_13610, _T_13613) @[ifu_bp_ctl.scala 444:87] + node _T_13615 = or(_T_13606, _T_13614) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][8][15] <= _T_13615 @[ifu_bp_ctl.scala 443:27] + node _T_13616 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13617 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13618 = eq(_T_13617, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:97] + node _T_13619 = and(_T_13616, _T_13618) @[ifu_bp_ctl.scala 443:45] + node _T_13620 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13621 = eq(_T_13620, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:186] + node _T_13622 = or(_T_13621, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13623 = and(_T_13619, _T_13622) @[ifu_bp_ctl.scala 443:110] + node _T_13624 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13625 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13626 = eq(_T_13625, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:74] + node _T_13627 = and(_T_13624, _T_13626) @[ifu_bp_ctl.scala 444:22] + node _T_13628 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13629 = eq(_T_13628, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:163] + node _T_13630 = or(_T_13629, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13631 = and(_T_13627, _T_13630) @[ifu_bp_ctl.scala 444:87] + node _T_13632 = or(_T_13623, _T_13631) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][9][0] <= _T_13632 @[ifu_bp_ctl.scala 443:27] + node _T_13633 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13634 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13635 = eq(_T_13634, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:97] + node _T_13636 = and(_T_13633, _T_13635) @[ifu_bp_ctl.scala 443:45] + node _T_13637 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13638 = eq(_T_13637, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:186] + node _T_13639 = or(_T_13638, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13640 = and(_T_13636, _T_13639) @[ifu_bp_ctl.scala 443:110] + node _T_13641 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13642 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13643 = eq(_T_13642, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:74] + node _T_13644 = and(_T_13641, _T_13643) @[ifu_bp_ctl.scala 444:22] + node _T_13645 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13646 = eq(_T_13645, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:163] + node _T_13647 = or(_T_13646, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13648 = and(_T_13644, _T_13647) @[ifu_bp_ctl.scala 444:87] + node _T_13649 = or(_T_13640, _T_13648) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][9][1] <= _T_13649 @[ifu_bp_ctl.scala 443:27] + node _T_13650 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13651 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13652 = eq(_T_13651, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:97] + node _T_13653 = and(_T_13650, _T_13652) @[ifu_bp_ctl.scala 443:45] + node _T_13654 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13655 = eq(_T_13654, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:186] + node _T_13656 = or(_T_13655, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13657 = and(_T_13653, _T_13656) @[ifu_bp_ctl.scala 443:110] + node _T_13658 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13659 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13660 = eq(_T_13659, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:74] + node _T_13661 = and(_T_13658, _T_13660) @[ifu_bp_ctl.scala 444:22] + node _T_13662 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13663 = eq(_T_13662, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:163] + node _T_13664 = or(_T_13663, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13665 = and(_T_13661, _T_13664) @[ifu_bp_ctl.scala 444:87] + node _T_13666 = or(_T_13657, _T_13665) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][9][2] <= _T_13666 @[ifu_bp_ctl.scala 443:27] + node _T_13667 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13668 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13669 = eq(_T_13668, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:97] + node _T_13670 = and(_T_13667, _T_13669) @[ifu_bp_ctl.scala 443:45] + node _T_13671 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13672 = eq(_T_13671, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:186] + node _T_13673 = or(_T_13672, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13674 = and(_T_13670, _T_13673) @[ifu_bp_ctl.scala 443:110] + node _T_13675 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13676 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13677 = eq(_T_13676, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:74] + node _T_13678 = and(_T_13675, _T_13677) @[ifu_bp_ctl.scala 444:22] + node _T_13679 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13680 = eq(_T_13679, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:163] + node _T_13681 = or(_T_13680, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13682 = and(_T_13678, _T_13681) @[ifu_bp_ctl.scala 444:87] + node _T_13683 = or(_T_13674, _T_13682) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][9][3] <= _T_13683 @[ifu_bp_ctl.scala 443:27] + node _T_13684 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13685 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13686 = eq(_T_13685, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:97] + node _T_13687 = and(_T_13684, _T_13686) @[ifu_bp_ctl.scala 443:45] + node _T_13688 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13689 = eq(_T_13688, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:186] + node _T_13690 = or(_T_13689, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13691 = and(_T_13687, _T_13690) @[ifu_bp_ctl.scala 443:110] + node _T_13692 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13693 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13694 = eq(_T_13693, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:74] + node _T_13695 = and(_T_13692, _T_13694) @[ifu_bp_ctl.scala 444:22] + node _T_13696 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13697 = eq(_T_13696, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:163] + node _T_13698 = or(_T_13697, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13699 = and(_T_13695, _T_13698) @[ifu_bp_ctl.scala 444:87] + node _T_13700 = or(_T_13691, _T_13699) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][9][4] <= _T_13700 @[ifu_bp_ctl.scala 443:27] + node _T_13701 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13702 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13703 = eq(_T_13702, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:97] + node _T_13704 = and(_T_13701, _T_13703) @[ifu_bp_ctl.scala 443:45] + node _T_13705 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13706 = eq(_T_13705, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:186] + node _T_13707 = or(_T_13706, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13708 = and(_T_13704, _T_13707) @[ifu_bp_ctl.scala 443:110] + node _T_13709 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13710 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13711 = eq(_T_13710, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:74] + node _T_13712 = and(_T_13709, _T_13711) @[ifu_bp_ctl.scala 444:22] + node _T_13713 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13714 = eq(_T_13713, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:163] + node _T_13715 = or(_T_13714, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13716 = and(_T_13712, _T_13715) @[ifu_bp_ctl.scala 444:87] + node _T_13717 = or(_T_13708, _T_13716) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][9][5] <= _T_13717 @[ifu_bp_ctl.scala 443:27] + node _T_13718 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13719 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13720 = eq(_T_13719, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:97] + node _T_13721 = and(_T_13718, _T_13720) @[ifu_bp_ctl.scala 443:45] + node _T_13722 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13723 = eq(_T_13722, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:186] + node _T_13724 = or(_T_13723, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13725 = and(_T_13721, _T_13724) @[ifu_bp_ctl.scala 443:110] + node _T_13726 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13727 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13728 = eq(_T_13727, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:74] + node _T_13729 = and(_T_13726, _T_13728) @[ifu_bp_ctl.scala 444:22] + node _T_13730 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13731 = eq(_T_13730, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:163] + node _T_13732 = or(_T_13731, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13733 = and(_T_13729, _T_13732) @[ifu_bp_ctl.scala 444:87] + node _T_13734 = or(_T_13725, _T_13733) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][9][6] <= _T_13734 @[ifu_bp_ctl.scala 443:27] + node _T_13735 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13736 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13737 = eq(_T_13736, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:97] + node _T_13738 = and(_T_13735, _T_13737) @[ifu_bp_ctl.scala 443:45] + node _T_13739 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13740 = eq(_T_13739, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:186] + node _T_13741 = or(_T_13740, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13742 = and(_T_13738, _T_13741) @[ifu_bp_ctl.scala 443:110] + node _T_13743 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13744 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13745 = eq(_T_13744, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:74] + node _T_13746 = and(_T_13743, _T_13745) @[ifu_bp_ctl.scala 444:22] + node _T_13747 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13748 = eq(_T_13747, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:163] + node _T_13749 = or(_T_13748, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13750 = and(_T_13746, _T_13749) @[ifu_bp_ctl.scala 444:87] + node _T_13751 = or(_T_13742, _T_13750) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][9][7] <= _T_13751 @[ifu_bp_ctl.scala 443:27] + node _T_13752 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13753 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13754 = eq(_T_13753, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:97] + node _T_13755 = and(_T_13752, _T_13754) @[ifu_bp_ctl.scala 443:45] + node _T_13756 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13757 = eq(_T_13756, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:186] + node _T_13758 = or(_T_13757, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13759 = and(_T_13755, _T_13758) @[ifu_bp_ctl.scala 443:110] + node _T_13760 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13761 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13762 = eq(_T_13761, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:74] + node _T_13763 = and(_T_13760, _T_13762) @[ifu_bp_ctl.scala 444:22] + node _T_13764 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13765 = eq(_T_13764, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:163] + node _T_13766 = or(_T_13765, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13767 = and(_T_13763, _T_13766) @[ifu_bp_ctl.scala 444:87] + node _T_13768 = or(_T_13759, _T_13767) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][9][8] <= _T_13768 @[ifu_bp_ctl.scala 443:27] + node _T_13769 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13770 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13771 = eq(_T_13770, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:97] + node _T_13772 = and(_T_13769, _T_13771) @[ifu_bp_ctl.scala 443:45] + node _T_13773 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13774 = eq(_T_13773, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:186] + node _T_13775 = or(_T_13774, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13776 = and(_T_13772, _T_13775) @[ifu_bp_ctl.scala 443:110] + node _T_13777 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13778 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13779 = eq(_T_13778, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:74] + node _T_13780 = and(_T_13777, _T_13779) @[ifu_bp_ctl.scala 444:22] + node _T_13781 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13782 = eq(_T_13781, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:163] + node _T_13783 = or(_T_13782, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13784 = and(_T_13780, _T_13783) @[ifu_bp_ctl.scala 444:87] + node _T_13785 = or(_T_13776, _T_13784) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][9][9] <= _T_13785 @[ifu_bp_ctl.scala 443:27] + node _T_13786 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13787 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13788 = eq(_T_13787, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:97] + node _T_13789 = and(_T_13786, _T_13788) @[ifu_bp_ctl.scala 443:45] + node _T_13790 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13791 = eq(_T_13790, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:186] + node _T_13792 = or(_T_13791, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13793 = and(_T_13789, _T_13792) @[ifu_bp_ctl.scala 443:110] + node _T_13794 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13795 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13796 = eq(_T_13795, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:74] + node _T_13797 = and(_T_13794, _T_13796) @[ifu_bp_ctl.scala 444:22] + node _T_13798 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13799 = eq(_T_13798, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:163] + node _T_13800 = or(_T_13799, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13801 = and(_T_13797, _T_13800) @[ifu_bp_ctl.scala 444:87] + node _T_13802 = or(_T_13793, _T_13801) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][9][10] <= _T_13802 @[ifu_bp_ctl.scala 443:27] + node _T_13803 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13804 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13805 = eq(_T_13804, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:97] + node _T_13806 = and(_T_13803, _T_13805) @[ifu_bp_ctl.scala 443:45] + node _T_13807 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13808 = eq(_T_13807, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:186] + node _T_13809 = or(_T_13808, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13810 = and(_T_13806, _T_13809) @[ifu_bp_ctl.scala 443:110] + node _T_13811 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13812 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13813 = eq(_T_13812, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:74] + node _T_13814 = and(_T_13811, _T_13813) @[ifu_bp_ctl.scala 444:22] + node _T_13815 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13816 = eq(_T_13815, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:163] + node _T_13817 = or(_T_13816, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13818 = and(_T_13814, _T_13817) @[ifu_bp_ctl.scala 444:87] + node _T_13819 = or(_T_13810, _T_13818) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][9][11] <= _T_13819 @[ifu_bp_ctl.scala 443:27] + node _T_13820 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13821 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13822 = eq(_T_13821, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:97] + node _T_13823 = and(_T_13820, _T_13822) @[ifu_bp_ctl.scala 443:45] + node _T_13824 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13825 = eq(_T_13824, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:186] + node _T_13826 = or(_T_13825, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13827 = and(_T_13823, _T_13826) @[ifu_bp_ctl.scala 443:110] + node _T_13828 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13829 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13830 = eq(_T_13829, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:74] + node _T_13831 = and(_T_13828, _T_13830) @[ifu_bp_ctl.scala 444:22] + node _T_13832 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13833 = eq(_T_13832, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:163] + node _T_13834 = or(_T_13833, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13835 = and(_T_13831, _T_13834) @[ifu_bp_ctl.scala 444:87] + node _T_13836 = or(_T_13827, _T_13835) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][9][12] <= _T_13836 @[ifu_bp_ctl.scala 443:27] + node _T_13837 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13838 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13839 = eq(_T_13838, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:97] + node _T_13840 = and(_T_13837, _T_13839) @[ifu_bp_ctl.scala 443:45] + node _T_13841 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13842 = eq(_T_13841, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:186] + node _T_13843 = or(_T_13842, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13844 = and(_T_13840, _T_13843) @[ifu_bp_ctl.scala 443:110] + node _T_13845 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13846 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13847 = eq(_T_13846, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:74] + node _T_13848 = and(_T_13845, _T_13847) @[ifu_bp_ctl.scala 444:22] + node _T_13849 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13850 = eq(_T_13849, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:163] + node _T_13851 = or(_T_13850, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13852 = and(_T_13848, _T_13851) @[ifu_bp_ctl.scala 444:87] + node _T_13853 = or(_T_13844, _T_13852) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][9][13] <= _T_13853 @[ifu_bp_ctl.scala 443:27] + node _T_13854 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13855 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13856 = eq(_T_13855, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:97] + node _T_13857 = and(_T_13854, _T_13856) @[ifu_bp_ctl.scala 443:45] + node _T_13858 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13859 = eq(_T_13858, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:186] + node _T_13860 = or(_T_13859, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13861 = and(_T_13857, _T_13860) @[ifu_bp_ctl.scala 443:110] + node _T_13862 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13863 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13864 = eq(_T_13863, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:74] + node _T_13865 = and(_T_13862, _T_13864) @[ifu_bp_ctl.scala 444:22] + node _T_13866 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13867 = eq(_T_13866, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:163] + node _T_13868 = or(_T_13867, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13869 = and(_T_13865, _T_13868) @[ifu_bp_ctl.scala 444:87] + node _T_13870 = or(_T_13861, _T_13869) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][9][14] <= _T_13870 @[ifu_bp_ctl.scala 443:27] + node _T_13871 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13872 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13873 = eq(_T_13872, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:97] + node _T_13874 = and(_T_13871, _T_13873) @[ifu_bp_ctl.scala 443:45] + node _T_13875 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13876 = eq(_T_13875, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:186] + node _T_13877 = or(_T_13876, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13878 = and(_T_13874, _T_13877) @[ifu_bp_ctl.scala 443:110] + node _T_13879 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13880 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13881 = eq(_T_13880, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:74] + node _T_13882 = and(_T_13879, _T_13881) @[ifu_bp_ctl.scala 444:22] + node _T_13883 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13884 = eq(_T_13883, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:163] + node _T_13885 = or(_T_13884, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13886 = and(_T_13882, _T_13885) @[ifu_bp_ctl.scala 444:87] + node _T_13887 = or(_T_13878, _T_13886) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][9][15] <= _T_13887 @[ifu_bp_ctl.scala 443:27] + node _T_13888 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13889 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13890 = eq(_T_13889, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:97] + node _T_13891 = and(_T_13888, _T_13890) @[ifu_bp_ctl.scala 443:45] + node _T_13892 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13893 = eq(_T_13892, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:186] + node _T_13894 = or(_T_13893, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13895 = and(_T_13891, _T_13894) @[ifu_bp_ctl.scala 443:110] + node _T_13896 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13897 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13898 = eq(_T_13897, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:74] + node _T_13899 = and(_T_13896, _T_13898) @[ifu_bp_ctl.scala 444:22] + node _T_13900 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13901 = eq(_T_13900, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:163] + node _T_13902 = or(_T_13901, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13903 = and(_T_13899, _T_13902) @[ifu_bp_ctl.scala 444:87] + node _T_13904 = or(_T_13895, _T_13903) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][10][0] <= _T_13904 @[ifu_bp_ctl.scala 443:27] + node _T_13905 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13906 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13907 = eq(_T_13906, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:97] + node _T_13908 = and(_T_13905, _T_13907) @[ifu_bp_ctl.scala 443:45] + node _T_13909 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13910 = eq(_T_13909, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:186] + node _T_13911 = or(_T_13910, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13912 = and(_T_13908, _T_13911) @[ifu_bp_ctl.scala 443:110] + node _T_13913 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13914 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13915 = eq(_T_13914, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:74] + node _T_13916 = and(_T_13913, _T_13915) @[ifu_bp_ctl.scala 444:22] + node _T_13917 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13918 = eq(_T_13917, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:163] + node _T_13919 = or(_T_13918, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13920 = and(_T_13916, _T_13919) @[ifu_bp_ctl.scala 444:87] + node _T_13921 = or(_T_13912, _T_13920) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][10][1] <= _T_13921 @[ifu_bp_ctl.scala 443:27] + node _T_13922 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13923 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13924 = eq(_T_13923, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:97] + node _T_13925 = and(_T_13922, _T_13924) @[ifu_bp_ctl.scala 443:45] + node _T_13926 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13927 = eq(_T_13926, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:186] + node _T_13928 = or(_T_13927, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13929 = and(_T_13925, _T_13928) @[ifu_bp_ctl.scala 443:110] + node _T_13930 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13931 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13932 = eq(_T_13931, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:74] + node _T_13933 = and(_T_13930, _T_13932) @[ifu_bp_ctl.scala 444:22] + node _T_13934 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13935 = eq(_T_13934, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:163] + node _T_13936 = or(_T_13935, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13937 = and(_T_13933, _T_13936) @[ifu_bp_ctl.scala 444:87] + node _T_13938 = or(_T_13929, _T_13937) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][10][2] <= _T_13938 @[ifu_bp_ctl.scala 443:27] + node _T_13939 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13940 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13941 = eq(_T_13940, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:97] + node _T_13942 = and(_T_13939, _T_13941) @[ifu_bp_ctl.scala 443:45] + node _T_13943 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13944 = eq(_T_13943, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:186] + node _T_13945 = or(_T_13944, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13946 = and(_T_13942, _T_13945) @[ifu_bp_ctl.scala 443:110] + node _T_13947 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13948 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13949 = eq(_T_13948, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:74] + node _T_13950 = and(_T_13947, _T_13949) @[ifu_bp_ctl.scala 444:22] + node _T_13951 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13952 = eq(_T_13951, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:163] + node _T_13953 = or(_T_13952, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13954 = and(_T_13950, _T_13953) @[ifu_bp_ctl.scala 444:87] + node _T_13955 = or(_T_13946, _T_13954) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][10][3] <= _T_13955 @[ifu_bp_ctl.scala 443:27] + node _T_13956 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13957 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13958 = eq(_T_13957, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:97] + node _T_13959 = and(_T_13956, _T_13958) @[ifu_bp_ctl.scala 443:45] + node _T_13960 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13961 = eq(_T_13960, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:186] + node _T_13962 = or(_T_13961, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13963 = and(_T_13959, _T_13962) @[ifu_bp_ctl.scala 443:110] + node _T_13964 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13965 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13966 = eq(_T_13965, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:74] + node _T_13967 = and(_T_13964, _T_13966) @[ifu_bp_ctl.scala 444:22] + node _T_13968 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13969 = eq(_T_13968, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:163] + node _T_13970 = or(_T_13969, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13971 = and(_T_13967, _T_13970) @[ifu_bp_ctl.scala 444:87] + node _T_13972 = or(_T_13963, _T_13971) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][10][4] <= _T_13972 @[ifu_bp_ctl.scala 443:27] + node _T_13973 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13974 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13975 = eq(_T_13974, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:97] + node _T_13976 = and(_T_13973, _T_13975) @[ifu_bp_ctl.scala 443:45] + node _T_13977 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13978 = eq(_T_13977, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:186] + node _T_13979 = or(_T_13978, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13980 = and(_T_13976, _T_13979) @[ifu_bp_ctl.scala 443:110] + node _T_13981 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13982 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_13983 = eq(_T_13982, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:74] + node _T_13984 = and(_T_13981, _T_13983) @[ifu_bp_ctl.scala 444:22] + node _T_13985 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_13986 = eq(_T_13985, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:163] + node _T_13987 = or(_T_13986, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_13988 = and(_T_13984, _T_13987) @[ifu_bp_ctl.scala 444:87] + node _T_13989 = or(_T_13980, _T_13988) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][10][5] <= _T_13989 @[ifu_bp_ctl.scala 443:27] + node _T_13990 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_13991 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_13992 = eq(_T_13991, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:97] + node _T_13993 = and(_T_13990, _T_13992) @[ifu_bp_ctl.scala 443:45] + node _T_13994 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_13995 = eq(_T_13994, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:186] + node _T_13996 = or(_T_13995, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_13997 = and(_T_13993, _T_13996) @[ifu_bp_ctl.scala 443:110] + node _T_13998 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_13999 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14000 = eq(_T_13999, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:74] + node _T_14001 = and(_T_13998, _T_14000) @[ifu_bp_ctl.scala 444:22] + node _T_14002 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14003 = eq(_T_14002, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:163] + node _T_14004 = or(_T_14003, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14005 = and(_T_14001, _T_14004) @[ifu_bp_ctl.scala 444:87] + node _T_14006 = or(_T_13997, _T_14005) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][10][6] <= _T_14006 @[ifu_bp_ctl.scala 443:27] + node _T_14007 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14008 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14009 = eq(_T_14008, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:97] + node _T_14010 = and(_T_14007, _T_14009) @[ifu_bp_ctl.scala 443:45] + node _T_14011 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14012 = eq(_T_14011, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:186] + node _T_14013 = or(_T_14012, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14014 = and(_T_14010, _T_14013) @[ifu_bp_ctl.scala 443:110] + node _T_14015 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14016 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14017 = eq(_T_14016, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:74] + node _T_14018 = and(_T_14015, _T_14017) @[ifu_bp_ctl.scala 444:22] + node _T_14019 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14020 = eq(_T_14019, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:163] + node _T_14021 = or(_T_14020, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14022 = and(_T_14018, _T_14021) @[ifu_bp_ctl.scala 444:87] + node _T_14023 = or(_T_14014, _T_14022) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][10][7] <= _T_14023 @[ifu_bp_ctl.scala 443:27] + node _T_14024 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14025 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14026 = eq(_T_14025, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:97] + node _T_14027 = and(_T_14024, _T_14026) @[ifu_bp_ctl.scala 443:45] + node _T_14028 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14029 = eq(_T_14028, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:186] + node _T_14030 = or(_T_14029, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14031 = and(_T_14027, _T_14030) @[ifu_bp_ctl.scala 443:110] + node _T_14032 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14033 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14034 = eq(_T_14033, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:74] + node _T_14035 = and(_T_14032, _T_14034) @[ifu_bp_ctl.scala 444:22] + node _T_14036 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14037 = eq(_T_14036, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:163] + node _T_14038 = or(_T_14037, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14039 = and(_T_14035, _T_14038) @[ifu_bp_ctl.scala 444:87] + node _T_14040 = or(_T_14031, _T_14039) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][10][8] <= _T_14040 @[ifu_bp_ctl.scala 443:27] + node _T_14041 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14042 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14043 = eq(_T_14042, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:97] + node _T_14044 = and(_T_14041, _T_14043) @[ifu_bp_ctl.scala 443:45] + node _T_14045 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14046 = eq(_T_14045, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:186] + node _T_14047 = or(_T_14046, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14048 = and(_T_14044, _T_14047) @[ifu_bp_ctl.scala 443:110] + node _T_14049 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14050 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14051 = eq(_T_14050, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:74] + node _T_14052 = and(_T_14049, _T_14051) @[ifu_bp_ctl.scala 444:22] + node _T_14053 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14054 = eq(_T_14053, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:163] + node _T_14055 = or(_T_14054, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14056 = and(_T_14052, _T_14055) @[ifu_bp_ctl.scala 444:87] + node _T_14057 = or(_T_14048, _T_14056) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][10][9] <= _T_14057 @[ifu_bp_ctl.scala 443:27] + node _T_14058 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14059 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14060 = eq(_T_14059, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:97] + node _T_14061 = and(_T_14058, _T_14060) @[ifu_bp_ctl.scala 443:45] + node _T_14062 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14063 = eq(_T_14062, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:186] + node _T_14064 = or(_T_14063, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14065 = and(_T_14061, _T_14064) @[ifu_bp_ctl.scala 443:110] + node _T_14066 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14067 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14068 = eq(_T_14067, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:74] + node _T_14069 = and(_T_14066, _T_14068) @[ifu_bp_ctl.scala 444:22] + node _T_14070 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14071 = eq(_T_14070, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:163] + node _T_14072 = or(_T_14071, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14073 = and(_T_14069, _T_14072) @[ifu_bp_ctl.scala 444:87] + node _T_14074 = or(_T_14065, _T_14073) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][10][10] <= _T_14074 @[ifu_bp_ctl.scala 443:27] + node _T_14075 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14076 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14077 = eq(_T_14076, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:97] + node _T_14078 = and(_T_14075, _T_14077) @[ifu_bp_ctl.scala 443:45] + node _T_14079 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14080 = eq(_T_14079, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:186] + node _T_14081 = or(_T_14080, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14082 = and(_T_14078, _T_14081) @[ifu_bp_ctl.scala 443:110] + node _T_14083 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14084 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14085 = eq(_T_14084, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:74] + node _T_14086 = and(_T_14083, _T_14085) @[ifu_bp_ctl.scala 444:22] + node _T_14087 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14088 = eq(_T_14087, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:163] + node _T_14089 = or(_T_14088, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14090 = and(_T_14086, _T_14089) @[ifu_bp_ctl.scala 444:87] + node _T_14091 = or(_T_14082, _T_14090) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][10][11] <= _T_14091 @[ifu_bp_ctl.scala 443:27] + node _T_14092 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14093 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14094 = eq(_T_14093, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:97] + node _T_14095 = and(_T_14092, _T_14094) @[ifu_bp_ctl.scala 443:45] + node _T_14096 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14097 = eq(_T_14096, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:186] + node _T_14098 = or(_T_14097, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14099 = and(_T_14095, _T_14098) @[ifu_bp_ctl.scala 443:110] + node _T_14100 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14101 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14102 = eq(_T_14101, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:74] + node _T_14103 = and(_T_14100, _T_14102) @[ifu_bp_ctl.scala 444:22] + node _T_14104 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14105 = eq(_T_14104, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:163] + node _T_14106 = or(_T_14105, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14107 = and(_T_14103, _T_14106) @[ifu_bp_ctl.scala 444:87] + node _T_14108 = or(_T_14099, _T_14107) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][10][12] <= _T_14108 @[ifu_bp_ctl.scala 443:27] + node _T_14109 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14110 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14111 = eq(_T_14110, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:97] + node _T_14112 = and(_T_14109, _T_14111) @[ifu_bp_ctl.scala 443:45] + node _T_14113 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14114 = eq(_T_14113, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:186] + node _T_14115 = or(_T_14114, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14116 = and(_T_14112, _T_14115) @[ifu_bp_ctl.scala 443:110] + node _T_14117 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14118 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14119 = eq(_T_14118, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:74] + node _T_14120 = and(_T_14117, _T_14119) @[ifu_bp_ctl.scala 444:22] + node _T_14121 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14122 = eq(_T_14121, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:163] + node _T_14123 = or(_T_14122, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14124 = and(_T_14120, _T_14123) @[ifu_bp_ctl.scala 444:87] + node _T_14125 = or(_T_14116, _T_14124) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][10][13] <= _T_14125 @[ifu_bp_ctl.scala 443:27] + node _T_14126 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14127 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14128 = eq(_T_14127, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:97] + node _T_14129 = and(_T_14126, _T_14128) @[ifu_bp_ctl.scala 443:45] + node _T_14130 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14131 = eq(_T_14130, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:186] + node _T_14132 = or(_T_14131, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14133 = and(_T_14129, _T_14132) @[ifu_bp_ctl.scala 443:110] + node _T_14134 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14135 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14136 = eq(_T_14135, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:74] + node _T_14137 = and(_T_14134, _T_14136) @[ifu_bp_ctl.scala 444:22] + node _T_14138 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14139 = eq(_T_14138, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:163] + node _T_14140 = or(_T_14139, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14141 = and(_T_14137, _T_14140) @[ifu_bp_ctl.scala 444:87] + node _T_14142 = or(_T_14133, _T_14141) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][10][14] <= _T_14142 @[ifu_bp_ctl.scala 443:27] + node _T_14143 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14144 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14145 = eq(_T_14144, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:97] + node _T_14146 = and(_T_14143, _T_14145) @[ifu_bp_ctl.scala 443:45] + node _T_14147 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14148 = eq(_T_14147, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:186] + node _T_14149 = or(_T_14148, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14150 = and(_T_14146, _T_14149) @[ifu_bp_ctl.scala 443:110] + node _T_14151 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14152 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14153 = eq(_T_14152, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:74] + node _T_14154 = and(_T_14151, _T_14153) @[ifu_bp_ctl.scala 444:22] + node _T_14155 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14156 = eq(_T_14155, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:163] + node _T_14157 = or(_T_14156, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14158 = and(_T_14154, _T_14157) @[ifu_bp_ctl.scala 444:87] + node _T_14159 = or(_T_14150, _T_14158) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][10][15] <= _T_14159 @[ifu_bp_ctl.scala 443:27] + node _T_14160 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14161 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14162 = eq(_T_14161, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:97] + node _T_14163 = and(_T_14160, _T_14162) @[ifu_bp_ctl.scala 443:45] + node _T_14164 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14165 = eq(_T_14164, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:186] + node _T_14166 = or(_T_14165, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14167 = and(_T_14163, _T_14166) @[ifu_bp_ctl.scala 443:110] + node _T_14168 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14169 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14170 = eq(_T_14169, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:74] + node _T_14171 = and(_T_14168, _T_14170) @[ifu_bp_ctl.scala 444:22] + node _T_14172 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14173 = eq(_T_14172, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:163] + node _T_14174 = or(_T_14173, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14175 = and(_T_14171, _T_14174) @[ifu_bp_ctl.scala 444:87] + node _T_14176 = or(_T_14167, _T_14175) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][11][0] <= _T_14176 @[ifu_bp_ctl.scala 443:27] + node _T_14177 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14178 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14179 = eq(_T_14178, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:97] + node _T_14180 = and(_T_14177, _T_14179) @[ifu_bp_ctl.scala 443:45] + node _T_14181 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14182 = eq(_T_14181, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:186] + node _T_14183 = or(_T_14182, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14184 = and(_T_14180, _T_14183) @[ifu_bp_ctl.scala 443:110] + node _T_14185 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14186 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14187 = eq(_T_14186, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:74] + node _T_14188 = and(_T_14185, _T_14187) @[ifu_bp_ctl.scala 444:22] + node _T_14189 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14190 = eq(_T_14189, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:163] + node _T_14191 = or(_T_14190, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14192 = and(_T_14188, _T_14191) @[ifu_bp_ctl.scala 444:87] + node _T_14193 = or(_T_14184, _T_14192) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][11][1] <= _T_14193 @[ifu_bp_ctl.scala 443:27] + node _T_14194 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14195 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14196 = eq(_T_14195, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:97] + node _T_14197 = and(_T_14194, _T_14196) @[ifu_bp_ctl.scala 443:45] + node _T_14198 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14199 = eq(_T_14198, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:186] + node _T_14200 = or(_T_14199, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14201 = and(_T_14197, _T_14200) @[ifu_bp_ctl.scala 443:110] + node _T_14202 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14203 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14204 = eq(_T_14203, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:74] + node _T_14205 = and(_T_14202, _T_14204) @[ifu_bp_ctl.scala 444:22] + node _T_14206 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14207 = eq(_T_14206, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:163] + node _T_14208 = or(_T_14207, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14209 = and(_T_14205, _T_14208) @[ifu_bp_ctl.scala 444:87] + node _T_14210 = or(_T_14201, _T_14209) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][11][2] <= _T_14210 @[ifu_bp_ctl.scala 443:27] + node _T_14211 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14212 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14213 = eq(_T_14212, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:97] + node _T_14214 = and(_T_14211, _T_14213) @[ifu_bp_ctl.scala 443:45] + node _T_14215 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14216 = eq(_T_14215, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:186] + node _T_14217 = or(_T_14216, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14218 = and(_T_14214, _T_14217) @[ifu_bp_ctl.scala 443:110] + node _T_14219 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14220 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14221 = eq(_T_14220, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:74] + node _T_14222 = and(_T_14219, _T_14221) @[ifu_bp_ctl.scala 444:22] + node _T_14223 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14224 = eq(_T_14223, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:163] + node _T_14225 = or(_T_14224, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14226 = and(_T_14222, _T_14225) @[ifu_bp_ctl.scala 444:87] + node _T_14227 = or(_T_14218, _T_14226) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][11][3] <= _T_14227 @[ifu_bp_ctl.scala 443:27] + node _T_14228 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14229 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14230 = eq(_T_14229, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:97] + node _T_14231 = and(_T_14228, _T_14230) @[ifu_bp_ctl.scala 443:45] + node _T_14232 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14233 = eq(_T_14232, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:186] + node _T_14234 = or(_T_14233, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14235 = and(_T_14231, _T_14234) @[ifu_bp_ctl.scala 443:110] + node _T_14236 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14237 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14238 = eq(_T_14237, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:74] + node _T_14239 = and(_T_14236, _T_14238) @[ifu_bp_ctl.scala 444:22] + node _T_14240 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14241 = eq(_T_14240, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:163] + node _T_14242 = or(_T_14241, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14243 = and(_T_14239, _T_14242) @[ifu_bp_ctl.scala 444:87] + node _T_14244 = or(_T_14235, _T_14243) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][11][4] <= _T_14244 @[ifu_bp_ctl.scala 443:27] + node _T_14245 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14246 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14247 = eq(_T_14246, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:97] + node _T_14248 = and(_T_14245, _T_14247) @[ifu_bp_ctl.scala 443:45] + node _T_14249 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14250 = eq(_T_14249, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:186] + node _T_14251 = or(_T_14250, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14252 = and(_T_14248, _T_14251) @[ifu_bp_ctl.scala 443:110] + node _T_14253 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14254 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14255 = eq(_T_14254, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:74] + node _T_14256 = and(_T_14253, _T_14255) @[ifu_bp_ctl.scala 444:22] + node _T_14257 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14258 = eq(_T_14257, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:163] + node _T_14259 = or(_T_14258, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14260 = and(_T_14256, _T_14259) @[ifu_bp_ctl.scala 444:87] + node _T_14261 = or(_T_14252, _T_14260) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][11][5] <= _T_14261 @[ifu_bp_ctl.scala 443:27] + node _T_14262 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14263 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14264 = eq(_T_14263, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:97] + node _T_14265 = and(_T_14262, _T_14264) @[ifu_bp_ctl.scala 443:45] + node _T_14266 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14267 = eq(_T_14266, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:186] + node _T_14268 = or(_T_14267, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14269 = and(_T_14265, _T_14268) @[ifu_bp_ctl.scala 443:110] + node _T_14270 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14271 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14272 = eq(_T_14271, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:74] + node _T_14273 = and(_T_14270, _T_14272) @[ifu_bp_ctl.scala 444:22] + node _T_14274 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14275 = eq(_T_14274, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:163] + node _T_14276 = or(_T_14275, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14277 = and(_T_14273, _T_14276) @[ifu_bp_ctl.scala 444:87] + node _T_14278 = or(_T_14269, _T_14277) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][11][6] <= _T_14278 @[ifu_bp_ctl.scala 443:27] + node _T_14279 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14280 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14281 = eq(_T_14280, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:97] + node _T_14282 = and(_T_14279, _T_14281) @[ifu_bp_ctl.scala 443:45] + node _T_14283 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14284 = eq(_T_14283, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:186] + node _T_14285 = or(_T_14284, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14286 = and(_T_14282, _T_14285) @[ifu_bp_ctl.scala 443:110] + node _T_14287 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14288 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14289 = eq(_T_14288, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:74] + node _T_14290 = and(_T_14287, _T_14289) @[ifu_bp_ctl.scala 444:22] + node _T_14291 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14292 = eq(_T_14291, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:163] + node _T_14293 = or(_T_14292, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14294 = and(_T_14290, _T_14293) @[ifu_bp_ctl.scala 444:87] + node _T_14295 = or(_T_14286, _T_14294) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][11][7] <= _T_14295 @[ifu_bp_ctl.scala 443:27] + node _T_14296 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14297 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14298 = eq(_T_14297, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:97] + node _T_14299 = and(_T_14296, _T_14298) @[ifu_bp_ctl.scala 443:45] + node _T_14300 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14301 = eq(_T_14300, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:186] + node _T_14302 = or(_T_14301, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14303 = and(_T_14299, _T_14302) @[ifu_bp_ctl.scala 443:110] + node _T_14304 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14305 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14306 = eq(_T_14305, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:74] + node _T_14307 = and(_T_14304, _T_14306) @[ifu_bp_ctl.scala 444:22] + node _T_14308 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14309 = eq(_T_14308, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:163] + node _T_14310 = or(_T_14309, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14311 = and(_T_14307, _T_14310) @[ifu_bp_ctl.scala 444:87] + node _T_14312 = or(_T_14303, _T_14311) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][11][8] <= _T_14312 @[ifu_bp_ctl.scala 443:27] + node _T_14313 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14314 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14315 = eq(_T_14314, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:97] + node _T_14316 = and(_T_14313, _T_14315) @[ifu_bp_ctl.scala 443:45] + node _T_14317 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14318 = eq(_T_14317, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:186] + node _T_14319 = or(_T_14318, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14320 = and(_T_14316, _T_14319) @[ifu_bp_ctl.scala 443:110] + node _T_14321 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14322 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14323 = eq(_T_14322, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:74] + node _T_14324 = and(_T_14321, _T_14323) @[ifu_bp_ctl.scala 444:22] + node _T_14325 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14326 = eq(_T_14325, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:163] + node _T_14327 = or(_T_14326, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14328 = and(_T_14324, _T_14327) @[ifu_bp_ctl.scala 444:87] + node _T_14329 = or(_T_14320, _T_14328) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][11][9] <= _T_14329 @[ifu_bp_ctl.scala 443:27] + node _T_14330 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14331 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14332 = eq(_T_14331, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:97] + node _T_14333 = and(_T_14330, _T_14332) @[ifu_bp_ctl.scala 443:45] + node _T_14334 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14335 = eq(_T_14334, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:186] + node _T_14336 = or(_T_14335, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14337 = and(_T_14333, _T_14336) @[ifu_bp_ctl.scala 443:110] + node _T_14338 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14339 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14340 = eq(_T_14339, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:74] + node _T_14341 = and(_T_14338, _T_14340) @[ifu_bp_ctl.scala 444:22] + node _T_14342 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14343 = eq(_T_14342, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:163] + node _T_14344 = or(_T_14343, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14345 = and(_T_14341, _T_14344) @[ifu_bp_ctl.scala 444:87] + node _T_14346 = or(_T_14337, _T_14345) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][11][10] <= _T_14346 @[ifu_bp_ctl.scala 443:27] + node _T_14347 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14348 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14349 = eq(_T_14348, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:97] + node _T_14350 = and(_T_14347, _T_14349) @[ifu_bp_ctl.scala 443:45] + node _T_14351 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14352 = eq(_T_14351, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:186] + node _T_14353 = or(_T_14352, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14354 = and(_T_14350, _T_14353) @[ifu_bp_ctl.scala 443:110] + node _T_14355 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14356 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14357 = eq(_T_14356, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:74] + node _T_14358 = and(_T_14355, _T_14357) @[ifu_bp_ctl.scala 444:22] + node _T_14359 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14360 = eq(_T_14359, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:163] + node _T_14361 = or(_T_14360, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14362 = and(_T_14358, _T_14361) @[ifu_bp_ctl.scala 444:87] + node _T_14363 = or(_T_14354, _T_14362) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][11][11] <= _T_14363 @[ifu_bp_ctl.scala 443:27] + node _T_14364 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14365 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14366 = eq(_T_14365, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:97] + node _T_14367 = and(_T_14364, _T_14366) @[ifu_bp_ctl.scala 443:45] + node _T_14368 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14369 = eq(_T_14368, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:186] + node _T_14370 = or(_T_14369, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14371 = and(_T_14367, _T_14370) @[ifu_bp_ctl.scala 443:110] + node _T_14372 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14373 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14374 = eq(_T_14373, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:74] + node _T_14375 = and(_T_14372, _T_14374) @[ifu_bp_ctl.scala 444:22] + node _T_14376 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14377 = eq(_T_14376, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:163] + node _T_14378 = or(_T_14377, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14379 = and(_T_14375, _T_14378) @[ifu_bp_ctl.scala 444:87] + node _T_14380 = or(_T_14371, _T_14379) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][11][12] <= _T_14380 @[ifu_bp_ctl.scala 443:27] + node _T_14381 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14382 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14383 = eq(_T_14382, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:97] + node _T_14384 = and(_T_14381, _T_14383) @[ifu_bp_ctl.scala 443:45] + node _T_14385 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14386 = eq(_T_14385, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:186] + node _T_14387 = or(_T_14386, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14388 = and(_T_14384, _T_14387) @[ifu_bp_ctl.scala 443:110] + node _T_14389 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14390 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14391 = eq(_T_14390, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:74] + node _T_14392 = and(_T_14389, _T_14391) @[ifu_bp_ctl.scala 444:22] + node _T_14393 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14394 = eq(_T_14393, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:163] + node _T_14395 = or(_T_14394, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14396 = and(_T_14392, _T_14395) @[ifu_bp_ctl.scala 444:87] + node _T_14397 = or(_T_14388, _T_14396) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][11][13] <= _T_14397 @[ifu_bp_ctl.scala 443:27] + node _T_14398 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14399 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14400 = eq(_T_14399, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:97] + node _T_14401 = and(_T_14398, _T_14400) @[ifu_bp_ctl.scala 443:45] + node _T_14402 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14403 = eq(_T_14402, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:186] + node _T_14404 = or(_T_14403, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14405 = and(_T_14401, _T_14404) @[ifu_bp_ctl.scala 443:110] + node _T_14406 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14407 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14408 = eq(_T_14407, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:74] + node _T_14409 = and(_T_14406, _T_14408) @[ifu_bp_ctl.scala 444:22] + node _T_14410 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14411 = eq(_T_14410, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:163] + node _T_14412 = or(_T_14411, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14413 = and(_T_14409, _T_14412) @[ifu_bp_ctl.scala 444:87] + node _T_14414 = or(_T_14405, _T_14413) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][11][14] <= _T_14414 @[ifu_bp_ctl.scala 443:27] + node _T_14415 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14416 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14417 = eq(_T_14416, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:97] + node _T_14418 = and(_T_14415, _T_14417) @[ifu_bp_ctl.scala 443:45] + node _T_14419 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14420 = eq(_T_14419, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:186] + node _T_14421 = or(_T_14420, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14422 = and(_T_14418, _T_14421) @[ifu_bp_ctl.scala 443:110] + node _T_14423 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14424 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14425 = eq(_T_14424, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:74] + node _T_14426 = and(_T_14423, _T_14425) @[ifu_bp_ctl.scala 444:22] + node _T_14427 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14428 = eq(_T_14427, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:163] + node _T_14429 = or(_T_14428, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14430 = and(_T_14426, _T_14429) @[ifu_bp_ctl.scala 444:87] + node _T_14431 = or(_T_14422, _T_14430) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][11][15] <= _T_14431 @[ifu_bp_ctl.scala 443:27] + node _T_14432 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14433 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14434 = eq(_T_14433, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:97] + node _T_14435 = and(_T_14432, _T_14434) @[ifu_bp_ctl.scala 443:45] + node _T_14436 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14437 = eq(_T_14436, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:186] + node _T_14438 = or(_T_14437, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14439 = and(_T_14435, _T_14438) @[ifu_bp_ctl.scala 443:110] + node _T_14440 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14441 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14442 = eq(_T_14441, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:74] + node _T_14443 = and(_T_14440, _T_14442) @[ifu_bp_ctl.scala 444:22] + node _T_14444 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14445 = eq(_T_14444, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:163] + node _T_14446 = or(_T_14445, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14447 = and(_T_14443, _T_14446) @[ifu_bp_ctl.scala 444:87] + node _T_14448 = or(_T_14439, _T_14447) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][12][0] <= _T_14448 @[ifu_bp_ctl.scala 443:27] + node _T_14449 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14450 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14451 = eq(_T_14450, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:97] + node _T_14452 = and(_T_14449, _T_14451) @[ifu_bp_ctl.scala 443:45] + node _T_14453 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14454 = eq(_T_14453, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:186] + node _T_14455 = or(_T_14454, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14456 = and(_T_14452, _T_14455) @[ifu_bp_ctl.scala 443:110] + node _T_14457 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14458 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14459 = eq(_T_14458, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:74] + node _T_14460 = and(_T_14457, _T_14459) @[ifu_bp_ctl.scala 444:22] + node _T_14461 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14462 = eq(_T_14461, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:163] + node _T_14463 = or(_T_14462, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14464 = and(_T_14460, _T_14463) @[ifu_bp_ctl.scala 444:87] + node _T_14465 = or(_T_14456, _T_14464) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][12][1] <= _T_14465 @[ifu_bp_ctl.scala 443:27] + node _T_14466 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14467 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14468 = eq(_T_14467, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:97] + node _T_14469 = and(_T_14466, _T_14468) @[ifu_bp_ctl.scala 443:45] + node _T_14470 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14471 = eq(_T_14470, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:186] + node _T_14472 = or(_T_14471, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14473 = and(_T_14469, _T_14472) @[ifu_bp_ctl.scala 443:110] + node _T_14474 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14475 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14476 = eq(_T_14475, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:74] + node _T_14477 = and(_T_14474, _T_14476) @[ifu_bp_ctl.scala 444:22] + node _T_14478 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14479 = eq(_T_14478, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:163] + node _T_14480 = or(_T_14479, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14481 = and(_T_14477, _T_14480) @[ifu_bp_ctl.scala 444:87] + node _T_14482 = or(_T_14473, _T_14481) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][12][2] <= _T_14482 @[ifu_bp_ctl.scala 443:27] + node _T_14483 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14484 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14485 = eq(_T_14484, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:97] + node _T_14486 = and(_T_14483, _T_14485) @[ifu_bp_ctl.scala 443:45] + node _T_14487 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14488 = eq(_T_14487, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:186] + node _T_14489 = or(_T_14488, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14490 = and(_T_14486, _T_14489) @[ifu_bp_ctl.scala 443:110] + node _T_14491 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14492 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14493 = eq(_T_14492, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:74] + node _T_14494 = and(_T_14491, _T_14493) @[ifu_bp_ctl.scala 444:22] + node _T_14495 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14496 = eq(_T_14495, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:163] + node _T_14497 = or(_T_14496, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14498 = and(_T_14494, _T_14497) @[ifu_bp_ctl.scala 444:87] + node _T_14499 = or(_T_14490, _T_14498) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][12][3] <= _T_14499 @[ifu_bp_ctl.scala 443:27] + node _T_14500 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14501 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14502 = eq(_T_14501, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:97] + node _T_14503 = and(_T_14500, _T_14502) @[ifu_bp_ctl.scala 443:45] + node _T_14504 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14505 = eq(_T_14504, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:186] + node _T_14506 = or(_T_14505, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14507 = and(_T_14503, _T_14506) @[ifu_bp_ctl.scala 443:110] + node _T_14508 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14509 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14510 = eq(_T_14509, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:74] + node _T_14511 = and(_T_14508, _T_14510) @[ifu_bp_ctl.scala 444:22] + node _T_14512 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14513 = eq(_T_14512, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:163] + node _T_14514 = or(_T_14513, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14515 = and(_T_14511, _T_14514) @[ifu_bp_ctl.scala 444:87] + node _T_14516 = or(_T_14507, _T_14515) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][12][4] <= _T_14516 @[ifu_bp_ctl.scala 443:27] + node _T_14517 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14518 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14519 = eq(_T_14518, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:97] + node _T_14520 = and(_T_14517, _T_14519) @[ifu_bp_ctl.scala 443:45] + node _T_14521 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14522 = eq(_T_14521, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:186] + node _T_14523 = or(_T_14522, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14524 = and(_T_14520, _T_14523) @[ifu_bp_ctl.scala 443:110] + node _T_14525 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14526 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14527 = eq(_T_14526, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:74] + node _T_14528 = and(_T_14525, _T_14527) @[ifu_bp_ctl.scala 444:22] + node _T_14529 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14530 = eq(_T_14529, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:163] + node _T_14531 = or(_T_14530, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14532 = and(_T_14528, _T_14531) @[ifu_bp_ctl.scala 444:87] + node _T_14533 = or(_T_14524, _T_14532) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][12][5] <= _T_14533 @[ifu_bp_ctl.scala 443:27] + node _T_14534 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14535 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14536 = eq(_T_14535, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:97] + node _T_14537 = and(_T_14534, _T_14536) @[ifu_bp_ctl.scala 443:45] + node _T_14538 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14539 = eq(_T_14538, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:186] + node _T_14540 = or(_T_14539, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14541 = and(_T_14537, _T_14540) @[ifu_bp_ctl.scala 443:110] + node _T_14542 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14543 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14544 = eq(_T_14543, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:74] + node _T_14545 = and(_T_14542, _T_14544) @[ifu_bp_ctl.scala 444:22] + node _T_14546 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14547 = eq(_T_14546, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:163] + node _T_14548 = or(_T_14547, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14549 = and(_T_14545, _T_14548) @[ifu_bp_ctl.scala 444:87] + node _T_14550 = or(_T_14541, _T_14549) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][12][6] <= _T_14550 @[ifu_bp_ctl.scala 443:27] + node _T_14551 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14552 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14553 = eq(_T_14552, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:97] + node _T_14554 = and(_T_14551, _T_14553) @[ifu_bp_ctl.scala 443:45] + node _T_14555 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14556 = eq(_T_14555, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:186] + node _T_14557 = or(_T_14556, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14558 = and(_T_14554, _T_14557) @[ifu_bp_ctl.scala 443:110] + node _T_14559 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14560 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14561 = eq(_T_14560, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:74] + node _T_14562 = and(_T_14559, _T_14561) @[ifu_bp_ctl.scala 444:22] + node _T_14563 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14564 = eq(_T_14563, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:163] + node _T_14565 = or(_T_14564, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14566 = and(_T_14562, _T_14565) @[ifu_bp_ctl.scala 444:87] + node _T_14567 = or(_T_14558, _T_14566) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][12][7] <= _T_14567 @[ifu_bp_ctl.scala 443:27] + node _T_14568 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14569 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14570 = eq(_T_14569, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:97] + node _T_14571 = and(_T_14568, _T_14570) @[ifu_bp_ctl.scala 443:45] + node _T_14572 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14573 = eq(_T_14572, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:186] + node _T_14574 = or(_T_14573, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14575 = and(_T_14571, _T_14574) @[ifu_bp_ctl.scala 443:110] + node _T_14576 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14577 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14578 = eq(_T_14577, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:74] + node _T_14579 = and(_T_14576, _T_14578) @[ifu_bp_ctl.scala 444:22] + node _T_14580 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14581 = eq(_T_14580, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:163] + node _T_14582 = or(_T_14581, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14583 = and(_T_14579, _T_14582) @[ifu_bp_ctl.scala 444:87] + node _T_14584 = or(_T_14575, _T_14583) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][12][8] <= _T_14584 @[ifu_bp_ctl.scala 443:27] + node _T_14585 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14586 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14587 = eq(_T_14586, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:97] + node _T_14588 = and(_T_14585, _T_14587) @[ifu_bp_ctl.scala 443:45] + node _T_14589 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14590 = eq(_T_14589, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:186] + node _T_14591 = or(_T_14590, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14592 = and(_T_14588, _T_14591) @[ifu_bp_ctl.scala 443:110] + node _T_14593 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14594 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14595 = eq(_T_14594, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:74] + node _T_14596 = and(_T_14593, _T_14595) @[ifu_bp_ctl.scala 444:22] + node _T_14597 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14598 = eq(_T_14597, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:163] + node _T_14599 = or(_T_14598, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14600 = and(_T_14596, _T_14599) @[ifu_bp_ctl.scala 444:87] + node _T_14601 = or(_T_14592, _T_14600) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][12][9] <= _T_14601 @[ifu_bp_ctl.scala 443:27] + node _T_14602 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14603 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14604 = eq(_T_14603, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:97] + node _T_14605 = and(_T_14602, _T_14604) @[ifu_bp_ctl.scala 443:45] + node _T_14606 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14607 = eq(_T_14606, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:186] + node _T_14608 = or(_T_14607, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14609 = and(_T_14605, _T_14608) @[ifu_bp_ctl.scala 443:110] + node _T_14610 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14611 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14612 = eq(_T_14611, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:74] + node _T_14613 = and(_T_14610, _T_14612) @[ifu_bp_ctl.scala 444:22] + node _T_14614 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14615 = eq(_T_14614, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:163] + node _T_14616 = or(_T_14615, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14617 = and(_T_14613, _T_14616) @[ifu_bp_ctl.scala 444:87] + node _T_14618 = or(_T_14609, _T_14617) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][12][10] <= _T_14618 @[ifu_bp_ctl.scala 443:27] + node _T_14619 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14620 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14621 = eq(_T_14620, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:97] + node _T_14622 = and(_T_14619, _T_14621) @[ifu_bp_ctl.scala 443:45] + node _T_14623 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14624 = eq(_T_14623, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:186] + node _T_14625 = or(_T_14624, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14626 = and(_T_14622, _T_14625) @[ifu_bp_ctl.scala 443:110] + node _T_14627 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14628 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14629 = eq(_T_14628, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:74] + node _T_14630 = and(_T_14627, _T_14629) @[ifu_bp_ctl.scala 444:22] + node _T_14631 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14632 = eq(_T_14631, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:163] + node _T_14633 = or(_T_14632, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14634 = and(_T_14630, _T_14633) @[ifu_bp_ctl.scala 444:87] + node _T_14635 = or(_T_14626, _T_14634) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][12][11] <= _T_14635 @[ifu_bp_ctl.scala 443:27] + node _T_14636 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14637 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14638 = eq(_T_14637, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:97] + node _T_14639 = and(_T_14636, _T_14638) @[ifu_bp_ctl.scala 443:45] + node _T_14640 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14641 = eq(_T_14640, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:186] + node _T_14642 = or(_T_14641, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14643 = and(_T_14639, _T_14642) @[ifu_bp_ctl.scala 443:110] + node _T_14644 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14645 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14646 = eq(_T_14645, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:74] + node _T_14647 = and(_T_14644, _T_14646) @[ifu_bp_ctl.scala 444:22] + node _T_14648 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14649 = eq(_T_14648, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:163] + node _T_14650 = or(_T_14649, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14651 = and(_T_14647, _T_14650) @[ifu_bp_ctl.scala 444:87] + node _T_14652 = or(_T_14643, _T_14651) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][12][12] <= _T_14652 @[ifu_bp_ctl.scala 443:27] + node _T_14653 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14654 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14655 = eq(_T_14654, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:97] + node _T_14656 = and(_T_14653, _T_14655) @[ifu_bp_ctl.scala 443:45] + node _T_14657 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14658 = eq(_T_14657, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:186] + node _T_14659 = or(_T_14658, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14660 = and(_T_14656, _T_14659) @[ifu_bp_ctl.scala 443:110] + node _T_14661 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14662 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14663 = eq(_T_14662, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:74] + node _T_14664 = and(_T_14661, _T_14663) @[ifu_bp_ctl.scala 444:22] + node _T_14665 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14666 = eq(_T_14665, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:163] + node _T_14667 = or(_T_14666, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14668 = and(_T_14664, _T_14667) @[ifu_bp_ctl.scala 444:87] + node _T_14669 = or(_T_14660, _T_14668) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][12][13] <= _T_14669 @[ifu_bp_ctl.scala 443:27] + node _T_14670 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14671 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14672 = eq(_T_14671, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:97] + node _T_14673 = and(_T_14670, _T_14672) @[ifu_bp_ctl.scala 443:45] + node _T_14674 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14675 = eq(_T_14674, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:186] + node _T_14676 = or(_T_14675, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14677 = and(_T_14673, _T_14676) @[ifu_bp_ctl.scala 443:110] + node _T_14678 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14679 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14680 = eq(_T_14679, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:74] + node _T_14681 = and(_T_14678, _T_14680) @[ifu_bp_ctl.scala 444:22] + node _T_14682 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14683 = eq(_T_14682, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:163] + node _T_14684 = or(_T_14683, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14685 = and(_T_14681, _T_14684) @[ifu_bp_ctl.scala 444:87] + node _T_14686 = or(_T_14677, _T_14685) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][12][14] <= _T_14686 @[ifu_bp_ctl.scala 443:27] + node _T_14687 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14688 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14689 = eq(_T_14688, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:97] + node _T_14690 = and(_T_14687, _T_14689) @[ifu_bp_ctl.scala 443:45] + node _T_14691 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14692 = eq(_T_14691, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:186] + node _T_14693 = or(_T_14692, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14694 = and(_T_14690, _T_14693) @[ifu_bp_ctl.scala 443:110] + node _T_14695 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14696 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14697 = eq(_T_14696, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:74] + node _T_14698 = and(_T_14695, _T_14697) @[ifu_bp_ctl.scala 444:22] + node _T_14699 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14700 = eq(_T_14699, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:163] + node _T_14701 = or(_T_14700, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14702 = and(_T_14698, _T_14701) @[ifu_bp_ctl.scala 444:87] + node _T_14703 = or(_T_14694, _T_14702) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][12][15] <= _T_14703 @[ifu_bp_ctl.scala 443:27] + node _T_14704 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14705 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14706 = eq(_T_14705, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:97] + node _T_14707 = and(_T_14704, _T_14706) @[ifu_bp_ctl.scala 443:45] + node _T_14708 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14709 = eq(_T_14708, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:186] + node _T_14710 = or(_T_14709, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14711 = and(_T_14707, _T_14710) @[ifu_bp_ctl.scala 443:110] + node _T_14712 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14713 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14714 = eq(_T_14713, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:74] + node _T_14715 = and(_T_14712, _T_14714) @[ifu_bp_ctl.scala 444:22] + node _T_14716 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14717 = eq(_T_14716, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:163] + node _T_14718 = or(_T_14717, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14719 = and(_T_14715, _T_14718) @[ifu_bp_ctl.scala 444:87] + node _T_14720 = or(_T_14711, _T_14719) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][13][0] <= _T_14720 @[ifu_bp_ctl.scala 443:27] + node _T_14721 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14722 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14723 = eq(_T_14722, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:97] + node _T_14724 = and(_T_14721, _T_14723) @[ifu_bp_ctl.scala 443:45] + node _T_14725 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14726 = eq(_T_14725, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:186] + node _T_14727 = or(_T_14726, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14728 = and(_T_14724, _T_14727) @[ifu_bp_ctl.scala 443:110] + node _T_14729 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14730 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14731 = eq(_T_14730, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:74] + node _T_14732 = and(_T_14729, _T_14731) @[ifu_bp_ctl.scala 444:22] + node _T_14733 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14734 = eq(_T_14733, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:163] + node _T_14735 = or(_T_14734, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14736 = and(_T_14732, _T_14735) @[ifu_bp_ctl.scala 444:87] + node _T_14737 = or(_T_14728, _T_14736) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][13][1] <= _T_14737 @[ifu_bp_ctl.scala 443:27] + node _T_14738 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14739 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14740 = eq(_T_14739, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:97] + node _T_14741 = and(_T_14738, _T_14740) @[ifu_bp_ctl.scala 443:45] + node _T_14742 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14743 = eq(_T_14742, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:186] + node _T_14744 = or(_T_14743, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14745 = and(_T_14741, _T_14744) @[ifu_bp_ctl.scala 443:110] + node _T_14746 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14747 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14748 = eq(_T_14747, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:74] + node _T_14749 = and(_T_14746, _T_14748) @[ifu_bp_ctl.scala 444:22] + node _T_14750 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14751 = eq(_T_14750, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:163] + node _T_14752 = or(_T_14751, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14753 = and(_T_14749, _T_14752) @[ifu_bp_ctl.scala 444:87] + node _T_14754 = or(_T_14745, _T_14753) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][13][2] <= _T_14754 @[ifu_bp_ctl.scala 443:27] + node _T_14755 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14756 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14757 = eq(_T_14756, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:97] + node _T_14758 = and(_T_14755, _T_14757) @[ifu_bp_ctl.scala 443:45] + node _T_14759 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14760 = eq(_T_14759, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:186] + node _T_14761 = or(_T_14760, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14762 = and(_T_14758, _T_14761) @[ifu_bp_ctl.scala 443:110] + node _T_14763 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14764 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14765 = eq(_T_14764, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:74] + node _T_14766 = and(_T_14763, _T_14765) @[ifu_bp_ctl.scala 444:22] + node _T_14767 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14768 = eq(_T_14767, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:163] + node _T_14769 = or(_T_14768, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14770 = and(_T_14766, _T_14769) @[ifu_bp_ctl.scala 444:87] + node _T_14771 = or(_T_14762, _T_14770) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][13][3] <= _T_14771 @[ifu_bp_ctl.scala 443:27] + node _T_14772 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14773 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14774 = eq(_T_14773, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:97] + node _T_14775 = and(_T_14772, _T_14774) @[ifu_bp_ctl.scala 443:45] + node _T_14776 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14777 = eq(_T_14776, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:186] + node _T_14778 = or(_T_14777, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14779 = and(_T_14775, _T_14778) @[ifu_bp_ctl.scala 443:110] + node _T_14780 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14781 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14782 = eq(_T_14781, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:74] + node _T_14783 = and(_T_14780, _T_14782) @[ifu_bp_ctl.scala 444:22] + node _T_14784 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14785 = eq(_T_14784, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:163] + node _T_14786 = or(_T_14785, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14787 = and(_T_14783, _T_14786) @[ifu_bp_ctl.scala 444:87] + node _T_14788 = or(_T_14779, _T_14787) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][13][4] <= _T_14788 @[ifu_bp_ctl.scala 443:27] + node _T_14789 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14790 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14791 = eq(_T_14790, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:97] + node _T_14792 = and(_T_14789, _T_14791) @[ifu_bp_ctl.scala 443:45] + node _T_14793 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14794 = eq(_T_14793, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:186] + node _T_14795 = or(_T_14794, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14796 = and(_T_14792, _T_14795) @[ifu_bp_ctl.scala 443:110] + node _T_14797 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14798 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14799 = eq(_T_14798, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:74] + node _T_14800 = and(_T_14797, _T_14799) @[ifu_bp_ctl.scala 444:22] + node _T_14801 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14802 = eq(_T_14801, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:163] + node _T_14803 = or(_T_14802, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14804 = and(_T_14800, _T_14803) @[ifu_bp_ctl.scala 444:87] + node _T_14805 = or(_T_14796, _T_14804) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][13][5] <= _T_14805 @[ifu_bp_ctl.scala 443:27] + node _T_14806 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14807 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14808 = eq(_T_14807, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:97] + node _T_14809 = and(_T_14806, _T_14808) @[ifu_bp_ctl.scala 443:45] + node _T_14810 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14811 = eq(_T_14810, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:186] + node _T_14812 = or(_T_14811, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14813 = and(_T_14809, _T_14812) @[ifu_bp_ctl.scala 443:110] + node _T_14814 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14815 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14816 = eq(_T_14815, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:74] + node _T_14817 = and(_T_14814, _T_14816) @[ifu_bp_ctl.scala 444:22] + node _T_14818 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14819 = eq(_T_14818, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:163] + node _T_14820 = or(_T_14819, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14821 = and(_T_14817, _T_14820) @[ifu_bp_ctl.scala 444:87] + node _T_14822 = or(_T_14813, _T_14821) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][13][6] <= _T_14822 @[ifu_bp_ctl.scala 443:27] + node _T_14823 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14824 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14825 = eq(_T_14824, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:97] + node _T_14826 = and(_T_14823, _T_14825) @[ifu_bp_ctl.scala 443:45] + node _T_14827 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14828 = eq(_T_14827, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:186] + node _T_14829 = or(_T_14828, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14830 = and(_T_14826, _T_14829) @[ifu_bp_ctl.scala 443:110] + node _T_14831 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14832 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14833 = eq(_T_14832, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:74] + node _T_14834 = and(_T_14831, _T_14833) @[ifu_bp_ctl.scala 444:22] + node _T_14835 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14836 = eq(_T_14835, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:163] + node _T_14837 = or(_T_14836, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14838 = and(_T_14834, _T_14837) @[ifu_bp_ctl.scala 444:87] + node _T_14839 = or(_T_14830, _T_14838) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][13][7] <= _T_14839 @[ifu_bp_ctl.scala 443:27] + node _T_14840 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14841 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14842 = eq(_T_14841, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:97] + node _T_14843 = and(_T_14840, _T_14842) @[ifu_bp_ctl.scala 443:45] + node _T_14844 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14845 = eq(_T_14844, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:186] + node _T_14846 = or(_T_14845, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14847 = and(_T_14843, _T_14846) @[ifu_bp_ctl.scala 443:110] + node _T_14848 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14849 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14850 = eq(_T_14849, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:74] + node _T_14851 = and(_T_14848, _T_14850) @[ifu_bp_ctl.scala 444:22] + node _T_14852 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14853 = eq(_T_14852, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:163] + node _T_14854 = or(_T_14853, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14855 = and(_T_14851, _T_14854) @[ifu_bp_ctl.scala 444:87] + node _T_14856 = or(_T_14847, _T_14855) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][13][8] <= _T_14856 @[ifu_bp_ctl.scala 443:27] + node _T_14857 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14858 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14859 = eq(_T_14858, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:97] + node _T_14860 = and(_T_14857, _T_14859) @[ifu_bp_ctl.scala 443:45] + node _T_14861 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14862 = eq(_T_14861, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:186] + node _T_14863 = or(_T_14862, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14864 = and(_T_14860, _T_14863) @[ifu_bp_ctl.scala 443:110] + node _T_14865 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14866 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14867 = eq(_T_14866, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:74] + node _T_14868 = and(_T_14865, _T_14867) @[ifu_bp_ctl.scala 444:22] + node _T_14869 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14870 = eq(_T_14869, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:163] + node _T_14871 = or(_T_14870, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14872 = and(_T_14868, _T_14871) @[ifu_bp_ctl.scala 444:87] + node _T_14873 = or(_T_14864, _T_14872) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][13][9] <= _T_14873 @[ifu_bp_ctl.scala 443:27] + node _T_14874 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14875 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14876 = eq(_T_14875, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:97] + node _T_14877 = and(_T_14874, _T_14876) @[ifu_bp_ctl.scala 443:45] + node _T_14878 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14879 = eq(_T_14878, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:186] + node _T_14880 = or(_T_14879, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14881 = and(_T_14877, _T_14880) @[ifu_bp_ctl.scala 443:110] + node _T_14882 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14883 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14884 = eq(_T_14883, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:74] + node _T_14885 = and(_T_14882, _T_14884) @[ifu_bp_ctl.scala 444:22] + node _T_14886 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14887 = eq(_T_14886, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:163] + node _T_14888 = or(_T_14887, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14889 = and(_T_14885, _T_14888) @[ifu_bp_ctl.scala 444:87] + node _T_14890 = or(_T_14881, _T_14889) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][13][10] <= _T_14890 @[ifu_bp_ctl.scala 443:27] + node _T_14891 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14892 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14893 = eq(_T_14892, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:97] + node _T_14894 = and(_T_14891, _T_14893) @[ifu_bp_ctl.scala 443:45] + node _T_14895 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14896 = eq(_T_14895, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:186] + node _T_14897 = or(_T_14896, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14898 = and(_T_14894, _T_14897) @[ifu_bp_ctl.scala 443:110] + node _T_14899 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14900 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14901 = eq(_T_14900, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:74] + node _T_14902 = and(_T_14899, _T_14901) @[ifu_bp_ctl.scala 444:22] + node _T_14903 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14904 = eq(_T_14903, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:163] + node _T_14905 = or(_T_14904, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14906 = and(_T_14902, _T_14905) @[ifu_bp_ctl.scala 444:87] + node _T_14907 = or(_T_14898, _T_14906) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][13][11] <= _T_14907 @[ifu_bp_ctl.scala 443:27] + node _T_14908 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14909 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14910 = eq(_T_14909, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:97] + node _T_14911 = and(_T_14908, _T_14910) @[ifu_bp_ctl.scala 443:45] + node _T_14912 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14913 = eq(_T_14912, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:186] + node _T_14914 = or(_T_14913, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14915 = and(_T_14911, _T_14914) @[ifu_bp_ctl.scala 443:110] + node _T_14916 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14917 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14918 = eq(_T_14917, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:74] + node _T_14919 = and(_T_14916, _T_14918) @[ifu_bp_ctl.scala 444:22] + node _T_14920 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14921 = eq(_T_14920, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:163] + node _T_14922 = or(_T_14921, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14923 = and(_T_14919, _T_14922) @[ifu_bp_ctl.scala 444:87] + node _T_14924 = or(_T_14915, _T_14923) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][13][12] <= _T_14924 @[ifu_bp_ctl.scala 443:27] + node _T_14925 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14926 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14927 = eq(_T_14926, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:97] + node _T_14928 = and(_T_14925, _T_14927) @[ifu_bp_ctl.scala 443:45] + node _T_14929 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14930 = eq(_T_14929, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:186] + node _T_14931 = or(_T_14930, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14932 = and(_T_14928, _T_14931) @[ifu_bp_ctl.scala 443:110] + node _T_14933 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14934 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14935 = eq(_T_14934, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:74] + node _T_14936 = and(_T_14933, _T_14935) @[ifu_bp_ctl.scala 444:22] + node _T_14937 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14938 = eq(_T_14937, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:163] + node _T_14939 = or(_T_14938, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14940 = and(_T_14936, _T_14939) @[ifu_bp_ctl.scala 444:87] + node _T_14941 = or(_T_14932, _T_14940) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][13][13] <= _T_14941 @[ifu_bp_ctl.scala 443:27] + node _T_14942 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14943 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14944 = eq(_T_14943, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:97] + node _T_14945 = and(_T_14942, _T_14944) @[ifu_bp_ctl.scala 443:45] + node _T_14946 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14947 = eq(_T_14946, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:186] + node _T_14948 = or(_T_14947, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14949 = and(_T_14945, _T_14948) @[ifu_bp_ctl.scala 443:110] + node _T_14950 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14951 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14952 = eq(_T_14951, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:74] + node _T_14953 = and(_T_14950, _T_14952) @[ifu_bp_ctl.scala 444:22] + node _T_14954 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14955 = eq(_T_14954, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:163] + node _T_14956 = or(_T_14955, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14957 = and(_T_14953, _T_14956) @[ifu_bp_ctl.scala 444:87] + node _T_14958 = or(_T_14949, _T_14957) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][13][14] <= _T_14958 @[ifu_bp_ctl.scala 443:27] + node _T_14959 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14960 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14961 = eq(_T_14960, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:97] + node _T_14962 = and(_T_14959, _T_14961) @[ifu_bp_ctl.scala 443:45] + node _T_14963 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14964 = eq(_T_14963, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:186] + node _T_14965 = or(_T_14964, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14966 = and(_T_14962, _T_14965) @[ifu_bp_ctl.scala 443:110] + node _T_14967 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14968 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14969 = eq(_T_14968, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:74] + node _T_14970 = and(_T_14967, _T_14969) @[ifu_bp_ctl.scala 444:22] + node _T_14971 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14972 = eq(_T_14971, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:163] + node _T_14973 = or(_T_14972, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14974 = and(_T_14970, _T_14973) @[ifu_bp_ctl.scala 444:87] + node _T_14975 = or(_T_14966, _T_14974) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][13][15] <= _T_14975 @[ifu_bp_ctl.scala 443:27] + node _T_14976 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14977 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14978 = eq(_T_14977, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:97] + node _T_14979 = and(_T_14976, _T_14978) @[ifu_bp_ctl.scala 443:45] + node _T_14980 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14981 = eq(_T_14980, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:186] + node _T_14982 = or(_T_14981, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_14983 = and(_T_14979, _T_14982) @[ifu_bp_ctl.scala 443:110] + node _T_14984 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_14985 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_14986 = eq(_T_14985, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:74] + node _T_14987 = and(_T_14984, _T_14986) @[ifu_bp_ctl.scala 444:22] + node _T_14988 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_14989 = eq(_T_14988, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:163] + node _T_14990 = or(_T_14989, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_14991 = and(_T_14987, _T_14990) @[ifu_bp_ctl.scala 444:87] + node _T_14992 = or(_T_14983, _T_14991) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][14][0] <= _T_14992 @[ifu_bp_ctl.scala 443:27] + node _T_14993 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_14994 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_14995 = eq(_T_14994, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:97] + node _T_14996 = and(_T_14993, _T_14995) @[ifu_bp_ctl.scala 443:45] + node _T_14997 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_14998 = eq(_T_14997, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:186] + node _T_14999 = or(_T_14998, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15000 = and(_T_14996, _T_14999) @[ifu_bp_ctl.scala 443:110] + node _T_15001 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_15002 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15003 = eq(_T_15002, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:74] + node _T_15004 = and(_T_15001, _T_15003) @[ifu_bp_ctl.scala 444:22] + node _T_15005 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15006 = eq(_T_15005, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:163] + node _T_15007 = or(_T_15006, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15008 = and(_T_15004, _T_15007) @[ifu_bp_ctl.scala 444:87] + node _T_15009 = or(_T_15000, _T_15008) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][14][1] <= _T_15009 @[ifu_bp_ctl.scala 443:27] + node _T_15010 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_15011 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15012 = eq(_T_15011, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:97] + node _T_15013 = and(_T_15010, _T_15012) @[ifu_bp_ctl.scala 443:45] + node _T_15014 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15015 = eq(_T_15014, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:186] + node _T_15016 = or(_T_15015, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15017 = and(_T_15013, _T_15016) @[ifu_bp_ctl.scala 443:110] + node _T_15018 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_15019 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15020 = eq(_T_15019, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:74] + node _T_15021 = and(_T_15018, _T_15020) @[ifu_bp_ctl.scala 444:22] + node _T_15022 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15023 = eq(_T_15022, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:163] + node _T_15024 = or(_T_15023, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15025 = and(_T_15021, _T_15024) @[ifu_bp_ctl.scala 444:87] + node _T_15026 = or(_T_15017, _T_15025) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][14][2] <= _T_15026 @[ifu_bp_ctl.scala 443:27] + node _T_15027 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_15028 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15029 = eq(_T_15028, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:97] + node _T_15030 = and(_T_15027, _T_15029) @[ifu_bp_ctl.scala 443:45] + node _T_15031 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15032 = eq(_T_15031, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:186] + node _T_15033 = or(_T_15032, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15034 = and(_T_15030, _T_15033) @[ifu_bp_ctl.scala 443:110] + node _T_15035 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_15036 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15037 = eq(_T_15036, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:74] + node _T_15038 = and(_T_15035, _T_15037) @[ifu_bp_ctl.scala 444:22] + node _T_15039 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15040 = eq(_T_15039, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:163] + node _T_15041 = or(_T_15040, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15042 = and(_T_15038, _T_15041) @[ifu_bp_ctl.scala 444:87] + node _T_15043 = or(_T_15034, _T_15042) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][14][3] <= _T_15043 @[ifu_bp_ctl.scala 443:27] + node _T_15044 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_15045 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15046 = eq(_T_15045, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:97] + node _T_15047 = and(_T_15044, _T_15046) @[ifu_bp_ctl.scala 443:45] + node _T_15048 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15049 = eq(_T_15048, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:186] + node _T_15050 = or(_T_15049, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15051 = and(_T_15047, _T_15050) @[ifu_bp_ctl.scala 443:110] + node _T_15052 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_15053 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15054 = eq(_T_15053, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:74] + node _T_15055 = and(_T_15052, _T_15054) @[ifu_bp_ctl.scala 444:22] + node _T_15056 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15057 = eq(_T_15056, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:163] + node _T_15058 = or(_T_15057, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15059 = and(_T_15055, _T_15058) @[ifu_bp_ctl.scala 444:87] + node _T_15060 = or(_T_15051, _T_15059) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][14][4] <= _T_15060 @[ifu_bp_ctl.scala 443:27] + node _T_15061 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_15062 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15063 = eq(_T_15062, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:97] + node _T_15064 = and(_T_15061, _T_15063) @[ifu_bp_ctl.scala 443:45] + node _T_15065 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15066 = eq(_T_15065, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:186] + node _T_15067 = or(_T_15066, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15068 = and(_T_15064, _T_15067) @[ifu_bp_ctl.scala 443:110] + node _T_15069 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_15070 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15071 = eq(_T_15070, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:74] + node _T_15072 = and(_T_15069, _T_15071) @[ifu_bp_ctl.scala 444:22] + node _T_15073 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15074 = eq(_T_15073, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:163] + node _T_15075 = or(_T_15074, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15076 = and(_T_15072, _T_15075) @[ifu_bp_ctl.scala 444:87] + node _T_15077 = or(_T_15068, _T_15076) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][14][5] <= _T_15077 @[ifu_bp_ctl.scala 443:27] + node _T_15078 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_15079 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15080 = eq(_T_15079, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:97] + node _T_15081 = and(_T_15078, _T_15080) @[ifu_bp_ctl.scala 443:45] + node _T_15082 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15083 = eq(_T_15082, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:186] + node _T_15084 = or(_T_15083, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15085 = and(_T_15081, _T_15084) @[ifu_bp_ctl.scala 443:110] + node _T_15086 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_15087 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15088 = eq(_T_15087, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:74] + node _T_15089 = and(_T_15086, _T_15088) @[ifu_bp_ctl.scala 444:22] + node _T_15090 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15091 = eq(_T_15090, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:163] + node _T_15092 = or(_T_15091, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15093 = and(_T_15089, _T_15092) @[ifu_bp_ctl.scala 444:87] + node _T_15094 = or(_T_15085, _T_15093) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][14][6] <= _T_15094 @[ifu_bp_ctl.scala 443:27] + node _T_15095 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_15096 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15097 = eq(_T_15096, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:97] + node _T_15098 = and(_T_15095, _T_15097) @[ifu_bp_ctl.scala 443:45] + node _T_15099 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15100 = eq(_T_15099, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:186] + node _T_15101 = or(_T_15100, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15102 = and(_T_15098, _T_15101) @[ifu_bp_ctl.scala 443:110] + node _T_15103 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_15104 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15105 = eq(_T_15104, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:74] + node _T_15106 = and(_T_15103, _T_15105) @[ifu_bp_ctl.scala 444:22] + node _T_15107 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15108 = eq(_T_15107, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:163] + node _T_15109 = or(_T_15108, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15110 = and(_T_15106, _T_15109) @[ifu_bp_ctl.scala 444:87] + node _T_15111 = or(_T_15102, _T_15110) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][14][7] <= _T_15111 @[ifu_bp_ctl.scala 443:27] + node _T_15112 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_15113 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15114 = eq(_T_15113, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:97] + node _T_15115 = and(_T_15112, _T_15114) @[ifu_bp_ctl.scala 443:45] + node _T_15116 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15117 = eq(_T_15116, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:186] + node _T_15118 = or(_T_15117, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15119 = and(_T_15115, _T_15118) @[ifu_bp_ctl.scala 443:110] + node _T_15120 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_15121 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15122 = eq(_T_15121, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:74] + node _T_15123 = and(_T_15120, _T_15122) @[ifu_bp_ctl.scala 444:22] + node _T_15124 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15125 = eq(_T_15124, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:163] + node _T_15126 = or(_T_15125, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15127 = and(_T_15123, _T_15126) @[ifu_bp_ctl.scala 444:87] + node _T_15128 = or(_T_15119, _T_15127) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][14][8] <= _T_15128 @[ifu_bp_ctl.scala 443:27] + node _T_15129 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_15130 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15131 = eq(_T_15130, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:97] + node _T_15132 = and(_T_15129, _T_15131) @[ifu_bp_ctl.scala 443:45] + node _T_15133 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15134 = eq(_T_15133, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:186] + node _T_15135 = or(_T_15134, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15136 = and(_T_15132, _T_15135) @[ifu_bp_ctl.scala 443:110] + node _T_15137 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_15138 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15139 = eq(_T_15138, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:74] + node _T_15140 = and(_T_15137, _T_15139) @[ifu_bp_ctl.scala 444:22] + node _T_15141 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15142 = eq(_T_15141, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:163] + node _T_15143 = or(_T_15142, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15144 = and(_T_15140, _T_15143) @[ifu_bp_ctl.scala 444:87] + node _T_15145 = or(_T_15136, _T_15144) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][14][9] <= _T_15145 @[ifu_bp_ctl.scala 443:27] + node _T_15146 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_15147 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15148 = eq(_T_15147, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:97] + node _T_15149 = and(_T_15146, _T_15148) @[ifu_bp_ctl.scala 443:45] + node _T_15150 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15151 = eq(_T_15150, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:186] + node _T_15152 = or(_T_15151, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15153 = and(_T_15149, _T_15152) @[ifu_bp_ctl.scala 443:110] + node _T_15154 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_15155 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15156 = eq(_T_15155, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:74] + node _T_15157 = and(_T_15154, _T_15156) @[ifu_bp_ctl.scala 444:22] + node _T_15158 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15159 = eq(_T_15158, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:163] + node _T_15160 = or(_T_15159, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15161 = and(_T_15157, _T_15160) @[ifu_bp_ctl.scala 444:87] + node _T_15162 = or(_T_15153, _T_15161) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][14][10] <= _T_15162 @[ifu_bp_ctl.scala 443:27] + node _T_15163 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_15164 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15165 = eq(_T_15164, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:97] + node _T_15166 = and(_T_15163, _T_15165) @[ifu_bp_ctl.scala 443:45] + node _T_15167 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15168 = eq(_T_15167, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:186] + node _T_15169 = or(_T_15168, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15170 = and(_T_15166, _T_15169) @[ifu_bp_ctl.scala 443:110] + node _T_15171 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_15172 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15173 = eq(_T_15172, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:74] + node _T_15174 = and(_T_15171, _T_15173) @[ifu_bp_ctl.scala 444:22] + node _T_15175 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15176 = eq(_T_15175, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:163] + node _T_15177 = or(_T_15176, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15178 = and(_T_15174, _T_15177) @[ifu_bp_ctl.scala 444:87] + node _T_15179 = or(_T_15170, _T_15178) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][14][11] <= _T_15179 @[ifu_bp_ctl.scala 443:27] + node _T_15180 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_15181 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15182 = eq(_T_15181, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:97] + node _T_15183 = and(_T_15180, _T_15182) @[ifu_bp_ctl.scala 443:45] + node _T_15184 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15185 = eq(_T_15184, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:186] + node _T_15186 = or(_T_15185, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15187 = and(_T_15183, _T_15186) @[ifu_bp_ctl.scala 443:110] + node _T_15188 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_15189 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15190 = eq(_T_15189, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:74] + node _T_15191 = and(_T_15188, _T_15190) @[ifu_bp_ctl.scala 444:22] + node _T_15192 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15193 = eq(_T_15192, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:163] + node _T_15194 = or(_T_15193, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15195 = and(_T_15191, _T_15194) @[ifu_bp_ctl.scala 444:87] + node _T_15196 = or(_T_15187, _T_15195) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][14][12] <= _T_15196 @[ifu_bp_ctl.scala 443:27] + node _T_15197 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_15198 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15199 = eq(_T_15198, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:97] + node _T_15200 = and(_T_15197, _T_15199) @[ifu_bp_ctl.scala 443:45] + node _T_15201 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15202 = eq(_T_15201, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:186] + node _T_15203 = or(_T_15202, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15204 = and(_T_15200, _T_15203) @[ifu_bp_ctl.scala 443:110] + node _T_15205 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_15206 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15207 = eq(_T_15206, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:74] + node _T_15208 = and(_T_15205, _T_15207) @[ifu_bp_ctl.scala 444:22] + node _T_15209 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15210 = eq(_T_15209, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:163] + node _T_15211 = or(_T_15210, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15212 = and(_T_15208, _T_15211) @[ifu_bp_ctl.scala 444:87] + node _T_15213 = or(_T_15204, _T_15212) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][14][13] <= _T_15213 @[ifu_bp_ctl.scala 443:27] + node _T_15214 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_15215 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15216 = eq(_T_15215, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:97] + node _T_15217 = and(_T_15214, _T_15216) @[ifu_bp_ctl.scala 443:45] + node _T_15218 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15219 = eq(_T_15218, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:186] + node _T_15220 = or(_T_15219, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15221 = and(_T_15217, _T_15220) @[ifu_bp_ctl.scala 443:110] + node _T_15222 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_15223 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15224 = eq(_T_15223, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:74] + node _T_15225 = and(_T_15222, _T_15224) @[ifu_bp_ctl.scala 444:22] + node _T_15226 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15227 = eq(_T_15226, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:163] + node _T_15228 = or(_T_15227, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15229 = and(_T_15225, _T_15228) @[ifu_bp_ctl.scala 444:87] + node _T_15230 = or(_T_15221, _T_15229) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][14][14] <= _T_15230 @[ifu_bp_ctl.scala 443:27] + node _T_15231 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_15232 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15233 = eq(_T_15232, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:97] + node _T_15234 = and(_T_15231, _T_15233) @[ifu_bp_ctl.scala 443:45] + node _T_15235 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15236 = eq(_T_15235, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:186] + node _T_15237 = or(_T_15236, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15238 = and(_T_15234, _T_15237) @[ifu_bp_ctl.scala 443:110] + node _T_15239 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_15240 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15241 = eq(_T_15240, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:74] + node _T_15242 = and(_T_15239, _T_15241) @[ifu_bp_ctl.scala 444:22] + node _T_15243 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15244 = eq(_T_15243, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:163] + node _T_15245 = or(_T_15244, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15246 = and(_T_15242, _T_15245) @[ifu_bp_ctl.scala 444:87] + node _T_15247 = or(_T_15238, _T_15246) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][14][15] <= _T_15247 @[ifu_bp_ctl.scala 443:27] + node _T_15248 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_15249 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15250 = eq(_T_15249, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:97] + node _T_15251 = and(_T_15248, _T_15250) @[ifu_bp_ctl.scala 443:45] + node _T_15252 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15253 = eq(_T_15252, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:186] + node _T_15254 = or(_T_15253, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15255 = and(_T_15251, _T_15254) @[ifu_bp_ctl.scala 443:110] + node _T_15256 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_15257 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15258 = eq(_T_15257, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:74] + node _T_15259 = and(_T_15256, _T_15258) @[ifu_bp_ctl.scala 444:22] + node _T_15260 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15261 = eq(_T_15260, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:163] + node _T_15262 = or(_T_15261, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15263 = and(_T_15259, _T_15262) @[ifu_bp_ctl.scala 444:87] + node _T_15264 = or(_T_15255, _T_15263) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][15][0] <= _T_15264 @[ifu_bp_ctl.scala 443:27] + node _T_15265 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_15266 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15267 = eq(_T_15266, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:97] + node _T_15268 = and(_T_15265, _T_15267) @[ifu_bp_ctl.scala 443:45] + node _T_15269 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15270 = eq(_T_15269, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:186] + node _T_15271 = or(_T_15270, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15272 = and(_T_15268, _T_15271) @[ifu_bp_ctl.scala 443:110] + node _T_15273 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_15274 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15275 = eq(_T_15274, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:74] + node _T_15276 = and(_T_15273, _T_15275) @[ifu_bp_ctl.scala 444:22] + node _T_15277 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15278 = eq(_T_15277, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:163] + node _T_15279 = or(_T_15278, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15280 = and(_T_15276, _T_15279) @[ifu_bp_ctl.scala 444:87] + node _T_15281 = or(_T_15272, _T_15280) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][15][1] <= _T_15281 @[ifu_bp_ctl.scala 443:27] + node _T_15282 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_15283 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15284 = eq(_T_15283, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:97] + node _T_15285 = and(_T_15282, _T_15284) @[ifu_bp_ctl.scala 443:45] + node _T_15286 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15287 = eq(_T_15286, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:186] + node _T_15288 = or(_T_15287, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15289 = and(_T_15285, _T_15288) @[ifu_bp_ctl.scala 443:110] + node _T_15290 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_15291 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15292 = eq(_T_15291, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:74] + node _T_15293 = and(_T_15290, _T_15292) @[ifu_bp_ctl.scala 444:22] + node _T_15294 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15295 = eq(_T_15294, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:163] + node _T_15296 = or(_T_15295, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15297 = and(_T_15293, _T_15296) @[ifu_bp_ctl.scala 444:87] + node _T_15298 = or(_T_15289, _T_15297) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][15][2] <= _T_15298 @[ifu_bp_ctl.scala 443:27] + node _T_15299 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_15300 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15301 = eq(_T_15300, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:97] + node _T_15302 = and(_T_15299, _T_15301) @[ifu_bp_ctl.scala 443:45] + node _T_15303 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15304 = eq(_T_15303, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:186] + node _T_15305 = or(_T_15304, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15306 = and(_T_15302, _T_15305) @[ifu_bp_ctl.scala 443:110] + node _T_15307 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_15308 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15309 = eq(_T_15308, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:74] + node _T_15310 = and(_T_15307, _T_15309) @[ifu_bp_ctl.scala 444:22] + node _T_15311 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15312 = eq(_T_15311, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:163] + node _T_15313 = or(_T_15312, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15314 = and(_T_15310, _T_15313) @[ifu_bp_ctl.scala 444:87] + node _T_15315 = or(_T_15306, _T_15314) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][15][3] <= _T_15315 @[ifu_bp_ctl.scala 443:27] + node _T_15316 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_15317 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15318 = eq(_T_15317, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:97] + node _T_15319 = and(_T_15316, _T_15318) @[ifu_bp_ctl.scala 443:45] + node _T_15320 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15321 = eq(_T_15320, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:186] + node _T_15322 = or(_T_15321, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15323 = and(_T_15319, _T_15322) @[ifu_bp_ctl.scala 443:110] + node _T_15324 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_15325 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15326 = eq(_T_15325, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:74] + node _T_15327 = and(_T_15324, _T_15326) @[ifu_bp_ctl.scala 444:22] + node _T_15328 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15329 = eq(_T_15328, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:163] + node _T_15330 = or(_T_15329, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15331 = and(_T_15327, _T_15330) @[ifu_bp_ctl.scala 444:87] + node _T_15332 = or(_T_15323, _T_15331) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][15][4] <= _T_15332 @[ifu_bp_ctl.scala 443:27] + node _T_15333 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_15334 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15335 = eq(_T_15334, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:97] + node _T_15336 = and(_T_15333, _T_15335) @[ifu_bp_ctl.scala 443:45] + node _T_15337 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15338 = eq(_T_15337, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:186] + node _T_15339 = or(_T_15338, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15340 = and(_T_15336, _T_15339) @[ifu_bp_ctl.scala 443:110] + node _T_15341 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_15342 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15343 = eq(_T_15342, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:74] + node _T_15344 = and(_T_15341, _T_15343) @[ifu_bp_ctl.scala 444:22] + node _T_15345 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15346 = eq(_T_15345, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:163] + node _T_15347 = or(_T_15346, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15348 = and(_T_15344, _T_15347) @[ifu_bp_ctl.scala 444:87] + node _T_15349 = or(_T_15340, _T_15348) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][15][5] <= _T_15349 @[ifu_bp_ctl.scala 443:27] + node _T_15350 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_15351 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15352 = eq(_T_15351, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:97] + node _T_15353 = and(_T_15350, _T_15352) @[ifu_bp_ctl.scala 443:45] + node _T_15354 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15355 = eq(_T_15354, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:186] + node _T_15356 = or(_T_15355, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15357 = and(_T_15353, _T_15356) @[ifu_bp_ctl.scala 443:110] + node _T_15358 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_15359 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15360 = eq(_T_15359, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:74] + node _T_15361 = and(_T_15358, _T_15360) @[ifu_bp_ctl.scala 444:22] + node _T_15362 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15363 = eq(_T_15362, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:163] + node _T_15364 = or(_T_15363, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15365 = and(_T_15361, _T_15364) @[ifu_bp_ctl.scala 444:87] + node _T_15366 = or(_T_15357, _T_15365) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][15][6] <= _T_15366 @[ifu_bp_ctl.scala 443:27] + node _T_15367 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_15368 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15369 = eq(_T_15368, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:97] + node _T_15370 = and(_T_15367, _T_15369) @[ifu_bp_ctl.scala 443:45] + node _T_15371 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15372 = eq(_T_15371, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:186] + node _T_15373 = or(_T_15372, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15374 = and(_T_15370, _T_15373) @[ifu_bp_ctl.scala 443:110] + node _T_15375 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_15376 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15377 = eq(_T_15376, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:74] + node _T_15378 = and(_T_15375, _T_15377) @[ifu_bp_ctl.scala 444:22] + node _T_15379 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15380 = eq(_T_15379, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:163] + node _T_15381 = or(_T_15380, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15382 = and(_T_15378, _T_15381) @[ifu_bp_ctl.scala 444:87] + node _T_15383 = or(_T_15374, _T_15382) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][15][7] <= _T_15383 @[ifu_bp_ctl.scala 443:27] + node _T_15384 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_15385 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15386 = eq(_T_15385, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:97] + node _T_15387 = and(_T_15384, _T_15386) @[ifu_bp_ctl.scala 443:45] + node _T_15388 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15389 = eq(_T_15388, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:186] + node _T_15390 = or(_T_15389, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15391 = and(_T_15387, _T_15390) @[ifu_bp_ctl.scala 443:110] + node _T_15392 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_15393 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15394 = eq(_T_15393, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:74] + node _T_15395 = and(_T_15392, _T_15394) @[ifu_bp_ctl.scala 444:22] + node _T_15396 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15397 = eq(_T_15396, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:163] + node _T_15398 = or(_T_15397, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15399 = and(_T_15395, _T_15398) @[ifu_bp_ctl.scala 444:87] + node _T_15400 = or(_T_15391, _T_15399) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][15][8] <= _T_15400 @[ifu_bp_ctl.scala 443:27] + node _T_15401 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_15402 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15403 = eq(_T_15402, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:97] + node _T_15404 = and(_T_15401, _T_15403) @[ifu_bp_ctl.scala 443:45] + node _T_15405 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15406 = eq(_T_15405, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:186] + node _T_15407 = or(_T_15406, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15408 = and(_T_15404, _T_15407) @[ifu_bp_ctl.scala 443:110] + node _T_15409 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_15410 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15411 = eq(_T_15410, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:74] + node _T_15412 = and(_T_15409, _T_15411) @[ifu_bp_ctl.scala 444:22] + node _T_15413 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15414 = eq(_T_15413, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:163] + node _T_15415 = or(_T_15414, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15416 = and(_T_15412, _T_15415) @[ifu_bp_ctl.scala 444:87] + node _T_15417 = or(_T_15408, _T_15416) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][15][9] <= _T_15417 @[ifu_bp_ctl.scala 443:27] + node _T_15418 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_15419 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15420 = eq(_T_15419, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:97] + node _T_15421 = and(_T_15418, _T_15420) @[ifu_bp_ctl.scala 443:45] + node _T_15422 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15423 = eq(_T_15422, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:186] + node _T_15424 = or(_T_15423, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15425 = and(_T_15421, _T_15424) @[ifu_bp_ctl.scala 443:110] + node _T_15426 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_15427 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15428 = eq(_T_15427, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:74] + node _T_15429 = and(_T_15426, _T_15428) @[ifu_bp_ctl.scala 444:22] + node _T_15430 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15431 = eq(_T_15430, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:163] + node _T_15432 = or(_T_15431, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15433 = and(_T_15429, _T_15432) @[ifu_bp_ctl.scala 444:87] + node _T_15434 = or(_T_15425, _T_15433) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][15][10] <= _T_15434 @[ifu_bp_ctl.scala 443:27] + node _T_15435 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_15436 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15437 = eq(_T_15436, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:97] + node _T_15438 = and(_T_15435, _T_15437) @[ifu_bp_ctl.scala 443:45] + node _T_15439 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15440 = eq(_T_15439, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:186] + node _T_15441 = or(_T_15440, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15442 = and(_T_15438, _T_15441) @[ifu_bp_ctl.scala 443:110] + node _T_15443 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_15444 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15445 = eq(_T_15444, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:74] + node _T_15446 = and(_T_15443, _T_15445) @[ifu_bp_ctl.scala 444:22] + node _T_15447 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15448 = eq(_T_15447, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:163] + node _T_15449 = or(_T_15448, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15450 = and(_T_15446, _T_15449) @[ifu_bp_ctl.scala 444:87] + node _T_15451 = or(_T_15442, _T_15450) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][15][11] <= _T_15451 @[ifu_bp_ctl.scala 443:27] + node _T_15452 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_15453 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15454 = eq(_T_15453, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:97] + node _T_15455 = and(_T_15452, _T_15454) @[ifu_bp_ctl.scala 443:45] + node _T_15456 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15457 = eq(_T_15456, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:186] + node _T_15458 = or(_T_15457, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15459 = and(_T_15455, _T_15458) @[ifu_bp_ctl.scala 443:110] + node _T_15460 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_15461 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15462 = eq(_T_15461, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:74] + node _T_15463 = and(_T_15460, _T_15462) @[ifu_bp_ctl.scala 444:22] + node _T_15464 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15465 = eq(_T_15464, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:163] + node _T_15466 = or(_T_15465, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15467 = and(_T_15463, _T_15466) @[ifu_bp_ctl.scala 444:87] + node _T_15468 = or(_T_15459, _T_15467) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][15][12] <= _T_15468 @[ifu_bp_ctl.scala 443:27] + node _T_15469 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_15470 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15471 = eq(_T_15470, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:97] + node _T_15472 = and(_T_15469, _T_15471) @[ifu_bp_ctl.scala 443:45] + node _T_15473 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15474 = eq(_T_15473, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:186] + node _T_15475 = or(_T_15474, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15476 = and(_T_15472, _T_15475) @[ifu_bp_ctl.scala 443:110] + node _T_15477 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_15478 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15479 = eq(_T_15478, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:74] + node _T_15480 = and(_T_15477, _T_15479) @[ifu_bp_ctl.scala 444:22] + node _T_15481 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15482 = eq(_T_15481, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:163] + node _T_15483 = or(_T_15482, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15484 = and(_T_15480, _T_15483) @[ifu_bp_ctl.scala 444:87] + node _T_15485 = or(_T_15476, _T_15484) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][15][13] <= _T_15485 @[ifu_bp_ctl.scala 443:27] + node _T_15486 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_15487 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15488 = eq(_T_15487, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:97] + node _T_15489 = and(_T_15486, _T_15488) @[ifu_bp_ctl.scala 443:45] + node _T_15490 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15491 = eq(_T_15490, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:186] + node _T_15492 = or(_T_15491, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15493 = and(_T_15489, _T_15492) @[ifu_bp_ctl.scala 443:110] + node _T_15494 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_15495 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15496 = eq(_T_15495, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:74] + node _T_15497 = and(_T_15494, _T_15496) @[ifu_bp_ctl.scala 444:22] + node _T_15498 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15499 = eq(_T_15498, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:163] + node _T_15500 = or(_T_15499, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15501 = and(_T_15497, _T_15500) @[ifu_bp_ctl.scala 444:87] + node _T_15502 = or(_T_15493, _T_15501) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][15][14] <= _T_15502 @[ifu_bp_ctl.scala 443:27] + node _T_15503 = bits(bht_wr_en0, 0, 0) @[ifu_bp_ctl.scala 443:41] + node _T_15504 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15505 = eq(_T_15504, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:97] + node _T_15506 = and(_T_15503, _T_15505) @[ifu_bp_ctl.scala 443:45] + node _T_15507 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15508 = eq(_T_15507, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:186] + node _T_15509 = or(_T_15508, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15510 = and(_T_15506, _T_15509) @[ifu_bp_ctl.scala 443:110] + node _T_15511 = bits(bht_wr_en2, 0, 0) @[ifu_bp_ctl.scala 444:18] + node _T_15512 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15513 = eq(_T_15512, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:74] + node _T_15514 = and(_T_15511, _T_15513) @[ifu_bp_ctl.scala 444:22] + node _T_15515 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15516 = eq(_T_15515, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:163] + node _T_15517 = or(_T_15516, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15518 = and(_T_15514, _T_15517) @[ifu_bp_ctl.scala 444:87] + node _T_15519 = or(_T_15510, _T_15518) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[0][15][15] <= _T_15519 @[ifu_bp_ctl.scala 443:27] + node _T_15520 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_15521 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15522 = eq(_T_15521, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:97] + node _T_15523 = and(_T_15520, _T_15522) @[ifu_bp_ctl.scala 443:45] + node _T_15524 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15525 = eq(_T_15524, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:186] + node _T_15526 = or(_T_15525, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15527 = and(_T_15523, _T_15526) @[ifu_bp_ctl.scala 443:110] + node _T_15528 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_15529 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15530 = eq(_T_15529, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:74] + node _T_15531 = and(_T_15528, _T_15530) @[ifu_bp_ctl.scala 444:22] + node _T_15532 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15533 = eq(_T_15532, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:163] + node _T_15534 = or(_T_15533, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15535 = and(_T_15531, _T_15534) @[ifu_bp_ctl.scala 444:87] + node _T_15536 = or(_T_15527, _T_15535) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][0][0] <= _T_15536 @[ifu_bp_ctl.scala 443:27] + node _T_15537 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_15538 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15539 = eq(_T_15538, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:97] + node _T_15540 = and(_T_15537, _T_15539) @[ifu_bp_ctl.scala 443:45] + node _T_15541 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15542 = eq(_T_15541, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:186] + node _T_15543 = or(_T_15542, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15544 = and(_T_15540, _T_15543) @[ifu_bp_ctl.scala 443:110] + node _T_15545 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_15546 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15547 = eq(_T_15546, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:74] + node _T_15548 = and(_T_15545, _T_15547) @[ifu_bp_ctl.scala 444:22] + node _T_15549 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15550 = eq(_T_15549, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:163] + node _T_15551 = or(_T_15550, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15552 = and(_T_15548, _T_15551) @[ifu_bp_ctl.scala 444:87] + node _T_15553 = or(_T_15544, _T_15552) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][0][1] <= _T_15553 @[ifu_bp_ctl.scala 443:27] + node _T_15554 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_15555 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15556 = eq(_T_15555, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:97] + node _T_15557 = and(_T_15554, _T_15556) @[ifu_bp_ctl.scala 443:45] + node _T_15558 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15559 = eq(_T_15558, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:186] + node _T_15560 = or(_T_15559, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15561 = and(_T_15557, _T_15560) @[ifu_bp_ctl.scala 443:110] + node _T_15562 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_15563 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15564 = eq(_T_15563, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:74] + node _T_15565 = and(_T_15562, _T_15564) @[ifu_bp_ctl.scala 444:22] + node _T_15566 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15567 = eq(_T_15566, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:163] + node _T_15568 = or(_T_15567, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15569 = and(_T_15565, _T_15568) @[ifu_bp_ctl.scala 444:87] + node _T_15570 = or(_T_15561, _T_15569) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][0][2] <= _T_15570 @[ifu_bp_ctl.scala 443:27] + node _T_15571 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_15572 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15573 = eq(_T_15572, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:97] + node _T_15574 = and(_T_15571, _T_15573) @[ifu_bp_ctl.scala 443:45] + node _T_15575 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15576 = eq(_T_15575, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:186] + node _T_15577 = or(_T_15576, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15578 = and(_T_15574, _T_15577) @[ifu_bp_ctl.scala 443:110] + node _T_15579 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_15580 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15581 = eq(_T_15580, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:74] + node _T_15582 = and(_T_15579, _T_15581) @[ifu_bp_ctl.scala 444:22] + node _T_15583 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15584 = eq(_T_15583, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:163] + node _T_15585 = or(_T_15584, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15586 = and(_T_15582, _T_15585) @[ifu_bp_ctl.scala 444:87] + node _T_15587 = or(_T_15578, _T_15586) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][0][3] <= _T_15587 @[ifu_bp_ctl.scala 443:27] + node _T_15588 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_15589 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15590 = eq(_T_15589, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:97] + node _T_15591 = and(_T_15588, _T_15590) @[ifu_bp_ctl.scala 443:45] + node _T_15592 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15593 = eq(_T_15592, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:186] + node _T_15594 = or(_T_15593, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15595 = and(_T_15591, _T_15594) @[ifu_bp_ctl.scala 443:110] + node _T_15596 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_15597 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15598 = eq(_T_15597, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:74] + node _T_15599 = and(_T_15596, _T_15598) @[ifu_bp_ctl.scala 444:22] + node _T_15600 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15601 = eq(_T_15600, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:163] + node _T_15602 = or(_T_15601, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15603 = and(_T_15599, _T_15602) @[ifu_bp_ctl.scala 444:87] + node _T_15604 = or(_T_15595, _T_15603) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][0][4] <= _T_15604 @[ifu_bp_ctl.scala 443:27] + node _T_15605 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_15606 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15607 = eq(_T_15606, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:97] + node _T_15608 = and(_T_15605, _T_15607) @[ifu_bp_ctl.scala 443:45] + node _T_15609 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15610 = eq(_T_15609, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:186] + node _T_15611 = or(_T_15610, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15612 = and(_T_15608, _T_15611) @[ifu_bp_ctl.scala 443:110] + node _T_15613 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_15614 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15615 = eq(_T_15614, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:74] + node _T_15616 = and(_T_15613, _T_15615) @[ifu_bp_ctl.scala 444:22] + node _T_15617 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15618 = eq(_T_15617, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:163] + node _T_15619 = or(_T_15618, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15620 = and(_T_15616, _T_15619) @[ifu_bp_ctl.scala 444:87] + node _T_15621 = or(_T_15612, _T_15620) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][0][5] <= _T_15621 @[ifu_bp_ctl.scala 443:27] + node _T_15622 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_15623 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15624 = eq(_T_15623, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:97] + node _T_15625 = and(_T_15622, _T_15624) @[ifu_bp_ctl.scala 443:45] + node _T_15626 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15627 = eq(_T_15626, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:186] + node _T_15628 = or(_T_15627, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15629 = and(_T_15625, _T_15628) @[ifu_bp_ctl.scala 443:110] + node _T_15630 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_15631 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15632 = eq(_T_15631, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:74] + node _T_15633 = and(_T_15630, _T_15632) @[ifu_bp_ctl.scala 444:22] + node _T_15634 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15635 = eq(_T_15634, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:163] + node _T_15636 = or(_T_15635, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15637 = and(_T_15633, _T_15636) @[ifu_bp_ctl.scala 444:87] + node _T_15638 = or(_T_15629, _T_15637) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][0][6] <= _T_15638 @[ifu_bp_ctl.scala 443:27] + node _T_15639 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_15640 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15641 = eq(_T_15640, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:97] + node _T_15642 = and(_T_15639, _T_15641) @[ifu_bp_ctl.scala 443:45] + node _T_15643 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15644 = eq(_T_15643, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:186] + node _T_15645 = or(_T_15644, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15646 = and(_T_15642, _T_15645) @[ifu_bp_ctl.scala 443:110] + node _T_15647 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_15648 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15649 = eq(_T_15648, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:74] + node _T_15650 = and(_T_15647, _T_15649) @[ifu_bp_ctl.scala 444:22] + node _T_15651 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15652 = eq(_T_15651, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:163] + node _T_15653 = or(_T_15652, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15654 = and(_T_15650, _T_15653) @[ifu_bp_ctl.scala 444:87] + node _T_15655 = or(_T_15646, _T_15654) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][0][7] <= _T_15655 @[ifu_bp_ctl.scala 443:27] + node _T_15656 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_15657 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15658 = eq(_T_15657, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:97] + node _T_15659 = and(_T_15656, _T_15658) @[ifu_bp_ctl.scala 443:45] + node _T_15660 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15661 = eq(_T_15660, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:186] + node _T_15662 = or(_T_15661, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15663 = and(_T_15659, _T_15662) @[ifu_bp_ctl.scala 443:110] + node _T_15664 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_15665 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15666 = eq(_T_15665, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:74] + node _T_15667 = and(_T_15664, _T_15666) @[ifu_bp_ctl.scala 444:22] + node _T_15668 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15669 = eq(_T_15668, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:163] + node _T_15670 = or(_T_15669, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15671 = and(_T_15667, _T_15670) @[ifu_bp_ctl.scala 444:87] + node _T_15672 = or(_T_15663, _T_15671) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][0][8] <= _T_15672 @[ifu_bp_ctl.scala 443:27] + node _T_15673 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_15674 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15675 = eq(_T_15674, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:97] + node _T_15676 = and(_T_15673, _T_15675) @[ifu_bp_ctl.scala 443:45] + node _T_15677 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15678 = eq(_T_15677, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:186] + node _T_15679 = or(_T_15678, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15680 = and(_T_15676, _T_15679) @[ifu_bp_ctl.scala 443:110] + node _T_15681 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_15682 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15683 = eq(_T_15682, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:74] + node _T_15684 = and(_T_15681, _T_15683) @[ifu_bp_ctl.scala 444:22] + node _T_15685 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15686 = eq(_T_15685, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:163] + node _T_15687 = or(_T_15686, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15688 = and(_T_15684, _T_15687) @[ifu_bp_ctl.scala 444:87] + node _T_15689 = or(_T_15680, _T_15688) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][0][9] <= _T_15689 @[ifu_bp_ctl.scala 443:27] + node _T_15690 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_15691 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15692 = eq(_T_15691, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:97] + node _T_15693 = and(_T_15690, _T_15692) @[ifu_bp_ctl.scala 443:45] + node _T_15694 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15695 = eq(_T_15694, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:186] + node _T_15696 = or(_T_15695, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15697 = and(_T_15693, _T_15696) @[ifu_bp_ctl.scala 443:110] + node _T_15698 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_15699 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15700 = eq(_T_15699, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:74] + node _T_15701 = and(_T_15698, _T_15700) @[ifu_bp_ctl.scala 444:22] + node _T_15702 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15703 = eq(_T_15702, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:163] + node _T_15704 = or(_T_15703, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15705 = and(_T_15701, _T_15704) @[ifu_bp_ctl.scala 444:87] + node _T_15706 = or(_T_15697, _T_15705) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][0][10] <= _T_15706 @[ifu_bp_ctl.scala 443:27] + node _T_15707 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_15708 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15709 = eq(_T_15708, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:97] + node _T_15710 = and(_T_15707, _T_15709) @[ifu_bp_ctl.scala 443:45] + node _T_15711 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15712 = eq(_T_15711, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:186] + node _T_15713 = or(_T_15712, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15714 = and(_T_15710, _T_15713) @[ifu_bp_ctl.scala 443:110] + node _T_15715 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_15716 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15717 = eq(_T_15716, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:74] + node _T_15718 = and(_T_15715, _T_15717) @[ifu_bp_ctl.scala 444:22] + node _T_15719 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15720 = eq(_T_15719, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:163] + node _T_15721 = or(_T_15720, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15722 = and(_T_15718, _T_15721) @[ifu_bp_ctl.scala 444:87] + node _T_15723 = or(_T_15714, _T_15722) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][0][11] <= _T_15723 @[ifu_bp_ctl.scala 443:27] + node _T_15724 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_15725 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15726 = eq(_T_15725, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:97] + node _T_15727 = and(_T_15724, _T_15726) @[ifu_bp_ctl.scala 443:45] + node _T_15728 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15729 = eq(_T_15728, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:186] + node _T_15730 = or(_T_15729, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15731 = and(_T_15727, _T_15730) @[ifu_bp_ctl.scala 443:110] + node _T_15732 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_15733 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15734 = eq(_T_15733, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:74] + node _T_15735 = and(_T_15732, _T_15734) @[ifu_bp_ctl.scala 444:22] + node _T_15736 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15737 = eq(_T_15736, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:163] + node _T_15738 = or(_T_15737, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15739 = and(_T_15735, _T_15738) @[ifu_bp_ctl.scala 444:87] + node _T_15740 = or(_T_15731, _T_15739) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][0][12] <= _T_15740 @[ifu_bp_ctl.scala 443:27] + node _T_15741 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_15742 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15743 = eq(_T_15742, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:97] + node _T_15744 = and(_T_15741, _T_15743) @[ifu_bp_ctl.scala 443:45] + node _T_15745 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15746 = eq(_T_15745, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:186] + node _T_15747 = or(_T_15746, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15748 = and(_T_15744, _T_15747) @[ifu_bp_ctl.scala 443:110] + node _T_15749 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_15750 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15751 = eq(_T_15750, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:74] + node _T_15752 = and(_T_15749, _T_15751) @[ifu_bp_ctl.scala 444:22] + node _T_15753 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15754 = eq(_T_15753, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:163] + node _T_15755 = or(_T_15754, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15756 = and(_T_15752, _T_15755) @[ifu_bp_ctl.scala 444:87] + node _T_15757 = or(_T_15748, _T_15756) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][0][13] <= _T_15757 @[ifu_bp_ctl.scala 443:27] + node _T_15758 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_15759 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15760 = eq(_T_15759, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:97] + node _T_15761 = and(_T_15758, _T_15760) @[ifu_bp_ctl.scala 443:45] + node _T_15762 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15763 = eq(_T_15762, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:186] + node _T_15764 = or(_T_15763, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15765 = and(_T_15761, _T_15764) @[ifu_bp_ctl.scala 443:110] + node _T_15766 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_15767 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15768 = eq(_T_15767, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:74] + node _T_15769 = and(_T_15766, _T_15768) @[ifu_bp_ctl.scala 444:22] + node _T_15770 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15771 = eq(_T_15770, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:163] + node _T_15772 = or(_T_15771, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15773 = and(_T_15769, _T_15772) @[ifu_bp_ctl.scala 444:87] + node _T_15774 = or(_T_15765, _T_15773) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][0][14] <= _T_15774 @[ifu_bp_ctl.scala 443:27] + node _T_15775 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_15776 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15777 = eq(_T_15776, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:97] + node _T_15778 = and(_T_15775, _T_15777) @[ifu_bp_ctl.scala 443:45] + node _T_15779 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15780 = eq(_T_15779, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:186] + node _T_15781 = or(_T_15780, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15782 = and(_T_15778, _T_15781) @[ifu_bp_ctl.scala 443:110] + node _T_15783 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_15784 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15785 = eq(_T_15784, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:74] + node _T_15786 = and(_T_15783, _T_15785) @[ifu_bp_ctl.scala 444:22] + node _T_15787 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15788 = eq(_T_15787, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:163] + node _T_15789 = or(_T_15788, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15790 = and(_T_15786, _T_15789) @[ifu_bp_ctl.scala 444:87] + node _T_15791 = or(_T_15782, _T_15790) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][0][15] <= _T_15791 @[ifu_bp_ctl.scala 443:27] + node _T_15792 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_15793 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15794 = eq(_T_15793, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:97] + node _T_15795 = and(_T_15792, _T_15794) @[ifu_bp_ctl.scala 443:45] + node _T_15796 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15797 = eq(_T_15796, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:186] + node _T_15798 = or(_T_15797, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15799 = and(_T_15795, _T_15798) @[ifu_bp_ctl.scala 443:110] + node _T_15800 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_15801 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15802 = eq(_T_15801, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:74] + node _T_15803 = and(_T_15800, _T_15802) @[ifu_bp_ctl.scala 444:22] + node _T_15804 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15805 = eq(_T_15804, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:163] + node _T_15806 = or(_T_15805, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15807 = and(_T_15803, _T_15806) @[ifu_bp_ctl.scala 444:87] + node _T_15808 = or(_T_15799, _T_15807) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][1][0] <= _T_15808 @[ifu_bp_ctl.scala 443:27] + node _T_15809 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_15810 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15811 = eq(_T_15810, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:97] + node _T_15812 = and(_T_15809, _T_15811) @[ifu_bp_ctl.scala 443:45] + node _T_15813 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15814 = eq(_T_15813, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:186] + node _T_15815 = or(_T_15814, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15816 = and(_T_15812, _T_15815) @[ifu_bp_ctl.scala 443:110] + node _T_15817 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_15818 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15819 = eq(_T_15818, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:74] + node _T_15820 = and(_T_15817, _T_15819) @[ifu_bp_ctl.scala 444:22] + node _T_15821 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15822 = eq(_T_15821, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:163] + node _T_15823 = or(_T_15822, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15824 = and(_T_15820, _T_15823) @[ifu_bp_ctl.scala 444:87] + node _T_15825 = or(_T_15816, _T_15824) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][1][1] <= _T_15825 @[ifu_bp_ctl.scala 443:27] + node _T_15826 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_15827 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15828 = eq(_T_15827, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:97] + node _T_15829 = and(_T_15826, _T_15828) @[ifu_bp_ctl.scala 443:45] + node _T_15830 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15831 = eq(_T_15830, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:186] + node _T_15832 = or(_T_15831, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15833 = and(_T_15829, _T_15832) @[ifu_bp_ctl.scala 443:110] + node _T_15834 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_15835 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15836 = eq(_T_15835, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:74] + node _T_15837 = and(_T_15834, _T_15836) @[ifu_bp_ctl.scala 444:22] + node _T_15838 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15839 = eq(_T_15838, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:163] + node _T_15840 = or(_T_15839, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15841 = and(_T_15837, _T_15840) @[ifu_bp_ctl.scala 444:87] + node _T_15842 = or(_T_15833, _T_15841) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][1][2] <= _T_15842 @[ifu_bp_ctl.scala 443:27] + node _T_15843 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_15844 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15845 = eq(_T_15844, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:97] + node _T_15846 = and(_T_15843, _T_15845) @[ifu_bp_ctl.scala 443:45] + node _T_15847 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15848 = eq(_T_15847, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:186] + node _T_15849 = or(_T_15848, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15850 = and(_T_15846, _T_15849) @[ifu_bp_ctl.scala 443:110] + node _T_15851 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_15852 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15853 = eq(_T_15852, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:74] + node _T_15854 = and(_T_15851, _T_15853) @[ifu_bp_ctl.scala 444:22] + node _T_15855 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15856 = eq(_T_15855, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:163] + node _T_15857 = or(_T_15856, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15858 = and(_T_15854, _T_15857) @[ifu_bp_ctl.scala 444:87] + node _T_15859 = or(_T_15850, _T_15858) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][1][3] <= _T_15859 @[ifu_bp_ctl.scala 443:27] + node _T_15860 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_15861 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15862 = eq(_T_15861, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:97] + node _T_15863 = and(_T_15860, _T_15862) @[ifu_bp_ctl.scala 443:45] + node _T_15864 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15865 = eq(_T_15864, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:186] + node _T_15866 = or(_T_15865, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15867 = and(_T_15863, _T_15866) @[ifu_bp_ctl.scala 443:110] + node _T_15868 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_15869 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15870 = eq(_T_15869, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:74] + node _T_15871 = and(_T_15868, _T_15870) @[ifu_bp_ctl.scala 444:22] + node _T_15872 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15873 = eq(_T_15872, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:163] + node _T_15874 = or(_T_15873, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15875 = and(_T_15871, _T_15874) @[ifu_bp_ctl.scala 444:87] + node _T_15876 = or(_T_15867, _T_15875) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][1][4] <= _T_15876 @[ifu_bp_ctl.scala 443:27] + node _T_15877 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_15878 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15879 = eq(_T_15878, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:97] + node _T_15880 = and(_T_15877, _T_15879) @[ifu_bp_ctl.scala 443:45] + node _T_15881 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15882 = eq(_T_15881, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:186] + node _T_15883 = or(_T_15882, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15884 = and(_T_15880, _T_15883) @[ifu_bp_ctl.scala 443:110] + node _T_15885 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_15886 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15887 = eq(_T_15886, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:74] + node _T_15888 = and(_T_15885, _T_15887) @[ifu_bp_ctl.scala 444:22] + node _T_15889 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15890 = eq(_T_15889, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:163] + node _T_15891 = or(_T_15890, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15892 = and(_T_15888, _T_15891) @[ifu_bp_ctl.scala 444:87] + node _T_15893 = or(_T_15884, _T_15892) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][1][5] <= _T_15893 @[ifu_bp_ctl.scala 443:27] + node _T_15894 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_15895 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15896 = eq(_T_15895, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:97] + node _T_15897 = and(_T_15894, _T_15896) @[ifu_bp_ctl.scala 443:45] + node _T_15898 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15899 = eq(_T_15898, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:186] + node _T_15900 = or(_T_15899, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15901 = and(_T_15897, _T_15900) @[ifu_bp_ctl.scala 443:110] + node _T_15902 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_15903 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15904 = eq(_T_15903, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:74] + node _T_15905 = and(_T_15902, _T_15904) @[ifu_bp_ctl.scala 444:22] + node _T_15906 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15907 = eq(_T_15906, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:163] + node _T_15908 = or(_T_15907, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15909 = and(_T_15905, _T_15908) @[ifu_bp_ctl.scala 444:87] + node _T_15910 = or(_T_15901, _T_15909) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][1][6] <= _T_15910 @[ifu_bp_ctl.scala 443:27] + node _T_15911 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_15912 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15913 = eq(_T_15912, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:97] + node _T_15914 = and(_T_15911, _T_15913) @[ifu_bp_ctl.scala 443:45] + node _T_15915 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15916 = eq(_T_15915, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:186] + node _T_15917 = or(_T_15916, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15918 = and(_T_15914, _T_15917) @[ifu_bp_ctl.scala 443:110] + node _T_15919 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_15920 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15921 = eq(_T_15920, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:74] + node _T_15922 = and(_T_15919, _T_15921) @[ifu_bp_ctl.scala 444:22] + node _T_15923 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15924 = eq(_T_15923, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:163] + node _T_15925 = or(_T_15924, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15926 = and(_T_15922, _T_15925) @[ifu_bp_ctl.scala 444:87] + node _T_15927 = or(_T_15918, _T_15926) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][1][7] <= _T_15927 @[ifu_bp_ctl.scala 443:27] + node _T_15928 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_15929 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15930 = eq(_T_15929, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:97] + node _T_15931 = and(_T_15928, _T_15930) @[ifu_bp_ctl.scala 443:45] + node _T_15932 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15933 = eq(_T_15932, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:186] + node _T_15934 = or(_T_15933, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15935 = and(_T_15931, _T_15934) @[ifu_bp_ctl.scala 443:110] + node _T_15936 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_15937 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15938 = eq(_T_15937, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:74] + node _T_15939 = and(_T_15936, _T_15938) @[ifu_bp_ctl.scala 444:22] + node _T_15940 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15941 = eq(_T_15940, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:163] + node _T_15942 = or(_T_15941, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15943 = and(_T_15939, _T_15942) @[ifu_bp_ctl.scala 444:87] + node _T_15944 = or(_T_15935, _T_15943) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][1][8] <= _T_15944 @[ifu_bp_ctl.scala 443:27] + node _T_15945 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_15946 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15947 = eq(_T_15946, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:97] + node _T_15948 = and(_T_15945, _T_15947) @[ifu_bp_ctl.scala 443:45] + node _T_15949 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15950 = eq(_T_15949, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:186] + node _T_15951 = or(_T_15950, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15952 = and(_T_15948, _T_15951) @[ifu_bp_ctl.scala 443:110] + node _T_15953 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_15954 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15955 = eq(_T_15954, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:74] + node _T_15956 = and(_T_15953, _T_15955) @[ifu_bp_ctl.scala 444:22] + node _T_15957 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15958 = eq(_T_15957, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:163] + node _T_15959 = or(_T_15958, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15960 = and(_T_15956, _T_15959) @[ifu_bp_ctl.scala 444:87] + node _T_15961 = or(_T_15952, _T_15960) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][1][9] <= _T_15961 @[ifu_bp_ctl.scala 443:27] + node _T_15962 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_15963 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15964 = eq(_T_15963, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:97] + node _T_15965 = and(_T_15962, _T_15964) @[ifu_bp_ctl.scala 443:45] + node _T_15966 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15967 = eq(_T_15966, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:186] + node _T_15968 = or(_T_15967, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15969 = and(_T_15965, _T_15968) @[ifu_bp_ctl.scala 443:110] + node _T_15970 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_15971 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15972 = eq(_T_15971, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:74] + node _T_15973 = and(_T_15970, _T_15972) @[ifu_bp_ctl.scala 444:22] + node _T_15974 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15975 = eq(_T_15974, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:163] + node _T_15976 = or(_T_15975, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15977 = and(_T_15973, _T_15976) @[ifu_bp_ctl.scala 444:87] + node _T_15978 = or(_T_15969, _T_15977) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][1][10] <= _T_15978 @[ifu_bp_ctl.scala 443:27] + node _T_15979 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_15980 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15981 = eq(_T_15980, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:97] + node _T_15982 = and(_T_15979, _T_15981) @[ifu_bp_ctl.scala 443:45] + node _T_15983 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_15984 = eq(_T_15983, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:186] + node _T_15985 = or(_T_15984, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_15986 = and(_T_15982, _T_15985) @[ifu_bp_ctl.scala 443:110] + node _T_15987 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_15988 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_15989 = eq(_T_15988, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:74] + node _T_15990 = and(_T_15987, _T_15989) @[ifu_bp_ctl.scala 444:22] + node _T_15991 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_15992 = eq(_T_15991, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:163] + node _T_15993 = or(_T_15992, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_15994 = and(_T_15990, _T_15993) @[ifu_bp_ctl.scala 444:87] + node _T_15995 = or(_T_15986, _T_15994) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][1][11] <= _T_15995 @[ifu_bp_ctl.scala 443:27] + node _T_15996 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_15997 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_15998 = eq(_T_15997, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:97] + node _T_15999 = and(_T_15996, _T_15998) @[ifu_bp_ctl.scala 443:45] + node _T_16000 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16001 = eq(_T_16000, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:186] + node _T_16002 = or(_T_16001, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16003 = and(_T_15999, _T_16002) @[ifu_bp_ctl.scala 443:110] + node _T_16004 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16005 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16006 = eq(_T_16005, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:74] + node _T_16007 = and(_T_16004, _T_16006) @[ifu_bp_ctl.scala 444:22] + node _T_16008 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16009 = eq(_T_16008, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:163] + node _T_16010 = or(_T_16009, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16011 = and(_T_16007, _T_16010) @[ifu_bp_ctl.scala 444:87] + node _T_16012 = or(_T_16003, _T_16011) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][1][12] <= _T_16012 @[ifu_bp_ctl.scala 443:27] + node _T_16013 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16014 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16015 = eq(_T_16014, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:97] + node _T_16016 = and(_T_16013, _T_16015) @[ifu_bp_ctl.scala 443:45] + node _T_16017 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16018 = eq(_T_16017, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:186] + node _T_16019 = or(_T_16018, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16020 = and(_T_16016, _T_16019) @[ifu_bp_ctl.scala 443:110] + node _T_16021 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16022 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16023 = eq(_T_16022, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:74] + node _T_16024 = and(_T_16021, _T_16023) @[ifu_bp_ctl.scala 444:22] + node _T_16025 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16026 = eq(_T_16025, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:163] + node _T_16027 = or(_T_16026, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16028 = and(_T_16024, _T_16027) @[ifu_bp_ctl.scala 444:87] + node _T_16029 = or(_T_16020, _T_16028) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][1][13] <= _T_16029 @[ifu_bp_ctl.scala 443:27] + node _T_16030 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16031 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16032 = eq(_T_16031, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:97] + node _T_16033 = and(_T_16030, _T_16032) @[ifu_bp_ctl.scala 443:45] + node _T_16034 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16035 = eq(_T_16034, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:186] + node _T_16036 = or(_T_16035, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16037 = and(_T_16033, _T_16036) @[ifu_bp_ctl.scala 443:110] + node _T_16038 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16039 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16040 = eq(_T_16039, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:74] + node _T_16041 = and(_T_16038, _T_16040) @[ifu_bp_ctl.scala 444:22] + node _T_16042 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16043 = eq(_T_16042, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:163] + node _T_16044 = or(_T_16043, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16045 = and(_T_16041, _T_16044) @[ifu_bp_ctl.scala 444:87] + node _T_16046 = or(_T_16037, _T_16045) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][1][14] <= _T_16046 @[ifu_bp_ctl.scala 443:27] + node _T_16047 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16048 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16049 = eq(_T_16048, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:97] + node _T_16050 = and(_T_16047, _T_16049) @[ifu_bp_ctl.scala 443:45] + node _T_16051 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16052 = eq(_T_16051, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:186] + node _T_16053 = or(_T_16052, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16054 = and(_T_16050, _T_16053) @[ifu_bp_ctl.scala 443:110] + node _T_16055 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16056 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16057 = eq(_T_16056, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:74] + node _T_16058 = and(_T_16055, _T_16057) @[ifu_bp_ctl.scala 444:22] + node _T_16059 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16060 = eq(_T_16059, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:163] + node _T_16061 = or(_T_16060, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16062 = and(_T_16058, _T_16061) @[ifu_bp_ctl.scala 444:87] + node _T_16063 = or(_T_16054, _T_16062) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][1][15] <= _T_16063 @[ifu_bp_ctl.scala 443:27] + node _T_16064 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16065 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16066 = eq(_T_16065, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:97] + node _T_16067 = and(_T_16064, _T_16066) @[ifu_bp_ctl.scala 443:45] + node _T_16068 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16069 = eq(_T_16068, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:186] + node _T_16070 = or(_T_16069, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16071 = and(_T_16067, _T_16070) @[ifu_bp_ctl.scala 443:110] + node _T_16072 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16073 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16074 = eq(_T_16073, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:74] + node _T_16075 = and(_T_16072, _T_16074) @[ifu_bp_ctl.scala 444:22] + node _T_16076 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16077 = eq(_T_16076, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:163] + node _T_16078 = or(_T_16077, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16079 = and(_T_16075, _T_16078) @[ifu_bp_ctl.scala 444:87] + node _T_16080 = or(_T_16071, _T_16079) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][2][0] <= _T_16080 @[ifu_bp_ctl.scala 443:27] + node _T_16081 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16082 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16083 = eq(_T_16082, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:97] + node _T_16084 = and(_T_16081, _T_16083) @[ifu_bp_ctl.scala 443:45] + node _T_16085 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16086 = eq(_T_16085, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:186] + node _T_16087 = or(_T_16086, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16088 = and(_T_16084, _T_16087) @[ifu_bp_ctl.scala 443:110] + node _T_16089 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16090 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16091 = eq(_T_16090, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:74] + node _T_16092 = and(_T_16089, _T_16091) @[ifu_bp_ctl.scala 444:22] + node _T_16093 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16094 = eq(_T_16093, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:163] + node _T_16095 = or(_T_16094, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16096 = and(_T_16092, _T_16095) @[ifu_bp_ctl.scala 444:87] + node _T_16097 = or(_T_16088, _T_16096) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][2][1] <= _T_16097 @[ifu_bp_ctl.scala 443:27] + node _T_16098 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16099 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16100 = eq(_T_16099, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:97] + node _T_16101 = and(_T_16098, _T_16100) @[ifu_bp_ctl.scala 443:45] + node _T_16102 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16103 = eq(_T_16102, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:186] + node _T_16104 = or(_T_16103, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16105 = and(_T_16101, _T_16104) @[ifu_bp_ctl.scala 443:110] + node _T_16106 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16107 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16108 = eq(_T_16107, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:74] + node _T_16109 = and(_T_16106, _T_16108) @[ifu_bp_ctl.scala 444:22] + node _T_16110 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16111 = eq(_T_16110, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:163] + node _T_16112 = or(_T_16111, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16113 = and(_T_16109, _T_16112) @[ifu_bp_ctl.scala 444:87] + node _T_16114 = or(_T_16105, _T_16113) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][2][2] <= _T_16114 @[ifu_bp_ctl.scala 443:27] + node _T_16115 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16116 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16117 = eq(_T_16116, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:97] + node _T_16118 = and(_T_16115, _T_16117) @[ifu_bp_ctl.scala 443:45] + node _T_16119 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16120 = eq(_T_16119, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:186] + node _T_16121 = or(_T_16120, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16122 = and(_T_16118, _T_16121) @[ifu_bp_ctl.scala 443:110] + node _T_16123 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16124 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16125 = eq(_T_16124, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:74] + node _T_16126 = and(_T_16123, _T_16125) @[ifu_bp_ctl.scala 444:22] + node _T_16127 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16128 = eq(_T_16127, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:163] + node _T_16129 = or(_T_16128, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16130 = and(_T_16126, _T_16129) @[ifu_bp_ctl.scala 444:87] + node _T_16131 = or(_T_16122, _T_16130) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][2][3] <= _T_16131 @[ifu_bp_ctl.scala 443:27] + node _T_16132 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16133 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16134 = eq(_T_16133, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:97] + node _T_16135 = and(_T_16132, _T_16134) @[ifu_bp_ctl.scala 443:45] + node _T_16136 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16137 = eq(_T_16136, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:186] + node _T_16138 = or(_T_16137, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16139 = and(_T_16135, _T_16138) @[ifu_bp_ctl.scala 443:110] + node _T_16140 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16141 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16142 = eq(_T_16141, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:74] + node _T_16143 = and(_T_16140, _T_16142) @[ifu_bp_ctl.scala 444:22] + node _T_16144 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16145 = eq(_T_16144, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:163] + node _T_16146 = or(_T_16145, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16147 = and(_T_16143, _T_16146) @[ifu_bp_ctl.scala 444:87] + node _T_16148 = or(_T_16139, _T_16147) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][2][4] <= _T_16148 @[ifu_bp_ctl.scala 443:27] + node _T_16149 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16150 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16151 = eq(_T_16150, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:97] + node _T_16152 = and(_T_16149, _T_16151) @[ifu_bp_ctl.scala 443:45] + node _T_16153 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16154 = eq(_T_16153, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:186] + node _T_16155 = or(_T_16154, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16156 = and(_T_16152, _T_16155) @[ifu_bp_ctl.scala 443:110] + node _T_16157 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16158 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16159 = eq(_T_16158, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:74] + node _T_16160 = and(_T_16157, _T_16159) @[ifu_bp_ctl.scala 444:22] + node _T_16161 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16162 = eq(_T_16161, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:163] + node _T_16163 = or(_T_16162, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16164 = and(_T_16160, _T_16163) @[ifu_bp_ctl.scala 444:87] + node _T_16165 = or(_T_16156, _T_16164) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][2][5] <= _T_16165 @[ifu_bp_ctl.scala 443:27] + node _T_16166 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16167 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16168 = eq(_T_16167, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:97] + node _T_16169 = and(_T_16166, _T_16168) @[ifu_bp_ctl.scala 443:45] + node _T_16170 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16171 = eq(_T_16170, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:186] + node _T_16172 = or(_T_16171, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16173 = and(_T_16169, _T_16172) @[ifu_bp_ctl.scala 443:110] + node _T_16174 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16175 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16176 = eq(_T_16175, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:74] + node _T_16177 = and(_T_16174, _T_16176) @[ifu_bp_ctl.scala 444:22] + node _T_16178 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16179 = eq(_T_16178, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:163] + node _T_16180 = or(_T_16179, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16181 = and(_T_16177, _T_16180) @[ifu_bp_ctl.scala 444:87] + node _T_16182 = or(_T_16173, _T_16181) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][2][6] <= _T_16182 @[ifu_bp_ctl.scala 443:27] + node _T_16183 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16184 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16185 = eq(_T_16184, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:97] + node _T_16186 = and(_T_16183, _T_16185) @[ifu_bp_ctl.scala 443:45] + node _T_16187 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16188 = eq(_T_16187, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:186] + node _T_16189 = or(_T_16188, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16190 = and(_T_16186, _T_16189) @[ifu_bp_ctl.scala 443:110] + node _T_16191 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16192 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16193 = eq(_T_16192, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:74] + node _T_16194 = and(_T_16191, _T_16193) @[ifu_bp_ctl.scala 444:22] + node _T_16195 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16196 = eq(_T_16195, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:163] + node _T_16197 = or(_T_16196, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16198 = and(_T_16194, _T_16197) @[ifu_bp_ctl.scala 444:87] + node _T_16199 = or(_T_16190, _T_16198) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][2][7] <= _T_16199 @[ifu_bp_ctl.scala 443:27] + node _T_16200 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16201 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16202 = eq(_T_16201, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:97] + node _T_16203 = and(_T_16200, _T_16202) @[ifu_bp_ctl.scala 443:45] + node _T_16204 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16205 = eq(_T_16204, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:186] + node _T_16206 = or(_T_16205, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16207 = and(_T_16203, _T_16206) @[ifu_bp_ctl.scala 443:110] + node _T_16208 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16209 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16210 = eq(_T_16209, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:74] + node _T_16211 = and(_T_16208, _T_16210) @[ifu_bp_ctl.scala 444:22] + node _T_16212 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16213 = eq(_T_16212, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:163] + node _T_16214 = or(_T_16213, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16215 = and(_T_16211, _T_16214) @[ifu_bp_ctl.scala 444:87] + node _T_16216 = or(_T_16207, _T_16215) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][2][8] <= _T_16216 @[ifu_bp_ctl.scala 443:27] + node _T_16217 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16218 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16219 = eq(_T_16218, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:97] + node _T_16220 = and(_T_16217, _T_16219) @[ifu_bp_ctl.scala 443:45] + node _T_16221 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16222 = eq(_T_16221, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:186] + node _T_16223 = or(_T_16222, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16224 = and(_T_16220, _T_16223) @[ifu_bp_ctl.scala 443:110] + node _T_16225 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16226 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16227 = eq(_T_16226, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:74] + node _T_16228 = and(_T_16225, _T_16227) @[ifu_bp_ctl.scala 444:22] + node _T_16229 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16230 = eq(_T_16229, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:163] + node _T_16231 = or(_T_16230, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16232 = and(_T_16228, _T_16231) @[ifu_bp_ctl.scala 444:87] + node _T_16233 = or(_T_16224, _T_16232) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][2][9] <= _T_16233 @[ifu_bp_ctl.scala 443:27] + node _T_16234 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16235 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16236 = eq(_T_16235, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:97] + node _T_16237 = and(_T_16234, _T_16236) @[ifu_bp_ctl.scala 443:45] + node _T_16238 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16239 = eq(_T_16238, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:186] + node _T_16240 = or(_T_16239, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16241 = and(_T_16237, _T_16240) @[ifu_bp_ctl.scala 443:110] + node _T_16242 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16243 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16244 = eq(_T_16243, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:74] + node _T_16245 = and(_T_16242, _T_16244) @[ifu_bp_ctl.scala 444:22] + node _T_16246 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16247 = eq(_T_16246, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:163] + node _T_16248 = or(_T_16247, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16249 = and(_T_16245, _T_16248) @[ifu_bp_ctl.scala 444:87] + node _T_16250 = or(_T_16241, _T_16249) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][2][10] <= _T_16250 @[ifu_bp_ctl.scala 443:27] + node _T_16251 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16252 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16253 = eq(_T_16252, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:97] + node _T_16254 = and(_T_16251, _T_16253) @[ifu_bp_ctl.scala 443:45] + node _T_16255 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16256 = eq(_T_16255, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:186] + node _T_16257 = or(_T_16256, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16258 = and(_T_16254, _T_16257) @[ifu_bp_ctl.scala 443:110] + node _T_16259 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16260 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16261 = eq(_T_16260, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:74] + node _T_16262 = and(_T_16259, _T_16261) @[ifu_bp_ctl.scala 444:22] + node _T_16263 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16264 = eq(_T_16263, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:163] + node _T_16265 = or(_T_16264, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16266 = and(_T_16262, _T_16265) @[ifu_bp_ctl.scala 444:87] + node _T_16267 = or(_T_16258, _T_16266) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][2][11] <= _T_16267 @[ifu_bp_ctl.scala 443:27] + node _T_16268 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16269 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16270 = eq(_T_16269, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:97] + node _T_16271 = and(_T_16268, _T_16270) @[ifu_bp_ctl.scala 443:45] + node _T_16272 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16273 = eq(_T_16272, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:186] + node _T_16274 = or(_T_16273, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16275 = and(_T_16271, _T_16274) @[ifu_bp_ctl.scala 443:110] + node _T_16276 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16277 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16278 = eq(_T_16277, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:74] + node _T_16279 = and(_T_16276, _T_16278) @[ifu_bp_ctl.scala 444:22] + node _T_16280 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16281 = eq(_T_16280, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:163] + node _T_16282 = or(_T_16281, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16283 = and(_T_16279, _T_16282) @[ifu_bp_ctl.scala 444:87] + node _T_16284 = or(_T_16275, _T_16283) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][2][12] <= _T_16284 @[ifu_bp_ctl.scala 443:27] + node _T_16285 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16286 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16287 = eq(_T_16286, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:97] + node _T_16288 = and(_T_16285, _T_16287) @[ifu_bp_ctl.scala 443:45] + node _T_16289 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16290 = eq(_T_16289, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:186] + node _T_16291 = or(_T_16290, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16292 = and(_T_16288, _T_16291) @[ifu_bp_ctl.scala 443:110] + node _T_16293 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16294 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16295 = eq(_T_16294, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:74] + node _T_16296 = and(_T_16293, _T_16295) @[ifu_bp_ctl.scala 444:22] + node _T_16297 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16298 = eq(_T_16297, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:163] + node _T_16299 = or(_T_16298, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16300 = and(_T_16296, _T_16299) @[ifu_bp_ctl.scala 444:87] + node _T_16301 = or(_T_16292, _T_16300) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][2][13] <= _T_16301 @[ifu_bp_ctl.scala 443:27] + node _T_16302 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16303 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16304 = eq(_T_16303, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:97] + node _T_16305 = and(_T_16302, _T_16304) @[ifu_bp_ctl.scala 443:45] + node _T_16306 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16307 = eq(_T_16306, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:186] + node _T_16308 = or(_T_16307, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16309 = and(_T_16305, _T_16308) @[ifu_bp_ctl.scala 443:110] + node _T_16310 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16311 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16312 = eq(_T_16311, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:74] + node _T_16313 = and(_T_16310, _T_16312) @[ifu_bp_ctl.scala 444:22] + node _T_16314 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16315 = eq(_T_16314, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:163] + node _T_16316 = or(_T_16315, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16317 = and(_T_16313, _T_16316) @[ifu_bp_ctl.scala 444:87] + node _T_16318 = or(_T_16309, _T_16317) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][2][14] <= _T_16318 @[ifu_bp_ctl.scala 443:27] + node _T_16319 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16320 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16321 = eq(_T_16320, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:97] + node _T_16322 = and(_T_16319, _T_16321) @[ifu_bp_ctl.scala 443:45] + node _T_16323 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16324 = eq(_T_16323, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:186] + node _T_16325 = or(_T_16324, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16326 = and(_T_16322, _T_16325) @[ifu_bp_ctl.scala 443:110] + node _T_16327 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16328 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16329 = eq(_T_16328, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:74] + node _T_16330 = and(_T_16327, _T_16329) @[ifu_bp_ctl.scala 444:22] + node _T_16331 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16332 = eq(_T_16331, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:163] + node _T_16333 = or(_T_16332, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16334 = and(_T_16330, _T_16333) @[ifu_bp_ctl.scala 444:87] + node _T_16335 = or(_T_16326, _T_16334) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][2][15] <= _T_16335 @[ifu_bp_ctl.scala 443:27] + node _T_16336 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16337 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16338 = eq(_T_16337, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:97] + node _T_16339 = and(_T_16336, _T_16338) @[ifu_bp_ctl.scala 443:45] + node _T_16340 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16341 = eq(_T_16340, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:186] + node _T_16342 = or(_T_16341, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16343 = and(_T_16339, _T_16342) @[ifu_bp_ctl.scala 443:110] + node _T_16344 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16345 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16346 = eq(_T_16345, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:74] + node _T_16347 = and(_T_16344, _T_16346) @[ifu_bp_ctl.scala 444:22] + node _T_16348 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16349 = eq(_T_16348, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:163] + node _T_16350 = or(_T_16349, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16351 = and(_T_16347, _T_16350) @[ifu_bp_ctl.scala 444:87] + node _T_16352 = or(_T_16343, _T_16351) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][3][0] <= _T_16352 @[ifu_bp_ctl.scala 443:27] + node _T_16353 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16354 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16355 = eq(_T_16354, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:97] + node _T_16356 = and(_T_16353, _T_16355) @[ifu_bp_ctl.scala 443:45] + node _T_16357 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16358 = eq(_T_16357, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:186] + node _T_16359 = or(_T_16358, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16360 = and(_T_16356, _T_16359) @[ifu_bp_ctl.scala 443:110] + node _T_16361 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16362 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16363 = eq(_T_16362, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:74] + node _T_16364 = and(_T_16361, _T_16363) @[ifu_bp_ctl.scala 444:22] + node _T_16365 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16366 = eq(_T_16365, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:163] + node _T_16367 = or(_T_16366, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16368 = and(_T_16364, _T_16367) @[ifu_bp_ctl.scala 444:87] + node _T_16369 = or(_T_16360, _T_16368) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][3][1] <= _T_16369 @[ifu_bp_ctl.scala 443:27] + node _T_16370 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16371 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16372 = eq(_T_16371, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:97] + node _T_16373 = and(_T_16370, _T_16372) @[ifu_bp_ctl.scala 443:45] + node _T_16374 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16375 = eq(_T_16374, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:186] + node _T_16376 = or(_T_16375, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16377 = and(_T_16373, _T_16376) @[ifu_bp_ctl.scala 443:110] + node _T_16378 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16379 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16380 = eq(_T_16379, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:74] + node _T_16381 = and(_T_16378, _T_16380) @[ifu_bp_ctl.scala 444:22] + node _T_16382 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16383 = eq(_T_16382, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:163] + node _T_16384 = or(_T_16383, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16385 = and(_T_16381, _T_16384) @[ifu_bp_ctl.scala 444:87] + node _T_16386 = or(_T_16377, _T_16385) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][3][2] <= _T_16386 @[ifu_bp_ctl.scala 443:27] + node _T_16387 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16388 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16389 = eq(_T_16388, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:97] + node _T_16390 = and(_T_16387, _T_16389) @[ifu_bp_ctl.scala 443:45] + node _T_16391 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16392 = eq(_T_16391, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:186] + node _T_16393 = or(_T_16392, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16394 = and(_T_16390, _T_16393) @[ifu_bp_ctl.scala 443:110] + node _T_16395 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16396 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16397 = eq(_T_16396, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:74] + node _T_16398 = and(_T_16395, _T_16397) @[ifu_bp_ctl.scala 444:22] + node _T_16399 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16400 = eq(_T_16399, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:163] + node _T_16401 = or(_T_16400, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16402 = and(_T_16398, _T_16401) @[ifu_bp_ctl.scala 444:87] + node _T_16403 = or(_T_16394, _T_16402) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][3][3] <= _T_16403 @[ifu_bp_ctl.scala 443:27] + node _T_16404 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16405 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16406 = eq(_T_16405, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:97] + node _T_16407 = and(_T_16404, _T_16406) @[ifu_bp_ctl.scala 443:45] + node _T_16408 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16409 = eq(_T_16408, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:186] + node _T_16410 = or(_T_16409, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16411 = and(_T_16407, _T_16410) @[ifu_bp_ctl.scala 443:110] + node _T_16412 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16413 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16414 = eq(_T_16413, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:74] + node _T_16415 = and(_T_16412, _T_16414) @[ifu_bp_ctl.scala 444:22] + node _T_16416 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16417 = eq(_T_16416, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:163] + node _T_16418 = or(_T_16417, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16419 = and(_T_16415, _T_16418) @[ifu_bp_ctl.scala 444:87] + node _T_16420 = or(_T_16411, _T_16419) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][3][4] <= _T_16420 @[ifu_bp_ctl.scala 443:27] + node _T_16421 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16422 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16423 = eq(_T_16422, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:97] + node _T_16424 = and(_T_16421, _T_16423) @[ifu_bp_ctl.scala 443:45] + node _T_16425 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16426 = eq(_T_16425, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:186] + node _T_16427 = or(_T_16426, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16428 = and(_T_16424, _T_16427) @[ifu_bp_ctl.scala 443:110] + node _T_16429 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16430 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16431 = eq(_T_16430, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:74] + node _T_16432 = and(_T_16429, _T_16431) @[ifu_bp_ctl.scala 444:22] + node _T_16433 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16434 = eq(_T_16433, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:163] + node _T_16435 = or(_T_16434, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16436 = and(_T_16432, _T_16435) @[ifu_bp_ctl.scala 444:87] + node _T_16437 = or(_T_16428, _T_16436) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][3][5] <= _T_16437 @[ifu_bp_ctl.scala 443:27] + node _T_16438 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16439 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16440 = eq(_T_16439, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:97] + node _T_16441 = and(_T_16438, _T_16440) @[ifu_bp_ctl.scala 443:45] + node _T_16442 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16443 = eq(_T_16442, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:186] + node _T_16444 = or(_T_16443, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16445 = and(_T_16441, _T_16444) @[ifu_bp_ctl.scala 443:110] + node _T_16446 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16447 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16448 = eq(_T_16447, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:74] + node _T_16449 = and(_T_16446, _T_16448) @[ifu_bp_ctl.scala 444:22] + node _T_16450 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16451 = eq(_T_16450, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:163] + node _T_16452 = or(_T_16451, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16453 = and(_T_16449, _T_16452) @[ifu_bp_ctl.scala 444:87] + node _T_16454 = or(_T_16445, _T_16453) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][3][6] <= _T_16454 @[ifu_bp_ctl.scala 443:27] + node _T_16455 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16456 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16457 = eq(_T_16456, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:97] + node _T_16458 = and(_T_16455, _T_16457) @[ifu_bp_ctl.scala 443:45] + node _T_16459 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16460 = eq(_T_16459, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:186] + node _T_16461 = or(_T_16460, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16462 = and(_T_16458, _T_16461) @[ifu_bp_ctl.scala 443:110] + node _T_16463 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16464 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16465 = eq(_T_16464, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:74] + node _T_16466 = and(_T_16463, _T_16465) @[ifu_bp_ctl.scala 444:22] + node _T_16467 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16468 = eq(_T_16467, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:163] + node _T_16469 = or(_T_16468, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16470 = and(_T_16466, _T_16469) @[ifu_bp_ctl.scala 444:87] + node _T_16471 = or(_T_16462, _T_16470) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][3][7] <= _T_16471 @[ifu_bp_ctl.scala 443:27] + node _T_16472 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16473 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16474 = eq(_T_16473, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:97] + node _T_16475 = and(_T_16472, _T_16474) @[ifu_bp_ctl.scala 443:45] + node _T_16476 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16477 = eq(_T_16476, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:186] + node _T_16478 = or(_T_16477, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16479 = and(_T_16475, _T_16478) @[ifu_bp_ctl.scala 443:110] + node _T_16480 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16481 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16482 = eq(_T_16481, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:74] + node _T_16483 = and(_T_16480, _T_16482) @[ifu_bp_ctl.scala 444:22] + node _T_16484 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16485 = eq(_T_16484, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:163] + node _T_16486 = or(_T_16485, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16487 = and(_T_16483, _T_16486) @[ifu_bp_ctl.scala 444:87] + node _T_16488 = or(_T_16479, _T_16487) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][3][8] <= _T_16488 @[ifu_bp_ctl.scala 443:27] + node _T_16489 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16490 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16491 = eq(_T_16490, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:97] + node _T_16492 = and(_T_16489, _T_16491) @[ifu_bp_ctl.scala 443:45] + node _T_16493 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16494 = eq(_T_16493, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:186] + node _T_16495 = or(_T_16494, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16496 = and(_T_16492, _T_16495) @[ifu_bp_ctl.scala 443:110] + node _T_16497 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16498 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16499 = eq(_T_16498, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:74] + node _T_16500 = and(_T_16497, _T_16499) @[ifu_bp_ctl.scala 444:22] + node _T_16501 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16502 = eq(_T_16501, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:163] + node _T_16503 = or(_T_16502, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16504 = and(_T_16500, _T_16503) @[ifu_bp_ctl.scala 444:87] + node _T_16505 = or(_T_16496, _T_16504) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][3][9] <= _T_16505 @[ifu_bp_ctl.scala 443:27] + node _T_16506 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16507 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16508 = eq(_T_16507, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:97] + node _T_16509 = and(_T_16506, _T_16508) @[ifu_bp_ctl.scala 443:45] + node _T_16510 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16511 = eq(_T_16510, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:186] + node _T_16512 = or(_T_16511, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16513 = and(_T_16509, _T_16512) @[ifu_bp_ctl.scala 443:110] + node _T_16514 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16515 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16516 = eq(_T_16515, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:74] + node _T_16517 = and(_T_16514, _T_16516) @[ifu_bp_ctl.scala 444:22] + node _T_16518 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16519 = eq(_T_16518, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:163] + node _T_16520 = or(_T_16519, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16521 = and(_T_16517, _T_16520) @[ifu_bp_ctl.scala 444:87] + node _T_16522 = or(_T_16513, _T_16521) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][3][10] <= _T_16522 @[ifu_bp_ctl.scala 443:27] + node _T_16523 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16524 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16525 = eq(_T_16524, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:97] + node _T_16526 = and(_T_16523, _T_16525) @[ifu_bp_ctl.scala 443:45] + node _T_16527 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16528 = eq(_T_16527, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:186] + node _T_16529 = or(_T_16528, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16530 = and(_T_16526, _T_16529) @[ifu_bp_ctl.scala 443:110] + node _T_16531 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16532 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16533 = eq(_T_16532, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:74] + node _T_16534 = and(_T_16531, _T_16533) @[ifu_bp_ctl.scala 444:22] + node _T_16535 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16536 = eq(_T_16535, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:163] + node _T_16537 = or(_T_16536, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16538 = and(_T_16534, _T_16537) @[ifu_bp_ctl.scala 444:87] + node _T_16539 = or(_T_16530, _T_16538) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][3][11] <= _T_16539 @[ifu_bp_ctl.scala 443:27] + node _T_16540 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16541 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16542 = eq(_T_16541, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:97] + node _T_16543 = and(_T_16540, _T_16542) @[ifu_bp_ctl.scala 443:45] + node _T_16544 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16545 = eq(_T_16544, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:186] + node _T_16546 = or(_T_16545, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16547 = and(_T_16543, _T_16546) @[ifu_bp_ctl.scala 443:110] + node _T_16548 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16549 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16550 = eq(_T_16549, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:74] + node _T_16551 = and(_T_16548, _T_16550) @[ifu_bp_ctl.scala 444:22] + node _T_16552 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16553 = eq(_T_16552, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:163] + node _T_16554 = or(_T_16553, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16555 = and(_T_16551, _T_16554) @[ifu_bp_ctl.scala 444:87] + node _T_16556 = or(_T_16547, _T_16555) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][3][12] <= _T_16556 @[ifu_bp_ctl.scala 443:27] + node _T_16557 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16558 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16559 = eq(_T_16558, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:97] + node _T_16560 = and(_T_16557, _T_16559) @[ifu_bp_ctl.scala 443:45] + node _T_16561 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16562 = eq(_T_16561, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:186] + node _T_16563 = or(_T_16562, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16564 = and(_T_16560, _T_16563) @[ifu_bp_ctl.scala 443:110] + node _T_16565 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16566 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16567 = eq(_T_16566, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:74] + node _T_16568 = and(_T_16565, _T_16567) @[ifu_bp_ctl.scala 444:22] + node _T_16569 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16570 = eq(_T_16569, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:163] + node _T_16571 = or(_T_16570, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16572 = and(_T_16568, _T_16571) @[ifu_bp_ctl.scala 444:87] + node _T_16573 = or(_T_16564, _T_16572) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][3][13] <= _T_16573 @[ifu_bp_ctl.scala 443:27] + node _T_16574 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16575 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16576 = eq(_T_16575, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:97] + node _T_16577 = and(_T_16574, _T_16576) @[ifu_bp_ctl.scala 443:45] + node _T_16578 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16579 = eq(_T_16578, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:186] + node _T_16580 = or(_T_16579, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16581 = and(_T_16577, _T_16580) @[ifu_bp_ctl.scala 443:110] + node _T_16582 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16583 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16584 = eq(_T_16583, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:74] + node _T_16585 = and(_T_16582, _T_16584) @[ifu_bp_ctl.scala 444:22] + node _T_16586 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16587 = eq(_T_16586, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:163] + node _T_16588 = or(_T_16587, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16589 = and(_T_16585, _T_16588) @[ifu_bp_ctl.scala 444:87] + node _T_16590 = or(_T_16581, _T_16589) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][3][14] <= _T_16590 @[ifu_bp_ctl.scala 443:27] + node _T_16591 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16592 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16593 = eq(_T_16592, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:97] + node _T_16594 = and(_T_16591, _T_16593) @[ifu_bp_ctl.scala 443:45] + node _T_16595 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16596 = eq(_T_16595, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:186] + node _T_16597 = or(_T_16596, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16598 = and(_T_16594, _T_16597) @[ifu_bp_ctl.scala 443:110] + node _T_16599 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16600 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16601 = eq(_T_16600, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:74] + node _T_16602 = and(_T_16599, _T_16601) @[ifu_bp_ctl.scala 444:22] + node _T_16603 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16604 = eq(_T_16603, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:163] + node _T_16605 = or(_T_16604, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16606 = and(_T_16602, _T_16605) @[ifu_bp_ctl.scala 444:87] + node _T_16607 = or(_T_16598, _T_16606) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][3][15] <= _T_16607 @[ifu_bp_ctl.scala 443:27] + node _T_16608 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16609 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16610 = eq(_T_16609, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:97] + node _T_16611 = and(_T_16608, _T_16610) @[ifu_bp_ctl.scala 443:45] + node _T_16612 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16613 = eq(_T_16612, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:186] + node _T_16614 = or(_T_16613, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16615 = and(_T_16611, _T_16614) @[ifu_bp_ctl.scala 443:110] + node _T_16616 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16617 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16618 = eq(_T_16617, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:74] + node _T_16619 = and(_T_16616, _T_16618) @[ifu_bp_ctl.scala 444:22] + node _T_16620 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16621 = eq(_T_16620, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:163] + node _T_16622 = or(_T_16621, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16623 = and(_T_16619, _T_16622) @[ifu_bp_ctl.scala 444:87] + node _T_16624 = or(_T_16615, _T_16623) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][4][0] <= _T_16624 @[ifu_bp_ctl.scala 443:27] + node _T_16625 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16626 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16627 = eq(_T_16626, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:97] + node _T_16628 = and(_T_16625, _T_16627) @[ifu_bp_ctl.scala 443:45] + node _T_16629 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16630 = eq(_T_16629, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:186] + node _T_16631 = or(_T_16630, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16632 = and(_T_16628, _T_16631) @[ifu_bp_ctl.scala 443:110] + node _T_16633 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16634 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16635 = eq(_T_16634, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:74] + node _T_16636 = and(_T_16633, _T_16635) @[ifu_bp_ctl.scala 444:22] + node _T_16637 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16638 = eq(_T_16637, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:163] + node _T_16639 = or(_T_16638, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16640 = and(_T_16636, _T_16639) @[ifu_bp_ctl.scala 444:87] + node _T_16641 = or(_T_16632, _T_16640) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][4][1] <= _T_16641 @[ifu_bp_ctl.scala 443:27] + node _T_16642 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16643 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16644 = eq(_T_16643, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:97] + node _T_16645 = and(_T_16642, _T_16644) @[ifu_bp_ctl.scala 443:45] + node _T_16646 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16647 = eq(_T_16646, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:186] + node _T_16648 = or(_T_16647, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16649 = and(_T_16645, _T_16648) @[ifu_bp_ctl.scala 443:110] + node _T_16650 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16651 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16652 = eq(_T_16651, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:74] + node _T_16653 = and(_T_16650, _T_16652) @[ifu_bp_ctl.scala 444:22] + node _T_16654 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16655 = eq(_T_16654, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:163] + node _T_16656 = or(_T_16655, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16657 = and(_T_16653, _T_16656) @[ifu_bp_ctl.scala 444:87] + node _T_16658 = or(_T_16649, _T_16657) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][4][2] <= _T_16658 @[ifu_bp_ctl.scala 443:27] + node _T_16659 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16660 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16661 = eq(_T_16660, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:97] + node _T_16662 = and(_T_16659, _T_16661) @[ifu_bp_ctl.scala 443:45] + node _T_16663 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16664 = eq(_T_16663, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:186] + node _T_16665 = or(_T_16664, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16666 = and(_T_16662, _T_16665) @[ifu_bp_ctl.scala 443:110] + node _T_16667 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16668 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16669 = eq(_T_16668, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:74] + node _T_16670 = and(_T_16667, _T_16669) @[ifu_bp_ctl.scala 444:22] + node _T_16671 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16672 = eq(_T_16671, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:163] + node _T_16673 = or(_T_16672, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16674 = and(_T_16670, _T_16673) @[ifu_bp_ctl.scala 444:87] + node _T_16675 = or(_T_16666, _T_16674) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][4][3] <= _T_16675 @[ifu_bp_ctl.scala 443:27] + node _T_16676 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16677 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16678 = eq(_T_16677, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:97] + node _T_16679 = and(_T_16676, _T_16678) @[ifu_bp_ctl.scala 443:45] + node _T_16680 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16681 = eq(_T_16680, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:186] + node _T_16682 = or(_T_16681, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16683 = and(_T_16679, _T_16682) @[ifu_bp_ctl.scala 443:110] + node _T_16684 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16685 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16686 = eq(_T_16685, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:74] + node _T_16687 = and(_T_16684, _T_16686) @[ifu_bp_ctl.scala 444:22] + node _T_16688 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16689 = eq(_T_16688, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:163] + node _T_16690 = or(_T_16689, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16691 = and(_T_16687, _T_16690) @[ifu_bp_ctl.scala 444:87] + node _T_16692 = or(_T_16683, _T_16691) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][4][4] <= _T_16692 @[ifu_bp_ctl.scala 443:27] + node _T_16693 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16694 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16695 = eq(_T_16694, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:97] + node _T_16696 = and(_T_16693, _T_16695) @[ifu_bp_ctl.scala 443:45] + node _T_16697 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16698 = eq(_T_16697, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:186] + node _T_16699 = or(_T_16698, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16700 = and(_T_16696, _T_16699) @[ifu_bp_ctl.scala 443:110] + node _T_16701 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16702 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16703 = eq(_T_16702, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:74] + node _T_16704 = and(_T_16701, _T_16703) @[ifu_bp_ctl.scala 444:22] + node _T_16705 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16706 = eq(_T_16705, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:163] + node _T_16707 = or(_T_16706, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16708 = and(_T_16704, _T_16707) @[ifu_bp_ctl.scala 444:87] + node _T_16709 = or(_T_16700, _T_16708) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][4][5] <= _T_16709 @[ifu_bp_ctl.scala 443:27] + node _T_16710 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16711 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16712 = eq(_T_16711, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:97] + node _T_16713 = and(_T_16710, _T_16712) @[ifu_bp_ctl.scala 443:45] + node _T_16714 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16715 = eq(_T_16714, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:186] + node _T_16716 = or(_T_16715, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16717 = and(_T_16713, _T_16716) @[ifu_bp_ctl.scala 443:110] + node _T_16718 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16719 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16720 = eq(_T_16719, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:74] + node _T_16721 = and(_T_16718, _T_16720) @[ifu_bp_ctl.scala 444:22] + node _T_16722 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16723 = eq(_T_16722, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:163] + node _T_16724 = or(_T_16723, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16725 = and(_T_16721, _T_16724) @[ifu_bp_ctl.scala 444:87] + node _T_16726 = or(_T_16717, _T_16725) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][4][6] <= _T_16726 @[ifu_bp_ctl.scala 443:27] + node _T_16727 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16728 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16729 = eq(_T_16728, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:97] + node _T_16730 = and(_T_16727, _T_16729) @[ifu_bp_ctl.scala 443:45] + node _T_16731 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16732 = eq(_T_16731, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:186] + node _T_16733 = or(_T_16732, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16734 = and(_T_16730, _T_16733) @[ifu_bp_ctl.scala 443:110] + node _T_16735 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16736 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16737 = eq(_T_16736, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:74] + node _T_16738 = and(_T_16735, _T_16737) @[ifu_bp_ctl.scala 444:22] + node _T_16739 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16740 = eq(_T_16739, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:163] + node _T_16741 = or(_T_16740, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16742 = and(_T_16738, _T_16741) @[ifu_bp_ctl.scala 444:87] + node _T_16743 = or(_T_16734, _T_16742) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][4][7] <= _T_16743 @[ifu_bp_ctl.scala 443:27] + node _T_16744 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16745 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16746 = eq(_T_16745, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:97] + node _T_16747 = and(_T_16744, _T_16746) @[ifu_bp_ctl.scala 443:45] + node _T_16748 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16749 = eq(_T_16748, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:186] + node _T_16750 = or(_T_16749, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16751 = and(_T_16747, _T_16750) @[ifu_bp_ctl.scala 443:110] + node _T_16752 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16753 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16754 = eq(_T_16753, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:74] + node _T_16755 = and(_T_16752, _T_16754) @[ifu_bp_ctl.scala 444:22] + node _T_16756 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16757 = eq(_T_16756, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:163] + node _T_16758 = or(_T_16757, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16759 = and(_T_16755, _T_16758) @[ifu_bp_ctl.scala 444:87] + node _T_16760 = or(_T_16751, _T_16759) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][4][8] <= _T_16760 @[ifu_bp_ctl.scala 443:27] + node _T_16761 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16762 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16763 = eq(_T_16762, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:97] + node _T_16764 = and(_T_16761, _T_16763) @[ifu_bp_ctl.scala 443:45] + node _T_16765 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16766 = eq(_T_16765, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:186] + node _T_16767 = or(_T_16766, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16768 = and(_T_16764, _T_16767) @[ifu_bp_ctl.scala 443:110] + node _T_16769 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16770 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16771 = eq(_T_16770, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:74] + node _T_16772 = and(_T_16769, _T_16771) @[ifu_bp_ctl.scala 444:22] + node _T_16773 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16774 = eq(_T_16773, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:163] + node _T_16775 = or(_T_16774, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16776 = and(_T_16772, _T_16775) @[ifu_bp_ctl.scala 444:87] + node _T_16777 = or(_T_16768, _T_16776) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][4][9] <= _T_16777 @[ifu_bp_ctl.scala 443:27] + node _T_16778 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16779 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16780 = eq(_T_16779, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:97] + node _T_16781 = and(_T_16778, _T_16780) @[ifu_bp_ctl.scala 443:45] + node _T_16782 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16783 = eq(_T_16782, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:186] + node _T_16784 = or(_T_16783, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16785 = and(_T_16781, _T_16784) @[ifu_bp_ctl.scala 443:110] + node _T_16786 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16787 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16788 = eq(_T_16787, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:74] + node _T_16789 = and(_T_16786, _T_16788) @[ifu_bp_ctl.scala 444:22] + node _T_16790 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16791 = eq(_T_16790, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:163] + node _T_16792 = or(_T_16791, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16793 = and(_T_16789, _T_16792) @[ifu_bp_ctl.scala 444:87] + node _T_16794 = or(_T_16785, _T_16793) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][4][10] <= _T_16794 @[ifu_bp_ctl.scala 443:27] + node _T_16795 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16796 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16797 = eq(_T_16796, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:97] + node _T_16798 = and(_T_16795, _T_16797) @[ifu_bp_ctl.scala 443:45] + node _T_16799 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16800 = eq(_T_16799, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:186] + node _T_16801 = or(_T_16800, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16802 = and(_T_16798, _T_16801) @[ifu_bp_ctl.scala 443:110] + node _T_16803 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16804 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16805 = eq(_T_16804, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:74] + node _T_16806 = and(_T_16803, _T_16805) @[ifu_bp_ctl.scala 444:22] + node _T_16807 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16808 = eq(_T_16807, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:163] + node _T_16809 = or(_T_16808, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16810 = and(_T_16806, _T_16809) @[ifu_bp_ctl.scala 444:87] + node _T_16811 = or(_T_16802, _T_16810) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][4][11] <= _T_16811 @[ifu_bp_ctl.scala 443:27] + node _T_16812 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16813 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16814 = eq(_T_16813, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:97] + node _T_16815 = and(_T_16812, _T_16814) @[ifu_bp_ctl.scala 443:45] + node _T_16816 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16817 = eq(_T_16816, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:186] + node _T_16818 = or(_T_16817, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16819 = and(_T_16815, _T_16818) @[ifu_bp_ctl.scala 443:110] + node _T_16820 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16821 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16822 = eq(_T_16821, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:74] + node _T_16823 = and(_T_16820, _T_16822) @[ifu_bp_ctl.scala 444:22] + node _T_16824 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16825 = eq(_T_16824, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:163] + node _T_16826 = or(_T_16825, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16827 = and(_T_16823, _T_16826) @[ifu_bp_ctl.scala 444:87] + node _T_16828 = or(_T_16819, _T_16827) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][4][12] <= _T_16828 @[ifu_bp_ctl.scala 443:27] + node _T_16829 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16830 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16831 = eq(_T_16830, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:97] + node _T_16832 = and(_T_16829, _T_16831) @[ifu_bp_ctl.scala 443:45] + node _T_16833 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16834 = eq(_T_16833, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:186] + node _T_16835 = or(_T_16834, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16836 = and(_T_16832, _T_16835) @[ifu_bp_ctl.scala 443:110] + node _T_16837 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16838 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16839 = eq(_T_16838, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:74] + node _T_16840 = and(_T_16837, _T_16839) @[ifu_bp_ctl.scala 444:22] + node _T_16841 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16842 = eq(_T_16841, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:163] + node _T_16843 = or(_T_16842, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16844 = and(_T_16840, _T_16843) @[ifu_bp_ctl.scala 444:87] + node _T_16845 = or(_T_16836, _T_16844) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][4][13] <= _T_16845 @[ifu_bp_ctl.scala 443:27] + node _T_16846 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16847 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16848 = eq(_T_16847, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:97] + node _T_16849 = and(_T_16846, _T_16848) @[ifu_bp_ctl.scala 443:45] + node _T_16850 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16851 = eq(_T_16850, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:186] + node _T_16852 = or(_T_16851, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16853 = and(_T_16849, _T_16852) @[ifu_bp_ctl.scala 443:110] + node _T_16854 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16855 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16856 = eq(_T_16855, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:74] + node _T_16857 = and(_T_16854, _T_16856) @[ifu_bp_ctl.scala 444:22] + node _T_16858 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16859 = eq(_T_16858, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:163] + node _T_16860 = or(_T_16859, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16861 = and(_T_16857, _T_16860) @[ifu_bp_ctl.scala 444:87] + node _T_16862 = or(_T_16853, _T_16861) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][4][14] <= _T_16862 @[ifu_bp_ctl.scala 443:27] + node _T_16863 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16864 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16865 = eq(_T_16864, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:97] + node _T_16866 = and(_T_16863, _T_16865) @[ifu_bp_ctl.scala 443:45] + node _T_16867 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16868 = eq(_T_16867, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:186] + node _T_16869 = or(_T_16868, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16870 = and(_T_16866, _T_16869) @[ifu_bp_ctl.scala 443:110] + node _T_16871 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16872 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16873 = eq(_T_16872, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:74] + node _T_16874 = and(_T_16871, _T_16873) @[ifu_bp_ctl.scala 444:22] + node _T_16875 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16876 = eq(_T_16875, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:163] + node _T_16877 = or(_T_16876, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16878 = and(_T_16874, _T_16877) @[ifu_bp_ctl.scala 444:87] + node _T_16879 = or(_T_16870, _T_16878) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][4][15] <= _T_16879 @[ifu_bp_ctl.scala 443:27] + node _T_16880 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16881 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16882 = eq(_T_16881, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:97] + node _T_16883 = and(_T_16880, _T_16882) @[ifu_bp_ctl.scala 443:45] + node _T_16884 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16885 = eq(_T_16884, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:186] + node _T_16886 = or(_T_16885, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16887 = and(_T_16883, _T_16886) @[ifu_bp_ctl.scala 443:110] + node _T_16888 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16889 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16890 = eq(_T_16889, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:74] + node _T_16891 = and(_T_16888, _T_16890) @[ifu_bp_ctl.scala 444:22] + node _T_16892 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16893 = eq(_T_16892, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:163] + node _T_16894 = or(_T_16893, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16895 = and(_T_16891, _T_16894) @[ifu_bp_ctl.scala 444:87] + node _T_16896 = or(_T_16887, _T_16895) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][5][0] <= _T_16896 @[ifu_bp_ctl.scala 443:27] + node _T_16897 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16898 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16899 = eq(_T_16898, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:97] + node _T_16900 = and(_T_16897, _T_16899) @[ifu_bp_ctl.scala 443:45] + node _T_16901 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16902 = eq(_T_16901, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:186] + node _T_16903 = or(_T_16902, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16904 = and(_T_16900, _T_16903) @[ifu_bp_ctl.scala 443:110] + node _T_16905 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16906 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16907 = eq(_T_16906, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:74] + node _T_16908 = and(_T_16905, _T_16907) @[ifu_bp_ctl.scala 444:22] + node _T_16909 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16910 = eq(_T_16909, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:163] + node _T_16911 = or(_T_16910, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16912 = and(_T_16908, _T_16911) @[ifu_bp_ctl.scala 444:87] + node _T_16913 = or(_T_16904, _T_16912) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][5][1] <= _T_16913 @[ifu_bp_ctl.scala 443:27] + node _T_16914 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16915 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16916 = eq(_T_16915, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:97] + node _T_16917 = and(_T_16914, _T_16916) @[ifu_bp_ctl.scala 443:45] + node _T_16918 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16919 = eq(_T_16918, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:186] + node _T_16920 = or(_T_16919, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16921 = and(_T_16917, _T_16920) @[ifu_bp_ctl.scala 443:110] + node _T_16922 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16923 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16924 = eq(_T_16923, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:74] + node _T_16925 = and(_T_16922, _T_16924) @[ifu_bp_ctl.scala 444:22] + node _T_16926 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16927 = eq(_T_16926, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:163] + node _T_16928 = or(_T_16927, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16929 = and(_T_16925, _T_16928) @[ifu_bp_ctl.scala 444:87] + node _T_16930 = or(_T_16921, _T_16929) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][5][2] <= _T_16930 @[ifu_bp_ctl.scala 443:27] + node _T_16931 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16932 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16933 = eq(_T_16932, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:97] + node _T_16934 = and(_T_16931, _T_16933) @[ifu_bp_ctl.scala 443:45] + node _T_16935 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16936 = eq(_T_16935, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:186] + node _T_16937 = or(_T_16936, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16938 = and(_T_16934, _T_16937) @[ifu_bp_ctl.scala 443:110] + node _T_16939 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16940 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16941 = eq(_T_16940, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:74] + node _T_16942 = and(_T_16939, _T_16941) @[ifu_bp_ctl.scala 444:22] + node _T_16943 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16944 = eq(_T_16943, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:163] + node _T_16945 = or(_T_16944, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16946 = and(_T_16942, _T_16945) @[ifu_bp_ctl.scala 444:87] + node _T_16947 = or(_T_16938, _T_16946) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][5][3] <= _T_16947 @[ifu_bp_ctl.scala 443:27] + node _T_16948 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16949 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16950 = eq(_T_16949, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:97] + node _T_16951 = and(_T_16948, _T_16950) @[ifu_bp_ctl.scala 443:45] + node _T_16952 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16953 = eq(_T_16952, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:186] + node _T_16954 = or(_T_16953, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16955 = and(_T_16951, _T_16954) @[ifu_bp_ctl.scala 443:110] + node _T_16956 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16957 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16958 = eq(_T_16957, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:74] + node _T_16959 = and(_T_16956, _T_16958) @[ifu_bp_ctl.scala 444:22] + node _T_16960 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16961 = eq(_T_16960, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:163] + node _T_16962 = or(_T_16961, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16963 = and(_T_16959, _T_16962) @[ifu_bp_ctl.scala 444:87] + node _T_16964 = or(_T_16955, _T_16963) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][5][4] <= _T_16964 @[ifu_bp_ctl.scala 443:27] + node _T_16965 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16966 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16967 = eq(_T_16966, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:97] + node _T_16968 = and(_T_16965, _T_16967) @[ifu_bp_ctl.scala 443:45] + node _T_16969 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16970 = eq(_T_16969, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:186] + node _T_16971 = or(_T_16970, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16972 = and(_T_16968, _T_16971) @[ifu_bp_ctl.scala 443:110] + node _T_16973 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16974 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16975 = eq(_T_16974, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:74] + node _T_16976 = and(_T_16973, _T_16975) @[ifu_bp_ctl.scala 444:22] + node _T_16977 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16978 = eq(_T_16977, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:163] + node _T_16979 = or(_T_16978, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16980 = and(_T_16976, _T_16979) @[ifu_bp_ctl.scala 444:87] + node _T_16981 = or(_T_16972, _T_16980) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][5][5] <= _T_16981 @[ifu_bp_ctl.scala 443:27] + node _T_16982 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_16983 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_16984 = eq(_T_16983, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:97] + node _T_16985 = and(_T_16982, _T_16984) @[ifu_bp_ctl.scala 443:45] + node _T_16986 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_16987 = eq(_T_16986, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:186] + node _T_16988 = or(_T_16987, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_16989 = and(_T_16985, _T_16988) @[ifu_bp_ctl.scala 443:110] + node _T_16990 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_16991 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_16992 = eq(_T_16991, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:74] + node _T_16993 = and(_T_16990, _T_16992) @[ifu_bp_ctl.scala 444:22] + node _T_16994 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_16995 = eq(_T_16994, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:163] + node _T_16996 = or(_T_16995, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_16997 = and(_T_16993, _T_16996) @[ifu_bp_ctl.scala 444:87] + node _T_16998 = or(_T_16989, _T_16997) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][5][6] <= _T_16998 @[ifu_bp_ctl.scala 443:27] + node _T_16999 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17000 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17001 = eq(_T_17000, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:97] + node _T_17002 = and(_T_16999, _T_17001) @[ifu_bp_ctl.scala 443:45] + node _T_17003 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17004 = eq(_T_17003, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:186] + node _T_17005 = or(_T_17004, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17006 = and(_T_17002, _T_17005) @[ifu_bp_ctl.scala 443:110] + node _T_17007 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17008 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17009 = eq(_T_17008, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:74] + node _T_17010 = and(_T_17007, _T_17009) @[ifu_bp_ctl.scala 444:22] + node _T_17011 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17012 = eq(_T_17011, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:163] + node _T_17013 = or(_T_17012, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17014 = and(_T_17010, _T_17013) @[ifu_bp_ctl.scala 444:87] + node _T_17015 = or(_T_17006, _T_17014) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][5][7] <= _T_17015 @[ifu_bp_ctl.scala 443:27] + node _T_17016 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17017 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17018 = eq(_T_17017, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:97] + node _T_17019 = and(_T_17016, _T_17018) @[ifu_bp_ctl.scala 443:45] + node _T_17020 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17021 = eq(_T_17020, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:186] + node _T_17022 = or(_T_17021, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17023 = and(_T_17019, _T_17022) @[ifu_bp_ctl.scala 443:110] + node _T_17024 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17025 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17026 = eq(_T_17025, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:74] + node _T_17027 = and(_T_17024, _T_17026) @[ifu_bp_ctl.scala 444:22] + node _T_17028 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17029 = eq(_T_17028, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:163] + node _T_17030 = or(_T_17029, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17031 = and(_T_17027, _T_17030) @[ifu_bp_ctl.scala 444:87] + node _T_17032 = or(_T_17023, _T_17031) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][5][8] <= _T_17032 @[ifu_bp_ctl.scala 443:27] + node _T_17033 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17034 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17035 = eq(_T_17034, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:97] + node _T_17036 = and(_T_17033, _T_17035) @[ifu_bp_ctl.scala 443:45] + node _T_17037 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17038 = eq(_T_17037, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:186] + node _T_17039 = or(_T_17038, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17040 = and(_T_17036, _T_17039) @[ifu_bp_ctl.scala 443:110] + node _T_17041 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17042 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17043 = eq(_T_17042, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:74] + node _T_17044 = and(_T_17041, _T_17043) @[ifu_bp_ctl.scala 444:22] + node _T_17045 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17046 = eq(_T_17045, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:163] + node _T_17047 = or(_T_17046, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17048 = and(_T_17044, _T_17047) @[ifu_bp_ctl.scala 444:87] + node _T_17049 = or(_T_17040, _T_17048) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][5][9] <= _T_17049 @[ifu_bp_ctl.scala 443:27] + node _T_17050 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17051 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17052 = eq(_T_17051, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:97] + node _T_17053 = and(_T_17050, _T_17052) @[ifu_bp_ctl.scala 443:45] + node _T_17054 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17055 = eq(_T_17054, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:186] + node _T_17056 = or(_T_17055, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17057 = and(_T_17053, _T_17056) @[ifu_bp_ctl.scala 443:110] + node _T_17058 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17059 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17060 = eq(_T_17059, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:74] + node _T_17061 = and(_T_17058, _T_17060) @[ifu_bp_ctl.scala 444:22] + node _T_17062 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17063 = eq(_T_17062, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:163] + node _T_17064 = or(_T_17063, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17065 = and(_T_17061, _T_17064) @[ifu_bp_ctl.scala 444:87] + node _T_17066 = or(_T_17057, _T_17065) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][5][10] <= _T_17066 @[ifu_bp_ctl.scala 443:27] + node _T_17067 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17068 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17069 = eq(_T_17068, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:97] + node _T_17070 = and(_T_17067, _T_17069) @[ifu_bp_ctl.scala 443:45] + node _T_17071 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17072 = eq(_T_17071, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:186] + node _T_17073 = or(_T_17072, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17074 = and(_T_17070, _T_17073) @[ifu_bp_ctl.scala 443:110] + node _T_17075 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17076 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17077 = eq(_T_17076, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:74] + node _T_17078 = and(_T_17075, _T_17077) @[ifu_bp_ctl.scala 444:22] + node _T_17079 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17080 = eq(_T_17079, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:163] + node _T_17081 = or(_T_17080, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17082 = and(_T_17078, _T_17081) @[ifu_bp_ctl.scala 444:87] + node _T_17083 = or(_T_17074, _T_17082) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][5][11] <= _T_17083 @[ifu_bp_ctl.scala 443:27] + node _T_17084 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17085 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17086 = eq(_T_17085, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:97] + node _T_17087 = and(_T_17084, _T_17086) @[ifu_bp_ctl.scala 443:45] + node _T_17088 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17089 = eq(_T_17088, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:186] + node _T_17090 = or(_T_17089, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17091 = and(_T_17087, _T_17090) @[ifu_bp_ctl.scala 443:110] + node _T_17092 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17093 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17094 = eq(_T_17093, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:74] + node _T_17095 = and(_T_17092, _T_17094) @[ifu_bp_ctl.scala 444:22] + node _T_17096 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17097 = eq(_T_17096, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:163] + node _T_17098 = or(_T_17097, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17099 = and(_T_17095, _T_17098) @[ifu_bp_ctl.scala 444:87] + node _T_17100 = or(_T_17091, _T_17099) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][5][12] <= _T_17100 @[ifu_bp_ctl.scala 443:27] + node _T_17101 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17102 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17103 = eq(_T_17102, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:97] + node _T_17104 = and(_T_17101, _T_17103) @[ifu_bp_ctl.scala 443:45] + node _T_17105 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17106 = eq(_T_17105, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:186] + node _T_17107 = or(_T_17106, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17108 = and(_T_17104, _T_17107) @[ifu_bp_ctl.scala 443:110] + node _T_17109 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17110 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17111 = eq(_T_17110, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:74] + node _T_17112 = and(_T_17109, _T_17111) @[ifu_bp_ctl.scala 444:22] + node _T_17113 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17114 = eq(_T_17113, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:163] + node _T_17115 = or(_T_17114, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17116 = and(_T_17112, _T_17115) @[ifu_bp_ctl.scala 444:87] + node _T_17117 = or(_T_17108, _T_17116) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][5][13] <= _T_17117 @[ifu_bp_ctl.scala 443:27] + node _T_17118 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17119 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17120 = eq(_T_17119, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:97] + node _T_17121 = and(_T_17118, _T_17120) @[ifu_bp_ctl.scala 443:45] + node _T_17122 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17123 = eq(_T_17122, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:186] + node _T_17124 = or(_T_17123, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17125 = and(_T_17121, _T_17124) @[ifu_bp_ctl.scala 443:110] + node _T_17126 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17127 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17128 = eq(_T_17127, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:74] + node _T_17129 = and(_T_17126, _T_17128) @[ifu_bp_ctl.scala 444:22] + node _T_17130 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17131 = eq(_T_17130, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:163] + node _T_17132 = or(_T_17131, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17133 = and(_T_17129, _T_17132) @[ifu_bp_ctl.scala 444:87] + node _T_17134 = or(_T_17125, _T_17133) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][5][14] <= _T_17134 @[ifu_bp_ctl.scala 443:27] + node _T_17135 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17136 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17137 = eq(_T_17136, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:97] + node _T_17138 = and(_T_17135, _T_17137) @[ifu_bp_ctl.scala 443:45] + node _T_17139 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17140 = eq(_T_17139, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:186] + node _T_17141 = or(_T_17140, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17142 = and(_T_17138, _T_17141) @[ifu_bp_ctl.scala 443:110] + node _T_17143 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17144 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17145 = eq(_T_17144, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:74] + node _T_17146 = and(_T_17143, _T_17145) @[ifu_bp_ctl.scala 444:22] + node _T_17147 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17148 = eq(_T_17147, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:163] + node _T_17149 = or(_T_17148, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17150 = and(_T_17146, _T_17149) @[ifu_bp_ctl.scala 444:87] + node _T_17151 = or(_T_17142, _T_17150) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][5][15] <= _T_17151 @[ifu_bp_ctl.scala 443:27] + node _T_17152 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17153 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17154 = eq(_T_17153, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:97] + node _T_17155 = and(_T_17152, _T_17154) @[ifu_bp_ctl.scala 443:45] + node _T_17156 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17157 = eq(_T_17156, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:186] + node _T_17158 = or(_T_17157, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17159 = and(_T_17155, _T_17158) @[ifu_bp_ctl.scala 443:110] + node _T_17160 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17161 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17162 = eq(_T_17161, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:74] + node _T_17163 = and(_T_17160, _T_17162) @[ifu_bp_ctl.scala 444:22] + node _T_17164 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17165 = eq(_T_17164, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:163] + node _T_17166 = or(_T_17165, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17167 = and(_T_17163, _T_17166) @[ifu_bp_ctl.scala 444:87] + node _T_17168 = or(_T_17159, _T_17167) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][6][0] <= _T_17168 @[ifu_bp_ctl.scala 443:27] + node _T_17169 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17170 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17171 = eq(_T_17170, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:97] + node _T_17172 = and(_T_17169, _T_17171) @[ifu_bp_ctl.scala 443:45] + node _T_17173 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17174 = eq(_T_17173, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:186] + node _T_17175 = or(_T_17174, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17176 = and(_T_17172, _T_17175) @[ifu_bp_ctl.scala 443:110] + node _T_17177 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17178 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17179 = eq(_T_17178, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:74] + node _T_17180 = and(_T_17177, _T_17179) @[ifu_bp_ctl.scala 444:22] + node _T_17181 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17182 = eq(_T_17181, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:163] + node _T_17183 = or(_T_17182, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17184 = and(_T_17180, _T_17183) @[ifu_bp_ctl.scala 444:87] + node _T_17185 = or(_T_17176, _T_17184) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][6][1] <= _T_17185 @[ifu_bp_ctl.scala 443:27] + node _T_17186 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17187 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17188 = eq(_T_17187, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:97] + node _T_17189 = and(_T_17186, _T_17188) @[ifu_bp_ctl.scala 443:45] + node _T_17190 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17191 = eq(_T_17190, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:186] + node _T_17192 = or(_T_17191, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17193 = and(_T_17189, _T_17192) @[ifu_bp_ctl.scala 443:110] + node _T_17194 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17195 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17196 = eq(_T_17195, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:74] + node _T_17197 = and(_T_17194, _T_17196) @[ifu_bp_ctl.scala 444:22] + node _T_17198 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17199 = eq(_T_17198, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:163] + node _T_17200 = or(_T_17199, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17201 = and(_T_17197, _T_17200) @[ifu_bp_ctl.scala 444:87] + node _T_17202 = or(_T_17193, _T_17201) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][6][2] <= _T_17202 @[ifu_bp_ctl.scala 443:27] + node _T_17203 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17204 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17205 = eq(_T_17204, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:97] + node _T_17206 = and(_T_17203, _T_17205) @[ifu_bp_ctl.scala 443:45] + node _T_17207 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17208 = eq(_T_17207, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:186] + node _T_17209 = or(_T_17208, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17210 = and(_T_17206, _T_17209) @[ifu_bp_ctl.scala 443:110] + node _T_17211 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17212 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17213 = eq(_T_17212, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:74] + node _T_17214 = and(_T_17211, _T_17213) @[ifu_bp_ctl.scala 444:22] + node _T_17215 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17216 = eq(_T_17215, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:163] + node _T_17217 = or(_T_17216, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17218 = and(_T_17214, _T_17217) @[ifu_bp_ctl.scala 444:87] + node _T_17219 = or(_T_17210, _T_17218) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][6][3] <= _T_17219 @[ifu_bp_ctl.scala 443:27] + node _T_17220 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17221 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17222 = eq(_T_17221, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:97] + node _T_17223 = and(_T_17220, _T_17222) @[ifu_bp_ctl.scala 443:45] + node _T_17224 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17225 = eq(_T_17224, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:186] + node _T_17226 = or(_T_17225, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17227 = and(_T_17223, _T_17226) @[ifu_bp_ctl.scala 443:110] + node _T_17228 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17229 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17230 = eq(_T_17229, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:74] + node _T_17231 = and(_T_17228, _T_17230) @[ifu_bp_ctl.scala 444:22] + node _T_17232 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17233 = eq(_T_17232, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:163] + node _T_17234 = or(_T_17233, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17235 = and(_T_17231, _T_17234) @[ifu_bp_ctl.scala 444:87] + node _T_17236 = or(_T_17227, _T_17235) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][6][4] <= _T_17236 @[ifu_bp_ctl.scala 443:27] + node _T_17237 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17238 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17239 = eq(_T_17238, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:97] + node _T_17240 = and(_T_17237, _T_17239) @[ifu_bp_ctl.scala 443:45] + node _T_17241 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17242 = eq(_T_17241, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:186] + node _T_17243 = or(_T_17242, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17244 = and(_T_17240, _T_17243) @[ifu_bp_ctl.scala 443:110] + node _T_17245 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17246 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17247 = eq(_T_17246, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:74] + node _T_17248 = and(_T_17245, _T_17247) @[ifu_bp_ctl.scala 444:22] + node _T_17249 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17250 = eq(_T_17249, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:163] + node _T_17251 = or(_T_17250, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17252 = and(_T_17248, _T_17251) @[ifu_bp_ctl.scala 444:87] + node _T_17253 = or(_T_17244, _T_17252) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][6][5] <= _T_17253 @[ifu_bp_ctl.scala 443:27] + node _T_17254 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17255 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17256 = eq(_T_17255, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:97] + node _T_17257 = and(_T_17254, _T_17256) @[ifu_bp_ctl.scala 443:45] + node _T_17258 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17259 = eq(_T_17258, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:186] + node _T_17260 = or(_T_17259, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17261 = and(_T_17257, _T_17260) @[ifu_bp_ctl.scala 443:110] + node _T_17262 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17263 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17264 = eq(_T_17263, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:74] + node _T_17265 = and(_T_17262, _T_17264) @[ifu_bp_ctl.scala 444:22] + node _T_17266 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17267 = eq(_T_17266, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:163] + node _T_17268 = or(_T_17267, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17269 = and(_T_17265, _T_17268) @[ifu_bp_ctl.scala 444:87] + node _T_17270 = or(_T_17261, _T_17269) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][6][6] <= _T_17270 @[ifu_bp_ctl.scala 443:27] + node _T_17271 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17272 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17273 = eq(_T_17272, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:97] + node _T_17274 = and(_T_17271, _T_17273) @[ifu_bp_ctl.scala 443:45] + node _T_17275 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17276 = eq(_T_17275, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:186] + node _T_17277 = or(_T_17276, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17278 = and(_T_17274, _T_17277) @[ifu_bp_ctl.scala 443:110] + node _T_17279 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17280 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17281 = eq(_T_17280, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:74] + node _T_17282 = and(_T_17279, _T_17281) @[ifu_bp_ctl.scala 444:22] + node _T_17283 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17284 = eq(_T_17283, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:163] + node _T_17285 = or(_T_17284, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17286 = and(_T_17282, _T_17285) @[ifu_bp_ctl.scala 444:87] + node _T_17287 = or(_T_17278, _T_17286) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][6][7] <= _T_17287 @[ifu_bp_ctl.scala 443:27] + node _T_17288 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17289 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17290 = eq(_T_17289, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:97] + node _T_17291 = and(_T_17288, _T_17290) @[ifu_bp_ctl.scala 443:45] + node _T_17292 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17293 = eq(_T_17292, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:186] + node _T_17294 = or(_T_17293, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17295 = and(_T_17291, _T_17294) @[ifu_bp_ctl.scala 443:110] + node _T_17296 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17297 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17298 = eq(_T_17297, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:74] + node _T_17299 = and(_T_17296, _T_17298) @[ifu_bp_ctl.scala 444:22] + node _T_17300 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17301 = eq(_T_17300, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:163] + node _T_17302 = or(_T_17301, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17303 = and(_T_17299, _T_17302) @[ifu_bp_ctl.scala 444:87] + node _T_17304 = or(_T_17295, _T_17303) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][6][8] <= _T_17304 @[ifu_bp_ctl.scala 443:27] + node _T_17305 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17306 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17307 = eq(_T_17306, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:97] + node _T_17308 = and(_T_17305, _T_17307) @[ifu_bp_ctl.scala 443:45] + node _T_17309 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17310 = eq(_T_17309, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:186] + node _T_17311 = or(_T_17310, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17312 = and(_T_17308, _T_17311) @[ifu_bp_ctl.scala 443:110] + node _T_17313 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17314 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17315 = eq(_T_17314, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:74] + node _T_17316 = and(_T_17313, _T_17315) @[ifu_bp_ctl.scala 444:22] + node _T_17317 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17318 = eq(_T_17317, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:163] + node _T_17319 = or(_T_17318, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17320 = and(_T_17316, _T_17319) @[ifu_bp_ctl.scala 444:87] + node _T_17321 = or(_T_17312, _T_17320) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][6][9] <= _T_17321 @[ifu_bp_ctl.scala 443:27] + node _T_17322 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17323 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17324 = eq(_T_17323, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:97] + node _T_17325 = and(_T_17322, _T_17324) @[ifu_bp_ctl.scala 443:45] + node _T_17326 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17327 = eq(_T_17326, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:186] + node _T_17328 = or(_T_17327, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17329 = and(_T_17325, _T_17328) @[ifu_bp_ctl.scala 443:110] + node _T_17330 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17331 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17332 = eq(_T_17331, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:74] + node _T_17333 = and(_T_17330, _T_17332) @[ifu_bp_ctl.scala 444:22] + node _T_17334 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17335 = eq(_T_17334, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:163] + node _T_17336 = or(_T_17335, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17337 = and(_T_17333, _T_17336) @[ifu_bp_ctl.scala 444:87] + node _T_17338 = or(_T_17329, _T_17337) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][6][10] <= _T_17338 @[ifu_bp_ctl.scala 443:27] + node _T_17339 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17340 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17341 = eq(_T_17340, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:97] + node _T_17342 = and(_T_17339, _T_17341) @[ifu_bp_ctl.scala 443:45] + node _T_17343 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17344 = eq(_T_17343, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:186] + node _T_17345 = or(_T_17344, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17346 = and(_T_17342, _T_17345) @[ifu_bp_ctl.scala 443:110] + node _T_17347 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17348 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17349 = eq(_T_17348, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:74] + node _T_17350 = and(_T_17347, _T_17349) @[ifu_bp_ctl.scala 444:22] + node _T_17351 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17352 = eq(_T_17351, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:163] + node _T_17353 = or(_T_17352, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17354 = and(_T_17350, _T_17353) @[ifu_bp_ctl.scala 444:87] + node _T_17355 = or(_T_17346, _T_17354) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][6][11] <= _T_17355 @[ifu_bp_ctl.scala 443:27] + node _T_17356 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17357 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17358 = eq(_T_17357, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:97] + node _T_17359 = and(_T_17356, _T_17358) @[ifu_bp_ctl.scala 443:45] + node _T_17360 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17361 = eq(_T_17360, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:186] + node _T_17362 = or(_T_17361, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17363 = and(_T_17359, _T_17362) @[ifu_bp_ctl.scala 443:110] + node _T_17364 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17365 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17366 = eq(_T_17365, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:74] + node _T_17367 = and(_T_17364, _T_17366) @[ifu_bp_ctl.scala 444:22] + node _T_17368 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17369 = eq(_T_17368, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:163] + node _T_17370 = or(_T_17369, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17371 = and(_T_17367, _T_17370) @[ifu_bp_ctl.scala 444:87] + node _T_17372 = or(_T_17363, _T_17371) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][6][12] <= _T_17372 @[ifu_bp_ctl.scala 443:27] + node _T_17373 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17374 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17375 = eq(_T_17374, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:97] + node _T_17376 = and(_T_17373, _T_17375) @[ifu_bp_ctl.scala 443:45] + node _T_17377 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17378 = eq(_T_17377, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:186] + node _T_17379 = or(_T_17378, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17380 = and(_T_17376, _T_17379) @[ifu_bp_ctl.scala 443:110] + node _T_17381 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17382 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17383 = eq(_T_17382, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:74] + node _T_17384 = and(_T_17381, _T_17383) @[ifu_bp_ctl.scala 444:22] + node _T_17385 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17386 = eq(_T_17385, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:163] + node _T_17387 = or(_T_17386, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17388 = and(_T_17384, _T_17387) @[ifu_bp_ctl.scala 444:87] + node _T_17389 = or(_T_17380, _T_17388) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][6][13] <= _T_17389 @[ifu_bp_ctl.scala 443:27] + node _T_17390 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17391 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17392 = eq(_T_17391, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:97] + node _T_17393 = and(_T_17390, _T_17392) @[ifu_bp_ctl.scala 443:45] + node _T_17394 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17395 = eq(_T_17394, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:186] + node _T_17396 = or(_T_17395, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17397 = and(_T_17393, _T_17396) @[ifu_bp_ctl.scala 443:110] + node _T_17398 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17399 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17400 = eq(_T_17399, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:74] + node _T_17401 = and(_T_17398, _T_17400) @[ifu_bp_ctl.scala 444:22] + node _T_17402 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17403 = eq(_T_17402, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:163] + node _T_17404 = or(_T_17403, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17405 = and(_T_17401, _T_17404) @[ifu_bp_ctl.scala 444:87] + node _T_17406 = or(_T_17397, _T_17405) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][6][14] <= _T_17406 @[ifu_bp_ctl.scala 443:27] + node _T_17407 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17408 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17409 = eq(_T_17408, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:97] + node _T_17410 = and(_T_17407, _T_17409) @[ifu_bp_ctl.scala 443:45] + node _T_17411 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17412 = eq(_T_17411, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:186] + node _T_17413 = or(_T_17412, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17414 = and(_T_17410, _T_17413) @[ifu_bp_ctl.scala 443:110] + node _T_17415 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17416 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17417 = eq(_T_17416, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:74] + node _T_17418 = and(_T_17415, _T_17417) @[ifu_bp_ctl.scala 444:22] + node _T_17419 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17420 = eq(_T_17419, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:163] + node _T_17421 = or(_T_17420, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17422 = and(_T_17418, _T_17421) @[ifu_bp_ctl.scala 444:87] + node _T_17423 = or(_T_17414, _T_17422) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][6][15] <= _T_17423 @[ifu_bp_ctl.scala 443:27] + node _T_17424 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17425 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17426 = eq(_T_17425, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:97] + node _T_17427 = and(_T_17424, _T_17426) @[ifu_bp_ctl.scala 443:45] + node _T_17428 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17429 = eq(_T_17428, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:186] + node _T_17430 = or(_T_17429, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17431 = and(_T_17427, _T_17430) @[ifu_bp_ctl.scala 443:110] + node _T_17432 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17433 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17434 = eq(_T_17433, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:74] + node _T_17435 = and(_T_17432, _T_17434) @[ifu_bp_ctl.scala 444:22] + node _T_17436 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17437 = eq(_T_17436, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:163] + node _T_17438 = or(_T_17437, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17439 = and(_T_17435, _T_17438) @[ifu_bp_ctl.scala 444:87] + node _T_17440 = or(_T_17431, _T_17439) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][7][0] <= _T_17440 @[ifu_bp_ctl.scala 443:27] + node _T_17441 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17442 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17443 = eq(_T_17442, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:97] + node _T_17444 = and(_T_17441, _T_17443) @[ifu_bp_ctl.scala 443:45] + node _T_17445 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17446 = eq(_T_17445, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:186] + node _T_17447 = or(_T_17446, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17448 = and(_T_17444, _T_17447) @[ifu_bp_ctl.scala 443:110] + node _T_17449 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17450 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17451 = eq(_T_17450, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:74] + node _T_17452 = and(_T_17449, _T_17451) @[ifu_bp_ctl.scala 444:22] + node _T_17453 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17454 = eq(_T_17453, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:163] + node _T_17455 = or(_T_17454, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17456 = and(_T_17452, _T_17455) @[ifu_bp_ctl.scala 444:87] + node _T_17457 = or(_T_17448, _T_17456) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][7][1] <= _T_17457 @[ifu_bp_ctl.scala 443:27] + node _T_17458 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17459 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17460 = eq(_T_17459, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:97] + node _T_17461 = and(_T_17458, _T_17460) @[ifu_bp_ctl.scala 443:45] + node _T_17462 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17463 = eq(_T_17462, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:186] + node _T_17464 = or(_T_17463, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17465 = and(_T_17461, _T_17464) @[ifu_bp_ctl.scala 443:110] + node _T_17466 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17467 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17468 = eq(_T_17467, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:74] + node _T_17469 = and(_T_17466, _T_17468) @[ifu_bp_ctl.scala 444:22] + node _T_17470 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17471 = eq(_T_17470, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:163] + node _T_17472 = or(_T_17471, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17473 = and(_T_17469, _T_17472) @[ifu_bp_ctl.scala 444:87] + node _T_17474 = or(_T_17465, _T_17473) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][7][2] <= _T_17474 @[ifu_bp_ctl.scala 443:27] + node _T_17475 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17476 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17477 = eq(_T_17476, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:97] + node _T_17478 = and(_T_17475, _T_17477) @[ifu_bp_ctl.scala 443:45] + node _T_17479 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17480 = eq(_T_17479, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:186] + node _T_17481 = or(_T_17480, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17482 = and(_T_17478, _T_17481) @[ifu_bp_ctl.scala 443:110] + node _T_17483 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17484 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17485 = eq(_T_17484, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:74] + node _T_17486 = and(_T_17483, _T_17485) @[ifu_bp_ctl.scala 444:22] + node _T_17487 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17488 = eq(_T_17487, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:163] + node _T_17489 = or(_T_17488, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17490 = and(_T_17486, _T_17489) @[ifu_bp_ctl.scala 444:87] + node _T_17491 = or(_T_17482, _T_17490) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][7][3] <= _T_17491 @[ifu_bp_ctl.scala 443:27] + node _T_17492 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17493 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17494 = eq(_T_17493, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:97] + node _T_17495 = and(_T_17492, _T_17494) @[ifu_bp_ctl.scala 443:45] + node _T_17496 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17497 = eq(_T_17496, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:186] + node _T_17498 = or(_T_17497, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17499 = and(_T_17495, _T_17498) @[ifu_bp_ctl.scala 443:110] + node _T_17500 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17501 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17502 = eq(_T_17501, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:74] + node _T_17503 = and(_T_17500, _T_17502) @[ifu_bp_ctl.scala 444:22] + node _T_17504 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17505 = eq(_T_17504, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:163] + node _T_17506 = or(_T_17505, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17507 = and(_T_17503, _T_17506) @[ifu_bp_ctl.scala 444:87] + node _T_17508 = or(_T_17499, _T_17507) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][7][4] <= _T_17508 @[ifu_bp_ctl.scala 443:27] + node _T_17509 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17510 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17511 = eq(_T_17510, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:97] + node _T_17512 = and(_T_17509, _T_17511) @[ifu_bp_ctl.scala 443:45] + node _T_17513 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17514 = eq(_T_17513, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:186] + node _T_17515 = or(_T_17514, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17516 = and(_T_17512, _T_17515) @[ifu_bp_ctl.scala 443:110] + node _T_17517 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17518 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17519 = eq(_T_17518, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:74] + node _T_17520 = and(_T_17517, _T_17519) @[ifu_bp_ctl.scala 444:22] + node _T_17521 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17522 = eq(_T_17521, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:163] + node _T_17523 = or(_T_17522, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17524 = and(_T_17520, _T_17523) @[ifu_bp_ctl.scala 444:87] + node _T_17525 = or(_T_17516, _T_17524) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][7][5] <= _T_17525 @[ifu_bp_ctl.scala 443:27] + node _T_17526 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17527 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17528 = eq(_T_17527, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:97] + node _T_17529 = and(_T_17526, _T_17528) @[ifu_bp_ctl.scala 443:45] + node _T_17530 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17531 = eq(_T_17530, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:186] + node _T_17532 = or(_T_17531, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17533 = and(_T_17529, _T_17532) @[ifu_bp_ctl.scala 443:110] + node _T_17534 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17535 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17536 = eq(_T_17535, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:74] + node _T_17537 = and(_T_17534, _T_17536) @[ifu_bp_ctl.scala 444:22] + node _T_17538 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17539 = eq(_T_17538, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:163] + node _T_17540 = or(_T_17539, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17541 = and(_T_17537, _T_17540) @[ifu_bp_ctl.scala 444:87] + node _T_17542 = or(_T_17533, _T_17541) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][7][6] <= _T_17542 @[ifu_bp_ctl.scala 443:27] + node _T_17543 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17544 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17545 = eq(_T_17544, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:97] + node _T_17546 = and(_T_17543, _T_17545) @[ifu_bp_ctl.scala 443:45] + node _T_17547 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17548 = eq(_T_17547, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:186] + node _T_17549 = or(_T_17548, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17550 = and(_T_17546, _T_17549) @[ifu_bp_ctl.scala 443:110] + node _T_17551 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17552 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17553 = eq(_T_17552, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:74] + node _T_17554 = and(_T_17551, _T_17553) @[ifu_bp_ctl.scala 444:22] + node _T_17555 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17556 = eq(_T_17555, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:163] + node _T_17557 = or(_T_17556, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17558 = and(_T_17554, _T_17557) @[ifu_bp_ctl.scala 444:87] + node _T_17559 = or(_T_17550, _T_17558) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][7][7] <= _T_17559 @[ifu_bp_ctl.scala 443:27] + node _T_17560 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17561 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17562 = eq(_T_17561, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:97] + node _T_17563 = and(_T_17560, _T_17562) @[ifu_bp_ctl.scala 443:45] + node _T_17564 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17565 = eq(_T_17564, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:186] + node _T_17566 = or(_T_17565, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17567 = and(_T_17563, _T_17566) @[ifu_bp_ctl.scala 443:110] + node _T_17568 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17569 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17570 = eq(_T_17569, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:74] + node _T_17571 = and(_T_17568, _T_17570) @[ifu_bp_ctl.scala 444:22] + node _T_17572 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17573 = eq(_T_17572, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:163] + node _T_17574 = or(_T_17573, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17575 = and(_T_17571, _T_17574) @[ifu_bp_ctl.scala 444:87] + node _T_17576 = or(_T_17567, _T_17575) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][7][8] <= _T_17576 @[ifu_bp_ctl.scala 443:27] + node _T_17577 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17578 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17579 = eq(_T_17578, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:97] + node _T_17580 = and(_T_17577, _T_17579) @[ifu_bp_ctl.scala 443:45] + node _T_17581 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17582 = eq(_T_17581, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:186] + node _T_17583 = or(_T_17582, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17584 = and(_T_17580, _T_17583) @[ifu_bp_ctl.scala 443:110] + node _T_17585 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17586 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17587 = eq(_T_17586, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:74] + node _T_17588 = and(_T_17585, _T_17587) @[ifu_bp_ctl.scala 444:22] + node _T_17589 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17590 = eq(_T_17589, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:163] + node _T_17591 = or(_T_17590, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17592 = and(_T_17588, _T_17591) @[ifu_bp_ctl.scala 444:87] + node _T_17593 = or(_T_17584, _T_17592) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][7][9] <= _T_17593 @[ifu_bp_ctl.scala 443:27] + node _T_17594 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17595 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17596 = eq(_T_17595, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:97] + node _T_17597 = and(_T_17594, _T_17596) @[ifu_bp_ctl.scala 443:45] + node _T_17598 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17599 = eq(_T_17598, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:186] + node _T_17600 = or(_T_17599, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17601 = and(_T_17597, _T_17600) @[ifu_bp_ctl.scala 443:110] + node _T_17602 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17603 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17604 = eq(_T_17603, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:74] + node _T_17605 = and(_T_17602, _T_17604) @[ifu_bp_ctl.scala 444:22] + node _T_17606 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17607 = eq(_T_17606, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:163] + node _T_17608 = or(_T_17607, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17609 = and(_T_17605, _T_17608) @[ifu_bp_ctl.scala 444:87] + node _T_17610 = or(_T_17601, _T_17609) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][7][10] <= _T_17610 @[ifu_bp_ctl.scala 443:27] + node _T_17611 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17612 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17613 = eq(_T_17612, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:97] + node _T_17614 = and(_T_17611, _T_17613) @[ifu_bp_ctl.scala 443:45] + node _T_17615 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17616 = eq(_T_17615, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:186] + node _T_17617 = or(_T_17616, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17618 = and(_T_17614, _T_17617) @[ifu_bp_ctl.scala 443:110] + node _T_17619 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17620 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17621 = eq(_T_17620, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:74] + node _T_17622 = and(_T_17619, _T_17621) @[ifu_bp_ctl.scala 444:22] + node _T_17623 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17624 = eq(_T_17623, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:163] + node _T_17625 = or(_T_17624, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17626 = and(_T_17622, _T_17625) @[ifu_bp_ctl.scala 444:87] + node _T_17627 = or(_T_17618, _T_17626) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][7][11] <= _T_17627 @[ifu_bp_ctl.scala 443:27] + node _T_17628 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17629 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17630 = eq(_T_17629, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:97] + node _T_17631 = and(_T_17628, _T_17630) @[ifu_bp_ctl.scala 443:45] + node _T_17632 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17633 = eq(_T_17632, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:186] + node _T_17634 = or(_T_17633, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17635 = and(_T_17631, _T_17634) @[ifu_bp_ctl.scala 443:110] + node _T_17636 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17637 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17638 = eq(_T_17637, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:74] + node _T_17639 = and(_T_17636, _T_17638) @[ifu_bp_ctl.scala 444:22] + node _T_17640 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17641 = eq(_T_17640, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:163] + node _T_17642 = or(_T_17641, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17643 = and(_T_17639, _T_17642) @[ifu_bp_ctl.scala 444:87] + node _T_17644 = or(_T_17635, _T_17643) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][7][12] <= _T_17644 @[ifu_bp_ctl.scala 443:27] + node _T_17645 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17646 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17647 = eq(_T_17646, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:97] + node _T_17648 = and(_T_17645, _T_17647) @[ifu_bp_ctl.scala 443:45] + node _T_17649 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17650 = eq(_T_17649, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:186] + node _T_17651 = or(_T_17650, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17652 = and(_T_17648, _T_17651) @[ifu_bp_ctl.scala 443:110] + node _T_17653 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17654 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17655 = eq(_T_17654, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:74] + node _T_17656 = and(_T_17653, _T_17655) @[ifu_bp_ctl.scala 444:22] + node _T_17657 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17658 = eq(_T_17657, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:163] + node _T_17659 = or(_T_17658, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17660 = and(_T_17656, _T_17659) @[ifu_bp_ctl.scala 444:87] + node _T_17661 = or(_T_17652, _T_17660) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][7][13] <= _T_17661 @[ifu_bp_ctl.scala 443:27] + node _T_17662 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17663 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17664 = eq(_T_17663, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:97] + node _T_17665 = and(_T_17662, _T_17664) @[ifu_bp_ctl.scala 443:45] + node _T_17666 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17667 = eq(_T_17666, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:186] + node _T_17668 = or(_T_17667, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17669 = and(_T_17665, _T_17668) @[ifu_bp_ctl.scala 443:110] + node _T_17670 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17671 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17672 = eq(_T_17671, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:74] + node _T_17673 = and(_T_17670, _T_17672) @[ifu_bp_ctl.scala 444:22] + node _T_17674 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17675 = eq(_T_17674, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:163] + node _T_17676 = or(_T_17675, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17677 = and(_T_17673, _T_17676) @[ifu_bp_ctl.scala 444:87] + node _T_17678 = or(_T_17669, _T_17677) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][7][14] <= _T_17678 @[ifu_bp_ctl.scala 443:27] + node _T_17679 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17680 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17681 = eq(_T_17680, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:97] + node _T_17682 = and(_T_17679, _T_17681) @[ifu_bp_ctl.scala 443:45] + node _T_17683 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17684 = eq(_T_17683, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:186] + node _T_17685 = or(_T_17684, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17686 = and(_T_17682, _T_17685) @[ifu_bp_ctl.scala 443:110] + node _T_17687 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17688 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17689 = eq(_T_17688, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:74] + node _T_17690 = and(_T_17687, _T_17689) @[ifu_bp_ctl.scala 444:22] + node _T_17691 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17692 = eq(_T_17691, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:163] + node _T_17693 = or(_T_17692, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17694 = and(_T_17690, _T_17693) @[ifu_bp_ctl.scala 444:87] + node _T_17695 = or(_T_17686, _T_17694) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][7][15] <= _T_17695 @[ifu_bp_ctl.scala 443:27] + node _T_17696 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17697 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17698 = eq(_T_17697, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:97] + node _T_17699 = and(_T_17696, _T_17698) @[ifu_bp_ctl.scala 443:45] + node _T_17700 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17701 = eq(_T_17700, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:186] + node _T_17702 = or(_T_17701, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17703 = and(_T_17699, _T_17702) @[ifu_bp_ctl.scala 443:110] + node _T_17704 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17705 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17706 = eq(_T_17705, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:74] + node _T_17707 = and(_T_17704, _T_17706) @[ifu_bp_ctl.scala 444:22] + node _T_17708 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17709 = eq(_T_17708, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:163] + node _T_17710 = or(_T_17709, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17711 = and(_T_17707, _T_17710) @[ifu_bp_ctl.scala 444:87] + node _T_17712 = or(_T_17703, _T_17711) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][8][0] <= _T_17712 @[ifu_bp_ctl.scala 443:27] + node _T_17713 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17714 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17715 = eq(_T_17714, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:97] + node _T_17716 = and(_T_17713, _T_17715) @[ifu_bp_ctl.scala 443:45] + node _T_17717 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17718 = eq(_T_17717, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:186] + node _T_17719 = or(_T_17718, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17720 = and(_T_17716, _T_17719) @[ifu_bp_ctl.scala 443:110] + node _T_17721 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17722 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17723 = eq(_T_17722, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:74] + node _T_17724 = and(_T_17721, _T_17723) @[ifu_bp_ctl.scala 444:22] + node _T_17725 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17726 = eq(_T_17725, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:163] + node _T_17727 = or(_T_17726, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17728 = and(_T_17724, _T_17727) @[ifu_bp_ctl.scala 444:87] + node _T_17729 = or(_T_17720, _T_17728) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][8][1] <= _T_17729 @[ifu_bp_ctl.scala 443:27] + node _T_17730 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17731 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17732 = eq(_T_17731, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:97] + node _T_17733 = and(_T_17730, _T_17732) @[ifu_bp_ctl.scala 443:45] + node _T_17734 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17735 = eq(_T_17734, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:186] + node _T_17736 = or(_T_17735, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17737 = and(_T_17733, _T_17736) @[ifu_bp_ctl.scala 443:110] + node _T_17738 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17739 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17740 = eq(_T_17739, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:74] + node _T_17741 = and(_T_17738, _T_17740) @[ifu_bp_ctl.scala 444:22] + node _T_17742 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17743 = eq(_T_17742, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:163] + node _T_17744 = or(_T_17743, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17745 = and(_T_17741, _T_17744) @[ifu_bp_ctl.scala 444:87] + node _T_17746 = or(_T_17737, _T_17745) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][8][2] <= _T_17746 @[ifu_bp_ctl.scala 443:27] + node _T_17747 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17748 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17749 = eq(_T_17748, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:97] + node _T_17750 = and(_T_17747, _T_17749) @[ifu_bp_ctl.scala 443:45] + node _T_17751 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17752 = eq(_T_17751, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:186] + node _T_17753 = or(_T_17752, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17754 = and(_T_17750, _T_17753) @[ifu_bp_ctl.scala 443:110] + node _T_17755 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17756 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17757 = eq(_T_17756, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:74] + node _T_17758 = and(_T_17755, _T_17757) @[ifu_bp_ctl.scala 444:22] + node _T_17759 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17760 = eq(_T_17759, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:163] + node _T_17761 = or(_T_17760, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17762 = and(_T_17758, _T_17761) @[ifu_bp_ctl.scala 444:87] + node _T_17763 = or(_T_17754, _T_17762) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][8][3] <= _T_17763 @[ifu_bp_ctl.scala 443:27] + node _T_17764 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17765 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17766 = eq(_T_17765, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:97] + node _T_17767 = and(_T_17764, _T_17766) @[ifu_bp_ctl.scala 443:45] + node _T_17768 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17769 = eq(_T_17768, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:186] + node _T_17770 = or(_T_17769, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17771 = and(_T_17767, _T_17770) @[ifu_bp_ctl.scala 443:110] + node _T_17772 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17773 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17774 = eq(_T_17773, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:74] + node _T_17775 = and(_T_17772, _T_17774) @[ifu_bp_ctl.scala 444:22] + node _T_17776 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17777 = eq(_T_17776, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:163] + node _T_17778 = or(_T_17777, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17779 = and(_T_17775, _T_17778) @[ifu_bp_ctl.scala 444:87] + node _T_17780 = or(_T_17771, _T_17779) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][8][4] <= _T_17780 @[ifu_bp_ctl.scala 443:27] + node _T_17781 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17782 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17783 = eq(_T_17782, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:97] + node _T_17784 = and(_T_17781, _T_17783) @[ifu_bp_ctl.scala 443:45] + node _T_17785 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17786 = eq(_T_17785, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:186] + node _T_17787 = or(_T_17786, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17788 = and(_T_17784, _T_17787) @[ifu_bp_ctl.scala 443:110] + node _T_17789 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17790 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17791 = eq(_T_17790, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:74] + node _T_17792 = and(_T_17789, _T_17791) @[ifu_bp_ctl.scala 444:22] + node _T_17793 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17794 = eq(_T_17793, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:163] + node _T_17795 = or(_T_17794, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17796 = and(_T_17792, _T_17795) @[ifu_bp_ctl.scala 444:87] + node _T_17797 = or(_T_17788, _T_17796) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][8][5] <= _T_17797 @[ifu_bp_ctl.scala 443:27] + node _T_17798 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17799 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17800 = eq(_T_17799, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:97] + node _T_17801 = and(_T_17798, _T_17800) @[ifu_bp_ctl.scala 443:45] + node _T_17802 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17803 = eq(_T_17802, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:186] + node _T_17804 = or(_T_17803, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17805 = and(_T_17801, _T_17804) @[ifu_bp_ctl.scala 443:110] + node _T_17806 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17807 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17808 = eq(_T_17807, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:74] + node _T_17809 = and(_T_17806, _T_17808) @[ifu_bp_ctl.scala 444:22] + node _T_17810 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17811 = eq(_T_17810, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:163] + node _T_17812 = or(_T_17811, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17813 = and(_T_17809, _T_17812) @[ifu_bp_ctl.scala 444:87] + node _T_17814 = or(_T_17805, _T_17813) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][8][6] <= _T_17814 @[ifu_bp_ctl.scala 443:27] + node _T_17815 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17816 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17817 = eq(_T_17816, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:97] + node _T_17818 = and(_T_17815, _T_17817) @[ifu_bp_ctl.scala 443:45] + node _T_17819 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17820 = eq(_T_17819, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:186] + node _T_17821 = or(_T_17820, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17822 = and(_T_17818, _T_17821) @[ifu_bp_ctl.scala 443:110] + node _T_17823 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17824 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17825 = eq(_T_17824, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:74] + node _T_17826 = and(_T_17823, _T_17825) @[ifu_bp_ctl.scala 444:22] + node _T_17827 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17828 = eq(_T_17827, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:163] + node _T_17829 = or(_T_17828, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17830 = and(_T_17826, _T_17829) @[ifu_bp_ctl.scala 444:87] + node _T_17831 = or(_T_17822, _T_17830) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][8][7] <= _T_17831 @[ifu_bp_ctl.scala 443:27] + node _T_17832 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17833 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17834 = eq(_T_17833, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:97] + node _T_17835 = and(_T_17832, _T_17834) @[ifu_bp_ctl.scala 443:45] + node _T_17836 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17837 = eq(_T_17836, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:186] + node _T_17838 = or(_T_17837, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17839 = and(_T_17835, _T_17838) @[ifu_bp_ctl.scala 443:110] + node _T_17840 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17841 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17842 = eq(_T_17841, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:74] + node _T_17843 = and(_T_17840, _T_17842) @[ifu_bp_ctl.scala 444:22] + node _T_17844 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17845 = eq(_T_17844, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:163] + node _T_17846 = or(_T_17845, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17847 = and(_T_17843, _T_17846) @[ifu_bp_ctl.scala 444:87] + node _T_17848 = or(_T_17839, _T_17847) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][8][8] <= _T_17848 @[ifu_bp_ctl.scala 443:27] + node _T_17849 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17850 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17851 = eq(_T_17850, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:97] + node _T_17852 = and(_T_17849, _T_17851) @[ifu_bp_ctl.scala 443:45] + node _T_17853 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17854 = eq(_T_17853, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:186] + node _T_17855 = or(_T_17854, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17856 = and(_T_17852, _T_17855) @[ifu_bp_ctl.scala 443:110] + node _T_17857 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17858 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17859 = eq(_T_17858, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:74] + node _T_17860 = and(_T_17857, _T_17859) @[ifu_bp_ctl.scala 444:22] + node _T_17861 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17862 = eq(_T_17861, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:163] + node _T_17863 = or(_T_17862, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17864 = and(_T_17860, _T_17863) @[ifu_bp_ctl.scala 444:87] + node _T_17865 = or(_T_17856, _T_17864) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][8][9] <= _T_17865 @[ifu_bp_ctl.scala 443:27] + node _T_17866 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17867 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17868 = eq(_T_17867, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:97] + node _T_17869 = and(_T_17866, _T_17868) @[ifu_bp_ctl.scala 443:45] + node _T_17870 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17871 = eq(_T_17870, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:186] + node _T_17872 = or(_T_17871, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17873 = and(_T_17869, _T_17872) @[ifu_bp_ctl.scala 443:110] + node _T_17874 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17875 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17876 = eq(_T_17875, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:74] + node _T_17877 = and(_T_17874, _T_17876) @[ifu_bp_ctl.scala 444:22] + node _T_17878 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17879 = eq(_T_17878, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:163] + node _T_17880 = or(_T_17879, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17881 = and(_T_17877, _T_17880) @[ifu_bp_ctl.scala 444:87] + node _T_17882 = or(_T_17873, _T_17881) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][8][10] <= _T_17882 @[ifu_bp_ctl.scala 443:27] + node _T_17883 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17884 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17885 = eq(_T_17884, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:97] + node _T_17886 = and(_T_17883, _T_17885) @[ifu_bp_ctl.scala 443:45] + node _T_17887 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17888 = eq(_T_17887, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:186] + node _T_17889 = or(_T_17888, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17890 = and(_T_17886, _T_17889) @[ifu_bp_ctl.scala 443:110] + node _T_17891 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17892 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17893 = eq(_T_17892, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:74] + node _T_17894 = and(_T_17891, _T_17893) @[ifu_bp_ctl.scala 444:22] + node _T_17895 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17896 = eq(_T_17895, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:163] + node _T_17897 = or(_T_17896, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17898 = and(_T_17894, _T_17897) @[ifu_bp_ctl.scala 444:87] + node _T_17899 = or(_T_17890, _T_17898) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][8][11] <= _T_17899 @[ifu_bp_ctl.scala 443:27] + node _T_17900 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17901 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17902 = eq(_T_17901, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:97] + node _T_17903 = and(_T_17900, _T_17902) @[ifu_bp_ctl.scala 443:45] + node _T_17904 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17905 = eq(_T_17904, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:186] + node _T_17906 = or(_T_17905, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17907 = and(_T_17903, _T_17906) @[ifu_bp_ctl.scala 443:110] + node _T_17908 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17909 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17910 = eq(_T_17909, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:74] + node _T_17911 = and(_T_17908, _T_17910) @[ifu_bp_ctl.scala 444:22] + node _T_17912 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17913 = eq(_T_17912, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:163] + node _T_17914 = or(_T_17913, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17915 = and(_T_17911, _T_17914) @[ifu_bp_ctl.scala 444:87] + node _T_17916 = or(_T_17907, _T_17915) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][8][12] <= _T_17916 @[ifu_bp_ctl.scala 443:27] + node _T_17917 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17918 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17919 = eq(_T_17918, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:97] + node _T_17920 = and(_T_17917, _T_17919) @[ifu_bp_ctl.scala 443:45] + node _T_17921 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17922 = eq(_T_17921, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:186] + node _T_17923 = or(_T_17922, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17924 = and(_T_17920, _T_17923) @[ifu_bp_ctl.scala 443:110] + node _T_17925 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17926 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17927 = eq(_T_17926, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:74] + node _T_17928 = and(_T_17925, _T_17927) @[ifu_bp_ctl.scala 444:22] + node _T_17929 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17930 = eq(_T_17929, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:163] + node _T_17931 = or(_T_17930, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17932 = and(_T_17928, _T_17931) @[ifu_bp_ctl.scala 444:87] + node _T_17933 = or(_T_17924, _T_17932) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][8][13] <= _T_17933 @[ifu_bp_ctl.scala 443:27] + node _T_17934 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17935 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17936 = eq(_T_17935, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:97] + node _T_17937 = and(_T_17934, _T_17936) @[ifu_bp_ctl.scala 443:45] + node _T_17938 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17939 = eq(_T_17938, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:186] + node _T_17940 = or(_T_17939, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17941 = and(_T_17937, _T_17940) @[ifu_bp_ctl.scala 443:110] + node _T_17942 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17943 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17944 = eq(_T_17943, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:74] + node _T_17945 = and(_T_17942, _T_17944) @[ifu_bp_ctl.scala 444:22] + node _T_17946 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17947 = eq(_T_17946, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:163] + node _T_17948 = or(_T_17947, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17949 = and(_T_17945, _T_17948) @[ifu_bp_ctl.scala 444:87] + node _T_17950 = or(_T_17941, _T_17949) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][8][14] <= _T_17950 @[ifu_bp_ctl.scala 443:27] + node _T_17951 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17952 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17953 = eq(_T_17952, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:97] + node _T_17954 = and(_T_17951, _T_17953) @[ifu_bp_ctl.scala 443:45] + node _T_17955 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17956 = eq(_T_17955, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:186] + node _T_17957 = or(_T_17956, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17958 = and(_T_17954, _T_17957) @[ifu_bp_ctl.scala 443:110] + node _T_17959 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17960 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17961 = eq(_T_17960, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:74] + node _T_17962 = and(_T_17959, _T_17961) @[ifu_bp_ctl.scala 444:22] + node _T_17963 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17964 = eq(_T_17963, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:163] + node _T_17965 = or(_T_17964, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17966 = and(_T_17962, _T_17965) @[ifu_bp_ctl.scala 444:87] + node _T_17967 = or(_T_17958, _T_17966) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][8][15] <= _T_17967 @[ifu_bp_ctl.scala 443:27] + node _T_17968 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17969 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17970 = eq(_T_17969, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:97] + node _T_17971 = and(_T_17968, _T_17970) @[ifu_bp_ctl.scala 443:45] + node _T_17972 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17973 = eq(_T_17972, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:186] + node _T_17974 = or(_T_17973, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17975 = and(_T_17971, _T_17974) @[ifu_bp_ctl.scala 443:110] + node _T_17976 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17977 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17978 = eq(_T_17977, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:74] + node _T_17979 = and(_T_17976, _T_17978) @[ifu_bp_ctl.scala 444:22] + node _T_17980 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17981 = eq(_T_17980, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:163] + node _T_17982 = or(_T_17981, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_17983 = and(_T_17979, _T_17982) @[ifu_bp_ctl.scala 444:87] + node _T_17984 = or(_T_17975, _T_17983) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][9][0] <= _T_17984 @[ifu_bp_ctl.scala 443:27] + node _T_17985 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_17986 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_17987 = eq(_T_17986, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:97] + node _T_17988 = and(_T_17985, _T_17987) @[ifu_bp_ctl.scala 443:45] + node _T_17989 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_17990 = eq(_T_17989, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:186] + node _T_17991 = or(_T_17990, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_17992 = and(_T_17988, _T_17991) @[ifu_bp_ctl.scala 443:110] + node _T_17993 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_17994 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_17995 = eq(_T_17994, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:74] + node _T_17996 = and(_T_17993, _T_17995) @[ifu_bp_ctl.scala 444:22] + node _T_17997 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_17998 = eq(_T_17997, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:163] + node _T_17999 = or(_T_17998, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18000 = and(_T_17996, _T_17999) @[ifu_bp_ctl.scala 444:87] + node _T_18001 = or(_T_17992, _T_18000) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][9][1] <= _T_18001 @[ifu_bp_ctl.scala 443:27] + node _T_18002 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18003 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18004 = eq(_T_18003, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:97] + node _T_18005 = and(_T_18002, _T_18004) @[ifu_bp_ctl.scala 443:45] + node _T_18006 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18007 = eq(_T_18006, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:186] + node _T_18008 = or(_T_18007, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18009 = and(_T_18005, _T_18008) @[ifu_bp_ctl.scala 443:110] + node _T_18010 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18011 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18012 = eq(_T_18011, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:74] + node _T_18013 = and(_T_18010, _T_18012) @[ifu_bp_ctl.scala 444:22] + node _T_18014 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18015 = eq(_T_18014, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:163] + node _T_18016 = or(_T_18015, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18017 = and(_T_18013, _T_18016) @[ifu_bp_ctl.scala 444:87] + node _T_18018 = or(_T_18009, _T_18017) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][9][2] <= _T_18018 @[ifu_bp_ctl.scala 443:27] + node _T_18019 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18020 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18021 = eq(_T_18020, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:97] + node _T_18022 = and(_T_18019, _T_18021) @[ifu_bp_ctl.scala 443:45] + node _T_18023 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18024 = eq(_T_18023, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:186] + node _T_18025 = or(_T_18024, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18026 = and(_T_18022, _T_18025) @[ifu_bp_ctl.scala 443:110] + node _T_18027 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18028 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18029 = eq(_T_18028, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:74] + node _T_18030 = and(_T_18027, _T_18029) @[ifu_bp_ctl.scala 444:22] + node _T_18031 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18032 = eq(_T_18031, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:163] + node _T_18033 = or(_T_18032, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18034 = and(_T_18030, _T_18033) @[ifu_bp_ctl.scala 444:87] + node _T_18035 = or(_T_18026, _T_18034) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][9][3] <= _T_18035 @[ifu_bp_ctl.scala 443:27] + node _T_18036 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18037 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18038 = eq(_T_18037, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:97] + node _T_18039 = and(_T_18036, _T_18038) @[ifu_bp_ctl.scala 443:45] + node _T_18040 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18041 = eq(_T_18040, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:186] + node _T_18042 = or(_T_18041, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18043 = and(_T_18039, _T_18042) @[ifu_bp_ctl.scala 443:110] + node _T_18044 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18045 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18046 = eq(_T_18045, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:74] + node _T_18047 = and(_T_18044, _T_18046) @[ifu_bp_ctl.scala 444:22] + node _T_18048 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18049 = eq(_T_18048, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:163] + node _T_18050 = or(_T_18049, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18051 = and(_T_18047, _T_18050) @[ifu_bp_ctl.scala 444:87] + node _T_18052 = or(_T_18043, _T_18051) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][9][4] <= _T_18052 @[ifu_bp_ctl.scala 443:27] + node _T_18053 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18054 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18055 = eq(_T_18054, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:97] + node _T_18056 = and(_T_18053, _T_18055) @[ifu_bp_ctl.scala 443:45] + node _T_18057 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18058 = eq(_T_18057, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:186] + node _T_18059 = or(_T_18058, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18060 = and(_T_18056, _T_18059) @[ifu_bp_ctl.scala 443:110] + node _T_18061 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18062 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18063 = eq(_T_18062, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:74] + node _T_18064 = and(_T_18061, _T_18063) @[ifu_bp_ctl.scala 444:22] + node _T_18065 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18066 = eq(_T_18065, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:163] + node _T_18067 = or(_T_18066, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18068 = and(_T_18064, _T_18067) @[ifu_bp_ctl.scala 444:87] + node _T_18069 = or(_T_18060, _T_18068) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][9][5] <= _T_18069 @[ifu_bp_ctl.scala 443:27] + node _T_18070 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18071 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18072 = eq(_T_18071, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:97] + node _T_18073 = and(_T_18070, _T_18072) @[ifu_bp_ctl.scala 443:45] + node _T_18074 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18075 = eq(_T_18074, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:186] + node _T_18076 = or(_T_18075, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18077 = and(_T_18073, _T_18076) @[ifu_bp_ctl.scala 443:110] + node _T_18078 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18079 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18080 = eq(_T_18079, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:74] + node _T_18081 = and(_T_18078, _T_18080) @[ifu_bp_ctl.scala 444:22] + node _T_18082 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18083 = eq(_T_18082, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:163] + node _T_18084 = or(_T_18083, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18085 = and(_T_18081, _T_18084) @[ifu_bp_ctl.scala 444:87] + node _T_18086 = or(_T_18077, _T_18085) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][9][6] <= _T_18086 @[ifu_bp_ctl.scala 443:27] + node _T_18087 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18088 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18089 = eq(_T_18088, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:97] + node _T_18090 = and(_T_18087, _T_18089) @[ifu_bp_ctl.scala 443:45] + node _T_18091 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18092 = eq(_T_18091, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:186] + node _T_18093 = or(_T_18092, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18094 = and(_T_18090, _T_18093) @[ifu_bp_ctl.scala 443:110] + node _T_18095 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18096 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18097 = eq(_T_18096, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:74] + node _T_18098 = and(_T_18095, _T_18097) @[ifu_bp_ctl.scala 444:22] + node _T_18099 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18100 = eq(_T_18099, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:163] + node _T_18101 = or(_T_18100, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18102 = and(_T_18098, _T_18101) @[ifu_bp_ctl.scala 444:87] + node _T_18103 = or(_T_18094, _T_18102) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][9][7] <= _T_18103 @[ifu_bp_ctl.scala 443:27] + node _T_18104 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18105 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18106 = eq(_T_18105, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:97] + node _T_18107 = and(_T_18104, _T_18106) @[ifu_bp_ctl.scala 443:45] + node _T_18108 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18109 = eq(_T_18108, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:186] + node _T_18110 = or(_T_18109, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18111 = and(_T_18107, _T_18110) @[ifu_bp_ctl.scala 443:110] + node _T_18112 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18113 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18114 = eq(_T_18113, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:74] + node _T_18115 = and(_T_18112, _T_18114) @[ifu_bp_ctl.scala 444:22] + node _T_18116 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18117 = eq(_T_18116, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:163] + node _T_18118 = or(_T_18117, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18119 = and(_T_18115, _T_18118) @[ifu_bp_ctl.scala 444:87] + node _T_18120 = or(_T_18111, _T_18119) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][9][8] <= _T_18120 @[ifu_bp_ctl.scala 443:27] + node _T_18121 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18122 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18123 = eq(_T_18122, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:97] + node _T_18124 = and(_T_18121, _T_18123) @[ifu_bp_ctl.scala 443:45] + node _T_18125 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18126 = eq(_T_18125, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:186] + node _T_18127 = or(_T_18126, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18128 = and(_T_18124, _T_18127) @[ifu_bp_ctl.scala 443:110] + node _T_18129 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18130 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18131 = eq(_T_18130, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:74] + node _T_18132 = and(_T_18129, _T_18131) @[ifu_bp_ctl.scala 444:22] + node _T_18133 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18134 = eq(_T_18133, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:163] + node _T_18135 = or(_T_18134, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18136 = and(_T_18132, _T_18135) @[ifu_bp_ctl.scala 444:87] + node _T_18137 = or(_T_18128, _T_18136) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][9][9] <= _T_18137 @[ifu_bp_ctl.scala 443:27] + node _T_18138 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18139 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18140 = eq(_T_18139, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:97] + node _T_18141 = and(_T_18138, _T_18140) @[ifu_bp_ctl.scala 443:45] + node _T_18142 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18143 = eq(_T_18142, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:186] + node _T_18144 = or(_T_18143, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18145 = and(_T_18141, _T_18144) @[ifu_bp_ctl.scala 443:110] + node _T_18146 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18147 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18148 = eq(_T_18147, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:74] + node _T_18149 = and(_T_18146, _T_18148) @[ifu_bp_ctl.scala 444:22] + node _T_18150 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18151 = eq(_T_18150, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:163] + node _T_18152 = or(_T_18151, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18153 = and(_T_18149, _T_18152) @[ifu_bp_ctl.scala 444:87] + node _T_18154 = or(_T_18145, _T_18153) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][9][10] <= _T_18154 @[ifu_bp_ctl.scala 443:27] + node _T_18155 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18156 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18157 = eq(_T_18156, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:97] + node _T_18158 = and(_T_18155, _T_18157) @[ifu_bp_ctl.scala 443:45] + node _T_18159 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18160 = eq(_T_18159, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:186] + node _T_18161 = or(_T_18160, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18162 = and(_T_18158, _T_18161) @[ifu_bp_ctl.scala 443:110] + node _T_18163 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18164 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18165 = eq(_T_18164, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:74] + node _T_18166 = and(_T_18163, _T_18165) @[ifu_bp_ctl.scala 444:22] + node _T_18167 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18168 = eq(_T_18167, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:163] + node _T_18169 = or(_T_18168, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18170 = and(_T_18166, _T_18169) @[ifu_bp_ctl.scala 444:87] + node _T_18171 = or(_T_18162, _T_18170) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][9][11] <= _T_18171 @[ifu_bp_ctl.scala 443:27] + node _T_18172 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18173 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18174 = eq(_T_18173, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:97] + node _T_18175 = and(_T_18172, _T_18174) @[ifu_bp_ctl.scala 443:45] + node _T_18176 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18177 = eq(_T_18176, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:186] + node _T_18178 = or(_T_18177, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18179 = and(_T_18175, _T_18178) @[ifu_bp_ctl.scala 443:110] + node _T_18180 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18181 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18182 = eq(_T_18181, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:74] + node _T_18183 = and(_T_18180, _T_18182) @[ifu_bp_ctl.scala 444:22] + node _T_18184 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18185 = eq(_T_18184, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:163] + node _T_18186 = or(_T_18185, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18187 = and(_T_18183, _T_18186) @[ifu_bp_ctl.scala 444:87] + node _T_18188 = or(_T_18179, _T_18187) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][9][12] <= _T_18188 @[ifu_bp_ctl.scala 443:27] + node _T_18189 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18190 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18191 = eq(_T_18190, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:97] + node _T_18192 = and(_T_18189, _T_18191) @[ifu_bp_ctl.scala 443:45] + node _T_18193 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18194 = eq(_T_18193, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:186] + node _T_18195 = or(_T_18194, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18196 = and(_T_18192, _T_18195) @[ifu_bp_ctl.scala 443:110] + node _T_18197 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18198 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18199 = eq(_T_18198, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:74] + node _T_18200 = and(_T_18197, _T_18199) @[ifu_bp_ctl.scala 444:22] + node _T_18201 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18202 = eq(_T_18201, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:163] + node _T_18203 = or(_T_18202, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18204 = and(_T_18200, _T_18203) @[ifu_bp_ctl.scala 444:87] + node _T_18205 = or(_T_18196, _T_18204) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][9][13] <= _T_18205 @[ifu_bp_ctl.scala 443:27] + node _T_18206 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18207 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18208 = eq(_T_18207, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:97] + node _T_18209 = and(_T_18206, _T_18208) @[ifu_bp_ctl.scala 443:45] + node _T_18210 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18211 = eq(_T_18210, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:186] + node _T_18212 = or(_T_18211, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18213 = and(_T_18209, _T_18212) @[ifu_bp_ctl.scala 443:110] + node _T_18214 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18215 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18216 = eq(_T_18215, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:74] + node _T_18217 = and(_T_18214, _T_18216) @[ifu_bp_ctl.scala 444:22] + node _T_18218 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18219 = eq(_T_18218, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:163] + node _T_18220 = or(_T_18219, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18221 = and(_T_18217, _T_18220) @[ifu_bp_ctl.scala 444:87] + node _T_18222 = or(_T_18213, _T_18221) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][9][14] <= _T_18222 @[ifu_bp_ctl.scala 443:27] + node _T_18223 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18224 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18225 = eq(_T_18224, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:97] + node _T_18226 = and(_T_18223, _T_18225) @[ifu_bp_ctl.scala 443:45] + node _T_18227 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18228 = eq(_T_18227, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:186] + node _T_18229 = or(_T_18228, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18230 = and(_T_18226, _T_18229) @[ifu_bp_ctl.scala 443:110] + node _T_18231 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18232 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18233 = eq(_T_18232, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:74] + node _T_18234 = and(_T_18231, _T_18233) @[ifu_bp_ctl.scala 444:22] + node _T_18235 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18236 = eq(_T_18235, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:163] + node _T_18237 = or(_T_18236, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18238 = and(_T_18234, _T_18237) @[ifu_bp_ctl.scala 444:87] + node _T_18239 = or(_T_18230, _T_18238) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][9][15] <= _T_18239 @[ifu_bp_ctl.scala 443:27] + node _T_18240 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18241 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18242 = eq(_T_18241, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:97] + node _T_18243 = and(_T_18240, _T_18242) @[ifu_bp_ctl.scala 443:45] + node _T_18244 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18245 = eq(_T_18244, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:186] + node _T_18246 = or(_T_18245, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18247 = and(_T_18243, _T_18246) @[ifu_bp_ctl.scala 443:110] + node _T_18248 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18249 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18250 = eq(_T_18249, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:74] + node _T_18251 = and(_T_18248, _T_18250) @[ifu_bp_ctl.scala 444:22] + node _T_18252 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18253 = eq(_T_18252, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:163] + node _T_18254 = or(_T_18253, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18255 = and(_T_18251, _T_18254) @[ifu_bp_ctl.scala 444:87] + node _T_18256 = or(_T_18247, _T_18255) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][10][0] <= _T_18256 @[ifu_bp_ctl.scala 443:27] + node _T_18257 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18258 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18259 = eq(_T_18258, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:97] + node _T_18260 = and(_T_18257, _T_18259) @[ifu_bp_ctl.scala 443:45] + node _T_18261 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18262 = eq(_T_18261, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:186] + node _T_18263 = or(_T_18262, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18264 = and(_T_18260, _T_18263) @[ifu_bp_ctl.scala 443:110] + node _T_18265 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18266 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18267 = eq(_T_18266, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:74] + node _T_18268 = and(_T_18265, _T_18267) @[ifu_bp_ctl.scala 444:22] + node _T_18269 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18270 = eq(_T_18269, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:163] + node _T_18271 = or(_T_18270, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18272 = and(_T_18268, _T_18271) @[ifu_bp_ctl.scala 444:87] + node _T_18273 = or(_T_18264, _T_18272) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][10][1] <= _T_18273 @[ifu_bp_ctl.scala 443:27] + node _T_18274 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18275 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18276 = eq(_T_18275, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:97] + node _T_18277 = and(_T_18274, _T_18276) @[ifu_bp_ctl.scala 443:45] + node _T_18278 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18279 = eq(_T_18278, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:186] + node _T_18280 = or(_T_18279, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18281 = and(_T_18277, _T_18280) @[ifu_bp_ctl.scala 443:110] + node _T_18282 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18283 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18284 = eq(_T_18283, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:74] + node _T_18285 = and(_T_18282, _T_18284) @[ifu_bp_ctl.scala 444:22] + node _T_18286 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18287 = eq(_T_18286, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:163] + node _T_18288 = or(_T_18287, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18289 = and(_T_18285, _T_18288) @[ifu_bp_ctl.scala 444:87] + node _T_18290 = or(_T_18281, _T_18289) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][10][2] <= _T_18290 @[ifu_bp_ctl.scala 443:27] + node _T_18291 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18292 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18293 = eq(_T_18292, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:97] + node _T_18294 = and(_T_18291, _T_18293) @[ifu_bp_ctl.scala 443:45] + node _T_18295 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18296 = eq(_T_18295, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:186] + node _T_18297 = or(_T_18296, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18298 = and(_T_18294, _T_18297) @[ifu_bp_ctl.scala 443:110] + node _T_18299 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18300 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18301 = eq(_T_18300, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:74] + node _T_18302 = and(_T_18299, _T_18301) @[ifu_bp_ctl.scala 444:22] + node _T_18303 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18304 = eq(_T_18303, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:163] + node _T_18305 = or(_T_18304, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18306 = and(_T_18302, _T_18305) @[ifu_bp_ctl.scala 444:87] + node _T_18307 = or(_T_18298, _T_18306) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][10][3] <= _T_18307 @[ifu_bp_ctl.scala 443:27] + node _T_18308 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18309 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18310 = eq(_T_18309, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:97] + node _T_18311 = and(_T_18308, _T_18310) @[ifu_bp_ctl.scala 443:45] + node _T_18312 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18313 = eq(_T_18312, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:186] + node _T_18314 = or(_T_18313, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18315 = and(_T_18311, _T_18314) @[ifu_bp_ctl.scala 443:110] + node _T_18316 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18317 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18318 = eq(_T_18317, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:74] + node _T_18319 = and(_T_18316, _T_18318) @[ifu_bp_ctl.scala 444:22] + node _T_18320 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18321 = eq(_T_18320, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:163] + node _T_18322 = or(_T_18321, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18323 = and(_T_18319, _T_18322) @[ifu_bp_ctl.scala 444:87] + node _T_18324 = or(_T_18315, _T_18323) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][10][4] <= _T_18324 @[ifu_bp_ctl.scala 443:27] + node _T_18325 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18326 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18327 = eq(_T_18326, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:97] + node _T_18328 = and(_T_18325, _T_18327) @[ifu_bp_ctl.scala 443:45] + node _T_18329 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18330 = eq(_T_18329, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:186] + node _T_18331 = or(_T_18330, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18332 = and(_T_18328, _T_18331) @[ifu_bp_ctl.scala 443:110] + node _T_18333 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18334 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18335 = eq(_T_18334, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:74] + node _T_18336 = and(_T_18333, _T_18335) @[ifu_bp_ctl.scala 444:22] + node _T_18337 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18338 = eq(_T_18337, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:163] + node _T_18339 = or(_T_18338, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18340 = and(_T_18336, _T_18339) @[ifu_bp_ctl.scala 444:87] + node _T_18341 = or(_T_18332, _T_18340) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][10][5] <= _T_18341 @[ifu_bp_ctl.scala 443:27] + node _T_18342 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18343 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18344 = eq(_T_18343, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:97] + node _T_18345 = and(_T_18342, _T_18344) @[ifu_bp_ctl.scala 443:45] + node _T_18346 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18347 = eq(_T_18346, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:186] + node _T_18348 = or(_T_18347, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18349 = and(_T_18345, _T_18348) @[ifu_bp_ctl.scala 443:110] + node _T_18350 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18351 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18352 = eq(_T_18351, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:74] + node _T_18353 = and(_T_18350, _T_18352) @[ifu_bp_ctl.scala 444:22] + node _T_18354 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18355 = eq(_T_18354, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:163] + node _T_18356 = or(_T_18355, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18357 = and(_T_18353, _T_18356) @[ifu_bp_ctl.scala 444:87] + node _T_18358 = or(_T_18349, _T_18357) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][10][6] <= _T_18358 @[ifu_bp_ctl.scala 443:27] + node _T_18359 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18360 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18361 = eq(_T_18360, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:97] + node _T_18362 = and(_T_18359, _T_18361) @[ifu_bp_ctl.scala 443:45] + node _T_18363 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18364 = eq(_T_18363, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:186] + node _T_18365 = or(_T_18364, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18366 = and(_T_18362, _T_18365) @[ifu_bp_ctl.scala 443:110] + node _T_18367 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18368 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18369 = eq(_T_18368, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:74] + node _T_18370 = and(_T_18367, _T_18369) @[ifu_bp_ctl.scala 444:22] + node _T_18371 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18372 = eq(_T_18371, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:163] + node _T_18373 = or(_T_18372, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18374 = and(_T_18370, _T_18373) @[ifu_bp_ctl.scala 444:87] + node _T_18375 = or(_T_18366, _T_18374) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][10][7] <= _T_18375 @[ifu_bp_ctl.scala 443:27] + node _T_18376 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18377 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18378 = eq(_T_18377, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:97] + node _T_18379 = and(_T_18376, _T_18378) @[ifu_bp_ctl.scala 443:45] + node _T_18380 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18381 = eq(_T_18380, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:186] + node _T_18382 = or(_T_18381, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18383 = and(_T_18379, _T_18382) @[ifu_bp_ctl.scala 443:110] + node _T_18384 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18385 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18386 = eq(_T_18385, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:74] + node _T_18387 = and(_T_18384, _T_18386) @[ifu_bp_ctl.scala 444:22] + node _T_18388 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18389 = eq(_T_18388, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:163] + node _T_18390 = or(_T_18389, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18391 = and(_T_18387, _T_18390) @[ifu_bp_ctl.scala 444:87] + node _T_18392 = or(_T_18383, _T_18391) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][10][8] <= _T_18392 @[ifu_bp_ctl.scala 443:27] + node _T_18393 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18394 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18395 = eq(_T_18394, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:97] + node _T_18396 = and(_T_18393, _T_18395) @[ifu_bp_ctl.scala 443:45] + node _T_18397 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18398 = eq(_T_18397, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:186] + node _T_18399 = or(_T_18398, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18400 = and(_T_18396, _T_18399) @[ifu_bp_ctl.scala 443:110] + node _T_18401 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18402 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18403 = eq(_T_18402, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:74] + node _T_18404 = and(_T_18401, _T_18403) @[ifu_bp_ctl.scala 444:22] + node _T_18405 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18406 = eq(_T_18405, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:163] + node _T_18407 = or(_T_18406, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18408 = and(_T_18404, _T_18407) @[ifu_bp_ctl.scala 444:87] + node _T_18409 = or(_T_18400, _T_18408) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][10][9] <= _T_18409 @[ifu_bp_ctl.scala 443:27] + node _T_18410 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18411 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18412 = eq(_T_18411, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:97] + node _T_18413 = and(_T_18410, _T_18412) @[ifu_bp_ctl.scala 443:45] + node _T_18414 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18415 = eq(_T_18414, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:186] + node _T_18416 = or(_T_18415, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18417 = and(_T_18413, _T_18416) @[ifu_bp_ctl.scala 443:110] + node _T_18418 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18419 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18420 = eq(_T_18419, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:74] + node _T_18421 = and(_T_18418, _T_18420) @[ifu_bp_ctl.scala 444:22] + node _T_18422 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18423 = eq(_T_18422, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:163] + node _T_18424 = or(_T_18423, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18425 = and(_T_18421, _T_18424) @[ifu_bp_ctl.scala 444:87] + node _T_18426 = or(_T_18417, _T_18425) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][10][10] <= _T_18426 @[ifu_bp_ctl.scala 443:27] + node _T_18427 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18428 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18429 = eq(_T_18428, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:97] + node _T_18430 = and(_T_18427, _T_18429) @[ifu_bp_ctl.scala 443:45] + node _T_18431 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18432 = eq(_T_18431, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:186] + node _T_18433 = or(_T_18432, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18434 = and(_T_18430, _T_18433) @[ifu_bp_ctl.scala 443:110] + node _T_18435 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18436 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18437 = eq(_T_18436, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:74] + node _T_18438 = and(_T_18435, _T_18437) @[ifu_bp_ctl.scala 444:22] + node _T_18439 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18440 = eq(_T_18439, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:163] + node _T_18441 = or(_T_18440, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18442 = and(_T_18438, _T_18441) @[ifu_bp_ctl.scala 444:87] + node _T_18443 = or(_T_18434, _T_18442) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][10][11] <= _T_18443 @[ifu_bp_ctl.scala 443:27] + node _T_18444 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18445 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18446 = eq(_T_18445, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:97] + node _T_18447 = and(_T_18444, _T_18446) @[ifu_bp_ctl.scala 443:45] + node _T_18448 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18449 = eq(_T_18448, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:186] + node _T_18450 = or(_T_18449, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18451 = and(_T_18447, _T_18450) @[ifu_bp_ctl.scala 443:110] + node _T_18452 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18453 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18454 = eq(_T_18453, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:74] + node _T_18455 = and(_T_18452, _T_18454) @[ifu_bp_ctl.scala 444:22] + node _T_18456 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18457 = eq(_T_18456, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:163] + node _T_18458 = or(_T_18457, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18459 = and(_T_18455, _T_18458) @[ifu_bp_ctl.scala 444:87] + node _T_18460 = or(_T_18451, _T_18459) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][10][12] <= _T_18460 @[ifu_bp_ctl.scala 443:27] + node _T_18461 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18462 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18463 = eq(_T_18462, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:97] + node _T_18464 = and(_T_18461, _T_18463) @[ifu_bp_ctl.scala 443:45] + node _T_18465 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18466 = eq(_T_18465, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:186] + node _T_18467 = or(_T_18466, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18468 = and(_T_18464, _T_18467) @[ifu_bp_ctl.scala 443:110] + node _T_18469 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18470 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18471 = eq(_T_18470, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:74] + node _T_18472 = and(_T_18469, _T_18471) @[ifu_bp_ctl.scala 444:22] + node _T_18473 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18474 = eq(_T_18473, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:163] + node _T_18475 = or(_T_18474, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18476 = and(_T_18472, _T_18475) @[ifu_bp_ctl.scala 444:87] + node _T_18477 = or(_T_18468, _T_18476) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][10][13] <= _T_18477 @[ifu_bp_ctl.scala 443:27] + node _T_18478 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18479 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18480 = eq(_T_18479, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:97] + node _T_18481 = and(_T_18478, _T_18480) @[ifu_bp_ctl.scala 443:45] + node _T_18482 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18483 = eq(_T_18482, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:186] + node _T_18484 = or(_T_18483, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18485 = and(_T_18481, _T_18484) @[ifu_bp_ctl.scala 443:110] + node _T_18486 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18487 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18488 = eq(_T_18487, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:74] + node _T_18489 = and(_T_18486, _T_18488) @[ifu_bp_ctl.scala 444:22] + node _T_18490 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18491 = eq(_T_18490, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:163] + node _T_18492 = or(_T_18491, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18493 = and(_T_18489, _T_18492) @[ifu_bp_ctl.scala 444:87] + node _T_18494 = or(_T_18485, _T_18493) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][10][14] <= _T_18494 @[ifu_bp_ctl.scala 443:27] + node _T_18495 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18496 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18497 = eq(_T_18496, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:97] + node _T_18498 = and(_T_18495, _T_18497) @[ifu_bp_ctl.scala 443:45] + node _T_18499 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18500 = eq(_T_18499, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:186] + node _T_18501 = or(_T_18500, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18502 = and(_T_18498, _T_18501) @[ifu_bp_ctl.scala 443:110] + node _T_18503 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18504 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18505 = eq(_T_18504, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:74] + node _T_18506 = and(_T_18503, _T_18505) @[ifu_bp_ctl.scala 444:22] + node _T_18507 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18508 = eq(_T_18507, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:163] + node _T_18509 = or(_T_18508, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18510 = and(_T_18506, _T_18509) @[ifu_bp_ctl.scala 444:87] + node _T_18511 = or(_T_18502, _T_18510) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][10][15] <= _T_18511 @[ifu_bp_ctl.scala 443:27] + node _T_18512 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18513 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18514 = eq(_T_18513, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:97] + node _T_18515 = and(_T_18512, _T_18514) @[ifu_bp_ctl.scala 443:45] + node _T_18516 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18517 = eq(_T_18516, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:186] + node _T_18518 = or(_T_18517, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18519 = and(_T_18515, _T_18518) @[ifu_bp_ctl.scala 443:110] + node _T_18520 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18521 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18522 = eq(_T_18521, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:74] + node _T_18523 = and(_T_18520, _T_18522) @[ifu_bp_ctl.scala 444:22] + node _T_18524 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18525 = eq(_T_18524, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:163] + node _T_18526 = or(_T_18525, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18527 = and(_T_18523, _T_18526) @[ifu_bp_ctl.scala 444:87] + node _T_18528 = or(_T_18519, _T_18527) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][11][0] <= _T_18528 @[ifu_bp_ctl.scala 443:27] + node _T_18529 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18530 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18531 = eq(_T_18530, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:97] + node _T_18532 = and(_T_18529, _T_18531) @[ifu_bp_ctl.scala 443:45] + node _T_18533 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18534 = eq(_T_18533, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:186] + node _T_18535 = or(_T_18534, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18536 = and(_T_18532, _T_18535) @[ifu_bp_ctl.scala 443:110] + node _T_18537 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18538 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18539 = eq(_T_18538, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:74] + node _T_18540 = and(_T_18537, _T_18539) @[ifu_bp_ctl.scala 444:22] + node _T_18541 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18542 = eq(_T_18541, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:163] + node _T_18543 = or(_T_18542, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18544 = and(_T_18540, _T_18543) @[ifu_bp_ctl.scala 444:87] + node _T_18545 = or(_T_18536, _T_18544) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][11][1] <= _T_18545 @[ifu_bp_ctl.scala 443:27] + node _T_18546 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18547 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18548 = eq(_T_18547, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:97] + node _T_18549 = and(_T_18546, _T_18548) @[ifu_bp_ctl.scala 443:45] + node _T_18550 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18551 = eq(_T_18550, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:186] + node _T_18552 = or(_T_18551, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18553 = and(_T_18549, _T_18552) @[ifu_bp_ctl.scala 443:110] + node _T_18554 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18555 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18556 = eq(_T_18555, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:74] + node _T_18557 = and(_T_18554, _T_18556) @[ifu_bp_ctl.scala 444:22] + node _T_18558 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18559 = eq(_T_18558, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:163] + node _T_18560 = or(_T_18559, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18561 = and(_T_18557, _T_18560) @[ifu_bp_ctl.scala 444:87] + node _T_18562 = or(_T_18553, _T_18561) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][11][2] <= _T_18562 @[ifu_bp_ctl.scala 443:27] + node _T_18563 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18564 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18565 = eq(_T_18564, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:97] + node _T_18566 = and(_T_18563, _T_18565) @[ifu_bp_ctl.scala 443:45] + node _T_18567 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18568 = eq(_T_18567, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:186] + node _T_18569 = or(_T_18568, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18570 = and(_T_18566, _T_18569) @[ifu_bp_ctl.scala 443:110] + node _T_18571 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18572 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18573 = eq(_T_18572, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:74] + node _T_18574 = and(_T_18571, _T_18573) @[ifu_bp_ctl.scala 444:22] + node _T_18575 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18576 = eq(_T_18575, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:163] + node _T_18577 = or(_T_18576, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18578 = and(_T_18574, _T_18577) @[ifu_bp_ctl.scala 444:87] + node _T_18579 = or(_T_18570, _T_18578) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][11][3] <= _T_18579 @[ifu_bp_ctl.scala 443:27] + node _T_18580 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18581 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18582 = eq(_T_18581, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:97] + node _T_18583 = and(_T_18580, _T_18582) @[ifu_bp_ctl.scala 443:45] + node _T_18584 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18585 = eq(_T_18584, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:186] + node _T_18586 = or(_T_18585, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18587 = and(_T_18583, _T_18586) @[ifu_bp_ctl.scala 443:110] + node _T_18588 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18589 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18590 = eq(_T_18589, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:74] + node _T_18591 = and(_T_18588, _T_18590) @[ifu_bp_ctl.scala 444:22] + node _T_18592 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18593 = eq(_T_18592, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:163] + node _T_18594 = or(_T_18593, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18595 = and(_T_18591, _T_18594) @[ifu_bp_ctl.scala 444:87] + node _T_18596 = or(_T_18587, _T_18595) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][11][4] <= _T_18596 @[ifu_bp_ctl.scala 443:27] + node _T_18597 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18598 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18599 = eq(_T_18598, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:97] + node _T_18600 = and(_T_18597, _T_18599) @[ifu_bp_ctl.scala 443:45] + node _T_18601 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18602 = eq(_T_18601, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:186] + node _T_18603 = or(_T_18602, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18604 = and(_T_18600, _T_18603) @[ifu_bp_ctl.scala 443:110] + node _T_18605 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18606 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18607 = eq(_T_18606, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:74] + node _T_18608 = and(_T_18605, _T_18607) @[ifu_bp_ctl.scala 444:22] + node _T_18609 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18610 = eq(_T_18609, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:163] + node _T_18611 = or(_T_18610, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18612 = and(_T_18608, _T_18611) @[ifu_bp_ctl.scala 444:87] + node _T_18613 = or(_T_18604, _T_18612) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][11][5] <= _T_18613 @[ifu_bp_ctl.scala 443:27] + node _T_18614 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18615 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18616 = eq(_T_18615, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:97] + node _T_18617 = and(_T_18614, _T_18616) @[ifu_bp_ctl.scala 443:45] + node _T_18618 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18619 = eq(_T_18618, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:186] + node _T_18620 = or(_T_18619, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18621 = and(_T_18617, _T_18620) @[ifu_bp_ctl.scala 443:110] + node _T_18622 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18623 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18624 = eq(_T_18623, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:74] + node _T_18625 = and(_T_18622, _T_18624) @[ifu_bp_ctl.scala 444:22] + node _T_18626 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18627 = eq(_T_18626, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:163] + node _T_18628 = or(_T_18627, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18629 = and(_T_18625, _T_18628) @[ifu_bp_ctl.scala 444:87] + node _T_18630 = or(_T_18621, _T_18629) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][11][6] <= _T_18630 @[ifu_bp_ctl.scala 443:27] + node _T_18631 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18632 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18633 = eq(_T_18632, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:97] + node _T_18634 = and(_T_18631, _T_18633) @[ifu_bp_ctl.scala 443:45] + node _T_18635 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18636 = eq(_T_18635, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:186] + node _T_18637 = or(_T_18636, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18638 = and(_T_18634, _T_18637) @[ifu_bp_ctl.scala 443:110] + node _T_18639 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18640 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18641 = eq(_T_18640, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:74] + node _T_18642 = and(_T_18639, _T_18641) @[ifu_bp_ctl.scala 444:22] + node _T_18643 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18644 = eq(_T_18643, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:163] + node _T_18645 = or(_T_18644, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18646 = and(_T_18642, _T_18645) @[ifu_bp_ctl.scala 444:87] + node _T_18647 = or(_T_18638, _T_18646) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][11][7] <= _T_18647 @[ifu_bp_ctl.scala 443:27] + node _T_18648 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18649 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18650 = eq(_T_18649, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:97] + node _T_18651 = and(_T_18648, _T_18650) @[ifu_bp_ctl.scala 443:45] + node _T_18652 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18653 = eq(_T_18652, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:186] + node _T_18654 = or(_T_18653, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18655 = and(_T_18651, _T_18654) @[ifu_bp_ctl.scala 443:110] + node _T_18656 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18657 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18658 = eq(_T_18657, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:74] + node _T_18659 = and(_T_18656, _T_18658) @[ifu_bp_ctl.scala 444:22] + node _T_18660 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18661 = eq(_T_18660, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:163] + node _T_18662 = or(_T_18661, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18663 = and(_T_18659, _T_18662) @[ifu_bp_ctl.scala 444:87] + node _T_18664 = or(_T_18655, _T_18663) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][11][8] <= _T_18664 @[ifu_bp_ctl.scala 443:27] + node _T_18665 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18666 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18667 = eq(_T_18666, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:97] + node _T_18668 = and(_T_18665, _T_18667) @[ifu_bp_ctl.scala 443:45] + node _T_18669 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18670 = eq(_T_18669, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:186] + node _T_18671 = or(_T_18670, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18672 = and(_T_18668, _T_18671) @[ifu_bp_ctl.scala 443:110] + node _T_18673 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18674 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18675 = eq(_T_18674, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:74] + node _T_18676 = and(_T_18673, _T_18675) @[ifu_bp_ctl.scala 444:22] + node _T_18677 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18678 = eq(_T_18677, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:163] + node _T_18679 = or(_T_18678, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18680 = and(_T_18676, _T_18679) @[ifu_bp_ctl.scala 444:87] + node _T_18681 = or(_T_18672, _T_18680) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][11][9] <= _T_18681 @[ifu_bp_ctl.scala 443:27] + node _T_18682 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18683 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18684 = eq(_T_18683, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:97] + node _T_18685 = and(_T_18682, _T_18684) @[ifu_bp_ctl.scala 443:45] + node _T_18686 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18687 = eq(_T_18686, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:186] + node _T_18688 = or(_T_18687, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18689 = and(_T_18685, _T_18688) @[ifu_bp_ctl.scala 443:110] + node _T_18690 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18691 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18692 = eq(_T_18691, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:74] + node _T_18693 = and(_T_18690, _T_18692) @[ifu_bp_ctl.scala 444:22] + node _T_18694 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18695 = eq(_T_18694, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:163] + node _T_18696 = or(_T_18695, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18697 = and(_T_18693, _T_18696) @[ifu_bp_ctl.scala 444:87] + node _T_18698 = or(_T_18689, _T_18697) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][11][10] <= _T_18698 @[ifu_bp_ctl.scala 443:27] + node _T_18699 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18700 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18701 = eq(_T_18700, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:97] + node _T_18702 = and(_T_18699, _T_18701) @[ifu_bp_ctl.scala 443:45] + node _T_18703 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18704 = eq(_T_18703, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:186] + node _T_18705 = or(_T_18704, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18706 = and(_T_18702, _T_18705) @[ifu_bp_ctl.scala 443:110] + node _T_18707 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18708 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18709 = eq(_T_18708, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:74] + node _T_18710 = and(_T_18707, _T_18709) @[ifu_bp_ctl.scala 444:22] + node _T_18711 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18712 = eq(_T_18711, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:163] + node _T_18713 = or(_T_18712, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18714 = and(_T_18710, _T_18713) @[ifu_bp_ctl.scala 444:87] + node _T_18715 = or(_T_18706, _T_18714) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][11][11] <= _T_18715 @[ifu_bp_ctl.scala 443:27] + node _T_18716 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18717 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18718 = eq(_T_18717, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:97] + node _T_18719 = and(_T_18716, _T_18718) @[ifu_bp_ctl.scala 443:45] + node _T_18720 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18721 = eq(_T_18720, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:186] + node _T_18722 = or(_T_18721, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18723 = and(_T_18719, _T_18722) @[ifu_bp_ctl.scala 443:110] + node _T_18724 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18725 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18726 = eq(_T_18725, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:74] + node _T_18727 = and(_T_18724, _T_18726) @[ifu_bp_ctl.scala 444:22] + node _T_18728 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18729 = eq(_T_18728, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:163] + node _T_18730 = or(_T_18729, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18731 = and(_T_18727, _T_18730) @[ifu_bp_ctl.scala 444:87] + node _T_18732 = or(_T_18723, _T_18731) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][11][12] <= _T_18732 @[ifu_bp_ctl.scala 443:27] + node _T_18733 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18734 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18735 = eq(_T_18734, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:97] + node _T_18736 = and(_T_18733, _T_18735) @[ifu_bp_ctl.scala 443:45] + node _T_18737 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18738 = eq(_T_18737, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:186] + node _T_18739 = or(_T_18738, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18740 = and(_T_18736, _T_18739) @[ifu_bp_ctl.scala 443:110] + node _T_18741 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18742 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18743 = eq(_T_18742, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:74] + node _T_18744 = and(_T_18741, _T_18743) @[ifu_bp_ctl.scala 444:22] + node _T_18745 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18746 = eq(_T_18745, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:163] + node _T_18747 = or(_T_18746, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18748 = and(_T_18744, _T_18747) @[ifu_bp_ctl.scala 444:87] + node _T_18749 = or(_T_18740, _T_18748) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][11][13] <= _T_18749 @[ifu_bp_ctl.scala 443:27] + node _T_18750 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18751 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18752 = eq(_T_18751, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:97] + node _T_18753 = and(_T_18750, _T_18752) @[ifu_bp_ctl.scala 443:45] + node _T_18754 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18755 = eq(_T_18754, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:186] + node _T_18756 = or(_T_18755, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18757 = and(_T_18753, _T_18756) @[ifu_bp_ctl.scala 443:110] + node _T_18758 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18759 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18760 = eq(_T_18759, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:74] + node _T_18761 = and(_T_18758, _T_18760) @[ifu_bp_ctl.scala 444:22] + node _T_18762 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18763 = eq(_T_18762, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:163] + node _T_18764 = or(_T_18763, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18765 = and(_T_18761, _T_18764) @[ifu_bp_ctl.scala 444:87] + node _T_18766 = or(_T_18757, _T_18765) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][11][14] <= _T_18766 @[ifu_bp_ctl.scala 443:27] + node _T_18767 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18768 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18769 = eq(_T_18768, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:97] + node _T_18770 = and(_T_18767, _T_18769) @[ifu_bp_ctl.scala 443:45] + node _T_18771 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18772 = eq(_T_18771, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:186] + node _T_18773 = or(_T_18772, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18774 = and(_T_18770, _T_18773) @[ifu_bp_ctl.scala 443:110] + node _T_18775 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18776 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18777 = eq(_T_18776, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:74] + node _T_18778 = and(_T_18775, _T_18777) @[ifu_bp_ctl.scala 444:22] + node _T_18779 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18780 = eq(_T_18779, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:163] + node _T_18781 = or(_T_18780, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18782 = and(_T_18778, _T_18781) @[ifu_bp_ctl.scala 444:87] + node _T_18783 = or(_T_18774, _T_18782) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][11][15] <= _T_18783 @[ifu_bp_ctl.scala 443:27] + node _T_18784 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18785 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18786 = eq(_T_18785, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:97] + node _T_18787 = and(_T_18784, _T_18786) @[ifu_bp_ctl.scala 443:45] + node _T_18788 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18789 = eq(_T_18788, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:186] + node _T_18790 = or(_T_18789, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18791 = and(_T_18787, _T_18790) @[ifu_bp_ctl.scala 443:110] + node _T_18792 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18793 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18794 = eq(_T_18793, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:74] + node _T_18795 = and(_T_18792, _T_18794) @[ifu_bp_ctl.scala 444:22] + node _T_18796 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18797 = eq(_T_18796, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:163] + node _T_18798 = or(_T_18797, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18799 = and(_T_18795, _T_18798) @[ifu_bp_ctl.scala 444:87] + node _T_18800 = or(_T_18791, _T_18799) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][12][0] <= _T_18800 @[ifu_bp_ctl.scala 443:27] + node _T_18801 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18802 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18803 = eq(_T_18802, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:97] + node _T_18804 = and(_T_18801, _T_18803) @[ifu_bp_ctl.scala 443:45] + node _T_18805 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18806 = eq(_T_18805, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:186] + node _T_18807 = or(_T_18806, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18808 = and(_T_18804, _T_18807) @[ifu_bp_ctl.scala 443:110] + node _T_18809 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18810 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18811 = eq(_T_18810, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:74] + node _T_18812 = and(_T_18809, _T_18811) @[ifu_bp_ctl.scala 444:22] + node _T_18813 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18814 = eq(_T_18813, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:163] + node _T_18815 = or(_T_18814, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18816 = and(_T_18812, _T_18815) @[ifu_bp_ctl.scala 444:87] + node _T_18817 = or(_T_18808, _T_18816) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][12][1] <= _T_18817 @[ifu_bp_ctl.scala 443:27] + node _T_18818 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18819 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18820 = eq(_T_18819, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:97] + node _T_18821 = and(_T_18818, _T_18820) @[ifu_bp_ctl.scala 443:45] + node _T_18822 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18823 = eq(_T_18822, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:186] + node _T_18824 = or(_T_18823, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18825 = and(_T_18821, _T_18824) @[ifu_bp_ctl.scala 443:110] + node _T_18826 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18827 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18828 = eq(_T_18827, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:74] + node _T_18829 = and(_T_18826, _T_18828) @[ifu_bp_ctl.scala 444:22] + node _T_18830 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18831 = eq(_T_18830, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:163] + node _T_18832 = or(_T_18831, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18833 = and(_T_18829, _T_18832) @[ifu_bp_ctl.scala 444:87] + node _T_18834 = or(_T_18825, _T_18833) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][12][2] <= _T_18834 @[ifu_bp_ctl.scala 443:27] + node _T_18835 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18836 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18837 = eq(_T_18836, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:97] + node _T_18838 = and(_T_18835, _T_18837) @[ifu_bp_ctl.scala 443:45] + node _T_18839 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18840 = eq(_T_18839, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:186] + node _T_18841 = or(_T_18840, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18842 = and(_T_18838, _T_18841) @[ifu_bp_ctl.scala 443:110] + node _T_18843 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18844 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18845 = eq(_T_18844, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:74] + node _T_18846 = and(_T_18843, _T_18845) @[ifu_bp_ctl.scala 444:22] + node _T_18847 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18848 = eq(_T_18847, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:163] + node _T_18849 = or(_T_18848, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18850 = and(_T_18846, _T_18849) @[ifu_bp_ctl.scala 444:87] + node _T_18851 = or(_T_18842, _T_18850) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][12][3] <= _T_18851 @[ifu_bp_ctl.scala 443:27] + node _T_18852 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18853 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18854 = eq(_T_18853, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:97] + node _T_18855 = and(_T_18852, _T_18854) @[ifu_bp_ctl.scala 443:45] + node _T_18856 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18857 = eq(_T_18856, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:186] + node _T_18858 = or(_T_18857, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18859 = and(_T_18855, _T_18858) @[ifu_bp_ctl.scala 443:110] + node _T_18860 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18861 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18862 = eq(_T_18861, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:74] + node _T_18863 = and(_T_18860, _T_18862) @[ifu_bp_ctl.scala 444:22] + node _T_18864 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18865 = eq(_T_18864, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:163] + node _T_18866 = or(_T_18865, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18867 = and(_T_18863, _T_18866) @[ifu_bp_ctl.scala 444:87] + node _T_18868 = or(_T_18859, _T_18867) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][12][4] <= _T_18868 @[ifu_bp_ctl.scala 443:27] + node _T_18869 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18870 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18871 = eq(_T_18870, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:97] + node _T_18872 = and(_T_18869, _T_18871) @[ifu_bp_ctl.scala 443:45] + node _T_18873 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18874 = eq(_T_18873, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:186] + node _T_18875 = or(_T_18874, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18876 = and(_T_18872, _T_18875) @[ifu_bp_ctl.scala 443:110] + node _T_18877 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18878 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18879 = eq(_T_18878, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:74] + node _T_18880 = and(_T_18877, _T_18879) @[ifu_bp_ctl.scala 444:22] + node _T_18881 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18882 = eq(_T_18881, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:163] + node _T_18883 = or(_T_18882, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18884 = and(_T_18880, _T_18883) @[ifu_bp_ctl.scala 444:87] + node _T_18885 = or(_T_18876, _T_18884) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][12][5] <= _T_18885 @[ifu_bp_ctl.scala 443:27] + node _T_18886 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18887 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18888 = eq(_T_18887, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:97] + node _T_18889 = and(_T_18886, _T_18888) @[ifu_bp_ctl.scala 443:45] + node _T_18890 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18891 = eq(_T_18890, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:186] + node _T_18892 = or(_T_18891, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18893 = and(_T_18889, _T_18892) @[ifu_bp_ctl.scala 443:110] + node _T_18894 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18895 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18896 = eq(_T_18895, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:74] + node _T_18897 = and(_T_18894, _T_18896) @[ifu_bp_ctl.scala 444:22] + node _T_18898 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18899 = eq(_T_18898, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:163] + node _T_18900 = or(_T_18899, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18901 = and(_T_18897, _T_18900) @[ifu_bp_ctl.scala 444:87] + node _T_18902 = or(_T_18893, _T_18901) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][12][6] <= _T_18902 @[ifu_bp_ctl.scala 443:27] + node _T_18903 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18904 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18905 = eq(_T_18904, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:97] + node _T_18906 = and(_T_18903, _T_18905) @[ifu_bp_ctl.scala 443:45] + node _T_18907 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18908 = eq(_T_18907, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:186] + node _T_18909 = or(_T_18908, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18910 = and(_T_18906, _T_18909) @[ifu_bp_ctl.scala 443:110] + node _T_18911 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18912 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18913 = eq(_T_18912, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:74] + node _T_18914 = and(_T_18911, _T_18913) @[ifu_bp_ctl.scala 444:22] + node _T_18915 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18916 = eq(_T_18915, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:163] + node _T_18917 = or(_T_18916, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18918 = and(_T_18914, _T_18917) @[ifu_bp_ctl.scala 444:87] + node _T_18919 = or(_T_18910, _T_18918) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][12][7] <= _T_18919 @[ifu_bp_ctl.scala 443:27] + node _T_18920 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18921 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18922 = eq(_T_18921, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:97] + node _T_18923 = and(_T_18920, _T_18922) @[ifu_bp_ctl.scala 443:45] + node _T_18924 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18925 = eq(_T_18924, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:186] + node _T_18926 = or(_T_18925, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18927 = and(_T_18923, _T_18926) @[ifu_bp_ctl.scala 443:110] + node _T_18928 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18929 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18930 = eq(_T_18929, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:74] + node _T_18931 = and(_T_18928, _T_18930) @[ifu_bp_ctl.scala 444:22] + node _T_18932 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18933 = eq(_T_18932, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:163] + node _T_18934 = or(_T_18933, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18935 = and(_T_18931, _T_18934) @[ifu_bp_ctl.scala 444:87] + node _T_18936 = or(_T_18927, _T_18935) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][12][8] <= _T_18936 @[ifu_bp_ctl.scala 443:27] + node _T_18937 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18938 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18939 = eq(_T_18938, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:97] + node _T_18940 = and(_T_18937, _T_18939) @[ifu_bp_ctl.scala 443:45] + node _T_18941 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18942 = eq(_T_18941, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:186] + node _T_18943 = or(_T_18942, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18944 = and(_T_18940, _T_18943) @[ifu_bp_ctl.scala 443:110] + node _T_18945 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18946 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18947 = eq(_T_18946, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:74] + node _T_18948 = and(_T_18945, _T_18947) @[ifu_bp_ctl.scala 444:22] + node _T_18949 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18950 = eq(_T_18949, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:163] + node _T_18951 = or(_T_18950, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18952 = and(_T_18948, _T_18951) @[ifu_bp_ctl.scala 444:87] + node _T_18953 = or(_T_18944, _T_18952) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][12][9] <= _T_18953 @[ifu_bp_ctl.scala 443:27] + node _T_18954 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18955 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18956 = eq(_T_18955, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:97] + node _T_18957 = and(_T_18954, _T_18956) @[ifu_bp_ctl.scala 443:45] + node _T_18958 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18959 = eq(_T_18958, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:186] + node _T_18960 = or(_T_18959, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18961 = and(_T_18957, _T_18960) @[ifu_bp_ctl.scala 443:110] + node _T_18962 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18963 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18964 = eq(_T_18963, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:74] + node _T_18965 = and(_T_18962, _T_18964) @[ifu_bp_ctl.scala 444:22] + node _T_18966 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18967 = eq(_T_18966, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:163] + node _T_18968 = or(_T_18967, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18969 = and(_T_18965, _T_18968) @[ifu_bp_ctl.scala 444:87] + node _T_18970 = or(_T_18961, _T_18969) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][12][10] <= _T_18970 @[ifu_bp_ctl.scala 443:27] + node _T_18971 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18972 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18973 = eq(_T_18972, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:97] + node _T_18974 = and(_T_18971, _T_18973) @[ifu_bp_ctl.scala 443:45] + node _T_18975 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18976 = eq(_T_18975, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:186] + node _T_18977 = or(_T_18976, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18978 = and(_T_18974, _T_18977) @[ifu_bp_ctl.scala 443:110] + node _T_18979 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18980 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18981 = eq(_T_18980, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:74] + node _T_18982 = and(_T_18979, _T_18981) @[ifu_bp_ctl.scala 444:22] + node _T_18983 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_18984 = eq(_T_18983, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:163] + node _T_18985 = or(_T_18984, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_18986 = and(_T_18982, _T_18985) @[ifu_bp_ctl.scala 444:87] + node _T_18987 = or(_T_18978, _T_18986) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][12][11] <= _T_18987 @[ifu_bp_ctl.scala 443:27] + node _T_18988 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_18989 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_18990 = eq(_T_18989, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:97] + node _T_18991 = and(_T_18988, _T_18990) @[ifu_bp_ctl.scala 443:45] + node _T_18992 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_18993 = eq(_T_18992, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:186] + node _T_18994 = or(_T_18993, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_18995 = and(_T_18991, _T_18994) @[ifu_bp_ctl.scala 443:110] + node _T_18996 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_18997 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_18998 = eq(_T_18997, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:74] + node _T_18999 = and(_T_18996, _T_18998) @[ifu_bp_ctl.scala 444:22] + node _T_19000 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19001 = eq(_T_19000, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:163] + node _T_19002 = or(_T_19001, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19003 = and(_T_18999, _T_19002) @[ifu_bp_ctl.scala 444:87] + node _T_19004 = or(_T_18995, _T_19003) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][12][12] <= _T_19004 @[ifu_bp_ctl.scala 443:27] + node _T_19005 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19006 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19007 = eq(_T_19006, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:97] + node _T_19008 = and(_T_19005, _T_19007) @[ifu_bp_ctl.scala 443:45] + node _T_19009 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19010 = eq(_T_19009, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:186] + node _T_19011 = or(_T_19010, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19012 = and(_T_19008, _T_19011) @[ifu_bp_ctl.scala 443:110] + node _T_19013 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19014 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19015 = eq(_T_19014, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:74] + node _T_19016 = and(_T_19013, _T_19015) @[ifu_bp_ctl.scala 444:22] + node _T_19017 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19018 = eq(_T_19017, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:163] + node _T_19019 = or(_T_19018, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19020 = and(_T_19016, _T_19019) @[ifu_bp_ctl.scala 444:87] + node _T_19021 = or(_T_19012, _T_19020) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][12][13] <= _T_19021 @[ifu_bp_ctl.scala 443:27] + node _T_19022 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19023 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19024 = eq(_T_19023, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:97] + node _T_19025 = and(_T_19022, _T_19024) @[ifu_bp_ctl.scala 443:45] + node _T_19026 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19027 = eq(_T_19026, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:186] + node _T_19028 = or(_T_19027, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19029 = and(_T_19025, _T_19028) @[ifu_bp_ctl.scala 443:110] + node _T_19030 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19031 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19032 = eq(_T_19031, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:74] + node _T_19033 = and(_T_19030, _T_19032) @[ifu_bp_ctl.scala 444:22] + node _T_19034 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19035 = eq(_T_19034, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:163] + node _T_19036 = or(_T_19035, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19037 = and(_T_19033, _T_19036) @[ifu_bp_ctl.scala 444:87] + node _T_19038 = or(_T_19029, _T_19037) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][12][14] <= _T_19038 @[ifu_bp_ctl.scala 443:27] + node _T_19039 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19040 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19041 = eq(_T_19040, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:97] + node _T_19042 = and(_T_19039, _T_19041) @[ifu_bp_ctl.scala 443:45] + node _T_19043 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19044 = eq(_T_19043, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:186] + node _T_19045 = or(_T_19044, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19046 = and(_T_19042, _T_19045) @[ifu_bp_ctl.scala 443:110] + node _T_19047 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19048 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19049 = eq(_T_19048, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:74] + node _T_19050 = and(_T_19047, _T_19049) @[ifu_bp_ctl.scala 444:22] + node _T_19051 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19052 = eq(_T_19051, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:163] + node _T_19053 = or(_T_19052, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19054 = and(_T_19050, _T_19053) @[ifu_bp_ctl.scala 444:87] + node _T_19055 = or(_T_19046, _T_19054) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][12][15] <= _T_19055 @[ifu_bp_ctl.scala 443:27] + node _T_19056 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19057 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19058 = eq(_T_19057, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:97] + node _T_19059 = and(_T_19056, _T_19058) @[ifu_bp_ctl.scala 443:45] + node _T_19060 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19061 = eq(_T_19060, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:186] + node _T_19062 = or(_T_19061, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19063 = and(_T_19059, _T_19062) @[ifu_bp_ctl.scala 443:110] + node _T_19064 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19065 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19066 = eq(_T_19065, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:74] + node _T_19067 = and(_T_19064, _T_19066) @[ifu_bp_ctl.scala 444:22] + node _T_19068 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19069 = eq(_T_19068, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:163] + node _T_19070 = or(_T_19069, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19071 = and(_T_19067, _T_19070) @[ifu_bp_ctl.scala 444:87] + node _T_19072 = or(_T_19063, _T_19071) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][13][0] <= _T_19072 @[ifu_bp_ctl.scala 443:27] + node _T_19073 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19074 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19075 = eq(_T_19074, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:97] + node _T_19076 = and(_T_19073, _T_19075) @[ifu_bp_ctl.scala 443:45] + node _T_19077 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19078 = eq(_T_19077, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:186] + node _T_19079 = or(_T_19078, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19080 = and(_T_19076, _T_19079) @[ifu_bp_ctl.scala 443:110] + node _T_19081 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19082 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19083 = eq(_T_19082, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:74] + node _T_19084 = and(_T_19081, _T_19083) @[ifu_bp_ctl.scala 444:22] + node _T_19085 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19086 = eq(_T_19085, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:163] + node _T_19087 = or(_T_19086, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19088 = and(_T_19084, _T_19087) @[ifu_bp_ctl.scala 444:87] + node _T_19089 = or(_T_19080, _T_19088) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][13][1] <= _T_19089 @[ifu_bp_ctl.scala 443:27] + node _T_19090 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19091 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19092 = eq(_T_19091, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:97] + node _T_19093 = and(_T_19090, _T_19092) @[ifu_bp_ctl.scala 443:45] + node _T_19094 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19095 = eq(_T_19094, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:186] + node _T_19096 = or(_T_19095, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19097 = and(_T_19093, _T_19096) @[ifu_bp_ctl.scala 443:110] + node _T_19098 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19099 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19100 = eq(_T_19099, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:74] + node _T_19101 = and(_T_19098, _T_19100) @[ifu_bp_ctl.scala 444:22] + node _T_19102 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19103 = eq(_T_19102, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:163] + node _T_19104 = or(_T_19103, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19105 = and(_T_19101, _T_19104) @[ifu_bp_ctl.scala 444:87] + node _T_19106 = or(_T_19097, _T_19105) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][13][2] <= _T_19106 @[ifu_bp_ctl.scala 443:27] + node _T_19107 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19108 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19109 = eq(_T_19108, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:97] + node _T_19110 = and(_T_19107, _T_19109) @[ifu_bp_ctl.scala 443:45] + node _T_19111 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19112 = eq(_T_19111, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:186] + node _T_19113 = or(_T_19112, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19114 = and(_T_19110, _T_19113) @[ifu_bp_ctl.scala 443:110] + node _T_19115 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19116 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19117 = eq(_T_19116, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:74] + node _T_19118 = and(_T_19115, _T_19117) @[ifu_bp_ctl.scala 444:22] + node _T_19119 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19120 = eq(_T_19119, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:163] + node _T_19121 = or(_T_19120, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19122 = and(_T_19118, _T_19121) @[ifu_bp_ctl.scala 444:87] + node _T_19123 = or(_T_19114, _T_19122) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][13][3] <= _T_19123 @[ifu_bp_ctl.scala 443:27] + node _T_19124 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19125 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19126 = eq(_T_19125, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:97] + node _T_19127 = and(_T_19124, _T_19126) @[ifu_bp_ctl.scala 443:45] + node _T_19128 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19129 = eq(_T_19128, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:186] + node _T_19130 = or(_T_19129, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19131 = and(_T_19127, _T_19130) @[ifu_bp_ctl.scala 443:110] + node _T_19132 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19133 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19134 = eq(_T_19133, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:74] + node _T_19135 = and(_T_19132, _T_19134) @[ifu_bp_ctl.scala 444:22] + node _T_19136 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19137 = eq(_T_19136, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:163] + node _T_19138 = or(_T_19137, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19139 = and(_T_19135, _T_19138) @[ifu_bp_ctl.scala 444:87] + node _T_19140 = or(_T_19131, _T_19139) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][13][4] <= _T_19140 @[ifu_bp_ctl.scala 443:27] + node _T_19141 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19142 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19143 = eq(_T_19142, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:97] + node _T_19144 = and(_T_19141, _T_19143) @[ifu_bp_ctl.scala 443:45] + node _T_19145 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19146 = eq(_T_19145, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:186] + node _T_19147 = or(_T_19146, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19148 = and(_T_19144, _T_19147) @[ifu_bp_ctl.scala 443:110] + node _T_19149 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19150 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19151 = eq(_T_19150, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:74] + node _T_19152 = and(_T_19149, _T_19151) @[ifu_bp_ctl.scala 444:22] + node _T_19153 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19154 = eq(_T_19153, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:163] + node _T_19155 = or(_T_19154, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19156 = and(_T_19152, _T_19155) @[ifu_bp_ctl.scala 444:87] + node _T_19157 = or(_T_19148, _T_19156) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][13][5] <= _T_19157 @[ifu_bp_ctl.scala 443:27] + node _T_19158 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19159 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19160 = eq(_T_19159, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:97] + node _T_19161 = and(_T_19158, _T_19160) @[ifu_bp_ctl.scala 443:45] + node _T_19162 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19163 = eq(_T_19162, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:186] + node _T_19164 = or(_T_19163, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19165 = and(_T_19161, _T_19164) @[ifu_bp_ctl.scala 443:110] + node _T_19166 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19167 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19168 = eq(_T_19167, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:74] + node _T_19169 = and(_T_19166, _T_19168) @[ifu_bp_ctl.scala 444:22] + node _T_19170 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19171 = eq(_T_19170, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:163] + node _T_19172 = or(_T_19171, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19173 = and(_T_19169, _T_19172) @[ifu_bp_ctl.scala 444:87] + node _T_19174 = or(_T_19165, _T_19173) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][13][6] <= _T_19174 @[ifu_bp_ctl.scala 443:27] + node _T_19175 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19176 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19177 = eq(_T_19176, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:97] + node _T_19178 = and(_T_19175, _T_19177) @[ifu_bp_ctl.scala 443:45] + node _T_19179 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19180 = eq(_T_19179, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:186] + node _T_19181 = or(_T_19180, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19182 = and(_T_19178, _T_19181) @[ifu_bp_ctl.scala 443:110] + node _T_19183 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19184 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19185 = eq(_T_19184, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:74] + node _T_19186 = and(_T_19183, _T_19185) @[ifu_bp_ctl.scala 444:22] + node _T_19187 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19188 = eq(_T_19187, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:163] + node _T_19189 = or(_T_19188, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19190 = and(_T_19186, _T_19189) @[ifu_bp_ctl.scala 444:87] + node _T_19191 = or(_T_19182, _T_19190) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][13][7] <= _T_19191 @[ifu_bp_ctl.scala 443:27] + node _T_19192 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19193 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19194 = eq(_T_19193, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:97] + node _T_19195 = and(_T_19192, _T_19194) @[ifu_bp_ctl.scala 443:45] + node _T_19196 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19197 = eq(_T_19196, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:186] + node _T_19198 = or(_T_19197, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19199 = and(_T_19195, _T_19198) @[ifu_bp_ctl.scala 443:110] + node _T_19200 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19201 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19202 = eq(_T_19201, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:74] + node _T_19203 = and(_T_19200, _T_19202) @[ifu_bp_ctl.scala 444:22] + node _T_19204 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19205 = eq(_T_19204, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:163] + node _T_19206 = or(_T_19205, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19207 = and(_T_19203, _T_19206) @[ifu_bp_ctl.scala 444:87] + node _T_19208 = or(_T_19199, _T_19207) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][13][8] <= _T_19208 @[ifu_bp_ctl.scala 443:27] + node _T_19209 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19210 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19211 = eq(_T_19210, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:97] + node _T_19212 = and(_T_19209, _T_19211) @[ifu_bp_ctl.scala 443:45] + node _T_19213 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19214 = eq(_T_19213, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:186] + node _T_19215 = or(_T_19214, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19216 = and(_T_19212, _T_19215) @[ifu_bp_ctl.scala 443:110] + node _T_19217 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19218 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19219 = eq(_T_19218, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:74] + node _T_19220 = and(_T_19217, _T_19219) @[ifu_bp_ctl.scala 444:22] + node _T_19221 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19222 = eq(_T_19221, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:163] + node _T_19223 = or(_T_19222, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19224 = and(_T_19220, _T_19223) @[ifu_bp_ctl.scala 444:87] + node _T_19225 = or(_T_19216, _T_19224) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][13][9] <= _T_19225 @[ifu_bp_ctl.scala 443:27] + node _T_19226 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19227 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19228 = eq(_T_19227, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:97] + node _T_19229 = and(_T_19226, _T_19228) @[ifu_bp_ctl.scala 443:45] + node _T_19230 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19231 = eq(_T_19230, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:186] + node _T_19232 = or(_T_19231, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19233 = and(_T_19229, _T_19232) @[ifu_bp_ctl.scala 443:110] + node _T_19234 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19235 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19236 = eq(_T_19235, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:74] + node _T_19237 = and(_T_19234, _T_19236) @[ifu_bp_ctl.scala 444:22] + node _T_19238 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19239 = eq(_T_19238, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:163] + node _T_19240 = or(_T_19239, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19241 = and(_T_19237, _T_19240) @[ifu_bp_ctl.scala 444:87] + node _T_19242 = or(_T_19233, _T_19241) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][13][10] <= _T_19242 @[ifu_bp_ctl.scala 443:27] + node _T_19243 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19244 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19245 = eq(_T_19244, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:97] + node _T_19246 = and(_T_19243, _T_19245) @[ifu_bp_ctl.scala 443:45] + node _T_19247 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19248 = eq(_T_19247, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:186] + node _T_19249 = or(_T_19248, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19250 = and(_T_19246, _T_19249) @[ifu_bp_ctl.scala 443:110] + node _T_19251 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19252 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19253 = eq(_T_19252, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:74] + node _T_19254 = and(_T_19251, _T_19253) @[ifu_bp_ctl.scala 444:22] + node _T_19255 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19256 = eq(_T_19255, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:163] + node _T_19257 = or(_T_19256, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19258 = and(_T_19254, _T_19257) @[ifu_bp_ctl.scala 444:87] + node _T_19259 = or(_T_19250, _T_19258) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][13][11] <= _T_19259 @[ifu_bp_ctl.scala 443:27] + node _T_19260 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19261 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19262 = eq(_T_19261, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:97] + node _T_19263 = and(_T_19260, _T_19262) @[ifu_bp_ctl.scala 443:45] + node _T_19264 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19265 = eq(_T_19264, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:186] + node _T_19266 = or(_T_19265, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19267 = and(_T_19263, _T_19266) @[ifu_bp_ctl.scala 443:110] + node _T_19268 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19269 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19270 = eq(_T_19269, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:74] + node _T_19271 = and(_T_19268, _T_19270) @[ifu_bp_ctl.scala 444:22] + node _T_19272 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19273 = eq(_T_19272, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:163] + node _T_19274 = or(_T_19273, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19275 = and(_T_19271, _T_19274) @[ifu_bp_ctl.scala 444:87] + node _T_19276 = or(_T_19267, _T_19275) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][13][12] <= _T_19276 @[ifu_bp_ctl.scala 443:27] + node _T_19277 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19278 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19279 = eq(_T_19278, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:97] + node _T_19280 = and(_T_19277, _T_19279) @[ifu_bp_ctl.scala 443:45] + node _T_19281 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19282 = eq(_T_19281, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:186] + node _T_19283 = or(_T_19282, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19284 = and(_T_19280, _T_19283) @[ifu_bp_ctl.scala 443:110] + node _T_19285 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19286 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19287 = eq(_T_19286, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:74] + node _T_19288 = and(_T_19285, _T_19287) @[ifu_bp_ctl.scala 444:22] + node _T_19289 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19290 = eq(_T_19289, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:163] + node _T_19291 = or(_T_19290, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19292 = and(_T_19288, _T_19291) @[ifu_bp_ctl.scala 444:87] + node _T_19293 = or(_T_19284, _T_19292) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][13][13] <= _T_19293 @[ifu_bp_ctl.scala 443:27] + node _T_19294 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19295 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19296 = eq(_T_19295, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:97] + node _T_19297 = and(_T_19294, _T_19296) @[ifu_bp_ctl.scala 443:45] + node _T_19298 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19299 = eq(_T_19298, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:186] + node _T_19300 = or(_T_19299, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19301 = and(_T_19297, _T_19300) @[ifu_bp_ctl.scala 443:110] + node _T_19302 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19303 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19304 = eq(_T_19303, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:74] + node _T_19305 = and(_T_19302, _T_19304) @[ifu_bp_ctl.scala 444:22] + node _T_19306 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19307 = eq(_T_19306, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:163] + node _T_19308 = or(_T_19307, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19309 = and(_T_19305, _T_19308) @[ifu_bp_ctl.scala 444:87] + node _T_19310 = or(_T_19301, _T_19309) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][13][14] <= _T_19310 @[ifu_bp_ctl.scala 443:27] + node _T_19311 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19312 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19313 = eq(_T_19312, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:97] + node _T_19314 = and(_T_19311, _T_19313) @[ifu_bp_ctl.scala 443:45] + node _T_19315 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19316 = eq(_T_19315, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:186] + node _T_19317 = or(_T_19316, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19318 = and(_T_19314, _T_19317) @[ifu_bp_ctl.scala 443:110] + node _T_19319 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19320 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19321 = eq(_T_19320, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:74] + node _T_19322 = and(_T_19319, _T_19321) @[ifu_bp_ctl.scala 444:22] + node _T_19323 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19324 = eq(_T_19323, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:163] + node _T_19325 = or(_T_19324, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19326 = and(_T_19322, _T_19325) @[ifu_bp_ctl.scala 444:87] + node _T_19327 = or(_T_19318, _T_19326) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][13][15] <= _T_19327 @[ifu_bp_ctl.scala 443:27] + node _T_19328 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19329 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19330 = eq(_T_19329, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:97] + node _T_19331 = and(_T_19328, _T_19330) @[ifu_bp_ctl.scala 443:45] + node _T_19332 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19333 = eq(_T_19332, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:186] + node _T_19334 = or(_T_19333, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19335 = and(_T_19331, _T_19334) @[ifu_bp_ctl.scala 443:110] + node _T_19336 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19337 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19338 = eq(_T_19337, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:74] + node _T_19339 = and(_T_19336, _T_19338) @[ifu_bp_ctl.scala 444:22] + node _T_19340 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19341 = eq(_T_19340, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:163] + node _T_19342 = or(_T_19341, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19343 = and(_T_19339, _T_19342) @[ifu_bp_ctl.scala 444:87] + node _T_19344 = or(_T_19335, _T_19343) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][14][0] <= _T_19344 @[ifu_bp_ctl.scala 443:27] + node _T_19345 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19346 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19347 = eq(_T_19346, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:97] + node _T_19348 = and(_T_19345, _T_19347) @[ifu_bp_ctl.scala 443:45] + node _T_19349 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19350 = eq(_T_19349, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:186] + node _T_19351 = or(_T_19350, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19352 = and(_T_19348, _T_19351) @[ifu_bp_ctl.scala 443:110] + node _T_19353 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19354 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19355 = eq(_T_19354, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:74] + node _T_19356 = and(_T_19353, _T_19355) @[ifu_bp_ctl.scala 444:22] + node _T_19357 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19358 = eq(_T_19357, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:163] + node _T_19359 = or(_T_19358, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19360 = and(_T_19356, _T_19359) @[ifu_bp_ctl.scala 444:87] + node _T_19361 = or(_T_19352, _T_19360) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][14][1] <= _T_19361 @[ifu_bp_ctl.scala 443:27] + node _T_19362 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19363 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19364 = eq(_T_19363, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:97] + node _T_19365 = and(_T_19362, _T_19364) @[ifu_bp_ctl.scala 443:45] + node _T_19366 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19367 = eq(_T_19366, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:186] + node _T_19368 = or(_T_19367, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19369 = and(_T_19365, _T_19368) @[ifu_bp_ctl.scala 443:110] + node _T_19370 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19371 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19372 = eq(_T_19371, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:74] + node _T_19373 = and(_T_19370, _T_19372) @[ifu_bp_ctl.scala 444:22] + node _T_19374 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19375 = eq(_T_19374, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:163] + node _T_19376 = or(_T_19375, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19377 = and(_T_19373, _T_19376) @[ifu_bp_ctl.scala 444:87] + node _T_19378 = or(_T_19369, _T_19377) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][14][2] <= _T_19378 @[ifu_bp_ctl.scala 443:27] + node _T_19379 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19380 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19381 = eq(_T_19380, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:97] + node _T_19382 = and(_T_19379, _T_19381) @[ifu_bp_ctl.scala 443:45] + node _T_19383 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19384 = eq(_T_19383, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:186] + node _T_19385 = or(_T_19384, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19386 = and(_T_19382, _T_19385) @[ifu_bp_ctl.scala 443:110] + node _T_19387 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19388 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19389 = eq(_T_19388, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:74] + node _T_19390 = and(_T_19387, _T_19389) @[ifu_bp_ctl.scala 444:22] + node _T_19391 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19392 = eq(_T_19391, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:163] + node _T_19393 = or(_T_19392, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19394 = and(_T_19390, _T_19393) @[ifu_bp_ctl.scala 444:87] + node _T_19395 = or(_T_19386, _T_19394) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][14][3] <= _T_19395 @[ifu_bp_ctl.scala 443:27] + node _T_19396 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19397 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19398 = eq(_T_19397, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:97] + node _T_19399 = and(_T_19396, _T_19398) @[ifu_bp_ctl.scala 443:45] + node _T_19400 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19401 = eq(_T_19400, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:186] + node _T_19402 = or(_T_19401, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19403 = and(_T_19399, _T_19402) @[ifu_bp_ctl.scala 443:110] + node _T_19404 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19405 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19406 = eq(_T_19405, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:74] + node _T_19407 = and(_T_19404, _T_19406) @[ifu_bp_ctl.scala 444:22] + node _T_19408 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19409 = eq(_T_19408, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:163] + node _T_19410 = or(_T_19409, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19411 = and(_T_19407, _T_19410) @[ifu_bp_ctl.scala 444:87] + node _T_19412 = or(_T_19403, _T_19411) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][14][4] <= _T_19412 @[ifu_bp_ctl.scala 443:27] + node _T_19413 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19414 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19415 = eq(_T_19414, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:97] + node _T_19416 = and(_T_19413, _T_19415) @[ifu_bp_ctl.scala 443:45] + node _T_19417 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19418 = eq(_T_19417, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:186] + node _T_19419 = or(_T_19418, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19420 = and(_T_19416, _T_19419) @[ifu_bp_ctl.scala 443:110] + node _T_19421 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19422 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19423 = eq(_T_19422, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:74] + node _T_19424 = and(_T_19421, _T_19423) @[ifu_bp_ctl.scala 444:22] + node _T_19425 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19426 = eq(_T_19425, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:163] + node _T_19427 = or(_T_19426, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19428 = and(_T_19424, _T_19427) @[ifu_bp_ctl.scala 444:87] + node _T_19429 = or(_T_19420, _T_19428) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][14][5] <= _T_19429 @[ifu_bp_ctl.scala 443:27] + node _T_19430 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19431 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19432 = eq(_T_19431, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:97] + node _T_19433 = and(_T_19430, _T_19432) @[ifu_bp_ctl.scala 443:45] + node _T_19434 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19435 = eq(_T_19434, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:186] + node _T_19436 = or(_T_19435, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19437 = and(_T_19433, _T_19436) @[ifu_bp_ctl.scala 443:110] + node _T_19438 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19439 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19440 = eq(_T_19439, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:74] + node _T_19441 = and(_T_19438, _T_19440) @[ifu_bp_ctl.scala 444:22] + node _T_19442 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19443 = eq(_T_19442, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:163] + node _T_19444 = or(_T_19443, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19445 = and(_T_19441, _T_19444) @[ifu_bp_ctl.scala 444:87] + node _T_19446 = or(_T_19437, _T_19445) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][14][6] <= _T_19446 @[ifu_bp_ctl.scala 443:27] + node _T_19447 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19448 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19449 = eq(_T_19448, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:97] + node _T_19450 = and(_T_19447, _T_19449) @[ifu_bp_ctl.scala 443:45] + node _T_19451 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19452 = eq(_T_19451, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:186] + node _T_19453 = or(_T_19452, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19454 = and(_T_19450, _T_19453) @[ifu_bp_ctl.scala 443:110] + node _T_19455 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19456 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19457 = eq(_T_19456, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:74] + node _T_19458 = and(_T_19455, _T_19457) @[ifu_bp_ctl.scala 444:22] + node _T_19459 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19460 = eq(_T_19459, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:163] + node _T_19461 = or(_T_19460, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19462 = and(_T_19458, _T_19461) @[ifu_bp_ctl.scala 444:87] + node _T_19463 = or(_T_19454, _T_19462) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][14][7] <= _T_19463 @[ifu_bp_ctl.scala 443:27] + node _T_19464 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19465 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19466 = eq(_T_19465, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:97] + node _T_19467 = and(_T_19464, _T_19466) @[ifu_bp_ctl.scala 443:45] + node _T_19468 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19469 = eq(_T_19468, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:186] + node _T_19470 = or(_T_19469, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19471 = and(_T_19467, _T_19470) @[ifu_bp_ctl.scala 443:110] + node _T_19472 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19473 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19474 = eq(_T_19473, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:74] + node _T_19475 = and(_T_19472, _T_19474) @[ifu_bp_ctl.scala 444:22] + node _T_19476 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19477 = eq(_T_19476, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:163] + node _T_19478 = or(_T_19477, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19479 = and(_T_19475, _T_19478) @[ifu_bp_ctl.scala 444:87] + node _T_19480 = or(_T_19471, _T_19479) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][14][8] <= _T_19480 @[ifu_bp_ctl.scala 443:27] + node _T_19481 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19482 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19483 = eq(_T_19482, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:97] + node _T_19484 = and(_T_19481, _T_19483) @[ifu_bp_ctl.scala 443:45] + node _T_19485 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19486 = eq(_T_19485, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:186] + node _T_19487 = or(_T_19486, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19488 = and(_T_19484, _T_19487) @[ifu_bp_ctl.scala 443:110] + node _T_19489 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19490 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19491 = eq(_T_19490, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:74] + node _T_19492 = and(_T_19489, _T_19491) @[ifu_bp_ctl.scala 444:22] + node _T_19493 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19494 = eq(_T_19493, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:163] + node _T_19495 = or(_T_19494, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19496 = and(_T_19492, _T_19495) @[ifu_bp_ctl.scala 444:87] + node _T_19497 = or(_T_19488, _T_19496) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][14][9] <= _T_19497 @[ifu_bp_ctl.scala 443:27] + node _T_19498 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19499 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19500 = eq(_T_19499, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:97] + node _T_19501 = and(_T_19498, _T_19500) @[ifu_bp_ctl.scala 443:45] + node _T_19502 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19503 = eq(_T_19502, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:186] + node _T_19504 = or(_T_19503, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19505 = and(_T_19501, _T_19504) @[ifu_bp_ctl.scala 443:110] + node _T_19506 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19507 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19508 = eq(_T_19507, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:74] + node _T_19509 = and(_T_19506, _T_19508) @[ifu_bp_ctl.scala 444:22] + node _T_19510 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19511 = eq(_T_19510, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:163] + node _T_19512 = or(_T_19511, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19513 = and(_T_19509, _T_19512) @[ifu_bp_ctl.scala 444:87] + node _T_19514 = or(_T_19505, _T_19513) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][14][10] <= _T_19514 @[ifu_bp_ctl.scala 443:27] + node _T_19515 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19516 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19517 = eq(_T_19516, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:97] + node _T_19518 = and(_T_19515, _T_19517) @[ifu_bp_ctl.scala 443:45] + node _T_19519 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19520 = eq(_T_19519, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:186] + node _T_19521 = or(_T_19520, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19522 = and(_T_19518, _T_19521) @[ifu_bp_ctl.scala 443:110] + node _T_19523 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19524 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19525 = eq(_T_19524, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:74] + node _T_19526 = and(_T_19523, _T_19525) @[ifu_bp_ctl.scala 444:22] + node _T_19527 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19528 = eq(_T_19527, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:163] + node _T_19529 = or(_T_19528, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19530 = and(_T_19526, _T_19529) @[ifu_bp_ctl.scala 444:87] + node _T_19531 = or(_T_19522, _T_19530) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][14][11] <= _T_19531 @[ifu_bp_ctl.scala 443:27] + node _T_19532 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19533 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19534 = eq(_T_19533, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:97] + node _T_19535 = and(_T_19532, _T_19534) @[ifu_bp_ctl.scala 443:45] + node _T_19536 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19537 = eq(_T_19536, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:186] + node _T_19538 = or(_T_19537, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19539 = and(_T_19535, _T_19538) @[ifu_bp_ctl.scala 443:110] + node _T_19540 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19541 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19542 = eq(_T_19541, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:74] + node _T_19543 = and(_T_19540, _T_19542) @[ifu_bp_ctl.scala 444:22] + node _T_19544 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19545 = eq(_T_19544, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:163] + node _T_19546 = or(_T_19545, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19547 = and(_T_19543, _T_19546) @[ifu_bp_ctl.scala 444:87] + node _T_19548 = or(_T_19539, _T_19547) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][14][12] <= _T_19548 @[ifu_bp_ctl.scala 443:27] + node _T_19549 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19550 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19551 = eq(_T_19550, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:97] + node _T_19552 = and(_T_19549, _T_19551) @[ifu_bp_ctl.scala 443:45] + node _T_19553 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19554 = eq(_T_19553, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:186] + node _T_19555 = or(_T_19554, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19556 = and(_T_19552, _T_19555) @[ifu_bp_ctl.scala 443:110] + node _T_19557 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19558 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19559 = eq(_T_19558, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:74] + node _T_19560 = and(_T_19557, _T_19559) @[ifu_bp_ctl.scala 444:22] + node _T_19561 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19562 = eq(_T_19561, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:163] + node _T_19563 = or(_T_19562, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19564 = and(_T_19560, _T_19563) @[ifu_bp_ctl.scala 444:87] + node _T_19565 = or(_T_19556, _T_19564) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][14][13] <= _T_19565 @[ifu_bp_ctl.scala 443:27] + node _T_19566 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19567 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19568 = eq(_T_19567, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:97] + node _T_19569 = and(_T_19566, _T_19568) @[ifu_bp_ctl.scala 443:45] + node _T_19570 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19571 = eq(_T_19570, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:186] + node _T_19572 = or(_T_19571, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19573 = and(_T_19569, _T_19572) @[ifu_bp_ctl.scala 443:110] + node _T_19574 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19575 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19576 = eq(_T_19575, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:74] + node _T_19577 = and(_T_19574, _T_19576) @[ifu_bp_ctl.scala 444:22] + node _T_19578 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19579 = eq(_T_19578, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:163] + node _T_19580 = or(_T_19579, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19581 = and(_T_19577, _T_19580) @[ifu_bp_ctl.scala 444:87] + node _T_19582 = or(_T_19573, _T_19581) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][14][14] <= _T_19582 @[ifu_bp_ctl.scala 443:27] + node _T_19583 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19584 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19585 = eq(_T_19584, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:97] + node _T_19586 = and(_T_19583, _T_19585) @[ifu_bp_ctl.scala 443:45] + node _T_19587 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19588 = eq(_T_19587, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:186] + node _T_19589 = or(_T_19588, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19590 = and(_T_19586, _T_19589) @[ifu_bp_ctl.scala 443:110] + node _T_19591 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19592 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19593 = eq(_T_19592, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:74] + node _T_19594 = and(_T_19591, _T_19593) @[ifu_bp_ctl.scala 444:22] + node _T_19595 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19596 = eq(_T_19595, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:163] + node _T_19597 = or(_T_19596, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19598 = and(_T_19594, _T_19597) @[ifu_bp_ctl.scala 444:87] + node _T_19599 = or(_T_19590, _T_19598) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][14][15] <= _T_19599 @[ifu_bp_ctl.scala 443:27] + node _T_19600 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19601 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19602 = eq(_T_19601, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:97] + node _T_19603 = and(_T_19600, _T_19602) @[ifu_bp_ctl.scala 443:45] + node _T_19604 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19605 = eq(_T_19604, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:186] + node _T_19606 = or(_T_19605, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19607 = and(_T_19603, _T_19606) @[ifu_bp_ctl.scala 443:110] + node _T_19608 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19609 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19610 = eq(_T_19609, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:74] + node _T_19611 = and(_T_19608, _T_19610) @[ifu_bp_ctl.scala 444:22] + node _T_19612 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19613 = eq(_T_19612, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:163] + node _T_19614 = or(_T_19613, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19615 = and(_T_19611, _T_19614) @[ifu_bp_ctl.scala 444:87] + node _T_19616 = or(_T_19607, _T_19615) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][15][0] <= _T_19616 @[ifu_bp_ctl.scala 443:27] + node _T_19617 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19618 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19619 = eq(_T_19618, UInt<1>("h01")) @[ifu_bp_ctl.scala 443:97] + node _T_19620 = and(_T_19617, _T_19619) @[ifu_bp_ctl.scala 443:45] + node _T_19621 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19622 = eq(_T_19621, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:186] + node _T_19623 = or(_T_19622, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19624 = and(_T_19620, _T_19623) @[ifu_bp_ctl.scala 443:110] + node _T_19625 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19626 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19627 = eq(_T_19626, UInt<1>("h01")) @[ifu_bp_ctl.scala 444:74] + node _T_19628 = and(_T_19625, _T_19627) @[ifu_bp_ctl.scala 444:22] + node _T_19629 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19630 = eq(_T_19629, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:163] + node _T_19631 = or(_T_19630, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19632 = and(_T_19628, _T_19631) @[ifu_bp_ctl.scala 444:87] + node _T_19633 = or(_T_19624, _T_19632) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][15][1] <= _T_19633 @[ifu_bp_ctl.scala 443:27] + node _T_19634 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19635 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19636 = eq(_T_19635, UInt<2>("h02")) @[ifu_bp_ctl.scala 443:97] + node _T_19637 = and(_T_19634, _T_19636) @[ifu_bp_ctl.scala 443:45] + node _T_19638 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19639 = eq(_T_19638, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:186] + node _T_19640 = or(_T_19639, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19641 = and(_T_19637, _T_19640) @[ifu_bp_ctl.scala 443:110] + node _T_19642 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19643 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19644 = eq(_T_19643, UInt<2>("h02")) @[ifu_bp_ctl.scala 444:74] + node _T_19645 = and(_T_19642, _T_19644) @[ifu_bp_ctl.scala 444:22] + node _T_19646 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19647 = eq(_T_19646, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:163] + node _T_19648 = or(_T_19647, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19649 = and(_T_19645, _T_19648) @[ifu_bp_ctl.scala 444:87] + node _T_19650 = or(_T_19641, _T_19649) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][15][2] <= _T_19650 @[ifu_bp_ctl.scala 443:27] + node _T_19651 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19652 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19653 = eq(_T_19652, UInt<2>("h03")) @[ifu_bp_ctl.scala 443:97] + node _T_19654 = and(_T_19651, _T_19653) @[ifu_bp_ctl.scala 443:45] + node _T_19655 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19656 = eq(_T_19655, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:186] + node _T_19657 = or(_T_19656, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19658 = and(_T_19654, _T_19657) @[ifu_bp_ctl.scala 443:110] + node _T_19659 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19660 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19661 = eq(_T_19660, UInt<2>("h03")) @[ifu_bp_ctl.scala 444:74] + node _T_19662 = and(_T_19659, _T_19661) @[ifu_bp_ctl.scala 444:22] + node _T_19663 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19664 = eq(_T_19663, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:163] + node _T_19665 = or(_T_19664, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19666 = and(_T_19662, _T_19665) @[ifu_bp_ctl.scala 444:87] + node _T_19667 = or(_T_19658, _T_19666) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][15][3] <= _T_19667 @[ifu_bp_ctl.scala 443:27] + node _T_19668 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19669 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19670 = eq(_T_19669, UInt<3>("h04")) @[ifu_bp_ctl.scala 443:97] + node _T_19671 = and(_T_19668, _T_19670) @[ifu_bp_ctl.scala 443:45] + node _T_19672 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19673 = eq(_T_19672, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:186] + node _T_19674 = or(_T_19673, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19675 = and(_T_19671, _T_19674) @[ifu_bp_ctl.scala 443:110] + node _T_19676 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19677 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19678 = eq(_T_19677, UInt<3>("h04")) @[ifu_bp_ctl.scala 444:74] + node _T_19679 = and(_T_19676, _T_19678) @[ifu_bp_ctl.scala 444:22] + node _T_19680 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19681 = eq(_T_19680, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:163] + node _T_19682 = or(_T_19681, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19683 = and(_T_19679, _T_19682) @[ifu_bp_ctl.scala 444:87] + node _T_19684 = or(_T_19675, _T_19683) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][15][4] <= _T_19684 @[ifu_bp_ctl.scala 443:27] + node _T_19685 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19686 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19687 = eq(_T_19686, UInt<3>("h05")) @[ifu_bp_ctl.scala 443:97] + node _T_19688 = and(_T_19685, _T_19687) @[ifu_bp_ctl.scala 443:45] + node _T_19689 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19690 = eq(_T_19689, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:186] + node _T_19691 = or(_T_19690, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19692 = and(_T_19688, _T_19691) @[ifu_bp_ctl.scala 443:110] + node _T_19693 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19694 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19695 = eq(_T_19694, UInt<3>("h05")) @[ifu_bp_ctl.scala 444:74] + node _T_19696 = and(_T_19693, _T_19695) @[ifu_bp_ctl.scala 444:22] + node _T_19697 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19698 = eq(_T_19697, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:163] + node _T_19699 = or(_T_19698, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19700 = and(_T_19696, _T_19699) @[ifu_bp_ctl.scala 444:87] + node _T_19701 = or(_T_19692, _T_19700) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][15][5] <= _T_19701 @[ifu_bp_ctl.scala 443:27] + node _T_19702 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19703 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19704 = eq(_T_19703, UInt<3>("h06")) @[ifu_bp_ctl.scala 443:97] + node _T_19705 = and(_T_19702, _T_19704) @[ifu_bp_ctl.scala 443:45] + node _T_19706 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19707 = eq(_T_19706, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:186] + node _T_19708 = or(_T_19707, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19709 = and(_T_19705, _T_19708) @[ifu_bp_ctl.scala 443:110] + node _T_19710 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19711 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19712 = eq(_T_19711, UInt<3>("h06")) @[ifu_bp_ctl.scala 444:74] + node _T_19713 = and(_T_19710, _T_19712) @[ifu_bp_ctl.scala 444:22] + node _T_19714 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19715 = eq(_T_19714, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:163] + node _T_19716 = or(_T_19715, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19717 = and(_T_19713, _T_19716) @[ifu_bp_ctl.scala 444:87] + node _T_19718 = or(_T_19709, _T_19717) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][15][6] <= _T_19718 @[ifu_bp_ctl.scala 443:27] + node _T_19719 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19720 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19721 = eq(_T_19720, UInt<3>("h07")) @[ifu_bp_ctl.scala 443:97] + node _T_19722 = and(_T_19719, _T_19721) @[ifu_bp_ctl.scala 443:45] + node _T_19723 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19724 = eq(_T_19723, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:186] + node _T_19725 = or(_T_19724, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19726 = and(_T_19722, _T_19725) @[ifu_bp_ctl.scala 443:110] + node _T_19727 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19728 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19729 = eq(_T_19728, UInt<3>("h07")) @[ifu_bp_ctl.scala 444:74] + node _T_19730 = and(_T_19727, _T_19729) @[ifu_bp_ctl.scala 444:22] + node _T_19731 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19732 = eq(_T_19731, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:163] + node _T_19733 = or(_T_19732, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19734 = and(_T_19730, _T_19733) @[ifu_bp_ctl.scala 444:87] + node _T_19735 = or(_T_19726, _T_19734) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][15][7] <= _T_19735 @[ifu_bp_ctl.scala 443:27] + node _T_19736 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19737 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19738 = eq(_T_19737, UInt<4>("h08")) @[ifu_bp_ctl.scala 443:97] + node _T_19739 = and(_T_19736, _T_19738) @[ifu_bp_ctl.scala 443:45] + node _T_19740 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19741 = eq(_T_19740, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:186] + node _T_19742 = or(_T_19741, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19743 = and(_T_19739, _T_19742) @[ifu_bp_ctl.scala 443:110] + node _T_19744 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19745 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19746 = eq(_T_19745, UInt<4>("h08")) @[ifu_bp_ctl.scala 444:74] + node _T_19747 = and(_T_19744, _T_19746) @[ifu_bp_ctl.scala 444:22] + node _T_19748 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19749 = eq(_T_19748, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:163] + node _T_19750 = or(_T_19749, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19751 = and(_T_19747, _T_19750) @[ifu_bp_ctl.scala 444:87] + node _T_19752 = or(_T_19743, _T_19751) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][15][8] <= _T_19752 @[ifu_bp_ctl.scala 443:27] + node _T_19753 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19754 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19755 = eq(_T_19754, UInt<4>("h09")) @[ifu_bp_ctl.scala 443:97] + node _T_19756 = and(_T_19753, _T_19755) @[ifu_bp_ctl.scala 443:45] + node _T_19757 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19758 = eq(_T_19757, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:186] + node _T_19759 = or(_T_19758, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19760 = and(_T_19756, _T_19759) @[ifu_bp_ctl.scala 443:110] + node _T_19761 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19762 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19763 = eq(_T_19762, UInt<4>("h09")) @[ifu_bp_ctl.scala 444:74] + node _T_19764 = and(_T_19761, _T_19763) @[ifu_bp_ctl.scala 444:22] + node _T_19765 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19766 = eq(_T_19765, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:163] + node _T_19767 = or(_T_19766, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19768 = and(_T_19764, _T_19767) @[ifu_bp_ctl.scala 444:87] + node _T_19769 = or(_T_19760, _T_19768) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][15][9] <= _T_19769 @[ifu_bp_ctl.scala 443:27] + node _T_19770 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19771 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19772 = eq(_T_19771, UInt<4>("h0a")) @[ifu_bp_ctl.scala 443:97] + node _T_19773 = and(_T_19770, _T_19772) @[ifu_bp_ctl.scala 443:45] + node _T_19774 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19775 = eq(_T_19774, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:186] + node _T_19776 = or(_T_19775, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19777 = and(_T_19773, _T_19776) @[ifu_bp_ctl.scala 443:110] + node _T_19778 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19779 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19780 = eq(_T_19779, UInt<4>("h0a")) @[ifu_bp_ctl.scala 444:74] + node _T_19781 = and(_T_19778, _T_19780) @[ifu_bp_ctl.scala 444:22] + node _T_19782 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19783 = eq(_T_19782, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:163] + node _T_19784 = or(_T_19783, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19785 = and(_T_19781, _T_19784) @[ifu_bp_ctl.scala 444:87] + node _T_19786 = or(_T_19777, _T_19785) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][15][10] <= _T_19786 @[ifu_bp_ctl.scala 443:27] + node _T_19787 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19788 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19789 = eq(_T_19788, UInt<4>("h0b")) @[ifu_bp_ctl.scala 443:97] + node _T_19790 = and(_T_19787, _T_19789) @[ifu_bp_ctl.scala 443:45] + node _T_19791 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19792 = eq(_T_19791, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:186] + node _T_19793 = or(_T_19792, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19794 = and(_T_19790, _T_19793) @[ifu_bp_ctl.scala 443:110] + node _T_19795 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19796 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19797 = eq(_T_19796, UInt<4>("h0b")) @[ifu_bp_ctl.scala 444:74] + node _T_19798 = and(_T_19795, _T_19797) @[ifu_bp_ctl.scala 444:22] + node _T_19799 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19800 = eq(_T_19799, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:163] + node _T_19801 = or(_T_19800, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19802 = and(_T_19798, _T_19801) @[ifu_bp_ctl.scala 444:87] + node _T_19803 = or(_T_19794, _T_19802) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][15][11] <= _T_19803 @[ifu_bp_ctl.scala 443:27] + node _T_19804 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19805 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19806 = eq(_T_19805, UInt<4>("h0c")) @[ifu_bp_ctl.scala 443:97] + node _T_19807 = and(_T_19804, _T_19806) @[ifu_bp_ctl.scala 443:45] + node _T_19808 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19809 = eq(_T_19808, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:186] + node _T_19810 = or(_T_19809, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19811 = and(_T_19807, _T_19810) @[ifu_bp_ctl.scala 443:110] + node _T_19812 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19813 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19814 = eq(_T_19813, UInt<4>("h0c")) @[ifu_bp_ctl.scala 444:74] + node _T_19815 = and(_T_19812, _T_19814) @[ifu_bp_ctl.scala 444:22] + node _T_19816 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19817 = eq(_T_19816, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:163] + node _T_19818 = or(_T_19817, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19819 = and(_T_19815, _T_19818) @[ifu_bp_ctl.scala 444:87] + node _T_19820 = or(_T_19811, _T_19819) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][15][12] <= _T_19820 @[ifu_bp_ctl.scala 443:27] + node _T_19821 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19822 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19823 = eq(_T_19822, UInt<4>("h0d")) @[ifu_bp_ctl.scala 443:97] + node _T_19824 = and(_T_19821, _T_19823) @[ifu_bp_ctl.scala 443:45] + node _T_19825 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19826 = eq(_T_19825, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:186] + node _T_19827 = or(_T_19826, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19828 = and(_T_19824, _T_19827) @[ifu_bp_ctl.scala 443:110] + node _T_19829 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19830 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19831 = eq(_T_19830, UInt<4>("h0d")) @[ifu_bp_ctl.scala 444:74] + node _T_19832 = and(_T_19829, _T_19831) @[ifu_bp_ctl.scala 444:22] + node _T_19833 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19834 = eq(_T_19833, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:163] + node _T_19835 = or(_T_19834, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19836 = and(_T_19832, _T_19835) @[ifu_bp_ctl.scala 444:87] + node _T_19837 = or(_T_19828, _T_19836) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][15][13] <= _T_19837 @[ifu_bp_ctl.scala 443:27] + node _T_19838 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19839 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19840 = eq(_T_19839, UInt<4>("h0e")) @[ifu_bp_ctl.scala 443:97] + node _T_19841 = and(_T_19838, _T_19840) @[ifu_bp_ctl.scala 443:45] + node _T_19842 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19843 = eq(_T_19842, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:186] + node _T_19844 = or(_T_19843, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19845 = and(_T_19841, _T_19844) @[ifu_bp_ctl.scala 443:110] + node _T_19846 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19847 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19848 = eq(_T_19847, UInt<4>("h0e")) @[ifu_bp_ctl.scala 444:74] + node _T_19849 = and(_T_19846, _T_19848) @[ifu_bp_ctl.scala 444:22] + node _T_19850 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19851 = eq(_T_19850, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:163] + node _T_19852 = or(_T_19851, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19853 = and(_T_19849, _T_19852) @[ifu_bp_ctl.scala 444:87] + node _T_19854 = or(_T_19845, _T_19853) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][15][14] <= _T_19854 @[ifu_bp_ctl.scala 443:27] + node _T_19855 = bits(bht_wr_en0, 1, 1) @[ifu_bp_ctl.scala 443:41] + node _T_19856 = bits(bht_wr_addr0, 3, 0) @[ifu_bp_ctl.scala 443:60] + node _T_19857 = eq(_T_19856, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:97] + node _T_19858 = and(_T_19855, _T_19857) @[ifu_bp_ctl.scala 443:45] + node _T_19859 = bits(bht_wr_addr0, 7, 4) @[ifu_bp_ctl.scala 443:126] + node _T_19860 = eq(_T_19859, UInt<4>("h0f")) @[ifu_bp_ctl.scala 443:186] + node _T_19861 = or(_T_19860, UInt<1>("h00")) @[ifu_bp_ctl.scala 443:199] + node _T_19862 = and(_T_19858, _T_19861) @[ifu_bp_ctl.scala 443:110] + node _T_19863 = bits(bht_wr_en2, 1, 1) @[ifu_bp_ctl.scala 444:18] + node _T_19864 = bits(bht_wr_addr2, 3, 0) @[ifu_bp_ctl.scala 444:37] + node _T_19865 = eq(_T_19864, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:74] + node _T_19866 = and(_T_19863, _T_19865) @[ifu_bp_ctl.scala 444:22] + node _T_19867 = bits(bht_wr_addr2, 7, 4) @[ifu_bp_ctl.scala 444:103] + node _T_19868 = eq(_T_19867, UInt<4>("h0f")) @[ifu_bp_ctl.scala 444:163] + node _T_19869 = or(_T_19868, UInt<1>("h00")) @[ifu_bp_ctl.scala 444:176] + node _T_19870 = and(_T_19866, _T_19869) @[ifu_bp_ctl.scala 444:87] + node _T_19871 = or(_T_19862, _T_19870) @[ifu_bp_ctl.scala 443:223] + bht_bank_sel[1][15][15] <= _T_19871 @[ifu_bp_ctl.scala 443:27] + wire bht_bank_rd_data_out : UInt<2>[256][2] @[ifu_bp_ctl.scala 448:34] + reg _T_19872 : UInt, rvclkhdr_522.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][0][0] : @[Reg.scala 28:19] + _T_19872 <= bht_bank_wr_data_0_0_0 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][0] <= _T_19872 @[ifu_bp_ctl.scala 450:39] + reg _T_19873 : UInt, rvclkhdr_522.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][0][1] : @[Reg.scala 28:19] + _T_19873 <= bht_bank_wr_data_0_0_1 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][1] <= _T_19873 @[ifu_bp_ctl.scala 450:39] + reg _T_19874 : UInt, rvclkhdr_522.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][0][2] : @[Reg.scala 28:19] + _T_19874 <= bht_bank_wr_data_0_0_2 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][2] <= _T_19874 @[ifu_bp_ctl.scala 450:39] + reg _T_19875 : UInt, rvclkhdr_522.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][0][3] : @[Reg.scala 28:19] + _T_19875 <= bht_bank_wr_data_0_0_3 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][3] <= _T_19875 @[ifu_bp_ctl.scala 450:39] + reg _T_19876 : UInt, rvclkhdr_522.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][0][4] : @[Reg.scala 28:19] + _T_19876 <= bht_bank_wr_data_0_0_4 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][4] <= _T_19876 @[ifu_bp_ctl.scala 450:39] + reg _T_19877 : UInt, rvclkhdr_522.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][0][5] : @[Reg.scala 28:19] + _T_19877 <= bht_bank_wr_data_0_0_5 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][5] <= _T_19877 @[ifu_bp_ctl.scala 450:39] + reg _T_19878 : UInt, rvclkhdr_522.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][0][6] : @[Reg.scala 28:19] + _T_19878 <= bht_bank_wr_data_0_0_6 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][6] <= _T_19878 @[ifu_bp_ctl.scala 450:39] + reg _T_19879 : UInt, rvclkhdr_522.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][0][7] : @[Reg.scala 28:19] + _T_19879 <= bht_bank_wr_data_0_0_7 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][7] <= _T_19879 @[ifu_bp_ctl.scala 450:39] + reg _T_19880 : UInt, rvclkhdr_522.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][0][8] : @[Reg.scala 28:19] + _T_19880 <= bht_bank_wr_data_0_0_8 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][8] <= _T_19880 @[ifu_bp_ctl.scala 450:39] + reg _T_19881 : UInt, rvclkhdr_522.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][0][9] : @[Reg.scala 28:19] + _T_19881 <= bht_bank_wr_data_0_0_9 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][9] <= _T_19881 @[ifu_bp_ctl.scala 450:39] + reg _T_19882 : UInt, rvclkhdr_522.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][0][10] : @[Reg.scala 28:19] + _T_19882 <= bht_bank_wr_data_0_0_10 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][10] <= _T_19882 @[ifu_bp_ctl.scala 450:39] + reg _T_19883 : UInt, rvclkhdr_522.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][0][11] : @[Reg.scala 28:19] + _T_19883 <= bht_bank_wr_data_0_0_11 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][11] <= _T_19883 @[ifu_bp_ctl.scala 450:39] + reg _T_19884 : UInt, rvclkhdr_522.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][0][12] : @[Reg.scala 28:19] + _T_19884 <= bht_bank_wr_data_0_0_12 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][12] <= _T_19884 @[ifu_bp_ctl.scala 450:39] + reg _T_19885 : UInt, rvclkhdr_522.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][0][13] : @[Reg.scala 28:19] + _T_19885 <= bht_bank_wr_data_0_0_13 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][13] <= _T_19885 @[ifu_bp_ctl.scala 450:39] + reg _T_19886 : UInt, rvclkhdr_522.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][0][14] : @[Reg.scala 28:19] + _T_19886 <= bht_bank_wr_data_0_0_14 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][14] <= _T_19886 @[ifu_bp_ctl.scala 450:39] + reg _T_19887 : UInt, rvclkhdr_522.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][0][15] : @[Reg.scala 28:19] + _T_19887 <= bht_bank_wr_data_0_0_15 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][15] <= _T_19887 @[ifu_bp_ctl.scala 450:39] + reg _T_19888 : UInt, rvclkhdr_523.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][1][0] : @[Reg.scala 28:19] + _T_19888 <= bht_bank_wr_data_0_1_0 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][16] <= _T_19888 @[ifu_bp_ctl.scala 450:39] + reg _T_19889 : UInt, rvclkhdr_523.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][1][1] : @[Reg.scala 28:19] + _T_19889 <= bht_bank_wr_data_0_1_1 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][17] <= _T_19889 @[ifu_bp_ctl.scala 450:39] + reg _T_19890 : UInt, rvclkhdr_523.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][1][2] : @[Reg.scala 28:19] + _T_19890 <= bht_bank_wr_data_0_1_2 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][18] <= _T_19890 @[ifu_bp_ctl.scala 450:39] + reg _T_19891 : UInt, rvclkhdr_523.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][1][3] : @[Reg.scala 28:19] + _T_19891 <= bht_bank_wr_data_0_1_3 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][19] <= _T_19891 @[ifu_bp_ctl.scala 450:39] + reg _T_19892 : UInt, rvclkhdr_523.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][1][4] : @[Reg.scala 28:19] + _T_19892 <= bht_bank_wr_data_0_1_4 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][20] <= _T_19892 @[ifu_bp_ctl.scala 450:39] + reg _T_19893 : UInt, rvclkhdr_523.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][1][5] : @[Reg.scala 28:19] + _T_19893 <= bht_bank_wr_data_0_1_5 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][21] <= _T_19893 @[ifu_bp_ctl.scala 450:39] + reg _T_19894 : UInt, rvclkhdr_523.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][1][6] : @[Reg.scala 28:19] + _T_19894 <= bht_bank_wr_data_0_1_6 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][22] <= _T_19894 @[ifu_bp_ctl.scala 450:39] + reg _T_19895 : UInt, rvclkhdr_523.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][1][7] : @[Reg.scala 28:19] + _T_19895 <= bht_bank_wr_data_0_1_7 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][23] <= _T_19895 @[ifu_bp_ctl.scala 450:39] + reg _T_19896 : UInt, rvclkhdr_523.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][1][8] : @[Reg.scala 28:19] + _T_19896 <= bht_bank_wr_data_0_1_8 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][24] <= _T_19896 @[ifu_bp_ctl.scala 450:39] + reg _T_19897 : UInt, rvclkhdr_523.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][1][9] : @[Reg.scala 28:19] + _T_19897 <= bht_bank_wr_data_0_1_9 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][25] <= _T_19897 @[ifu_bp_ctl.scala 450:39] + reg _T_19898 : UInt, rvclkhdr_523.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][1][10] : @[Reg.scala 28:19] + _T_19898 <= bht_bank_wr_data_0_1_10 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][26] <= _T_19898 @[ifu_bp_ctl.scala 450:39] + reg _T_19899 : UInt, rvclkhdr_523.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][1][11] : @[Reg.scala 28:19] + _T_19899 <= bht_bank_wr_data_0_1_11 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][27] <= _T_19899 @[ifu_bp_ctl.scala 450:39] + reg _T_19900 : UInt, rvclkhdr_523.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][1][12] : @[Reg.scala 28:19] + _T_19900 <= bht_bank_wr_data_0_1_12 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][28] <= _T_19900 @[ifu_bp_ctl.scala 450:39] + reg _T_19901 : UInt, rvclkhdr_523.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][1][13] : @[Reg.scala 28:19] + _T_19901 <= bht_bank_wr_data_0_1_13 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][29] <= _T_19901 @[ifu_bp_ctl.scala 450:39] + reg _T_19902 : UInt, rvclkhdr_523.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][1][14] : @[Reg.scala 28:19] + _T_19902 <= bht_bank_wr_data_0_1_14 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][30] <= _T_19902 @[ifu_bp_ctl.scala 450:39] + reg _T_19903 : UInt, rvclkhdr_523.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][1][15] : @[Reg.scala 28:19] + _T_19903 <= bht_bank_wr_data_0_1_15 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][31] <= _T_19903 @[ifu_bp_ctl.scala 450:39] + reg _T_19904 : UInt, rvclkhdr_524.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][2][0] : @[Reg.scala 28:19] + _T_19904 <= bht_bank_wr_data_0_2_0 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][32] <= _T_19904 @[ifu_bp_ctl.scala 450:39] + reg _T_19905 : UInt, rvclkhdr_524.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][2][1] : @[Reg.scala 28:19] + _T_19905 <= bht_bank_wr_data_0_2_1 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][33] <= _T_19905 @[ifu_bp_ctl.scala 450:39] + reg _T_19906 : UInt, rvclkhdr_524.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][2][2] : @[Reg.scala 28:19] + _T_19906 <= bht_bank_wr_data_0_2_2 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][34] <= _T_19906 @[ifu_bp_ctl.scala 450:39] + reg _T_19907 : UInt, rvclkhdr_524.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][2][3] : @[Reg.scala 28:19] + _T_19907 <= bht_bank_wr_data_0_2_3 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][35] <= _T_19907 @[ifu_bp_ctl.scala 450:39] + reg _T_19908 : UInt, rvclkhdr_524.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][2][4] : @[Reg.scala 28:19] + _T_19908 <= bht_bank_wr_data_0_2_4 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][36] <= _T_19908 @[ifu_bp_ctl.scala 450:39] + reg _T_19909 : UInt, rvclkhdr_524.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][2][5] : @[Reg.scala 28:19] + _T_19909 <= bht_bank_wr_data_0_2_5 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][37] <= _T_19909 @[ifu_bp_ctl.scala 450:39] + reg _T_19910 : UInt, rvclkhdr_524.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][2][6] : @[Reg.scala 28:19] + _T_19910 <= bht_bank_wr_data_0_2_6 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][38] <= _T_19910 @[ifu_bp_ctl.scala 450:39] + reg _T_19911 : UInt, rvclkhdr_524.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][2][7] : @[Reg.scala 28:19] + _T_19911 <= bht_bank_wr_data_0_2_7 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][39] <= _T_19911 @[ifu_bp_ctl.scala 450:39] + reg _T_19912 : UInt, rvclkhdr_524.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][2][8] : @[Reg.scala 28:19] + _T_19912 <= bht_bank_wr_data_0_2_8 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][40] <= _T_19912 @[ifu_bp_ctl.scala 450:39] + reg _T_19913 : UInt, rvclkhdr_524.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][2][9] : @[Reg.scala 28:19] + _T_19913 <= bht_bank_wr_data_0_2_9 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][41] <= _T_19913 @[ifu_bp_ctl.scala 450:39] + reg _T_19914 : UInt, rvclkhdr_524.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][2][10] : @[Reg.scala 28:19] + _T_19914 <= bht_bank_wr_data_0_2_10 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][42] <= _T_19914 @[ifu_bp_ctl.scala 450:39] + reg _T_19915 : UInt, rvclkhdr_524.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][2][11] : @[Reg.scala 28:19] + _T_19915 <= bht_bank_wr_data_0_2_11 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][43] <= _T_19915 @[ifu_bp_ctl.scala 450:39] + reg _T_19916 : UInt, rvclkhdr_524.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][2][12] : @[Reg.scala 28:19] + _T_19916 <= bht_bank_wr_data_0_2_12 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][44] <= _T_19916 @[ifu_bp_ctl.scala 450:39] + reg _T_19917 : UInt, rvclkhdr_524.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][2][13] : @[Reg.scala 28:19] + _T_19917 <= bht_bank_wr_data_0_2_13 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][45] <= _T_19917 @[ifu_bp_ctl.scala 450:39] + reg _T_19918 : UInt, rvclkhdr_524.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][2][14] : @[Reg.scala 28:19] + _T_19918 <= bht_bank_wr_data_0_2_14 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][46] <= _T_19918 @[ifu_bp_ctl.scala 450:39] + reg _T_19919 : UInt, rvclkhdr_524.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][2][15] : @[Reg.scala 28:19] + _T_19919 <= bht_bank_wr_data_0_2_15 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][47] <= _T_19919 @[ifu_bp_ctl.scala 450:39] + reg _T_19920 : UInt, rvclkhdr_525.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][3][0] : @[Reg.scala 28:19] + _T_19920 <= bht_bank_wr_data_0_3_0 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][48] <= _T_19920 @[ifu_bp_ctl.scala 450:39] + reg _T_19921 : UInt, rvclkhdr_525.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][3][1] : @[Reg.scala 28:19] + _T_19921 <= bht_bank_wr_data_0_3_1 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][49] <= _T_19921 @[ifu_bp_ctl.scala 450:39] + reg _T_19922 : UInt, rvclkhdr_525.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][3][2] : @[Reg.scala 28:19] + _T_19922 <= bht_bank_wr_data_0_3_2 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][50] <= _T_19922 @[ifu_bp_ctl.scala 450:39] + reg _T_19923 : UInt, rvclkhdr_525.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][3][3] : @[Reg.scala 28:19] + _T_19923 <= bht_bank_wr_data_0_3_3 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][51] <= _T_19923 @[ifu_bp_ctl.scala 450:39] + reg _T_19924 : UInt, rvclkhdr_525.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][3][4] : @[Reg.scala 28:19] + _T_19924 <= bht_bank_wr_data_0_3_4 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][52] <= _T_19924 @[ifu_bp_ctl.scala 450:39] + reg _T_19925 : UInt, rvclkhdr_525.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][3][5] : @[Reg.scala 28:19] + _T_19925 <= bht_bank_wr_data_0_3_5 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][53] <= _T_19925 @[ifu_bp_ctl.scala 450:39] + reg _T_19926 : UInt, rvclkhdr_525.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][3][6] : @[Reg.scala 28:19] + _T_19926 <= bht_bank_wr_data_0_3_6 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][54] <= _T_19926 @[ifu_bp_ctl.scala 450:39] + reg _T_19927 : UInt, rvclkhdr_525.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][3][7] : @[Reg.scala 28:19] + _T_19927 <= bht_bank_wr_data_0_3_7 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][55] <= _T_19927 @[ifu_bp_ctl.scala 450:39] + reg _T_19928 : UInt, rvclkhdr_525.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][3][8] : @[Reg.scala 28:19] + _T_19928 <= bht_bank_wr_data_0_3_8 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][56] <= _T_19928 @[ifu_bp_ctl.scala 450:39] + reg _T_19929 : UInt, rvclkhdr_525.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][3][9] : @[Reg.scala 28:19] + _T_19929 <= bht_bank_wr_data_0_3_9 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][57] <= _T_19929 @[ifu_bp_ctl.scala 450:39] + reg _T_19930 : UInt, rvclkhdr_525.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][3][10] : @[Reg.scala 28:19] + _T_19930 <= bht_bank_wr_data_0_3_10 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][58] <= _T_19930 @[ifu_bp_ctl.scala 450:39] + reg _T_19931 : UInt, rvclkhdr_525.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][3][11] : @[Reg.scala 28:19] + _T_19931 <= bht_bank_wr_data_0_3_11 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][59] <= _T_19931 @[ifu_bp_ctl.scala 450:39] + reg _T_19932 : UInt, rvclkhdr_525.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][3][12] : @[Reg.scala 28:19] + _T_19932 <= bht_bank_wr_data_0_3_12 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][60] <= _T_19932 @[ifu_bp_ctl.scala 450:39] + reg _T_19933 : UInt, rvclkhdr_525.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][3][13] : @[Reg.scala 28:19] + _T_19933 <= bht_bank_wr_data_0_3_13 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][61] <= _T_19933 @[ifu_bp_ctl.scala 450:39] + reg _T_19934 : UInt, rvclkhdr_525.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][3][14] : @[Reg.scala 28:19] + _T_19934 <= bht_bank_wr_data_0_3_14 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][62] <= _T_19934 @[ifu_bp_ctl.scala 450:39] + reg _T_19935 : UInt, rvclkhdr_525.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][3][15] : @[Reg.scala 28:19] + _T_19935 <= bht_bank_wr_data_0_3_15 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][63] <= _T_19935 @[ifu_bp_ctl.scala 450:39] + reg _T_19936 : UInt, rvclkhdr_526.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][4][0] : @[Reg.scala 28:19] + _T_19936 <= bht_bank_wr_data_0_4_0 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][64] <= _T_19936 @[ifu_bp_ctl.scala 450:39] + reg _T_19937 : UInt, rvclkhdr_526.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][4][1] : @[Reg.scala 28:19] + _T_19937 <= bht_bank_wr_data_0_4_1 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][65] <= _T_19937 @[ifu_bp_ctl.scala 450:39] + reg _T_19938 : UInt, rvclkhdr_526.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][4][2] : @[Reg.scala 28:19] + _T_19938 <= bht_bank_wr_data_0_4_2 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][66] <= _T_19938 @[ifu_bp_ctl.scala 450:39] + reg _T_19939 : UInt, rvclkhdr_526.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][4][3] : @[Reg.scala 28:19] + _T_19939 <= bht_bank_wr_data_0_4_3 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][67] <= _T_19939 @[ifu_bp_ctl.scala 450:39] + reg _T_19940 : UInt, rvclkhdr_526.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][4][4] : @[Reg.scala 28:19] + _T_19940 <= bht_bank_wr_data_0_4_4 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][68] <= _T_19940 @[ifu_bp_ctl.scala 450:39] + reg _T_19941 : UInt, rvclkhdr_526.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][4][5] : @[Reg.scala 28:19] + _T_19941 <= bht_bank_wr_data_0_4_5 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][69] <= _T_19941 @[ifu_bp_ctl.scala 450:39] + reg _T_19942 : UInt, rvclkhdr_526.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][4][6] : @[Reg.scala 28:19] + _T_19942 <= bht_bank_wr_data_0_4_6 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][70] <= _T_19942 @[ifu_bp_ctl.scala 450:39] + reg _T_19943 : UInt, rvclkhdr_526.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][4][7] : @[Reg.scala 28:19] + _T_19943 <= bht_bank_wr_data_0_4_7 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][71] <= _T_19943 @[ifu_bp_ctl.scala 450:39] + reg _T_19944 : UInt, rvclkhdr_526.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][4][8] : @[Reg.scala 28:19] + _T_19944 <= bht_bank_wr_data_0_4_8 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][72] <= _T_19944 @[ifu_bp_ctl.scala 450:39] + reg _T_19945 : UInt, rvclkhdr_526.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][4][9] : @[Reg.scala 28:19] + _T_19945 <= bht_bank_wr_data_0_4_9 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][73] <= _T_19945 @[ifu_bp_ctl.scala 450:39] + reg _T_19946 : UInt, rvclkhdr_526.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][4][10] : @[Reg.scala 28:19] + _T_19946 <= bht_bank_wr_data_0_4_10 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][74] <= _T_19946 @[ifu_bp_ctl.scala 450:39] + reg _T_19947 : UInt, rvclkhdr_526.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][4][11] : @[Reg.scala 28:19] + _T_19947 <= bht_bank_wr_data_0_4_11 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][75] <= _T_19947 @[ifu_bp_ctl.scala 450:39] + reg _T_19948 : UInt, rvclkhdr_526.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][4][12] : @[Reg.scala 28:19] + _T_19948 <= bht_bank_wr_data_0_4_12 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][76] <= _T_19948 @[ifu_bp_ctl.scala 450:39] + reg _T_19949 : UInt, rvclkhdr_526.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][4][13] : @[Reg.scala 28:19] + _T_19949 <= bht_bank_wr_data_0_4_13 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][77] <= _T_19949 @[ifu_bp_ctl.scala 450:39] + reg _T_19950 : UInt, rvclkhdr_526.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][4][14] : @[Reg.scala 28:19] + _T_19950 <= bht_bank_wr_data_0_4_14 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][78] <= _T_19950 @[ifu_bp_ctl.scala 450:39] + reg _T_19951 : UInt, rvclkhdr_526.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][4][15] : @[Reg.scala 28:19] + _T_19951 <= bht_bank_wr_data_0_4_15 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][79] <= _T_19951 @[ifu_bp_ctl.scala 450:39] + reg _T_19952 : UInt, rvclkhdr_527.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][5][0] : @[Reg.scala 28:19] + _T_19952 <= bht_bank_wr_data_0_5_0 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][80] <= _T_19952 @[ifu_bp_ctl.scala 450:39] + reg _T_19953 : UInt, rvclkhdr_527.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][5][1] : @[Reg.scala 28:19] + _T_19953 <= bht_bank_wr_data_0_5_1 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][81] <= _T_19953 @[ifu_bp_ctl.scala 450:39] + reg _T_19954 : UInt, rvclkhdr_527.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][5][2] : @[Reg.scala 28:19] + _T_19954 <= bht_bank_wr_data_0_5_2 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][82] <= _T_19954 @[ifu_bp_ctl.scala 450:39] + reg _T_19955 : UInt, rvclkhdr_527.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][5][3] : @[Reg.scala 28:19] + _T_19955 <= bht_bank_wr_data_0_5_3 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][83] <= _T_19955 @[ifu_bp_ctl.scala 450:39] + reg _T_19956 : UInt, rvclkhdr_527.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][5][4] : @[Reg.scala 28:19] + _T_19956 <= bht_bank_wr_data_0_5_4 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][84] <= _T_19956 @[ifu_bp_ctl.scala 450:39] + reg _T_19957 : UInt, rvclkhdr_527.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][5][5] : @[Reg.scala 28:19] + _T_19957 <= bht_bank_wr_data_0_5_5 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][85] <= _T_19957 @[ifu_bp_ctl.scala 450:39] + reg _T_19958 : UInt, rvclkhdr_527.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][5][6] : @[Reg.scala 28:19] + _T_19958 <= bht_bank_wr_data_0_5_6 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][86] <= _T_19958 @[ifu_bp_ctl.scala 450:39] + reg _T_19959 : UInt, rvclkhdr_527.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][5][7] : @[Reg.scala 28:19] + _T_19959 <= bht_bank_wr_data_0_5_7 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][87] <= _T_19959 @[ifu_bp_ctl.scala 450:39] + reg _T_19960 : UInt, rvclkhdr_527.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][5][8] : @[Reg.scala 28:19] + _T_19960 <= bht_bank_wr_data_0_5_8 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][88] <= _T_19960 @[ifu_bp_ctl.scala 450:39] + reg _T_19961 : UInt, rvclkhdr_527.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][5][9] : @[Reg.scala 28:19] + _T_19961 <= bht_bank_wr_data_0_5_9 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][89] <= _T_19961 @[ifu_bp_ctl.scala 450:39] + reg _T_19962 : UInt, rvclkhdr_527.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][5][10] : @[Reg.scala 28:19] + _T_19962 <= bht_bank_wr_data_0_5_10 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][90] <= _T_19962 @[ifu_bp_ctl.scala 450:39] + reg _T_19963 : UInt, rvclkhdr_527.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][5][11] : @[Reg.scala 28:19] + _T_19963 <= bht_bank_wr_data_0_5_11 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][91] <= _T_19963 @[ifu_bp_ctl.scala 450:39] + reg _T_19964 : UInt, rvclkhdr_527.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][5][12] : @[Reg.scala 28:19] + _T_19964 <= bht_bank_wr_data_0_5_12 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][92] <= _T_19964 @[ifu_bp_ctl.scala 450:39] + reg _T_19965 : UInt, rvclkhdr_527.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][5][13] : @[Reg.scala 28:19] + _T_19965 <= bht_bank_wr_data_0_5_13 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][93] <= _T_19965 @[ifu_bp_ctl.scala 450:39] + reg _T_19966 : UInt, rvclkhdr_527.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][5][14] : @[Reg.scala 28:19] + _T_19966 <= bht_bank_wr_data_0_5_14 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][94] <= _T_19966 @[ifu_bp_ctl.scala 450:39] + reg _T_19967 : UInt, rvclkhdr_527.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][5][15] : @[Reg.scala 28:19] + _T_19967 <= bht_bank_wr_data_0_5_15 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][95] <= _T_19967 @[ifu_bp_ctl.scala 450:39] + reg _T_19968 : UInt, rvclkhdr_528.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][6][0] : @[Reg.scala 28:19] + _T_19968 <= bht_bank_wr_data_0_6_0 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][96] <= _T_19968 @[ifu_bp_ctl.scala 450:39] + reg _T_19969 : UInt, rvclkhdr_528.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][6][1] : @[Reg.scala 28:19] + _T_19969 <= bht_bank_wr_data_0_6_1 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][97] <= _T_19969 @[ifu_bp_ctl.scala 450:39] + reg _T_19970 : UInt, rvclkhdr_528.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][6][2] : @[Reg.scala 28:19] + _T_19970 <= bht_bank_wr_data_0_6_2 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][98] <= _T_19970 @[ifu_bp_ctl.scala 450:39] + reg _T_19971 : UInt, rvclkhdr_528.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][6][3] : @[Reg.scala 28:19] + _T_19971 <= bht_bank_wr_data_0_6_3 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][99] <= _T_19971 @[ifu_bp_ctl.scala 450:39] + reg _T_19972 : UInt, rvclkhdr_528.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][6][4] : @[Reg.scala 28:19] + _T_19972 <= bht_bank_wr_data_0_6_4 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][100] <= _T_19972 @[ifu_bp_ctl.scala 450:39] + reg _T_19973 : UInt, rvclkhdr_528.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][6][5] : @[Reg.scala 28:19] + _T_19973 <= bht_bank_wr_data_0_6_5 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][101] <= _T_19973 @[ifu_bp_ctl.scala 450:39] + reg _T_19974 : UInt, rvclkhdr_528.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][6][6] : @[Reg.scala 28:19] + _T_19974 <= bht_bank_wr_data_0_6_6 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][102] <= _T_19974 @[ifu_bp_ctl.scala 450:39] + reg _T_19975 : UInt, rvclkhdr_528.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][6][7] : @[Reg.scala 28:19] + _T_19975 <= bht_bank_wr_data_0_6_7 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][103] <= _T_19975 @[ifu_bp_ctl.scala 450:39] + reg _T_19976 : UInt, rvclkhdr_528.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][6][8] : @[Reg.scala 28:19] + _T_19976 <= bht_bank_wr_data_0_6_8 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][104] <= _T_19976 @[ifu_bp_ctl.scala 450:39] + reg _T_19977 : UInt, rvclkhdr_528.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][6][9] : @[Reg.scala 28:19] + _T_19977 <= bht_bank_wr_data_0_6_9 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][105] <= _T_19977 @[ifu_bp_ctl.scala 450:39] + reg _T_19978 : UInt, rvclkhdr_528.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][6][10] : @[Reg.scala 28:19] + _T_19978 <= bht_bank_wr_data_0_6_10 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][106] <= _T_19978 @[ifu_bp_ctl.scala 450:39] + reg _T_19979 : UInt, rvclkhdr_528.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][6][11] : @[Reg.scala 28:19] + _T_19979 <= bht_bank_wr_data_0_6_11 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][107] <= _T_19979 @[ifu_bp_ctl.scala 450:39] + reg _T_19980 : UInt, rvclkhdr_528.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][6][12] : @[Reg.scala 28:19] + _T_19980 <= bht_bank_wr_data_0_6_12 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][108] <= _T_19980 @[ifu_bp_ctl.scala 450:39] + reg _T_19981 : UInt, rvclkhdr_528.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][6][13] : @[Reg.scala 28:19] + _T_19981 <= bht_bank_wr_data_0_6_13 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][109] <= _T_19981 @[ifu_bp_ctl.scala 450:39] + reg _T_19982 : UInt, rvclkhdr_528.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][6][14] : @[Reg.scala 28:19] + _T_19982 <= bht_bank_wr_data_0_6_14 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][110] <= _T_19982 @[ifu_bp_ctl.scala 450:39] + reg _T_19983 : UInt, rvclkhdr_528.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][6][15] : @[Reg.scala 28:19] + _T_19983 <= bht_bank_wr_data_0_6_15 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][111] <= _T_19983 @[ifu_bp_ctl.scala 450:39] + reg _T_19984 : UInt, rvclkhdr_529.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][7][0] : @[Reg.scala 28:19] + _T_19984 <= bht_bank_wr_data_0_7_0 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][112] <= _T_19984 @[ifu_bp_ctl.scala 450:39] + reg _T_19985 : UInt, rvclkhdr_529.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][7][1] : @[Reg.scala 28:19] + _T_19985 <= bht_bank_wr_data_0_7_1 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][113] <= _T_19985 @[ifu_bp_ctl.scala 450:39] + reg _T_19986 : UInt, rvclkhdr_529.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][7][2] : @[Reg.scala 28:19] + _T_19986 <= bht_bank_wr_data_0_7_2 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][114] <= _T_19986 @[ifu_bp_ctl.scala 450:39] + reg _T_19987 : UInt, rvclkhdr_529.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][7][3] : @[Reg.scala 28:19] + _T_19987 <= bht_bank_wr_data_0_7_3 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][115] <= _T_19987 @[ifu_bp_ctl.scala 450:39] + reg _T_19988 : UInt, rvclkhdr_529.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][7][4] : @[Reg.scala 28:19] + _T_19988 <= bht_bank_wr_data_0_7_4 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][116] <= _T_19988 @[ifu_bp_ctl.scala 450:39] + reg _T_19989 : UInt, rvclkhdr_529.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][7][5] : @[Reg.scala 28:19] + _T_19989 <= bht_bank_wr_data_0_7_5 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][117] <= _T_19989 @[ifu_bp_ctl.scala 450:39] + reg _T_19990 : UInt, rvclkhdr_529.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][7][6] : @[Reg.scala 28:19] + _T_19990 <= bht_bank_wr_data_0_7_6 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][118] <= _T_19990 @[ifu_bp_ctl.scala 450:39] + reg _T_19991 : UInt, rvclkhdr_529.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][7][7] : @[Reg.scala 28:19] + _T_19991 <= bht_bank_wr_data_0_7_7 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][119] <= _T_19991 @[ifu_bp_ctl.scala 450:39] + reg _T_19992 : UInt, rvclkhdr_529.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][7][8] : @[Reg.scala 28:19] + _T_19992 <= bht_bank_wr_data_0_7_8 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][120] <= _T_19992 @[ifu_bp_ctl.scala 450:39] + reg _T_19993 : UInt, rvclkhdr_529.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][7][9] : @[Reg.scala 28:19] + _T_19993 <= bht_bank_wr_data_0_7_9 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][121] <= _T_19993 @[ifu_bp_ctl.scala 450:39] + reg _T_19994 : UInt, rvclkhdr_529.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][7][10] : @[Reg.scala 28:19] + _T_19994 <= bht_bank_wr_data_0_7_10 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][122] <= _T_19994 @[ifu_bp_ctl.scala 450:39] + reg _T_19995 : UInt, rvclkhdr_529.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][7][11] : @[Reg.scala 28:19] + _T_19995 <= bht_bank_wr_data_0_7_11 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][123] <= _T_19995 @[ifu_bp_ctl.scala 450:39] + reg _T_19996 : UInt, rvclkhdr_529.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][7][12] : @[Reg.scala 28:19] + _T_19996 <= bht_bank_wr_data_0_7_12 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][124] <= _T_19996 @[ifu_bp_ctl.scala 450:39] + reg _T_19997 : UInt, rvclkhdr_529.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][7][13] : @[Reg.scala 28:19] + _T_19997 <= bht_bank_wr_data_0_7_13 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][125] <= _T_19997 @[ifu_bp_ctl.scala 450:39] + reg _T_19998 : UInt, rvclkhdr_529.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][7][14] : @[Reg.scala 28:19] + _T_19998 <= bht_bank_wr_data_0_7_14 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][126] <= _T_19998 @[ifu_bp_ctl.scala 450:39] + reg _T_19999 : UInt, rvclkhdr_529.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][7][15] : @[Reg.scala 28:19] + _T_19999 <= bht_bank_wr_data_0_7_15 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][127] <= _T_19999 @[ifu_bp_ctl.scala 450:39] + reg _T_20000 : UInt, rvclkhdr_530.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][8][0] : @[Reg.scala 28:19] + _T_20000 <= bht_bank_wr_data_0_8_0 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][128] <= _T_20000 @[ifu_bp_ctl.scala 450:39] + reg _T_20001 : UInt, rvclkhdr_530.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][8][1] : @[Reg.scala 28:19] + _T_20001 <= bht_bank_wr_data_0_8_1 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][129] <= _T_20001 @[ifu_bp_ctl.scala 450:39] + reg _T_20002 : UInt, rvclkhdr_530.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][8][2] : @[Reg.scala 28:19] + _T_20002 <= bht_bank_wr_data_0_8_2 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][130] <= _T_20002 @[ifu_bp_ctl.scala 450:39] + reg _T_20003 : UInt, rvclkhdr_530.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][8][3] : @[Reg.scala 28:19] + _T_20003 <= bht_bank_wr_data_0_8_3 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][131] <= _T_20003 @[ifu_bp_ctl.scala 450:39] + reg _T_20004 : UInt, rvclkhdr_530.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][8][4] : @[Reg.scala 28:19] + _T_20004 <= bht_bank_wr_data_0_8_4 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][132] <= _T_20004 @[ifu_bp_ctl.scala 450:39] + reg _T_20005 : UInt, rvclkhdr_530.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][8][5] : @[Reg.scala 28:19] + _T_20005 <= bht_bank_wr_data_0_8_5 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][133] <= _T_20005 @[ifu_bp_ctl.scala 450:39] + reg _T_20006 : UInt, rvclkhdr_530.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][8][6] : @[Reg.scala 28:19] + _T_20006 <= bht_bank_wr_data_0_8_6 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][134] <= _T_20006 @[ifu_bp_ctl.scala 450:39] + reg _T_20007 : UInt, rvclkhdr_530.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][8][7] : @[Reg.scala 28:19] + _T_20007 <= bht_bank_wr_data_0_8_7 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][135] <= _T_20007 @[ifu_bp_ctl.scala 450:39] + reg _T_20008 : UInt, rvclkhdr_530.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][8][8] : @[Reg.scala 28:19] + _T_20008 <= bht_bank_wr_data_0_8_8 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][136] <= _T_20008 @[ifu_bp_ctl.scala 450:39] + reg _T_20009 : UInt, rvclkhdr_530.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][8][9] : @[Reg.scala 28:19] + _T_20009 <= bht_bank_wr_data_0_8_9 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][137] <= _T_20009 @[ifu_bp_ctl.scala 450:39] + reg _T_20010 : UInt, rvclkhdr_530.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][8][10] : @[Reg.scala 28:19] + _T_20010 <= bht_bank_wr_data_0_8_10 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][138] <= _T_20010 @[ifu_bp_ctl.scala 450:39] + reg _T_20011 : UInt, rvclkhdr_530.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][8][11] : @[Reg.scala 28:19] + _T_20011 <= bht_bank_wr_data_0_8_11 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][139] <= _T_20011 @[ifu_bp_ctl.scala 450:39] + reg _T_20012 : UInt, rvclkhdr_530.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][8][12] : @[Reg.scala 28:19] + _T_20012 <= bht_bank_wr_data_0_8_12 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][140] <= _T_20012 @[ifu_bp_ctl.scala 450:39] + reg _T_20013 : UInt, rvclkhdr_530.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][8][13] : @[Reg.scala 28:19] + _T_20013 <= bht_bank_wr_data_0_8_13 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][141] <= _T_20013 @[ifu_bp_ctl.scala 450:39] + reg _T_20014 : UInt, rvclkhdr_530.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][8][14] : @[Reg.scala 28:19] + _T_20014 <= bht_bank_wr_data_0_8_14 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][142] <= _T_20014 @[ifu_bp_ctl.scala 450:39] + reg _T_20015 : UInt, rvclkhdr_530.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][8][15] : @[Reg.scala 28:19] + _T_20015 <= bht_bank_wr_data_0_8_15 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][143] <= _T_20015 @[ifu_bp_ctl.scala 450:39] + reg _T_20016 : UInt, rvclkhdr_531.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][9][0] : @[Reg.scala 28:19] + _T_20016 <= bht_bank_wr_data_0_9_0 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][144] <= _T_20016 @[ifu_bp_ctl.scala 450:39] + reg _T_20017 : UInt, rvclkhdr_531.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][9][1] : @[Reg.scala 28:19] + _T_20017 <= bht_bank_wr_data_0_9_1 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][145] <= _T_20017 @[ifu_bp_ctl.scala 450:39] + reg _T_20018 : UInt, rvclkhdr_531.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][9][2] : @[Reg.scala 28:19] + _T_20018 <= bht_bank_wr_data_0_9_2 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][146] <= _T_20018 @[ifu_bp_ctl.scala 450:39] + reg _T_20019 : UInt, rvclkhdr_531.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][9][3] : @[Reg.scala 28:19] + _T_20019 <= bht_bank_wr_data_0_9_3 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][147] <= _T_20019 @[ifu_bp_ctl.scala 450:39] + reg _T_20020 : UInt, rvclkhdr_531.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][9][4] : @[Reg.scala 28:19] + _T_20020 <= bht_bank_wr_data_0_9_4 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][148] <= _T_20020 @[ifu_bp_ctl.scala 450:39] + reg _T_20021 : UInt, rvclkhdr_531.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][9][5] : @[Reg.scala 28:19] + _T_20021 <= bht_bank_wr_data_0_9_5 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][149] <= _T_20021 @[ifu_bp_ctl.scala 450:39] + reg _T_20022 : UInt, rvclkhdr_531.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][9][6] : @[Reg.scala 28:19] + _T_20022 <= bht_bank_wr_data_0_9_6 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][150] <= _T_20022 @[ifu_bp_ctl.scala 450:39] + reg _T_20023 : UInt, rvclkhdr_531.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][9][7] : @[Reg.scala 28:19] + _T_20023 <= bht_bank_wr_data_0_9_7 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][151] <= _T_20023 @[ifu_bp_ctl.scala 450:39] + reg _T_20024 : UInt, rvclkhdr_531.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][9][8] : @[Reg.scala 28:19] + _T_20024 <= bht_bank_wr_data_0_9_8 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][152] <= _T_20024 @[ifu_bp_ctl.scala 450:39] + reg _T_20025 : UInt, rvclkhdr_531.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][9][9] : @[Reg.scala 28:19] + _T_20025 <= bht_bank_wr_data_0_9_9 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][153] <= _T_20025 @[ifu_bp_ctl.scala 450:39] + reg _T_20026 : UInt, rvclkhdr_531.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][9][10] : @[Reg.scala 28:19] + _T_20026 <= bht_bank_wr_data_0_9_10 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][154] <= _T_20026 @[ifu_bp_ctl.scala 450:39] + reg _T_20027 : UInt, rvclkhdr_531.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][9][11] : @[Reg.scala 28:19] + _T_20027 <= bht_bank_wr_data_0_9_11 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][155] <= _T_20027 @[ifu_bp_ctl.scala 450:39] + reg _T_20028 : UInt, rvclkhdr_531.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][9][12] : @[Reg.scala 28:19] + _T_20028 <= bht_bank_wr_data_0_9_12 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][156] <= _T_20028 @[ifu_bp_ctl.scala 450:39] + reg _T_20029 : UInt, rvclkhdr_531.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][9][13] : @[Reg.scala 28:19] + _T_20029 <= bht_bank_wr_data_0_9_13 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][157] <= _T_20029 @[ifu_bp_ctl.scala 450:39] + reg _T_20030 : UInt, rvclkhdr_531.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][9][14] : @[Reg.scala 28:19] + _T_20030 <= bht_bank_wr_data_0_9_14 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][158] <= _T_20030 @[ifu_bp_ctl.scala 450:39] + reg _T_20031 : UInt, rvclkhdr_531.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][9][15] : @[Reg.scala 28:19] + _T_20031 <= bht_bank_wr_data_0_9_15 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][159] <= _T_20031 @[ifu_bp_ctl.scala 450:39] + reg _T_20032 : UInt, rvclkhdr_532.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][10][0] : @[Reg.scala 28:19] + _T_20032 <= bht_bank_wr_data_0_10_0 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][160] <= _T_20032 @[ifu_bp_ctl.scala 450:39] + reg _T_20033 : UInt, rvclkhdr_532.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][10][1] : @[Reg.scala 28:19] + _T_20033 <= bht_bank_wr_data_0_10_1 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][161] <= _T_20033 @[ifu_bp_ctl.scala 450:39] + reg _T_20034 : UInt, rvclkhdr_532.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][10][2] : @[Reg.scala 28:19] + _T_20034 <= bht_bank_wr_data_0_10_2 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][162] <= _T_20034 @[ifu_bp_ctl.scala 450:39] + reg _T_20035 : UInt, rvclkhdr_532.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][10][3] : @[Reg.scala 28:19] + _T_20035 <= bht_bank_wr_data_0_10_3 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][163] <= _T_20035 @[ifu_bp_ctl.scala 450:39] + reg _T_20036 : UInt, rvclkhdr_532.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][10][4] : @[Reg.scala 28:19] + _T_20036 <= bht_bank_wr_data_0_10_4 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][164] <= _T_20036 @[ifu_bp_ctl.scala 450:39] + reg _T_20037 : UInt, rvclkhdr_532.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][10][5] : @[Reg.scala 28:19] + _T_20037 <= bht_bank_wr_data_0_10_5 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][165] <= _T_20037 @[ifu_bp_ctl.scala 450:39] + reg _T_20038 : UInt, rvclkhdr_532.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][10][6] : @[Reg.scala 28:19] + _T_20038 <= bht_bank_wr_data_0_10_6 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][166] <= _T_20038 @[ifu_bp_ctl.scala 450:39] + reg _T_20039 : UInt, rvclkhdr_532.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][10][7] : @[Reg.scala 28:19] + _T_20039 <= bht_bank_wr_data_0_10_7 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][167] <= _T_20039 @[ifu_bp_ctl.scala 450:39] + reg _T_20040 : UInt, rvclkhdr_532.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][10][8] : @[Reg.scala 28:19] + _T_20040 <= bht_bank_wr_data_0_10_8 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][168] <= _T_20040 @[ifu_bp_ctl.scala 450:39] + reg _T_20041 : UInt, rvclkhdr_532.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][10][9] : @[Reg.scala 28:19] + _T_20041 <= bht_bank_wr_data_0_10_9 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][169] <= _T_20041 @[ifu_bp_ctl.scala 450:39] + reg _T_20042 : UInt, rvclkhdr_532.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][10][10] : @[Reg.scala 28:19] + _T_20042 <= bht_bank_wr_data_0_10_10 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][170] <= _T_20042 @[ifu_bp_ctl.scala 450:39] + reg _T_20043 : UInt, rvclkhdr_532.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][10][11] : @[Reg.scala 28:19] + _T_20043 <= bht_bank_wr_data_0_10_11 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][171] <= _T_20043 @[ifu_bp_ctl.scala 450:39] + reg _T_20044 : UInt, rvclkhdr_532.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][10][12] : @[Reg.scala 28:19] + _T_20044 <= bht_bank_wr_data_0_10_12 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][172] <= _T_20044 @[ifu_bp_ctl.scala 450:39] + reg _T_20045 : UInt, rvclkhdr_532.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][10][13] : @[Reg.scala 28:19] + _T_20045 <= bht_bank_wr_data_0_10_13 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][173] <= _T_20045 @[ifu_bp_ctl.scala 450:39] + reg _T_20046 : UInt, rvclkhdr_532.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][10][14] : @[Reg.scala 28:19] + _T_20046 <= bht_bank_wr_data_0_10_14 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][174] <= _T_20046 @[ifu_bp_ctl.scala 450:39] + reg _T_20047 : UInt, rvclkhdr_532.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][10][15] : @[Reg.scala 28:19] + _T_20047 <= bht_bank_wr_data_0_10_15 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][175] <= _T_20047 @[ifu_bp_ctl.scala 450:39] + reg _T_20048 : UInt, rvclkhdr_533.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][11][0] : @[Reg.scala 28:19] + _T_20048 <= bht_bank_wr_data_0_11_0 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][176] <= _T_20048 @[ifu_bp_ctl.scala 450:39] + reg _T_20049 : UInt, rvclkhdr_533.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][11][1] : @[Reg.scala 28:19] + _T_20049 <= bht_bank_wr_data_0_11_1 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][177] <= _T_20049 @[ifu_bp_ctl.scala 450:39] + reg _T_20050 : UInt, rvclkhdr_533.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][11][2] : @[Reg.scala 28:19] + _T_20050 <= bht_bank_wr_data_0_11_2 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][178] <= _T_20050 @[ifu_bp_ctl.scala 450:39] + reg _T_20051 : UInt, rvclkhdr_533.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][11][3] : @[Reg.scala 28:19] + _T_20051 <= bht_bank_wr_data_0_11_3 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][179] <= _T_20051 @[ifu_bp_ctl.scala 450:39] + reg _T_20052 : UInt, rvclkhdr_533.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][11][4] : @[Reg.scala 28:19] + _T_20052 <= bht_bank_wr_data_0_11_4 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][180] <= _T_20052 @[ifu_bp_ctl.scala 450:39] + reg _T_20053 : UInt, rvclkhdr_533.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][11][5] : @[Reg.scala 28:19] + _T_20053 <= bht_bank_wr_data_0_11_5 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][181] <= _T_20053 @[ifu_bp_ctl.scala 450:39] + reg _T_20054 : UInt, rvclkhdr_533.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][11][6] : @[Reg.scala 28:19] + _T_20054 <= bht_bank_wr_data_0_11_6 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][182] <= _T_20054 @[ifu_bp_ctl.scala 450:39] + reg _T_20055 : UInt, rvclkhdr_533.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][11][7] : @[Reg.scala 28:19] + _T_20055 <= bht_bank_wr_data_0_11_7 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][183] <= _T_20055 @[ifu_bp_ctl.scala 450:39] + reg _T_20056 : UInt, rvclkhdr_533.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][11][8] : @[Reg.scala 28:19] + _T_20056 <= bht_bank_wr_data_0_11_8 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][184] <= _T_20056 @[ifu_bp_ctl.scala 450:39] + reg _T_20057 : UInt, rvclkhdr_533.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][11][9] : @[Reg.scala 28:19] + _T_20057 <= bht_bank_wr_data_0_11_9 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][185] <= _T_20057 @[ifu_bp_ctl.scala 450:39] + reg _T_20058 : UInt, rvclkhdr_533.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][11][10] : @[Reg.scala 28:19] + _T_20058 <= bht_bank_wr_data_0_11_10 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][186] <= _T_20058 @[ifu_bp_ctl.scala 450:39] + reg _T_20059 : UInt, rvclkhdr_533.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][11][11] : @[Reg.scala 28:19] + _T_20059 <= bht_bank_wr_data_0_11_11 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][187] <= _T_20059 @[ifu_bp_ctl.scala 450:39] + reg _T_20060 : UInt, rvclkhdr_533.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][11][12] : @[Reg.scala 28:19] + _T_20060 <= bht_bank_wr_data_0_11_12 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][188] <= _T_20060 @[ifu_bp_ctl.scala 450:39] + reg _T_20061 : UInt, rvclkhdr_533.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][11][13] : @[Reg.scala 28:19] + _T_20061 <= bht_bank_wr_data_0_11_13 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][189] <= _T_20061 @[ifu_bp_ctl.scala 450:39] + reg _T_20062 : UInt, rvclkhdr_533.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][11][14] : @[Reg.scala 28:19] + _T_20062 <= bht_bank_wr_data_0_11_14 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][190] <= _T_20062 @[ifu_bp_ctl.scala 450:39] + reg _T_20063 : UInt, rvclkhdr_533.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][11][15] : @[Reg.scala 28:19] + _T_20063 <= bht_bank_wr_data_0_11_15 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][191] <= _T_20063 @[ifu_bp_ctl.scala 450:39] + reg _T_20064 : UInt, rvclkhdr_534.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][12][0] : @[Reg.scala 28:19] + _T_20064 <= bht_bank_wr_data_0_12_0 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][192] <= _T_20064 @[ifu_bp_ctl.scala 450:39] + reg _T_20065 : UInt, rvclkhdr_534.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][12][1] : @[Reg.scala 28:19] + _T_20065 <= bht_bank_wr_data_0_12_1 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][193] <= _T_20065 @[ifu_bp_ctl.scala 450:39] + reg _T_20066 : UInt, rvclkhdr_534.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][12][2] : @[Reg.scala 28:19] + _T_20066 <= bht_bank_wr_data_0_12_2 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][194] <= _T_20066 @[ifu_bp_ctl.scala 450:39] + reg _T_20067 : UInt, rvclkhdr_534.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][12][3] : @[Reg.scala 28:19] + _T_20067 <= bht_bank_wr_data_0_12_3 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][195] <= _T_20067 @[ifu_bp_ctl.scala 450:39] + reg _T_20068 : UInt, rvclkhdr_534.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][12][4] : @[Reg.scala 28:19] + _T_20068 <= bht_bank_wr_data_0_12_4 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][196] <= _T_20068 @[ifu_bp_ctl.scala 450:39] + reg _T_20069 : UInt, rvclkhdr_534.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][12][5] : @[Reg.scala 28:19] + _T_20069 <= bht_bank_wr_data_0_12_5 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][197] <= _T_20069 @[ifu_bp_ctl.scala 450:39] + reg _T_20070 : UInt, rvclkhdr_534.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][12][6] : @[Reg.scala 28:19] + _T_20070 <= bht_bank_wr_data_0_12_6 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][198] <= _T_20070 @[ifu_bp_ctl.scala 450:39] + reg _T_20071 : UInt, rvclkhdr_534.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][12][7] : @[Reg.scala 28:19] + _T_20071 <= bht_bank_wr_data_0_12_7 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][199] <= _T_20071 @[ifu_bp_ctl.scala 450:39] + reg _T_20072 : UInt, rvclkhdr_534.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][12][8] : @[Reg.scala 28:19] + _T_20072 <= bht_bank_wr_data_0_12_8 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][200] <= _T_20072 @[ifu_bp_ctl.scala 450:39] + reg _T_20073 : UInt, rvclkhdr_534.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][12][9] : @[Reg.scala 28:19] + _T_20073 <= bht_bank_wr_data_0_12_9 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][201] <= _T_20073 @[ifu_bp_ctl.scala 450:39] + reg _T_20074 : UInt, rvclkhdr_534.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][12][10] : @[Reg.scala 28:19] + _T_20074 <= bht_bank_wr_data_0_12_10 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][202] <= _T_20074 @[ifu_bp_ctl.scala 450:39] + reg _T_20075 : UInt, rvclkhdr_534.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][12][11] : @[Reg.scala 28:19] + _T_20075 <= bht_bank_wr_data_0_12_11 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][203] <= _T_20075 @[ifu_bp_ctl.scala 450:39] + reg _T_20076 : UInt, rvclkhdr_534.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][12][12] : @[Reg.scala 28:19] + _T_20076 <= bht_bank_wr_data_0_12_12 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][204] <= _T_20076 @[ifu_bp_ctl.scala 450:39] + reg _T_20077 : UInt, rvclkhdr_534.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][12][13] : @[Reg.scala 28:19] + _T_20077 <= bht_bank_wr_data_0_12_13 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][205] <= _T_20077 @[ifu_bp_ctl.scala 450:39] + reg _T_20078 : UInt, rvclkhdr_534.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][12][14] : @[Reg.scala 28:19] + _T_20078 <= bht_bank_wr_data_0_12_14 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][206] <= _T_20078 @[ifu_bp_ctl.scala 450:39] + reg _T_20079 : UInt, rvclkhdr_534.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][12][15] : @[Reg.scala 28:19] + _T_20079 <= bht_bank_wr_data_0_12_15 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][207] <= _T_20079 @[ifu_bp_ctl.scala 450:39] + reg _T_20080 : UInt, rvclkhdr_535.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][13][0] : @[Reg.scala 28:19] + _T_20080 <= bht_bank_wr_data_0_13_0 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][208] <= _T_20080 @[ifu_bp_ctl.scala 450:39] + reg _T_20081 : UInt, rvclkhdr_535.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][13][1] : @[Reg.scala 28:19] + _T_20081 <= bht_bank_wr_data_0_13_1 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][209] <= _T_20081 @[ifu_bp_ctl.scala 450:39] + reg _T_20082 : UInt, rvclkhdr_535.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][13][2] : @[Reg.scala 28:19] + _T_20082 <= bht_bank_wr_data_0_13_2 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][210] <= _T_20082 @[ifu_bp_ctl.scala 450:39] + reg _T_20083 : UInt, rvclkhdr_535.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][13][3] : @[Reg.scala 28:19] + _T_20083 <= bht_bank_wr_data_0_13_3 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][211] <= _T_20083 @[ifu_bp_ctl.scala 450:39] + reg _T_20084 : UInt, rvclkhdr_535.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][13][4] : @[Reg.scala 28:19] + _T_20084 <= bht_bank_wr_data_0_13_4 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][212] <= _T_20084 @[ifu_bp_ctl.scala 450:39] + reg _T_20085 : UInt, rvclkhdr_535.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][13][5] : @[Reg.scala 28:19] + _T_20085 <= bht_bank_wr_data_0_13_5 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][213] <= _T_20085 @[ifu_bp_ctl.scala 450:39] + reg _T_20086 : UInt, rvclkhdr_535.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][13][6] : @[Reg.scala 28:19] + _T_20086 <= bht_bank_wr_data_0_13_6 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][214] <= _T_20086 @[ifu_bp_ctl.scala 450:39] + reg _T_20087 : UInt, rvclkhdr_535.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][13][7] : @[Reg.scala 28:19] + _T_20087 <= bht_bank_wr_data_0_13_7 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][215] <= _T_20087 @[ifu_bp_ctl.scala 450:39] + reg _T_20088 : UInt, rvclkhdr_535.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][13][8] : @[Reg.scala 28:19] + _T_20088 <= bht_bank_wr_data_0_13_8 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][216] <= _T_20088 @[ifu_bp_ctl.scala 450:39] + reg _T_20089 : UInt, rvclkhdr_535.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][13][9] : @[Reg.scala 28:19] + _T_20089 <= bht_bank_wr_data_0_13_9 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][217] <= _T_20089 @[ifu_bp_ctl.scala 450:39] + reg _T_20090 : UInt, rvclkhdr_535.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][13][10] : @[Reg.scala 28:19] + _T_20090 <= bht_bank_wr_data_0_13_10 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][218] <= _T_20090 @[ifu_bp_ctl.scala 450:39] + reg _T_20091 : UInt, rvclkhdr_535.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][13][11] : @[Reg.scala 28:19] + _T_20091 <= bht_bank_wr_data_0_13_11 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][219] <= _T_20091 @[ifu_bp_ctl.scala 450:39] + reg _T_20092 : UInt, rvclkhdr_535.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][13][12] : @[Reg.scala 28:19] + _T_20092 <= bht_bank_wr_data_0_13_12 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][220] <= _T_20092 @[ifu_bp_ctl.scala 450:39] + reg _T_20093 : UInt, rvclkhdr_535.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][13][13] : @[Reg.scala 28:19] + _T_20093 <= bht_bank_wr_data_0_13_13 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][221] <= _T_20093 @[ifu_bp_ctl.scala 450:39] + reg _T_20094 : UInt, rvclkhdr_535.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][13][14] : @[Reg.scala 28:19] + _T_20094 <= bht_bank_wr_data_0_13_14 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][222] <= _T_20094 @[ifu_bp_ctl.scala 450:39] + reg _T_20095 : UInt, rvclkhdr_535.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][13][15] : @[Reg.scala 28:19] + _T_20095 <= bht_bank_wr_data_0_13_15 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][223] <= _T_20095 @[ifu_bp_ctl.scala 450:39] + reg _T_20096 : UInt, rvclkhdr_536.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][14][0] : @[Reg.scala 28:19] + _T_20096 <= bht_bank_wr_data_0_14_0 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][224] <= _T_20096 @[ifu_bp_ctl.scala 450:39] + reg _T_20097 : UInt, rvclkhdr_536.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][14][1] : @[Reg.scala 28:19] + _T_20097 <= bht_bank_wr_data_0_14_1 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][225] <= _T_20097 @[ifu_bp_ctl.scala 450:39] + reg _T_20098 : UInt, rvclkhdr_536.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][14][2] : @[Reg.scala 28:19] + _T_20098 <= bht_bank_wr_data_0_14_2 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][226] <= _T_20098 @[ifu_bp_ctl.scala 450:39] + reg _T_20099 : UInt, rvclkhdr_536.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][14][3] : @[Reg.scala 28:19] + _T_20099 <= bht_bank_wr_data_0_14_3 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][227] <= _T_20099 @[ifu_bp_ctl.scala 450:39] + reg _T_20100 : UInt, rvclkhdr_536.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][14][4] : @[Reg.scala 28:19] + _T_20100 <= bht_bank_wr_data_0_14_4 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][228] <= _T_20100 @[ifu_bp_ctl.scala 450:39] + reg _T_20101 : UInt, rvclkhdr_536.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][14][5] : @[Reg.scala 28:19] + _T_20101 <= bht_bank_wr_data_0_14_5 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][229] <= _T_20101 @[ifu_bp_ctl.scala 450:39] + reg _T_20102 : UInt, rvclkhdr_536.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][14][6] : @[Reg.scala 28:19] + _T_20102 <= bht_bank_wr_data_0_14_6 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][230] <= _T_20102 @[ifu_bp_ctl.scala 450:39] + reg _T_20103 : UInt, rvclkhdr_536.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][14][7] : @[Reg.scala 28:19] + _T_20103 <= bht_bank_wr_data_0_14_7 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][231] <= _T_20103 @[ifu_bp_ctl.scala 450:39] + reg _T_20104 : UInt, rvclkhdr_536.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][14][8] : @[Reg.scala 28:19] + _T_20104 <= bht_bank_wr_data_0_14_8 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][232] <= _T_20104 @[ifu_bp_ctl.scala 450:39] + reg _T_20105 : UInt, rvclkhdr_536.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][14][9] : @[Reg.scala 28:19] + _T_20105 <= bht_bank_wr_data_0_14_9 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][233] <= _T_20105 @[ifu_bp_ctl.scala 450:39] + reg _T_20106 : UInt, rvclkhdr_536.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][14][10] : @[Reg.scala 28:19] + _T_20106 <= bht_bank_wr_data_0_14_10 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][234] <= _T_20106 @[ifu_bp_ctl.scala 450:39] + reg _T_20107 : UInt, rvclkhdr_536.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][14][11] : @[Reg.scala 28:19] + _T_20107 <= bht_bank_wr_data_0_14_11 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][235] <= _T_20107 @[ifu_bp_ctl.scala 450:39] + reg _T_20108 : UInt, rvclkhdr_536.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][14][12] : @[Reg.scala 28:19] + _T_20108 <= bht_bank_wr_data_0_14_12 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][236] <= _T_20108 @[ifu_bp_ctl.scala 450:39] + reg _T_20109 : UInt, rvclkhdr_536.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][14][13] : @[Reg.scala 28:19] + _T_20109 <= bht_bank_wr_data_0_14_13 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][237] <= _T_20109 @[ifu_bp_ctl.scala 450:39] + reg _T_20110 : UInt, rvclkhdr_536.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][14][14] : @[Reg.scala 28:19] + _T_20110 <= bht_bank_wr_data_0_14_14 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][238] <= _T_20110 @[ifu_bp_ctl.scala 450:39] + reg _T_20111 : UInt, rvclkhdr_536.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][14][15] : @[Reg.scala 28:19] + _T_20111 <= bht_bank_wr_data_0_14_15 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][239] <= _T_20111 @[ifu_bp_ctl.scala 450:39] + reg _T_20112 : UInt, rvclkhdr_537.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][15][0] : @[Reg.scala 28:19] + _T_20112 <= bht_bank_wr_data_0_15_0 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][240] <= _T_20112 @[ifu_bp_ctl.scala 450:39] + reg _T_20113 : UInt, rvclkhdr_537.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][15][1] : @[Reg.scala 28:19] + _T_20113 <= bht_bank_wr_data_0_15_1 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][241] <= _T_20113 @[ifu_bp_ctl.scala 450:39] + reg _T_20114 : UInt, rvclkhdr_537.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][15][2] : @[Reg.scala 28:19] + _T_20114 <= bht_bank_wr_data_0_15_2 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][242] <= _T_20114 @[ifu_bp_ctl.scala 450:39] + reg _T_20115 : UInt, rvclkhdr_537.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][15][3] : @[Reg.scala 28:19] + _T_20115 <= bht_bank_wr_data_0_15_3 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][243] <= _T_20115 @[ifu_bp_ctl.scala 450:39] + reg _T_20116 : UInt, rvclkhdr_537.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][15][4] : @[Reg.scala 28:19] + _T_20116 <= bht_bank_wr_data_0_15_4 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][244] <= _T_20116 @[ifu_bp_ctl.scala 450:39] + reg _T_20117 : UInt, rvclkhdr_537.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][15][5] : @[Reg.scala 28:19] + _T_20117 <= bht_bank_wr_data_0_15_5 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][245] <= _T_20117 @[ifu_bp_ctl.scala 450:39] + reg _T_20118 : UInt, rvclkhdr_537.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][15][6] : @[Reg.scala 28:19] + _T_20118 <= bht_bank_wr_data_0_15_6 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][246] <= _T_20118 @[ifu_bp_ctl.scala 450:39] + reg _T_20119 : UInt, rvclkhdr_537.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][15][7] : @[Reg.scala 28:19] + _T_20119 <= bht_bank_wr_data_0_15_7 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][247] <= _T_20119 @[ifu_bp_ctl.scala 450:39] + reg _T_20120 : UInt, rvclkhdr_537.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][15][8] : @[Reg.scala 28:19] + _T_20120 <= bht_bank_wr_data_0_15_8 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][248] <= _T_20120 @[ifu_bp_ctl.scala 450:39] + reg _T_20121 : UInt, rvclkhdr_537.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][15][9] : @[Reg.scala 28:19] + _T_20121 <= bht_bank_wr_data_0_15_9 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][249] <= _T_20121 @[ifu_bp_ctl.scala 450:39] + reg _T_20122 : UInt, rvclkhdr_537.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][15][10] : @[Reg.scala 28:19] + _T_20122 <= bht_bank_wr_data_0_15_10 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][250] <= _T_20122 @[ifu_bp_ctl.scala 450:39] + reg _T_20123 : UInt, rvclkhdr_537.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][15][11] : @[Reg.scala 28:19] + _T_20123 <= bht_bank_wr_data_0_15_11 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][251] <= _T_20123 @[ifu_bp_ctl.scala 450:39] + reg _T_20124 : UInt, rvclkhdr_537.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][15][12] : @[Reg.scala 28:19] + _T_20124 <= bht_bank_wr_data_0_15_12 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][252] <= _T_20124 @[ifu_bp_ctl.scala 450:39] + reg _T_20125 : UInt, rvclkhdr_537.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][15][13] : @[Reg.scala 28:19] + _T_20125 <= bht_bank_wr_data_0_15_13 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][253] <= _T_20125 @[ifu_bp_ctl.scala 450:39] + reg _T_20126 : UInt, rvclkhdr_537.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][15][14] : @[Reg.scala 28:19] + _T_20126 <= bht_bank_wr_data_0_15_14 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][254] <= _T_20126 @[ifu_bp_ctl.scala 450:39] + reg _T_20127 : UInt, rvclkhdr_537.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[0][15][15] : @[Reg.scala 28:19] + _T_20127 <= bht_bank_wr_data_0_15_15 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[0][255] <= _T_20127 @[ifu_bp_ctl.scala 450:39] + reg _T_20128 : UInt, rvclkhdr_538.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][0][0] : @[Reg.scala 28:19] + _T_20128 <= bht_bank_wr_data_1_0_0 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][0] <= _T_20128 @[ifu_bp_ctl.scala 450:39] + reg _T_20129 : UInt, rvclkhdr_538.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][0][1] : @[Reg.scala 28:19] + _T_20129 <= bht_bank_wr_data_1_0_1 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][1] <= _T_20129 @[ifu_bp_ctl.scala 450:39] + reg _T_20130 : UInt, rvclkhdr_538.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][0][2] : @[Reg.scala 28:19] + _T_20130 <= bht_bank_wr_data_1_0_2 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][2] <= _T_20130 @[ifu_bp_ctl.scala 450:39] + reg _T_20131 : UInt, rvclkhdr_538.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][0][3] : @[Reg.scala 28:19] + _T_20131 <= bht_bank_wr_data_1_0_3 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][3] <= _T_20131 @[ifu_bp_ctl.scala 450:39] + reg _T_20132 : UInt, rvclkhdr_538.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][0][4] : @[Reg.scala 28:19] + _T_20132 <= bht_bank_wr_data_1_0_4 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][4] <= _T_20132 @[ifu_bp_ctl.scala 450:39] + reg _T_20133 : UInt, rvclkhdr_538.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][0][5] : @[Reg.scala 28:19] + _T_20133 <= bht_bank_wr_data_1_0_5 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][5] <= _T_20133 @[ifu_bp_ctl.scala 450:39] + reg _T_20134 : UInt, rvclkhdr_538.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][0][6] : @[Reg.scala 28:19] + _T_20134 <= bht_bank_wr_data_1_0_6 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][6] <= _T_20134 @[ifu_bp_ctl.scala 450:39] + reg _T_20135 : UInt, rvclkhdr_538.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][0][7] : @[Reg.scala 28:19] + _T_20135 <= bht_bank_wr_data_1_0_7 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][7] <= _T_20135 @[ifu_bp_ctl.scala 450:39] + reg _T_20136 : UInt, rvclkhdr_538.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][0][8] : @[Reg.scala 28:19] + _T_20136 <= bht_bank_wr_data_1_0_8 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][8] <= _T_20136 @[ifu_bp_ctl.scala 450:39] + reg _T_20137 : UInt, rvclkhdr_538.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][0][9] : @[Reg.scala 28:19] + _T_20137 <= bht_bank_wr_data_1_0_9 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][9] <= _T_20137 @[ifu_bp_ctl.scala 450:39] + reg _T_20138 : UInt, rvclkhdr_538.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][0][10] : @[Reg.scala 28:19] + _T_20138 <= bht_bank_wr_data_1_0_10 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][10] <= _T_20138 @[ifu_bp_ctl.scala 450:39] + reg _T_20139 : UInt, rvclkhdr_538.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][0][11] : @[Reg.scala 28:19] + _T_20139 <= bht_bank_wr_data_1_0_11 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][11] <= _T_20139 @[ifu_bp_ctl.scala 450:39] + reg _T_20140 : UInt, rvclkhdr_538.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][0][12] : @[Reg.scala 28:19] + _T_20140 <= bht_bank_wr_data_1_0_12 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][12] <= _T_20140 @[ifu_bp_ctl.scala 450:39] + reg _T_20141 : UInt, rvclkhdr_538.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][0][13] : @[Reg.scala 28:19] + _T_20141 <= bht_bank_wr_data_1_0_13 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][13] <= _T_20141 @[ifu_bp_ctl.scala 450:39] + reg _T_20142 : UInt, rvclkhdr_538.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][0][14] : @[Reg.scala 28:19] + _T_20142 <= bht_bank_wr_data_1_0_14 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][14] <= _T_20142 @[ifu_bp_ctl.scala 450:39] + reg _T_20143 : UInt, rvclkhdr_538.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][0][15] : @[Reg.scala 28:19] + _T_20143 <= bht_bank_wr_data_1_0_15 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][15] <= _T_20143 @[ifu_bp_ctl.scala 450:39] + reg _T_20144 : UInt, rvclkhdr_539.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][1][0] : @[Reg.scala 28:19] + _T_20144 <= bht_bank_wr_data_1_1_0 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][16] <= _T_20144 @[ifu_bp_ctl.scala 450:39] + reg _T_20145 : UInt, rvclkhdr_539.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][1][1] : @[Reg.scala 28:19] + _T_20145 <= bht_bank_wr_data_1_1_1 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][17] <= _T_20145 @[ifu_bp_ctl.scala 450:39] + reg _T_20146 : UInt, rvclkhdr_539.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][1][2] : @[Reg.scala 28:19] + _T_20146 <= bht_bank_wr_data_1_1_2 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][18] <= _T_20146 @[ifu_bp_ctl.scala 450:39] + reg _T_20147 : UInt, rvclkhdr_539.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][1][3] : @[Reg.scala 28:19] + _T_20147 <= bht_bank_wr_data_1_1_3 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][19] <= _T_20147 @[ifu_bp_ctl.scala 450:39] + reg _T_20148 : UInt, rvclkhdr_539.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][1][4] : @[Reg.scala 28:19] + _T_20148 <= bht_bank_wr_data_1_1_4 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][20] <= _T_20148 @[ifu_bp_ctl.scala 450:39] + reg _T_20149 : UInt, rvclkhdr_539.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][1][5] : @[Reg.scala 28:19] + _T_20149 <= bht_bank_wr_data_1_1_5 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][21] <= _T_20149 @[ifu_bp_ctl.scala 450:39] + reg _T_20150 : UInt, rvclkhdr_539.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][1][6] : @[Reg.scala 28:19] + _T_20150 <= bht_bank_wr_data_1_1_6 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][22] <= _T_20150 @[ifu_bp_ctl.scala 450:39] + reg _T_20151 : UInt, rvclkhdr_539.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][1][7] : @[Reg.scala 28:19] + _T_20151 <= bht_bank_wr_data_1_1_7 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][23] <= _T_20151 @[ifu_bp_ctl.scala 450:39] + reg _T_20152 : UInt, rvclkhdr_539.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][1][8] : @[Reg.scala 28:19] + _T_20152 <= bht_bank_wr_data_1_1_8 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][24] <= _T_20152 @[ifu_bp_ctl.scala 450:39] + reg _T_20153 : UInt, rvclkhdr_539.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][1][9] : @[Reg.scala 28:19] + _T_20153 <= bht_bank_wr_data_1_1_9 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][25] <= _T_20153 @[ifu_bp_ctl.scala 450:39] + reg _T_20154 : UInt, rvclkhdr_539.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][1][10] : @[Reg.scala 28:19] + _T_20154 <= bht_bank_wr_data_1_1_10 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][26] <= _T_20154 @[ifu_bp_ctl.scala 450:39] + reg _T_20155 : UInt, rvclkhdr_539.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][1][11] : @[Reg.scala 28:19] + _T_20155 <= bht_bank_wr_data_1_1_11 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][27] <= _T_20155 @[ifu_bp_ctl.scala 450:39] + reg _T_20156 : UInt, rvclkhdr_539.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][1][12] : @[Reg.scala 28:19] + _T_20156 <= bht_bank_wr_data_1_1_12 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][28] <= _T_20156 @[ifu_bp_ctl.scala 450:39] + reg _T_20157 : UInt, rvclkhdr_539.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][1][13] : @[Reg.scala 28:19] + _T_20157 <= bht_bank_wr_data_1_1_13 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][29] <= _T_20157 @[ifu_bp_ctl.scala 450:39] + reg _T_20158 : UInt, rvclkhdr_539.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][1][14] : @[Reg.scala 28:19] + _T_20158 <= bht_bank_wr_data_1_1_14 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][30] <= _T_20158 @[ifu_bp_ctl.scala 450:39] + reg _T_20159 : UInt, rvclkhdr_539.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][1][15] : @[Reg.scala 28:19] + _T_20159 <= bht_bank_wr_data_1_1_15 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][31] <= _T_20159 @[ifu_bp_ctl.scala 450:39] + reg _T_20160 : UInt, rvclkhdr_540.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][2][0] : @[Reg.scala 28:19] + _T_20160 <= bht_bank_wr_data_1_2_0 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][32] <= _T_20160 @[ifu_bp_ctl.scala 450:39] + reg _T_20161 : UInt, rvclkhdr_540.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][2][1] : @[Reg.scala 28:19] + _T_20161 <= bht_bank_wr_data_1_2_1 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][33] <= _T_20161 @[ifu_bp_ctl.scala 450:39] + reg _T_20162 : UInt, rvclkhdr_540.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][2][2] : @[Reg.scala 28:19] + _T_20162 <= bht_bank_wr_data_1_2_2 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][34] <= _T_20162 @[ifu_bp_ctl.scala 450:39] + reg _T_20163 : UInt, rvclkhdr_540.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][2][3] : @[Reg.scala 28:19] + _T_20163 <= bht_bank_wr_data_1_2_3 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][35] <= _T_20163 @[ifu_bp_ctl.scala 450:39] + reg _T_20164 : UInt, rvclkhdr_540.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][2][4] : @[Reg.scala 28:19] + _T_20164 <= bht_bank_wr_data_1_2_4 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][36] <= _T_20164 @[ifu_bp_ctl.scala 450:39] + reg _T_20165 : UInt, rvclkhdr_540.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][2][5] : @[Reg.scala 28:19] + _T_20165 <= bht_bank_wr_data_1_2_5 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][37] <= _T_20165 @[ifu_bp_ctl.scala 450:39] + reg _T_20166 : UInt, rvclkhdr_540.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][2][6] : @[Reg.scala 28:19] + _T_20166 <= bht_bank_wr_data_1_2_6 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][38] <= _T_20166 @[ifu_bp_ctl.scala 450:39] + reg _T_20167 : UInt, rvclkhdr_540.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][2][7] : @[Reg.scala 28:19] + _T_20167 <= bht_bank_wr_data_1_2_7 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][39] <= _T_20167 @[ifu_bp_ctl.scala 450:39] + reg _T_20168 : UInt, rvclkhdr_540.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][2][8] : @[Reg.scala 28:19] + _T_20168 <= bht_bank_wr_data_1_2_8 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][40] <= _T_20168 @[ifu_bp_ctl.scala 450:39] + reg _T_20169 : UInt, rvclkhdr_540.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][2][9] : @[Reg.scala 28:19] + _T_20169 <= bht_bank_wr_data_1_2_9 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][41] <= _T_20169 @[ifu_bp_ctl.scala 450:39] + reg _T_20170 : UInt, rvclkhdr_540.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][2][10] : @[Reg.scala 28:19] + _T_20170 <= bht_bank_wr_data_1_2_10 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][42] <= _T_20170 @[ifu_bp_ctl.scala 450:39] + reg _T_20171 : UInt, rvclkhdr_540.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][2][11] : @[Reg.scala 28:19] + _T_20171 <= bht_bank_wr_data_1_2_11 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][43] <= _T_20171 @[ifu_bp_ctl.scala 450:39] + reg _T_20172 : UInt, rvclkhdr_540.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][2][12] : @[Reg.scala 28:19] + _T_20172 <= bht_bank_wr_data_1_2_12 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][44] <= _T_20172 @[ifu_bp_ctl.scala 450:39] + reg _T_20173 : UInt, rvclkhdr_540.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][2][13] : @[Reg.scala 28:19] + _T_20173 <= bht_bank_wr_data_1_2_13 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][45] <= _T_20173 @[ifu_bp_ctl.scala 450:39] + reg _T_20174 : UInt, rvclkhdr_540.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][2][14] : @[Reg.scala 28:19] + _T_20174 <= bht_bank_wr_data_1_2_14 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][46] <= _T_20174 @[ifu_bp_ctl.scala 450:39] + reg _T_20175 : UInt, rvclkhdr_540.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][2][15] : @[Reg.scala 28:19] + _T_20175 <= bht_bank_wr_data_1_2_15 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][47] <= _T_20175 @[ifu_bp_ctl.scala 450:39] + reg _T_20176 : UInt, rvclkhdr_541.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][3][0] : @[Reg.scala 28:19] + _T_20176 <= bht_bank_wr_data_1_3_0 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][48] <= _T_20176 @[ifu_bp_ctl.scala 450:39] + reg _T_20177 : UInt, rvclkhdr_541.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][3][1] : @[Reg.scala 28:19] + _T_20177 <= bht_bank_wr_data_1_3_1 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][49] <= _T_20177 @[ifu_bp_ctl.scala 450:39] + reg _T_20178 : UInt, rvclkhdr_541.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][3][2] : @[Reg.scala 28:19] + _T_20178 <= bht_bank_wr_data_1_3_2 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][50] <= _T_20178 @[ifu_bp_ctl.scala 450:39] + reg _T_20179 : UInt, rvclkhdr_541.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][3][3] : @[Reg.scala 28:19] + _T_20179 <= bht_bank_wr_data_1_3_3 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][51] <= _T_20179 @[ifu_bp_ctl.scala 450:39] + reg _T_20180 : UInt, rvclkhdr_541.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][3][4] : @[Reg.scala 28:19] + _T_20180 <= bht_bank_wr_data_1_3_4 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][52] <= _T_20180 @[ifu_bp_ctl.scala 450:39] + reg _T_20181 : UInt, rvclkhdr_541.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][3][5] : @[Reg.scala 28:19] + _T_20181 <= bht_bank_wr_data_1_3_5 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][53] <= _T_20181 @[ifu_bp_ctl.scala 450:39] + reg _T_20182 : UInt, rvclkhdr_541.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][3][6] : @[Reg.scala 28:19] + _T_20182 <= bht_bank_wr_data_1_3_6 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][54] <= _T_20182 @[ifu_bp_ctl.scala 450:39] + reg _T_20183 : UInt, rvclkhdr_541.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][3][7] : @[Reg.scala 28:19] + _T_20183 <= bht_bank_wr_data_1_3_7 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][55] <= _T_20183 @[ifu_bp_ctl.scala 450:39] + reg _T_20184 : UInt, rvclkhdr_541.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][3][8] : @[Reg.scala 28:19] + _T_20184 <= bht_bank_wr_data_1_3_8 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][56] <= _T_20184 @[ifu_bp_ctl.scala 450:39] + reg _T_20185 : UInt, rvclkhdr_541.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][3][9] : @[Reg.scala 28:19] + _T_20185 <= bht_bank_wr_data_1_3_9 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][57] <= _T_20185 @[ifu_bp_ctl.scala 450:39] + reg _T_20186 : UInt, rvclkhdr_541.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][3][10] : @[Reg.scala 28:19] + _T_20186 <= bht_bank_wr_data_1_3_10 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][58] <= _T_20186 @[ifu_bp_ctl.scala 450:39] + reg _T_20187 : UInt, rvclkhdr_541.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][3][11] : @[Reg.scala 28:19] + _T_20187 <= bht_bank_wr_data_1_3_11 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][59] <= _T_20187 @[ifu_bp_ctl.scala 450:39] + reg _T_20188 : UInt, rvclkhdr_541.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][3][12] : @[Reg.scala 28:19] + _T_20188 <= bht_bank_wr_data_1_3_12 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][60] <= _T_20188 @[ifu_bp_ctl.scala 450:39] + reg _T_20189 : UInt, rvclkhdr_541.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][3][13] : @[Reg.scala 28:19] + _T_20189 <= bht_bank_wr_data_1_3_13 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][61] <= _T_20189 @[ifu_bp_ctl.scala 450:39] + reg _T_20190 : UInt, rvclkhdr_541.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][3][14] : @[Reg.scala 28:19] + _T_20190 <= bht_bank_wr_data_1_3_14 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][62] <= _T_20190 @[ifu_bp_ctl.scala 450:39] + reg _T_20191 : UInt, rvclkhdr_541.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][3][15] : @[Reg.scala 28:19] + _T_20191 <= bht_bank_wr_data_1_3_15 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][63] <= _T_20191 @[ifu_bp_ctl.scala 450:39] + reg _T_20192 : UInt, rvclkhdr_542.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][4][0] : @[Reg.scala 28:19] + _T_20192 <= bht_bank_wr_data_1_4_0 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][64] <= _T_20192 @[ifu_bp_ctl.scala 450:39] + reg _T_20193 : UInt, rvclkhdr_542.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][4][1] : @[Reg.scala 28:19] + _T_20193 <= bht_bank_wr_data_1_4_1 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][65] <= _T_20193 @[ifu_bp_ctl.scala 450:39] + reg _T_20194 : UInt, rvclkhdr_542.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][4][2] : @[Reg.scala 28:19] + _T_20194 <= bht_bank_wr_data_1_4_2 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][66] <= _T_20194 @[ifu_bp_ctl.scala 450:39] + reg _T_20195 : UInt, rvclkhdr_542.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][4][3] : @[Reg.scala 28:19] + _T_20195 <= bht_bank_wr_data_1_4_3 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][67] <= _T_20195 @[ifu_bp_ctl.scala 450:39] + reg _T_20196 : UInt, rvclkhdr_542.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][4][4] : @[Reg.scala 28:19] + _T_20196 <= bht_bank_wr_data_1_4_4 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][68] <= _T_20196 @[ifu_bp_ctl.scala 450:39] + reg _T_20197 : UInt, rvclkhdr_542.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][4][5] : @[Reg.scala 28:19] + _T_20197 <= bht_bank_wr_data_1_4_5 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][69] <= _T_20197 @[ifu_bp_ctl.scala 450:39] + reg _T_20198 : UInt, rvclkhdr_542.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][4][6] : @[Reg.scala 28:19] + _T_20198 <= bht_bank_wr_data_1_4_6 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][70] <= _T_20198 @[ifu_bp_ctl.scala 450:39] + reg _T_20199 : UInt, rvclkhdr_542.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][4][7] : @[Reg.scala 28:19] + _T_20199 <= bht_bank_wr_data_1_4_7 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][71] <= _T_20199 @[ifu_bp_ctl.scala 450:39] + reg _T_20200 : UInt, rvclkhdr_542.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][4][8] : @[Reg.scala 28:19] + _T_20200 <= bht_bank_wr_data_1_4_8 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][72] <= _T_20200 @[ifu_bp_ctl.scala 450:39] + reg _T_20201 : UInt, rvclkhdr_542.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][4][9] : @[Reg.scala 28:19] + _T_20201 <= bht_bank_wr_data_1_4_9 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][73] <= _T_20201 @[ifu_bp_ctl.scala 450:39] + reg _T_20202 : UInt, rvclkhdr_542.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][4][10] : @[Reg.scala 28:19] + _T_20202 <= bht_bank_wr_data_1_4_10 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][74] <= _T_20202 @[ifu_bp_ctl.scala 450:39] + reg _T_20203 : UInt, rvclkhdr_542.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][4][11] : @[Reg.scala 28:19] + _T_20203 <= bht_bank_wr_data_1_4_11 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][75] <= _T_20203 @[ifu_bp_ctl.scala 450:39] + reg _T_20204 : UInt, rvclkhdr_542.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][4][12] : @[Reg.scala 28:19] + _T_20204 <= bht_bank_wr_data_1_4_12 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][76] <= _T_20204 @[ifu_bp_ctl.scala 450:39] + reg _T_20205 : UInt, rvclkhdr_542.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][4][13] : @[Reg.scala 28:19] + _T_20205 <= bht_bank_wr_data_1_4_13 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][77] <= _T_20205 @[ifu_bp_ctl.scala 450:39] + reg _T_20206 : UInt, rvclkhdr_542.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][4][14] : @[Reg.scala 28:19] + _T_20206 <= bht_bank_wr_data_1_4_14 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][78] <= _T_20206 @[ifu_bp_ctl.scala 450:39] + reg _T_20207 : UInt, rvclkhdr_542.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][4][15] : @[Reg.scala 28:19] + _T_20207 <= bht_bank_wr_data_1_4_15 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][79] <= _T_20207 @[ifu_bp_ctl.scala 450:39] + reg _T_20208 : UInt, rvclkhdr_543.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][5][0] : @[Reg.scala 28:19] + _T_20208 <= bht_bank_wr_data_1_5_0 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][80] <= _T_20208 @[ifu_bp_ctl.scala 450:39] + reg _T_20209 : UInt, rvclkhdr_543.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][5][1] : @[Reg.scala 28:19] + _T_20209 <= bht_bank_wr_data_1_5_1 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][81] <= _T_20209 @[ifu_bp_ctl.scala 450:39] + reg _T_20210 : UInt, rvclkhdr_543.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][5][2] : @[Reg.scala 28:19] + _T_20210 <= bht_bank_wr_data_1_5_2 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][82] <= _T_20210 @[ifu_bp_ctl.scala 450:39] + reg _T_20211 : UInt, rvclkhdr_543.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][5][3] : @[Reg.scala 28:19] + _T_20211 <= bht_bank_wr_data_1_5_3 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][83] <= _T_20211 @[ifu_bp_ctl.scala 450:39] + reg _T_20212 : UInt, rvclkhdr_543.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][5][4] : @[Reg.scala 28:19] + _T_20212 <= bht_bank_wr_data_1_5_4 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][84] <= _T_20212 @[ifu_bp_ctl.scala 450:39] + reg _T_20213 : UInt, rvclkhdr_543.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][5][5] : @[Reg.scala 28:19] + _T_20213 <= bht_bank_wr_data_1_5_5 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][85] <= _T_20213 @[ifu_bp_ctl.scala 450:39] + reg _T_20214 : UInt, rvclkhdr_543.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][5][6] : @[Reg.scala 28:19] + _T_20214 <= bht_bank_wr_data_1_5_6 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][86] <= _T_20214 @[ifu_bp_ctl.scala 450:39] + reg _T_20215 : UInt, rvclkhdr_543.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][5][7] : @[Reg.scala 28:19] + _T_20215 <= bht_bank_wr_data_1_5_7 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][87] <= _T_20215 @[ifu_bp_ctl.scala 450:39] + reg _T_20216 : UInt, rvclkhdr_543.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][5][8] : @[Reg.scala 28:19] + _T_20216 <= bht_bank_wr_data_1_5_8 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][88] <= _T_20216 @[ifu_bp_ctl.scala 450:39] + reg _T_20217 : UInt, rvclkhdr_543.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][5][9] : @[Reg.scala 28:19] + _T_20217 <= bht_bank_wr_data_1_5_9 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][89] <= _T_20217 @[ifu_bp_ctl.scala 450:39] + reg _T_20218 : UInt, rvclkhdr_543.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][5][10] : @[Reg.scala 28:19] + _T_20218 <= bht_bank_wr_data_1_5_10 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][90] <= _T_20218 @[ifu_bp_ctl.scala 450:39] + reg _T_20219 : UInt, rvclkhdr_543.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][5][11] : @[Reg.scala 28:19] + _T_20219 <= bht_bank_wr_data_1_5_11 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][91] <= _T_20219 @[ifu_bp_ctl.scala 450:39] + reg _T_20220 : UInt, rvclkhdr_543.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][5][12] : @[Reg.scala 28:19] + _T_20220 <= bht_bank_wr_data_1_5_12 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][92] <= _T_20220 @[ifu_bp_ctl.scala 450:39] + reg _T_20221 : UInt, rvclkhdr_543.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][5][13] : @[Reg.scala 28:19] + _T_20221 <= bht_bank_wr_data_1_5_13 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][93] <= _T_20221 @[ifu_bp_ctl.scala 450:39] + reg _T_20222 : UInt, rvclkhdr_543.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][5][14] : @[Reg.scala 28:19] + _T_20222 <= bht_bank_wr_data_1_5_14 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][94] <= _T_20222 @[ifu_bp_ctl.scala 450:39] + reg _T_20223 : UInt, rvclkhdr_543.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][5][15] : @[Reg.scala 28:19] + _T_20223 <= bht_bank_wr_data_1_5_15 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][95] <= _T_20223 @[ifu_bp_ctl.scala 450:39] + reg _T_20224 : UInt, rvclkhdr_544.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][6][0] : @[Reg.scala 28:19] + _T_20224 <= bht_bank_wr_data_1_6_0 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][96] <= _T_20224 @[ifu_bp_ctl.scala 450:39] + reg _T_20225 : UInt, rvclkhdr_544.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][6][1] : @[Reg.scala 28:19] + _T_20225 <= bht_bank_wr_data_1_6_1 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][97] <= _T_20225 @[ifu_bp_ctl.scala 450:39] + reg _T_20226 : UInt, rvclkhdr_544.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][6][2] : @[Reg.scala 28:19] + _T_20226 <= bht_bank_wr_data_1_6_2 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][98] <= _T_20226 @[ifu_bp_ctl.scala 450:39] + reg _T_20227 : UInt, rvclkhdr_544.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][6][3] : @[Reg.scala 28:19] + _T_20227 <= bht_bank_wr_data_1_6_3 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][99] <= _T_20227 @[ifu_bp_ctl.scala 450:39] + reg _T_20228 : UInt, rvclkhdr_544.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][6][4] : @[Reg.scala 28:19] + _T_20228 <= bht_bank_wr_data_1_6_4 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][100] <= _T_20228 @[ifu_bp_ctl.scala 450:39] + reg _T_20229 : UInt, rvclkhdr_544.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][6][5] : @[Reg.scala 28:19] + _T_20229 <= bht_bank_wr_data_1_6_5 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][101] <= _T_20229 @[ifu_bp_ctl.scala 450:39] + reg _T_20230 : UInt, rvclkhdr_544.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][6][6] : @[Reg.scala 28:19] + _T_20230 <= bht_bank_wr_data_1_6_6 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][102] <= _T_20230 @[ifu_bp_ctl.scala 450:39] + reg _T_20231 : UInt, rvclkhdr_544.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][6][7] : @[Reg.scala 28:19] + _T_20231 <= bht_bank_wr_data_1_6_7 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][103] <= _T_20231 @[ifu_bp_ctl.scala 450:39] + reg _T_20232 : UInt, rvclkhdr_544.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][6][8] : @[Reg.scala 28:19] + _T_20232 <= bht_bank_wr_data_1_6_8 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][104] <= _T_20232 @[ifu_bp_ctl.scala 450:39] + reg _T_20233 : UInt, rvclkhdr_544.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][6][9] : @[Reg.scala 28:19] + _T_20233 <= bht_bank_wr_data_1_6_9 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][105] <= _T_20233 @[ifu_bp_ctl.scala 450:39] + reg _T_20234 : UInt, rvclkhdr_544.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][6][10] : @[Reg.scala 28:19] + _T_20234 <= bht_bank_wr_data_1_6_10 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][106] <= _T_20234 @[ifu_bp_ctl.scala 450:39] + reg _T_20235 : UInt, rvclkhdr_544.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][6][11] : @[Reg.scala 28:19] + _T_20235 <= bht_bank_wr_data_1_6_11 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][107] <= _T_20235 @[ifu_bp_ctl.scala 450:39] + reg _T_20236 : UInt, rvclkhdr_544.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][6][12] : @[Reg.scala 28:19] + _T_20236 <= bht_bank_wr_data_1_6_12 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][108] <= _T_20236 @[ifu_bp_ctl.scala 450:39] + reg _T_20237 : UInt, rvclkhdr_544.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][6][13] : @[Reg.scala 28:19] + _T_20237 <= bht_bank_wr_data_1_6_13 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][109] <= _T_20237 @[ifu_bp_ctl.scala 450:39] + reg _T_20238 : UInt, rvclkhdr_544.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][6][14] : @[Reg.scala 28:19] + _T_20238 <= bht_bank_wr_data_1_6_14 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][110] <= _T_20238 @[ifu_bp_ctl.scala 450:39] + reg _T_20239 : UInt, rvclkhdr_544.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][6][15] : @[Reg.scala 28:19] + _T_20239 <= bht_bank_wr_data_1_6_15 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][111] <= _T_20239 @[ifu_bp_ctl.scala 450:39] + reg _T_20240 : UInt, rvclkhdr_545.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][7][0] : @[Reg.scala 28:19] + _T_20240 <= bht_bank_wr_data_1_7_0 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][112] <= _T_20240 @[ifu_bp_ctl.scala 450:39] + reg _T_20241 : UInt, rvclkhdr_545.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][7][1] : @[Reg.scala 28:19] + _T_20241 <= bht_bank_wr_data_1_7_1 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][113] <= _T_20241 @[ifu_bp_ctl.scala 450:39] + reg _T_20242 : UInt, rvclkhdr_545.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][7][2] : @[Reg.scala 28:19] + _T_20242 <= bht_bank_wr_data_1_7_2 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][114] <= _T_20242 @[ifu_bp_ctl.scala 450:39] + reg _T_20243 : UInt, rvclkhdr_545.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][7][3] : @[Reg.scala 28:19] + _T_20243 <= bht_bank_wr_data_1_7_3 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][115] <= _T_20243 @[ifu_bp_ctl.scala 450:39] + reg _T_20244 : UInt, rvclkhdr_545.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][7][4] : @[Reg.scala 28:19] + _T_20244 <= bht_bank_wr_data_1_7_4 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][116] <= _T_20244 @[ifu_bp_ctl.scala 450:39] + reg _T_20245 : UInt, rvclkhdr_545.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][7][5] : @[Reg.scala 28:19] + _T_20245 <= bht_bank_wr_data_1_7_5 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][117] <= _T_20245 @[ifu_bp_ctl.scala 450:39] + reg _T_20246 : UInt, rvclkhdr_545.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][7][6] : @[Reg.scala 28:19] + _T_20246 <= bht_bank_wr_data_1_7_6 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][118] <= _T_20246 @[ifu_bp_ctl.scala 450:39] + reg _T_20247 : UInt, rvclkhdr_545.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][7][7] : @[Reg.scala 28:19] + _T_20247 <= bht_bank_wr_data_1_7_7 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][119] <= _T_20247 @[ifu_bp_ctl.scala 450:39] + reg _T_20248 : UInt, rvclkhdr_545.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][7][8] : @[Reg.scala 28:19] + _T_20248 <= bht_bank_wr_data_1_7_8 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][120] <= _T_20248 @[ifu_bp_ctl.scala 450:39] + reg _T_20249 : UInt, rvclkhdr_545.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][7][9] : @[Reg.scala 28:19] + _T_20249 <= bht_bank_wr_data_1_7_9 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][121] <= _T_20249 @[ifu_bp_ctl.scala 450:39] + reg _T_20250 : UInt, rvclkhdr_545.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][7][10] : @[Reg.scala 28:19] + _T_20250 <= bht_bank_wr_data_1_7_10 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][122] <= _T_20250 @[ifu_bp_ctl.scala 450:39] + reg _T_20251 : UInt, rvclkhdr_545.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][7][11] : @[Reg.scala 28:19] + _T_20251 <= bht_bank_wr_data_1_7_11 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][123] <= _T_20251 @[ifu_bp_ctl.scala 450:39] + reg _T_20252 : UInt, rvclkhdr_545.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][7][12] : @[Reg.scala 28:19] + _T_20252 <= bht_bank_wr_data_1_7_12 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][124] <= _T_20252 @[ifu_bp_ctl.scala 450:39] + reg _T_20253 : UInt, rvclkhdr_545.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][7][13] : @[Reg.scala 28:19] + _T_20253 <= bht_bank_wr_data_1_7_13 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][125] <= _T_20253 @[ifu_bp_ctl.scala 450:39] + reg _T_20254 : UInt, rvclkhdr_545.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][7][14] : @[Reg.scala 28:19] + _T_20254 <= bht_bank_wr_data_1_7_14 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][126] <= _T_20254 @[ifu_bp_ctl.scala 450:39] + reg _T_20255 : UInt, rvclkhdr_545.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][7][15] : @[Reg.scala 28:19] + _T_20255 <= bht_bank_wr_data_1_7_15 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][127] <= _T_20255 @[ifu_bp_ctl.scala 450:39] + reg _T_20256 : UInt, rvclkhdr_546.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][8][0] : @[Reg.scala 28:19] + _T_20256 <= bht_bank_wr_data_1_8_0 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][128] <= _T_20256 @[ifu_bp_ctl.scala 450:39] + reg _T_20257 : UInt, rvclkhdr_546.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][8][1] : @[Reg.scala 28:19] + _T_20257 <= bht_bank_wr_data_1_8_1 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][129] <= _T_20257 @[ifu_bp_ctl.scala 450:39] + reg _T_20258 : UInt, rvclkhdr_546.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][8][2] : @[Reg.scala 28:19] + _T_20258 <= bht_bank_wr_data_1_8_2 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][130] <= _T_20258 @[ifu_bp_ctl.scala 450:39] + reg _T_20259 : UInt, rvclkhdr_546.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][8][3] : @[Reg.scala 28:19] + _T_20259 <= bht_bank_wr_data_1_8_3 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][131] <= _T_20259 @[ifu_bp_ctl.scala 450:39] + reg _T_20260 : UInt, rvclkhdr_546.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][8][4] : @[Reg.scala 28:19] + _T_20260 <= bht_bank_wr_data_1_8_4 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][132] <= _T_20260 @[ifu_bp_ctl.scala 450:39] + reg _T_20261 : UInt, rvclkhdr_546.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][8][5] : @[Reg.scala 28:19] + _T_20261 <= bht_bank_wr_data_1_8_5 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][133] <= _T_20261 @[ifu_bp_ctl.scala 450:39] + reg _T_20262 : UInt, rvclkhdr_546.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][8][6] : @[Reg.scala 28:19] + _T_20262 <= bht_bank_wr_data_1_8_6 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][134] <= _T_20262 @[ifu_bp_ctl.scala 450:39] + reg _T_20263 : UInt, rvclkhdr_546.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][8][7] : @[Reg.scala 28:19] + _T_20263 <= bht_bank_wr_data_1_8_7 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][135] <= _T_20263 @[ifu_bp_ctl.scala 450:39] + reg _T_20264 : UInt, rvclkhdr_546.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][8][8] : @[Reg.scala 28:19] + _T_20264 <= bht_bank_wr_data_1_8_8 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][136] <= _T_20264 @[ifu_bp_ctl.scala 450:39] + reg _T_20265 : UInt, rvclkhdr_546.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][8][9] : @[Reg.scala 28:19] + _T_20265 <= bht_bank_wr_data_1_8_9 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][137] <= _T_20265 @[ifu_bp_ctl.scala 450:39] + reg _T_20266 : UInt, rvclkhdr_546.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][8][10] : @[Reg.scala 28:19] + _T_20266 <= bht_bank_wr_data_1_8_10 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][138] <= _T_20266 @[ifu_bp_ctl.scala 450:39] + reg _T_20267 : UInt, rvclkhdr_546.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][8][11] : @[Reg.scala 28:19] + _T_20267 <= bht_bank_wr_data_1_8_11 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][139] <= _T_20267 @[ifu_bp_ctl.scala 450:39] + reg _T_20268 : UInt, rvclkhdr_546.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][8][12] : @[Reg.scala 28:19] + _T_20268 <= bht_bank_wr_data_1_8_12 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][140] <= _T_20268 @[ifu_bp_ctl.scala 450:39] + reg _T_20269 : UInt, rvclkhdr_546.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][8][13] : @[Reg.scala 28:19] + _T_20269 <= bht_bank_wr_data_1_8_13 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][141] <= _T_20269 @[ifu_bp_ctl.scala 450:39] + reg _T_20270 : UInt, rvclkhdr_546.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][8][14] : @[Reg.scala 28:19] + _T_20270 <= bht_bank_wr_data_1_8_14 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][142] <= _T_20270 @[ifu_bp_ctl.scala 450:39] + reg _T_20271 : UInt, rvclkhdr_546.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][8][15] : @[Reg.scala 28:19] + _T_20271 <= bht_bank_wr_data_1_8_15 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][143] <= _T_20271 @[ifu_bp_ctl.scala 450:39] + reg _T_20272 : UInt, rvclkhdr_547.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][9][0] : @[Reg.scala 28:19] + _T_20272 <= bht_bank_wr_data_1_9_0 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][144] <= _T_20272 @[ifu_bp_ctl.scala 450:39] + reg _T_20273 : UInt, rvclkhdr_547.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][9][1] : @[Reg.scala 28:19] + _T_20273 <= bht_bank_wr_data_1_9_1 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][145] <= _T_20273 @[ifu_bp_ctl.scala 450:39] + reg _T_20274 : UInt, rvclkhdr_547.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][9][2] : @[Reg.scala 28:19] + _T_20274 <= bht_bank_wr_data_1_9_2 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][146] <= _T_20274 @[ifu_bp_ctl.scala 450:39] + reg _T_20275 : UInt, rvclkhdr_547.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][9][3] : @[Reg.scala 28:19] + _T_20275 <= bht_bank_wr_data_1_9_3 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][147] <= _T_20275 @[ifu_bp_ctl.scala 450:39] + reg _T_20276 : UInt, rvclkhdr_547.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][9][4] : @[Reg.scala 28:19] + _T_20276 <= bht_bank_wr_data_1_9_4 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][148] <= _T_20276 @[ifu_bp_ctl.scala 450:39] + reg _T_20277 : UInt, rvclkhdr_547.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][9][5] : @[Reg.scala 28:19] + _T_20277 <= bht_bank_wr_data_1_9_5 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][149] <= _T_20277 @[ifu_bp_ctl.scala 450:39] + reg _T_20278 : UInt, rvclkhdr_547.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][9][6] : @[Reg.scala 28:19] + _T_20278 <= bht_bank_wr_data_1_9_6 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][150] <= _T_20278 @[ifu_bp_ctl.scala 450:39] + reg _T_20279 : UInt, rvclkhdr_547.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][9][7] : @[Reg.scala 28:19] + _T_20279 <= bht_bank_wr_data_1_9_7 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][151] <= _T_20279 @[ifu_bp_ctl.scala 450:39] + reg _T_20280 : UInt, rvclkhdr_547.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][9][8] : @[Reg.scala 28:19] + _T_20280 <= bht_bank_wr_data_1_9_8 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][152] <= _T_20280 @[ifu_bp_ctl.scala 450:39] + reg _T_20281 : UInt, rvclkhdr_547.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][9][9] : @[Reg.scala 28:19] + _T_20281 <= bht_bank_wr_data_1_9_9 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][153] <= _T_20281 @[ifu_bp_ctl.scala 450:39] + reg _T_20282 : UInt, rvclkhdr_547.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][9][10] : @[Reg.scala 28:19] + _T_20282 <= bht_bank_wr_data_1_9_10 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][154] <= _T_20282 @[ifu_bp_ctl.scala 450:39] + reg _T_20283 : UInt, rvclkhdr_547.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][9][11] : @[Reg.scala 28:19] + _T_20283 <= bht_bank_wr_data_1_9_11 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][155] <= _T_20283 @[ifu_bp_ctl.scala 450:39] + reg _T_20284 : UInt, rvclkhdr_547.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][9][12] : @[Reg.scala 28:19] + _T_20284 <= bht_bank_wr_data_1_9_12 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][156] <= _T_20284 @[ifu_bp_ctl.scala 450:39] + reg _T_20285 : UInt, rvclkhdr_547.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][9][13] : @[Reg.scala 28:19] + _T_20285 <= bht_bank_wr_data_1_9_13 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][157] <= _T_20285 @[ifu_bp_ctl.scala 450:39] + reg _T_20286 : UInt, rvclkhdr_547.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][9][14] : @[Reg.scala 28:19] + _T_20286 <= bht_bank_wr_data_1_9_14 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][158] <= _T_20286 @[ifu_bp_ctl.scala 450:39] + reg _T_20287 : UInt, rvclkhdr_547.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][9][15] : @[Reg.scala 28:19] + _T_20287 <= bht_bank_wr_data_1_9_15 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][159] <= _T_20287 @[ifu_bp_ctl.scala 450:39] + reg _T_20288 : UInt, rvclkhdr_548.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][10][0] : @[Reg.scala 28:19] + _T_20288 <= bht_bank_wr_data_1_10_0 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][160] <= _T_20288 @[ifu_bp_ctl.scala 450:39] + reg _T_20289 : UInt, rvclkhdr_548.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][10][1] : @[Reg.scala 28:19] + _T_20289 <= bht_bank_wr_data_1_10_1 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][161] <= _T_20289 @[ifu_bp_ctl.scala 450:39] + reg _T_20290 : UInt, rvclkhdr_548.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][10][2] : @[Reg.scala 28:19] + _T_20290 <= bht_bank_wr_data_1_10_2 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][162] <= _T_20290 @[ifu_bp_ctl.scala 450:39] + reg _T_20291 : UInt, rvclkhdr_548.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][10][3] : @[Reg.scala 28:19] + _T_20291 <= bht_bank_wr_data_1_10_3 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][163] <= _T_20291 @[ifu_bp_ctl.scala 450:39] + reg _T_20292 : UInt, rvclkhdr_548.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][10][4] : @[Reg.scala 28:19] + _T_20292 <= bht_bank_wr_data_1_10_4 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][164] <= _T_20292 @[ifu_bp_ctl.scala 450:39] + reg _T_20293 : UInt, rvclkhdr_548.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][10][5] : @[Reg.scala 28:19] + _T_20293 <= bht_bank_wr_data_1_10_5 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][165] <= _T_20293 @[ifu_bp_ctl.scala 450:39] + reg _T_20294 : UInt, rvclkhdr_548.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][10][6] : @[Reg.scala 28:19] + _T_20294 <= bht_bank_wr_data_1_10_6 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][166] <= _T_20294 @[ifu_bp_ctl.scala 450:39] + reg _T_20295 : UInt, rvclkhdr_548.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][10][7] : @[Reg.scala 28:19] + _T_20295 <= bht_bank_wr_data_1_10_7 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][167] <= _T_20295 @[ifu_bp_ctl.scala 450:39] + reg _T_20296 : UInt, rvclkhdr_548.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][10][8] : @[Reg.scala 28:19] + _T_20296 <= bht_bank_wr_data_1_10_8 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][168] <= _T_20296 @[ifu_bp_ctl.scala 450:39] + reg _T_20297 : UInt, rvclkhdr_548.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][10][9] : @[Reg.scala 28:19] + _T_20297 <= bht_bank_wr_data_1_10_9 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][169] <= _T_20297 @[ifu_bp_ctl.scala 450:39] + reg _T_20298 : UInt, rvclkhdr_548.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][10][10] : @[Reg.scala 28:19] + _T_20298 <= bht_bank_wr_data_1_10_10 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][170] <= _T_20298 @[ifu_bp_ctl.scala 450:39] + reg _T_20299 : UInt, rvclkhdr_548.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][10][11] : @[Reg.scala 28:19] + _T_20299 <= bht_bank_wr_data_1_10_11 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][171] <= _T_20299 @[ifu_bp_ctl.scala 450:39] + reg _T_20300 : UInt, rvclkhdr_548.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][10][12] : @[Reg.scala 28:19] + _T_20300 <= bht_bank_wr_data_1_10_12 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][172] <= _T_20300 @[ifu_bp_ctl.scala 450:39] + reg _T_20301 : UInt, rvclkhdr_548.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][10][13] : @[Reg.scala 28:19] + _T_20301 <= bht_bank_wr_data_1_10_13 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][173] <= _T_20301 @[ifu_bp_ctl.scala 450:39] + reg _T_20302 : UInt, rvclkhdr_548.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][10][14] : @[Reg.scala 28:19] + _T_20302 <= bht_bank_wr_data_1_10_14 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][174] <= _T_20302 @[ifu_bp_ctl.scala 450:39] + reg _T_20303 : UInt, rvclkhdr_548.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][10][15] : @[Reg.scala 28:19] + _T_20303 <= bht_bank_wr_data_1_10_15 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][175] <= _T_20303 @[ifu_bp_ctl.scala 450:39] + reg _T_20304 : UInt, rvclkhdr_549.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][11][0] : @[Reg.scala 28:19] + _T_20304 <= bht_bank_wr_data_1_11_0 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][176] <= _T_20304 @[ifu_bp_ctl.scala 450:39] + reg _T_20305 : UInt, rvclkhdr_549.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][11][1] : @[Reg.scala 28:19] + _T_20305 <= bht_bank_wr_data_1_11_1 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][177] <= _T_20305 @[ifu_bp_ctl.scala 450:39] + reg _T_20306 : UInt, rvclkhdr_549.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][11][2] : @[Reg.scala 28:19] + _T_20306 <= bht_bank_wr_data_1_11_2 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][178] <= _T_20306 @[ifu_bp_ctl.scala 450:39] + reg _T_20307 : UInt, rvclkhdr_549.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][11][3] : @[Reg.scala 28:19] + _T_20307 <= bht_bank_wr_data_1_11_3 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][179] <= _T_20307 @[ifu_bp_ctl.scala 450:39] + reg _T_20308 : UInt, rvclkhdr_549.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][11][4] : @[Reg.scala 28:19] + _T_20308 <= bht_bank_wr_data_1_11_4 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][180] <= _T_20308 @[ifu_bp_ctl.scala 450:39] + reg _T_20309 : UInt, rvclkhdr_549.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][11][5] : @[Reg.scala 28:19] + _T_20309 <= bht_bank_wr_data_1_11_5 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][181] <= _T_20309 @[ifu_bp_ctl.scala 450:39] + reg _T_20310 : UInt, rvclkhdr_549.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][11][6] : @[Reg.scala 28:19] + _T_20310 <= bht_bank_wr_data_1_11_6 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][182] <= _T_20310 @[ifu_bp_ctl.scala 450:39] + reg _T_20311 : UInt, rvclkhdr_549.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][11][7] : @[Reg.scala 28:19] + _T_20311 <= bht_bank_wr_data_1_11_7 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][183] <= _T_20311 @[ifu_bp_ctl.scala 450:39] + reg _T_20312 : UInt, rvclkhdr_549.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][11][8] : @[Reg.scala 28:19] + _T_20312 <= bht_bank_wr_data_1_11_8 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][184] <= _T_20312 @[ifu_bp_ctl.scala 450:39] + reg _T_20313 : UInt, rvclkhdr_549.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][11][9] : @[Reg.scala 28:19] + _T_20313 <= bht_bank_wr_data_1_11_9 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][185] <= _T_20313 @[ifu_bp_ctl.scala 450:39] + reg _T_20314 : UInt, rvclkhdr_549.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][11][10] : @[Reg.scala 28:19] + _T_20314 <= bht_bank_wr_data_1_11_10 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][186] <= _T_20314 @[ifu_bp_ctl.scala 450:39] + reg _T_20315 : UInt, rvclkhdr_549.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][11][11] : @[Reg.scala 28:19] + _T_20315 <= bht_bank_wr_data_1_11_11 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][187] <= _T_20315 @[ifu_bp_ctl.scala 450:39] + reg _T_20316 : UInt, rvclkhdr_549.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][11][12] : @[Reg.scala 28:19] + _T_20316 <= bht_bank_wr_data_1_11_12 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][188] <= _T_20316 @[ifu_bp_ctl.scala 450:39] + reg _T_20317 : UInt, rvclkhdr_549.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][11][13] : @[Reg.scala 28:19] + _T_20317 <= bht_bank_wr_data_1_11_13 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][189] <= _T_20317 @[ifu_bp_ctl.scala 450:39] + reg _T_20318 : UInt, rvclkhdr_549.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][11][14] : @[Reg.scala 28:19] + _T_20318 <= bht_bank_wr_data_1_11_14 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][190] <= _T_20318 @[ifu_bp_ctl.scala 450:39] + reg _T_20319 : UInt, rvclkhdr_549.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][11][15] : @[Reg.scala 28:19] + _T_20319 <= bht_bank_wr_data_1_11_15 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][191] <= _T_20319 @[ifu_bp_ctl.scala 450:39] + reg _T_20320 : UInt, rvclkhdr_550.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][12][0] : @[Reg.scala 28:19] + _T_20320 <= bht_bank_wr_data_1_12_0 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][192] <= _T_20320 @[ifu_bp_ctl.scala 450:39] + reg _T_20321 : UInt, rvclkhdr_550.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][12][1] : @[Reg.scala 28:19] + _T_20321 <= bht_bank_wr_data_1_12_1 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][193] <= _T_20321 @[ifu_bp_ctl.scala 450:39] + reg _T_20322 : UInt, rvclkhdr_550.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][12][2] : @[Reg.scala 28:19] + _T_20322 <= bht_bank_wr_data_1_12_2 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][194] <= _T_20322 @[ifu_bp_ctl.scala 450:39] + reg _T_20323 : UInt, rvclkhdr_550.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][12][3] : @[Reg.scala 28:19] + _T_20323 <= bht_bank_wr_data_1_12_3 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][195] <= _T_20323 @[ifu_bp_ctl.scala 450:39] + reg _T_20324 : UInt, rvclkhdr_550.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][12][4] : @[Reg.scala 28:19] + _T_20324 <= bht_bank_wr_data_1_12_4 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][196] <= _T_20324 @[ifu_bp_ctl.scala 450:39] + reg _T_20325 : UInt, rvclkhdr_550.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][12][5] : @[Reg.scala 28:19] + _T_20325 <= bht_bank_wr_data_1_12_5 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][197] <= _T_20325 @[ifu_bp_ctl.scala 450:39] + reg _T_20326 : UInt, rvclkhdr_550.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][12][6] : @[Reg.scala 28:19] + _T_20326 <= bht_bank_wr_data_1_12_6 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][198] <= _T_20326 @[ifu_bp_ctl.scala 450:39] + reg _T_20327 : UInt, rvclkhdr_550.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][12][7] : @[Reg.scala 28:19] + _T_20327 <= bht_bank_wr_data_1_12_7 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][199] <= _T_20327 @[ifu_bp_ctl.scala 450:39] + reg _T_20328 : UInt, rvclkhdr_550.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][12][8] : @[Reg.scala 28:19] + _T_20328 <= bht_bank_wr_data_1_12_8 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][200] <= _T_20328 @[ifu_bp_ctl.scala 450:39] + reg _T_20329 : UInt, rvclkhdr_550.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][12][9] : @[Reg.scala 28:19] + _T_20329 <= bht_bank_wr_data_1_12_9 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][201] <= _T_20329 @[ifu_bp_ctl.scala 450:39] + reg _T_20330 : UInt, rvclkhdr_550.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][12][10] : @[Reg.scala 28:19] + _T_20330 <= bht_bank_wr_data_1_12_10 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][202] <= _T_20330 @[ifu_bp_ctl.scala 450:39] + reg _T_20331 : UInt, rvclkhdr_550.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][12][11] : @[Reg.scala 28:19] + _T_20331 <= bht_bank_wr_data_1_12_11 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][203] <= _T_20331 @[ifu_bp_ctl.scala 450:39] + reg _T_20332 : UInt, rvclkhdr_550.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][12][12] : @[Reg.scala 28:19] + _T_20332 <= bht_bank_wr_data_1_12_12 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][204] <= _T_20332 @[ifu_bp_ctl.scala 450:39] + reg _T_20333 : UInt, rvclkhdr_550.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][12][13] : @[Reg.scala 28:19] + _T_20333 <= bht_bank_wr_data_1_12_13 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][205] <= _T_20333 @[ifu_bp_ctl.scala 450:39] + reg _T_20334 : UInt, rvclkhdr_550.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][12][14] : @[Reg.scala 28:19] + _T_20334 <= bht_bank_wr_data_1_12_14 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][206] <= _T_20334 @[ifu_bp_ctl.scala 450:39] + reg _T_20335 : UInt, rvclkhdr_550.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][12][15] : @[Reg.scala 28:19] + _T_20335 <= bht_bank_wr_data_1_12_15 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][207] <= _T_20335 @[ifu_bp_ctl.scala 450:39] + reg _T_20336 : UInt, rvclkhdr_551.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][13][0] : @[Reg.scala 28:19] + _T_20336 <= bht_bank_wr_data_1_13_0 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][208] <= _T_20336 @[ifu_bp_ctl.scala 450:39] + reg _T_20337 : UInt, rvclkhdr_551.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][13][1] : @[Reg.scala 28:19] + _T_20337 <= bht_bank_wr_data_1_13_1 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][209] <= _T_20337 @[ifu_bp_ctl.scala 450:39] + reg _T_20338 : UInt, rvclkhdr_551.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][13][2] : @[Reg.scala 28:19] + _T_20338 <= bht_bank_wr_data_1_13_2 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][210] <= _T_20338 @[ifu_bp_ctl.scala 450:39] + reg _T_20339 : UInt, rvclkhdr_551.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][13][3] : @[Reg.scala 28:19] + _T_20339 <= bht_bank_wr_data_1_13_3 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][211] <= _T_20339 @[ifu_bp_ctl.scala 450:39] + reg _T_20340 : UInt, rvclkhdr_551.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][13][4] : @[Reg.scala 28:19] + _T_20340 <= bht_bank_wr_data_1_13_4 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][212] <= _T_20340 @[ifu_bp_ctl.scala 450:39] + reg _T_20341 : UInt, rvclkhdr_551.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][13][5] : @[Reg.scala 28:19] + _T_20341 <= bht_bank_wr_data_1_13_5 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][213] <= _T_20341 @[ifu_bp_ctl.scala 450:39] + reg _T_20342 : UInt, rvclkhdr_551.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][13][6] : @[Reg.scala 28:19] + _T_20342 <= bht_bank_wr_data_1_13_6 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][214] <= _T_20342 @[ifu_bp_ctl.scala 450:39] + reg _T_20343 : UInt, rvclkhdr_551.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][13][7] : @[Reg.scala 28:19] + _T_20343 <= bht_bank_wr_data_1_13_7 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][215] <= _T_20343 @[ifu_bp_ctl.scala 450:39] + reg _T_20344 : UInt, rvclkhdr_551.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][13][8] : @[Reg.scala 28:19] + _T_20344 <= bht_bank_wr_data_1_13_8 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][216] <= _T_20344 @[ifu_bp_ctl.scala 450:39] + reg _T_20345 : UInt, rvclkhdr_551.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][13][9] : @[Reg.scala 28:19] + _T_20345 <= bht_bank_wr_data_1_13_9 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][217] <= _T_20345 @[ifu_bp_ctl.scala 450:39] + reg _T_20346 : UInt, rvclkhdr_551.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][13][10] : @[Reg.scala 28:19] + _T_20346 <= bht_bank_wr_data_1_13_10 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][218] <= _T_20346 @[ifu_bp_ctl.scala 450:39] + reg _T_20347 : UInt, rvclkhdr_551.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][13][11] : @[Reg.scala 28:19] + _T_20347 <= bht_bank_wr_data_1_13_11 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][219] <= _T_20347 @[ifu_bp_ctl.scala 450:39] + reg _T_20348 : UInt, rvclkhdr_551.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][13][12] : @[Reg.scala 28:19] + _T_20348 <= bht_bank_wr_data_1_13_12 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][220] <= _T_20348 @[ifu_bp_ctl.scala 450:39] + reg _T_20349 : UInt, rvclkhdr_551.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][13][13] : @[Reg.scala 28:19] + _T_20349 <= bht_bank_wr_data_1_13_13 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][221] <= _T_20349 @[ifu_bp_ctl.scala 450:39] + reg _T_20350 : UInt, rvclkhdr_551.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][13][14] : @[Reg.scala 28:19] + _T_20350 <= bht_bank_wr_data_1_13_14 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][222] <= _T_20350 @[ifu_bp_ctl.scala 450:39] + reg _T_20351 : UInt, rvclkhdr_551.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][13][15] : @[Reg.scala 28:19] + _T_20351 <= bht_bank_wr_data_1_13_15 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][223] <= _T_20351 @[ifu_bp_ctl.scala 450:39] + reg _T_20352 : UInt, rvclkhdr_552.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][14][0] : @[Reg.scala 28:19] + _T_20352 <= bht_bank_wr_data_1_14_0 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][224] <= _T_20352 @[ifu_bp_ctl.scala 450:39] + reg _T_20353 : UInt, rvclkhdr_552.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][14][1] : @[Reg.scala 28:19] + _T_20353 <= bht_bank_wr_data_1_14_1 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][225] <= _T_20353 @[ifu_bp_ctl.scala 450:39] + reg _T_20354 : UInt, rvclkhdr_552.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][14][2] : @[Reg.scala 28:19] + _T_20354 <= bht_bank_wr_data_1_14_2 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][226] <= _T_20354 @[ifu_bp_ctl.scala 450:39] + reg _T_20355 : UInt, rvclkhdr_552.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][14][3] : @[Reg.scala 28:19] + _T_20355 <= bht_bank_wr_data_1_14_3 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][227] <= _T_20355 @[ifu_bp_ctl.scala 450:39] + reg _T_20356 : UInt, rvclkhdr_552.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][14][4] : @[Reg.scala 28:19] + _T_20356 <= bht_bank_wr_data_1_14_4 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][228] <= _T_20356 @[ifu_bp_ctl.scala 450:39] + reg _T_20357 : UInt, rvclkhdr_552.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][14][5] : @[Reg.scala 28:19] + _T_20357 <= bht_bank_wr_data_1_14_5 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][229] <= _T_20357 @[ifu_bp_ctl.scala 450:39] + reg _T_20358 : UInt, rvclkhdr_552.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][14][6] : @[Reg.scala 28:19] + _T_20358 <= bht_bank_wr_data_1_14_6 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][230] <= _T_20358 @[ifu_bp_ctl.scala 450:39] + reg _T_20359 : UInt, rvclkhdr_552.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][14][7] : @[Reg.scala 28:19] + _T_20359 <= bht_bank_wr_data_1_14_7 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][231] <= _T_20359 @[ifu_bp_ctl.scala 450:39] + reg _T_20360 : UInt, rvclkhdr_552.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][14][8] : @[Reg.scala 28:19] + _T_20360 <= bht_bank_wr_data_1_14_8 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][232] <= _T_20360 @[ifu_bp_ctl.scala 450:39] + reg _T_20361 : UInt, rvclkhdr_552.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][14][9] : @[Reg.scala 28:19] + _T_20361 <= bht_bank_wr_data_1_14_9 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][233] <= _T_20361 @[ifu_bp_ctl.scala 450:39] + reg _T_20362 : UInt, rvclkhdr_552.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][14][10] : @[Reg.scala 28:19] + _T_20362 <= bht_bank_wr_data_1_14_10 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][234] <= _T_20362 @[ifu_bp_ctl.scala 450:39] + reg _T_20363 : UInt, rvclkhdr_552.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][14][11] : @[Reg.scala 28:19] + _T_20363 <= bht_bank_wr_data_1_14_11 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][235] <= _T_20363 @[ifu_bp_ctl.scala 450:39] + reg _T_20364 : UInt, rvclkhdr_552.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][14][12] : @[Reg.scala 28:19] + _T_20364 <= bht_bank_wr_data_1_14_12 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][236] <= _T_20364 @[ifu_bp_ctl.scala 450:39] + reg _T_20365 : UInt, rvclkhdr_552.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][14][13] : @[Reg.scala 28:19] + _T_20365 <= bht_bank_wr_data_1_14_13 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][237] <= _T_20365 @[ifu_bp_ctl.scala 450:39] + reg _T_20366 : UInt, rvclkhdr_552.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][14][14] : @[Reg.scala 28:19] + _T_20366 <= bht_bank_wr_data_1_14_14 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][238] <= _T_20366 @[ifu_bp_ctl.scala 450:39] + reg _T_20367 : UInt, rvclkhdr_552.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][14][15] : @[Reg.scala 28:19] + _T_20367 <= bht_bank_wr_data_1_14_15 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][239] <= _T_20367 @[ifu_bp_ctl.scala 450:39] + reg _T_20368 : UInt, rvclkhdr_553.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][15][0] : @[Reg.scala 28:19] + _T_20368 <= bht_bank_wr_data_1_15_0 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][240] <= _T_20368 @[ifu_bp_ctl.scala 450:39] + reg _T_20369 : UInt, rvclkhdr_553.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][15][1] : @[Reg.scala 28:19] + _T_20369 <= bht_bank_wr_data_1_15_1 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][241] <= _T_20369 @[ifu_bp_ctl.scala 450:39] + reg _T_20370 : UInt, rvclkhdr_553.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][15][2] : @[Reg.scala 28:19] + _T_20370 <= bht_bank_wr_data_1_15_2 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][242] <= _T_20370 @[ifu_bp_ctl.scala 450:39] + reg _T_20371 : UInt, rvclkhdr_553.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][15][3] : @[Reg.scala 28:19] + _T_20371 <= bht_bank_wr_data_1_15_3 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][243] <= _T_20371 @[ifu_bp_ctl.scala 450:39] + reg _T_20372 : UInt, rvclkhdr_553.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][15][4] : @[Reg.scala 28:19] + _T_20372 <= bht_bank_wr_data_1_15_4 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][244] <= _T_20372 @[ifu_bp_ctl.scala 450:39] + reg _T_20373 : UInt, rvclkhdr_553.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][15][5] : @[Reg.scala 28:19] + _T_20373 <= bht_bank_wr_data_1_15_5 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][245] <= _T_20373 @[ifu_bp_ctl.scala 450:39] + reg _T_20374 : UInt, rvclkhdr_553.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][15][6] : @[Reg.scala 28:19] + _T_20374 <= bht_bank_wr_data_1_15_6 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][246] <= _T_20374 @[ifu_bp_ctl.scala 450:39] + reg _T_20375 : UInt, rvclkhdr_553.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][15][7] : @[Reg.scala 28:19] + _T_20375 <= bht_bank_wr_data_1_15_7 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][247] <= _T_20375 @[ifu_bp_ctl.scala 450:39] + reg _T_20376 : UInt, rvclkhdr_553.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][15][8] : @[Reg.scala 28:19] + _T_20376 <= bht_bank_wr_data_1_15_8 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][248] <= _T_20376 @[ifu_bp_ctl.scala 450:39] + reg _T_20377 : UInt, rvclkhdr_553.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][15][9] : @[Reg.scala 28:19] + _T_20377 <= bht_bank_wr_data_1_15_9 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][249] <= _T_20377 @[ifu_bp_ctl.scala 450:39] + reg _T_20378 : UInt, rvclkhdr_553.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][15][10] : @[Reg.scala 28:19] + _T_20378 <= bht_bank_wr_data_1_15_10 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][250] <= _T_20378 @[ifu_bp_ctl.scala 450:39] + reg _T_20379 : UInt, rvclkhdr_553.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][15][11] : @[Reg.scala 28:19] + _T_20379 <= bht_bank_wr_data_1_15_11 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][251] <= _T_20379 @[ifu_bp_ctl.scala 450:39] + reg _T_20380 : UInt, rvclkhdr_553.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][15][12] : @[Reg.scala 28:19] + _T_20380 <= bht_bank_wr_data_1_15_12 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][252] <= _T_20380 @[ifu_bp_ctl.scala 450:39] + reg _T_20381 : UInt, rvclkhdr_553.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][15][13] : @[Reg.scala 28:19] + _T_20381 <= bht_bank_wr_data_1_15_13 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][253] <= _T_20381 @[ifu_bp_ctl.scala 450:39] + reg _T_20382 : UInt, rvclkhdr_553.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][15][14] : @[Reg.scala 28:19] + _T_20382 <= bht_bank_wr_data_1_15_14 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][254] <= _T_20382 @[ifu_bp_ctl.scala 450:39] + reg _T_20383 : UInt, rvclkhdr_553.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when bht_bank_sel[1][15][15] : @[Reg.scala 28:19] + _T_20383 <= bht_bank_wr_data_1_15_15 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + bht_bank_rd_data_out[1][255] <= _T_20383 @[ifu_bp_ctl.scala 450:39] + node _T_20384 = eq(bht_rd_addr_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 454:79] + node _T_20385 = bits(_T_20384, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20386 = eq(bht_rd_addr_f, UInt<1>("h01")) @[ifu_bp_ctl.scala 454:79] + node _T_20387 = bits(_T_20386, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20388 = eq(bht_rd_addr_f, UInt<2>("h02")) @[ifu_bp_ctl.scala 454:79] + node _T_20389 = bits(_T_20388, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20390 = eq(bht_rd_addr_f, UInt<2>("h03")) @[ifu_bp_ctl.scala 454:79] + node _T_20391 = bits(_T_20390, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20392 = eq(bht_rd_addr_f, UInt<3>("h04")) @[ifu_bp_ctl.scala 454:79] + node _T_20393 = bits(_T_20392, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20394 = eq(bht_rd_addr_f, UInt<3>("h05")) @[ifu_bp_ctl.scala 454:79] + node _T_20395 = bits(_T_20394, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20396 = eq(bht_rd_addr_f, UInt<3>("h06")) @[ifu_bp_ctl.scala 454:79] + node _T_20397 = bits(_T_20396, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20398 = eq(bht_rd_addr_f, UInt<3>("h07")) @[ifu_bp_ctl.scala 454:79] + node _T_20399 = bits(_T_20398, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20400 = eq(bht_rd_addr_f, UInt<4>("h08")) @[ifu_bp_ctl.scala 454:79] + node _T_20401 = bits(_T_20400, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20402 = eq(bht_rd_addr_f, UInt<4>("h09")) @[ifu_bp_ctl.scala 454:79] + node _T_20403 = bits(_T_20402, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20404 = eq(bht_rd_addr_f, UInt<4>("h0a")) @[ifu_bp_ctl.scala 454:79] + node _T_20405 = bits(_T_20404, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20406 = eq(bht_rd_addr_f, UInt<4>("h0b")) @[ifu_bp_ctl.scala 454:79] + node _T_20407 = bits(_T_20406, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20408 = eq(bht_rd_addr_f, UInt<4>("h0c")) @[ifu_bp_ctl.scala 454:79] + node _T_20409 = bits(_T_20408, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20410 = eq(bht_rd_addr_f, UInt<4>("h0d")) @[ifu_bp_ctl.scala 454:79] + node _T_20411 = bits(_T_20410, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20412 = eq(bht_rd_addr_f, UInt<4>("h0e")) @[ifu_bp_ctl.scala 454:79] + node _T_20413 = bits(_T_20412, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20414 = eq(bht_rd_addr_f, UInt<4>("h0f")) @[ifu_bp_ctl.scala 454:79] + node _T_20415 = bits(_T_20414, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20416 = eq(bht_rd_addr_f, UInt<5>("h010")) @[ifu_bp_ctl.scala 454:79] + node _T_20417 = bits(_T_20416, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20418 = eq(bht_rd_addr_f, UInt<5>("h011")) @[ifu_bp_ctl.scala 454:79] + node _T_20419 = bits(_T_20418, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20420 = eq(bht_rd_addr_f, UInt<5>("h012")) @[ifu_bp_ctl.scala 454:79] + node _T_20421 = bits(_T_20420, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20422 = eq(bht_rd_addr_f, UInt<5>("h013")) @[ifu_bp_ctl.scala 454:79] + node _T_20423 = bits(_T_20422, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20424 = eq(bht_rd_addr_f, UInt<5>("h014")) @[ifu_bp_ctl.scala 454:79] + node _T_20425 = bits(_T_20424, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20426 = eq(bht_rd_addr_f, UInt<5>("h015")) @[ifu_bp_ctl.scala 454:79] + node _T_20427 = bits(_T_20426, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20428 = eq(bht_rd_addr_f, UInt<5>("h016")) @[ifu_bp_ctl.scala 454:79] + node _T_20429 = bits(_T_20428, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20430 = eq(bht_rd_addr_f, UInt<5>("h017")) @[ifu_bp_ctl.scala 454:79] + node _T_20431 = bits(_T_20430, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20432 = eq(bht_rd_addr_f, UInt<5>("h018")) @[ifu_bp_ctl.scala 454:79] + node _T_20433 = bits(_T_20432, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20434 = eq(bht_rd_addr_f, UInt<5>("h019")) @[ifu_bp_ctl.scala 454:79] + node _T_20435 = bits(_T_20434, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20436 = eq(bht_rd_addr_f, UInt<5>("h01a")) @[ifu_bp_ctl.scala 454:79] + node _T_20437 = bits(_T_20436, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20438 = eq(bht_rd_addr_f, UInt<5>("h01b")) @[ifu_bp_ctl.scala 454:79] + node _T_20439 = bits(_T_20438, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20440 = eq(bht_rd_addr_f, UInt<5>("h01c")) @[ifu_bp_ctl.scala 454:79] + node _T_20441 = bits(_T_20440, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20442 = eq(bht_rd_addr_f, UInt<5>("h01d")) @[ifu_bp_ctl.scala 454:79] + node _T_20443 = bits(_T_20442, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20444 = eq(bht_rd_addr_f, UInt<5>("h01e")) @[ifu_bp_ctl.scala 454:79] + node _T_20445 = bits(_T_20444, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20446 = eq(bht_rd_addr_f, UInt<5>("h01f")) @[ifu_bp_ctl.scala 454:79] + node _T_20447 = bits(_T_20446, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20448 = eq(bht_rd_addr_f, UInt<6>("h020")) @[ifu_bp_ctl.scala 454:79] + node _T_20449 = bits(_T_20448, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20450 = eq(bht_rd_addr_f, UInt<6>("h021")) @[ifu_bp_ctl.scala 454:79] + node _T_20451 = bits(_T_20450, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20452 = eq(bht_rd_addr_f, UInt<6>("h022")) @[ifu_bp_ctl.scala 454:79] + node _T_20453 = bits(_T_20452, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20454 = eq(bht_rd_addr_f, UInt<6>("h023")) @[ifu_bp_ctl.scala 454:79] + node _T_20455 = bits(_T_20454, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20456 = eq(bht_rd_addr_f, UInt<6>("h024")) @[ifu_bp_ctl.scala 454:79] + node _T_20457 = bits(_T_20456, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20458 = eq(bht_rd_addr_f, UInt<6>("h025")) @[ifu_bp_ctl.scala 454:79] + node _T_20459 = bits(_T_20458, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20460 = eq(bht_rd_addr_f, UInt<6>("h026")) @[ifu_bp_ctl.scala 454:79] + node _T_20461 = bits(_T_20460, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20462 = eq(bht_rd_addr_f, UInt<6>("h027")) @[ifu_bp_ctl.scala 454:79] + node _T_20463 = bits(_T_20462, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20464 = eq(bht_rd_addr_f, UInt<6>("h028")) @[ifu_bp_ctl.scala 454:79] + node _T_20465 = bits(_T_20464, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20466 = eq(bht_rd_addr_f, UInt<6>("h029")) @[ifu_bp_ctl.scala 454:79] + node _T_20467 = bits(_T_20466, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20468 = eq(bht_rd_addr_f, UInt<6>("h02a")) @[ifu_bp_ctl.scala 454:79] + node _T_20469 = bits(_T_20468, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20470 = eq(bht_rd_addr_f, UInt<6>("h02b")) @[ifu_bp_ctl.scala 454:79] + node _T_20471 = bits(_T_20470, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20472 = eq(bht_rd_addr_f, UInt<6>("h02c")) @[ifu_bp_ctl.scala 454:79] + node _T_20473 = bits(_T_20472, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20474 = eq(bht_rd_addr_f, UInt<6>("h02d")) @[ifu_bp_ctl.scala 454:79] + node _T_20475 = bits(_T_20474, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20476 = eq(bht_rd_addr_f, UInt<6>("h02e")) @[ifu_bp_ctl.scala 454:79] + node _T_20477 = bits(_T_20476, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20478 = eq(bht_rd_addr_f, UInt<6>("h02f")) @[ifu_bp_ctl.scala 454:79] + node _T_20479 = bits(_T_20478, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20480 = eq(bht_rd_addr_f, UInt<6>("h030")) @[ifu_bp_ctl.scala 454:79] + node _T_20481 = bits(_T_20480, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20482 = eq(bht_rd_addr_f, UInt<6>("h031")) @[ifu_bp_ctl.scala 454:79] + node _T_20483 = bits(_T_20482, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20484 = eq(bht_rd_addr_f, UInt<6>("h032")) @[ifu_bp_ctl.scala 454:79] + node _T_20485 = bits(_T_20484, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20486 = eq(bht_rd_addr_f, UInt<6>("h033")) @[ifu_bp_ctl.scala 454:79] + node _T_20487 = bits(_T_20486, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20488 = eq(bht_rd_addr_f, UInt<6>("h034")) @[ifu_bp_ctl.scala 454:79] + node _T_20489 = bits(_T_20488, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20490 = eq(bht_rd_addr_f, UInt<6>("h035")) @[ifu_bp_ctl.scala 454:79] + node _T_20491 = bits(_T_20490, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20492 = eq(bht_rd_addr_f, UInt<6>("h036")) @[ifu_bp_ctl.scala 454:79] + node _T_20493 = bits(_T_20492, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20494 = eq(bht_rd_addr_f, UInt<6>("h037")) @[ifu_bp_ctl.scala 454:79] + node _T_20495 = bits(_T_20494, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20496 = eq(bht_rd_addr_f, UInt<6>("h038")) @[ifu_bp_ctl.scala 454:79] + node _T_20497 = bits(_T_20496, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20498 = eq(bht_rd_addr_f, UInt<6>("h039")) @[ifu_bp_ctl.scala 454:79] + node _T_20499 = bits(_T_20498, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20500 = eq(bht_rd_addr_f, UInt<6>("h03a")) @[ifu_bp_ctl.scala 454:79] + node _T_20501 = bits(_T_20500, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20502 = eq(bht_rd_addr_f, UInt<6>("h03b")) @[ifu_bp_ctl.scala 454:79] + node _T_20503 = bits(_T_20502, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20504 = eq(bht_rd_addr_f, UInt<6>("h03c")) @[ifu_bp_ctl.scala 454:79] + node _T_20505 = bits(_T_20504, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20506 = eq(bht_rd_addr_f, UInt<6>("h03d")) @[ifu_bp_ctl.scala 454:79] + node _T_20507 = bits(_T_20506, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20508 = eq(bht_rd_addr_f, UInt<6>("h03e")) @[ifu_bp_ctl.scala 454:79] + node _T_20509 = bits(_T_20508, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20510 = eq(bht_rd_addr_f, UInt<6>("h03f")) @[ifu_bp_ctl.scala 454:79] + node _T_20511 = bits(_T_20510, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20512 = eq(bht_rd_addr_f, UInt<7>("h040")) @[ifu_bp_ctl.scala 454:79] + node _T_20513 = bits(_T_20512, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20514 = eq(bht_rd_addr_f, UInt<7>("h041")) @[ifu_bp_ctl.scala 454:79] + node _T_20515 = bits(_T_20514, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20516 = eq(bht_rd_addr_f, UInt<7>("h042")) @[ifu_bp_ctl.scala 454:79] + node _T_20517 = bits(_T_20516, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20518 = eq(bht_rd_addr_f, UInt<7>("h043")) @[ifu_bp_ctl.scala 454:79] + node _T_20519 = bits(_T_20518, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20520 = eq(bht_rd_addr_f, UInt<7>("h044")) @[ifu_bp_ctl.scala 454:79] + node _T_20521 = bits(_T_20520, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20522 = eq(bht_rd_addr_f, UInt<7>("h045")) @[ifu_bp_ctl.scala 454:79] + node _T_20523 = bits(_T_20522, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20524 = eq(bht_rd_addr_f, UInt<7>("h046")) @[ifu_bp_ctl.scala 454:79] + node _T_20525 = bits(_T_20524, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20526 = eq(bht_rd_addr_f, UInt<7>("h047")) @[ifu_bp_ctl.scala 454:79] + node _T_20527 = bits(_T_20526, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20528 = eq(bht_rd_addr_f, UInt<7>("h048")) @[ifu_bp_ctl.scala 454:79] + node _T_20529 = bits(_T_20528, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20530 = eq(bht_rd_addr_f, UInt<7>("h049")) @[ifu_bp_ctl.scala 454:79] + node _T_20531 = bits(_T_20530, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20532 = eq(bht_rd_addr_f, UInt<7>("h04a")) @[ifu_bp_ctl.scala 454:79] + node _T_20533 = bits(_T_20532, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20534 = eq(bht_rd_addr_f, UInt<7>("h04b")) @[ifu_bp_ctl.scala 454:79] + node _T_20535 = bits(_T_20534, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20536 = eq(bht_rd_addr_f, UInt<7>("h04c")) @[ifu_bp_ctl.scala 454:79] + node _T_20537 = bits(_T_20536, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20538 = eq(bht_rd_addr_f, UInt<7>("h04d")) @[ifu_bp_ctl.scala 454:79] + node _T_20539 = bits(_T_20538, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20540 = eq(bht_rd_addr_f, UInt<7>("h04e")) @[ifu_bp_ctl.scala 454:79] + node _T_20541 = bits(_T_20540, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20542 = eq(bht_rd_addr_f, UInt<7>("h04f")) @[ifu_bp_ctl.scala 454:79] + node _T_20543 = bits(_T_20542, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20544 = eq(bht_rd_addr_f, UInt<7>("h050")) @[ifu_bp_ctl.scala 454:79] + node _T_20545 = bits(_T_20544, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20546 = eq(bht_rd_addr_f, UInt<7>("h051")) @[ifu_bp_ctl.scala 454:79] + node _T_20547 = bits(_T_20546, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20548 = eq(bht_rd_addr_f, UInt<7>("h052")) @[ifu_bp_ctl.scala 454:79] + node _T_20549 = bits(_T_20548, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20550 = eq(bht_rd_addr_f, UInt<7>("h053")) @[ifu_bp_ctl.scala 454:79] + node _T_20551 = bits(_T_20550, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20552 = eq(bht_rd_addr_f, UInt<7>("h054")) @[ifu_bp_ctl.scala 454:79] + node _T_20553 = bits(_T_20552, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20554 = eq(bht_rd_addr_f, UInt<7>("h055")) @[ifu_bp_ctl.scala 454:79] + node _T_20555 = bits(_T_20554, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20556 = eq(bht_rd_addr_f, UInt<7>("h056")) @[ifu_bp_ctl.scala 454:79] + node _T_20557 = bits(_T_20556, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20558 = eq(bht_rd_addr_f, UInt<7>("h057")) @[ifu_bp_ctl.scala 454:79] + node _T_20559 = bits(_T_20558, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20560 = eq(bht_rd_addr_f, UInt<7>("h058")) @[ifu_bp_ctl.scala 454:79] + node _T_20561 = bits(_T_20560, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20562 = eq(bht_rd_addr_f, UInt<7>("h059")) @[ifu_bp_ctl.scala 454:79] + node _T_20563 = bits(_T_20562, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20564 = eq(bht_rd_addr_f, UInt<7>("h05a")) @[ifu_bp_ctl.scala 454:79] + node _T_20565 = bits(_T_20564, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20566 = eq(bht_rd_addr_f, UInt<7>("h05b")) @[ifu_bp_ctl.scala 454:79] + node _T_20567 = bits(_T_20566, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20568 = eq(bht_rd_addr_f, UInt<7>("h05c")) @[ifu_bp_ctl.scala 454:79] + node _T_20569 = bits(_T_20568, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20570 = eq(bht_rd_addr_f, UInt<7>("h05d")) @[ifu_bp_ctl.scala 454:79] + node _T_20571 = bits(_T_20570, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20572 = eq(bht_rd_addr_f, UInt<7>("h05e")) @[ifu_bp_ctl.scala 454:79] + node _T_20573 = bits(_T_20572, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20574 = eq(bht_rd_addr_f, UInt<7>("h05f")) @[ifu_bp_ctl.scala 454:79] + node _T_20575 = bits(_T_20574, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20576 = eq(bht_rd_addr_f, UInt<7>("h060")) @[ifu_bp_ctl.scala 454:79] + node _T_20577 = bits(_T_20576, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20578 = eq(bht_rd_addr_f, UInt<7>("h061")) @[ifu_bp_ctl.scala 454:79] + node _T_20579 = bits(_T_20578, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20580 = eq(bht_rd_addr_f, UInt<7>("h062")) @[ifu_bp_ctl.scala 454:79] + node _T_20581 = bits(_T_20580, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20582 = eq(bht_rd_addr_f, UInt<7>("h063")) @[ifu_bp_ctl.scala 454:79] + node _T_20583 = bits(_T_20582, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20584 = eq(bht_rd_addr_f, UInt<7>("h064")) @[ifu_bp_ctl.scala 454:79] + node _T_20585 = bits(_T_20584, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20586 = eq(bht_rd_addr_f, UInt<7>("h065")) @[ifu_bp_ctl.scala 454:79] + node _T_20587 = bits(_T_20586, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20588 = eq(bht_rd_addr_f, UInt<7>("h066")) @[ifu_bp_ctl.scala 454:79] + node _T_20589 = bits(_T_20588, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20590 = eq(bht_rd_addr_f, UInt<7>("h067")) @[ifu_bp_ctl.scala 454:79] + node _T_20591 = bits(_T_20590, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20592 = eq(bht_rd_addr_f, UInt<7>("h068")) @[ifu_bp_ctl.scala 454:79] + node _T_20593 = bits(_T_20592, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20594 = eq(bht_rd_addr_f, UInt<7>("h069")) @[ifu_bp_ctl.scala 454:79] + node _T_20595 = bits(_T_20594, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20596 = eq(bht_rd_addr_f, UInt<7>("h06a")) @[ifu_bp_ctl.scala 454:79] + node _T_20597 = bits(_T_20596, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20598 = eq(bht_rd_addr_f, UInt<7>("h06b")) @[ifu_bp_ctl.scala 454:79] + node _T_20599 = bits(_T_20598, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20600 = eq(bht_rd_addr_f, UInt<7>("h06c")) @[ifu_bp_ctl.scala 454:79] + node _T_20601 = bits(_T_20600, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20602 = eq(bht_rd_addr_f, UInt<7>("h06d")) @[ifu_bp_ctl.scala 454:79] + node _T_20603 = bits(_T_20602, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20604 = eq(bht_rd_addr_f, UInt<7>("h06e")) @[ifu_bp_ctl.scala 454:79] + node _T_20605 = bits(_T_20604, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20606 = eq(bht_rd_addr_f, UInt<7>("h06f")) @[ifu_bp_ctl.scala 454:79] + node _T_20607 = bits(_T_20606, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20608 = eq(bht_rd_addr_f, UInt<7>("h070")) @[ifu_bp_ctl.scala 454:79] + node _T_20609 = bits(_T_20608, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20610 = eq(bht_rd_addr_f, UInt<7>("h071")) @[ifu_bp_ctl.scala 454:79] + node _T_20611 = bits(_T_20610, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20612 = eq(bht_rd_addr_f, UInt<7>("h072")) @[ifu_bp_ctl.scala 454:79] + node _T_20613 = bits(_T_20612, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20614 = eq(bht_rd_addr_f, UInt<7>("h073")) @[ifu_bp_ctl.scala 454:79] + node _T_20615 = bits(_T_20614, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20616 = eq(bht_rd_addr_f, UInt<7>("h074")) @[ifu_bp_ctl.scala 454:79] + node _T_20617 = bits(_T_20616, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20618 = eq(bht_rd_addr_f, UInt<7>("h075")) @[ifu_bp_ctl.scala 454:79] + node _T_20619 = bits(_T_20618, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20620 = eq(bht_rd_addr_f, UInt<7>("h076")) @[ifu_bp_ctl.scala 454:79] + node _T_20621 = bits(_T_20620, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20622 = eq(bht_rd_addr_f, UInt<7>("h077")) @[ifu_bp_ctl.scala 454:79] + node _T_20623 = bits(_T_20622, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20624 = eq(bht_rd_addr_f, UInt<7>("h078")) @[ifu_bp_ctl.scala 454:79] + node _T_20625 = bits(_T_20624, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20626 = eq(bht_rd_addr_f, UInt<7>("h079")) @[ifu_bp_ctl.scala 454:79] + node _T_20627 = bits(_T_20626, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20628 = eq(bht_rd_addr_f, UInt<7>("h07a")) @[ifu_bp_ctl.scala 454:79] + node _T_20629 = bits(_T_20628, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20630 = eq(bht_rd_addr_f, UInt<7>("h07b")) @[ifu_bp_ctl.scala 454:79] + node _T_20631 = bits(_T_20630, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20632 = eq(bht_rd_addr_f, UInt<7>("h07c")) @[ifu_bp_ctl.scala 454:79] + node _T_20633 = bits(_T_20632, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20634 = eq(bht_rd_addr_f, UInt<7>("h07d")) @[ifu_bp_ctl.scala 454:79] + node _T_20635 = bits(_T_20634, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20636 = eq(bht_rd_addr_f, UInt<7>("h07e")) @[ifu_bp_ctl.scala 454:79] + node _T_20637 = bits(_T_20636, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20638 = eq(bht_rd_addr_f, UInt<7>("h07f")) @[ifu_bp_ctl.scala 454:79] + node _T_20639 = bits(_T_20638, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20640 = eq(bht_rd_addr_f, UInt<8>("h080")) @[ifu_bp_ctl.scala 454:79] + node _T_20641 = bits(_T_20640, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20642 = eq(bht_rd_addr_f, UInt<8>("h081")) @[ifu_bp_ctl.scala 454:79] + node _T_20643 = bits(_T_20642, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20644 = eq(bht_rd_addr_f, UInt<8>("h082")) @[ifu_bp_ctl.scala 454:79] + node _T_20645 = bits(_T_20644, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20646 = eq(bht_rd_addr_f, UInt<8>("h083")) @[ifu_bp_ctl.scala 454:79] + node _T_20647 = bits(_T_20646, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20648 = eq(bht_rd_addr_f, UInt<8>("h084")) @[ifu_bp_ctl.scala 454:79] + node _T_20649 = bits(_T_20648, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20650 = eq(bht_rd_addr_f, UInt<8>("h085")) @[ifu_bp_ctl.scala 454:79] + node _T_20651 = bits(_T_20650, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20652 = eq(bht_rd_addr_f, UInt<8>("h086")) @[ifu_bp_ctl.scala 454:79] + node _T_20653 = bits(_T_20652, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20654 = eq(bht_rd_addr_f, UInt<8>("h087")) @[ifu_bp_ctl.scala 454:79] + node _T_20655 = bits(_T_20654, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20656 = eq(bht_rd_addr_f, UInt<8>("h088")) @[ifu_bp_ctl.scala 454:79] + node _T_20657 = bits(_T_20656, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20658 = eq(bht_rd_addr_f, UInt<8>("h089")) @[ifu_bp_ctl.scala 454:79] + node _T_20659 = bits(_T_20658, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20660 = eq(bht_rd_addr_f, UInt<8>("h08a")) @[ifu_bp_ctl.scala 454:79] + node _T_20661 = bits(_T_20660, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20662 = eq(bht_rd_addr_f, UInt<8>("h08b")) @[ifu_bp_ctl.scala 454:79] + node _T_20663 = bits(_T_20662, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20664 = eq(bht_rd_addr_f, UInt<8>("h08c")) @[ifu_bp_ctl.scala 454:79] + node _T_20665 = bits(_T_20664, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20666 = eq(bht_rd_addr_f, UInt<8>("h08d")) @[ifu_bp_ctl.scala 454:79] + node _T_20667 = bits(_T_20666, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20668 = eq(bht_rd_addr_f, UInt<8>("h08e")) @[ifu_bp_ctl.scala 454:79] + node _T_20669 = bits(_T_20668, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20670 = eq(bht_rd_addr_f, UInt<8>("h08f")) @[ifu_bp_ctl.scala 454:79] + node _T_20671 = bits(_T_20670, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20672 = eq(bht_rd_addr_f, UInt<8>("h090")) @[ifu_bp_ctl.scala 454:79] + node _T_20673 = bits(_T_20672, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20674 = eq(bht_rd_addr_f, UInt<8>("h091")) @[ifu_bp_ctl.scala 454:79] + node _T_20675 = bits(_T_20674, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20676 = eq(bht_rd_addr_f, UInt<8>("h092")) @[ifu_bp_ctl.scala 454:79] + node _T_20677 = bits(_T_20676, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20678 = eq(bht_rd_addr_f, UInt<8>("h093")) @[ifu_bp_ctl.scala 454:79] + node _T_20679 = bits(_T_20678, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20680 = eq(bht_rd_addr_f, UInt<8>("h094")) @[ifu_bp_ctl.scala 454:79] + node _T_20681 = bits(_T_20680, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20682 = eq(bht_rd_addr_f, UInt<8>("h095")) @[ifu_bp_ctl.scala 454:79] + node _T_20683 = bits(_T_20682, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20684 = eq(bht_rd_addr_f, UInt<8>("h096")) @[ifu_bp_ctl.scala 454:79] + node _T_20685 = bits(_T_20684, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20686 = eq(bht_rd_addr_f, UInt<8>("h097")) @[ifu_bp_ctl.scala 454:79] + node _T_20687 = bits(_T_20686, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20688 = eq(bht_rd_addr_f, UInt<8>("h098")) @[ifu_bp_ctl.scala 454:79] + node _T_20689 = bits(_T_20688, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20690 = eq(bht_rd_addr_f, UInt<8>("h099")) @[ifu_bp_ctl.scala 454:79] + node _T_20691 = bits(_T_20690, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20692 = eq(bht_rd_addr_f, UInt<8>("h09a")) @[ifu_bp_ctl.scala 454:79] + node _T_20693 = bits(_T_20692, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20694 = eq(bht_rd_addr_f, UInt<8>("h09b")) @[ifu_bp_ctl.scala 454:79] + node _T_20695 = bits(_T_20694, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20696 = eq(bht_rd_addr_f, UInt<8>("h09c")) @[ifu_bp_ctl.scala 454:79] + node _T_20697 = bits(_T_20696, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20698 = eq(bht_rd_addr_f, UInt<8>("h09d")) @[ifu_bp_ctl.scala 454:79] + node _T_20699 = bits(_T_20698, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20700 = eq(bht_rd_addr_f, UInt<8>("h09e")) @[ifu_bp_ctl.scala 454:79] + node _T_20701 = bits(_T_20700, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20702 = eq(bht_rd_addr_f, UInt<8>("h09f")) @[ifu_bp_ctl.scala 454:79] + node _T_20703 = bits(_T_20702, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20704 = eq(bht_rd_addr_f, UInt<8>("h0a0")) @[ifu_bp_ctl.scala 454:79] + node _T_20705 = bits(_T_20704, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20706 = eq(bht_rd_addr_f, UInt<8>("h0a1")) @[ifu_bp_ctl.scala 454:79] + node _T_20707 = bits(_T_20706, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20708 = eq(bht_rd_addr_f, UInt<8>("h0a2")) @[ifu_bp_ctl.scala 454:79] + node _T_20709 = bits(_T_20708, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20710 = eq(bht_rd_addr_f, UInt<8>("h0a3")) @[ifu_bp_ctl.scala 454:79] + node _T_20711 = bits(_T_20710, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20712 = eq(bht_rd_addr_f, UInt<8>("h0a4")) @[ifu_bp_ctl.scala 454:79] + node _T_20713 = bits(_T_20712, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20714 = eq(bht_rd_addr_f, UInt<8>("h0a5")) @[ifu_bp_ctl.scala 454:79] + node _T_20715 = bits(_T_20714, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20716 = eq(bht_rd_addr_f, UInt<8>("h0a6")) @[ifu_bp_ctl.scala 454:79] + node _T_20717 = bits(_T_20716, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20718 = eq(bht_rd_addr_f, UInt<8>("h0a7")) @[ifu_bp_ctl.scala 454:79] + node _T_20719 = bits(_T_20718, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20720 = eq(bht_rd_addr_f, UInt<8>("h0a8")) @[ifu_bp_ctl.scala 454:79] + node _T_20721 = bits(_T_20720, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20722 = eq(bht_rd_addr_f, UInt<8>("h0a9")) @[ifu_bp_ctl.scala 454:79] + node _T_20723 = bits(_T_20722, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20724 = eq(bht_rd_addr_f, UInt<8>("h0aa")) @[ifu_bp_ctl.scala 454:79] + node _T_20725 = bits(_T_20724, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20726 = eq(bht_rd_addr_f, UInt<8>("h0ab")) @[ifu_bp_ctl.scala 454:79] + node _T_20727 = bits(_T_20726, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20728 = eq(bht_rd_addr_f, UInt<8>("h0ac")) @[ifu_bp_ctl.scala 454:79] + node _T_20729 = bits(_T_20728, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20730 = eq(bht_rd_addr_f, UInt<8>("h0ad")) @[ifu_bp_ctl.scala 454:79] + node _T_20731 = bits(_T_20730, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20732 = eq(bht_rd_addr_f, UInt<8>("h0ae")) @[ifu_bp_ctl.scala 454:79] + node _T_20733 = bits(_T_20732, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20734 = eq(bht_rd_addr_f, UInt<8>("h0af")) @[ifu_bp_ctl.scala 454:79] + node _T_20735 = bits(_T_20734, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20736 = eq(bht_rd_addr_f, UInt<8>("h0b0")) @[ifu_bp_ctl.scala 454:79] + node _T_20737 = bits(_T_20736, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20738 = eq(bht_rd_addr_f, UInt<8>("h0b1")) @[ifu_bp_ctl.scala 454:79] + node _T_20739 = bits(_T_20738, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20740 = eq(bht_rd_addr_f, UInt<8>("h0b2")) @[ifu_bp_ctl.scala 454:79] + node _T_20741 = bits(_T_20740, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20742 = eq(bht_rd_addr_f, UInt<8>("h0b3")) @[ifu_bp_ctl.scala 454:79] + node _T_20743 = bits(_T_20742, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20744 = eq(bht_rd_addr_f, UInt<8>("h0b4")) @[ifu_bp_ctl.scala 454:79] + node _T_20745 = bits(_T_20744, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20746 = eq(bht_rd_addr_f, UInt<8>("h0b5")) @[ifu_bp_ctl.scala 454:79] + node _T_20747 = bits(_T_20746, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20748 = eq(bht_rd_addr_f, UInt<8>("h0b6")) @[ifu_bp_ctl.scala 454:79] + node _T_20749 = bits(_T_20748, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20750 = eq(bht_rd_addr_f, UInt<8>("h0b7")) @[ifu_bp_ctl.scala 454:79] + node _T_20751 = bits(_T_20750, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20752 = eq(bht_rd_addr_f, UInt<8>("h0b8")) @[ifu_bp_ctl.scala 454:79] + node _T_20753 = bits(_T_20752, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20754 = eq(bht_rd_addr_f, UInt<8>("h0b9")) @[ifu_bp_ctl.scala 454:79] + node _T_20755 = bits(_T_20754, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20756 = eq(bht_rd_addr_f, UInt<8>("h0ba")) @[ifu_bp_ctl.scala 454:79] + node _T_20757 = bits(_T_20756, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20758 = eq(bht_rd_addr_f, UInt<8>("h0bb")) @[ifu_bp_ctl.scala 454:79] + node _T_20759 = bits(_T_20758, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20760 = eq(bht_rd_addr_f, UInt<8>("h0bc")) @[ifu_bp_ctl.scala 454:79] + node _T_20761 = bits(_T_20760, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20762 = eq(bht_rd_addr_f, UInt<8>("h0bd")) @[ifu_bp_ctl.scala 454:79] + node _T_20763 = bits(_T_20762, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20764 = eq(bht_rd_addr_f, UInt<8>("h0be")) @[ifu_bp_ctl.scala 454:79] + node _T_20765 = bits(_T_20764, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20766 = eq(bht_rd_addr_f, UInt<8>("h0bf")) @[ifu_bp_ctl.scala 454:79] + node _T_20767 = bits(_T_20766, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20768 = eq(bht_rd_addr_f, UInt<8>("h0c0")) @[ifu_bp_ctl.scala 454:79] + node _T_20769 = bits(_T_20768, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20770 = eq(bht_rd_addr_f, UInt<8>("h0c1")) @[ifu_bp_ctl.scala 454:79] + node _T_20771 = bits(_T_20770, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20772 = eq(bht_rd_addr_f, UInt<8>("h0c2")) @[ifu_bp_ctl.scala 454:79] + node _T_20773 = bits(_T_20772, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20774 = eq(bht_rd_addr_f, UInt<8>("h0c3")) @[ifu_bp_ctl.scala 454:79] + node _T_20775 = bits(_T_20774, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20776 = eq(bht_rd_addr_f, UInt<8>("h0c4")) @[ifu_bp_ctl.scala 454:79] + node _T_20777 = bits(_T_20776, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20778 = eq(bht_rd_addr_f, UInt<8>("h0c5")) @[ifu_bp_ctl.scala 454:79] + node _T_20779 = bits(_T_20778, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20780 = eq(bht_rd_addr_f, UInt<8>("h0c6")) @[ifu_bp_ctl.scala 454:79] + node _T_20781 = bits(_T_20780, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20782 = eq(bht_rd_addr_f, UInt<8>("h0c7")) @[ifu_bp_ctl.scala 454:79] + node _T_20783 = bits(_T_20782, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20784 = eq(bht_rd_addr_f, UInt<8>("h0c8")) @[ifu_bp_ctl.scala 454:79] + node _T_20785 = bits(_T_20784, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20786 = eq(bht_rd_addr_f, UInt<8>("h0c9")) @[ifu_bp_ctl.scala 454:79] + node _T_20787 = bits(_T_20786, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20788 = eq(bht_rd_addr_f, UInt<8>("h0ca")) @[ifu_bp_ctl.scala 454:79] + node _T_20789 = bits(_T_20788, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20790 = eq(bht_rd_addr_f, UInt<8>("h0cb")) @[ifu_bp_ctl.scala 454:79] + node _T_20791 = bits(_T_20790, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20792 = eq(bht_rd_addr_f, UInt<8>("h0cc")) @[ifu_bp_ctl.scala 454:79] + node _T_20793 = bits(_T_20792, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20794 = eq(bht_rd_addr_f, UInt<8>("h0cd")) @[ifu_bp_ctl.scala 454:79] + node _T_20795 = bits(_T_20794, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20796 = eq(bht_rd_addr_f, UInt<8>("h0ce")) @[ifu_bp_ctl.scala 454:79] + node _T_20797 = bits(_T_20796, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20798 = eq(bht_rd_addr_f, UInt<8>("h0cf")) @[ifu_bp_ctl.scala 454:79] + node _T_20799 = bits(_T_20798, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20800 = eq(bht_rd_addr_f, UInt<8>("h0d0")) @[ifu_bp_ctl.scala 454:79] + node _T_20801 = bits(_T_20800, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20802 = eq(bht_rd_addr_f, UInt<8>("h0d1")) @[ifu_bp_ctl.scala 454:79] + node _T_20803 = bits(_T_20802, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20804 = eq(bht_rd_addr_f, UInt<8>("h0d2")) @[ifu_bp_ctl.scala 454:79] + node _T_20805 = bits(_T_20804, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20806 = eq(bht_rd_addr_f, UInt<8>("h0d3")) @[ifu_bp_ctl.scala 454:79] + node _T_20807 = bits(_T_20806, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20808 = eq(bht_rd_addr_f, UInt<8>("h0d4")) @[ifu_bp_ctl.scala 454:79] + node _T_20809 = bits(_T_20808, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20810 = eq(bht_rd_addr_f, UInt<8>("h0d5")) @[ifu_bp_ctl.scala 454:79] + node _T_20811 = bits(_T_20810, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20812 = eq(bht_rd_addr_f, UInt<8>("h0d6")) @[ifu_bp_ctl.scala 454:79] + node _T_20813 = bits(_T_20812, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20814 = eq(bht_rd_addr_f, UInt<8>("h0d7")) @[ifu_bp_ctl.scala 454:79] + node _T_20815 = bits(_T_20814, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20816 = eq(bht_rd_addr_f, UInt<8>("h0d8")) @[ifu_bp_ctl.scala 454:79] + node _T_20817 = bits(_T_20816, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20818 = eq(bht_rd_addr_f, UInt<8>("h0d9")) @[ifu_bp_ctl.scala 454:79] + node _T_20819 = bits(_T_20818, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20820 = eq(bht_rd_addr_f, UInt<8>("h0da")) @[ifu_bp_ctl.scala 454:79] + node _T_20821 = bits(_T_20820, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20822 = eq(bht_rd_addr_f, UInt<8>("h0db")) @[ifu_bp_ctl.scala 454:79] + node _T_20823 = bits(_T_20822, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20824 = eq(bht_rd_addr_f, UInt<8>("h0dc")) @[ifu_bp_ctl.scala 454:79] + node _T_20825 = bits(_T_20824, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20826 = eq(bht_rd_addr_f, UInt<8>("h0dd")) @[ifu_bp_ctl.scala 454:79] + node _T_20827 = bits(_T_20826, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20828 = eq(bht_rd_addr_f, UInt<8>("h0de")) @[ifu_bp_ctl.scala 454:79] + node _T_20829 = bits(_T_20828, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20830 = eq(bht_rd_addr_f, UInt<8>("h0df")) @[ifu_bp_ctl.scala 454:79] + node _T_20831 = bits(_T_20830, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20832 = eq(bht_rd_addr_f, UInt<8>("h0e0")) @[ifu_bp_ctl.scala 454:79] + node _T_20833 = bits(_T_20832, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20834 = eq(bht_rd_addr_f, UInt<8>("h0e1")) @[ifu_bp_ctl.scala 454:79] + node _T_20835 = bits(_T_20834, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20836 = eq(bht_rd_addr_f, UInt<8>("h0e2")) @[ifu_bp_ctl.scala 454:79] + node _T_20837 = bits(_T_20836, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20838 = eq(bht_rd_addr_f, UInt<8>("h0e3")) @[ifu_bp_ctl.scala 454:79] + node _T_20839 = bits(_T_20838, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20840 = eq(bht_rd_addr_f, UInt<8>("h0e4")) @[ifu_bp_ctl.scala 454:79] + node _T_20841 = bits(_T_20840, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20842 = eq(bht_rd_addr_f, UInt<8>("h0e5")) @[ifu_bp_ctl.scala 454:79] + node _T_20843 = bits(_T_20842, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20844 = eq(bht_rd_addr_f, UInt<8>("h0e6")) @[ifu_bp_ctl.scala 454:79] + node _T_20845 = bits(_T_20844, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20846 = eq(bht_rd_addr_f, UInt<8>("h0e7")) @[ifu_bp_ctl.scala 454:79] + node _T_20847 = bits(_T_20846, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20848 = eq(bht_rd_addr_f, UInt<8>("h0e8")) @[ifu_bp_ctl.scala 454:79] + node _T_20849 = bits(_T_20848, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20850 = eq(bht_rd_addr_f, UInt<8>("h0e9")) @[ifu_bp_ctl.scala 454:79] + node _T_20851 = bits(_T_20850, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20852 = eq(bht_rd_addr_f, UInt<8>("h0ea")) @[ifu_bp_ctl.scala 454:79] + node _T_20853 = bits(_T_20852, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20854 = eq(bht_rd_addr_f, UInt<8>("h0eb")) @[ifu_bp_ctl.scala 454:79] + node _T_20855 = bits(_T_20854, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20856 = eq(bht_rd_addr_f, UInt<8>("h0ec")) @[ifu_bp_ctl.scala 454:79] + node _T_20857 = bits(_T_20856, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20858 = eq(bht_rd_addr_f, UInt<8>("h0ed")) @[ifu_bp_ctl.scala 454:79] + node _T_20859 = bits(_T_20858, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20860 = eq(bht_rd_addr_f, UInt<8>("h0ee")) @[ifu_bp_ctl.scala 454:79] + node _T_20861 = bits(_T_20860, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20862 = eq(bht_rd_addr_f, UInt<8>("h0ef")) @[ifu_bp_ctl.scala 454:79] + node _T_20863 = bits(_T_20862, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20864 = eq(bht_rd_addr_f, UInt<8>("h0f0")) @[ifu_bp_ctl.scala 454:79] + node _T_20865 = bits(_T_20864, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20866 = eq(bht_rd_addr_f, UInt<8>("h0f1")) @[ifu_bp_ctl.scala 454:79] + node _T_20867 = bits(_T_20866, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20868 = eq(bht_rd_addr_f, UInt<8>("h0f2")) @[ifu_bp_ctl.scala 454:79] + node _T_20869 = bits(_T_20868, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20870 = eq(bht_rd_addr_f, UInt<8>("h0f3")) @[ifu_bp_ctl.scala 454:79] + node _T_20871 = bits(_T_20870, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20872 = eq(bht_rd_addr_f, UInt<8>("h0f4")) @[ifu_bp_ctl.scala 454:79] + node _T_20873 = bits(_T_20872, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20874 = eq(bht_rd_addr_f, UInt<8>("h0f5")) @[ifu_bp_ctl.scala 454:79] + node _T_20875 = bits(_T_20874, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20876 = eq(bht_rd_addr_f, UInt<8>("h0f6")) @[ifu_bp_ctl.scala 454:79] + node _T_20877 = bits(_T_20876, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20878 = eq(bht_rd_addr_f, UInt<8>("h0f7")) @[ifu_bp_ctl.scala 454:79] + node _T_20879 = bits(_T_20878, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20880 = eq(bht_rd_addr_f, UInt<8>("h0f8")) @[ifu_bp_ctl.scala 454:79] + node _T_20881 = bits(_T_20880, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20882 = eq(bht_rd_addr_f, UInt<8>("h0f9")) @[ifu_bp_ctl.scala 454:79] + node _T_20883 = bits(_T_20882, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20884 = eq(bht_rd_addr_f, UInt<8>("h0fa")) @[ifu_bp_ctl.scala 454:79] + node _T_20885 = bits(_T_20884, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20886 = eq(bht_rd_addr_f, UInt<8>("h0fb")) @[ifu_bp_ctl.scala 454:79] + node _T_20887 = bits(_T_20886, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20888 = eq(bht_rd_addr_f, UInt<8>("h0fc")) @[ifu_bp_ctl.scala 454:79] + node _T_20889 = bits(_T_20888, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20890 = eq(bht_rd_addr_f, UInt<8>("h0fd")) @[ifu_bp_ctl.scala 454:79] + node _T_20891 = bits(_T_20890, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20892 = eq(bht_rd_addr_f, UInt<8>("h0fe")) @[ifu_bp_ctl.scala 454:79] + node _T_20893 = bits(_T_20892, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20894 = eq(bht_rd_addr_f, UInt<8>("h0ff")) @[ifu_bp_ctl.scala 454:79] + node _T_20895 = bits(_T_20894, 0, 0) @[ifu_bp_ctl.scala 454:87] + node _T_20896 = mux(_T_20385, bht_bank_rd_data_out[0][0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20897 = mux(_T_20387, bht_bank_rd_data_out[0][1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20898 = mux(_T_20389, bht_bank_rd_data_out[0][2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20899 = mux(_T_20391, bht_bank_rd_data_out[0][3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20900 = mux(_T_20393, bht_bank_rd_data_out[0][4], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20901 = mux(_T_20395, bht_bank_rd_data_out[0][5], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20902 = mux(_T_20397, bht_bank_rd_data_out[0][6], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20903 = mux(_T_20399, bht_bank_rd_data_out[0][7], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20904 = mux(_T_20401, bht_bank_rd_data_out[0][8], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20905 = mux(_T_20403, bht_bank_rd_data_out[0][9], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20906 = mux(_T_20405, bht_bank_rd_data_out[0][10], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20907 = mux(_T_20407, bht_bank_rd_data_out[0][11], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20908 = mux(_T_20409, bht_bank_rd_data_out[0][12], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20909 = mux(_T_20411, bht_bank_rd_data_out[0][13], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20910 = mux(_T_20413, bht_bank_rd_data_out[0][14], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20911 = mux(_T_20415, bht_bank_rd_data_out[0][15], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20912 = mux(_T_20417, bht_bank_rd_data_out[0][16], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20913 = mux(_T_20419, bht_bank_rd_data_out[0][17], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20914 = mux(_T_20421, bht_bank_rd_data_out[0][18], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20915 = mux(_T_20423, bht_bank_rd_data_out[0][19], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20916 = mux(_T_20425, bht_bank_rd_data_out[0][20], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20917 = mux(_T_20427, bht_bank_rd_data_out[0][21], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20918 = mux(_T_20429, bht_bank_rd_data_out[0][22], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20919 = mux(_T_20431, bht_bank_rd_data_out[0][23], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20920 = mux(_T_20433, bht_bank_rd_data_out[0][24], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20921 = mux(_T_20435, bht_bank_rd_data_out[0][25], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20922 = mux(_T_20437, bht_bank_rd_data_out[0][26], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20923 = mux(_T_20439, bht_bank_rd_data_out[0][27], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20924 = mux(_T_20441, bht_bank_rd_data_out[0][28], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20925 = mux(_T_20443, bht_bank_rd_data_out[0][29], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20926 = mux(_T_20445, bht_bank_rd_data_out[0][30], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20927 = mux(_T_20447, bht_bank_rd_data_out[0][31], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20928 = mux(_T_20449, bht_bank_rd_data_out[0][32], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20929 = mux(_T_20451, bht_bank_rd_data_out[0][33], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20930 = mux(_T_20453, bht_bank_rd_data_out[0][34], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20931 = mux(_T_20455, bht_bank_rd_data_out[0][35], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20932 = mux(_T_20457, bht_bank_rd_data_out[0][36], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20933 = mux(_T_20459, bht_bank_rd_data_out[0][37], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20934 = mux(_T_20461, bht_bank_rd_data_out[0][38], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20935 = mux(_T_20463, bht_bank_rd_data_out[0][39], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20936 = mux(_T_20465, bht_bank_rd_data_out[0][40], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20937 = mux(_T_20467, bht_bank_rd_data_out[0][41], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20938 = mux(_T_20469, bht_bank_rd_data_out[0][42], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20939 = mux(_T_20471, bht_bank_rd_data_out[0][43], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20940 = mux(_T_20473, bht_bank_rd_data_out[0][44], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20941 = mux(_T_20475, bht_bank_rd_data_out[0][45], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20942 = mux(_T_20477, bht_bank_rd_data_out[0][46], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20943 = mux(_T_20479, bht_bank_rd_data_out[0][47], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20944 = mux(_T_20481, bht_bank_rd_data_out[0][48], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20945 = mux(_T_20483, bht_bank_rd_data_out[0][49], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20946 = mux(_T_20485, bht_bank_rd_data_out[0][50], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20947 = mux(_T_20487, bht_bank_rd_data_out[0][51], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20948 = mux(_T_20489, bht_bank_rd_data_out[0][52], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20949 = mux(_T_20491, bht_bank_rd_data_out[0][53], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20950 = mux(_T_20493, bht_bank_rd_data_out[0][54], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20951 = mux(_T_20495, bht_bank_rd_data_out[0][55], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20952 = mux(_T_20497, bht_bank_rd_data_out[0][56], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20953 = mux(_T_20499, bht_bank_rd_data_out[0][57], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20954 = mux(_T_20501, bht_bank_rd_data_out[0][58], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20955 = mux(_T_20503, bht_bank_rd_data_out[0][59], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20956 = mux(_T_20505, bht_bank_rd_data_out[0][60], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20957 = mux(_T_20507, bht_bank_rd_data_out[0][61], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20958 = mux(_T_20509, bht_bank_rd_data_out[0][62], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20959 = mux(_T_20511, bht_bank_rd_data_out[0][63], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20960 = mux(_T_20513, bht_bank_rd_data_out[0][64], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20961 = mux(_T_20515, bht_bank_rd_data_out[0][65], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20962 = mux(_T_20517, bht_bank_rd_data_out[0][66], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20963 = mux(_T_20519, bht_bank_rd_data_out[0][67], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20964 = mux(_T_20521, bht_bank_rd_data_out[0][68], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20965 = mux(_T_20523, bht_bank_rd_data_out[0][69], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20966 = mux(_T_20525, bht_bank_rd_data_out[0][70], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20967 = mux(_T_20527, bht_bank_rd_data_out[0][71], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20968 = mux(_T_20529, bht_bank_rd_data_out[0][72], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20969 = mux(_T_20531, bht_bank_rd_data_out[0][73], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20970 = mux(_T_20533, bht_bank_rd_data_out[0][74], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20971 = mux(_T_20535, bht_bank_rd_data_out[0][75], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20972 = mux(_T_20537, bht_bank_rd_data_out[0][76], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20973 = mux(_T_20539, bht_bank_rd_data_out[0][77], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20974 = mux(_T_20541, bht_bank_rd_data_out[0][78], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20975 = mux(_T_20543, bht_bank_rd_data_out[0][79], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20976 = mux(_T_20545, bht_bank_rd_data_out[0][80], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20977 = mux(_T_20547, bht_bank_rd_data_out[0][81], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20978 = mux(_T_20549, bht_bank_rd_data_out[0][82], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20979 = mux(_T_20551, bht_bank_rd_data_out[0][83], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20980 = mux(_T_20553, bht_bank_rd_data_out[0][84], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20981 = mux(_T_20555, bht_bank_rd_data_out[0][85], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20982 = mux(_T_20557, bht_bank_rd_data_out[0][86], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20983 = mux(_T_20559, bht_bank_rd_data_out[0][87], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20984 = mux(_T_20561, bht_bank_rd_data_out[0][88], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20985 = mux(_T_20563, bht_bank_rd_data_out[0][89], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20986 = mux(_T_20565, bht_bank_rd_data_out[0][90], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20987 = mux(_T_20567, bht_bank_rd_data_out[0][91], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20988 = mux(_T_20569, bht_bank_rd_data_out[0][92], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20989 = mux(_T_20571, bht_bank_rd_data_out[0][93], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20990 = mux(_T_20573, bht_bank_rd_data_out[0][94], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20991 = mux(_T_20575, bht_bank_rd_data_out[0][95], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20992 = mux(_T_20577, bht_bank_rd_data_out[0][96], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20993 = mux(_T_20579, bht_bank_rd_data_out[0][97], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20994 = mux(_T_20581, bht_bank_rd_data_out[0][98], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20995 = mux(_T_20583, bht_bank_rd_data_out[0][99], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20996 = mux(_T_20585, bht_bank_rd_data_out[0][100], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20997 = mux(_T_20587, bht_bank_rd_data_out[0][101], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20998 = mux(_T_20589, bht_bank_rd_data_out[0][102], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20999 = mux(_T_20591, bht_bank_rd_data_out[0][103], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21000 = mux(_T_20593, bht_bank_rd_data_out[0][104], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21001 = mux(_T_20595, bht_bank_rd_data_out[0][105], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21002 = mux(_T_20597, bht_bank_rd_data_out[0][106], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21003 = mux(_T_20599, bht_bank_rd_data_out[0][107], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21004 = mux(_T_20601, bht_bank_rd_data_out[0][108], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21005 = mux(_T_20603, bht_bank_rd_data_out[0][109], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21006 = mux(_T_20605, bht_bank_rd_data_out[0][110], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21007 = mux(_T_20607, bht_bank_rd_data_out[0][111], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21008 = mux(_T_20609, bht_bank_rd_data_out[0][112], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21009 = mux(_T_20611, bht_bank_rd_data_out[0][113], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21010 = mux(_T_20613, bht_bank_rd_data_out[0][114], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21011 = mux(_T_20615, bht_bank_rd_data_out[0][115], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21012 = mux(_T_20617, bht_bank_rd_data_out[0][116], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21013 = mux(_T_20619, bht_bank_rd_data_out[0][117], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21014 = mux(_T_20621, bht_bank_rd_data_out[0][118], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21015 = mux(_T_20623, bht_bank_rd_data_out[0][119], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21016 = mux(_T_20625, bht_bank_rd_data_out[0][120], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21017 = mux(_T_20627, bht_bank_rd_data_out[0][121], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21018 = mux(_T_20629, bht_bank_rd_data_out[0][122], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21019 = mux(_T_20631, bht_bank_rd_data_out[0][123], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21020 = mux(_T_20633, bht_bank_rd_data_out[0][124], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21021 = mux(_T_20635, bht_bank_rd_data_out[0][125], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21022 = mux(_T_20637, bht_bank_rd_data_out[0][126], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21023 = mux(_T_20639, bht_bank_rd_data_out[0][127], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21024 = mux(_T_20641, bht_bank_rd_data_out[0][128], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21025 = mux(_T_20643, bht_bank_rd_data_out[0][129], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21026 = mux(_T_20645, bht_bank_rd_data_out[0][130], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21027 = mux(_T_20647, bht_bank_rd_data_out[0][131], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21028 = mux(_T_20649, bht_bank_rd_data_out[0][132], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21029 = mux(_T_20651, bht_bank_rd_data_out[0][133], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21030 = mux(_T_20653, bht_bank_rd_data_out[0][134], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21031 = mux(_T_20655, bht_bank_rd_data_out[0][135], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21032 = mux(_T_20657, bht_bank_rd_data_out[0][136], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21033 = mux(_T_20659, bht_bank_rd_data_out[0][137], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21034 = mux(_T_20661, bht_bank_rd_data_out[0][138], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21035 = mux(_T_20663, bht_bank_rd_data_out[0][139], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21036 = mux(_T_20665, bht_bank_rd_data_out[0][140], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21037 = mux(_T_20667, bht_bank_rd_data_out[0][141], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21038 = mux(_T_20669, bht_bank_rd_data_out[0][142], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21039 = mux(_T_20671, bht_bank_rd_data_out[0][143], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21040 = mux(_T_20673, bht_bank_rd_data_out[0][144], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21041 = mux(_T_20675, bht_bank_rd_data_out[0][145], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21042 = mux(_T_20677, bht_bank_rd_data_out[0][146], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21043 = mux(_T_20679, bht_bank_rd_data_out[0][147], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21044 = mux(_T_20681, bht_bank_rd_data_out[0][148], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21045 = mux(_T_20683, bht_bank_rd_data_out[0][149], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21046 = mux(_T_20685, bht_bank_rd_data_out[0][150], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21047 = mux(_T_20687, bht_bank_rd_data_out[0][151], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21048 = mux(_T_20689, bht_bank_rd_data_out[0][152], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21049 = mux(_T_20691, bht_bank_rd_data_out[0][153], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21050 = mux(_T_20693, bht_bank_rd_data_out[0][154], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21051 = mux(_T_20695, bht_bank_rd_data_out[0][155], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21052 = mux(_T_20697, bht_bank_rd_data_out[0][156], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21053 = mux(_T_20699, bht_bank_rd_data_out[0][157], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21054 = mux(_T_20701, bht_bank_rd_data_out[0][158], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21055 = mux(_T_20703, bht_bank_rd_data_out[0][159], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21056 = mux(_T_20705, bht_bank_rd_data_out[0][160], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21057 = mux(_T_20707, bht_bank_rd_data_out[0][161], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21058 = mux(_T_20709, bht_bank_rd_data_out[0][162], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21059 = mux(_T_20711, bht_bank_rd_data_out[0][163], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21060 = mux(_T_20713, bht_bank_rd_data_out[0][164], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21061 = mux(_T_20715, bht_bank_rd_data_out[0][165], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21062 = mux(_T_20717, bht_bank_rd_data_out[0][166], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21063 = mux(_T_20719, bht_bank_rd_data_out[0][167], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21064 = mux(_T_20721, bht_bank_rd_data_out[0][168], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21065 = mux(_T_20723, bht_bank_rd_data_out[0][169], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21066 = mux(_T_20725, bht_bank_rd_data_out[0][170], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21067 = mux(_T_20727, bht_bank_rd_data_out[0][171], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21068 = mux(_T_20729, bht_bank_rd_data_out[0][172], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21069 = mux(_T_20731, bht_bank_rd_data_out[0][173], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21070 = mux(_T_20733, bht_bank_rd_data_out[0][174], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21071 = mux(_T_20735, bht_bank_rd_data_out[0][175], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21072 = mux(_T_20737, bht_bank_rd_data_out[0][176], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21073 = mux(_T_20739, bht_bank_rd_data_out[0][177], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21074 = mux(_T_20741, bht_bank_rd_data_out[0][178], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21075 = mux(_T_20743, bht_bank_rd_data_out[0][179], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21076 = mux(_T_20745, bht_bank_rd_data_out[0][180], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21077 = mux(_T_20747, bht_bank_rd_data_out[0][181], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21078 = mux(_T_20749, bht_bank_rd_data_out[0][182], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21079 = mux(_T_20751, bht_bank_rd_data_out[0][183], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21080 = mux(_T_20753, bht_bank_rd_data_out[0][184], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21081 = mux(_T_20755, bht_bank_rd_data_out[0][185], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21082 = mux(_T_20757, bht_bank_rd_data_out[0][186], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21083 = mux(_T_20759, bht_bank_rd_data_out[0][187], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21084 = mux(_T_20761, bht_bank_rd_data_out[0][188], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21085 = mux(_T_20763, bht_bank_rd_data_out[0][189], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21086 = mux(_T_20765, bht_bank_rd_data_out[0][190], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21087 = mux(_T_20767, bht_bank_rd_data_out[0][191], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21088 = mux(_T_20769, bht_bank_rd_data_out[0][192], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21089 = mux(_T_20771, bht_bank_rd_data_out[0][193], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21090 = mux(_T_20773, bht_bank_rd_data_out[0][194], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21091 = mux(_T_20775, bht_bank_rd_data_out[0][195], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21092 = mux(_T_20777, bht_bank_rd_data_out[0][196], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21093 = mux(_T_20779, bht_bank_rd_data_out[0][197], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21094 = mux(_T_20781, bht_bank_rd_data_out[0][198], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21095 = mux(_T_20783, bht_bank_rd_data_out[0][199], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21096 = mux(_T_20785, bht_bank_rd_data_out[0][200], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21097 = mux(_T_20787, bht_bank_rd_data_out[0][201], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21098 = mux(_T_20789, bht_bank_rd_data_out[0][202], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21099 = mux(_T_20791, bht_bank_rd_data_out[0][203], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21100 = mux(_T_20793, bht_bank_rd_data_out[0][204], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21101 = mux(_T_20795, bht_bank_rd_data_out[0][205], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21102 = mux(_T_20797, bht_bank_rd_data_out[0][206], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21103 = mux(_T_20799, bht_bank_rd_data_out[0][207], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21104 = mux(_T_20801, bht_bank_rd_data_out[0][208], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21105 = mux(_T_20803, bht_bank_rd_data_out[0][209], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21106 = mux(_T_20805, bht_bank_rd_data_out[0][210], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21107 = mux(_T_20807, bht_bank_rd_data_out[0][211], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21108 = mux(_T_20809, bht_bank_rd_data_out[0][212], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21109 = mux(_T_20811, bht_bank_rd_data_out[0][213], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21110 = mux(_T_20813, bht_bank_rd_data_out[0][214], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21111 = mux(_T_20815, bht_bank_rd_data_out[0][215], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21112 = mux(_T_20817, bht_bank_rd_data_out[0][216], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21113 = mux(_T_20819, bht_bank_rd_data_out[0][217], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21114 = mux(_T_20821, bht_bank_rd_data_out[0][218], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21115 = mux(_T_20823, bht_bank_rd_data_out[0][219], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21116 = mux(_T_20825, bht_bank_rd_data_out[0][220], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21117 = mux(_T_20827, bht_bank_rd_data_out[0][221], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21118 = mux(_T_20829, bht_bank_rd_data_out[0][222], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21119 = mux(_T_20831, bht_bank_rd_data_out[0][223], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21120 = mux(_T_20833, bht_bank_rd_data_out[0][224], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21121 = mux(_T_20835, bht_bank_rd_data_out[0][225], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21122 = mux(_T_20837, bht_bank_rd_data_out[0][226], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21123 = mux(_T_20839, bht_bank_rd_data_out[0][227], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21124 = mux(_T_20841, bht_bank_rd_data_out[0][228], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21125 = mux(_T_20843, bht_bank_rd_data_out[0][229], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21126 = mux(_T_20845, bht_bank_rd_data_out[0][230], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21127 = mux(_T_20847, bht_bank_rd_data_out[0][231], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21128 = mux(_T_20849, bht_bank_rd_data_out[0][232], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21129 = mux(_T_20851, bht_bank_rd_data_out[0][233], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21130 = mux(_T_20853, bht_bank_rd_data_out[0][234], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21131 = mux(_T_20855, bht_bank_rd_data_out[0][235], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21132 = mux(_T_20857, bht_bank_rd_data_out[0][236], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21133 = mux(_T_20859, bht_bank_rd_data_out[0][237], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21134 = mux(_T_20861, bht_bank_rd_data_out[0][238], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21135 = mux(_T_20863, bht_bank_rd_data_out[0][239], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21136 = mux(_T_20865, bht_bank_rd_data_out[0][240], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21137 = mux(_T_20867, bht_bank_rd_data_out[0][241], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21138 = mux(_T_20869, bht_bank_rd_data_out[0][242], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21139 = mux(_T_20871, bht_bank_rd_data_out[0][243], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21140 = mux(_T_20873, bht_bank_rd_data_out[0][244], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21141 = mux(_T_20875, bht_bank_rd_data_out[0][245], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21142 = mux(_T_20877, bht_bank_rd_data_out[0][246], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21143 = mux(_T_20879, bht_bank_rd_data_out[0][247], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21144 = mux(_T_20881, bht_bank_rd_data_out[0][248], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21145 = mux(_T_20883, bht_bank_rd_data_out[0][249], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21146 = mux(_T_20885, bht_bank_rd_data_out[0][250], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21147 = mux(_T_20887, bht_bank_rd_data_out[0][251], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21148 = mux(_T_20889, bht_bank_rd_data_out[0][252], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21149 = mux(_T_20891, bht_bank_rd_data_out[0][253], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21150 = mux(_T_20893, bht_bank_rd_data_out[0][254], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21151 = mux(_T_20895, bht_bank_rd_data_out[0][255], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21152 = or(_T_20896, _T_20897) @[Mux.scala 27:72] + node _T_21153 = or(_T_21152, _T_20898) @[Mux.scala 27:72] + node _T_21154 = or(_T_21153, _T_20899) @[Mux.scala 27:72] + node _T_21155 = or(_T_21154, _T_20900) @[Mux.scala 27:72] + node _T_21156 = or(_T_21155, _T_20901) @[Mux.scala 27:72] + node _T_21157 = or(_T_21156, _T_20902) @[Mux.scala 27:72] + node _T_21158 = or(_T_21157, _T_20903) @[Mux.scala 27:72] + node _T_21159 = or(_T_21158, _T_20904) @[Mux.scala 27:72] + node _T_21160 = or(_T_21159, _T_20905) @[Mux.scala 27:72] + node _T_21161 = or(_T_21160, _T_20906) @[Mux.scala 27:72] + node _T_21162 = or(_T_21161, _T_20907) @[Mux.scala 27:72] + node _T_21163 = or(_T_21162, _T_20908) @[Mux.scala 27:72] + node _T_21164 = or(_T_21163, _T_20909) @[Mux.scala 27:72] + node _T_21165 = or(_T_21164, _T_20910) @[Mux.scala 27:72] + node _T_21166 = or(_T_21165, _T_20911) @[Mux.scala 27:72] + node _T_21167 = or(_T_21166, _T_20912) @[Mux.scala 27:72] + node _T_21168 = or(_T_21167, _T_20913) @[Mux.scala 27:72] + node _T_21169 = or(_T_21168, _T_20914) @[Mux.scala 27:72] + node _T_21170 = or(_T_21169, _T_20915) @[Mux.scala 27:72] + node _T_21171 = or(_T_21170, _T_20916) @[Mux.scala 27:72] + node _T_21172 = or(_T_21171, _T_20917) @[Mux.scala 27:72] + node _T_21173 = or(_T_21172, _T_20918) @[Mux.scala 27:72] + node _T_21174 = or(_T_21173, _T_20919) @[Mux.scala 27:72] + node _T_21175 = or(_T_21174, _T_20920) @[Mux.scala 27:72] + node _T_21176 = or(_T_21175, _T_20921) @[Mux.scala 27:72] + node _T_21177 = or(_T_21176, _T_20922) @[Mux.scala 27:72] + node _T_21178 = or(_T_21177, _T_20923) @[Mux.scala 27:72] + node _T_21179 = or(_T_21178, _T_20924) @[Mux.scala 27:72] + node _T_21180 = or(_T_21179, _T_20925) @[Mux.scala 27:72] + node _T_21181 = or(_T_21180, _T_20926) @[Mux.scala 27:72] + node _T_21182 = or(_T_21181, _T_20927) @[Mux.scala 27:72] + node _T_21183 = or(_T_21182, _T_20928) @[Mux.scala 27:72] + node _T_21184 = or(_T_21183, _T_20929) @[Mux.scala 27:72] + node _T_21185 = or(_T_21184, _T_20930) @[Mux.scala 27:72] + node _T_21186 = or(_T_21185, _T_20931) @[Mux.scala 27:72] + node _T_21187 = or(_T_21186, _T_20932) @[Mux.scala 27:72] + node _T_21188 = or(_T_21187, _T_20933) @[Mux.scala 27:72] + node _T_21189 = or(_T_21188, _T_20934) @[Mux.scala 27:72] + node _T_21190 = or(_T_21189, _T_20935) @[Mux.scala 27:72] + node _T_21191 = or(_T_21190, _T_20936) @[Mux.scala 27:72] + node _T_21192 = or(_T_21191, _T_20937) @[Mux.scala 27:72] + node _T_21193 = or(_T_21192, _T_20938) @[Mux.scala 27:72] + node _T_21194 = or(_T_21193, _T_20939) @[Mux.scala 27:72] + node _T_21195 = or(_T_21194, _T_20940) @[Mux.scala 27:72] + node _T_21196 = or(_T_21195, _T_20941) @[Mux.scala 27:72] + node _T_21197 = or(_T_21196, _T_20942) @[Mux.scala 27:72] + node _T_21198 = or(_T_21197, _T_20943) @[Mux.scala 27:72] + node _T_21199 = or(_T_21198, _T_20944) @[Mux.scala 27:72] + node _T_21200 = or(_T_21199, _T_20945) @[Mux.scala 27:72] + node _T_21201 = or(_T_21200, _T_20946) @[Mux.scala 27:72] + node _T_21202 = or(_T_21201, _T_20947) @[Mux.scala 27:72] + node _T_21203 = or(_T_21202, _T_20948) @[Mux.scala 27:72] + node _T_21204 = or(_T_21203, _T_20949) @[Mux.scala 27:72] + node _T_21205 = or(_T_21204, _T_20950) @[Mux.scala 27:72] + node _T_21206 = or(_T_21205, _T_20951) @[Mux.scala 27:72] + node _T_21207 = or(_T_21206, _T_20952) @[Mux.scala 27:72] + node _T_21208 = or(_T_21207, _T_20953) @[Mux.scala 27:72] + node _T_21209 = or(_T_21208, _T_20954) @[Mux.scala 27:72] + node _T_21210 = or(_T_21209, _T_20955) @[Mux.scala 27:72] + node _T_21211 = or(_T_21210, _T_20956) @[Mux.scala 27:72] + node _T_21212 = or(_T_21211, _T_20957) @[Mux.scala 27:72] + node _T_21213 = or(_T_21212, _T_20958) @[Mux.scala 27:72] + node _T_21214 = or(_T_21213, _T_20959) @[Mux.scala 27:72] + node _T_21215 = or(_T_21214, _T_20960) @[Mux.scala 27:72] + node _T_21216 = or(_T_21215, _T_20961) @[Mux.scala 27:72] + node _T_21217 = or(_T_21216, _T_20962) @[Mux.scala 27:72] + node _T_21218 = or(_T_21217, _T_20963) @[Mux.scala 27:72] + node _T_21219 = or(_T_21218, _T_20964) @[Mux.scala 27:72] + node _T_21220 = or(_T_21219, _T_20965) @[Mux.scala 27:72] + node _T_21221 = or(_T_21220, _T_20966) @[Mux.scala 27:72] + node _T_21222 = or(_T_21221, _T_20967) @[Mux.scala 27:72] + node _T_21223 = or(_T_21222, _T_20968) @[Mux.scala 27:72] + node _T_21224 = or(_T_21223, _T_20969) @[Mux.scala 27:72] + node _T_21225 = or(_T_21224, _T_20970) @[Mux.scala 27:72] + node _T_21226 = or(_T_21225, _T_20971) @[Mux.scala 27:72] + node _T_21227 = or(_T_21226, _T_20972) @[Mux.scala 27:72] + node _T_21228 = or(_T_21227, _T_20973) @[Mux.scala 27:72] + node _T_21229 = or(_T_21228, _T_20974) @[Mux.scala 27:72] + node _T_21230 = or(_T_21229, _T_20975) @[Mux.scala 27:72] + node _T_21231 = or(_T_21230, _T_20976) @[Mux.scala 27:72] + node _T_21232 = or(_T_21231, _T_20977) @[Mux.scala 27:72] + node _T_21233 = or(_T_21232, _T_20978) @[Mux.scala 27:72] + node _T_21234 = or(_T_21233, _T_20979) @[Mux.scala 27:72] + node _T_21235 = or(_T_21234, _T_20980) @[Mux.scala 27:72] + node _T_21236 = or(_T_21235, _T_20981) @[Mux.scala 27:72] + node _T_21237 = or(_T_21236, _T_20982) @[Mux.scala 27:72] + node _T_21238 = or(_T_21237, _T_20983) @[Mux.scala 27:72] + node _T_21239 = or(_T_21238, _T_20984) @[Mux.scala 27:72] + node _T_21240 = or(_T_21239, _T_20985) @[Mux.scala 27:72] + node _T_21241 = or(_T_21240, _T_20986) @[Mux.scala 27:72] + node _T_21242 = or(_T_21241, _T_20987) @[Mux.scala 27:72] + node _T_21243 = or(_T_21242, _T_20988) @[Mux.scala 27:72] + node _T_21244 = or(_T_21243, _T_20989) @[Mux.scala 27:72] + node _T_21245 = or(_T_21244, _T_20990) @[Mux.scala 27:72] + node _T_21246 = or(_T_21245, _T_20991) @[Mux.scala 27:72] + node _T_21247 = or(_T_21246, _T_20992) @[Mux.scala 27:72] + node _T_21248 = or(_T_21247, _T_20993) @[Mux.scala 27:72] + node _T_21249 = or(_T_21248, _T_20994) @[Mux.scala 27:72] + node _T_21250 = or(_T_21249, _T_20995) @[Mux.scala 27:72] + node _T_21251 = or(_T_21250, _T_20996) @[Mux.scala 27:72] + node _T_21252 = or(_T_21251, _T_20997) @[Mux.scala 27:72] + node _T_21253 = or(_T_21252, _T_20998) @[Mux.scala 27:72] + node _T_21254 = or(_T_21253, _T_20999) @[Mux.scala 27:72] + node _T_21255 = or(_T_21254, _T_21000) @[Mux.scala 27:72] + node _T_21256 = or(_T_21255, _T_21001) @[Mux.scala 27:72] + node _T_21257 = or(_T_21256, _T_21002) @[Mux.scala 27:72] + node _T_21258 = or(_T_21257, _T_21003) @[Mux.scala 27:72] + node _T_21259 = or(_T_21258, _T_21004) @[Mux.scala 27:72] + node _T_21260 = or(_T_21259, _T_21005) @[Mux.scala 27:72] + node _T_21261 = or(_T_21260, _T_21006) @[Mux.scala 27:72] + node _T_21262 = or(_T_21261, _T_21007) @[Mux.scala 27:72] + node _T_21263 = or(_T_21262, _T_21008) @[Mux.scala 27:72] + node _T_21264 = or(_T_21263, _T_21009) @[Mux.scala 27:72] + node _T_21265 = or(_T_21264, _T_21010) @[Mux.scala 27:72] + node _T_21266 = or(_T_21265, _T_21011) @[Mux.scala 27:72] + node _T_21267 = or(_T_21266, _T_21012) @[Mux.scala 27:72] + node _T_21268 = or(_T_21267, _T_21013) @[Mux.scala 27:72] + node _T_21269 = or(_T_21268, _T_21014) @[Mux.scala 27:72] + node _T_21270 = or(_T_21269, _T_21015) @[Mux.scala 27:72] + node _T_21271 = or(_T_21270, _T_21016) @[Mux.scala 27:72] + node _T_21272 = or(_T_21271, _T_21017) @[Mux.scala 27:72] + node _T_21273 = or(_T_21272, _T_21018) @[Mux.scala 27:72] + node _T_21274 = or(_T_21273, _T_21019) @[Mux.scala 27:72] + node _T_21275 = or(_T_21274, _T_21020) @[Mux.scala 27:72] + node _T_21276 = or(_T_21275, _T_21021) @[Mux.scala 27:72] + node _T_21277 = or(_T_21276, _T_21022) @[Mux.scala 27:72] + node _T_21278 = or(_T_21277, _T_21023) @[Mux.scala 27:72] + node _T_21279 = or(_T_21278, _T_21024) @[Mux.scala 27:72] + node _T_21280 = or(_T_21279, _T_21025) @[Mux.scala 27:72] + node _T_21281 = or(_T_21280, _T_21026) @[Mux.scala 27:72] + node _T_21282 = or(_T_21281, _T_21027) @[Mux.scala 27:72] + node _T_21283 = or(_T_21282, _T_21028) @[Mux.scala 27:72] + node _T_21284 = or(_T_21283, _T_21029) @[Mux.scala 27:72] + node _T_21285 = or(_T_21284, _T_21030) @[Mux.scala 27:72] + node _T_21286 = or(_T_21285, _T_21031) @[Mux.scala 27:72] + node _T_21287 = or(_T_21286, _T_21032) @[Mux.scala 27:72] + node _T_21288 = or(_T_21287, _T_21033) @[Mux.scala 27:72] + node _T_21289 = or(_T_21288, _T_21034) @[Mux.scala 27:72] + node _T_21290 = or(_T_21289, _T_21035) @[Mux.scala 27:72] + node _T_21291 = or(_T_21290, _T_21036) @[Mux.scala 27:72] + node _T_21292 = or(_T_21291, _T_21037) @[Mux.scala 27:72] + node _T_21293 = or(_T_21292, _T_21038) @[Mux.scala 27:72] + node _T_21294 = or(_T_21293, _T_21039) @[Mux.scala 27:72] + node _T_21295 = or(_T_21294, _T_21040) @[Mux.scala 27:72] + node _T_21296 = or(_T_21295, _T_21041) @[Mux.scala 27:72] + node _T_21297 = or(_T_21296, _T_21042) @[Mux.scala 27:72] + node _T_21298 = or(_T_21297, _T_21043) @[Mux.scala 27:72] + node _T_21299 = or(_T_21298, _T_21044) @[Mux.scala 27:72] + node _T_21300 = or(_T_21299, _T_21045) @[Mux.scala 27:72] + node _T_21301 = or(_T_21300, _T_21046) @[Mux.scala 27:72] + node _T_21302 = or(_T_21301, _T_21047) @[Mux.scala 27:72] + node _T_21303 = or(_T_21302, _T_21048) @[Mux.scala 27:72] + node _T_21304 = or(_T_21303, _T_21049) @[Mux.scala 27:72] + node _T_21305 = or(_T_21304, _T_21050) @[Mux.scala 27:72] + node _T_21306 = or(_T_21305, _T_21051) @[Mux.scala 27:72] + node _T_21307 = or(_T_21306, _T_21052) @[Mux.scala 27:72] + node _T_21308 = or(_T_21307, _T_21053) @[Mux.scala 27:72] + node _T_21309 = or(_T_21308, _T_21054) @[Mux.scala 27:72] + node _T_21310 = or(_T_21309, _T_21055) @[Mux.scala 27:72] + node _T_21311 = or(_T_21310, _T_21056) @[Mux.scala 27:72] + node _T_21312 = or(_T_21311, _T_21057) @[Mux.scala 27:72] + node _T_21313 = or(_T_21312, _T_21058) @[Mux.scala 27:72] + node _T_21314 = or(_T_21313, _T_21059) @[Mux.scala 27:72] + node _T_21315 = or(_T_21314, _T_21060) @[Mux.scala 27:72] + node _T_21316 = or(_T_21315, _T_21061) @[Mux.scala 27:72] + node _T_21317 = or(_T_21316, _T_21062) @[Mux.scala 27:72] + node _T_21318 = or(_T_21317, _T_21063) @[Mux.scala 27:72] + node _T_21319 = or(_T_21318, _T_21064) @[Mux.scala 27:72] + node _T_21320 = or(_T_21319, _T_21065) @[Mux.scala 27:72] + node _T_21321 = or(_T_21320, _T_21066) @[Mux.scala 27:72] + node _T_21322 = or(_T_21321, _T_21067) @[Mux.scala 27:72] + node _T_21323 = or(_T_21322, _T_21068) @[Mux.scala 27:72] + node _T_21324 = or(_T_21323, _T_21069) @[Mux.scala 27:72] + node _T_21325 = or(_T_21324, _T_21070) @[Mux.scala 27:72] + node _T_21326 = or(_T_21325, _T_21071) @[Mux.scala 27:72] + node _T_21327 = or(_T_21326, _T_21072) @[Mux.scala 27:72] + node _T_21328 = or(_T_21327, _T_21073) @[Mux.scala 27:72] + node _T_21329 = or(_T_21328, _T_21074) @[Mux.scala 27:72] + node _T_21330 = or(_T_21329, _T_21075) @[Mux.scala 27:72] + node _T_21331 = or(_T_21330, _T_21076) @[Mux.scala 27:72] + node _T_21332 = or(_T_21331, _T_21077) @[Mux.scala 27:72] + node _T_21333 = or(_T_21332, _T_21078) @[Mux.scala 27:72] + node _T_21334 = or(_T_21333, _T_21079) @[Mux.scala 27:72] + node _T_21335 = or(_T_21334, _T_21080) @[Mux.scala 27:72] + node _T_21336 = or(_T_21335, _T_21081) @[Mux.scala 27:72] + node _T_21337 = or(_T_21336, _T_21082) @[Mux.scala 27:72] + node _T_21338 = or(_T_21337, _T_21083) @[Mux.scala 27:72] + node _T_21339 = or(_T_21338, _T_21084) @[Mux.scala 27:72] + node _T_21340 = or(_T_21339, _T_21085) @[Mux.scala 27:72] + node _T_21341 = or(_T_21340, _T_21086) @[Mux.scala 27:72] + node _T_21342 = or(_T_21341, _T_21087) @[Mux.scala 27:72] + node _T_21343 = or(_T_21342, _T_21088) @[Mux.scala 27:72] + node _T_21344 = or(_T_21343, _T_21089) @[Mux.scala 27:72] + node _T_21345 = or(_T_21344, _T_21090) @[Mux.scala 27:72] + node _T_21346 = or(_T_21345, _T_21091) @[Mux.scala 27:72] + node _T_21347 = or(_T_21346, _T_21092) @[Mux.scala 27:72] + node _T_21348 = or(_T_21347, _T_21093) @[Mux.scala 27:72] + node _T_21349 = or(_T_21348, _T_21094) @[Mux.scala 27:72] + node _T_21350 = or(_T_21349, _T_21095) @[Mux.scala 27:72] + node _T_21351 = or(_T_21350, _T_21096) @[Mux.scala 27:72] + node _T_21352 = or(_T_21351, _T_21097) @[Mux.scala 27:72] + node _T_21353 = or(_T_21352, _T_21098) @[Mux.scala 27:72] + node _T_21354 = or(_T_21353, _T_21099) @[Mux.scala 27:72] + node _T_21355 = or(_T_21354, _T_21100) @[Mux.scala 27:72] + node _T_21356 = or(_T_21355, _T_21101) @[Mux.scala 27:72] + node _T_21357 = or(_T_21356, _T_21102) @[Mux.scala 27:72] + node _T_21358 = or(_T_21357, _T_21103) @[Mux.scala 27:72] + node _T_21359 = or(_T_21358, _T_21104) @[Mux.scala 27:72] + node _T_21360 = or(_T_21359, _T_21105) @[Mux.scala 27:72] + node _T_21361 = or(_T_21360, _T_21106) @[Mux.scala 27:72] + node _T_21362 = or(_T_21361, _T_21107) @[Mux.scala 27:72] + node _T_21363 = or(_T_21362, _T_21108) @[Mux.scala 27:72] + node _T_21364 = or(_T_21363, _T_21109) @[Mux.scala 27:72] + node _T_21365 = or(_T_21364, _T_21110) @[Mux.scala 27:72] + node _T_21366 = or(_T_21365, _T_21111) @[Mux.scala 27:72] + node _T_21367 = or(_T_21366, _T_21112) @[Mux.scala 27:72] + node _T_21368 = or(_T_21367, _T_21113) @[Mux.scala 27:72] + node _T_21369 = or(_T_21368, _T_21114) @[Mux.scala 27:72] + node _T_21370 = or(_T_21369, _T_21115) @[Mux.scala 27:72] + node _T_21371 = or(_T_21370, _T_21116) @[Mux.scala 27:72] + node _T_21372 = or(_T_21371, _T_21117) @[Mux.scala 27:72] + node _T_21373 = or(_T_21372, _T_21118) @[Mux.scala 27:72] + node _T_21374 = or(_T_21373, _T_21119) @[Mux.scala 27:72] + node _T_21375 = or(_T_21374, _T_21120) @[Mux.scala 27:72] + node _T_21376 = or(_T_21375, _T_21121) @[Mux.scala 27:72] + node _T_21377 = or(_T_21376, _T_21122) @[Mux.scala 27:72] + node _T_21378 = or(_T_21377, _T_21123) @[Mux.scala 27:72] + node _T_21379 = or(_T_21378, _T_21124) @[Mux.scala 27:72] + node _T_21380 = or(_T_21379, _T_21125) @[Mux.scala 27:72] + node _T_21381 = or(_T_21380, _T_21126) @[Mux.scala 27:72] + node _T_21382 = or(_T_21381, _T_21127) @[Mux.scala 27:72] + node _T_21383 = or(_T_21382, _T_21128) @[Mux.scala 27:72] + node _T_21384 = or(_T_21383, _T_21129) @[Mux.scala 27:72] + node _T_21385 = or(_T_21384, _T_21130) @[Mux.scala 27:72] + node _T_21386 = or(_T_21385, _T_21131) @[Mux.scala 27:72] + node _T_21387 = or(_T_21386, _T_21132) @[Mux.scala 27:72] + node _T_21388 = or(_T_21387, _T_21133) @[Mux.scala 27:72] + node _T_21389 = or(_T_21388, _T_21134) @[Mux.scala 27:72] + node _T_21390 = or(_T_21389, _T_21135) @[Mux.scala 27:72] + node _T_21391 = or(_T_21390, _T_21136) @[Mux.scala 27:72] + node _T_21392 = or(_T_21391, _T_21137) @[Mux.scala 27:72] + node _T_21393 = or(_T_21392, _T_21138) @[Mux.scala 27:72] + node _T_21394 = or(_T_21393, _T_21139) @[Mux.scala 27:72] + node _T_21395 = or(_T_21394, _T_21140) @[Mux.scala 27:72] + node _T_21396 = or(_T_21395, _T_21141) @[Mux.scala 27:72] + node _T_21397 = or(_T_21396, _T_21142) @[Mux.scala 27:72] + node _T_21398 = or(_T_21397, _T_21143) @[Mux.scala 27:72] + node _T_21399 = or(_T_21398, _T_21144) @[Mux.scala 27:72] + node _T_21400 = or(_T_21399, _T_21145) @[Mux.scala 27:72] + node _T_21401 = or(_T_21400, _T_21146) @[Mux.scala 27:72] + node _T_21402 = or(_T_21401, _T_21147) @[Mux.scala 27:72] + node _T_21403 = or(_T_21402, _T_21148) @[Mux.scala 27:72] + node _T_21404 = or(_T_21403, _T_21149) @[Mux.scala 27:72] + node _T_21405 = or(_T_21404, _T_21150) @[Mux.scala 27:72] + node _T_21406 = or(_T_21405, _T_21151) @[Mux.scala 27:72] + wire _T_21407 : UInt<2> @[Mux.scala 27:72] + _T_21407 <= _T_21406 @[Mux.scala 27:72] + bht_bank0_rd_data_f <= _T_21407 @[ifu_bp_ctl.scala 454:23] + node _T_21408 = eq(bht_rd_addr_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 455:79] + node _T_21409 = bits(_T_21408, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21410 = eq(bht_rd_addr_f, UInt<1>("h01")) @[ifu_bp_ctl.scala 455:79] + node _T_21411 = bits(_T_21410, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21412 = eq(bht_rd_addr_f, UInt<2>("h02")) @[ifu_bp_ctl.scala 455:79] + node _T_21413 = bits(_T_21412, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21414 = eq(bht_rd_addr_f, UInt<2>("h03")) @[ifu_bp_ctl.scala 455:79] + node _T_21415 = bits(_T_21414, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21416 = eq(bht_rd_addr_f, UInt<3>("h04")) @[ifu_bp_ctl.scala 455:79] + node _T_21417 = bits(_T_21416, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21418 = eq(bht_rd_addr_f, UInt<3>("h05")) @[ifu_bp_ctl.scala 455:79] + node _T_21419 = bits(_T_21418, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21420 = eq(bht_rd_addr_f, UInt<3>("h06")) @[ifu_bp_ctl.scala 455:79] + node _T_21421 = bits(_T_21420, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21422 = eq(bht_rd_addr_f, UInt<3>("h07")) @[ifu_bp_ctl.scala 455:79] + node _T_21423 = bits(_T_21422, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21424 = eq(bht_rd_addr_f, UInt<4>("h08")) @[ifu_bp_ctl.scala 455:79] + node _T_21425 = bits(_T_21424, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21426 = eq(bht_rd_addr_f, UInt<4>("h09")) @[ifu_bp_ctl.scala 455:79] + node _T_21427 = bits(_T_21426, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21428 = eq(bht_rd_addr_f, UInt<4>("h0a")) @[ifu_bp_ctl.scala 455:79] + node _T_21429 = bits(_T_21428, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21430 = eq(bht_rd_addr_f, UInt<4>("h0b")) @[ifu_bp_ctl.scala 455:79] + node _T_21431 = bits(_T_21430, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21432 = eq(bht_rd_addr_f, UInt<4>("h0c")) @[ifu_bp_ctl.scala 455:79] + node _T_21433 = bits(_T_21432, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21434 = eq(bht_rd_addr_f, UInt<4>("h0d")) @[ifu_bp_ctl.scala 455:79] + node _T_21435 = bits(_T_21434, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21436 = eq(bht_rd_addr_f, UInt<4>("h0e")) @[ifu_bp_ctl.scala 455:79] + node _T_21437 = bits(_T_21436, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21438 = eq(bht_rd_addr_f, UInt<4>("h0f")) @[ifu_bp_ctl.scala 455:79] + node _T_21439 = bits(_T_21438, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21440 = eq(bht_rd_addr_f, UInt<5>("h010")) @[ifu_bp_ctl.scala 455:79] + node _T_21441 = bits(_T_21440, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21442 = eq(bht_rd_addr_f, UInt<5>("h011")) @[ifu_bp_ctl.scala 455:79] + node _T_21443 = bits(_T_21442, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21444 = eq(bht_rd_addr_f, UInt<5>("h012")) @[ifu_bp_ctl.scala 455:79] + node _T_21445 = bits(_T_21444, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21446 = eq(bht_rd_addr_f, UInt<5>("h013")) @[ifu_bp_ctl.scala 455:79] + node _T_21447 = bits(_T_21446, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21448 = eq(bht_rd_addr_f, UInt<5>("h014")) @[ifu_bp_ctl.scala 455:79] + node _T_21449 = bits(_T_21448, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21450 = eq(bht_rd_addr_f, UInt<5>("h015")) @[ifu_bp_ctl.scala 455:79] + node _T_21451 = bits(_T_21450, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21452 = eq(bht_rd_addr_f, UInt<5>("h016")) @[ifu_bp_ctl.scala 455:79] + node _T_21453 = bits(_T_21452, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21454 = eq(bht_rd_addr_f, UInt<5>("h017")) @[ifu_bp_ctl.scala 455:79] + node _T_21455 = bits(_T_21454, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21456 = eq(bht_rd_addr_f, UInt<5>("h018")) @[ifu_bp_ctl.scala 455:79] + node _T_21457 = bits(_T_21456, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21458 = eq(bht_rd_addr_f, UInt<5>("h019")) @[ifu_bp_ctl.scala 455:79] + node _T_21459 = bits(_T_21458, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21460 = eq(bht_rd_addr_f, UInt<5>("h01a")) @[ifu_bp_ctl.scala 455:79] + node _T_21461 = bits(_T_21460, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21462 = eq(bht_rd_addr_f, UInt<5>("h01b")) @[ifu_bp_ctl.scala 455:79] + node _T_21463 = bits(_T_21462, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21464 = eq(bht_rd_addr_f, UInt<5>("h01c")) @[ifu_bp_ctl.scala 455:79] + node _T_21465 = bits(_T_21464, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21466 = eq(bht_rd_addr_f, UInt<5>("h01d")) @[ifu_bp_ctl.scala 455:79] + node _T_21467 = bits(_T_21466, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21468 = eq(bht_rd_addr_f, UInt<5>("h01e")) @[ifu_bp_ctl.scala 455:79] + node _T_21469 = bits(_T_21468, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21470 = eq(bht_rd_addr_f, UInt<5>("h01f")) @[ifu_bp_ctl.scala 455:79] + node _T_21471 = bits(_T_21470, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21472 = eq(bht_rd_addr_f, UInt<6>("h020")) @[ifu_bp_ctl.scala 455:79] + node _T_21473 = bits(_T_21472, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21474 = eq(bht_rd_addr_f, UInt<6>("h021")) @[ifu_bp_ctl.scala 455:79] + node _T_21475 = bits(_T_21474, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21476 = eq(bht_rd_addr_f, UInt<6>("h022")) @[ifu_bp_ctl.scala 455:79] + node _T_21477 = bits(_T_21476, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21478 = eq(bht_rd_addr_f, UInt<6>("h023")) @[ifu_bp_ctl.scala 455:79] + node _T_21479 = bits(_T_21478, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21480 = eq(bht_rd_addr_f, UInt<6>("h024")) @[ifu_bp_ctl.scala 455:79] + node _T_21481 = bits(_T_21480, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21482 = eq(bht_rd_addr_f, UInt<6>("h025")) @[ifu_bp_ctl.scala 455:79] + node _T_21483 = bits(_T_21482, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21484 = eq(bht_rd_addr_f, UInt<6>("h026")) @[ifu_bp_ctl.scala 455:79] + node _T_21485 = bits(_T_21484, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21486 = eq(bht_rd_addr_f, UInt<6>("h027")) @[ifu_bp_ctl.scala 455:79] + node _T_21487 = bits(_T_21486, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21488 = eq(bht_rd_addr_f, UInt<6>("h028")) @[ifu_bp_ctl.scala 455:79] + node _T_21489 = bits(_T_21488, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21490 = eq(bht_rd_addr_f, UInt<6>("h029")) @[ifu_bp_ctl.scala 455:79] + node _T_21491 = bits(_T_21490, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21492 = eq(bht_rd_addr_f, UInt<6>("h02a")) @[ifu_bp_ctl.scala 455:79] + node _T_21493 = bits(_T_21492, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21494 = eq(bht_rd_addr_f, UInt<6>("h02b")) @[ifu_bp_ctl.scala 455:79] + node _T_21495 = bits(_T_21494, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21496 = eq(bht_rd_addr_f, UInt<6>("h02c")) @[ifu_bp_ctl.scala 455:79] + node _T_21497 = bits(_T_21496, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21498 = eq(bht_rd_addr_f, UInt<6>("h02d")) @[ifu_bp_ctl.scala 455:79] + node _T_21499 = bits(_T_21498, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21500 = eq(bht_rd_addr_f, UInt<6>("h02e")) @[ifu_bp_ctl.scala 455:79] + node _T_21501 = bits(_T_21500, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21502 = eq(bht_rd_addr_f, UInt<6>("h02f")) @[ifu_bp_ctl.scala 455:79] + node _T_21503 = bits(_T_21502, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21504 = eq(bht_rd_addr_f, UInt<6>("h030")) @[ifu_bp_ctl.scala 455:79] + node _T_21505 = bits(_T_21504, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21506 = eq(bht_rd_addr_f, UInt<6>("h031")) @[ifu_bp_ctl.scala 455:79] + node _T_21507 = bits(_T_21506, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21508 = eq(bht_rd_addr_f, UInt<6>("h032")) @[ifu_bp_ctl.scala 455:79] + node _T_21509 = bits(_T_21508, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21510 = eq(bht_rd_addr_f, UInt<6>("h033")) @[ifu_bp_ctl.scala 455:79] + node _T_21511 = bits(_T_21510, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21512 = eq(bht_rd_addr_f, UInt<6>("h034")) @[ifu_bp_ctl.scala 455:79] + node _T_21513 = bits(_T_21512, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21514 = eq(bht_rd_addr_f, UInt<6>("h035")) @[ifu_bp_ctl.scala 455:79] + node _T_21515 = bits(_T_21514, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21516 = eq(bht_rd_addr_f, UInt<6>("h036")) @[ifu_bp_ctl.scala 455:79] + node _T_21517 = bits(_T_21516, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21518 = eq(bht_rd_addr_f, UInt<6>("h037")) @[ifu_bp_ctl.scala 455:79] + node _T_21519 = bits(_T_21518, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21520 = eq(bht_rd_addr_f, UInt<6>("h038")) @[ifu_bp_ctl.scala 455:79] + node _T_21521 = bits(_T_21520, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21522 = eq(bht_rd_addr_f, UInt<6>("h039")) @[ifu_bp_ctl.scala 455:79] + node _T_21523 = bits(_T_21522, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21524 = eq(bht_rd_addr_f, UInt<6>("h03a")) @[ifu_bp_ctl.scala 455:79] + node _T_21525 = bits(_T_21524, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21526 = eq(bht_rd_addr_f, UInt<6>("h03b")) @[ifu_bp_ctl.scala 455:79] + node _T_21527 = bits(_T_21526, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21528 = eq(bht_rd_addr_f, UInt<6>("h03c")) @[ifu_bp_ctl.scala 455:79] + node _T_21529 = bits(_T_21528, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21530 = eq(bht_rd_addr_f, UInt<6>("h03d")) @[ifu_bp_ctl.scala 455:79] + node _T_21531 = bits(_T_21530, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21532 = eq(bht_rd_addr_f, UInt<6>("h03e")) @[ifu_bp_ctl.scala 455:79] + node _T_21533 = bits(_T_21532, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21534 = eq(bht_rd_addr_f, UInt<6>("h03f")) @[ifu_bp_ctl.scala 455:79] + node _T_21535 = bits(_T_21534, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21536 = eq(bht_rd_addr_f, UInt<7>("h040")) @[ifu_bp_ctl.scala 455:79] + node _T_21537 = bits(_T_21536, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21538 = eq(bht_rd_addr_f, UInt<7>("h041")) @[ifu_bp_ctl.scala 455:79] + node _T_21539 = bits(_T_21538, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21540 = eq(bht_rd_addr_f, UInt<7>("h042")) @[ifu_bp_ctl.scala 455:79] + node _T_21541 = bits(_T_21540, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21542 = eq(bht_rd_addr_f, UInt<7>("h043")) @[ifu_bp_ctl.scala 455:79] + node _T_21543 = bits(_T_21542, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21544 = eq(bht_rd_addr_f, UInt<7>("h044")) @[ifu_bp_ctl.scala 455:79] + node _T_21545 = bits(_T_21544, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21546 = eq(bht_rd_addr_f, UInt<7>("h045")) @[ifu_bp_ctl.scala 455:79] + node _T_21547 = bits(_T_21546, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21548 = eq(bht_rd_addr_f, UInt<7>("h046")) @[ifu_bp_ctl.scala 455:79] + node _T_21549 = bits(_T_21548, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21550 = eq(bht_rd_addr_f, UInt<7>("h047")) @[ifu_bp_ctl.scala 455:79] + node _T_21551 = bits(_T_21550, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21552 = eq(bht_rd_addr_f, UInt<7>("h048")) @[ifu_bp_ctl.scala 455:79] + node _T_21553 = bits(_T_21552, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21554 = eq(bht_rd_addr_f, UInt<7>("h049")) @[ifu_bp_ctl.scala 455:79] + node _T_21555 = bits(_T_21554, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21556 = eq(bht_rd_addr_f, UInt<7>("h04a")) @[ifu_bp_ctl.scala 455:79] + node _T_21557 = bits(_T_21556, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21558 = eq(bht_rd_addr_f, UInt<7>("h04b")) @[ifu_bp_ctl.scala 455:79] + node _T_21559 = bits(_T_21558, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21560 = eq(bht_rd_addr_f, UInt<7>("h04c")) @[ifu_bp_ctl.scala 455:79] + node _T_21561 = bits(_T_21560, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21562 = eq(bht_rd_addr_f, UInt<7>("h04d")) @[ifu_bp_ctl.scala 455:79] + node _T_21563 = bits(_T_21562, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21564 = eq(bht_rd_addr_f, UInt<7>("h04e")) @[ifu_bp_ctl.scala 455:79] + node _T_21565 = bits(_T_21564, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21566 = eq(bht_rd_addr_f, UInt<7>("h04f")) @[ifu_bp_ctl.scala 455:79] + node _T_21567 = bits(_T_21566, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21568 = eq(bht_rd_addr_f, UInt<7>("h050")) @[ifu_bp_ctl.scala 455:79] + node _T_21569 = bits(_T_21568, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21570 = eq(bht_rd_addr_f, UInt<7>("h051")) @[ifu_bp_ctl.scala 455:79] + node _T_21571 = bits(_T_21570, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21572 = eq(bht_rd_addr_f, UInt<7>("h052")) @[ifu_bp_ctl.scala 455:79] + node _T_21573 = bits(_T_21572, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21574 = eq(bht_rd_addr_f, UInt<7>("h053")) @[ifu_bp_ctl.scala 455:79] + node _T_21575 = bits(_T_21574, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21576 = eq(bht_rd_addr_f, UInt<7>("h054")) @[ifu_bp_ctl.scala 455:79] + node _T_21577 = bits(_T_21576, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21578 = eq(bht_rd_addr_f, UInt<7>("h055")) @[ifu_bp_ctl.scala 455:79] + node _T_21579 = bits(_T_21578, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21580 = eq(bht_rd_addr_f, UInt<7>("h056")) @[ifu_bp_ctl.scala 455:79] + node _T_21581 = bits(_T_21580, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21582 = eq(bht_rd_addr_f, UInt<7>("h057")) @[ifu_bp_ctl.scala 455:79] + node _T_21583 = bits(_T_21582, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21584 = eq(bht_rd_addr_f, UInt<7>("h058")) @[ifu_bp_ctl.scala 455:79] + node _T_21585 = bits(_T_21584, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21586 = eq(bht_rd_addr_f, UInt<7>("h059")) @[ifu_bp_ctl.scala 455:79] + node _T_21587 = bits(_T_21586, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21588 = eq(bht_rd_addr_f, UInt<7>("h05a")) @[ifu_bp_ctl.scala 455:79] + node _T_21589 = bits(_T_21588, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21590 = eq(bht_rd_addr_f, UInt<7>("h05b")) @[ifu_bp_ctl.scala 455:79] + node _T_21591 = bits(_T_21590, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21592 = eq(bht_rd_addr_f, UInt<7>("h05c")) @[ifu_bp_ctl.scala 455:79] + node _T_21593 = bits(_T_21592, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21594 = eq(bht_rd_addr_f, UInt<7>("h05d")) @[ifu_bp_ctl.scala 455:79] + node _T_21595 = bits(_T_21594, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21596 = eq(bht_rd_addr_f, UInt<7>("h05e")) @[ifu_bp_ctl.scala 455:79] + node _T_21597 = bits(_T_21596, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21598 = eq(bht_rd_addr_f, UInt<7>("h05f")) @[ifu_bp_ctl.scala 455:79] + node _T_21599 = bits(_T_21598, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21600 = eq(bht_rd_addr_f, UInt<7>("h060")) @[ifu_bp_ctl.scala 455:79] + node _T_21601 = bits(_T_21600, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21602 = eq(bht_rd_addr_f, UInt<7>("h061")) @[ifu_bp_ctl.scala 455:79] + node _T_21603 = bits(_T_21602, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21604 = eq(bht_rd_addr_f, UInt<7>("h062")) @[ifu_bp_ctl.scala 455:79] + node _T_21605 = bits(_T_21604, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21606 = eq(bht_rd_addr_f, UInt<7>("h063")) @[ifu_bp_ctl.scala 455:79] + node _T_21607 = bits(_T_21606, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21608 = eq(bht_rd_addr_f, UInt<7>("h064")) @[ifu_bp_ctl.scala 455:79] + node _T_21609 = bits(_T_21608, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21610 = eq(bht_rd_addr_f, UInt<7>("h065")) @[ifu_bp_ctl.scala 455:79] + node _T_21611 = bits(_T_21610, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21612 = eq(bht_rd_addr_f, UInt<7>("h066")) @[ifu_bp_ctl.scala 455:79] + node _T_21613 = bits(_T_21612, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21614 = eq(bht_rd_addr_f, UInt<7>("h067")) @[ifu_bp_ctl.scala 455:79] + node _T_21615 = bits(_T_21614, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21616 = eq(bht_rd_addr_f, UInt<7>("h068")) @[ifu_bp_ctl.scala 455:79] + node _T_21617 = bits(_T_21616, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21618 = eq(bht_rd_addr_f, UInt<7>("h069")) @[ifu_bp_ctl.scala 455:79] + node _T_21619 = bits(_T_21618, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21620 = eq(bht_rd_addr_f, UInt<7>("h06a")) @[ifu_bp_ctl.scala 455:79] + node _T_21621 = bits(_T_21620, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21622 = eq(bht_rd_addr_f, UInt<7>("h06b")) @[ifu_bp_ctl.scala 455:79] + node _T_21623 = bits(_T_21622, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21624 = eq(bht_rd_addr_f, UInt<7>("h06c")) @[ifu_bp_ctl.scala 455:79] + node _T_21625 = bits(_T_21624, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21626 = eq(bht_rd_addr_f, UInt<7>("h06d")) @[ifu_bp_ctl.scala 455:79] + node _T_21627 = bits(_T_21626, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21628 = eq(bht_rd_addr_f, UInt<7>("h06e")) @[ifu_bp_ctl.scala 455:79] + node _T_21629 = bits(_T_21628, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21630 = eq(bht_rd_addr_f, UInt<7>("h06f")) @[ifu_bp_ctl.scala 455:79] + node _T_21631 = bits(_T_21630, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21632 = eq(bht_rd_addr_f, UInt<7>("h070")) @[ifu_bp_ctl.scala 455:79] + node _T_21633 = bits(_T_21632, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21634 = eq(bht_rd_addr_f, UInt<7>("h071")) @[ifu_bp_ctl.scala 455:79] + node _T_21635 = bits(_T_21634, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21636 = eq(bht_rd_addr_f, UInt<7>("h072")) @[ifu_bp_ctl.scala 455:79] + node _T_21637 = bits(_T_21636, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21638 = eq(bht_rd_addr_f, UInt<7>("h073")) @[ifu_bp_ctl.scala 455:79] + node _T_21639 = bits(_T_21638, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21640 = eq(bht_rd_addr_f, UInt<7>("h074")) @[ifu_bp_ctl.scala 455:79] + node _T_21641 = bits(_T_21640, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21642 = eq(bht_rd_addr_f, UInt<7>("h075")) @[ifu_bp_ctl.scala 455:79] + node _T_21643 = bits(_T_21642, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21644 = eq(bht_rd_addr_f, UInt<7>("h076")) @[ifu_bp_ctl.scala 455:79] + node _T_21645 = bits(_T_21644, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21646 = eq(bht_rd_addr_f, UInt<7>("h077")) @[ifu_bp_ctl.scala 455:79] + node _T_21647 = bits(_T_21646, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21648 = eq(bht_rd_addr_f, UInt<7>("h078")) @[ifu_bp_ctl.scala 455:79] + node _T_21649 = bits(_T_21648, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21650 = eq(bht_rd_addr_f, UInt<7>("h079")) @[ifu_bp_ctl.scala 455:79] + node _T_21651 = bits(_T_21650, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21652 = eq(bht_rd_addr_f, UInt<7>("h07a")) @[ifu_bp_ctl.scala 455:79] + node _T_21653 = bits(_T_21652, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21654 = eq(bht_rd_addr_f, UInt<7>("h07b")) @[ifu_bp_ctl.scala 455:79] + node _T_21655 = bits(_T_21654, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21656 = eq(bht_rd_addr_f, UInt<7>("h07c")) @[ifu_bp_ctl.scala 455:79] + node _T_21657 = bits(_T_21656, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21658 = eq(bht_rd_addr_f, UInt<7>("h07d")) @[ifu_bp_ctl.scala 455:79] + node _T_21659 = bits(_T_21658, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21660 = eq(bht_rd_addr_f, UInt<7>("h07e")) @[ifu_bp_ctl.scala 455:79] + node _T_21661 = bits(_T_21660, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21662 = eq(bht_rd_addr_f, UInt<7>("h07f")) @[ifu_bp_ctl.scala 455:79] + node _T_21663 = bits(_T_21662, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21664 = eq(bht_rd_addr_f, UInt<8>("h080")) @[ifu_bp_ctl.scala 455:79] + node _T_21665 = bits(_T_21664, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21666 = eq(bht_rd_addr_f, UInt<8>("h081")) @[ifu_bp_ctl.scala 455:79] + node _T_21667 = bits(_T_21666, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21668 = eq(bht_rd_addr_f, UInt<8>("h082")) @[ifu_bp_ctl.scala 455:79] + node _T_21669 = bits(_T_21668, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21670 = eq(bht_rd_addr_f, UInt<8>("h083")) @[ifu_bp_ctl.scala 455:79] + node _T_21671 = bits(_T_21670, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21672 = eq(bht_rd_addr_f, UInt<8>("h084")) @[ifu_bp_ctl.scala 455:79] + node _T_21673 = bits(_T_21672, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21674 = eq(bht_rd_addr_f, UInt<8>("h085")) @[ifu_bp_ctl.scala 455:79] + node _T_21675 = bits(_T_21674, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21676 = eq(bht_rd_addr_f, UInt<8>("h086")) @[ifu_bp_ctl.scala 455:79] + node _T_21677 = bits(_T_21676, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21678 = eq(bht_rd_addr_f, UInt<8>("h087")) @[ifu_bp_ctl.scala 455:79] + node _T_21679 = bits(_T_21678, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21680 = eq(bht_rd_addr_f, UInt<8>("h088")) @[ifu_bp_ctl.scala 455:79] + node _T_21681 = bits(_T_21680, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21682 = eq(bht_rd_addr_f, UInt<8>("h089")) @[ifu_bp_ctl.scala 455:79] + node _T_21683 = bits(_T_21682, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21684 = eq(bht_rd_addr_f, UInt<8>("h08a")) @[ifu_bp_ctl.scala 455:79] + node _T_21685 = bits(_T_21684, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21686 = eq(bht_rd_addr_f, UInt<8>("h08b")) @[ifu_bp_ctl.scala 455:79] + node _T_21687 = bits(_T_21686, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21688 = eq(bht_rd_addr_f, UInt<8>("h08c")) @[ifu_bp_ctl.scala 455:79] + node _T_21689 = bits(_T_21688, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21690 = eq(bht_rd_addr_f, UInt<8>("h08d")) @[ifu_bp_ctl.scala 455:79] + node _T_21691 = bits(_T_21690, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21692 = eq(bht_rd_addr_f, UInt<8>("h08e")) @[ifu_bp_ctl.scala 455:79] + node _T_21693 = bits(_T_21692, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21694 = eq(bht_rd_addr_f, UInt<8>("h08f")) @[ifu_bp_ctl.scala 455:79] + node _T_21695 = bits(_T_21694, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21696 = eq(bht_rd_addr_f, UInt<8>("h090")) @[ifu_bp_ctl.scala 455:79] + node _T_21697 = bits(_T_21696, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21698 = eq(bht_rd_addr_f, UInt<8>("h091")) @[ifu_bp_ctl.scala 455:79] + node _T_21699 = bits(_T_21698, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21700 = eq(bht_rd_addr_f, UInt<8>("h092")) @[ifu_bp_ctl.scala 455:79] + node _T_21701 = bits(_T_21700, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21702 = eq(bht_rd_addr_f, UInt<8>("h093")) @[ifu_bp_ctl.scala 455:79] + node _T_21703 = bits(_T_21702, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21704 = eq(bht_rd_addr_f, UInt<8>("h094")) @[ifu_bp_ctl.scala 455:79] + node _T_21705 = bits(_T_21704, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21706 = eq(bht_rd_addr_f, UInt<8>("h095")) @[ifu_bp_ctl.scala 455:79] + node _T_21707 = bits(_T_21706, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21708 = eq(bht_rd_addr_f, UInt<8>("h096")) @[ifu_bp_ctl.scala 455:79] + node _T_21709 = bits(_T_21708, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21710 = eq(bht_rd_addr_f, UInt<8>("h097")) @[ifu_bp_ctl.scala 455:79] + node _T_21711 = bits(_T_21710, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21712 = eq(bht_rd_addr_f, UInt<8>("h098")) @[ifu_bp_ctl.scala 455:79] + node _T_21713 = bits(_T_21712, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21714 = eq(bht_rd_addr_f, UInt<8>("h099")) @[ifu_bp_ctl.scala 455:79] + node _T_21715 = bits(_T_21714, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21716 = eq(bht_rd_addr_f, UInt<8>("h09a")) @[ifu_bp_ctl.scala 455:79] + node _T_21717 = bits(_T_21716, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21718 = eq(bht_rd_addr_f, UInt<8>("h09b")) @[ifu_bp_ctl.scala 455:79] + node _T_21719 = bits(_T_21718, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21720 = eq(bht_rd_addr_f, UInt<8>("h09c")) @[ifu_bp_ctl.scala 455:79] + node _T_21721 = bits(_T_21720, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21722 = eq(bht_rd_addr_f, UInt<8>("h09d")) @[ifu_bp_ctl.scala 455:79] + node _T_21723 = bits(_T_21722, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21724 = eq(bht_rd_addr_f, UInt<8>("h09e")) @[ifu_bp_ctl.scala 455:79] + node _T_21725 = bits(_T_21724, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21726 = eq(bht_rd_addr_f, UInt<8>("h09f")) @[ifu_bp_ctl.scala 455:79] + node _T_21727 = bits(_T_21726, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21728 = eq(bht_rd_addr_f, UInt<8>("h0a0")) @[ifu_bp_ctl.scala 455:79] + node _T_21729 = bits(_T_21728, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21730 = eq(bht_rd_addr_f, UInt<8>("h0a1")) @[ifu_bp_ctl.scala 455:79] + node _T_21731 = bits(_T_21730, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21732 = eq(bht_rd_addr_f, UInt<8>("h0a2")) @[ifu_bp_ctl.scala 455:79] + node _T_21733 = bits(_T_21732, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21734 = eq(bht_rd_addr_f, UInt<8>("h0a3")) @[ifu_bp_ctl.scala 455:79] + node _T_21735 = bits(_T_21734, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21736 = eq(bht_rd_addr_f, UInt<8>("h0a4")) @[ifu_bp_ctl.scala 455:79] + node _T_21737 = bits(_T_21736, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21738 = eq(bht_rd_addr_f, UInt<8>("h0a5")) @[ifu_bp_ctl.scala 455:79] + node _T_21739 = bits(_T_21738, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21740 = eq(bht_rd_addr_f, UInt<8>("h0a6")) @[ifu_bp_ctl.scala 455:79] + node _T_21741 = bits(_T_21740, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21742 = eq(bht_rd_addr_f, UInt<8>("h0a7")) @[ifu_bp_ctl.scala 455:79] + node _T_21743 = bits(_T_21742, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21744 = eq(bht_rd_addr_f, UInt<8>("h0a8")) @[ifu_bp_ctl.scala 455:79] + node _T_21745 = bits(_T_21744, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21746 = eq(bht_rd_addr_f, UInt<8>("h0a9")) @[ifu_bp_ctl.scala 455:79] + node _T_21747 = bits(_T_21746, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21748 = eq(bht_rd_addr_f, UInt<8>("h0aa")) @[ifu_bp_ctl.scala 455:79] + node _T_21749 = bits(_T_21748, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21750 = eq(bht_rd_addr_f, UInt<8>("h0ab")) @[ifu_bp_ctl.scala 455:79] + node _T_21751 = bits(_T_21750, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21752 = eq(bht_rd_addr_f, UInt<8>("h0ac")) @[ifu_bp_ctl.scala 455:79] + node _T_21753 = bits(_T_21752, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21754 = eq(bht_rd_addr_f, UInt<8>("h0ad")) @[ifu_bp_ctl.scala 455:79] + node _T_21755 = bits(_T_21754, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21756 = eq(bht_rd_addr_f, UInt<8>("h0ae")) @[ifu_bp_ctl.scala 455:79] + node _T_21757 = bits(_T_21756, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21758 = eq(bht_rd_addr_f, UInt<8>("h0af")) @[ifu_bp_ctl.scala 455:79] + node _T_21759 = bits(_T_21758, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21760 = eq(bht_rd_addr_f, UInt<8>("h0b0")) @[ifu_bp_ctl.scala 455:79] + node _T_21761 = bits(_T_21760, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21762 = eq(bht_rd_addr_f, UInt<8>("h0b1")) @[ifu_bp_ctl.scala 455:79] + node _T_21763 = bits(_T_21762, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21764 = eq(bht_rd_addr_f, UInt<8>("h0b2")) @[ifu_bp_ctl.scala 455:79] + node _T_21765 = bits(_T_21764, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21766 = eq(bht_rd_addr_f, UInt<8>("h0b3")) @[ifu_bp_ctl.scala 455:79] + node _T_21767 = bits(_T_21766, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21768 = eq(bht_rd_addr_f, UInt<8>("h0b4")) @[ifu_bp_ctl.scala 455:79] + node _T_21769 = bits(_T_21768, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21770 = eq(bht_rd_addr_f, UInt<8>("h0b5")) @[ifu_bp_ctl.scala 455:79] + node _T_21771 = bits(_T_21770, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21772 = eq(bht_rd_addr_f, UInt<8>("h0b6")) @[ifu_bp_ctl.scala 455:79] + node _T_21773 = bits(_T_21772, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21774 = eq(bht_rd_addr_f, UInt<8>("h0b7")) @[ifu_bp_ctl.scala 455:79] + node _T_21775 = bits(_T_21774, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21776 = eq(bht_rd_addr_f, UInt<8>("h0b8")) @[ifu_bp_ctl.scala 455:79] + node _T_21777 = bits(_T_21776, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21778 = eq(bht_rd_addr_f, UInt<8>("h0b9")) @[ifu_bp_ctl.scala 455:79] + node _T_21779 = bits(_T_21778, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21780 = eq(bht_rd_addr_f, UInt<8>("h0ba")) @[ifu_bp_ctl.scala 455:79] + node _T_21781 = bits(_T_21780, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21782 = eq(bht_rd_addr_f, UInt<8>("h0bb")) @[ifu_bp_ctl.scala 455:79] + node _T_21783 = bits(_T_21782, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21784 = eq(bht_rd_addr_f, UInt<8>("h0bc")) @[ifu_bp_ctl.scala 455:79] + node _T_21785 = bits(_T_21784, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21786 = eq(bht_rd_addr_f, UInt<8>("h0bd")) @[ifu_bp_ctl.scala 455:79] + node _T_21787 = bits(_T_21786, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21788 = eq(bht_rd_addr_f, UInt<8>("h0be")) @[ifu_bp_ctl.scala 455:79] + node _T_21789 = bits(_T_21788, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21790 = eq(bht_rd_addr_f, UInt<8>("h0bf")) @[ifu_bp_ctl.scala 455:79] + node _T_21791 = bits(_T_21790, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21792 = eq(bht_rd_addr_f, UInt<8>("h0c0")) @[ifu_bp_ctl.scala 455:79] + node _T_21793 = bits(_T_21792, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21794 = eq(bht_rd_addr_f, UInt<8>("h0c1")) @[ifu_bp_ctl.scala 455:79] + node _T_21795 = bits(_T_21794, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21796 = eq(bht_rd_addr_f, UInt<8>("h0c2")) @[ifu_bp_ctl.scala 455:79] + node _T_21797 = bits(_T_21796, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21798 = eq(bht_rd_addr_f, UInt<8>("h0c3")) @[ifu_bp_ctl.scala 455:79] + node _T_21799 = bits(_T_21798, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21800 = eq(bht_rd_addr_f, UInt<8>("h0c4")) @[ifu_bp_ctl.scala 455:79] + node _T_21801 = bits(_T_21800, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21802 = eq(bht_rd_addr_f, UInt<8>("h0c5")) @[ifu_bp_ctl.scala 455:79] + node _T_21803 = bits(_T_21802, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21804 = eq(bht_rd_addr_f, UInt<8>("h0c6")) @[ifu_bp_ctl.scala 455:79] + node _T_21805 = bits(_T_21804, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21806 = eq(bht_rd_addr_f, UInt<8>("h0c7")) @[ifu_bp_ctl.scala 455:79] + node _T_21807 = bits(_T_21806, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21808 = eq(bht_rd_addr_f, UInt<8>("h0c8")) @[ifu_bp_ctl.scala 455:79] + node _T_21809 = bits(_T_21808, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21810 = eq(bht_rd_addr_f, UInt<8>("h0c9")) @[ifu_bp_ctl.scala 455:79] + node _T_21811 = bits(_T_21810, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21812 = eq(bht_rd_addr_f, UInt<8>("h0ca")) @[ifu_bp_ctl.scala 455:79] + node _T_21813 = bits(_T_21812, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21814 = eq(bht_rd_addr_f, UInt<8>("h0cb")) @[ifu_bp_ctl.scala 455:79] + node _T_21815 = bits(_T_21814, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21816 = eq(bht_rd_addr_f, UInt<8>("h0cc")) @[ifu_bp_ctl.scala 455:79] + node _T_21817 = bits(_T_21816, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21818 = eq(bht_rd_addr_f, UInt<8>("h0cd")) @[ifu_bp_ctl.scala 455:79] + node _T_21819 = bits(_T_21818, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21820 = eq(bht_rd_addr_f, UInt<8>("h0ce")) @[ifu_bp_ctl.scala 455:79] + node _T_21821 = bits(_T_21820, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21822 = eq(bht_rd_addr_f, UInt<8>("h0cf")) @[ifu_bp_ctl.scala 455:79] + node _T_21823 = bits(_T_21822, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21824 = eq(bht_rd_addr_f, UInt<8>("h0d0")) @[ifu_bp_ctl.scala 455:79] + node _T_21825 = bits(_T_21824, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21826 = eq(bht_rd_addr_f, UInt<8>("h0d1")) @[ifu_bp_ctl.scala 455:79] + node _T_21827 = bits(_T_21826, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21828 = eq(bht_rd_addr_f, UInt<8>("h0d2")) @[ifu_bp_ctl.scala 455:79] + node _T_21829 = bits(_T_21828, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21830 = eq(bht_rd_addr_f, UInt<8>("h0d3")) @[ifu_bp_ctl.scala 455:79] + node _T_21831 = bits(_T_21830, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21832 = eq(bht_rd_addr_f, UInt<8>("h0d4")) @[ifu_bp_ctl.scala 455:79] + node _T_21833 = bits(_T_21832, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21834 = eq(bht_rd_addr_f, UInt<8>("h0d5")) @[ifu_bp_ctl.scala 455:79] + node _T_21835 = bits(_T_21834, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21836 = eq(bht_rd_addr_f, UInt<8>("h0d6")) @[ifu_bp_ctl.scala 455:79] + node _T_21837 = bits(_T_21836, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21838 = eq(bht_rd_addr_f, UInt<8>("h0d7")) @[ifu_bp_ctl.scala 455:79] + node _T_21839 = bits(_T_21838, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21840 = eq(bht_rd_addr_f, UInt<8>("h0d8")) @[ifu_bp_ctl.scala 455:79] + node _T_21841 = bits(_T_21840, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21842 = eq(bht_rd_addr_f, UInt<8>("h0d9")) @[ifu_bp_ctl.scala 455:79] + node _T_21843 = bits(_T_21842, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21844 = eq(bht_rd_addr_f, UInt<8>("h0da")) @[ifu_bp_ctl.scala 455:79] + node _T_21845 = bits(_T_21844, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21846 = eq(bht_rd_addr_f, UInt<8>("h0db")) @[ifu_bp_ctl.scala 455:79] + node _T_21847 = bits(_T_21846, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21848 = eq(bht_rd_addr_f, UInt<8>("h0dc")) @[ifu_bp_ctl.scala 455:79] + node _T_21849 = bits(_T_21848, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21850 = eq(bht_rd_addr_f, UInt<8>("h0dd")) @[ifu_bp_ctl.scala 455:79] + node _T_21851 = bits(_T_21850, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21852 = eq(bht_rd_addr_f, UInt<8>("h0de")) @[ifu_bp_ctl.scala 455:79] + node _T_21853 = bits(_T_21852, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21854 = eq(bht_rd_addr_f, UInt<8>("h0df")) @[ifu_bp_ctl.scala 455:79] + node _T_21855 = bits(_T_21854, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21856 = eq(bht_rd_addr_f, UInt<8>("h0e0")) @[ifu_bp_ctl.scala 455:79] + node _T_21857 = bits(_T_21856, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21858 = eq(bht_rd_addr_f, UInt<8>("h0e1")) @[ifu_bp_ctl.scala 455:79] + node _T_21859 = bits(_T_21858, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21860 = eq(bht_rd_addr_f, UInt<8>("h0e2")) @[ifu_bp_ctl.scala 455:79] + node _T_21861 = bits(_T_21860, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21862 = eq(bht_rd_addr_f, UInt<8>("h0e3")) @[ifu_bp_ctl.scala 455:79] + node _T_21863 = bits(_T_21862, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21864 = eq(bht_rd_addr_f, UInt<8>("h0e4")) @[ifu_bp_ctl.scala 455:79] + node _T_21865 = bits(_T_21864, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21866 = eq(bht_rd_addr_f, UInt<8>("h0e5")) @[ifu_bp_ctl.scala 455:79] + node _T_21867 = bits(_T_21866, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21868 = eq(bht_rd_addr_f, UInt<8>("h0e6")) @[ifu_bp_ctl.scala 455:79] + node _T_21869 = bits(_T_21868, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21870 = eq(bht_rd_addr_f, UInt<8>("h0e7")) @[ifu_bp_ctl.scala 455:79] + node _T_21871 = bits(_T_21870, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21872 = eq(bht_rd_addr_f, UInt<8>("h0e8")) @[ifu_bp_ctl.scala 455:79] + node _T_21873 = bits(_T_21872, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21874 = eq(bht_rd_addr_f, UInt<8>("h0e9")) @[ifu_bp_ctl.scala 455:79] + node _T_21875 = bits(_T_21874, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21876 = eq(bht_rd_addr_f, UInt<8>("h0ea")) @[ifu_bp_ctl.scala 455:79] + node _T_21877 = bits(_T_21876, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21878 = eq(bht_rd_addr_f, UInt<8>("h0eb")) @[ifu_bp_ctl.scala 455:79] + node _T_21879 = bits(_T_21878, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21880 = eq(bht_rd_addr_f, UInt<8>("h0ec")) @[ifu_bp_ctl.scala 455:79] + node _T_21881 = bits(_T_21880, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21882 = eq(bht_rd_addr_f, UInt<8>("h0ed")) @[ifu_bp_ctl.scala 455:79] + node _T_21883 = bits(_T_21882, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21884 = eq(bht_rd_addr_f, UInt<8>("h0ee")) @[ifu_bp_ctl.scala 455:79] + node _T_21885 = bits(_T_21884, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21886 = eq(bht_rd_addr_f, UInt<8>("h0ef")) @[ifu_bp_ctl.scala 455:79] + node _T_21887 = bits(_T_21886, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21888 = eq(bht_rd_addr_f, UInt<8>("h0f0")) @[ifu_bp_ctl.scala 455:79] + node _T_21889 = bits(_T_21888, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21890 = eq(bht_rd_addr_f, UInt<8>("h0f1")) @[ifu_bp_ctl.scala 455:79] + node _T_21891 = bits(_T_21890, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21892 = eq(bht_rd_addr_f, UInt<8>("h0f2")) @[ifu_bp_ctl.scala 455:79] + node _T_21893 = bits(_T_21892, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21894 = eq(bht_rd_addr_f, UInt<8>("h0f3")) @[ifu_bp_ctl.scala 455:79] + node _T_21895 = bits(_T_21894, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21896 = eq(bht_rd_addr_f, UInt<8>("h0f4")) @[ifu_bp_ctl.scala 455:79] + node _T_21897 = bits(_T_21896, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21898 = eq(bht_rd_addr_f, UInt<8>("h0f5")) @[ifu_bp_ctl.scala 455:79] + node _T_21899 = bits(_T_21898, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21900 = eq(bht_rd_addr_f, UInt<8>("h0f6")) @[ifu_bp_ctl.scala 455:79] + node _T_21901 = bits(_T_21900, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21902 = eq(bht_rd_addr_f, UInt<8>("h0f7")) @[ifu_bp_ctl.scala 455:79] + node _T_21903 = bits(_T_21902, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21904 = eq(bht_rd_addr_f, UInt<8>("h0f8")) @[ifu_bp_ctl.scala 455:79] + node _T_21905 = bits(_T_21904, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21906 = eq(bht_rd_addr_f, UInt<8>("h0f9")) @[ifu_bp_ctl.scala 455:79] + node _T_21907 = bits(_T_21906, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21908 = eq(bht_rd_addr_f, UInt<8>("h0fa")) @[ifu_bp_ctl.scala 455:79] + node _T_21909 = bits(_T_21908, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21910 = eq(bht_rd_addr_f, UInt<8>("h0fb")) @[ifu_bp_ctl.scala 455:79] + node _T_21911 = bits(_T_21910, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21912 = eq(bht_rd_addr_f, UInt<8>("h0fc")) @[ifu_bp_ctl.scala 455:79] + node _T_21913 = bits(_T_21912, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21914 = eq(bht_rd_addr_f, UInt<8>("h0fd")) @[ifu_bp_ctl.scala 455:79] + node _T_21915 = bits(_T_21914, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21916 = eq(bht_rd_addr_f, UInt<8>("h0fe")) @[ifu_bp_ctl.scala 455:79] + node _T_21917 = bits(_T_21916, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21918 = eq(bht_rd_addr_f, UInt<8>("h0ff")) @[ifu_bp_ctl.scala 455:79] + node _T_21919 = bits(_T_21918, 0, 0) @[ifu_bp_ctl.scala 455:87] + node _T_21920 = mux(_T_21409, bht_bank_rd_data_out[1][0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21921 = mux(_T_21411, bht_bank_rd_data_out[1][1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21922 = mux(_T_21413, bht_bank_rd_data_out[1][2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21923 = mux(_T_21415, bht_bank_rd_data_out[1][3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21924 = mux(_T_21417, bht_bank_rd_data_out[1][4], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21925 = mux(_T_21419, bht_bank_rd_data_out[1][5], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21926 = mux(_T_21421, bht_bank_rd_data_out[1][6], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21927 = mux(_T_21423, bht_bank_rd_data_out[1][7], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21928 = mux(_T_21425, bht_bank_rd_data_out[1][8], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21929 = mux(_T_21427, bht_bank_rd_data_out[1][9], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21930 = mux(_T_21429, bht_bank_rd_data_out[1][10], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21931 = mux(_T_21431, bht_bank_rd_data_out[1][11], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21932 = mux(_T_21433, bht_bank_rd_data_out[1][12], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21933 = mux(_T_21435, bht_bank_rd_data_out[1][13], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21934 = mux(_T_21437, bht_bank_rd_data_out[1][14], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21935 = mux(_T_21439, bht_bank_rd_data_out[1][15], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21936 = mux(_T_21441, bht_bank_rd_data_out[1][16], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21937 = mux(_T_21443, bht_bank_rd_data_out[1][17], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21938 = mux(_T_21445, bht_bank_rd_data_out[1][18], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21939 = mux(_T_21447, bht_bank_rd_data_out[1][19], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21940 = mux(_T_21449, bht_bank_rd_data_out[1][20], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21941 = mux(_T_21451, bht_bank_rd_data_out[1][21], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21942 = mux(_T_21453, bht_bank_rd_data_out[1][22], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21943 = mux(_T_21455, bht_bank_rd_data_out[1][23], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21944 = mux(_T_21457, bht_bank_rd_data_out[1][24], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21945 = mux(_T_21459, bht_bank_rd_data_out[1][25], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21946 = mux(_T_21461, bht_bank_rd_data_out[1][26], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21947 = mux(_T_21463, bht_bank_rd_data_out[1][27], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21948 = mux(_T_21465, bht_bank_rd_data_out[1][28], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21949 = mux(_T_21467, bht_bank_rd_data_out[1][29], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21950 = mux(_T_21469, bht_bank_rd_data_out[1][30], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21951 = mux(_T_21471, bht_bank_rd_data_out[1][31], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21952 = mux(_T_21473, bht_bank_rd_data_out[1][32], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21953 = mux(_T_21475, bht_bank_rd_data_out[1][33], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21954 = mux(_T_21477, bht_bank_rd_data_out[1][34], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21955 = mux(_T_21479, bht_bank_rd_data_out[1][35], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21956 = mux(_T_21481, bht_bank_rd_data_out[1][36], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21957 = mux(_T_21483, bht_bank_rd_data_out[1][37], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21958 = mux(_T_21485, bht_bank_rd_data_out[1][38], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21959 = mux(_T_21487, bht_bank_rd_data_out[1][39], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21960 = mux(_T_21489, bht_bank_rd_data_out[1][40], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21961 = mux(_T_21491, bht_bank_rd_data_out[1][41], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21962 = mux(_T_21493, bht_bank_rd_data_out[1][42], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21963 = mux(_T_21495, bht_bank_rd_data_out[1][43], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21964 = mux(_T_21497, bht_bank_rd_data_out[1][44], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21965 = mux(_T_21499, bht_bank_rd_data_out[1][45], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21966 = mux(_T_21501, bht_bank_rd_data_out[1][46], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21967 = mux(_T_21503, bht_bank_rd_data_out[1][47], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21968 = mux(_T_21505, bht_bank_rd_data_out[1][48], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21969 = mux(_T_21507, bht_bank_rd_data_out[1][49], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21970 = mux(_T_21509, bht_bank_rd_data_out[1][50], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21971 = mux(_T_21511, bht_bank_rd_data_out[1][51], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21972 = mux(_T_21513, bht_bank_rd_data_out[1][52], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21973 = mux(_T_21515, bht_bank_rd_data_out[1][53], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21974 = mux(_T_21517, bht_bank_rd_data_out[1][54], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21975 = mux(_T_21519, bht_bank_rd_data_out[1][55], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21976 = mux(_T_21521, bht_bank_rd_data_out[1][56], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21977 = mux(_T_21523, bht_bank_rd_data_out[1][57], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21978 = mux(_T_21525, bht_bank_rd_data_out[1][58], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21979 = mux(_T_21527, bht_bank_rd_data_out[1][59], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21980 = mux(_T_21529, bht_bank_rd_data_out[1][60], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21981 = mux(_T_21531, bht_bank_rd_data_out[1][61], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21982 = mux(_T_21533, bht_bank_rd_data_out[1][62], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21983 = mux(_T_21535, bht_bank_rd_data_out[1][63], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21984 = mux(_T_21537, bht_bank_rd_data_out[1][64], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21985 = mux(_T_21539, bht_bank_rd_data_out[1][65], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21986 = mux(_T_21541, bht_bank_rd_data_out[1][66], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21987 = mux(_T_21543, bht_bank_rd_data_out[1][67], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21988 = mux(_T_21545, bht_bank_rd_data_out[1][68], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21989 = mux(_T_21547, bht_bank_rd_data_out[1][69], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21990 = mux(_T_21549, bht_bank_rd_data_out[1][70], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21991 = mux(_T_21551, bht_bank_rd_data_out[1][71], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21992 = mux(_T_21553, bht_bank_rd_data_out[1][72], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21993 = mux(_T_21555, bht_bank_rd_data_out[1][73], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21994 = mux(_T_21557, bht_bank_rd_data_out[1][74], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21995 = mux(_T_21559, bht_bank_rd_data_out[1][75], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21996 = mux(_T_21561, bht_bank_rd_data_out[1][76], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21997 = mux(_T_21563, bht_bank_rd_data_out[1][77], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21998 = mux(_T_21565, bht_bank_rd_data_out[1][78], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21999 = mux(_T_21567, bht_bank_rd_data_out[1][79], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22000 = mux(_T_21569, bht_bank_rd_data_out[1][80], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22001 = mux(_T_21571, bht_bank_rd_data_out[1][81], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22002 = mux(_T_21573, bht_bank_rd_data_out[1][82], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22003 = mux(_T_21575, bht_bank_rd_data_out[1][83], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22004 = mux(_T_21577, bht_bank_rd_data_out[1][84], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22005 = mux(_T_21579, bht_bank_rd_data_out[1][85], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22006 = mux(_T_21581, bht_bank_rd_data_out[1][86], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22007 = mux(_T_21583, bht_bank_rd_data_out[1][87], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22008 = mux(_T_21585, bht_bank_rd_data_out[1][88], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22009 = mux(_T_21587, bht_bank_rd_data_out[1][89], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22010 = mux(_T_21589, bht_bank_rd_data_out[1][90], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22011 = mux(_T_21591, bht_bank_rd_data_out[1][91], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22012 = mux(_T_21593, bht_bank_rd_data_out[1][92], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22013 = mux(_T_21595, bht_bank_rd_data_out[1][93], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22014 = mux(_T_21597, bht_bank_rd_data_out[1][94], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22015 = mux(_T_21599, bht_bank_rd_data_out[1][95], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22016 = mux(_T_21601, bht_bank_rd_data_out[1][96], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22017 = mux(_T_21603, bht_bank_rd_data_out[1][97], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22018 = mux(_T_21605, bht_bank_rd_data_out[1][98], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22019 = mux(_T_21607, bht_bank_rd_data_out[1][99], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22020 = mux(_T_21609, bht_bank_rd_data_out[1][100], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22021 = mux(_T_21611, bht_bank_rd_data_out[1][101], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22022 = mux(_T_21613, bht_bank_rd_data_out[1][102], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22023 = mux(_T_21615, bht_bank_rd_data_out[1][103], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22024 = mux(_T_21617, bht_bank_rd_data_out[1][104], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22025 = mux(_T_21619, bht_bank_rd_data_out[1][105], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22026 = mux(_T_21621, bht_bank_rd_data_out[1][106], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22027 = mux(_T_21623, bht_bank_rd_data_out[1][107], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22028 = mux(_T_21625, bht_bank_rd_data_out[1][108], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22029 = mux(_T_21627, bht_bank_rd_data_out[1][109], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22030 = mux(_T_21629, bht_bank_rd_data_out[1][110], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22031 = mux(_T_21631, bht_bank_rd_data_out[1][111], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22032 = mux(_T_21633, bht_bank_rd_data_out[1][112], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22033 = mux(_T_21635, bht_bank_rd_data_out[1][113], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22034 = mux(_T_21637, bht_bank_rd_data_out[1][114], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22035 = mux(_T_21639, bht_bank_rd_data_out[1][115], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22036 = mux(_T_21641, bht_bank_rd_data_out[1][116], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22037 = mux(_T_21643, bht_bank_rd_data_out[1][117], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22038 = mux(_T_21645, bht_bank_rd_data_out[1][118], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22039 = mux(_T_21647, bht_bank_rd_data_out[1][119], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22040 = mux(_T_21649, bht_bank_rd_data_out[1][120], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22041 = mux(_T_21651, bht_bank_rd_data_out[1][121], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22042 = mux(_T_21653, bht_bank_rd_data_out[1][122], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22043 = mux(_T_21655, bht_bank_rd_data_out[1][123], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22044 = mux(_T_21657, bht_bank_rd_data_out[1][124], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22045 = mux(_T_21659, bht_bank_rd_data_out[1][125], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22046 = mux(_T_21661, bht_bank_rd_data_out[1][126], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22047 = mux(_T_21663, bht_bank_rd_data_out[1][127], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22048 = mux(_T_21665, bht_bank_rd_data_out[1][128], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22049 = mux(_T_21667, bht_bank_rd_data_out[1][129], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22050 = mux(_T_21669, bht_bank_rd_data_out[1][130], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22051 = mux(_T_21671, bht_bank_rd_data_out[1][131], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22052 = mux(_T_21673, bht_bank_rd_data_out[1][132], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22053 = mux(_T_21675, bht_bank_rd_data_out[1][133], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22054 = mux(_T_21677, bht_bank_rd_data_out[1][134], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22055 = mux(_T_21679, bht_bank_rd_data_out[1][135], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22056 = mux(_T_21681, bht_bank_rd_data_out[1][136], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22057 = mux(_T_21683, bht_bank_rd_data_out[1][137], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22058 = mux(_T_21685, bht_bank_rd_data_out[1][138], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22059 = mux(_T_21687, bht_bank_rd_data_out[1][139], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22060 = mux(_T_21689, bht_bank_rd_data_out[1][140], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22061 = mux(_T_21691, bht_bank_rd_data_out[1][141], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22062 = mux(_T_21693, bht_bank_rd_data_out[1][142], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22063 = mux(_T_21695, bht_bank_rd_data_out[1][143], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22064 = mux(_T_21697, bht_bank_rd_data_out[1][144], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22065 = mux(_T_21699, bht_bank_rd_data_out[1][145], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22066 = mux(_T_21701, bht_bank_rd_data_out[1][146], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22067 = mux(_T_21703, bht_bank_rd_data_out[1][147], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22068 = mux(_T_21705, bht_bank_rd_data_out[1][148], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22069 = mux(_T_21707, bht_bank_rd_data_out[1][149], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22070 = mux(_T_21709, bht_bank_rd_data_out[1][150], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22071 = mux(_T_21711, bht_bank_rd_data_out[1][151], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22072 = mux(_T_21713, bht_bank_rd_data_out[1][152], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22073 = mux(_T_21715, bht_bank_rd_data_out[1][153], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22074 = mux(_T_21717, bht_bank_rd_data_out[1][154], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22075 = mux(_T_21719, bht_bank_rd_data_out[1][155], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22076 = mux(_T_21721, bht_bank_rd_data_out[1][156], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22077 = mux(_T_21723, bht_bank_rd_data_out[1][157], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22078 = mux(_T_21725, bht_bank_rd_data_out[1][158], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22079 = mux(_T_21727, bht_bank_rd_data_out[1][159], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22080 = mux(_T_21729, bht_bank_rd_data_out[1][160], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22081 = mux(_T_21731, bht_bank_rd_data_out[1][161], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22082 = mux(_T_21733, bht_bank_rd_data_out[1][162], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22083 = mux(_T_21735, bht_bank_rd_data_out[1][163], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22084 = mux(_T_21737, bht_bank_rd_data_out[1][164], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22085 = mux(_T_21739, bht_bank_rd_data_out[1][165], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22086 = mux(_T_21741, bht_bank_rd_data_out[1][166], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22087 = mux(_T_21743, bht_bank_rd_data_out[1][167], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22088 = mux(_T_21745, bht_bank_rd_data_out[1][168], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22089 = mux(_T_21747, bht_bank_rd_data_out[1][169], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22090 = mux(_T_21749, bht_bank_rd_data_out[1][170], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22091 = mux(_T_21751, bht_bank_rd_data_out[1][171], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22092 = mux(_T_21753, bht_bank_rd_data_out[1][172], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22093 = mux(_T_21755, bht_bank_rd_data_out[1][173], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22094 = mux(_T_21757, bht_bank_rd_data_out[1][174], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22095 = mux(_T_21759, bht_bank_rd_data_out[1][175], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22096 = mux(_T_21761, bht_bank_rd_data_out[1][176], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22097 = mux(_T_21763, bht_bank_rd_data_out[1][177], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22098 = mux(_T_21765, bht_bank_rd_data_out[1][178], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22099 = mux(_T_21767, bht_bank_rd_data_out[1][179], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22100 = mux(_T_21769, bht_bank_rd_data_out[1][180], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22101 = mux(_T_21771, bht_bank_rd_data_out[1][181], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22102 = mux(_T_21773, bht_bank_rd_data_out[1][182], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22103 = mux(_T_21775, bht_bank_rd_data_out[1][183], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22104 = mux(_T_21777, bht_bank_rd_data_out[1][184], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22105 = mux(_T_21779, bht_bank_rd_data_out[1][185], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22106 = mux(_T_21781, bht_bank_rd_data_out[1][186], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22107 = mux(_T_21783, bht_bank_rd_data_out[1][187], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22108 = mux(_T_21785, bht_bank_rd_data_out[1][188], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22109 = mux(_T_21787, bht_bank_rd_data_out[1][189], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22110 = mux(_T_21789, bht_bank_rd_data_out[1][190], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22111 = mux(_T_21791, bht_bank_rd_data_out[1][191], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22112 = mux(_T_21793, bht_bank_rd_data_out[1][192], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22113 = mux(_T_21795, bht_bank_rd_data_out[1][193], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22114 = mux(_T_21797, bht_bank_rd_data_out[1][194], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22115 = mux(_T_21799, bht_bank_rd_data_out[1][195], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22116 = mux(_T_21801, bht_bank_rd_data_out[1][196], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22117 = mux(_T_21803, bht_bank_rd_data_out[1][197], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22118 = mux(_T_21805, bht_bank_rd_data_out[1][198], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22119 = mux(_T_21807, bht_bank_rd_data_out[1][199], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22120 = mux(_T_21809, bht_bank_rd_data_out[1][200], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22121 = mux(_T_21811, bht_bank_rd_data_out[1][201], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22122 = mux(_T_21813, bht_bank_rd_data_out[1][202], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22123 = mux(_T_21815, bht_bank_rd_data_out[1][203], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22124 = mux(_T_21817, bht_bank_rd_data_out[1][204], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22125 = mux(_T_21819, bht_bank_rd_data_out[1][205], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22126 = mux(_T_21821, bht_bank_rd_data_out[1][206], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22127 = mux(_T_21823, bht_bank_rd_data_out[1][207], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22128 = mux(_T_21825, bht_bank_rd_data_out[1][208], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22129 = mux(_T_21827, bht_bank_rd_data_out[1][209], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22130 = mux(_T_21829, bht_bank_rd_data_out[1][210], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22131 = mux(_T_21831, bht_bank_rd_data_out[1][211], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22132 = mux(_T_21833, bht_bank_rd_data_out[1][212], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22133 = mux(_T_21835, bht_bank_rd_data_out[1][213], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22134 = mux(_T_21837, bht_bank_rd_data_out[1][214], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22135 = mux(_T_21839, bht_bank_rd_data_out[1][215], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22136 = mux(_T_21841, bht_bank_rd_data_out[1][216], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22137 = mux(_T_21843, bht_bank_rd_data_out[1][217], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22138 = mux(_T_21845, bht_bank_rd_data_out[1][218], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22139 = mux(_T_21847, bht_bank_rd_data_out[1][219], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22140 = mux(_T_21849, bht_bank_rd_data_out[1][220], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22141 = mux(_T_21851, bht_bank_rd_data_out[1][221], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22142 = mux(_T_21853, bht_bank_rd_data_out[1][222], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22143 = mux(_T_21855, bht_bank_rd_data_out[1][223], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22144 = mux(_T_21857, bht_bank_rd_data_out[1][224], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22145 = mux(_T_21859, bht_bank_rd_data_out[1][225], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22146 = mux(_T_21861, bht_bank_rd_data_out[1][226], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22147 = mux(_T_21863, bht_bank_rd_data_out[1][227], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22148 = mux(_T_21865, bht_bank_rd_data_out[1][228], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22149 = mux(_T_21867, bht_bank_rd_data_out[1][229], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22150 = mux(_T_21869, bht_bank_rd_data_out[1][230], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22151 = mux(_T_21871, bht_bank_rd_data_out[1][231], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22152 = mux(_T_21873, bht_bank_rd_data_out[1][232], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22153 = mux(_T_21875, bht_bank_rd_data_out[1][233], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22154 = mux(_T_21877, bht_bank_rd_data_out[1][234], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22155 = mux(_T_21879, bht_bank_rd_data_out[1][235], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22156 = mux(_T_21881, bht_bank_rd_data_out[1][236], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22157 = mux(_T_21883, bht_bank_rd_data_out[1][237], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22158 = mux(_T_21885, bht_bank_rd_data_out[1][238], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22159 = mux(_T_21887, bht_bank_rd_data_out[1][239], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22160 = mux(_T_21889, bht_bank_rd_data_out[1][240], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22161 = mux(_T_21891, bht_bank_rd_data_out[1][241], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22162 = mux(_T_21893, bht_bank_rd_data_out[1][242], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22163 = mux(_T_21895, bht_bank_rd_data_out[1][243], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22164 = mux(_T_21897, bht_bank_rd_data_out[1][244], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22165 = mux(_T_21899, bht_bank_rd_data_out[1][245], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22166 = mux(_T_21901, bht_bank_rd_data_out[1][246], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22167 = mux(_T_21903, bht_bank_rd_data_out[1][247], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22168 = mux(_T_21905, bht_bank_rd_data_out[1][248], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22169 = mux(_T_21907, bht_bank_rd_data_out[1][249], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22170 = mux(_T_21909, bht_bank_rd_data_out[1][250], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22171 = mux(_T_21911, bht_bank_rd_data_out[1][251], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22172 = mux(_T_21913, bht_bank_rd_data_out[1][252], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22173 = mux(_T_21915, bht_bank_rd_data_out[1][253], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22174 = mux(_T_21917, bht_bank_rd_data_out[1][254], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22175 = mux(_T_21919, bht_bank_rd_data_out[1][255], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22176 = or(_T_21920, _T_21921) @[Mux.scala 27:72] + node _T_22177 = or(_T_22176, _T_21922) @[Mux.scala 27:72] + node _T_22178 = or(_T_22177, _T_21923) @[Mux.scala 27:72] + node _T_22179 = or(_T_22178, _T_21924) @[Mux.scala 27:72] + node _T_22180 = or(_T_22179, _T_21925) @[Mux.scala 27:72] + node _T_22181 = or(_T_22180, _T_21926) @[Mux.scala 27:72] + node _T_22182 = or(_T_22181, _T_21927) @[Mux.scala 27:72] + node _T_22183 = or(_T_22182, _T_21928) @[Mux.scala 27:72] + node _T_22184 = or(_T_22183, _T_21929) @[Mux.scala 27:72] + node _T_22185 = or(_T_22184, _T_21930) @[Mux.scala 27:72] + node _T_22186 = or(_T_22185, _T_21931) @[Mux.scala 27:72] + node _T_22187 = or(_T_22186, _T_21932) @[Mux.scala 27:72] + node _T_22188 = or(_T_22187, _T_21933) @[Mux.scala 27:72] + node _T_22189 = or(_T_22188, _T_21934) @[Mux.scala 27:72] + node _T_22190 = or(_T_22189, _T_21935) @[Mux.scala 27:72] + node _T_22191 = or(_T_22190, _T_21936) @[Mux.scala 27:72] + node _T_22192 = or(_T_22191, _T_21937) @[Mux.scala 27:72] + node _T_22193 = or(_T_22192, _T_21938) @[Mux.scala 27:72] + node _T_22194 = or(_T_22193, _T_21939) @[Mux.scala 27:72] + node _T_22195 = or(_T_22194, _T_21940) @[Mux.scala 27:72] + node _T_22196 = or(_T_22195, _T_21941) @[Mux.scala 27:72] + node _T_22197 = or(_T_22196, _T_21942) @[Mux.scala 27:72] + node _T_22198 = or(_T_22197, _T_21943) @[Mux.scala 27:72] + node _T_22199 = or(_T_22198, _T_21944) @[Mux.scala 27:72] + node _T_22200 = or(_T_22199, _T_21945) @[Mux.scala 27:72] + node _T_22201 = or(_T_22200, _T_21946) @[Mux.scala 27:72] + node _T_22202 = or(_T_22201, _T_21947) @[Mux.scala 27:72] + node _T_22203 = or(_T_22202, _T_21948) @[Mux.scala 27:72] + node _T_22204 = or(_T_22203, _T_21949) @[Mux.scala 27:72] + node _T_22205 = or(_T_22204, _T_21950) @[Mux.scala 27:72] + node _T_22206 = or(_T_22205, _T_21951) @[Mux.scala 27:72] + node _T_22207 = or(_T_22206, _T_21952) @[Mux.scala 27:72] + node _T_22208 = or(_T_22207, _T_21953) @[Mux.scala 27:72] + node _T_22209 = or(_T_22208, _T_21954) @[Mux.scala 27:72] + node _T_22210 = or(_T_22209, _T_21955) @[Mux.scala 27:72] + node _T_22211 = or(_T_22210, _T_21956) @[Mux.scala 27:72] + node _T_22212 = or(_T_22211, _T_21957) @[Mux.scala 27:72] + node _T_22213 = or(_T_22212, _T_21958) @[Mux.scala 27:72] + node _T_22214 = or(_T_22213, _T_21959) @[Mux.scala 27:72] + node _T_22215 = or(_T_22214, _T_21960) @[Mux.scala 27:72] + node _T_22216 = or(_T_22215, _T_21961) @[Mux.scala 27:72] + node _T_22217 = or(_T_22216, _T_21962) @[Mux.scala 27:72] + node _T_22218 = or(_T_22217, _T_21963) @[Mux.scala 27:72] + node _T_22219 = or(_T_22218, _T_21964) @[Mux.scala 27:72] + node _T_22220 = or(_T_22219, _T_21965) @[Mux.scala 27:72] + node _T_22221 = or(_T_22220, _T_21966) @[Mux.scala 27:72] + node _T_22222 = or(_T_22221, _T_21967) @[Mux.scala 27:72] + node _T_22223 = or(_T_22222, _T_21968) @[Mux.scala 27:72] + node _T_22224 = or(_T_22223, _T_21969) @[Mux.scala 27:72] + node _T_22225 = or(_T_22224, _T_21970) @[Mux.scala 27:72] + node _T_22226 = or(_T_22225, _T_21971) @[Mux.scala 27:72] + node _T_22227 = or(_T_22226, _T_21972) @[Mux.scala 27:72] + node _T_22228 = or(_T_22227, _T_21973) @[Mux.scala 27:72] + node _T_22229 = or(_T_22228, _T_21974) @[Mux.scala 27:72] + node _T_22230 = or(_T_22229, _T_21975) @[Mux.scala 27:72] + node _T_22231 = or(_T_22230, _T_21976) @[Mux.scala 27:72] + node _T_22232 = or(_T_22231, _T_21977) @[Mux.scala 27:72] + node _T_22233 = or(_T_22232, _T_21978) @[Mux.scala 27:72] + node _T_22234 = or(_T_22233, _T_21979) @[Mux.scala 27:72] + node _T_22235 = or(_T_22234, _T_21980) @[Mux.scala 27:72] + node _T_22236 = or(_T_22235, _T_21981) @[Mux.scala 27:72] + node _T_22237 = or(_T_22236, _T_21982) @[Mux.scala 27:72] + node _T_22238 = or(_T_22237, _T_21983) @[Mux.scala 27:72] + node _T_22239 = or(_T_22238, _T_21984) @[Mux.scala 27:72] + node _T_22240 = or(_T_22239, _T_21985) @[Mux.scala 27:72] + node _T_22241 = or(_T_22240, _T_21986) @[Mux.scala 27:72] + node _T_22242 = or(_T_22241, _T_21987) @[Mux.scala 27:72] + node _T_22243 = or(_T_22242, _T_21988) @[Mux.scala 27:72] + node _T_22244 = or(_T_22243, _T_21989) @[Mux.scala 27:72] + node _T_22245 = or(_T_22244, _T_21990) @[Mux.scala 27:72] + node _T_22246 = or(_T_22245, _T_21991) @[Mux.scala 27:72] + node _T_22247 = or(_T_22246, _T_21992) @[Mux.scala 27:72] + node _T_22248 = or(_T_22247, _T_21993) @[Mux.scala 27:72] + node _T_22249 = or(_T_22248, _T_21994) @[Mux.scala 27:72] + node _T_22250 = or(_T_22249, _T_21995) @[Mux.scala 27:72] + node _T_22251 = or(_T_22250, _T_21996) @[Mux.scala 27:72] + node _T_22252 = or(_T_22251, _T_21997) @[Mux.scala 27:72] + node _T_22253 = or(_T_22252, _T_21998) @[Mux.scala 27:72] + node _T_22254 = or(_T_22253, _T_21999) @[Mux.scala 27:72] + node _T_22255 = or(_T_22254, _T_22000) @[Mux.scala 27:72] + node _T_22256 = or(_T_22255, _T_22001) @[Mux.scala 27:72] + node _T_22257 = or(_T_22256, _T_22002) @[Mux.scala 27:72] + node _T_22258 = or(_T_22257, _T_22003) @[Mux.scala 27:72] + node _T_22259 = or(_T_22258, _T_22004) @[Mux.scala 27:72] + node _T_22260 = or(_T_22259, _T_22005) @[Mux.scala 27:72] + node _T_22261 = or(_T_22260, _T_22006) @[Mux.scala 27:72] + node _T_22262 = or(_T_22261, _T_22007) @[Mux.scala 27:72] + node _T_22263 = or(_T_22262, _T_22008) @[Mux.scala 27:72] + node _T_22264 = or(_T_22263, _T_22009) @[Mux.scala 27:72] + node _T_22265 = or(_T_22264, _T_22010) @[Mux.scala 27:72] + node _T_22266 = or(_T_22265, _T_22011) @[Mux.scala 27:72] + node _T_22267 = or(_T_22266, _T_22012) @[Mux.scala 27:72] + node _T_22268 = or(_T_22267, _T_22013) @[Mux.scala 27:72] + node _T_22269 = or(_T_22268, _T_22014) @[Mux.scala 27:72] + node _T_22270 = or(_T_22269, _T_22015) @[Mux.scala 27:72] + node _T_22271 = or(_T_22270, _T_22016) @[Mux.scala 27:72] + node _T_22272 = or(_T_22271, _T_22017) @[Mux.scala 27:72] + node _T_22273 = or(_T_22272, _T_22018) @[Mux.scala 27:72] + node _T_22274 = or(_T_22273, _T_22019) @[Mux.scala 27:72] + node _T_22275 = or(_T_22274, _T_22020) @[Mux.scala 27:72] + node _T_22276 = or(_T_22275, _T_22021) @[Mux.scala 27:72] + node _T_22277 = or(_T_22276, _T_22022) @[Mux.scala 27:72] + node _T_22278 = or(_T_22277, _T_22023) @[Mux.scala 27:72] + node _T_22279 = or(_T_22278, _T_22024) @[Mux.scala 27:72] + node _T_22280 = or(_T_22279, _T_22025) @[Mux.scala 27:72] + node _T_22281 = or(_T_22280, _T_22026) @[Mux.scala 27:72] + node _T_22282 = or(_T_22281, _T_22027) @[Mux.scala 27:72] + node _T_22283 = or(_T_22282, _T_22028) @[Mux.scala 27:72] + node _T_22284 = or(_T_22283, _T_22029) @[Mux.scala 27:72] + node _T_22285 = or(_T_22284, _T_22030) @[Mux.scala 27:72] + node _T_22286 = or(_T_22285, _T_22031) @[Mux.scala 27:72] + node _T_22287 = or(_T_22286, _T_22032) @[Mux.scala 27:72] + node _T_22288 = or(_T_22287, _T_22033) @[Mux.scala 27:72] + node _T_22289 = or(_T_22288, _T_22034) @[Mux.scala 27:72] + node _T_22290 = or(_T_22289, _T_22035) @[Mux.scala 27:72] + node _T_22291 = or(_T_22290, _T_22036) @[Mux.scala 27:72] + node _T_22292 = or(_T_22291, _T_22037) @[Mux.scala 27:72] + node _T_22293 = or(_T_22292, _T_22038) @[Mux.scala 27:72] + node _T_22294 = or(_T_22293, _T_22039) @[Mux.scala 27:72] + node _T_22295 = or(_T_22294, _T_22040) @[Mux.scala 27:72] + node _T_22296 = or(_T_22295, _T_22041) @[Mux.scala 27:72] + node _T_22297 = or(_T_22296, _T_22042) @[Mux.scala 27:72] + node _T_22298 = or(_T_22297, _T_22043) @[Mux.scala 27:72] + node _T_22299 = or(_T_22298, _T_22044) @[Mux.scala 27:72] + node _T_22300 = or(_T_22299, _T_22045) @[Mux.scala 27:72] + node _T_22301 = or(_T_22300, _T_22046) @[Mux.scala 27:72] + node _T_22302 = or(_T_22301, _T_22047) @[Mux.scala 27:72] + node _T_22303 = or(_T_22302, _T_22048) @[Mux.scala 27:72] + node _T_22304 = or(_T_22303, _T_22049) @[Mux.scala 27:72] + node _T_22305 = or(_T_22304, _T_22050) @[Mux.scala 27:72] + node _T_22306 = or(_T_22305, _T_22051) @[Mux.scala 27:72] + node _T_22307 = or(_T_22306, _T_22052) @[Mux.scala 27:72] + node _T_22308 = or(_T_22307, _T_22053) @[Mux.scala 27:72] + node _T_22309 = or(_T_22308, _T_22054) @[Mux.scala 27:72] + node _T_22310 = or(_T_22309, _T_22055) @[Mux.scala 27:72] + node _T_22311 = or(_T_22310, _T_22056) @[Mux.scala 27:72] + node _T_22312 = or(_T_22311, _T_22057) @[Mux.scala 27:72] + node _T_22313 = or(_T_22312, _T_22058) @[Mux.scala 27:72] + node _T_22314 = or(_T_22313, _T_22059) @[Mux.scala 27:72] + node _T_22315 = or(_T_22314, _T_22060) @[Mux.scala 27:72] + node _T_22316 = or(_T_22315, _T_22061) @[Mux.scala 27:72] + node _T_22317 = or(_T_22316, _T_22062) @[Mux.scala 27:72] + node _T_22318 = or(_T_22317, _T_22063) @[Mux.scala 27:72] + node _T_22319 = or(_T_22318, _T_22064) @[Mux.scala 27:72] + node _T_22320 = or(_T_22319, _T_22065) @[Mux.scala 27:72] + node _T_22321 = or(_T_22320, _T_22066) @[Mux.scala 27:72] + node _T_22322 = or(_T_22321, _T_22067) @[Mux.scala 27:72] + node _T_22323 = or(_T_22322, _T_22068) @[Mux.scala 27:72] + node _T_22324 = or(_T_22323, _T_22069) @[Mux.scala 27:72] + node _T_22325 = or(_T_22324, _T_22070) @[Mux.scala 27:72] + node _T_22326 = or(_T_22325, _T_22071) @[Mux.scala 27:72] + node _T_22327 = or(_T_22326, _T_22072) @[Mux.scala 27:72] + node _T_22328 = or(_T_22327, _T_22073) @[Mux.scala 27:72] + node _T_22329 = or(_T_22328, _T_22074) @[Mux.scala 27:72] + node _T_22330 = or(_T_22329, _T_22075) @[Mux.scala 27:72] + node _T_22331 = or(_T_22330, _T_22076) @[Mux.scala 27:72] + node _T_22332 = or(_T_22331, _T_22077) @[Mux.scala 27:72] + node _T_22333 = or(_T_22332, _T_22078) @[Mux.scala 27:72] + node _T_22334 = or(_T_22333, _T_22079) @[Mux.scala 27:72] + node _T_22335 = or(_T_22334, _T_22080) @[Mux.scala 27:72] + node _T_22336 = or(_T_22335, _T_22081) @[Mux.scala 27:72] + node _T_22337 = or(_T_22336, _T_22082) @[Mux.scala 27:72] + node _T_22338 = or(_T_22337, _T_22083) @[Mux.scala 27:72] + node _T_22339 = or(_T_22338, _T_22084) @[Mux.scala 27:72] + node _T_22340 = or(_T_22339, _T_22085) @[Mux.scala 27:72] + node _T_22341 = or(_T_22340, _T_22086) @[Mux.scala 27:72] + node _T_22342 = or(_T_22341, _T_22087) @[Mux.scala 27:72] + node _T_22343 = or(_T_22342, _T_22088) @[Mux.scala 27:72] + node _T_22344 = or(_T_22343, _T_22089) @[Mux.scala 27:72] + node _T_22345 = or(_T_22344, _T_22090) @[Mux.scala 27:72] + node _T_22346 = or(_T_22345, _T_22091) @[Mux.scala 27:72] + node _T_22347 = or(_T_22346, _T_22092) @[Mux.scala 27:72] + node _T_22348 = or(_T_22347, _T_22093) @[Mux.scala 27:72] + node _T_22349 = or(_T_22348, _T_22094) @[Mux.scala 27:72] + node _T_22350 = or(_T_22349, _T_22095) @[Mux.scala 27:72] + node _T_22351 = or(_T_22350, _T_22096) @[Mux.scala 27:72] + node _T_22352 = or(_T_22351, _T_22097) @[Mux.scala 27:72] + node _T_22353 = or(_T_22352, _T_22098) @[Mux.scala 27:72] + node _T_22354 = or(_T_22353, _T_22099) @[Mux.scala 27:72] + node _T_22355 = or(_T_22354, _T_22100) @[Mux.scala 27:72] + node _T_22356 = or(_T_22355, _T_22101) @[Mux.scala 27:72] + node _T_22357 = or(_T_22356, _T_22102) @[Mux.scala 27:72] + node _T_22358 = or(_T_22357, _T_22103) @[Mux.scala 27:72] + node _T_22359 = or(_T_22358, _T_22104) @[Mux.scala 27:72] + node _T_22360 = or(_T_22359, _T_22105) @[Mux.scala 27:72] + node _T_22361 = or(_T_22360, _T_22106) @[Mux.scala 27:72] + node _T_22362 = or(_T_22361, _T_22107) @[Mux.scala 27:72] + node _T_22363 = or(_T_22362, _T_22108) @[Mux.scala 27:72] + node _T_22364 = or(_T_22363, _T_22109) @[Mux.scala 27:72] + node _T_22365 = or(_T_22364, _T_22110) @[Mux.scala 27:72] + node _T_22366 = or(_T_22365, _T_22111) @[Mux.scala 27:72] + node _T_22367 = or(_T_22366, _T_22112) @[Mux.scala 27:72] + node _T_22368 = or(_T_22367, _T_22113) @[Mux.scala 27:72] + node _T_22369 = or(_T_22368, _T_22114) @[Mux.scala 27:72] + node _T_22370 = or(_T_22369, _T_22115) @[Mux.scala 27:72] + node _T_22371 = or(_T_22370, _T_22116) @[Mux.scala 27:72] + node _T_22372 = or(_T_22371, _T_22117) @[Mux.scala 27:72] + node _T_22373 = or(_T_22372, _T_22118) @[Mux.scala 27:72] + node _T_22374 = or(_T_22373, _T_22119) @[Mux.scala 27:72] + node _T_22375 = or(_T_22374, _T_22120) @[Mux.scala 27:72] + node _T_22376 = or(_T_22375, _T_22121) @[Mux.scala 27:72] + node _T_22377 = or(_T_22376, _T_22122) @[Mux.scala 27:72] + node _T_22378 = or(_T_22377, _T_22123) @[Mux.scala 27:72] + node _T_22379 = or(_T_22378, _T_22124) @[Mux.scala 27:72] + node _T_22380 = or(_T_22379, _T_22125) @[Mux.scala 27:72] + node _T_22381 = or(_T_22380, _T_22126) @[Mux.scala 27:72] + node _T_22382 = or(_T_22381, _T_22127) @[Mux.scala 27:72] + node _T_22383 = or(_T_22382, _T_22128) @[Mux.scala 27:72] + node _T_22384 = or(_T_22383, _T_22129) @[Mux.scala 27:72] + node _T_22385 = or(_T_22384, _T_22130) @[Mux.scala 27:72] + node _T_22386 = or(_T_22385, _T_22131) @[Mux.scala 27:72] + node _T_22387 = or(_T_22386, _T_22132) @[Mux.scala 27:72] + node _T_22388 = or(_T_22387, _T_22133) @[Mux.scala 27:72] + node _T_22389 = or(_T_22388, _T_22134) @[Mux.scala 27:72] + node _T_22390 = or(_T_22389, _T_22135) @[Mux.scala 27:72] + node _T_22391 = or(_T_22390, _T_22136) @[Mux.scala 27:72] + node _T_22392 = or(_T_22391, _T_22137) @[Mux.scala 27:72] + node _T_22393 = or(_T_22392, _T_22138) @[Mux.scala 27:72] + node _T_22394 = or(_T_22393, _T_22139) @[Mux.scala 27:72] + node _T_22395 = or(_T_22394, _T_22140) @[Mux.scala 27:72] + node _T_22396 = or(_T_22395, _T_22141) @[Mux.scala 27:72] + node _T_22397 = or(_T_22396, _T_22142) @[Mux.scala 27:72] + node _T_22398 = or(_T_22397, _T_22143) @[Mux.scala 27:72] + node _T_22399 = or(_T_22398, _T_22144) @[Mux.scala 27:72] + node _T_22400 = or(_T_22399, _T_22145) @[Mux.scala 27:72] + node _T_22401 = or(_T_22400, _T_22146) @[Mux.scala 27:72] + node _T_22402 = or(_T_22401, _T_22147) @[Mux.scala 27:72] + node _T_22403 = or(_T_22402, _T_22148) @[Mux.scala 27:72] + node _T_22404 = or(_T_22403, _T_22149) @[Mux.scala 27:72] + node _T_22405 = or(_T_22404, _T_22150) @[Mux.scala 27:72] + node _T_22406 = or(_T_22405, _T_22151) @[Mux.scala 27:72] + node _T_22407 = or(_T_22406, _T_22152) @[Mux.scala 27:72] + node _T_22408 = or(_T_22407, _T_22153) @[Mux.scala 27:72] + node _T_22409 = or(_T_22408, _T_22154) @[Mux.scala 27:72] + node _T_22410 = or(_T_22409, _T_22155) @[Mux.scala 27:72] + node _T_22411 = or(_T_22410, _T_22156) @[Mux.scala 27:72] + node _T_22412 = or(_T_22411, _T_22157) @[Mux.scala 27:72] + node _T_22413 = or(_T_22412, _T_22158) @[Mux.scala 27:72] + node _T_22414 = or(_T_22413, _T_22159) @[Mux.scala 27:72] + node _T_22415 = or(_T_22414, _T_22160) @[Mux.scala 27:72] + node _T_22416 = or(_T_22415, _T_22161) @[Mux.scala 27:72] + node _T_22417 = or(_T_22416, _T_22162) @[Mux.scala 27:72] + node _T_22418 = or(_T_22417, _T_22163) @[Mux.scala 27:72] + node _T_22419 = or(_T_22418, _T_22164) @[Mux.scala 27:72] + node _T_22420 = or(_T_22419, _T_22165) @[Mux.scala 27:72] + node _T_22421 = or(_T_22420, _T_22166) @[Mux.scala 27:72] + node _T_22422 = or(_T_22421, _T_22167) @[Mux.scala 27:72] + node _T_22423 = or(_T_22422, _T_22168) @[Mux.scala 27:72] + node _T_22424 = or(_T_22423, _T_22169) @[Mux.scala 27:72] + node _T_22425 = or(_T_22424, _T_22170) @[Mux.scala 27:72] + node _T_22426 = or(_T_22425, _T_22171) @[Mux.scala 27:72] + node _T_22427 = or(_T_22426, _T_22172) @[Mux.scala 27:72] + node _T_22428 = or(_T_22427, _T_22173) @[Mux.scala 27:72] + node _T_22429 = or(_T_22428, _T_22174) @[Mux.scala 27:72] + node _T_22430 = or(_T_22429, _T_22175) @[Mux.scala 27:72] + wire _T_22431 : UInt<2> @[Mux.scala 27:72] + _T_22431 <= _T_22430 @[Mux.scala 27:72] + bht_bank1_rd_data_f <= _T_22431 @[ifu_bp_ctl.scala 455:23] + node _T_22432 = eq(bht_rd_addr_hashed_p1_f, UInt<1>("h00")) @[ifu_bp_ctl.scala 456:85] + node _T_22433 = bits(_T_22432, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22434 = eq(bht_rd_addr_hashed_p1_f, UInt<1>("h01")) @[ifu_bp_ctl.scala 456:85] + node _T_22435 = bits(_T_22434, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22436 = eq(bht_rd_addr_hashed_p1_f, UInt<2>("h02")) @[ifu_bp_ctl.scala 456:85] + node _T_22437 = bits(_T_22436, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22438 = eq(bht_rd_addr_hashed_p1_f, UInt<2>("h03")) @[ifu_bp_ctl.scala 456:85] + node _T_22439 = bits(_T_22438, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22440 = eq(bht_rd_addr_hashed_p1_f, UInt<3>("h04")) @[ifu_bp_ctl.scala 456:85] + node _T_22441 = bits(_T_22440, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22442 = eq(bht_rd_addr_hashed_p1_f, UInt<3>("h05")) @[ifu_bp_ctl.scala 456:85] + node _T_22443 = bits(_T_22442, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22444 = eq(bht_rd_addr_hashed_p1_f, UInt<3>("h06")) @[ifu_bp_ctl.scala 456:85] + node _T_22445 = bits(_T_22444, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22446 = eq(bht_rd_addr_hashed_p1_f, UInt<3>("h07")) @[ifu_bp_ctl.scala 456:85] + node _T_22447 = bits(_T_22446, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22448 = eq(bht_rd_addr_hashed_p1_f, UInt<4>("h08")) @[ifu_bp_ctl.scala 456:85] + node _T_22449 = bits(_T_22448, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22450 = eq(bht_rd_addr_hashed_p1_f, UInt<4>("h09")) @[ifu_bp_ctl.scala 456:85] + node _T_22451 = bits(_T_22450, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22452 = eq(bht_rd_addr_hashed_p1_f, UInt<4>("h0a")) @[ifu_bp_ctl.scala 456:85] + node _T_22453 = bits(_T_22452, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22454 = eq(bht_rd_addr_hashed_p1_f, UInt<4>("h0b")) @[ifu_bp_ctl.scala 456:85] + node _T_22455 = bits(_T_22454, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22456 = eq(bht_rd_addr_hashed_p1_f, UInt<4>("h0c")) @[ifu_bp_ctl.scala 456:85] + node _T_22457 = bits(_T_22456, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22458 = eq(bht_rd_addr_hashed_p1_f, UInt<4>("h0d")) @[ifu_bp_ctl.scala 456:85] + node _T_22459 = bits(_T_22458, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22460 = eq(bht_rd_addr_hashed_p1_f, UInt<4>("h0e")) @[ifu_bp_ctl.scala 456:85] + node _T_22461 = bits(_T_22460, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22462 = eq(bht_rd_addr_hashed_p1_f, UInt<4>("h0f")) @[ifu_bp_ctl.scala 456:85] + node _T_22463 = bits(_T_22462, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22464 = eq(bht_rd_addr_hashed_p1_f, UInt<5>("h010")) @[ifu_bp_ctl.scala 456:85] + node _T_22465 = bits(_T_22464, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22466 = eq(bht_rd_addr_hashed_p1_f, UInt<5>("h011")) @[ifu_bp_ctl.scala 456:85] + node _T_22467 = bits(_T_22466, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22468 = eq(bht_rd_addr_hashed_p1_f, UInt<5>("h012")) @[ifu_bp_ctl.scala 456:85] + node _T_22469 = bits(_T_22468, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22470 = eq(bht_rd_addr_hashed_p1_f, UInt<5>("h013")) @[ifu_bp_ctl.scala 456:85] + node _T_22471 = bits(_T_22470, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22472 = eq(bht_rd_addr_hashed_p1_f, UInt<5>("h014")) @[ifu_bp_ctl.scala 456:85] + node _T_22473 = bits(_T_22472, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22474 = eq(bht_rd_addr_hashed_p1_f, UInt<5>("h015")) @[ifu_bp_ctl.scala 456:85] + node _T_22475 = bits(_T_22474, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22476 = eq(bht_rd_addr_hashed_p1_f, UInt<5>("h016")) @[ifu_bp_ctl.scala 456:85] + node _T_22477 = bits(_T_22476, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22478 = eq(bht_rd_addr_hashed_p1_f, UInt<5>("h017")) @[ifu_bp_ctl.scala 456:85] + node _T_22479 = bits(_T_22478, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22480 = eq(bht_rd_addr_hashed_p1_f, UInt<5>("h018")) @[ifu_bp_ctl.scala 456:85] + node _T_22481 = bits(_T_22480, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22482 = eq(bht_rd_addr_hashed_p1_f, UInt<5>("h019")) @[ifu_bp_ctl.scala 456:85] + node _T_22483 = bits(_T_22482, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22484 = eq(bht_rd_addr_hashed_p1_f, UInt<5>("h01a")) @[ifu_bp_ctl.scala 456:85] + node _T_22485 = bits(_T_22484, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22486 = eq(bht_rd_addr_hashed_p1_f, UInt<5>("h01b")) @[ifu_bp_ctl.scala 456:85] + node _T_22487 = bits(_T_22486, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22488 = eq(bht_rd_addr_hashed_p1_f, UInt<5>("h01c")) @[ifu_bp_ctl.scala 456:85] + node _T_22489 = bits(_T_22488, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22490 = eq(bht_rd_addr_hashed_p1_f, UInt<5>("h01d")) @[ifu_bp_ctl.scala 456:85] + node _T_22491 = bits(_T_22490, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22492 = eq(bht_rd_addr_hashed_p1_f, UInt<5>("h01e")) @[ifu_bp_ctl.scala 456:85] + node _T_22493 = bits(_T_22492, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22494 = eq(bht_rd_addr_hashed_p1_f, UInt<5>("h01f")) @[ifu_bp_ctl.scala 456:85] + node _T_22495 = bits(_T_22494, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22496 = eq(bht_rd_addr_hashed_p1_f, UInt<6>("h020")) @[ifu_bp_ctl.scala 456:85] + node _T_22497 = bits(_T_22496, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22498 = eq(bht_rd_addr_hashed_p1_f, UInt<6>("h021")) @[ifu_bp_ctl.scala 456:85] + node _T_22499 = bits(_T_22498, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22500 = eq(bht_rd_addr_hashed_p1_f, UInt<6>("h022")) @[ifu_bp_ctl.scala 456:85] + node _T_22501 = bits(_T_22500, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22502 = eq(bht_rd_addr_hashed_p1_f, UInt<6>("h023")) @[ifu_bp_ctl.scala 456:85] + node _T_22503 = bits(_T_22502, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22504 = eq(bht_rd_addr_hashed_p1_f, UInt<6>("h024")) @[ifu_bp_ctl.scala 456:85] + node _T_22505 = bits(_T_22504, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22506 = eq(bht_rd_addr_hashed_p1_f, UInt<6>("h025")) @[ifu_bp_ctl.scala 456:85] + node _T_22507 = bits(_T_22506, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22508 = eq(bht_rd_addr_hashed_p1_f, UInt<6>("h026")) @[ifu_bp_ctl.scala 456:85] + node _T_22509 = bits(_T_22508, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22510 = eq(bht_rd_addr_hashed_p1_f, UInt<6>("h027")) @[ifu_bp_ctl.scala 456:85] + node _T_22511 = bits(_T_22510, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22512 = eq(bht_rd_addr_hashed_p1_f, UInt<6>("h028")) @[ifu_bp_ctl.scala 456:85] + node _T_22513 = bits(_T_22512, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22514 = eq(bht_rd_addr_hashed_p1_f, UInt<6>("h029")) @[ifu_bp_ctl.scala 456:85] + node _T_22515 = bits(_T_22514, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22516 = eq(bht_rd_addr_hashed_p1_f, UInt<6>("h02a")) @[ifu_bp_ctl.scala 456:85] + node _T_22517 = bits(_T_22516, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22518 = eq(bht_rd_addr_hashed_p1_f, UInt<6>("h02b")) @[ifu_bp_ctl.scala 456:85] + node _T_22519 = bits(_T_22518, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22520 = eq(bht_rd_addr_hashed_p1_f, UInt<6>("h02c")) @[ifu_bp_ctl.scala 456:85] + node _T_22521 = bits(_T_22520, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22522 = eq(bht_rd_addr_hashed_p1_f, UInt<6>("h02d")) @[ifu_bp_ctl.scala 456:85] + node _T_22523 = bits(_T_22522, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22524 = eq(bht_rd_addr_hashed_p1_f, UInt<6>("h02e")) @[ifu_bp_ctl.scala 456:85] + node _T_22525 = bits(_T_22524, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22526 = eq(bht_rd_addr_hashed_p1_f, UInt<6>("h02f")) @[ifu_bp_ctl.scala 456:85] + node _T_22527 = bits(_T_22526, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22528 = eq(bht_rd_addr_hashed_p1_f, UInt<6>("h030")) @[ifu_bp_ctl.scala 456:85] + node _T_22529 = bits(_T_22528, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22530 = eq(bht_rd_addr_hashed_p1_f, UInt<6>("h031")) @[ifu_bp_ctl.scala 456:85] + node _T_22531 = bits(_T_22530, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22532 = eq(bht_rd_addr_hashed_p1_f, UInt<6>("h032")) @[ifu_bp_ctl.scala 456:85] + node _T_22533 = bits(_T_22532, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22534 = eq(bht_rd_addr_hashed_p1_f, UInt<6>("h033")) @[ifu_bp_ctl.scala 456:85] + node _T_22535 = bits(_T_22534, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22536 = eq(bht_rd_addr_hashed_p1_f, UInt<6>("h034")) @[ifu_bp_ctl.scala 456:85] + node _T_22537 = bits(_T_22536, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22538 = eq(bht_rd_addr_hashed_p1_f, UInt<6>("h035")) @[ifu_bp_ctl.scala 456:85] + node _T_22539 = bits(_T_22538, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22540 = eq(bht_rd_addr_hashed_p1_f, UInt<6>("h036")) @[ifu_bp_ctl.scala 456:85] + node _T_22541 = bits(_T_22540, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22542 = eq(bht_rd_addr_hashed_p1_f, UInt<6>("h037")) @[ifu_bp_ctl.scala 456:85] + node _T_22543 = bits(_T_22542, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22544 = eq(bht_rd_addr_hashed_p1_f, UInt<6>("h038")) @[ifu_bp_ctl.scala 456:85] + node _T_22545 = bits(_T_22544, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22546 = eq(bht_rd_addr_hashed_p1_f, UInt<6>("h039")) @[ifu_bp_ctl.scala 456:85] + node _T_22547 = bits(_T_22546, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22548 = eq(bht_rd_addr_hashed_p1_f, UInt<6>("h03a")) @[ifu_bp_ctl.scala 456:85] + node _T_22549 = bits(_T_22548, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22550 = eq(bht_rd_addr_hashed_p1_f, UInt<6>("h03b")) @[ifu_bp_ctl.scala 456:85] + node _T_22551 = bits(_T_22550, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22552 = eq(bht_rd_addr_hashed_p1_f, UInt<6>("h03c")) @[ifu_bp_ctl.scala 456:85] + node _T_22553 = bits(_T_22552, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22554 = eq(bht_rd_addr_hashed_p1_f, UInt<6>("h03d")) @[ifu_bp_ctl.scala 456:85] + node _T_22555 = bits(_T_22554, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22556 = eq(bht_rd_addr_hashed_p1_f, UInt<6>("h03e")) @[ifu_bp_ctl.scala 456:85] + node _T_22557 = bits(_T_22556, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22558 = eq(bht_rd_addr_hashed_p1_f, UInt<6>("h03f")) @[ifu_bp_ctl.scala 456:85] + node _T_22559 = bits(_T_22558, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22560 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h040")) @[ifu_bp_ctl.scala 456:85] + node _T_22561 = bits(_T_22560, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22562 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h041")) @[ifu_bp_ctl.scala 456:85] + node _T_22563 = bits(_T_22562, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22564 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h042")) @[ifu_bp_ctl.scala 456:85] + node _T_22565 = bits(_T_22564, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22566 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h043")) @[ifu_bp_ctl.scala 456:85] + node _T_22567 = bits(_T_22566, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22568 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h044")) @[ifu_bp_ctl.scala 456:85] + node _T_22569 = bits(_T_22568, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22570 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h045")) @[ifu_bp_ctl.scala 456:85] + node _T_22571 = bits(_T_22570, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22572 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h046")) @[ifu_bp_ctl.scala 456:85] + node _T_22573 = bits(_T_22572, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22574 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h047")) @[ifu_bp_ctl.scala 456:85] + node _T_22575 = bits(_T_22574, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22576 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h048")) @[ifu_bp_ctl.scala 456:85] + node _T_22577 = bits(_T_22576, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22578 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h049")) @[ifu_bp_ctl.scala 456:85] + node _T_22579 = bits(_T_22578, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22580 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h04a")) @[ifu_bp_ctl.scala 456:85] + node _T_22581 = bits(_T_22580, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22582 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h04b")) @[ifu_bp_ctl.scala 456:85] + node _T_22583 = bits(_T_22582, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22584 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h04c")) @[ifu_bp_ctl.scala 456:85] + node _T_22585 = bits(_T_22584, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22586 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h04d")) @[ifu_bp_ctl.scala 456:85] + node _T_22587 = bits(_T_22586, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22588 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h04e")) @[ifu_bp_ctl.scala 456:85] + node _T_22589 = bits(_T_22588, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22590 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h04f")) @[ifu_bp_ctl.scala 456:85] + node _T_22591 = bits(_T_22590, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22592 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h050")) @[ifu_bp_ctl.scala 456:85] + node _T_22593 = bits(_T_22592, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22594 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h051")) @[ifu_bp_ctl.scala 456:85] + node _T_22595 = bits(_T_22594, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22596 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h052")) @[ifu_bp_ctl.scala 456:85] + node _T_22597 = bits(_T_22596, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22598 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h053")) @[ifu_bp_ctl.scala 456:85] + node _T_22599 = bits(_T_22598, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22600 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h054")) @[ifu_bp_ctl.scala 456:85] + node _T_22601 = bits(_T_22600, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22602 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h055")) @[ifu_bp_ctl.scala 456:85] + node _T_22603 = bits(_T_22602, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22604 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h056")) @[ifu_bp_ctl.scala 456:85] + node _T_22605 = bits(_T_22604, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22606 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h057")) @[ifu_bp_ctl.scala 456:85] + node _T_22607 = bits(_T_22606, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22608 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h058")) @[ifu_bp_ctl.scala 456:85] + node _T_22609 = bits(_T_22608, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22610 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h059")) @[ifu_bp_ctl.scala 456:85] + node _T_22611 = bits(_T_22610, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22612 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h05a")) @[ifu_bp_ctl.scala 456:85] + node _T_22613 = bits(_T_22612, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22614 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h05b")) @[ifu_bp_ctl.scala 456:85] + node _T_22615 = bits(_T_22614, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22616 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h05c")) @[ifu_bp_ctl.scala 456:85] + node _T_22617 = bits(_T_22616, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22618 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h05d")) @[ifu_bp_ctl.scala 456:85] + node _T_22619 = bits(_T_22618, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22620 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h05e")) @[ifu_bp_ctl.scala 456:85] + node _T_22621 = bits(_T_22620, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22622 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h05f")) @[ifu_bp_ctl.scala 456:85] + node _T_22623 = bits(_T_22622, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22624 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h060")) @[ifu_bp_ctl.scala 456:85] + node _T_22625 = bits(_T_22624, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22626 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h061")) @[ifu_bp_ctl.scala 456:85] + node _T_22627 = bits(_T_22626, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22628 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h062")) @[ifu_bp_ctl.scala 456:85] + node _T_22629 = bits(_T_22628, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22630 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h063")) @[ifu_bp_ctl.scala 456:85] + node _T_22631 = bits(_T_22630, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22632 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h064")) @[ifu_bp_ctl.scala 456:85] + node _T_22633 = bits(_T_22632, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22634 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h065")) @[ifu_bp_ctl.scala 456:85] + node _T_22635 = bits(_T_22634, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22636 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h066")) @[ifu_bp_ctl.scala 456:85] + node _T_22637 = bits(_T_22636, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22638 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h067")) @[ifu_bp_ctl.scala 456:85] + node _T_22639 = bits(_T_22638, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22640 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h068")) @[ifu_bp_ctl.scala 456:85] + node _T_22641 = bits(_T_22640, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22642 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h069")) @[ifu_bp_ctl.scala 456:85] + node _T_22643 = bits(_T_22642, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22644 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h06a")) @[ifu_bp_ctl.scala 456:85] + node _T_22645 = bits(_T_22644, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22646 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h06b")) @[ifu_bp_ctl.scala 456:85] + node _T_22647 = bits(_T_22646, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22648 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h06c")) @[ifu_bp_ctl.scala 456:85] + node _T_22649 = bits(_T_22648, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22650 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h06d")) @[ifu_bp_ctl.scala 456:85] + node _T_22651 = bits(_T_22650, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22652 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h06e")) @[ifu_bp_ctl.scala 456:85] + node _T_22653 = bits(_T_22652, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22654 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h06f")) @[ifu_bp_ctl.scala 456:85] + node _T_22655 = bits(_T_22654, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22656 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h070")) @[ifu_bp_ctl.scala 456:85] + node _T_22657 = bits(_T_22656, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22658 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h071")) @[ifu_bp_ctl.scala 456:85] + node _T_22659 = bits(_T_22658, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22660 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h072")) @[ifu_bp_ctl.scala 456:85] + node _T_22661 = bits(_T_22660, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22662 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h073")) @[ifu_bp_ctl.scala 456:85] + node _T_22663 = bits(_T_22662, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22664 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h074")) @[ifu_bp_ctl.scala 456:85] + node _T_22665 = bits(_T_22664, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22666 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h075")) @[ifu_bp_ctl.scala 456:85] + node _T_22667 = bits(_T_22666, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22668 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h076")) @[ifu_bp_ctl.scala 456:85] + node _T_22669 = bits(_T_22668, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22670 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h077")) @[ifu_bp_ctl.scala 456:85] + node _T_22671 = bits(_T_22670, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22672 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h078")) @[ifu_bp_ctl.scala 456:85] + node _T_22673 = bits(_T_22672, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22674 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h079")) @[ifu_bp_ctl.scala 456:85] + node _T_22675 = bits(_T_22674, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22676 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h07a")) @[ifu_bp_ctl.scala 456:85] + node _T_22677 = bits(_T_22676, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22678 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h07b")) @[ifu_bp_ctl.scala 456:85] + node _T_22679 = bits(_T_22678, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22680 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h07c")) @[ifu_bp_ctl.scala 456:85] + node _T_22681 = bits(_T_22680, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22682 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h07d")) @[ifu_bp_ctl.scala 456:85] + node _T_22683 = bits(_T_22682, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22684 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h07e")) @[ifu_bp_ctl.scala 456:85] + node _T_22685 = bits(_T_22684, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22686 = eq(bht_rd_addr_hashed_p1_f, UInt<7>("h07f")) @[ifu_bp_ctl.scala 456:85] + node _T_22687 = bits(_T_22686, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22688 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h080")) @[ifu_bp_ctl.scala 456:85] + node _T_22689 = bits(_T_22688, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22690 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h081")) @[ifu_bp_ctl.scala 456:85] + node _T_22691 = bits(_T_22690, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22692 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h082")) @[ifu_bp_ctl.scala 456:85] + node _T_22693 = bits(_T_22692, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22694 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h083")) @[ifu_bp_ctl.scala 456:85] + node _T_22695 = bits(_T_22694, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22696 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h084")) @[ifu_bp_ctl.scala 456:85] + node _T_22697 = bits(_T_22696, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22698 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h085")) @[ifu_bp_ctl.scala 456:85] + node _T_22699 = bits(_T_22698, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22700 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h086")) @[ifu_bp_ctl.scala 456:85] + node _T_22701 = bits(_T_22700, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22702 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h087")) @[ifu_bp_ctl.scala 456:85] + node _T_22703 = bits(_T_22702, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22704 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h088")) @[ifu_bp_ctl.scala 456:85] + node _T_22705 = bits(_T_22704, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22706 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h089")) @[ifu_bp_ctl.scala 456:85] + node _T_22707 = bits(_T_22706, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22708 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h08a")) @[ifu_bp_ctl.scala 456:85] + node _T_22709 = bits(_T_22708, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22710 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h08b")) @[ifu_bp_ctl.scala 456:85] + node _T_22711 = bits(_T_22710, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22712 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h08c")) @[ifu_bp_ctl.scala 456:85] + node _T_22713 = bits(_T_22712, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22714 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h08d")) @[ifu_bp_ctl.scala 456:85] + node _T_22715 = bits(_T_22714, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22716 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h08e")) @[ifu_bp_ctl.scala 456:85] + node _T_22717 = bits(_T_22716, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22718 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h08f")) @[ifu_bp_ctl.scala 456:85] + node _T_22719 = bits(_T_22718, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22720 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h090")) @[ifu_bp_ctl.scala 456:85] + node _T_22721 = bits(_T_22720, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22722 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h091")) @[ifu_bp_ctl.scala 456:85] + node _T_22723 = bits(_T_22722, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22724 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h092")) @[ifu_bp_ctl.scala 456:85] + node _T_22725 = bits(_T_22724, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22726 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h093")) @[ifu_bp_ctl.scala 456:85] + node _T_22727 = bits(_T_22726, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22728 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h094")) @[ifu_bp_ctl.scala 456:85] + node _T_22729 = bits(_T_22728, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22730 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h095")) @[ifu_bp_ctl.scala 456:85] + node _T_22731 = bits(_T_22730, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22732 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h096")) @[ifu_bp_ctl.scala 456:85] + node _T_22733 = bits(_T_22732, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22734 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h097")) @[ifu_bp_ctl.scala 456:85] + node _T_22735 = bits(_T_22734, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22736 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h098")) @[ifu_bp_ctl.scala 456:85] + node _T_22737 = bits(_T_22736, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22738 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h099")) @[ifu_bp_ctl.scala 456:85] + node _T_22739 = bits(_T_22738, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22740 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h09a")) @[ifu_bp_ctl.scala 456:85] + node _T_22741 = bits(_T_22740, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22742 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h09b")) @[ifu_bp_ctl.scala 456:85] + node _T_22743 = bits(_T_22742, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22744 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h09c")) @[ifu_bp_ctl.scala 456:85] + node _T_22745 = bits(_T_22744, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22746 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h09d")) @[ifu_bp_ctl.scala 456:85] + node _T_22747 = bits(_T_22746, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22748 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h09e")) @[ifu_bp_ctl.scala 456:85] + node _T_22749 = bits(_T_22748, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22750 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h09f")) @[ifu_bp_ctl.scala 456:85] + node _T_22751 = bits(_T_22750, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22752 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0a0")) @[ifu_bp_ctl.scala 456:85] + node _T_22753 = bits(_T_22752, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22754 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0a1")) @[ifu_bp_ctl.scala 456:85] + node _T_22755 = bits(_T_22754, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22756 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0a2")) @[ifu_bp_ctl.scala 456:85] + node _T_22757 = bits(_T_22756, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22758 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0a3")) @[ifu_bp_ctl.scala 456:85] + node _T_22759 = bits(_T_22758, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22760 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0a4")) @[ifu_bp_ctl.scala 456:85] + node _T_22761 = bits(_T_22760, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22762 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0a5")) @[ifu_bp_ctl.scala 456:85] + node _T_22763 = bits(_T_22762, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22764 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0a6")) @[ifu_bp_ctl.scala 456:85] + node _T_22765 = bits(_T_22764, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22766 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0a7")) @[ifu_bp_ctl.scala 456:85] + node _T_22767 = bits(_T_22766, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22768 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0a8")) @[ifu_bp_ctl.scala 456:85] + node _T_22769 = bits(_T_22768, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22770 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0a9")) @[ifu_bp_ctl.scala 456:85] + node _T_22771 = bits(_T_22770, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22772 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0aa")) @[ifu_bp_ctl.scala 456:85] + node _T_22773 = bits(_T_22772, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22774 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0ab")) @[ifu_bp_ctl.scala 456:85] + node _T_22775 = bits(_T_22774, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22776 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0ac")) @[ifu_bp_ctl.scala 456:85] + node _T_22777 = bits(_T_22776, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22778 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0ad")) @[ifu_bp_ctl.scala 456:85] + node _T_22779 = bits(_T_22778, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22780 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0ae")) @[ifu_bp_ctl.scala 456:85] + node _T_22781 = bits(_T_22780, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22782 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0af")) @[ifu_bp_ctl.scala 456:85] + node _T_22783 = bits(_T_22782, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22784 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0b0")) @[ifu_bp_ctl.scala 456:85] + node _T_22785 = bits(_T_22784, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22786 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0b1")) @[ifu_bp_ctl.scala 456:85] + node _T_22787 = bits(_T_22786, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22788 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0b2")) @[ifu_bp_ctl.scala 456:85] + node _T_22789 = bits(_T_22788, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22790 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0b3")) @[ifu_bp_ctl.scala 456:85] + node _T_22791 = bits(_T_22790, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22792 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0b4")) @[ifu_bp_ctl.scala 456:85] + node _T_22793 = bits(_T_22792, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22794 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0b5")) @[ifu_bp_ctl.scala 456:85] + node _T_22795 = bits(_T_22794, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22796 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0b6")) @[ifu_bp_ctl.scala 456:85] + node _T_22797 = bits(_T_22796, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22798 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0b7")) @[ifu_bp_ctl.scala 456:85] + node _T_22799 = bits(_T_22798, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22800 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0b8")) @[ifu_bp_ctl.scala 456:85] + node _T_22801 = bits(_T_22800, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22802 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0b9")) @[ifu_bp_ctl.scala 456:85] + node _T_22803 = bits(_T_22802, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22804 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0ba")) @[ifu_bp_ctl.scala 456:85] + node _T_22805 = bits(_T_22804, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22806 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0bb")) @[ifu_bp_ctl.scala 456:85] + node _T_22807 = bits(_T_22806, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22808 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0bc")) @[ifu_bp_ctl.scala 456:85] + node _T_22809 = bits(_T_22808, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22810 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0bd")) @[ifu_bp_ctl.scala 456:85] + node _T_22811 = bits(_T_22810, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22812 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0be")) @[ifu_bp_ctl.scala 456:85] + node _T_22813 = bits(_T_22812, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22814 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0bf")) @[ifu_bp_ctl.scala 456:85] + node _T_22815 = bits(_T_22814, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22816 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0c0")) @[ifu_bp_ctl.scala 456:85] + node _T_22817 = bits(_T_22816, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22818 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0c1")) @[ifu_bp_ctl.scala 456:85] + node _T_22819 = bits(_T_22818, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22820 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0c2")) @[ifu_bp_ctl.scala 456:85] + node _T_22821 = bits(_T_22820, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22822 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0c3")) @[ifu_bp_ctl.scala 456:85] + node _T_22823 = bits(_T_22822, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22824 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0c4")) @[ifu_bp_ctl.scala 456:85] + node _T_22825 = bits(_T_22824, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22826 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0c5")) @[ifu_bp_ctl.scala 456:85] + node _T_22827 = bits(_T_22826, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22828 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0c6")) @[ifu_bp_ctl.scala 456:85] + node _T_22829 = bits(_T_22828, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22830 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0c7")) @[ifu_bp_ctl.scala 456:85] + node _T_22831 = bits(_T_22830, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22832 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0c8")) @[ifu_bp_ctl.scala 456:85] + node _T_22833 = bits(_T_22832, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22834 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0c9")) @[ifu_bp_ctl.scala 456:85] + node _T_22835 = bits(_T_22834, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22836 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0ca")) @[ifu_bp_ctl.scala 456:85] + node _T_22837 = bits(_T_22836, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22838 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0cb")) @[ifu_bp_ctl.scala 456:85] + node _T_22839 = bits(_T_22838, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22840 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0cc")) @[ifu_bp_ctl.scala 456:85] + node _T_22841 = bits(_T_22840, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22842 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0cd")) @[ifu_bp_ctl.scala 456:85] + node _T_22843 = bits(_T_22842, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22844 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0ce")) @[ifu_bp_ctl.scala 456:85] + node _T_22845 = bits(_T_22844, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22846 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0cf")) @[ifu_bp_ctl.scala 456:85] + node _T_22847 = bits(_T_22846, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22848 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0d0")) @[ifu_bp_ctl.scala 456:85] + node _T_22849 = bits(_T_22848, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22850 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0d1")) @[ifu_bp_ctl.scala 456:85] + node _T_22851 = bits(_T_22850, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22852 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0d2")) @[ifu_bp_ctl.scala 456:85] + node _T_22853 = bits(_T_22852, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22854 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0d3")) @[ifu_bp_ctl.scala 456:85] + node _T_22855 = bits(_T_22854, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22856 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0d4")) @[ifu_bp_ctl.scala 456:85] + node _T_22857 = bits(_T_22856, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22858 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0d5")) @[ifu_bp_ctl.scala 456:85] + node _T_22859 = bits(_T_22858, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22860 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0d6")) @[ifu_bp_ctl.scala 456:85] + node _T_22861 = bits(_T_22860, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22862 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0d7")) @[ifu_bp_ctl.scala 456:85] + node _T_22863 = bits(_T_22862, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22864 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0d8")) @[ifu_bp_ctl.scala 456:85] + node _T_22865 = bits(_T_22864, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22866 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0d9")) @[ifu_bp_ctl.scala 456:85] + node _T_22867 = bits(_T_22866, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22868 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0da")) @[ifu_bp_ctl.scala 456:85] + node _T_22869 = bits(_T_22868, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22870 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0db")) @[ifu_bp_ctl.scala 456:85] + node _T_22871 = bits(_T_22870, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22872 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0dc")) @[ifu_bp_ctl.scala 456:85] + node _T_22873 = bits(_T_22872, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22874 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0dd")) @[ifu_bp_ctl.scala 456:85] + node _T_22875 = bits(_T_22874, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22876 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0de")) @[ifu_bp_ctl.scala 456:85] + node _T_22877 = bits(_T_22876, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22878 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0df")) @[ifu_bp_ctl.scala 456:85] + node _T_22879 = bits(_T_22878, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22880 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0e0")) @[ifu_bp_ctl.scala 456:85] + node _T_22881 = bits(_T_22880, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22882 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0e1")) @[ifu_bp_ctl.scala 456:85] + node _T_22883 = bits(_T_22882, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22884 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0e2")) @[ifu_bp_ctl.scala 456:85] + node _T_22885 = bits(_T_22884, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22886 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0e3")) @[ifu_bp_ctl.scala 456:85] + node _T_22887 = bits(_T_22886, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22888 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0e4")) @[ifu_bp_ctl.scala 456:85] + node _T_22889 = bits(_T_22888, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22890 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0e5")) @[ifu_bp_ctl.scala 456:85] + node _T_22891 = bits(_T_22890, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22892 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0e6")) @[ifu_bp_ctl.scala 456:85] + node _T_22893 = bits(_T_22892, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22894 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0e7")) @[ifu_bp_ctl.scala 456:85] + node _T_22895 = bits(_T_22894, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22896 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0e8")) @[ifu_bp_ctl.scala 456:85] + node _T_22897 = bits(_T_22896, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22898 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0e9")) @[ifu_bp_ctl.scala 456:85] + node _T_22899 = bits(_T_22898, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22900 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0ea")) @[ifu_bp_ctl.scala 456:85] + node _T_22901 = bits(_T_22900, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22902 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0eb")) @[ifu_bp_ctl.scala 456:85] + node _T_22903 = bits(_T_22902, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22904 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0ec")) @[ifu_bp_ctl.scala 456:85] + node _T_22905 = bits(_T_22904, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22906 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0ed")) @[ifu_bp_ctl.scala 456:85] + node _T_22907 = bits(_T_22906, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22908 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0ee")) @[ifu_bp_ctl.scala 456:85] + node _T_22909 = bits(_T_22908, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22910 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0ef")) @[ifu_bp_ctl.scala 456:85] + node _T_22911 = bits(_T_22910, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22912 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0f0")) @[ifu_bp_ctl.scala 456:85] + node _T_22913 = bits(_T_22912, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22914 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0f1")) @[ifu_bp_ctl.scala 456:85] + node _T_22915 = bits(_T_22914, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22916 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0f2")) @[ifu_bp_ctl.scala 456:85] + node _T_22917 = bits(_T_22916, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22918 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0f3")) @[ifu_bp_ctl.scala 456:85] + node _T_22919 = bits(_T_22918, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22920 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0f4")) @[ifu_bp_ctl.scala 456:85] + node _T_22921 = bits(_T_22920, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22922 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0f5")) @[ifu_bp_ctl.scala 456:85] + node _T_22923 = bits(_T_22922, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22924 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0f6")) @[ifu_bp_ctl.scala 456:85] + node _T_22925 = bits(_T_22924, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22926 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0f7")) @[ifu_bp_ctl.scala 456:85] + node _T_22927 = bits(_T_22926, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22928 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0f8")) @[ifu_bp_ctl.scala 456:85] + node _T_22929 = bits(_T_22928, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22930 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0f9")) @[ifu_bp_ctl.scala 456:85] + node _T_22931 = bits(_T_22930, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22932 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0fa")) @[ifu_bp_ctl.scala 456:85] + node _T_22933 = bits(_T_22932, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22934 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0fb")) @[ifu_bp_ctl.scala 456:85] + node _T_22935 = bits(_T_22934, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22936 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0fc")) @[ifu_bp_ctl.scala 456:85] + node _T_22937 = bits(_T_22936, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22938 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0fd")) @[ifu_bp_ctl.scala 456:85] + node _T_22939 = bits(_T_22938, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22940 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0fe")) @[ifu_bp_ctl.scala 456:85] + node _T_22941 = bits(_T_22940, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22942 = eq(bht_rd_addr_hashed_p1_f, UInt<8>("h0ff")) @[ifu_bp_ctl.scala 456:85] + node _T_22943 = bits(_T_22942, 0, 0) @[ifu_bp_ctl.scala 456:93] + node _T_22944 = mux(_T_22433, bht_bank_rd_data_out[0][0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22945 = mux(_T_22435, bht_bank_rd_data_out[0][1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22946 = mux(_T_22437, bht_bank_rd_data_out[0][2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22947 = mux(_T_22439, bht_bank_rd_data_out[0][3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22948 = mux(_T_22441, bht_bank_rd_data_out[0][4], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22949 = mux(_T_22443, bht_bank_rd_data_out[0][5], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22950 = mux(_T_22445, bht_bank_rd_data_out[0][6], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22951 = mux(_T_22447, bht_bank_rd_data_out[0][7], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22952 = mux(_T_22449, bht_bank_rd_data_out[0][8], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22953 = mux(_T_22451, bht_bank_rd_data_out[0][9], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22954 = mux(_T_22453, bht_bank_rd_data_out[0][10], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22955 = mux(_T_22455, bht_bank_rd_data_out[0][11], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22956 = mux(_T_22457, bht_bank_rd_data_out[0][12], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22957 = mux(_T_22459, bht_bank_rd_data_out[0][13], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22958 = mux(_T_22461, bht_bank_rd_data_out[0][14], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22959 = mux(_T_22463, bht_bank_rd_data_out[0][15], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22960 = mux(_T_22465, bht_bank_rd_data_out[0][16], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22961 = mux(_T_22467, bht_bank_rd_data_out[0][17], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22962 = mux(_T_22469, bht_bank_rd_data_out[0][18], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22963 = mux(_T_22471, bht_bank_rd_data_out[0][19], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22964 = mux(_T_22473, bht_bank_rd_data_out[0][20], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22965 = mux(_T_22475, bht_bank_rd_data_out[0][21], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22966 = mux(_T_22477, bht_bank_rd_data_out[0][22], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22967 = mux(_T_22479, bht_bank_rd_data_out[0][23], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22968 = mux(_T_22481, bht_bank_rd_data_out[0][24], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22969 = mux(_T_22483, bht_bank_rd_data_out[0][25], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22970 = mux(_T_22485, bht_bank_rd_data_out[0][26], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22971 = mux(_T_22487, bht_bank_rd_data_out[0][27], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22972 = mux(_T_22489, bht_bank_rd_data_out[0][28], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22973 = mux(_T_22491, bht_bank_rd_data_out[0][29], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22974 = mux(_T_22493, bht_bank_rd_data_out[0][30], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22975 = mux(_T_22495, bht_bank_rd_data_out[0][31], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22976 = mux(_T_22497, bht_bank_rd_data_out[0][32], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22977 = mux(_T_22499, bht_bank_rd_data_out[0][33], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22978 = mux(_T_22501, bht_bank_rd_data_out[0][34], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22979 = mux(_T_22503, bht_bank_rd_data_out[0][35], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22980 = mux(_T_22505, bht_bank_rd_data_out[0][36], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22981 = mux(_T_22507, bht_bank_rd_data_out[0][37], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22982 = mux(_T_22509, bht_bank_rd_data_out[0][38], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22983 = mux(_T_22511, bht_bank_rd_data_out[0][39], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22984 = mux(_T_22513, bht_bank_rd_data_out[0][40], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22985 = mux(_T_22515, bht_bank_rd_data_out[0][41], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22986 = mux(_T_22517, bht_bank_rd_data_out[0][42], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22987 = mux(_T_22519, bht_bank_rd_data_out[0][43], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22988 = mux(_T_22521, bht_bank_rd_data_out[0][44], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22989 = mux(_T_22523, bht_bank_rd_data_out[0][45], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22990 = mux(_T_22525, bht_bank_rd_data_out[0][46], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22991 = mux(_T_22527, bht_bank_rd_data_out[0][47], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22992 = mux(_T_22529, bht_bank_rd_data_out[0][48], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22993 = mux(_T_22531, bht_bank_rd_data_out[0][49], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22994 = mux(_T_22533, bht_bank_rd_data_out[0][50], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22995 = mux(_T_22535, bht_bank_rd_data_out[0][51], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22996 = mux(_T_22537, bht_bank_rd_data_out[0][52], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22997 = mux(_T_22539, bht_bank_rd_data_out[0][53], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22998 = mux(_T_22541, bht_bank_rd_data_out[0][54], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22999 = mux(_T_22543, bht_bank_rd_data_out[0][55], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23000 = mux(_T_22545, bht_bank_rd_data_out[0][56], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23001 = mux(_T_22547, bht_bank_rd_data_out[0][57], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23002 = mux(_T_22549, bht_bank_rd_data_out[0][58], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23003 = mux(_T_22551, bht_bank_rd_data_out[0][59], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23004 = mux(_T_22553, bht_bank_rd_data_out[0][60], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23005 = mux(_T_22555, bht_bank_rd_data_out[0][61], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23006 = mux(_T_22557, bht_bank_rd_data_out[0][62], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23007 = mux(_T_22559, bht_bank_rd_data_out[0][63], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23008 = mux(_T_22561, bht_bank_rd_data_out[0][64], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23009 = mux(_T_22563, bht_bank_rd_data_out[0][65], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23010 = mux(_T_22565, bht_bank_rd_data_out[0][66], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23011 = mux(_T_22567, bht_bank_rd_data_out[0][67], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23012 = mux(_T_22569, bht_bank_rd_data_out[0][68], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23013 = mux(_T_22571, bht_bank_rd_data_out[0][69], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23014 = mux(_T_22573, bht_bank_rd_data_out[0][70], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23015 = mux(_T_22575, bht_bank_rd_data_out[0][71], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23016 = mux(_T_22577, bht_bank_rd_data_out[0][72], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23017 = mux(_T_22579, bht_bank_rd_data_out[0][73], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23018 = mux(_T_22581, bht_bank_rd_data_out[0][74], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23019 = mux(_T_22583, bht_bank_rd_data_out[0][75], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23020 = mux(_T_22585, bht_bank_rd_data_out[0][76], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23021 = mux(_T_22587, bht_bank_rd_data_out[0][77], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23022 = mux(_T_22589, bht_bank_rd_data_out[0][78], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23023 = mux(_T_22591, bht_bank_rd_data_out[0][79], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23024 = mux(_T_22593, bht_bank_rd_data_out[0][80], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23025 = mux(_T_22595, bht_bank_rd_data_out[0][81], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23026 = mux(_T_22597, bht_bank_rd_data_out[0][82], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23027 = mux(_T_22599, bht_bank_rd_data_out[0][83], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23028 = mux(_T_22601, bht_bank_rd_data_out[0][84], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23029 = mux(_T_22603, bht_bank_rd_data_out[0][85], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23030 = mux(_T_22605, bht_bank_rd_data_out[0][86], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23031 = mux(_T_22607, bht_bank_rd_data_out[0][87], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23032 = mux(_T_22609, bht_bank_rd_data_out[0][88], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23033 = mux(_T_22611, bht_bank_rd_data_out[0][89], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23034 = mux(_T_22613, bht_bank_rd_data_out[0][90], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23035 = mux(_T_22615, bht_bank_rd_data_out[0][91], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23036 = mux(_T_22617, bht_bank_rd_data_out[0][92], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23037 = mux(_T_22619, bht_bank_rd_data_out[0][93], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23038 = mux(_T_22621, bht_bank_rd_data_out[0][94], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23039 = mux(_T_22623, bht_bank_rd_data_out[0][95], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23040 = mux(_T_22625, bht_bank_rd_data_out[0][96], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23041 = mux(_T_22627, bht_bank_rd_data_out[0][97], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23042 = mux(_T_22629, bht_bank_rd_data_out[0][98], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23043 = mux(_T_22631, bht_bank_rd_data_out[0][99], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23044 = mux(_T_22633, bht_bank_rd_data_out[0][100], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23045 = mux(_T_22635, bht_bank_rd_data_out[0][101], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23046 = mux(_T_22637, bht_bank_rd_data_out[0][102], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23047 = mux(_T_22639, bht_bank_rd_data_out[0][103], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23048 = mux(_T_22641, bht_bank_rd_data_out[0][104], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23049 = mux(_T_22643, bht_bank_rd_data_out[0][105], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23050 = mux(_T_22645, bht_bank_rd_data_out[0][106], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23051 = mux(_T_22647, bht_bank_rd_data_out[0][107], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23052 = mux(_T_22649, bht_bank_rd_data_out[0][108], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23053 = mux(_T_22651, bht_bank_rd_data_out[0][109], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23054 = mux(_T_22653, bht_bank_rd_data_out[0][110], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23055 = mux(_T_22655, bht_bank_rd_data_out[0][111], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23056 = mux(_T_22657, bht_bank_rd_data_out[0][112], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23057 = mux(_T_22659, bht_bank_rd_data_out[0][113], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23058 = mux(_T_22661, bht_bank_rd_data_out[0][114], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23059 = mux(_T_22663, bht_bank_rd_data_out[0][115], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23060 = mux(_T_22665, bht_bank_rd_data_out[0][116], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23061 = mux(_T_22667, bht_bank_rd_data_out[0][117], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23062 = mux(_T_22669, bht_bank_rd_data_out[0][118], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23063 = mux(_T_22671, bht_bank_rd_data_out[0][119], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23064 = mux(_T_22673, bht_bank_rd_data_out[0][120], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23065 = mux(_T_22675, bht_bank_rd_data_out[0][121], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23066 = mux(_T_22677, bht_bank_rd_data_out[0][122], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23067 = mux(_T_22679, bht_bank_rd_data_out[0][123], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23068 = mux(_T_22681, bht_bank_rd_data_out[0][124], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23069 = mux(_T_22683, bht_bank_rd_data_out[0][125], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23070 = mux(_T_22685, bht_bank_rd_data_out[0][126], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23071 = mux(_T_22687, bht_bank_rd_data_out[0][127], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23072 = mux(_T_22689, bht_bank_rd_data_out[0][128], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23073 = mux(_T_22691, bht_bank_rd_data_out[0][129], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23074 = mux(_T_22693, bht_bank_rd_data_out[0][130], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23075 = mux(_T_22695, bht_bank_rd_data_out[0][131], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23076 = mux(_T_22697, bht_bank_rd_data_out[0][132], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23077 = mux(_T_22699, bht_bank_rd_data_out[0][133], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23078 = mux(_T_22701, bht_bank_rd_data_out[0][134], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23079 = mux(_T_22703, bht_bank_rd_data_out[0][135], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23080 = mux(_T_22705, bht_bank_rd_data_out[0][136], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23081 = mux(_T_22707, bht_bank_rd_data_out[0][137], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23082 = mux(_T_22709, bht_bank_rd_data_out[0][138], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23083 = mux(_T_22711, bht_bank_rd_data_out[0][139], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23084 = mux(_T_22713, bht_bank_rd_data_out[0][140], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23085 = mux(_T_22715, bht_bank_rd_data_out[0][141], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23086 = mux(_T_22717, bht_bank_rd_data_out[0][142], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23087 = mux(_T_22719, bht_bank_rd_data_out[0][143], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23088 = mux(_T_22721, bht_bank_rd_data_out[0][144], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23089 = mux(_T_22723, bht_bank_rd_data_out[0][145], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23090 = mux(_T_22725, bht_bank_rd_data_out[0][146], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23091 = mux(_T_22727, bht_bank_rd_data_out[0][147], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23092 = mux(_T_22729, bht_bank_rd_data_out[0][148], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23093 = mux(_T_22731, bht_bank_rd_data_out[0][149], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23094 = mux(_T_22733, bht_bank_rd_data_out[0][150], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23095 = mux(_T_22735, bht_bank_rd_data_out[0][151], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23096 = mux(_T_22737, bht_bank_rd_data_out[0][152], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23097 = mux(_T_22739, bht_bank_rd_data_out[0][153], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23098 = mux(_T_22741, bht_bank_rd_data_out[0][154], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23099 = mux(_T_22743, bht_bank_rd_data_out[0][155], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23100 = mux(_T_22745, bht_bank_rd_data_out[0][156], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23101 = mux(_T_22747, bht_bank_rd_data_out[0][157], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23102 = mux(_T_22749, bht_bank_rd_data_out[0][158], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23103 = mux(_T_22751, bht_bank_rd_data_out[0][159], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23104 = mux(_T_22753, bht_bank_rd_data_out[0][160], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23105 = mux(_T_22755, bht_bank_rd_data_out[0][161], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23106 = mux(_T_22757, bht_bank_rd_data_out[0][162], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23107 = mux(_T_22759, bht_bank_rd_data_out[0][163], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23108 = mux(_T_22761, bht_bank_rd_data_out[0][164], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23109 = mux(_T_22763, bht_bank_rd_data_out[0][165], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23110 = mux(_T_22765, bht_bank_rd_data_out[0][166], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23111 = mux(_T_22767, bht_bank_rd_data_out[0][167], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23112 = mux(_T_22769, bht_bank_rd_data_out[0][168], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23113 = mux(_T_22771, bht_bank_rd_data_out[0][169], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23114 = mux(_T_22773, bht_bank_rd_data_out[0][170], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23115 = mux(_T_22775, bht_bank_rd_data_out[0][171], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23116 = mux(_T_22777, bht_bank_rd_data_out[0][172], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23117 = mux(_T_22779, bht_bank_rd_data_out[0][173], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23118 = mux(_T_22781, bht_bank_rd_data_out[0][174], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23119 = mux(_T_22783, bht_bank_rd_data_out[0][175], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23120 = mux(_T_22785, bht_bank_rd_data_out[0][176], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23121 = mux(_T_22787, bht_bank_rd_data_out[0][177], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23122 = mux(_T_22789, bht_bank_rd_data_out[0][178], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23123 = mux(_T_22791, bht_bank_rd_data_out[0][179], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23124 = mux(_T_22793, bht_bank_rd_data_out[0][180], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23125 = mux(_T_22795, bht_bank_rd_data_out[0][181], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23126 = mux(_T_22797, bht_bank_rd_data_out[0][182], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23127 = mux(_T_22799, bht_bank_rd_data_out[0][183], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23128 = mux(_T_22801, bht_bank_rd_data_out[0][184], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23129 = mux(_T_22803, bht_bank_rd_data_out[0][185], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23130 = mux(_T_22805, bht_bank_rd_data_out[0][186], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23131 = mux(_T_22807, bht_bank_rd_data_out[0][187], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23132 = mux(_T_22809, bht_bank_rd_data_out[0][188], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23133 = mux(_T_22811, bht_bank_rd_data_out[0][189], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23134 = mux(_T_22813, bht_bank_rd_data_out[0][190], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23135 = mux(_T_22815, bht_bank_rd_data_out[0][191], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23136 = mux(_T_22817, bht_bank_rd_data_out[0][192], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23137 = mux(_T_22819, bht_bank_rd_data_out[0][193], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23138 = mux(_T_22821, bht_bank_rd_data_out[0][194], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23139 = mux(_T_22823, bht_bank_rd_data_out[0][195], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23140 = mux(_T_22825, bht_bank_rd_data_out[0][196], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23141 = mux(_T_22827, bht_bank_rd_data_out[0][197], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23142 = mux(_T_22829, bht_bank_rd_data_out[0][198], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23143 = mux(_T_22831, bht_bank_rd_data_out[0][199], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23144 = mux(_T_22833, bht_bank_rd_data_out[0][200], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23145 = mux(_T_22835, bht_bank_rd_data_out[0][201], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23146 = mux(_T_22837, bht_bank_rd_data_out[0][202], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23147 = mux(_T_22839, bht_bank_rd_data_out[0][203], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23148 = mux(_T_22841, bht_bank_rd_data_out[0][204], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23149 = mux(_T_22843, bht_bank_rd_data_out[0][205], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23150 = mux(_T_22845, bht_bank_rd_data_out[0][206], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23151 = mux(_T_22847, bht_bank_rd_data_out[0][207], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23152 = mux(_T_22849, bht_bank_rd_data_out[0][208], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23153 = mux(_T_22851, bht_bank_rd_data_out[0][209], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23154 = mux(_T_22853, bht_bank_rd_data_out[0][210], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23155 = mux(_T_22855, bht_bank_rd_data_out[0][211], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23156 = mux(_T_22857, bht_bank_rd_data_out[0][212], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23157 = mux(_T_22859, bht_bank_rd_data_out[0][213], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23158 = mux(_T_22861, bht_bank_rd_data_out[0][214], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23159 = mux(_T_22863, bht_bank_rd_data_out[0][215], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23160 = mux(_T_22865, bht_bank_rd_data_out[0][216], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23161 = mux(_T_22867, bht_bank_rd_data_out[0][217], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23162 = mux(_T_22869, bht_bank_rd_data_out[0][218], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23163 = mux(_T_22871, bht_bank_rd_data_out[0][219], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23164 = mux(_T_22873, bht_bank_rd_data_out[0][220], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23165 = mux(_T_22875, bht_bank_rd_data_out[0][221], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23166 = mux(_T_22877, bht_bank_rd_data_out[0][222], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23167 = mux(_T_22879, bht_bank_rd_data_out[0][223], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23168 = mux(_T_22881, bht_bank_rd_data_out[0][224], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23169 = mux(_T_22883, bht_bank_rd_data_out[0][225], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23170 = mux(_T_22885, bht_bank_rd_data_out[0][226], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23171 = mux(_T_22887, bht_bank_rd_data_out[0][227], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23172 = mux(_T_22889, bht_bank_rd_data_out[0][228], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23173 = mux(_T_22891, bht_bank_rd_data_out[0][229], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23174 = mux(_T_22893, bht_bank_rd_data_out[0][230], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23175 = mux(_T_22895, bht_bank_rd_data_out[0][231], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23176 = mux(_T_22897, bht_bank_rd_data_out[0][232], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23177 = mux(_T_22899, bht_bank_rd_data_out[0][233], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23178 = mux(_T_22901, bht_bank_rd_data_out[0][234], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23179 = mux(_T_22903, bht_bank_rd_data_out[0][235], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23180 = mux(_T_22905, bht_bank_rd_data_out[0][236], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23181 = mux(_T_22907, bht_bank_rd_data_out[0][237], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23182 = mux(_T_22909, bht_bank_rd_data_out[0][238], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23183 = mux(_T_22911, bht_bank_rd_data_out[0][239], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23184 = mux(_T_22913, bht_bank_rd_data_out[0][240], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23185 = mux(_T_22915, bht_bank_rd_data_out[0][241], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23186 = mux(_T_22917, bht_bank_rd_data_out[0][242], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23187 = mux(_T_22919, bht_bank_rd_data_out[0][243], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23188 = mux(_T_22921, bht_bank_rd_data_out[0][244], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23189 = mux(_T_22923, bht_bank_rd_data_out[0][245], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23190 = mux(_T_22925, bht_bank_rd_data_out[0][246], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23191 = mux(_T_22927, bht_bank_rd_data_out[0][247], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23192 = mux(_T_22929, bht_bank_rd_data_out[0][248], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23193 = mux(_T_22931, bht_bank_rd_data_out[0][249], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23194 = mux(_T_22933, bht_bank_rd_data_out[0][250], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23195 = mux(_T_22935, bht_bank_rd_data_out[0][251], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23196 = mux(_T_22937, bht_bank_rd_data_out[0][252], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23197 = mux(_T_22939, bht_bank_rd_data_out[0][253], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23198 = mux(_T_22941, bht_bank_rd_data_out[0][254], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23199 = mux(_T_22943, bht_bank_rd_data_out[0][255], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23200 = or(_T_22944, _T_22945) @[Mux.scala 27:72] + node _T_23201 = or(_T_23200, _T_22946) @[Mux.scala 27:72] + node _T_23202 = or(_T_23201, _T_22947) @[Mux.scala 27:72] + node _T_23203 = or(_T_23202, _T_22948) @[Mux.scala 27:72] + node _T_23204 = or(_T_23203, _T_22949) @[Mux.scala 27:72] + node _T_23205 = or(_T_23204, _T_22950) @[Mux.scala 27:72] + node _T_23206 = or(_T_23205, _T_22951) @[Mux.scala 27:72] + node _T_23207 = or(_T_23206, _T_22952) @[Mux.scala 27:72] + node _T_23208 = or(_T_23207, _T_22953) @[Mux.scala 27:72] + node _T_23209 = or(_T_23208, _T_22954) @[Mux.scala 27:72] + node _T_23210 = or(_T_23209, _T_22955) @[Mux.scala 27:72] + node _T_23211 = or(_T_23210, _T_22956) @[Mux.scala 27:72] + node _T_23212 = or(_T_23211, _T_22957) @[Mux.scala 27:72] + node _T_23213 = or(_T_23212, _T_22958) @[Mux.scala 27:72] + node _T_23214 = or(_T_23213, _T_22959) @[Mux.scala 27:72] + node _T_23215 = or(_T_23214, _T_22960) @[Mux.scala 27:72] + node _T_23216 = or(_T_23215, _T_22961) @[Mux.scala 27:72] + node _T_23217 = or(_T_23216, _T_22962) @[Mux.scala 27:72] + node _T_23218 = or(_T_23217, _T_22963) @[Mux.scala 27:72] + node _T_23219 = or(_T_23218, _T_22964) @[Mux.scala 27:72] + node _T_23220 = or(_T_23219, _T_22965) @[Mux.scala 27:72] + node _T_23221 = or(_T_23220, _T_22966) @[Mux.scala 27:72] + node _T_23222 = or(_T_23221, _T_22967) @[Mux.scala 27:72] + node _T_23223 = or(_T_23222, _T_22968) @[Mux.scala 27:72] + node _T_23224 = or(_T_23223, _T_22969) @[Mux.scala 27:72] + node _T_23225 = or(_T_23224, _T_22970) @[Mux.scala 27:72] + node _T_23226 = or(_T_23225, _T_22971) @[Mux.scala 27:72] + node _T_23227 = or(_T_23226, _T_22972) @[Mux.scala 27:72] + node _T_23228 = or(_T_23227, _T_22973) @[Mux.scala 27:72] + node _T_23229 = or(_T_23228, _T_22974) @[Mux.scala 27:72] + node _T_23230 = or(_T_23229, _T_22975) @[Mux.scala 27:72] + node _T_23231 = or(_T_23230, _T_22976) @[Mux.scala 27:72] + node _T_23232 = or(_T_23231, _T_22977) @[Mux.scala 27:72] + node _T_23233 = or(_T_23232, _T_22978) @[Mux.scala 27:72] + node _T_23234 = or(_T_23233, _T_22979) @[Mux.scala 27:72] + node _T_23235 = or(_T_23234, _T_22980) @[Mux.scala 27:72] + node _T_23236 = or(_T_23235, _T_22981) @[Mux.scala 27:72] + node _T_23237 = or(_T_23236, _T_22982) @[Mux.scala 27:72] + node _T_23238 = or(_T_23237, _T_22983) @[Mux.scala 27:72] + node _T_23239 = or(_T_23238, _T_22984) @[Mux.scala 27:72] + node _T_23240 = or(_T_23239, _T_22985) @[Mux.scala 27:72] + node _T_23241 = or(_T_23240, _T_22986) @[Mux.scala 27:72] + node _T_23242 = or(_T_23241, _T_22987) @[Mux.scala 27:72] + node _T_23243 = or(_T_23242, _T_22988) @[Mux.scala 27:72] + node _T_23244 = or(_T_23243, _T_22989) @[Mux.scala 27:72] + node _T_23245 = or(_T_23244, _T_22990) @[Mux.scala 27:72] + node _T_23246 = or(_T_23245, _T_22991) @[Mux.scala 27:72] + node _T_23247 = or(_T_23246, _T_22992) @[Mux.scala 27:72] + node _T_23248 = or(_T_23247, _T_22993) @[Mux.scala 27:72] + node _T_23249 = or(_T_23248, _T_22994) @[Mux.scala 27:72] + node _T_23250 = or(_T_23249, _T_22995) @[Mux.scala 27:72] + node _T_23251 = or(_T_23250, _T_22996) @[Mux.scala 27:72] + node _T_23252 = or(_T_23251, _T_22997) @[Mux.scala 27:72] + node _T_23253 = or(_T_23252, _T_22998) @[Mux.scala 27:72] + node _T_23254 = or(_T_23253, _T_22999) @[Mux.scala 27:72] + node _T_23255 = or(_T_23254, _T_23000) @[Mux.scala 27:72] + node _T_23256 = or(_T_23255, _T_23001) @[Mux.scala 27:72] + node _T_23257 = or(_T_23256, _T_23002) @[Mux.scala 27:72] + node _T_23258 = or(_T_23257, _T_23003) @[Mux.scala 27:72] + node _T_23259 = or(_T_23258, _T_23004) @[Mux.scala 27:72] + node _T_23260 = or(_T_23259, _T_23005) @[Mux.scala 27:72] + node _T_23261 = or(_T_23260, _T_23006) @[Mux.scala 27:72] + node _T_23262 = or(_T_23261, _T_23007) @[Mux.scala 27:72] + node _T_23263 = or(_T_23262, _T_23008) @[Mux.scala 27:72] + node _T_23264 = or(_T_23263, _T_23009) @[Mux.scala 27:72] + node _T_23265 = or(_T_23264, _T_23010) @[Mux.scala 27:72] + node _T_23266 = or(_T_23265, _T_23011) @[Mux.scala 27:72] + node _T_23267 = or(_T_23266, _T_23012) @[Mux.scala 27:72] + node _T_23268 = or(_T_23267, _T_23013) @[Mux.scala 27:72] + node _T_23269 = or(_T_23268, _T_23014) @[Mux.scala 27:72] + node _T_23270 = or(_T_23269, _T_23015) @[Mux.scala 27:72] + node _T_23271 = or(_T_23270, _T_23016) @[Mux.scala 27:72] + node _T_23272 = or(_T_23271, _T_23017) @[Mux.scala 27:72] + node _T_23273 = or(_T_23272, _T_23018) @[Mux.scala 27:72] + node _T_23274 = or(_T_23273, _T_23019) @[Mux.scala 27:72] + node _T_23275 = or(_T_23274, _T_23020) @[Mux.scala 27:72] + node _T_23276 = or(_T_23275, _T_23021) @[Mux.scala 27:72] + node _T_23277 = or(_T_23276, _T_23022) @[Mux.scala 27:72] + node _T_23278 = or(_T_23277, _T_23023) @[Mux.scala 27:72] + node _T_23279 = or(_T_23278, _T_23024) @[Mux.scala 27:72] + node _T_23280 = or(_T_23279, _T_23025) @[Mux.scala 27:72] + node _T_23281 = or(_T_23280, _T_23026) @[Mux.scala 27:72] + node _T_23282 = or(_T_23281, _T_23027) @[Mux.scala 27:72] + node _T_23283 = or(_T_23282, _T_23028) @[Mux.scala 27:72] + node _T_23284 = or(_T_23283, _T_23029) @[Mux.scala 27:72] + node _T_23285 = or(_T_23284, _T_23030) @[Mux.scala 27:72] + node _T_23286 = or(_T_23285, _T_23031) @[Mux.scala 27:72] + node _T_23287 = or(_T_23286, _T_23032) @[Mux.scala 27:72] + node _T_23288 = or(_T_23287, _T_23033) @[Mux.scala 27:72] + node _T_23289 = or(_T_23288, _T_23034) @[Mux.scala 27:72] + node _T_23290 = or(_T_23289, _T_23035) @[Mux.scala 27:72] + node _T_23291 = or(_T_23290, _T_23036) @[Mux.scala 27:72] + node _T_23292 = or(_T_23291, _T_23037) @[Mux.scala 27:72] + node _T_23293 = or(_T_23292, _T_23038) @[Mux.scala 27:72] + node _T_23294 = or(_T_23293, _T_23039) @[Mux.scala 27:72] + node _T_23295 = or(_T_23294, _T_23040) @[Mux.scala 27:72] + node _T_23296 = or(_T_23295, _T_23041) @[Mux.scala 27:72] + node _T_23297 = or(_T_23296, _T_23042) @[Mux.scala 27:72] + node _T_23298 = or(_T_23297, _T_23043) @[Mux.scala 27:72] + node _T_23299 = or(_T_23298, _T_23044) @[Mux.scala 27:72] + node _T_23300 = or(_T_23299, _T_23045) @[Mux.scala 27:72] + node _T_23301 = or(_T_23300, _T_23046) @[Mux.scala 27:72] + node _T_23302 = or(_T_23301, _T_23047) @[Mux.scala 27:72] + node _T_23303 = or(_T_23302, _T_23048) @[Mux.scala 27:72] + node _T_23304 = or(_T_23303, _T_23049) @[Mux.scala 27:72] + node _T_23305 = or(_T_23304, _T_23050) @[Mux.scala 27:72] + node _T_23306 = or(_T_23305, _T_23051) @[Mux.scala 27:72] + node _T_23307 = or(_T_23306, _T_23052) @[Mux.scala 27:72] + node _T_23308 = or(_T_23307, _T_23053) @[Mux.scala 27:72] + node _T_23309 = or(_T_23308, _T_23054) @[Mux.scala 27:72] + node _T_23310 = or(_T_23309, _T_23055) @[Mux.scala 27:72] + node _T_23311 = or(_T_23310, _T_23056) @[Mux.scala 27:72] + node _T_23312 = or(_T_23311, _T_23057) @[Mux.scala 27:72] + node _T_23313 = or(_T_23312, _T_23058) @[Mux.scala 27:72] + node _T_23314 = or(_T_23313, _T_23059) @[Mux.scala 27:72] + node _T_23315 = or(_T_23314, _T_23060) @[Mux.scala 27:72] + node _T_23316 = or(_T_23315, _T_23061) @[Mux.scala 27:72] + node _T_23317 = or(_T_23316, _T_23062) @[Mux.scala 27:72] + node _T_23318 = or(_T_23317, _T_23063) @[Mux.scala 27:72] + node _T_23319 = or(_T_23318, _T_23064) @[Mux.scala 27:72] + node _T_23320 = or(_T_23319, _T_23065) @[Mux.scala 27:72] + node _T_23321 = or(_T_23320, _T_23066) @[Mux.scala 27:72] + node _T_23322 = or(_T_23321, _T_23067) @[Mux.scala 27:72] + node _T_23323 = or(_T_23322, _T_23068) @[Mux.scala 27:72] + node _T_23324 = or(_T_23323, _T_23069) @[Mux.scala 27:72] + node _T_23325 = or(_T_23324, _T_23070) @[Mux.scala 27:72] + node _T_23326 = or(_T_23325, _T_23071) @[Mux.scala 27:72] + node _T_23327 = or(_T_23326, _T_23072) @[Mux.scala 27:72] + node _T_23328 = or(_T_23327, _T_23073) @[Mux.scala 27:72] + node _T_23329 = or(_T_23328, _T_23074) @[Mux.scala 27:72] + node _T_23330 = or(_T_23329, _T_23075) @[Mux.scala 27:72] + node _T_23331 = or(_T_23330, _T_23076) @[Mux.scala 27:72] + node _T_23332 = or(_T_23331, _T_23077) @[Mux.scala 27:72] + node _T_23333 = or(_T_23332, _T_23078) @[Mux.scala 27:72] + node _T_23334 = or(_T_23333, _T_23079) @[Mux.scala 27:72] + node _T_23335 = or(_T_23334, _T_23080) @[Mux.scala 27:72] + node _T_23336 = or(_T_23335, _T_23081) @[Mux.scala 27:72] + node _T_23337 = or(_T_23336, _T_23082) @[Mux.scala 27:72] + node _T_23338 = or(_T_23337, _T_23083) @[Mux.scala 27:72] + node _T_23339 = or(_T_23338, _T_23084) @[Mux.scala 27:72] + node _T_23340 = or(_T_23339, _T_23085) @[Mux.scala 27:72] + node _T_23341 = or(_T_23340, _T_23086) @[Mux.scala 27:72] + node _T_23342 = or(_T_23341, _T_23087) @[Mux.scala 27:72] + node _T_23343 = or(_T_23342, _T_23088) @[Mux.scala 27:72] + node _T_23344 = or(_T_23343, _T_23089) @[Mux.scala 27:72] + node _T_23345 = or(_T_23344, _T_23090) @[Mux.scala 27:72] + node _T_23346 = or(_T_23345, _T_23091) @[Mux.scala 27:72] + node _T_23347 = or(_T_23346, _T_23092) @[Mux.scala 27:72] + node _T_23348 = or(_T_23347, _T_23093) @[Mux.scala 27:72] + node _T_23349 = or(_T_23348, _T_23094) @[Mux.scala 27:72] + node _T_23350 = or(_T_23349, _T_23095) @[Mux.scala 27:72] + node _T_23351 = or(_T_23350, _T_23096) @[Mux.scala 27:72] + node _T_23352 = or(_T_23351, _T_23097) @[Mux.scala 27:72] + node _T_23353 = or(_T_23352, _T_23098) @[Mux.scala 27:72] + node _T_23354 = or(_T_23353, _T_23099) @[Mux.scala 27:72] + node _T_23355 = or(_T_23354, _T_23100) @[Mux.scala 27:72] + node _T_23356 = or(_T_23355, _T_23101) @[Mux.scala 27:72] + node _T_23357 = or(_T_23356, _T_23102) @[Mux.scala 27:72] + node _T_23358 = or(_T_23357, _T_23103) @[Mux.scala 27:72] + node _T_23359 = or(_T_23358, _T_23104) @[Mux.scala 27:72] + node _T_23360 = or(_T_23359, _T_23105) @[Mux.scala 27:72] + node _T_23361 = or(_T_23360, _T_23106) @[Mux.scala 27:72] + node _T_23362 = or(_T_23361, _T_23107) @[Mux.scala 27:72] + node _T_23363 = or(_T_23362, _T_23108) @[Mux.scala 27:72] + node _T_23364 = or(_T_23363, _T_23109) @[Mux.scala 27:72] + node _T_23365 = or(_T_23364, _T_23110) @[Mux.scala 27:72] + node _T_23366 = or(_T_23365, _T_23111) @[Mux.scala 27:72] + node _T_23367 = or(_T_23366, _T_23112) @[Mux.scala 27:72] + node _T_23368 = or(_T_23367, _T_23113) @[Mux.scala 27:72] + node _T_23369 = or(_T_23368, _T_23114) @[Mux.scala 27:72] + node _T_23370 = or(_T_23369, _T_23115) @[Mux.scala 27:72] + node _T_23371 = or(_T_23370, _T_23116) @[Mux.scala 27:72] + node _T_23372 = or(_T_23371, _T_23117) @[Mux.scala 27:72] + node _T_23373 = or(_T_23372, _T_23118) @[Mux.scala 27:72] + node _T_23374 = or(_T_23373, _T_23119) @[Mux.scala 27:72] + node _T_23375 = or(_T_23374, _T_23120) @[Mux.scala 27:72] + node _T_23376 = or(_T_23375, _T_23121) @[Mux.scala 27:72] + node _T_23377 = or(_T_23376, _T_23122) @[Mux.scala 27:72] + node _T_23378 = or(_T_23377, _T_23123) @[Mux.scala 27:72] + node _T_23379 = or(_T_23378, _T_23124) @[Mux.scala 27:72] + node _T_23380 = or(_T_23379, _T_23125) @[Mux.scala 27:72] + node _T_23381 = or(_T_23380, _T_23126) @[Mux.scala 27:72] + node _T_23382 = or(_T_23381, _T_23127) @[Mux.scala 27:72] + node _T_23383 = or(_T_23382, _T_23128) @[Mux.scala 27:72] + node _T_23384 = or(_T_23383, _T_23129) @[Mux.scala 27:72] + node _T_23385 = or(_T_23384, _T_23130) @[Mux.scala 27:72] + node _T_23386 = or(_T_23385, _T_23131) @[Mux.scala 27:72] + node _T_23387 = or(_T_23386, _T_23132) @[Mux.scala 27:72] + node _T_23388 = or(_T_23387, _T_23133) @[Mux.scala 27:72] + node _T_23389 = or(_T_23388, _T_23134) @[Mux.scala 27:72] + node _T_23390 = or(_T_23389, _T_23135) @[Mux.scala 27:72] + node _T_23391 = or(_T_23390, _T_23136) @[Mux.scala 27:72] + node _T_23392 = or(_T_23391, _T_23137) @[Mux.scala 27:72] + node _T_23393 = or(_T_23392, _T_23138) @[Mux.scala 27:72] + node _T_23394 = or(_T_23393, _T_23139) @[Mux.scala 27:72] + node _T_23395 = or(_T_23394, _T_23140) @[Mux.scala 27:72] + node _T_23396 = or(_T_23395, _T_23141) @[Mux.scala 27:72] + node _T_23397 = or(_T_23396, _T_23142) @[Mux.scala 27:72] + node _T_23398 = or(_T_23397, _T_23143) @[Mux.scala 27:72] + node _T_23399 = or(_T_23398, _T_23144) @[Mux.scala 27:72] + node _T_23400 = or(_T_23399, _T_23145) @[Mux.scala 27:72] + node _T_23401 = or(_T_23400, _T_23146) @[Mux.scala 27:72] + node _T_23402 = or(_T_23401, _T_23147) @[Mux.scala 27:72] + node _T_23403 = or(_T_23402, _T_23148) @[Mux.scala 27:72] + node _T_23404 = or(_T_23403, _T_23149) @[Mux.scala 27:72] + node _T_23405 = or(_T_23404, _T_23150) @[Mux.scala 27:72] + node _T_23406 = or(_T_23405, _T_23151) @[Mux.scala 27:72] + node _T_23407 = or(_T_23406, _T_23152) @[Mux.scala 27:72] + node _T_23408 = or(_T_23407, _T_23153) @[Mux.scala 27:72] + node _T_23409 = or(_T_23408, _T_23154) @[Mux.scala 27:72] + node _T_23410 = or(_T_23409, _T_23155) @[Mux.scala 27:72] + node _T_23411 = or(_T_23410, _T_23156) @[Mux.scala 27:72] + node _T_23412 = or(_T_23411, _T_23157) @[Mux.scala 27:72] + node _T_23413 = or(_T_23412, _T_23158) @[Mux.scala 27:72] + node _T_23414 = or(_T_23413, _T_23159) @[Mux.scala 27:72] + node _T_23415 = or(_T_23414, _T_23160) @[Mux.scala 27:72] + node _T_23416 = or(_T_23415, _T_23161) @[Mux.scala 27:72] + node _T_23417 = or(_T_23416, _T_23162) @[Mux.scala 27:72] + node _T_23418 = or(_T_23417, _T_23163) @[Mux.scala 27:72] + node _T_23419 = or(_T_23418, _T_23164) @[Mux.scala 27:72] + node _T_23420 = or(_T_23419, _T_23165) @[Mux.scala 27:72] + node _T_23421 = or(_T_23420, _T_23166) @[Mux.scala 27:72] + node _T_23422 = or(_T_23421, _T_23167) @[Mux.scala 27:72] + node _T_23423 = or(_T_23422, _T_23168) @[Mux.scala 27:72] + node _T_23424 = or(_T_23423, _T_23169) @[Mux.scala 27:72] + node _T_23425 = or(_T_23424, _T_23170) @[Mux.scala 27:72] + node _T_23426 = or(_T_23425, _T_23171) @[Mux.scala 27:72] + node _T_23427 = or(_T_23426, _T_23172) @[Mux.scala 27:72] + node _T_23428 = or(_T_23427, _T_23173) @[Mux.scala 27:72] + node _T_23429 = or(_T_23428, _T_23174) @[Mux.scala 27:72] + node _T_23430 = or(_T_23429, _T_23175) @[Mux.scala 27:72] + node _T_23431 = or(_T_23430, _T_23176) @[Mux.scala 27:72] + node _T_23432 = or(_T_23431, _T_23177) @[Mux.scala 27:72] + node _T_23433 = or(_T_23432, _T_23178) @[Mux.scala 27:72] + node _T_23434 = or(_T_23433, _T_23179) @[Mux.scala 27:72] + node _T_23435 = or(_T_23434, _T_23180) @[Mux.scala 27:72] + node _T_23436 = or(_T_23435, _T_23181) @[Mux.scala 27:72] + node _T_23437 = or(_T_23436, _T_23182) @[Mux.scala 27:72] + node _T_23438 = or(_T_23437, _T_23183) @[Mux.scala 27:72] + node _T_23439 = or(_T_23438, _T_23184) @[Mux.scala 27:72] + node _T_23440 = or(_T_23439, _T_23185) @[Mux.scala 27:72] + node _T_23441 = or(_T_23440, _T_23186) @[Mux.scala 27:72] + node _T_23442 = or(_T_23441, _T_23187) @[Mux.scala 27:72] + node _T_23443 = or(_T_23442, _T_23188) @[Mux.scala 27:72] + node _T_23444 = or(_T_23443, _T_23189) @[Mux.scala 27:72] + node _T_23445 = or(_T_23444, _T_23190) @[Mux.scala 27:72] + node _T_23446 = or(_T_23445, _T_23191) @[Mux.scala 27:72] + node _T_23447 = or(_T_23446, _T_23192) @[Mux.scala 27:72] + node _T_23448 = or(_T_23447, _T_23193) @[Mux.scala 27:72] + node _T_23449 = or(_T_23448, _T_23194) @[Mux.scala 27:72] + node _T_23450 = or(_T_23449, _T_23195) @[Mux.scala 27:72] + node _T_23451 = or(_T_23450, _T_23196) @[Mux.scala 27:72] + node _T_23452 = or(_T_23451, _T_23197) @[Mux.scala 27:72] + node _T_23453 = or(_T_23452, _T_23198) @[Mux.scala 27:72] + node _T_23454 = or(_T_23453, _T_23199) @[Mux.scala 27:72] + wire _T_23455 : UInt<2> @[Mux.scala 27:72] + _T_23455 <= _T_23454 @[Mux.scala 27:72] + bht_bank0_rd_data_p1_f <= _T_23455 @[ifu_bp_ctl.scala 456:26] + + extmodule gated_latch_648 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_648 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_648 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_649 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_649 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_649 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_650 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_650 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_650 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_651 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_651 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_651 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_652 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_652 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_652 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_653 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_653 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_653 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_654 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_654 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_654 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_655 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_655 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_655 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_656 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_656 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_656 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_657 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_657 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_657 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_658 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_658 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_658 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_659 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_659 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_659 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module ifu_compress_ctl : + input clock : Clock + input reset : Reset + output io : {flip din : UInt<16>, dout : UInt<32>} + + wire out : UInt<1>[32] @[ifu_compress_ctl.scala 14:17] + out[0] <= UInt<1>("h00") @[ifu_compress_ctl.scala 15:7] + out[1] <= UInt<1>("h00") @[ifu_compress_ctl.scala 15:7] + out[2] <= UInt<1>("h00") @[ifu_compress_ctl.scala 15:7] + out[3] <= UInt<1>("h00") @[ifu_compress_ctl.scala 15:7] + out[4] <= UInt<1>("h00") @[ifu_compress_ctl.scala 15:7] + out[5] <= UInt<1>("h00") @[ifu_compress_ctl.scala 15:7] + out[6] <= UInt<1>("h00") @[ifu_compress_ctl.scala 15:7] + out[7] <= UInt<1>("h00") @[ifu_compress_ctl.scala 15:7] + out[8] <= UInt<1>("h00") @[ifu_compress_ctl.scala 15:7] + out[9] <= UInt<1>("h00") @[ifu_compress_ctl.scala 15:7] + out[10] <= UInt<1>("h00") @[ifu_compress_ctl.scala 15:7] + out[11] <= UInt<1>("h00") @[ifu_compress_ctl.scala 15:7] + out[12] <= UInt<1>("h00") @[ifu_compress_ctl.scala 15:7] + out[13] <= UInt<1>("h00") @[ifu_compress_ctl.scala 15:7] + out[14] <= UInt<1>("h00") @[ifu_compress_ctl.scala 15:7] + out[15] <= UInt<1>("h00") @[ifu_compress_ctl.scala 15:7] + out[16] <= UInt<1>("h00") @[ifu_compress_ctl.scala 15:7] + out[17] <= UInt<1>("h00") @[ifu_compress_ctl.scala 15:7] + out[18] <= UInt<1>("h00") @[ifu_compress_ctl.scala 15:7] + out[19] <= UInt<1>("h00") @[ifu_compress_ctl.scala 15:7] + out[20] <= UInt<1>("h00") @[ifu_compress_ctl.scala 15:7] + out[21] <= UInt<1>("h00") @[ifu_compress_ctl.scala 15:7] + out[22] <= UInt<1>("h00") @[ifu_compress_ctl.scala 15:7] + out[23] <= UInt<1>("h00") @[ifu_compress_ctl.scala 15:7] + out[24] <= UInt<1>("h00") @[ifu_compress_ctl.scala 15:7] + out[25] <= UInt<1>("h00") @[ifu_compress_ctl.scala 15:7] + out[26] <= UInt<1>("h00") @[ifu_compress_ctl.scala 15:7] + out[27] <= UInt<1>("h00") @[ifu_compress_ctl.scala 15:7] + out[28] <= UInt<1>("h00") @[ifu_compress_ctl.scala 15:7] + out[29] <= UInt<1>("h00") @[ifu_compress_ctl.scala 15:7] + out[30] <= UInt<1>("h00") @[ifu_compress_ctl.scala 15:7] + out[31] <= UInt<1>("h00") @[ifu_compress_ctl.scala 15:7] + node _T = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:71] + node _T_1 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_2 = eq(_T_1, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_3 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:90] + node _T_4 = eq(_T_3, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_5 = bits(io.din, 10, 10) @[ifu_compress_ctl.scala 12:71] + node _T_6 = bits(io.din, 6, 6) @[ifu_compress_ctl.scala 12:90] + node _T_7 = eq(_T_6, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_8 = bits(io.din, 5, 5) @[ifu_compress_ctl.scala 12:90] + node _T_9 = eq(_T_8, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_10 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 12:71] + node _T_11 = and(_T, _T_2) @[ifu_compress_ctl.scala 12:110] + node _T_12 = and(_T_11, _T_4) @[ifu_compress_ctl.scala 12:110] + node _T_13 = and(_T_12, _T_5) @[ifu_compress_ctl.scala 12:110] + node _T_14 = and(_T_13, _T_7) @[ifu_compress_ctl.scala 12:110] + node _T_15 = and(_T_14, _T_9) @[ifu_compress_ctl.scala 12:110] + node _T_16 = and(_T_15, _T_10) @[ifu_compress_ctl.scala 12:110] + node _T_17 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:71] + node _T_18 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_19 = eq(_T_18, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_20 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:90] + node _T_21 = eq(_T_20, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_22 = bits(io.din, 11, 11) @[ifu_compress_ctl.scala 12:90] + node _T_23 = eq(_T_22, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_24 = bits(io.din, 10, 10) @[ifu_compress_ctl.scala 12:71] + node _T_25 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 12:71] + node _T_26 = and(_T_17, _T_19) @[ifu_compress_ctl.scala 12:110] + node _T_27 = and(_T_26, _T_21) @[ifu_compress_ctl.scala 12:110] + node _T_28 = and(_T_27, _T_23) @[ifu_compress_ctl.scala 12:110] + node _T_29 = and(_T_28, _T_24) @[ifu_compress_ctl.scala 12:110] + node _T_30 = and(_T_29, _T_25) @[ifu_compress_ctl.scala 12:110] + node _T_31 = or(_T_16, _T_30) @[ifu_compress_ctl.scala 17:53] + out[30] <= _T_31 @[ifu_compress_ctl.scala 17:11] + node _T_32 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_33 = eq(_T_32, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_34 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 12:71] + node _T_35 = bits(io.din, 11, 11) @[ifu_compress_ctl.scala 12:90] + node _T_36 = eq(_T_35, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_37 = bits(io.din, 10, 10) @[ifu_compress_ctl.scala 12:90] + node _T_38 = eq(_T_37, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_39 = bits(io.din, 9, 9) @[ifu_compress_ctl.scala 12:90] + node _T_40 = eq(_T_39, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_41 = bits(io.din, 8, 8) @[ifu_compress_ctl.scala 12:90] + node _T_42 = eq(_T_41, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_43 = bits(io.din, 7, 7) @[ifu_compress_ctl.scala 12:90] + node _T_44 = eq(_T_43, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_45 = bits(io.din, 6, 6) @[ifu_compress_ctl.scala 12:90] + node _T_46 = eq(_T_45, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_47 = bits(io.din, 5, 5) @[ifu_compress_ctl.scala 12:90] + node _T_48 = eq(_T_47, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_49 = bits(io.din, 4, 4) @[ifu_compress_ctl.scala 12:90] + node _T_50 = eq(_T_49, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_51 = bits(io.din, 3, 3) @[ifu_compress_ctl.scala 12:90] + node _T_52 = eq(_T_51, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_53 = bits(io.din, 2, 2) @[ifu_compress_ctl.scala 12:90] + node _T_54 = eq(_T_53, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_55 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_56 = and(_T_33, _T_34) @[ifu_compress_ctl.scala 12:110] + node _T_57 = and(_T_56, _T_36) @[ifu_compress_ctl.scala 12:110] + node _T_58 = and(_T_57, _T_38) @[ifu_compress_ctl.scala 12:110] + node _T_59 = and(_T_58, _T_40) @[ifu_compress_ctl.scala 12:110] + node _T_60 = and(_T_59, _T_42) @[ifu_compress_ctl.scala 12:110] + node _T_61 = and(_T_60, _T_44) @[ifu_compress_ctl.scala 12:110] + node _T_62 = and(_T_61, _T_46) @[ifu_compress_ctl.scala 12:110] + node _T_63 = and(_T_62, _T_48) @[ifu_compress_ctl.scala 12:110] + node _T_64 = and(_T_63, _T_50) @[ifu_compress_ctl.scala 12:110] + node _T_65 = and(_T_64, _T_52) @[ifu_compress_ctl.scala 12:110] + node _T_66 = and(_T_65, _T_54) @[ifu_compress_ctl.scala 12:110] + node _T_67 = and(_T_66, _T_55) @[ifu_compress_ctl.scala 12:110] + out[20] <= _T_67 @[ifu_compress_ctl.scala 19:11] + node _T_68 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:71] + node _T_69 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_70 = eq(_T_69, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_71 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:90] + node _T_72 = eq(_T_71, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_73 = bits(io.din, 11, 11) @[ifu_compress_ctl.scala 12:90] + node _T_74 = eq(_T_73, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_75 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 12:71] + node _T_76 = and(_T_68, _T_70) @[ifu_compress_ctl.scala 12:110] + node _T_77 = and(_T_76, _T_72) @[ifu_compress_ctl.scala 12:110] + node _T_78 = and(_T_77, _T_74) @[ifu_compress_ctl.scala 12:110] + node _T_79 = and(_T_78, _T_75) @[ifu_compress_ctl.scala 12:110] + node _T_80 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:71] + node _T_81 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_82 = eq(_T_81, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_83 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:90] + node _T_84 = eq(_T_83, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_85 = bits(io.din, 10, 10) @[ifu_compress_ctl.scala 12:90] + node _T_86 = eq(_T_85, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_87 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 12:71] + node _T_88 = and(_T_80, _T_82) @[ifu_compress_ctl.scala 12:110] + node _T_89 = and(_T_88, _T_84) @[ifu_compress_ctl.scala 12:110] + node _T_90 = and(_T_89, _T_86) @[ifu_compress_ctl.scala 12:110] + node _T_91 = and(_T_90, _T_87) @[ifu_compress_ctl.scala 12:110] + node _T_92 = or(_T_79, _T_91) @[ifu_compress_ctl.scala 21:46] + node _T_93 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:71] + node _T_94 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_95 = eq(_T_94, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_96 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:90] + node _T_97 = eq(_T_96, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_98 = bits(io.din, 6, 6) @[ifu_compress_ctl.scala 12:71] + node _T_99 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 12:71] + node _T_100 = and(_T_93, _T_95) @[ifu_compress_ctl.scala 12:110] + node _T_101 = and(_T_100, _T_97) @[ifu_compress_ctl.scala 12:110] + node _T_102 = and(_T_101, _T_98) @[ifu_compress_ctl.scala 12:110] + node _T_103 = and(_T_102, _T_99) @[ifu_compress_ctl.scala 12:110] + node _T_104 = or(_T_92, _T_103) @[ifu_compress_ctl.scala 21:80] + node _T_105 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:71] + node _T_106 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_107 = eq(_T_106, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_108 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:90] + node _T_109 = eq(_T_108, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_110 = bits(io.din, 5, 5) @[ifu_compress_ctl.scala 12:71] + node _T_111 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 12:71] + node _T_112 = and(_T_105, _T_107) @[ifu_compress_ctl.scala 12:110] + node _T_113 = and(_T_112, _T_109) @[ifu_compress_ctl.scala 12:110] + node _T_114 = and(_T_113, _T_110) @[ifu_compress_ctl.scala 12:110] + node _T_115 = and(_T_114, _T_111) @[ifu_compress_ctl.scala 12:110] + node _T_116 = or(_T_104, _T_115) @[ifu_compress_ctl.scala 21:113] + out[14] <= _T_116 @[ifu_compress_ctl.scala 21:11] + node _T_117 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:71] + node _T_118 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_119 = eq(_T_118, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_120 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:90] + node _T_121 = eq(_T_120, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_122 = bits(io.din, 11, 11) @[ifu_compress_ctl.scala 12:71] + node _T_123 = bits(io.din, 10, 10) @[ifu_compress_ctl.scala 12:90] + node _T_124 = eq(_T_123, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_125 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 12:71] + node _T_126 = and(_T_117, _T_119) @[ifu_compress_ctl.scala 12:110] + node _T_127 = and(_T_126, _T_121) @[ifu_compress_ctl.scala 12:110] + node _T_128 = and(_T_127, _T_122) @[ifu_compress_ctl.scala 12:110] + node _T_129 = and(_T_128, _T_124) @[ifu_compress_ctl.scala 12:110] + node _T_130 = and(_T_129, _T_125) @[ifu_compress_ctl.scala 12:110] + node _T_131 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:71] + node _T_132 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_133 = eq(_T_132, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_134 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:90] + node _T_135 = eq(_T_134, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_136 = bits(io.din, 11, 11) @[ifu_compress_ctl.scala 12:71] + node _T_137 = bits(io.din, 6, 6) @[ifu_compress_ctl.scala 12:71] + node _T_138 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 12:71] + node _T_139 = and(_T_131, _T_133) @[ifu_compress_ctl.scala 12:110] + node _T_140 = and(_T_139, _T_135) @[ifu_compress_ctl.scala 12:110] + node _T_141 = and(_T_140, _T_136) @[ifu_compress_ctl.scala 12:110] + node _T_142 = and(_T_141, _T_137) @[ifu_compress_ctl.scala 12:110] + node _T_143 = and(_T_142, _T_138) @[ifu_compress_ctl.scala 12:110] + node _T_144 = or(_T_130, _T_143) @[ifu_compress_ctl.scala 23:50] + node _T_145 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 23:95] + node _T_146 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 23:108] + node _T_147 = eq(_T_146, UInt<1>("h00")) @[ifu_compress_ctl.scala 23:101] + node _T_148 = and(_T_145, _T_147) @[ifu_compress_ctl.scala 23:99] + node _T_149 = or(_T_144, _T_148) @[ifu_compress_ctl.scala 23:86] + out[13] <= _T_149 @[ifu_compress_ctl.scala 23:11] + node _T_150 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:71] + node _T_151 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_152 = eq(_T_151, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_153 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:90] + node _T_154 = eq(_T_153, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_155 = bits(io.din, 6, 6) @[ifu_compress_ctl.scala 12:71] + node _T_156 = bits(io.din, 5, 5) @[ifu_compress_ctl.scala 12:71] + node _T_157 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 12:71] + node _T_158 = and(_T_150, _T_152) @[ifu_compress_ctl.scala 12:110] + node _T_159 = and(_T_158, _T_154) @[ifu_compress_ctl.scala 12:110] + node _T_160 = and(_T_159, _T_155) @[ifu_compress_ctl.scala 12:110] + node _T_161 = and(_T_160, _T_156) @[ifu_compress_ctl.scala 12:110] + node _T_162 = and(_T_161, _T_157) @[ifu_compress_ctl.scala 12:110] + node _T_163 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:71] + node _T_164 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_165 = eq(_T_164, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_166 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:90] + node _T_167 = eq(_T_166, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_168 = bits(io.din, 11, 11) @[ifu_compress_ctl.scala 12:90] + node _T_169 = eq(_T_168, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_170 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 12:71] + node _T_171 = and(_T_163, _T_165) @[ifu_compress_ctl.scala 12:110] + node _T_172 = and(_T_171, _T_167) @[ifu_compress_ctl.scala 12:110] + node _T_173 = and(_T_172, _T_169) @[ifu_compress_ctl.scala 12:110] + node _T_174 = and(_T_173, _T_170) @[ifu_compress_ctl.scala 12:110] + node _T_175 = or(_T_162, _T_174) @[ifu_compress_ctl.scala 25:47] + node _T_176 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:71] + node _T_177 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_178 = eq(_T_177, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_179 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:90] + node _T_180 = eq(_T_179, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_181 = bits(io.din, 10, 10) @[ifu_compress_ctl.scala 12:90] + node _T_182 = eq(_T_181, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_183 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 12:71] + node _T_184 = and(_T_176, _T_178) @[ifu_compress_ctl.scala 12:110] + node _T_185 = and(_T_184, _T_180) @[ifu_compress_ctl.scala 12:110] + node _T_186 = and(_T_185, _T_182) @[ifu_compress_ctl.scala 12:110] + node _T_187 = and(_T_186, _T_183) @[ifu_compress_ctl.scala 12:110] + node _T_188 = or(_T_175, _T_187) @[ifu_compress_ctl.scala 25:81] + node _T_189 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:90] + node _T_190 = eq(_T_189, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_191 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_192 = eq(_T_191, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_193 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_194 = and(_T_190, _T_192) @[ifu_compress_ctl.scala 12:110] + node _T_195 = and(_T_194, _T_193) @[ifu_compress_ctl.scala 12:110] + node _T_196 = or(_T_188, _T_195) @[ifu_compress_ctl.scala 25:115] + node _T_197 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:71] + node _T_198 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:71] + node _T_199 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:71] + node _T_200 = and(_T_197, _T_198) @[ifu_compress_ctl.scala 12:110] + node _T_201 = and(_T_200, _T_199) @[ifu_compress_ctl.scala 12:110] + node _T_202 = or(_T_196, _T_201) @[ifu_compress_ctl.scala 26:26] + out[12] <= _T_202 @[ifu_compress_ctl.scala 25:11] + node _T_203 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:71] + node _T_204 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_205 = eq(_T_204, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_206 = bits(io.din, 6, 6) @[ifu_compress_ctl.scala 12:90] + node _T_207 = eq(_T_206, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_208 = bits(io.din, 5, 5) @[ifu_compress_ctl.scala 12:90] + node _T_209 = eq(_T_208, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_210 = bits(io.din, 4, 4) @[ifu_compress_ctl.scala 12:90] + node _T_211 = eq(_T_210, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_212 = bits(io.din, 3, 3) @[ifu_compress_ctl.scala 12:90] + node _T_213 = eq(_T_212, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_214 = bits(io.din, 2, 2) @[ifu_compress_ctl.scala 12:90] + node _T_215 = eq(_T_214, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_216 = and(_T_203, _T_205) @[ifu_compress_ctl.scala 12:110] + node _T_217 = and(_T_216, _T_207) @[ifu_compress_ctl.scala 12:110] + node _T_218 = and(_T_217, _T_209) @[ifu_compress_ctl.scala 12:110] + node _T_219 = and(_T_218, _T_211) @[ifu_compress_ctl.scala 12:110] + node _T_220 = and(_T_219, _T_213) @[ifu_compress_ctl.scala 12:110] + node _T_221 = and(_T_220, _T_215) @[ifu_compress_ctl.scala 12:110] + node _T_222 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 28:62] + node _T_223 = eq(_T_222, UInt<1>("h00")) @[ifu_compress_ctl.scala 28:55] + node _T_224 = and(_T_221, _T_223) @[ifu_compress_ctl.scala 28:53] + node _T_225 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_226 = eq(_T_225, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_227 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:71] + node _T_228 = and(_T_226, _T_227) @[ifu_compress_ctl.scala 12:110] + node _T_229 = or(_T_224, _T_228) @[ifu_compress_ctl.scala 28:67] + node _T_230 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:71] + node _T_231 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:71] + node _T_232 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 12:71] + node _T_233 = and(_T_230, _T_231) @[ifu_compress_ctl.scala 12:110] + node _T_234 = and(_T_233, _T_232) @[ifu_compress_ctl.scala 12:110] + node _T_235 = or(_T_229, _T_234) @[ifu_compress_ctl.scala 28:88] + out[6] <= _T_235 @[ifu_compress_ctl.scala 28:10] + node _T_236 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 30:20] + node _T_237 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 30:33] + node _T_238 = eq(_T_237, UInt<1>("h00")) @[ifu_compress_ctl.scala 30:26] + node _T_239 = and(_T_236, _T_238) @[ifu_compress_ctl.scala 30:24] + node _T_240 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:71] + node _T_241 = bits(io.din, 11, 11) @[ifu_compress_ctl.scala 12:71] + node _T_242 = bits(io.din, 10, 10) @[ifu_compress_ctl.scala 12:71] + node _T_243 = and(_T_240, _T_241) @[ifu_compress_ctl.scala 12:110] + node _T_244 = and(_T_243, _T_242) @[ifu_compress_ctl.scala 12:110] + node _T_245 = or(_T_239, _T_244) @[ifu_compress_ctl.scala 30:39] + node _T_246 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:71] + node _T_247 = bits(io.din, 8, 8) @[ifu_compress_ctl.scala 12:90] + node _T_248 = eq(_T_247, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_249 = and(_T_246, _T_248) @[ifu_compress_ctl.scala 12:110] + node _T_250 = or(_T_245, _T_249) @[ifu_compress_ctl.scala 30:63] + node _T_251 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:71] + node _T_252 = bits(io.din, 7, 7) @[ifu_compress_ctl.scala 12:71] + node _T_253 = and(_T_251, _T_252) @[ifu_compress_ctl.scala 12:110] + node _T_254 = or(_T_250, _T_253) @[ifu_compress_ctl.scala 30:83] + node _T_255 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:71] + node _T_256 = bits(io.din, 9, 9) @[ifu_compress_ctl.scala 12:71] + node _T_257 = and(_T_255, _T_256) @[ifu_compress_ctl.scala 12:110] + node _T_258 = or(_T_254, _T_257) @[ifu_compress_ctl.scala 30:102] + node _T_259 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:71] + node _T_260 = bits(io.din, 10, 10) @[ifu_compress_ctl.scala 12:71] + node _T_261 = and(_T_259, _T_260) @[ifu_compress_ctl.scala 12:110] + node _T_262 = or(_T_258, _T_261) @[ifu_compress_ctl.scala 31:22] + node _T_263 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:71] + node _T_264 = bits(io.din, 11, 11) @[ifu_compress_ctl.scala 12:71] + node _T_265 = and(_T_263, _T_264) @[ifu_compress_ctl.scala 12:110] + node _T_266 = or(_T_262, _T_265) @[ifu_compress_ctl.scala 31:42] + node _T_267 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_268 = eq(_T_267, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_269 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:71] + node _T_270 = and(_T_268, _T_269) @[ifu_compress_ctl.scala 12:110] + node _T_271 = or(_T_266, _T_270) @[ifu_compress_ctl.scala 31:62] + node _T_272 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:71] + node _T_273 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:71] + node _T_274 = and(_T_272, _T_273) @[ifu_compress_ctl.scala 12:110] + node _T_275 = or(_T_271, _T_274) @[ifu_compress_ctl.scala 31:83] + out[5] <= _T_275 @[ifu_compress_ctl.scala 30:10] + node _T_276 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_277 = eq(_T_276, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_278 = bits(io.din, 11, 11) @[ifu_compress_ctl.scala 12:90] + node _T_279 = eq(_T_278, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_280 = bits(io.din, 10, 10) @[ifu_compress_ctl.scala 12:90] + node _T_281 = eq(_T_280, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_282 = bits(io.din, 9, 9) @[ifu_compress_ctl.scala 12:90] + node _T_283 = eq(_T_282, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_284 = bits(io.din, 8, 8) @[ifu_compress_ctl.scala 12:90] + node _T_285 = eq(_T_284, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_286 = bits(io.din, 7, 7) @[ifu_compress_ctl.scala 12:90] + node _T_287 = eq(_T_286, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_288 = and(_T_277, _T_279) @[ifu_compress_ctl.scala 12:110] + node _T_289 = and(_T_288, _T_281) @[ifu_compress_ctl.scala 12:110] + node _T_290 = and(_T_289, _T_283) @[ifu_compress_ctl.scala 12:110] + node _T_291 = and(_T_290, _T_285) @[ifu_compress_ctl.scala 12:110] + node _T_292 = and(_T_291, _T_287) @[ifu_compress_ctl.scala 12:110] + node _T_293 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 33:59] + node _T_294 = eq(_T_293, UInt<1>("h00")) @[ifu_compress_ctl.scala 33:52] + node _T_295 = and(_T_292, _T_294) @[ifu_compress_ctl.scala 33:50] + node _T_296 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:90] + node _T_297 = eq(_T_296, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_298 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_299 = eq(_T_298, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_300 = and(_T_297, _T_299) @[ifu_compress_ctl.scala 12:110] + node _T_301 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 33:96] + node _T_302 = eq(_T_301, UInt<1>("h00")) @[ifu_compress_ctl.scala 33:89] + node _T_303 = and(_T_300, _T_302) @[ifu_compress_ctl.scala 33:87] + node _T_304 = or(_T_295, _T_303) @[ifu_compress_ctl.scala 33:65] + node _T_305 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_306 = eq(_T_305, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_307 = bits(io.din, 6, 6) @[ifu_compress_ctl.scala 12:71] + node _T_308 = and(_T_306, _T_307) @[ifu_compress_ctl.scala 12:110] + node _T_309 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 34:32] + node _T_310 = eq(_T_309, UInt<1>("h00")) @[ifu_compress_ctl.scala 34:25] + node _T_311 = and(_T_308, _T_310) @[ifu_compress_ctl.scala 34:23] + node _T_312 = or(_T_304, _T_311) @[ifu_compress_ctl.scala 33:102] + node _T_313 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:90] + node _T_314 = eq(_T_313, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_315 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:71] + node _T_316 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 12:71] + node _T_317 = and(_T_314, _T_315) @[ifu_compress_ctl.scala 12:110] + node _T_318 = and(_T_317, _T_316) @[ifu_compress_ctl.scala 12:110] + node _T_319 = or(_T_312, _T_318) @[ifu_compress_ctl.scala 34:38] + node _T_320 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_321 = eq(_T_320, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_322 = bits(io.din, 5, 5) @[ifu_compress_ctl.scala 12:71] + node _T_323 = and(_T_321, _T_322) @[ifu_compress_ctl.scala 12:110] + node _T_324 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 34:91] + node _T_325 = eq(_T_324, UInt<1>("h00")) @[ifu_compress_ctl.scala 34:84] + node _T_326 = and(_T_323, _T_325) @[ifu_compress_ctl.scala 34:82] + node _T_327 = or(_T_319, _T_326) @[ifu_compress_ctl.scala 34:62] + node _T_328 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_329 = eq(_T_328, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_330 = bits(io.din, 4, 4) @[ifu_compress_ctl.scala 12:71] + node _T_331 = and(_T_329, _T_330) @[ifu_compress_ctl.scala 12:110] + node _T_332 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 35:32] + node _T_333 = eq(_T_332, UInt<1>("h00")) @[ifu_compress_ctl.scala 35:25] + node _T_334 = and(_T_331, _T_333) @[ifu_compress_ctl.scala 35:23] + node _T_335 = or(_T_327, _T_334) @[ifu_compress_ctl.scala 34:97] + node _T_336 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_337 = eq(_T_336, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_338 = bits(io.din, 3, 3) @[ifu_compress_ctl.scala 12:71] + node _T_339 = and(_T_337, _T_338) @[ifu_compress_ctl.scala 12:110] + node _T_340 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 35:67] + node _T_341 = eq(_T_340, UInt<1>("h00")) @[ifu_compress_ctl.scala 35:60] + node _T_342 = and(_T_339, _T_341) @[ifu_compress_ctl.scala 35:58] + node _T_343 = or(_T_335, _T_342) @[ifu_compress_ctl.scala 35:38] + node _T_344 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_345 = eq(_T_344, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_346 = bits(io.din, 2, 2) @[ifu_compress_ctl.scala 12:71] + node _T_347 = and(_T_345, _T_346) @[ifu_compress_ctl.scala 12:110] + node _T_348 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 35:102] + node _T_349 = eq(_T_348, UInt<1>("h00")) @[ifu_compress_ctl.scala 35:95] + node _T_350 = and(_T_347, _T_349) @[ifu_compress_ctl.scala 35:93] + node _T_351 = or(_T_343, _T_350) @[ifu_compress_ctl.scala 35:73] + node _T_352 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_353 = eq(_T_352, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_354 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:90] + node _T_355 = eq(_T_354, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_356 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 12:71] + node _T_357 = and(_T_353, _T_355) @[ifu_compress_ctl.scala 12:110] + node _T_358 = and(_T_357, _T_356) @[ifu_compress_ctl.scala 12:110] + node _T_359 = or(_T_351, _T_358) @[ifu_compress_ctl.scala 35:108] + out[4] <= _T_359 @[ifu_compress_ctl.scala 33:10] + node _T_360 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_361 = eq(_T_360, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_362 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:71] + node _T_363 = and(_T_361, _T_362) @[ifu_compress_ctl.scala 12:110] + out[3] <= _T_363 @[ifu_compress_ctl.scala 38:10] + node _T_364 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_365 = eq(_T_364, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_366 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 12:71] + node _T_367 = bits(io.din, 11, 11) @[ifu_compress_ctl.scala 12:71] + node _T_368 = bits(io.din, 6, 6) @[ifu_compress_ctl.scala 12:90] + node _T_369 = eq(_T_368, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_370 = bits(io.din, 5, 5) @[ifu_compress_ctl.scala 12:90] + node _T_371 = eq(_T_370, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_372 = bits(io.din, 4, 4) @[ifu_compress_ctl.scala 12:90] + node _T_373 = eq(_T_372, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_374 = bits(io.din, 3, 3) @[ifu_compress_ctl.scala 12:90] + node _T_375 = eq(_T_374, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_376 = bits(io.din, 2, 2) @[ifu_compress_ctl.scala 12:90] + node _T_377 = eq(_T_376, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_378 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_379 = and(_T_365, _T_366) @[ifu_compress_ctl.scala 12:110] + node _T_380 = and(_T_379, _T_367) @[ifu_compress_ctl.scala 12:110] + node _T_381 = and(_T_380, _T_369) @[ifu_compress_ctl.scala 12:110] + node _T_382 = and(_T_381, _T_371) @[ifu_compress_ctl.scala 12:110] + node _T_383 = and(_T_382, _T_373) @[ifu_compress_ctl.scala 12:110] + node _T_384 = and(_T_383, _T_375) @[ifu_compress_ctl.scala 12:110] + node _T_385 = and(_T_384, _T_377) @[ifu_compress_ctl.scala 12:110] + node _T_386 = and(_T_385, _T_378) @[ifu_compress_ctl.scala 12:110] + node _T_387 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_388 = eq(_T_387, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_389 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 12:71] + node _T_390 = bits(io.din, 10, 10) @[ifu_compress_ctl.scala 12:71] + node _T_391 = bits(io.din, 6, 6) @[ifu_compress_ctl.scala 12:90] + node _T_392 = eq(_T_391, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_393 = bits(io.din, 5, 5) @[ifu_compress_ctl.scala 12:90] + node _T_394 = eq(_T_393, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_395 = bits(io.din, 4, 4) @[ifu_compress_ctl.scala 12:90] + node _T_396 = eq(_T_395, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_397 = bits(io.din, 3, 3) @[ifu_compress_ctl.scala 12:90] + node _T_398 = eq(_T_397, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_399 = bits(io.din, 2, 2) @[ifu_compress_ctl.scala 12:90] + node _T_400 = eq(_T_399, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_401 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_402 = and(_T_388, _T_389) @[ifu_compress_ctl.scala 12:110] + node _T_403 = and(_T_402, _T_390) @[ifu_compress_ctl.scala 12:110] + node _T_404 = and(_T_403, _T_392) @[ifu_compress_ctl.scala 12:110] + node _T_405 = and(_T_404, _T_394) @[ifu_compress_ctl.scala 12:110] + node _T_406 = and(_T_405, _T_396) @[ifu_compress_ctl.scala 12:110] + node _T_407 = and(_T_406, _T_398) @[ifu_compress_ctl.scala 12:110] + node _T_408 = and(_T_407, _T_400) @[ifu_compress_ctl.scala 12:110] + node _T_409 = and(_T_408, _T_401) @[ifu_compress_ctl.scala 12:110] + node _T_410 = or(_T_386, _T_409) @[ifu_compress_ctl.scala 40:59] + node _T_411 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_412 = eq(_T_411, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_413 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 12:71] + node _T_414 = bits(io.din, 9, 9) @[ifu_compress_ctl.scala 12:71] + node _T_415 = bits(io.din, 6, 6) @[ifu_compress_ctl.scala 12:90] + node _T_416 = eq(_T_415, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_417 = bits(io.din, 5, 5) @[ifu_compress_ctl.scala 12:90] + node _T_418 = eq(_T_417, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_419 = bits(io.din, 4, 4) @[ifu_compress_ctl.scala 12:90] + node _T_420 = eq(_T_419, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_421 = bits(io.din, 3, 3) @[ifu_compress_ctl.scala 12:90] + node _T_422 = eq(_T_421, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_423 = bits(io.din, 2, 2) @[ifu_compress_ctl.scala 12:90] + node _T_424 = eq(_T_423, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_425 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_426 = and(_T_412, _T_413) @[ifu_compress_ctl.scala 12:110] + node _T_427 = and(_T_426, _T_414) @[ifu_compress_ctl.scala 12:110] + node _T_428 = and(_T_427, _T_416) @[ifu_compress_ctl.scala 12:110] + node _T_429 = and(_T_428, _T_418) @[ifu_compress_ctl.scala 12:110] + node _T_430 = and(_T_429, _T_420) @[ifu_compress_ctl.scala 12:110] + node _T_431 = and(_T_430, _T_422) @[ifu_compress_ctl.scala 12:110] + node _T_432 = and(_T_431, _T_424) @[ifu_compress_ctl.scala 12:110] + node _T_433 = and(_T_432, _T_425) @[ifu_compress_ctl.scala 12:110] + node _T_434 = or(_T_410, _T_433) @[ifu_compress_ctl.scala 40:107] + node _T_435 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_436 = eq(_T_435, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_437 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 12:71] + node _T_438 = bits(io.din, 8, 8) @[ifu_compress_ctl.scala 12:71] + node _T_439 = bits(io.din, 6, 6) @[ifu_compress_ctl.scala 12:90] + node _T_440 = eq(_T_439, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_441 = bits(io.din, 5, 5) @[ifu_compress_ctl.scala 12:90] + node _T_442 = eq(_T_441, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_443 = bits(io.din, 4, 4) @[ifu_compress_ctl.scala 12:90] + node _T_444 = eq(_T_443, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_445 = bits(io.din, 3, 3) @[ifu_compress_ctl.scala 12:90] + node _T_446 = eq(_T_445, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_447 = bits(io.din, 2, 2) @[ifu_compress_ctl.scala 12:90] + node _T_448 = eq(_T_447, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_449 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_450 = and(_T_436, _T_437) @[ifu_compress_ctl.scala 12:110] + node _T_451 = and(_T_450, _T_438) @[ifu_compress_ctl.scala 12:110] + node _T_452 = and(_T_451, _T_440) @[ifu_compress_ctl.scala 12:110] + node _T_453 = and(_T_452, _T_442) @[ifu_compress_ctl.scala 12:110] + node _T_454 = and(_T_453, _T_444) @[ifu_compress_ctl.scala 12:110] + node _T_455 = and(_T_454, _T_446) @[ifu_compress_ctl.scala 12:110] + node _T_456 = and(_T_455, _T_448) @[ifu_compress_ctl.scala 12:110] + node _T_457 = and(_T_456, _T_449) @[ifu_compress_ctl.scala 12:110] + node _T_458 = or(_T_434, _T_457) @[ifu_compress_ctl.scala 41:50] + node _T_459 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_460 = eq(_T_459, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_461 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 12:71] + node _T_462 = bits(io.din, 7, 7) @[ifu_compress_ctl.scala 12:71] + node _T_463 = bits(io.din, 6, 6) @[ifu_compress_ctl.scala 12:90] + node _T_464 = eq(_T_463, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_465 = bits(io.din, 5, 5) @[ifu_compress_ctl.scala 12:90] + node _T_466 = eq(_T_465, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_467 = bits(io.din, 4, 4) @[ifu_compress_ctl.scala 12:90] + node _T_468 = eq(_T_467, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_469 = bits(io.din, 3, 3) @[ifu_compress_ctl.scala 12:90] + node _T_470 = eq(_T_469, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_471 = bits(io.din, 2, 2) @[ifu_compress_ctl.scala 12:90] + node _T_472 = eq(_T_471, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_473 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_474 = and(_T_460, _T_461) @[ifu_compress_ctl.scala 12:110] + node _T_475 = and(_T_474, _T_462) @[ifu_compress_ctl.scala 12:110] + node _T_476 = and(_T_475, _T_464) @[ifu_compress_ctl.scala 12:110] + node _T_477 = and(_T_476, _T_466) @[ifu_compress_ctl.scala 12:110] + node _T_478 = and(_T_477, _T_468) @[ifu_compress_ctl.scala 12:110] + node _T_479 = and(_T_478, _T_470) @[ifu_compress_ctl.scala 12:110] + node _T_480 = and(_T_479, _T_472) @[ifu_compress_ctl.scala 12:110] + node _T_481 = and(_T_480, _T_473) @[ifu_compress_ctl.scala 12:110] + node _T_482 = or(_T_458, _T_481) @[ifu_compress_ctl.scala 41:94] + node _T_483 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:71] + node _T_484 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_485 = eq(_T_484, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_486 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 12:90] + node _T_487 = eq(_T_486, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_488 = bits(io.din, 6, 6) @[ifu_compress_ctl.scala 12:90] + node _T_489 = eq(_T_488, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_490 = bits(io.din, 5, 5) @[ifu_compress_ctl.scala 12:90] + node _T_491 = eq(_T_490, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_492 = bits(io.din, 4, 4) @[ifu_compress_ctl.scala 12:90] + node _T_493 = eq(_T_492, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_494 = bits(io.din, 3, 3) @[ifu_compress_ctl.scala 12:90] + node _T_495 = eq(_T_494, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_496 = bits(io.din, 2, 2) @[ifu_compress_ctl.scala 12:90] + node _T_497 = eq(_T_496, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_498 = and(_T_483, _T_485) @[ifu_compress_ctl.scala 12:110] + node _T_499 = and(_T_498, _T_487) @[ifu_compress_ctl.scala 12:110] + node _T_500 = and(_T_499, _T_489) @[ifu_compress_ctl.scala 12:110] + node _T_501 = and(_T_500, _T_491) @[ifu_compress_ctl.scala 12:110] + node _T_502 = and(_T_501, _T_493) @[ifu_compress_ctl.scala 12:110] + node _T_503 = and(_T_502, _T_495) @[ifu_compress_ctl.scala 12:110] + node _T_504 = and(_T_503, _T_497) @[ifu_compress_ctl.scala 12:110] + node _T_505 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 42:103] + node _T_506 = eq(_T_505, UInt<1>("h00")) @[ifu_compress_ctl.scala 42:96] + node _T_507 = and(_T_504, _T_506) @[ifu_compress_ctl.scala 42:94] + node _T_508 = or(_T_482, _T_507) @[ifu_compress_ctl.scala 42:49] + node _T_509 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:90] + node _T_510 = eq(_T_509, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_511 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:71] + node _T_512 = bits(io.din, 8, 8) @[ifu_compress_ctl.scala 12:90] + node _T_513 = eq(_T_512, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_514 = and(_T_510, _T_511) @[ifu_compress_ctl.scala 12:110] + node _T_515 = and(_T_514, _T_513) @[ifu_compress_ctl.scala 12:110] + node _T_516 = or(_T_508, _T_515) @[ifu_compress_ctl.scala 42:109] + node _T_517 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:90] + node _T_518 = eq(_T_517, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_519 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:71] + node _T_520 = bits(io.din, 7, 7) @[ifu_compress_ctl.scala 12:71] + node _T_521 = and(_T_518, _T_519) @[ifu_compress_ctl.scala 12:110] + node _T_522 = and(_T_521, _T_520) @[ifu_compress_ctl.scala 12:110] + node _T_523 = or(_T_516, _T_522) @[ifu_compress_ctl.scala 43:26] + node _T_524 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:90] + node _T_525 = eq(_T_524, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_526 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:71] + node _T_527 = bits(io.din, 9, 9) @[ifu_compress_ctl.scala 12:71] + node _T_528 = and(_T_525, _T_526) @[ifu_compress_ctl.scala 12:110] + node _T_529 = and(_T_528, _T_527) @[ifu_compress_ctl.scala 12:110] + node _T_530 = or(_T_523, _T_529) @[ifu_compress_ctl.scala 43:48] + node _T_531 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:90] + node _T_532 = eq(_T_531, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_533 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:71] + node _T_534 = bits(io.din, 10, 10) @[ifu_compress_ctl.scala 12:71] + node _T_535 = and(_T_532, _T_533) @[ifu_compress_ctl.scala 12:110] + node _T_536 = and(_T_535, _T_534) @[ifu_compress_ctl.scala 12:110] + node _T_537 = or(_T_530, _T_536) @[ifu_compress_ctl.scala 43:70] + node _T_538 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:90] + node _T_539 = eq(_T_538, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_540 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:71] + node _T_541 = bits(io.din, 11, 11) @[ifu_compress_ctl.scala 12:71] + node _T_542 = and(_T_539, _T_540) @[ifu_compress_ctl.scala 12:110] + node _T_543 = and(_T_542, _T_541) @[ifu_compress_ctl.scala 12:110] + node _T_544 = or(_T_537, _T_543) @[ifu_compress_ctl.scala 43:93] + node _T_545 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_546 = eq(_T_545, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_547 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:71] + node _T_548 = and(_T_546, _T_547) @[ifu_compress_ctl.scala 12:110] + node _T_549 = or(_T_544, _T_548) @[ifu_compress_ctl.scala 44:26] + out[2] <= _T_549 @[ifu_compress_ctl.scala 40:10] + out[1] <= UInt<1>("h01") @[ifu_compress_ctl.scala 46:10] + out[0] <= UInt<1>("h01") @[ifu_compress_ctl.scala 48:10] + node rs2d = bits(io.din, 6, 2) @[ifu_compress_ctl.scala 50:20] + node rdd = bits(io.din, 11, 7) @[ifu_compress_ctl.scala 51:19] + node _T_550 = bits(io.din, 9, 7) @[ifu_compress_ctl.scala 52:34] + node rdpd = cat(UInt<2>("h01"), _T_550) @[Cat.scala 29:58] + node _T_551 = bits(io.din, 4, 2) @[ifu_compress_ctl.scala 53:35] + node rs2pd = cat(UInt<2>("h01"), _T_551) @[Cat.scala 29:58] + node _T_552 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_553 = eq(_T_552, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_554 = bits(io.din, 6, 6) @[ifu_compress_ctl.scala 12:71] + node _T_555 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_556 = and(_T_553, _T_554) @[ifu_compress_ctl.scala 12:110] + node _T_557 = and(_T_556, _T_555) @[ifu_compress_ctl.scala 12:110] + node _T_558 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:90] + node _T_559 = eq(_T_558, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_560 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:71] + node _T_561 = bits(io.din, 11, 11) @[ifu_compress_ctl.scala 12:71] + node _T_562 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 12:71] + node _T_563 = and(_T_559, _T_560) @[ifu_compress_ctl.scala 12:110] + node _T_564 = and(_T_563, _T_561) @[ifu_compress_ctl.scala 12:110] + node _T_565 = and(_T_564, _T_562) @[ifu_compress_ctl.scala 12:110] + node _T_566 = or(_T_557, _T_565) @[ifu_compress_ctl.scala 55:33] + node _T_567 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_568 = eq(_T_567, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_569 = bits(io.din, 5, 5) @[ifu_compress_ctl.scala 12:71] + node _T_570 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_571 = and(_T_568, _T_569) @[ifu_compress_ctl.scala 12:110] + node _T_572 = and(_T_571, _T_570) @[ifu_compress_ctl.scala 12:110] + node _T_573 = or(_T_566, _T_572) @[ifu_compress_ctl.scala 55:58] + node _T_574 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:90] + node _T_575 = eq(_T_574, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_576 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:71] + node _T_577 = bits(io.din, 10, 10) @[ifu_compress_ctl.scala 12:71] + node _T_578 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 12:71] + node _T_579 = and(_T_575, _T_576) @[ifu_compress_ctl.scala 12:110] + node _T_580 = and(_T_579, _T_577) @[ifu_compress_ctl.scala 12:110] + node _T_581 = and(_T_580, _T_578) @[ifu_compress_ctl.scala 12:110] + node _T_582 = or(_T_573, _T_581) @[ifu_compress_ctl.scala 55:79] + node _T_583 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_584 = eq(_T_583, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_585 = bits(io.din, 4, 4) @[ifu_compress_ctl.scala 12:71] + node _T_586 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_587 = and(_T_584, _T_585) @[ifu_compress_ctl.scala 12:110] + node _T_588 = and(_T_587, _T_586) @[ifu_compress_ctl.scala 12:110] + node _T_589 = or(_T_582, _T_588) @[ifu_compress_ctl.scala 55:104] + node _T_590 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:90] + node _T_591 = eq(_T_590, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_592 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:71] + node _T_593 = bits(io.din, 9, 9) @[ifu_compress_ctl.scala 12:71] + node _T_594 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 12:71] + node _T_595 = and(_T_591, _T_592) @[ifu_compress_ctl.scala 12:110] + node _T_596 = and(_T_595, _T_593) @[ifu_compress_ctl.scala 12:110] + node _T_597 = and(_T_596, _T_594) @[ifu_compress_ctl.scala 12:110] + node _T_598 = or(_T_589, _T_597) @[ifu_compress_ctl.scala 56:24] + node _T_599 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_600 = eq(_T_599, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_601 = bits(io.din, 3, 3) @[ifu_compress_ctl.scala 12:71] + node _T_602 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_603 = and(_T_600, _T_601) @[ifu_compress_ctl.scala 12:110] + node _T_604 = and(_T_603, _T_602) @[ifu_compress_ctl.scala 12:110] + node _T_605 = or(_T_598, _T_604) @[ifu_compress_ctl.scala 56:48] + node _T_606 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:90] + node _T_607 = eq(_T_606, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_608 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:71] + node _T_609 = bits(io.din, 8, 8) @[ifu_compress_ctl.scala 12:90] + node _T_610 = eq(_T_609, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_611 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 12:71] + node _T_612 = and(_T_607, _T_608) @[ifu_compress_ctl.scala 12:110] + node _T_613 = and(_T_612, _T_610) @[ifu_compress_ctl.scala 12:110] + node _T_614 = and(_T_613, _T_611) @[ifu_compress_ctl.scala 12:110] + node _T_615 = or(_T_605, _T_614) @[ifu_compress_ctl.scala 56:69] + node _T_616 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_617 = eq(_T_616, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_618 = bits(io.din, 2, 2) @[ifu_compress_ctl.scala 12:71] + node _T_619 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_620 = and(_T_617, _T_618) @[ifu_compress_ctl.scala 12:110] + node _T_621 = and(_T_620, _T_619) @[ifu_compress_ctl.scala 12:110] + node _T_622 = or(_T_615, _T_621) @[ifu_compress_ctl.scala 56:94] + node _T_623 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:90] + node _T_624 = eq(_T_623, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_625 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:71] + node _T_626 = bits(io.din, 7, 7) @[ifu_compress_ctl.scala 12:71] + node _T_627 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 12:71] + node _T_628 = and(_T_624, _T_625) @[ifu_compress_ctl.scala 12:110] + node _T_629 = and(_T_628, _T_626) @[ifu_compress_ctl.scala 12:110] + node _T_630 = and(_T_629, _T_627) @[ifu_compress_ctl.scala 12:110] + node _T_631 = or(_T_622, _T_630) @[ifu_compress_ctl.scala 57:22] + node _T_632 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:90] + node _T_633 = eq(_T_632, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_634 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_635 = and(_T_633, _T_634) @[ifu_compress_ctl.scala 12:110] + node _T_636 = or(_T_631, _T_635) @[ifu_compress_ctl.scala 57:46] + node _T_637 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:90] + node _T_638 = eq(_T_637, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_639 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:90] + node _T_640 = eq(_T_639, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_641 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 12:71] + node _T_642 = and(_T_638, _T_640) @[ifu_compress_ctl.scala 12:110] + node _T_643 = and(_T_642, _T_641) @[ifu_compress_ctl.scala 12:110] + node rdrd = or(_T_636, _T_643) @[ifu_compress_ctl.scala 57:65] + node _T_644 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_645 = eq(_T_644, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_646 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 12:71] + node _T_647 = bits(io.din, 11, 11) @[ifu_compress_ctl.scala 12:71] + node _T_648 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_649 = and(_T_645, _T_646) @[ifu_compress_ctl.scala 12:110] + node _T_650 = and(_T_649, _T_647) @[ifu_compress_ctl.scala 12:110] + node _T_651 = and(_T_650, _T_648) @[ifu_compress_ctl.scala 12:110] + node _T_652 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_653 = eq(_T_652, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_654 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 12:71] + node _T_655 = bits(io.din, 10, 10) @[ifu_compress_ctl.scala 12:71] + node _T_656 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_657 = and(_T_653, _T_654) @[ifu_compress_ctl.scala 12:110] + node _T_658 = and(_T_657, _T_655) @[ifu_compress_ctl.scala 12:110] + node _T_659 = and(_T_658, _T_656) @[ifu_compress_ctl.scala 12:110] + node _T_660 = or(_T_651, _T_659) @[ifu_compress_ctl.scala 59:38] + node _T_661 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_662 = eq(_T_661, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_663 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 12:71] + node _T_664 = bits(io.din, 9, 9) @[ifu_compress_ctl.scala 12:71] + node _T_665 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_666 = and(_T_662, _T_663) @[ifu_compress_ctl.scala 12:110] + node _T_667 = and(_T_666, _T_664) @[ifu_compress_ctl.scala 12:110] + node _T_668 = and(_T_667, _T_665) @[ifu_compress_ctl.scala 12:110] + node _T_669 = or(_T_660, _T_668) @[ifu_compress_ctl.scala 59:63] + node _T_670 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_671 = eq(_T_670, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_672 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 12:71] + node _T_673 = bits(io.din, 8, 8) @[ifu_compress_ctl.scala 12:71] + node _T_674 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_675 = and(_T_671, _T_672) @[ifu_compress_ctl.scala 12:110] + node _T_676 = and(_T_675, _T_673) @[ifu_compress_ctl.scala 12:110] + node _T_677 = and(_T_676, _T_674) @[ifu_compress_ctl.scala 12:110] + node _T_678 = or(_T_669, _T_677) @[ifu_compress_ctl.scala 59:87] + node _T_679 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_680 = eq(_T_679, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_681 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 12:71] + node _T_682 = bits(io.din, 7, 7) @[ifu_compress_ctl.scala 12:71] + node _T_683 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_684 = and(_T_680, _T_681) @[ifu_compress_ctl.scala 12:110] + node _T_685 = and(_T_684, _T_682) @[ifu_compress_ctl.scala 12:110] + node _T_686 = and(_T_685, _T_683) @[ifu_compress_ctl.scala 12:110] + node _T_687 = or(_T_678, _T_686) @[ifu_compress_ctl.scala 60:27] + node _T_688 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_689 = eq(_T_688, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_690 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 12:90] + node _T_691 = eq(_T_690, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_692 = bits(io.din, 6, 6) @[ifu_compress_ctl.scala 12:90] + node _T_693 = eq(_T_692, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_694 = bits(io.din, 5, 5) @[ifu_compress_ctl.scala 12:90] + node _T_695 = eq(_T_694, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_696 = bits(io.din, 4, 4) @[ifu_compress_ctl.scala 12:90] + node _T_697 = eq(_T_696, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_698 = bits(io.din, 3, 3) @[ifu_compress_ctl.scala 12:90] + node _T_699 = eq(_T_698, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_700 = bits(io.din, 2, 2) @[ifu_compress_ctl.scala 12:90] + node _T_701 = eq(_T_700, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_702 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_703 = and(_T_689, _T_691) @[ifu_compress_ctl.scala 12:110] + node _T_704 = and(_T_703, _T_693) @[ifu_compress_ctl.scala 12:110] + node _T_705 = and(_T_704, _T_695) @[ifu_compress_ctl.scala 12:110] + node _T_706 = and(_T_705, _T_697) @[ifu_compress_ctl.scala 12:110] + node _T_707 = and(_T_706, _T_699) @[ifu_compress_ctl.scala 12:110] + node _T_708 = and(_T_707, _T_701) @[ifu_compress_ctl.scala 12:110] + node _T_709 = and(_T_708, _T_702) @[ifu_compress_ctl.scala 12:110] + node _T_710 = or(_T_687, _T_709) @[ifu_compress_ctl.scala 60:51] + node _T_711 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_712 = eq(_T_711, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_713 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 12:71] + node _T_714 = bits(io.din, 6, 6) @[ifu_compress_ctl.scala 12:71] + node _T_715 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_716 = and(_T_712, _T_713) @[ifu_compress_ctl.scala 12:110] + node _T_717 = and(_T_716, _T_714) @[ifu_compress_ctl.scala 12:110] + node _T_718 = and(_T_717, _T_715) @[ifu_compress_ctl.scala 12:110] + node _T_719 = or(_T_710, _T_718) @[ifu_compress_ctl.scala 60:89] + node _T_720 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_721 = eq(_T_720, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_722 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 12:71] + node _T_723 = bits(io.din, 5, 5) @[ifu_compress_ctl.scala 12:71] + node _T_724 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_725 = and(_T_721, _T_722) @[ifu_compress_ctl.scala 12:110] + node _T_726 = and(_T_725, _T_723) @[ifu_compress_ctl.scala 12:110] + node _T_727 = and(_T_726, _T_724) @[ifu_compress_ctl.scala 12:110] + node _T_728 = or(_T_719, _T_727) @[ifu_compress_ctl.scala 61:27] + node _T_729 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_730 = eq(_T_729, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_731 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 12:71] + node _T_732 = bits(io.din, 4, 4) @[ifu_compress_ctl.scala 12:71] + node _T_733 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_734 = and(_T_730, _T_731) @[ifu_compress_ctl.scala 12:110] + node _T_735 = and(_T_734, _T_732) @[ifu_compress_ctl.scala 12:110] + node _T_736 = and(_T_735, _T_733) @[ifu_compress_ctl.scala 12:110] + node _T_737 = or(_T_728, _T_736) @[ifu_compress_ctl.scala 61:51] + node _T_738 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_739 = eq(_T_738, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_740 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 12:71] + node _T_741 = bits(io.din, 3, 3) @[ifu_compress_ctl.scala 12:71] + node _T_742 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_743 = and(_T_739, _T_740) @[ifu_compress_ctl.scala 12:110] + node _T_744 = and(_T_743, _T_741) @[ifu_compress_ctl.scala 12:110] + node _T_745 = and(_T_744, _T_742) @[ifu_compress_ctl.scala 12:110] + node _T_746 = or(_T_737, _T_745) @[ifu_compress_ctl.scala 61:75] + node _T_747 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_748 = eq(_T_747, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_749 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 12:71] + node _T_750 = bits(io.din, 2, 2) @[ifu_compress_ctl.scala 12:71] + node _T_751 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_752 = and(_T_748, _T_749) @[ifu_compress_ctl.scala 12:110] + node _T_753 = and(_T_752, _T_750) @[ifu_compress_ctl.scala 12:110] + node _T_754 = and(_T_753, _T_751) @[ifu_compress_ctl.scala 12:110] + node _T_755 = or(_T_746, _T_754) @[ifu_compress_ctl.scala 61:99] + node _T_756 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:90] + node _T_757 = eq(_T_756, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_758 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_759 = eq(_T_758, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_760 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:90] + node _T_761 = eq(_T_760, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_762 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 12:71] + node _T_763 = and(_T_757, _T_759) @[ifu_compress_ctl.scala 12:110] + node _T_764 = and(_T_763, _T_761) @[ifu_compress_ctl.scala 12:110] + node _T_765 = and(_T_764, _T_762) @[ifu_compress_ctl.scala 12:110] + node _T_766 = or(_T_755, _T_765) @[ifu_compress_ctl.scala 62:27] + node _T_767 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:90] + node _T_768 = eq(_T_767, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_769 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_770 = eq(_T_769, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_771 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_772 = and(_T_768, _T_770) @[ifu_compress_ctl.scala 12:110] + node _T_773 = and(_T_772, _T_771) @[ifu_compress_ctl.scala 12:110] + node rdrs1 = or(_T_766, _T_773) @[ifu_compress_ctl.scala 62:54] + node _T_774 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:71] + node _T_775 = bits(io.din, 6, 6) @[ifu_compress_ctl.scala 12:71] + node _T_776 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_777 = and(_T_774, _T_775) @[ifu_compress_ctl.scala 12:110] + node _T_778 = and(_T_777, _T_776) @[ifu_compress_ctl.scala 12:110] + node _T_779 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:71] + node _T_780 = bits(io.din, 5, 5) @[ifu_compress_ctl.scala 12:71] + node _T_781 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_782 = and(_T_779, _T_780) @[ifu_compress_ctl.scala 12:110] + node _T_783 = and(_T_782, _T_781) @[ifu_compress_ctl.scala 12:110] + node _T_784 = or(_T_778, _T_783) @[ifu_compress_ctl.scala 64:34] + node _T_785 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:71] + node _T_786 = bits(io.din, 4, 4) @[ifu_compress_ctl.scala 12:71] + node _T_787 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_788 = and(_T_785, _T_786) @[ifu_compress_ctl.scala 12:110] + node _T_789 = and(_T_788, _T_787) @[ifu_compress_ctl.scala 12:110] + node _T_790 = or(_T_784, _T_789) @[ifu_compress_ctl.scala 64:54] + node _T_791 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:71] + node _T_792 = bits(io.din, 3, 3) @[ifu_compress_ctl.scala 12:71] + node _T_793 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_794 = and(_T_791, _T_792) @[ifu_compress_ctl.scala 12:110] + node _T_795 = and(_T_794, _T_793) @[ifu_compress_ctl.scala 12:110] + node _T_796 = or(_T_790, _T_795) @[ifu_compress_ctl.scala 64:74] + node _T_797 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:71] + node _T_798 = bits(io.din, 2, 2) @[ifu_compress_ctl.scala 12:71] + node _T_799 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_800 = and(_T_797, _T_798) @[ifu_compress_ctl.scala 12:110] + node _T_801 = and(_T_800, _T_799) @[ifu_compress_ctl.scala 12:110] + node _T_802 = or(_T_796, _T_801) @[ifu_compress_ctl.scala 64:94] + node _T_803 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:71] + node _T_804 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:71] + node _T_805 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_806 = and(_T_803, _T_804) @[ifu_compress_ctl.scala 12:110] + node _T_807 = and(_T_806, _T_805) @[ifu_compress_ctl.scala 12:110] + node rs2rs2 = or(_T_802, _T_807) @[ifu_compress_ctl.scala 64:114] + node _T_808 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:71] + node _T_809 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_810 = eq(_T_809, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_811 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:90] + node _T_812 = eq(_T_811, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_813 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 12:71] + node _T_814 = and(_T_808, _T_810) @[ifu_compress_ctl.scala 12:110] + node _T_815 = and(_T_814, _T_812) @[ifu_compress_ctl.scala 12:110] + node rdprd = and(_T_815, _T_813) @[ifu_compress_ctl.scala 12:110] + node _T_816 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:71] + node _T_817 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:90] + node _T_818 = eq(_T_817, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_819 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 12:71] + node _T_820 = and(_T_816, _T_818) @[ifu_compress_ctl.scala 12:110] + node _T_821 = and(_T_820, _T_819) @[ifu_compress_ctl.scala 12:110] + node _T_822 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:71] + node _T_823 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:71] + node _T_824 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 12:71] + node _T_825 = and(_T_822, _T_823) @[ifu_compress_ctl.scala 12:110] + node _T_826 = and(_T_825, _T_824) @[ifu_compress_ctl.scala 12:110] + node _T_827 = or(_T_821, _T_826) @[ifu_compress_ctl.scala 68:36] + node _T_828 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:71] + node _T_829 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:90] + node _T_830 = eq(_T_829, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_831 = and(_T_828, _T_830) @[ifu_compress_ctl.scala 12:110] + node _T_832 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 68:85] + node _T_833 = eq(_T_832, UInt<1>("h00")) @[ifu_compress_ctl.scala 68:78] + node _T_834 = and(_T_831, _T_833) @[ifu_compress_ctl.scala 68:76] + node rdprs1 = or(_T_827, _T_834) @[ifu_compress_ctl.scala 68:57] + node _T_835 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:71] + node _T_836 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_837 = eq(_T_836, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_838 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:90] + node _T_839 = eq(_T_838, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_840 = bits(io.din, 11, 11) @[ifu_compress_ctl.scala 12:71] + node _T_841 = bits(io.din, 10, 10) @[ifu_compress_ctl.scala 12:71] + node _T_842 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 12:71] + node _T_843 = and(_T_835, _T_837) @[ifu_compress_ctl.scala 12:110] + node _T_844 = and(_T_843, _T_839) @[ifu_compress_ctl.scala 12:110] + node _T_845 = and(_T_844, _T_840) @[ifu_compress_ctl.scala 12:110] + node _T_846 = and(_T_845, _T_841) @[ifu_compress_ctl.scala 12:110] + node _T_847 = and(_T_846, _T_842) @[ifu_compress_ctl.scala 12:110] + node _T_848 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:71] + node _T_849 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:90] + node _T_850 = eq(_T_849, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_851 = and(_T_848, _T_850) @[ifu_compress_ctl.scala 12:110] + node _T_852 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 70:75] + node _T_853 = eq(_T_852, UInt<1>("h00")) @[ifu_compress_ctl.scala 70:68] + node _T_854 = and(_T_851, _T_853) @[ifu_compress_ctl.scala 70:66] + node rs2prs2 = or(_T_847, _T_854) @[ifu_compress_ctl.scala 70:47] + node _T_855 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:90] + node _T_856 = eq(_T_855, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_857 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:90] + node _T_858 = eq(_T_857, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_859 = and(_T_856, _T_858) @[ifu_compress_ctl.scala 12:110] + node _T_860 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 72:42] + node _T_861 = eq(_T_860, UInt<1>("h00")) @[ifu_compress_ctl.scala 72:35] + node rs2prd = and(_T_859, _T_861) @[ifu_compress_ctl.scala 72:33] + node _T_862 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_863 = eq(_T_862, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_864 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:90] + node _T_865 = eq(_T_864, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_866 = and(_T_863, _T_865) @[ifu_compress_ctl.scala 12:110] + node _T_867 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 74:43] + node _T_868 = eq(_T_867, UInt<1>("h00")) @[ifu_compress_ctl.scala 74:36] + node uimm9_2 = and(_T_866, _T_868) @[ifu_compress_ctl.scala 74:34] + node _T_869 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:90] + node _T_870 = eq(_T_869, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_871 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:71] + node _T_872 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:90] + node _T_873 = eq(_T_872, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_874 = and(_T_870, _T_871) @[ifu_compress_ctl.scala 12:110] + node _T_875 = and(_T_874, _T_873) @[ifu_compress_ctl.scala 12:110] + node _T_876 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 76:48] + node _T_877 = eq(_T_876, UInt<1>("h00")) @[ifu_compress_ctl.scala 76:41] + node ulwimm6_2 = and(_T_875, _T_877) @[ifu_compress_ctl.scala 76:39] + node _T_878 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:90] + node _T_879 = eq(_T_878, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_880 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:71] + node _T_881 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_882 = and(_T_879, _T_880) @[ifu_compress_ctl.scala 12:110] + node ulwspimm7_2 = and(_T_882, _T_881) @[ifu_compress_ctl.scala 12:110] + node _T_883 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:90] + node _T_884 = eq(_T_883, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_885 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:71] + node _T_886 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:71] + node _T_887 = bits(io.din, 11, 11) @[ifu_compress_ctl.scala 12:90] + node _T_888 = eq(_T_887, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_889 = bits(io.din, 10, 10) @[ifu_compress_ctl.scala 12:90] + node _T_890 = eq(_T_889, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_891 = bits(io.din, 9, 9) @[ifu_compress_ctl.scala 12:90] + node _T_892 = eq(_T_891, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_893 = bits(io.din, 8, 8) @[ifu_compress_ctl.scala 12:71] + node _T_894 = bits(io.din, 7, 7) @[ifu_compress_ctl.scala 12:90] + node _T_895 = eq(_T_894, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_896 = and(_T_884, _T_885) @[ifu_compress_ctl.scala 12:110] + node _T_897 = and(_T_896, _T_886) @[ifu_compress_ctl.scala 12:110] + node _T_898 = and(_T_897, _T_888) @[ifu_compress_ctl.scala 12:110] + node _T_899 = and(_T_898, _T_890) @[ifu_compress_ctl.scala 12:110] + node _T_900 = and(_T_899, _T_892) @[ifu_compress_ctl.scala 12:110] + node _T_901 = and(_T_900, _T_893) @[ifu_compress_ctl.scala 12:110] + node rdeq2 = and(_T_901, _T_895) @[ifu_compress_ctl.scala 12:110] + node _T_902 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_903 = eq(_T_902, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_904 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 12:71] + node _T_905 = bits(io.din, 11, 11) @[ifu_compress_ctl.scala 12:71] + node _T_906 = bits(io.din, 6, 6) @[ifu_compress_ctl.scala 12:90] + node _T_907 = eq(_T_906, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_908 = bits(io.din, 5, 5) @[ifu_compress_ctl.scala 12:90] + node _T_909 = eq(_T_908, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_910 = bits(io.din, 4, 4) @[ifu_compress_ctl.scala 12:90] + node _T_911 = eq(_T_910, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_912 = bits(io.din, 3, 3) @[ifu_compress_ctl.scala 12:90] + node _T_913 = eq(_T_912, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_914 = bits(io.din, 2, 2) @[ifu_compress_ctl.scala 12:90] + node _T_915 = eq(_T_914, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_916 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_917 = and(_T_903, _T_904) @[ifu_compress_ctl.scala 12:110] + node _T_918 = and(_T_917, _T_905) @[ifu_compress_ctl.scala 12:110] + node _T_919 = and(_T_918, _T_907) @[ifu_compress_ctl.scala 12:110] + node _T_920 = and(_T_919, _T_909) @[ifu_compress_ctl.scala 12:110] + node _T_921 = and(_T_920, _T_911) @[ifu_compress_ctl.scala 12:110] + node _T_922 = and(_T_921, _T_913) @[ifu_compress_ctl.scala 12:110] + node _T_923 = and(_T_922, _T_915) @[ifu_compress_ctl.scala 12:110] + node _T_924 = and(_T_923, _T_916) @[ifu_compress_ctl.scala 12:110] + node _T_925 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_926 = eq(_T_925, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_927 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 12:71] + node _T_928 = bits(io.din, 10, 10) @[ifu_compress_ctl.scala 12:71] + node _T_929 = bits(io.din, 6, 6) @[ifu_compress_ctl.scala 12:90] + node _T_930 = eq(_T_929, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_931 = bits(io.din, 5, 5) @[ifu_compress_ctl.scala 12:90] + node _T_932 = eq(_T_931, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_933 = bits(io.din, 4, 4) @[ifu_compress_ctl.scala 12:90] + node _T_934 = eq(_T_933, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_935 = bits(io.din, 3, 3) @[ifu_compress_ctl.scala 12:90] + node _T_936 = eq(_T_935, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_937 = bits(io.din, 2, 2) @[ifu_compress_ctl.scala 12:90] + node _T_938 = eq(_T_937, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_939 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_940 = and(_T_926, _T_927) @[ifu_compress_ctl.scala 12:110] + node _T_941 = and(_T_940, _T_928) @[ifu_compress_ctl.scala 12:110] + node _T_942 = and(_T_941, _T_930) @[ifu_compress_ctl.scala 12:110] + node _T_943 = and(_T_942, _T_932) @[ifu_compress_ctl.scala 12:110] + node _T_944 = and(_T_943, _T_934) @[ifu_compress_ctl.scala 12:110] + node _T_945 = and(_T_944, _T_936) @[ifu_compress_ctl.scala 12:110] + node _T_946 = and(_T_945, _T_938) @[ifu_compress_ctl.scala 12:110] + node _T_947 = and(_T_946, _T_939) @[ifu_compress_ctl.scala 12:110] + node _T_948 = or(_T_924, _T_947) @[ifu_compress_ctl.scala 82:53] + node _T_949 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_950 = eq(_T_949, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_951 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 12:71] + node _T_952 = bits(io.din, 9, 9) @[ifu_compress_ctl.scala 12:71] + node _T_953 = bits(io.din, 6, 6) @[ifu_compress_ctl.scala 12:90] + node _T_954 = eq(_T_953, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_955 = bits(io.din, 5, 5) @[ifu_compress_ctl.scala 12:90] + node _T_956 = eq(_T_955, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_957 = bits(io.din, 4, 4) @[ifu_compress_ctl.scala 12:90] + node _T_958 = eq(_T_957, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_959 = bits(io.din, 3, 3) @[ifu_compress_ctl.scala 12:90] + node _T_960 = eq(_T_959, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_961 = bits(io.din, 2, 2) @[ifu_compress_ctl.scala 12:90] + node _T_962 = eq(_T_961, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_963 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_964 = and(_T_950, _T_951) @[ifu_compress_ctl.scala 12:110] + node _T_965 = and(_T_964, _T_952) @[ifu_compress_ctl.scala 12:110] + node _T_966 = and(_T_965, _T_954) @[ifu_compress_ctl.scala 12:110] + node _T_967 = and(_T_966, _T_956) @[ifu_compress_ctl.scala 12:110] + node _T_968 = and(_T_967, _T_958) @[ifu_compress_ctl.scala 12:110] + node _T_969 = and(_T_968, _T_960) @[ifu_compress_ctl.scala 12:110] + node _T_970 = and(_T_969, _T_962) @[ifu_compress_ctl.scala 12:110] + node _T_971 = and(_T_970, _T_963) @[ifu_compress_ctl.scala 12:110] + node _T_972 = or(_T_948, _T_971) @[ifu_compress_ctl.scala 82:93] + node _T_973 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_974 = eq(_T_973, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_975 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 12:71] + node _T_976 = bits(io.din, 8, 8) @[ifu_compress_ctl.scala 12:71] + node _T_977 = bits(io.din, 6, 6) @[ifu_compress_ctl.scala 12:90] + node _T_978 = eq(_T_977, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_979 = bits(io.din, 5, 5) @[ifu_compress_ctl.scala 12:90] + node _T_980 = eq(_T_979, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_981 = bits(io.din, 4, 4) @[ifu_compress_ctl.scala 12:90] + node _T_982 = eq(_T_981, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_983 = bits(io.din, 3, 3) @[ifu_compress_ctl.scala 12:90] + node _T_984 = eq(_T_983, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_985 = bits(io.din, 2, 2) @[ifu_compress_ctl.scala 12:90] + node _T_986 = eq(_T_985, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_987 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_988 = and(_T_974, _T_975) @[ifu_compress_ctl.scala 12:110] + node _T_989 = and(_T_988, _T_976) @[ifu_compress_ctl.scala 12:110] + node _T_990 = and(_T_989, _T_978) @[ifu_compress_ctl.scala 12:110] + node _T_991 = and(_T_990, _T_980) @[ifu_compress_ctl.scala 12:110] + node _T_992 = and(_T_991, _T_982) @[ifu_compress_ctl.scala 12:110] + node _T_993 = and(_T_992, _T_984) @[ifu_compress_ctl.scala 12:110] + node _T_994 = and(_T_993, _T_986) @[ifu_compress_ctl.scala 12:110] + node _T_995 = and(_T_994, _T_987) @[ifu_compress_ctl.scala 12:110] + node _T_996 = or(_T_972, _T_995) @[ifu_compress_ctl.scala 83:42] + node _T_997 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_998 = eq(_T_997, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_999 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 12:71] + node _T_1000 = bits(io.din, 7, 7) @[ifu_compress_ctl.scala 12:71] + node _T_1001 = bits(io.din, 6, 6) @[ifu_compress_ctl.scala 12:90] + node _T_1002 = eq(_T_1001, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1003 = bits(io.din, 5, 5) @[ifu_compress_ctl.scala 12:90] + node _T_1004 = eq(_T_1003, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1005 = bits(io.din, 4, 4) @[ifu_compress_ctl.scala 12:90] + node _T_1006 = eq(_T_1005, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1007 = bits(io.din, 3, 3) @[ifu_compress_ctl.scala 12:90] + node _T_1008 = eq(_T_1007, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1009 = bits(io.din, 2, 2) @[ifu_compress_ctl.scala 12:90] + node _T_1010 = eq(_T_1009, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1011 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_1012 = and(_T_998, _T_999) @[ifu_compress_ctl.scala 12:110] + node _T_1013 = and(_T_1012, _T_1000) @[ifu_compress_ctl.scala 12:110] + node _T_1014 = and(_T_1013, _T_1002) @[ifu_compress_ctl.scala 12:110] + node _T_1015 = and(_T_1014, _T_1004) @[ifu_compress_ctl.scala 12:110] + node _T_1016 = and(_T_1015, _T_1006) @[ifu_compress_ctl.scala 12:110] + node _T_1017 = and(_T_1016, _T_1008) @[ifu_compress_ctl.scala 12:110] + node _T_1018 = and(_T_1017, _T_1010) @[ifu_compress_ctl.scala 12:110] + node _T_1019 = and(_T_1018, _T_1011) @[ifu_compress_ctl.scala 12:110] + node _T_1020 = or(_T_996, _T_1019) @[ifu_compress_ctl.scala 83:81] + node _T_1021 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:90] + node _T_1022 = eq(_T_1021, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1023 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_1024 = eq(_T_1023, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1025 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:71] + node _T_1026 = and(_T_1022, _T_1024) @[ifu_compress_ctl.scala 12:110] + node _T_1027 = and(_T_1026, _T_1025) @[ifu_compress_ctl.scala 12:110] + node rdeq1 = or(_T_1020, _T_1027) @[ifu_compress_ctl.scala 84:42] + node _T_1028 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:90] + node _T_1029 = eq(_T_1028, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1030 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:71] + node _T_1031 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:71] + node _T_1032 = bits(io.din, 11, 11) @[ifu_compress_ctl.scala 12:90] + node _T_1033 = eq(_T_1032, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1034 = bits(io.din, 10, 10) @[ifu_compress_ctl.scala 12:90] + node _T_1035 = eq(_T_1034, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1036 = bits(io.din, 9, 9) @[ifu_compress_ctl.scala 12:90] + node _T_1037 = eq(_T_1036, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1038 = bits(io.din, 8, 8) @[ifu_compress_ctl.scala 12:71] + node _T_1039 = bits(io.din, 7, 7) @[ifu_compress_ctl.scala 12:90] + node _T_1040 = eq(_T_1039, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1041 = and(_T_1029, _T_1030) @[ifu_compress_ctl.scala 12:110] + node _T_1042 = and(_T_1041, _T_1031) @[ifu_compress_ctl.scala 12:110] + node _T_1043 = and(_T_1042, _T_1033) @[ifu_compress_ctl.scala 12:110] + node _T_1044 = and(_T_1043, _T_1035) @[ifu_compress_ctl.scala 12:110] + node _T_1045 = and(_T_1044, _T_1037) @[ifu_compress_ctl.scala 12:110] + node _T_1046 = and(_T_1045, _T_1038) @[ifu_compress_ctl.scala 12:110] + node _T_1047 = and(_T_1046, _T_1040) @[ifu_compress_ctl.scala 12:110] + node _T_1048 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:71] + node _T_1049 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_1050 = and(_T_1048, _T_1049) @[ifu_compress_ctl.scala 12:110] + node _T_1051 = or(_T_1047, _T_1050) @[ifu_compress_ctl.scala 86:53] + node _T_1052 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_1053 = eq(_T_1052, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1054 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:90] + node _T_1055 = eq(_T_1054, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1056 = and(_T_1053, _T_1055) @[ifu_compress_ctl.scala 12:110] + node _T_1057 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 86:100] + node _T_1058 = eq(_T_1057, UInt<1>("h00")) @[ifu_compress_ctl.scala 86:93] + node _T_1059 = and(_T_1056, _T_1058) @[ifu_compress_ctl.scala 86:91] + node rs1eq2 = or(_T_1051, _T_1059) @[ifu_compress_ctl.scala 86:71] + node _T_1060 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:71] + node _T_1061 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:71] + node _T_1062 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 12:71] + node _T_1063 = and(_T_1060, _T_1061) @[ifu_compress_ctl.scala 12:110] + node sbroffset8_1 = and(_T_1063, _T_1062) @[ifu_compress_ctl.scala 12:110] + node _T_1064 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:90] + node _T_1065 = eq(_T_1064, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1066 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:71] + node _T_1067 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:71] + node _T_1068 = bits(io.din, 11, 11) @[ifu_compress_ctl.scala 12:90] + node _T_1069 = eq(_T_1068, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1070 = bits(io.din, 10, 10) @[ifu_compress_ctl.scala 12:90] + node _T_1071 = eq(_T_1070, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1072 = bits(io.din, 9, 9) @[ifu_compress_ctl.scala 12:90] + node _T_1073 = eq(_T_1072, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1074 = bits(io.din, 8, 8) @[ifu_compress_ctl.scala 12:71] + node _T_1075 = bits(io.din, 7, 7) @[ifu_compress_ctl.scala 12:90] + node _T_1076 = eq(_T_1075, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1077 = and(_T_1065, _T_1066) @[ifu_compress_ctl.scala 12:110] + node _T_1078 = and(_T_1077, _T_1067) @[ifu_compress_ctl.scala 12:110] + node _T_1079 = and(_T_1078, _T_1069) @[ifu_compress_ctl.scala 12:110] + node _T_1080 = and(_T_1079, _T_1071) @[ifu_compress_ctl.scala 12:110] + node _T_1081 = and(_T_1080, _T_1073) @[ifu_compress_ctl.scala 12:110] + node _T_1082 = and(_T_1081, _T_1074) @[ifu_compress_ctl.scala 12:110] + node simm9_4 = and(_T_1082, _T_1076) @[ifu_compress_ctl.scala 12:110] + node _T_1083 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_1084 = eq(_T_1083, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1085 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:90] + node _T_1086 = eq(_T_1085, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1087 = bits(io.din, 11, 11) @[ifu_compress_ctl.scala 12:71] + node _T_1088 = bits(io.din, 10, 10) @[ifu_compress_ctl.scala 12:90] + node _T_1089 = eq(_T_1088, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1090 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 12:71] + node _T_1091 = and(_T_1084, _T_1086) @[ifu_compress_ctl.scala 12:110] + node _T_1092 = and(_T_1091, _T_1087) @[ifu_compress_ctl.scala 12:110] + node _T_1093 = and(_T_1092, _T_1089) @[ifu_compress_ctl.scala 12:110] + node _T_1094 = and(_T_1093, _T_1090) @[ifu_compress_ctl.scala 12:110] + node _T_1095 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:90] + node _T_1096 = eq(_T_1095, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1097 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:90] + node _T_1098 = eq(_T_1097, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1099 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 12:71] + node _T_1100 = and(_T_1096, _T_1098) @[ifu_compress_ctl.scala 12:110] + node _T_1101 = and(_T_1100, _T_1099) @[ifu_compress_ctl.scala 12:110] + node simm5_0 = or(_T_1094, _T_1101) @[ifu_compress_ctl.scala 92:45] + node _T_1102 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_1103 = eq(_T_1102, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1104 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:71] + node sjaloffset11_1 = and(_T_1103, _T_1104) @[ifu_compress_ctl.scala 12:110] + node _T_1105 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:90] + node _T_1106 = eq(_T_1105, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1107 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:71] + node _T_1108 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:71] + node _T_1109 = bits(io.din, 7, 7) @[ifu_compress_ctl.scala 12:71] + node _T_1110 = and(_T_1106, _T_1107) @[ifu_compress_ctl.scala 12:110] + node _T_1111 = and(_T_1110, _T_1108) @[ifu_compress_ctl.scala 12:110] + node _T_1112 = and(_T_1111, _T_1109) @[ifu_compress_ctl.scala 12:110] + node _T_1113 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:90] + node _T_1114 = eq(_T_1113, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1115 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:71] + node _T_1116 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:71] + node _T_1117 = bits(io.din, 8, 8) @[ifu_compress_ctl.scala 12:90] + node _T_1118 = eq(_T_1117, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1119 = and(_T_1114, _T_1115) @[ifu_compress_ctl.scala 12:110] + node _T_1120 = and(_T_1119, _T_1116) @[ifu_compress_ctl.scala 12:110] + node _T_1121 = and(_T_1120, _T_1118) @[ifu_compress_ctl.scala 12:110] + node _T_1122 = or(_T_1112, _T_1121) @[ifu_compress_ctl.scala 96:44] + node _T_1123 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:90] + node _T_1124 = eq(_T_1123, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1125 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:71] + node _T_1126 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:71] + node _T_1127 = bits(io.din, 9, 9) @[ifu_compress_ctl.scala 12:71] + node _T_1128 = and(_T_1124, _T_1125) @[ifu_compress_ctl.scala 12:110] + node _T_1129 = and(_T_1128, _T_1126) @[ifu_compress_ctl.scala 12:110] + node _T_1130 = and(_T_1129, _T_1127) @[ifu_compress_ctl.scala 12:110] + node _T_1131 = or(_T_1122, _T_1130) @[ifu_compress_ctl.scala 96:70] + node _T_1132 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:90] + node _T_1133 = eq(_T_1132, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1134 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:71] + node _T_1135 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:71] + node _T_1136 = bits(io.din, 10, 10) @[ifu_compress_ctl.scala 12:71] + node _T_1137 = and(_T_1133, _T_1134) @[ifu_compress_ctl.scala 12:110] + node _T_1138 = and(_T_1137, _T_1135) @[ifu_compress_ctl.scala 12:110] + node _T_1139 = and(_T_1138, _T_1136) @[ifu_compress_ctl.scala 12:110] + node _T_1140 = or(_T_1131, _T_1139) @[ifu_compress_ctl.scala 96:95] + node _T_1141 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:90] + node _T_1142 = eq(_T_1141, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1143 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:71] + node _T_1144 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:71] + node _T_1145 = bits(io.din, 11, 11) @[ifu_compress_ctl.scala 12:71] + node _T_1146 = and(_T_1142, _T_1143) @[ifu_compress_ctl.scala 12:110] + node _T_1147 = and(_T_1146, _T_1144) @[ifu_compress_ctl.scala 12:110] + node _T_1148 = and(_T_1147, _T_1145) @[ifu_compress_ctl.scala 12:110] + node sluimm17_12 = or(_T_1140, _T_1148) @[ifu_compress_ctl.scala 96:121] + node _T_1149 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:71] + node _T_1150 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_1151 = eq(_T_1150, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1152 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:90] + node _T_1153 = eq(_T_1152, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1154 = bits(io.din, 11, 11) @[ifu_compress_ctl.scala 12:90] + node _T_1155 = eq(_T_1154, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1156 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 12:71] + node _T_1157 = and(_T_1149, _T_1151) @[ifu_compress_ctl.scala 12:110] + node _T_1158 = and(_T_1157, _T_1153) @[ifu_compress_ctl.scala 12:110] + node _T_1159 = and(_T_1158, _T_1155) @[ifu_compress_ctl.scala 12:110] + node _T_1160 = and(_T_1159, _T_1156) @[ifu_compress_ctl.scala 12:110] + node _T_1161 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:90] + node _T_1162 = eq(_T_1161, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1163 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_1164 = eq(_T_1163, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1165 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_1166 = and(_T_1162, _T_1164) @[ifu_compress_ctl.scala 12:110] + node _T_1167 = and(_T_1166, _T_1165) @[ifu_compress_ctl.scala 12:110] + node uimm5_0 = or(_T_1160, _T_1167) @[ifu_compress_ctl.scala 98:45] + node _T_1168 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:71] + node _T_1169 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:90] + node _T_1170 = eq(_T_1169, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1171 = and(_T_1168, _T_1170) @[ifu_compress_ctl.scala 12:110] + node _T_1172 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 100:44] + node _T_1173 = eq(_T_1172, UInt<1>("h00")) @[ifu_compress_ctl.scala 100:37] + node uswimm6_2 = and(_T_1171, _T_1173) @[ifu_compress_ctl.scala 100:35] + node _T_1174 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:71] + node _T_1175 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:71] + node _T_1176 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_1177 = and(_T_1174, _T_1175) @[ifu_compress_ctl.scala 12:110] + node uswspimm7_2 = and(_T_1177, _T_1176) @[ifu_compress_ctl.scala 12:110] + node _T_1178 = cat(out[2], out[1]) @[Cat.scala 29:58] + node _T_1179 = cat(_T_1178, out[0]) @[Cat.scala 29:58] + node _T_1180 = cat(out[4], out[3]) @[Cat.scala 29:58] + node _T_1181 = cat(out[6], out[5]) @[Cat.scala 29:58] + node _T_1182 = cat(_T_1181, _T_1180) @[Cat.scala 29:58] + node l1_6 = cat(_T_1182, _T_1179) @[Cat.scala 29:58] + node _T_1183 = cat(out[8], out[7]) @[Cat.scala 29:58] + node _T_1184 = cat(out[11], out[10]) @[Cat.scala 29:58] + node _T_1185 = cat(_T_1184, out[9]) @[Cat.scala 29:58] + node _T_1186 = cat(_T_1185, _T_1183) @[Cat.scala 29:58] + node _T_1187 = bits(rdrd, 0, 0) @[ifu_compress_ctl.scala 106:81] + node _T_1188 = bits(rdprd, 0, 0) @[ifu_compress_ctl.scala 107:9] + node _T_1189 = bits(rs2prd, 0, 0) @[ifu_compress_ctl.scala 107:30] + node _T_1190 = bits(rdeq1, 0, 0) @[ifu_compress_ctl.scala 107:51] + node _T_1191 = bits(rdeq2, 0, 0) @[ifu_compress_ctl.scala 107:75] + node _T_1192 = mux(_T_1187, rdd, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1193 = mux(_T_1188, rdpd, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1194 = mux(_T_1189, rs2pd, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1195 = mux(_T_1190, UInt<5>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1196 = mux(_T_1191, UInt<5>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1197 = or(_T_1192, _T_1193) @[Mux.scala 27:72] + node _T_1198 = or(_T_1197, _T_1194) @[Mux.scala 27:72] + node _T_1199 = or(_T_1198, _T_1195) @[Mux.scala 27:72] + node _T_1200 = or(_T_1199, _T_1196) @[Mux.scala 27:72] + wire _T_1201 : UInt<5> @[Mux.scala 27:72] + _T_1201 <= _T_1200 @[Mux.scala 27:72] + node l1_11 = or(_T_1186, _T_1201) @[ifu_compress_ctl.scala 106:64] + node _T_1202 = cat(out[14], out[13]) @[Cat.scala 29:58] + node l1_14 = cat(_T_1202, out[12]) @[Cat.scala 29:58] + node _T_1203 = cat(out[16], out[15]) @[Cat.scala 29:58] + node _T_1204 = cat(out[19], out[18]) @[Cat.scala 29:58] + node _T_1205 = cat(_T_1204, out[17]) @[Cat.scala 29:58] + node _T_1206 = cat(_T_1205, _T_1203) @[Cat.scala 29:58] + node _T_1207 = bits(rdrs1, 0, 0) @[ifu_compress_ctl.scala 111:85] + node _T_1208 = bits(rdprs1, 0, 0) @[ifu_compress_ctl.scala 112:12] + node _T_1209 = bits(rs1eq2, 0, 0) @[ifu_compress_ctl.scala 112:33] + node _T_1210 = mux(_T_1207, rdd, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1211 = mux(_T_1208, rdpd, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1212 = mux(_T_1209, UInt<5>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1213 = or(_T_1210, _T_1211) @[Mux.scala 27:72] + node _T_1214 = or(_T_1213, _T_1212) @[Mux.scala 27:72] + wire _T_1215 : UInt<5> @[Mux.scala 27:72] + _T_1215 <= _T_1214 @[Mux.scala 27:72] + node l1_19 = or(_T_1206, _T_1215) @[ifu_compress_ctl.scala 111:67] + node _T_1216 = cat(out[21], out[20]) @[Cat.scala 29:58] + node _T_1217 = cat(out[24], out[23]) @[Cat.scala 29:58] + node _T_1218 = cat(_T_1217, out[22]) @[Cat.scala 29:58] + node _T_1219 = cat(_T_1218, _T_1216) @[Cat.scala 29:58] + node _T_1220 = bits(rs2rs2, 0, 0) @[ifu_compress_ctl.scala 114:86] + node _T_1221 = bits(rs2prs2, 0, 0) @[ifu_compress_ctl.scala 115:13] + node _T_1222 = mux(_T_1220, rs2d, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1223 = mux(_T_1221, rs2pd, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1224 = or(_T_1222, _T_1223) @[Mux.scala 27:72] + wire _T_1225 : UInt<5> @[Mux.scala 27:72] + _T_1225 <= _T_1224 @[Mux.scala 27:72] + node l1_24 = or(_T_1219, _T_1225) @[ifu_compress_ctl.scala 114:67] + node _T_1226 = cat(out[27], out[26]) @[Cat.scala 29:58] + node _T_1227 = cat(_T_1226, out[25]) @[Cat.scala 29:58] + node _T_1228 = cat(out[29], out[28]) @[Cat.scala 29:58] + node _T_1229 = cat(out[31], out[30]) @[Cat.scala 29:58] + node _T_1230 = cat(_T_1229, _T_1228) @[Cat.scala 29:58] + node l1_31 = cat(_T_1230, _T_1227) @[Cat.scala 29:58] + node _T_1231 = cat(l1_14, l1_11) @[Cat.scala 29:58] + node _T_1232 = cat(_T_1231, l1_6) @[Cat.scala 29:58] + node _T_1233 = cat(l1_31, l1_24) @[Cat.scala 29:58] + node _T_1234 = cat(_T_1233, l1_19) @[Cat.scala 29:58] + node l1 = cat(_T_1234, _T_1232) @[Cat.scala 29:58] + node _T_1235 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 121:26] + node _T_1236 = bits(io.din, 6, 2) @[ifu_compress_ctl.scala 121:38] + node simm5d = cat(_T_1235, _T_1236) @[Cat.scala 29:58] + node _T_1237 = bits(io.din, 10, 7) @[ifu_compress_ctl.scala 122:26] + node _T_1238 = bits(io.din, 12, 11) @[ifu_compress_ctl.scala 122:40] + node _T_1239 = bits(io.din, 5, 5) @[ifu_compress_ctl.scala 122:55] + node _T_1240 = bits(io.din, 6, 6) @[ifu_compress_ctl.scala 122:66] + node _T_1241 = cat(_T_1239, _T_1240) @[Cat.scala 29:58] + node _T_1242 = cat(_T_1237, _T_1238) @[Cat.scala 29:58] + node uimm9d = cat(_T_1242, _T_1241) @[Cat.scala 29:58] + node _T_1243 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 123:26] + node _T_1244 = bits(io.din, 4, 3) @[ifu_compress_ctl.scala 123:38] + node _T_1245 = bits(io.din, 5, 5) @[ifu_compress_ctl.scala 123:51] + node _T_1246 = bits(io.din, 2, 2) @[ifu_compress_ctl.scala 123:62] + node _T_1247 = bits(io.din, 6, 6) @[ifu_compress_ctl.scala 123:73] + node _T_1248 = cat(_T_1246, _T_1247) @[Cat.scala 29:58] + node _T_1249 = cat(_T_1243, _T_1244) @[Cat.scala 29:58] + node _T_1250 = cat(_T_1249, _T_1245) @[Cat.scala 29:58] + node simm9d = cat(_T_1250, _T_1248) @[Cat.scala 29:58] + node _T_1251 = bits(io.din, 5, 5) @[ifu_compress_ctl.scala 124:28] + node _T_1252 = bits(io.din, 12, 10) @[ifu_compress_ctl.scala 124:39] + node _T_1253 = bits(io.din, 6, 6) @[ifu_compress_ctl.scala 124:54] + node _T_1254 = cat(_T_1251, _T_1252) @[Cat.scala 29:58] + node ulwimm6d = cat(_T_1254, _T_1253) @[Cat.scala 29:58] + node _T_1255 = bits(io.din, 3, 2) @[ifu_compress_ctl.scala 125:30] + node _T_1256 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 125:43] + node _T_1257 = bits(io.din, 6, 4) @[ifu_compress_ctl.scala 125:55] + node _T_1258 = cat(_T_1255, _T_1256) @[Cat.scala 29:58] + node ulwspimm7d = cat(_T_1258, _T_1257) @[Cat.scala 29:58] + node _T_1259 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 126:26] + node _T_1260 = bits(io.din, 6, 2) @[ifu_compress_ctl.scala 126:38] + node uimm5d = cat(_T_1259, _T_1260) @[Cat.scala 29:58] + node _T_1261 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 127:27] + node _T_1262 = bits(io.din, 8, 8) @[ifu_compress_ctl.scala 127:39] + node _T_1263 = bits(io.din, 10, 9) @[ifu_compress_ctl.scala 127:50] + node _T_1264 = bits(io.din, 6, 6) @[ifu_compress_ctl.scala 127:64] + node _T_1265 = bits(io.din, 7, 7) @[ifu_compress_ctl.scala 127:75] + node _T_1266 = bits(io.din, 2, 2) @[ifu_compress_ctl.scala 127:86] + node _T_1267 = bits(io.din, 11, 11) @[ifu_compress_ctl.scala 127:97] + node _T_1268 = bits(io.din, 5, 4) @[ifu_compress_ctl.scala 128:11] + node _T_1269 = bits(io.din, 3, 3) @[ifu_compress_ctl.scala 128:24] + node _T_1270 = cat(_T_1268, _T_1269) @[Cat.scala 29:58] + node _T_1271 = cat(_T_1266, _T_1267) @[Cat.scala 29:58] + node _T_1272 = cat(_T_1271, _T_1270) @[Cat.scala 29:58] + node _T_1273 = cat(_T_1264, _T_1265) @[Cat.scala 29:58] + node _T_1274 = cat(_T_1261, _T_1262) @[Cat.scala 29:58] + node _T_1275 = cat(_T_1274, _T_1263) @[Cat.scala 29:58] + node _T_1276 = cat(_T_1275, _T_1273) @[Cat.scala 29:58] + node sjald_1 = cat(_T_1276, _T_1272) @[Cat.scala 29:58] + node _T_1277 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 129:32] + wire _T_1278 : UInt<1>[9] @[lib.scala 12:48] + _T_1278[0] <= _T_1277 @[lib.scala 12:48] + _T_1278[1] <= _T_1277 @[lib.scala 12:48] + _T_1278[2] <= _T_1277 @[lib.scala 12:48] + _T_1278[3] <= _T_1277 @[lib.scala 12:48] + _T_1278[4] <= _T_1277 @[lib.scala 12:48] + _T_1278[5] <= _T_1277 @[lib.scala 12:48] + _T_1278[6] <= _T_1277 @[lib.scala 12:48] + _T_1278[7] <= _T_1277 @[lib.scala 12:48] + _T_1278[8] <= _T_1277 @[lib.scala 12:48] + node _T_1279 = cat(_T_1278[0], _T_1278[1]) @[Cat.scala 29:58] + node _T_1280 = cat(_T_1279, _T_1278[2]) @[Cat.scala 29:58] + node _T_1281 = cat(_T_1280, _T_1278[3]) @[Cat.scala 29:58] + node _T_1282 = cat(_T_1281, _T_1278[4]) @[Cat.scala 29:58] + node _T_1283 = cat(_T_1282, _T_1278[5]) @[Cat.scala 29:58] + node _T_1284 = cat(_T_1283, _T_1278[6]) @[Cat.scala 29:58] + node _T_1285 = cat(_T_1284, _T_1278[7]) @[Cat.scala 29:58] + node sjald_12 = cat(_T_1285, _T_1278[8]) @[Cat.scala 29:58] + node sjald = cat(sjald_12, sjald_1) @[Cat.scala 29:58] + node _T_1286 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 131:36] + wire _T_1287 : UInt<1>[15] @[lib.scala 12:48] + _T_1287[0] <= _T_1286 @[lib.scala 12:48] + _T_1287[1] <= _T_1286 @[lib.scala 12:48] + _T_1287[2] <= _T_1286 @[lib.scala 12:48] + _T_1287[3] <= _T_1286 @[lib.scala 12:48] + _T_1287[4] <= _T_1286 @[lib.scala 12:48] + _T_1287[5] <= _T_1286 @[lib.scala 12:48] + _T_1287[6] <= _T_1286 @[lib.scala 12:48] + _T_1287[7] <= _T_1286 @[lib.scala 12:48] + _T_1287[8] <= _T_1286 @[lib.scala 12:48] + _T_1287[9] <= _T_1286 @[lib.scala 12:48] + _T_1287[10] <= _T_1286 @[lib.scala 12:48] + _T_1287[11] <= _T_1286 @[lib.scala 12:48] + _T_1287[12] <= _T_1286 @[lib.scala 12:48] + _T_1287[13] <= _T_1286 @[lib.scala 12:48] + _T_1287[14] <= _T_1286 @[lib.scala 12:48] + node _T_1288 = cat(_T_1287[0], _T_1287[1]) @[Cat.scala 29:58] + node _T_1289 = cat(_T_1288, _T_1287[2]) @[Cat.scala 29:58] + node _T_1290 = cat(_T_1289, _T_1287[3]) @[Cat.scala 29:58] + node _T_1291 = cat(_T_1290, _T_1287[4]) @[Cat.scala 29:58] + node _T_1292 = cat(_T_1291, _T_1287[5]) @[Cat.scala 29:58] + node _T_1293 = cat(_T_1292, _T_1287[6]) @[Cat.scala 29:58] + node _T_1294 = cat(_T_1293, _T_1287[7]) @[Cat.scala 29:58] + node _T_1295 = cat(_T_1294, _T_1287[8]) @[Cat.scala 29:58] + node _T_1296 = cat(_T_1295, _T_1287[9]) @[Cat.scala 29:58] + node _T_1297 = cat(_T_1296, _T_1287[10]) @[Cat.scala 29:58] + node _T_1298 = cat(_T_1297, _T_1287[11]) @[Cat.scala 29:58] + node _T_1299 = cat(_T_1298, _T_1287[12]) @[Cat.scala 29:58] + node _T_1300 = cat(_T_1299, _T_1287[13]) @[Cat.scala 29:58] + node _T_1301 = cat(_T_1300, _T_1287[14]) @[Cat.scala 29:58] + node _T_1302 = bits(io.din, 6, 2) @[ifu_compress_ctl.scala 131:49] + node sluimmd = cat(_T_1301, _T_1302) @[Cat.scala 29:58] + node _T_1303 = bits(l1, 31, 20) @[ifu_compress_ctl.scala 133:17] + node _T_1304 = bits(simm5_0, 0, 0) @[ifu_compress_ctl.scala 134:23] + node _T_1305 = bits(simm5d, 5, 5) @[ifu_compress_ctl.scala 134:49] + wire _T_1306 : UInt<1>[7] @[lib.scala 12:48] + _T_1306[0] <= _T_1305 @[lib.scala 12:48] + _T_1306[1] <= _T_1305 @[lib.scala 12:48] + _T_1306[2] <= _T_1305 @[lib.scala 12:48] + _T_1306[3] <= _T_1305 @[lib.scala 12:48] + _T_1306[4] <= _T_1305 @[lib.scala 12:48] + _T_1306[5] <= _T_1305 @[lib.scala 12:48] + _T_1306[6] <= _T_1305 @[lib.scala 12:48] + node _T_1307 = cat(_T_1306[0], _T_1306[1]) @[Cat.scala 29:58] + node _T_1308 = cat(_T_1307, _T_1306[2]) @[Cat.scala 29:58] + node _T_1309 = cat(_T_1308, _T_1306[3]) @[Cat.scala 29:58] + node _T_1310 = cat(_T_1309, _T_1306[4]) @[Cat.scala 29:58] + node _T_1311 = cat(_T_1310, _T_1306[5]) @[Cat.scala 29:58] + node _T_1312 = cat(_T_1311, _T_1306[6]) @[Cat.scala 29:58] + node _T_1313 = bits(simm5d, 4, 0) @[ifu_compress_ctl.scala 134:61] + node _T_1314 = cat(_T_1312, _T_1313) @[Cat.scala 29:58] + node _T_1315 = bits(uimm9_2, 0, 0) @[ifu_compress_ctl.scala 135:23] + node _T_1316 = cat(UInt<2>("h00"), uimm9d) @[Cat.scala 29:58] + node _T_1317 = cat(_T_1316, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_1318 = bits(simm9_4, 0, 0) @[ifu_compress_ctl.scala 136:23] + node _T_1319 = bits(simm9d, 5, 5) @[ifu_compress_ctl.scala 136:49] + wire _T_1320 : UInt<1>[3] @[lib.scala 12:48] + _T_1320[0] <= _T_1319 @[lib.scala 12:48] + _T_1320[1] <= _T_1319 @[lib.scala 12:48] + _T_1320[2] <= _T_1319 @[lib.scala 12:48] + node _T_1321 = cat(_T_1320[0], _T_1320[1]) @[Cat.scala 29:58] + node _T_1322 = cat(_T_1321, _T_1320[2]) @[Cat.scala 29:58] + node _T_1323 = bits(simm9d, 4, 0) @[ifu_compress_ctl.scala 136:61] + node _T_1324 = cat(_T_1322, _T_1323) @[Cat.scala 29:58] + node _T_1325 = cat(_T_1324, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1326 = bits(ulwimm6_2, 0, 0) @[ifu_compress_ctl.scala 137:25] + node _T_1327 = cat(UInt<5>("h00"), ulwimm6d) @[Cat.scala 29:58] + node _T_1328 = cat(_T_1327, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_1329 = bits(ulwspimm7_2, 0, 0) @[ifu_compress_ctl.scala 138:27] + node _T_1330 = cat(UInt<4>("h00"), ulwspimm7d) @[Cat.scala 29:58] + node _T_1331 = cat(_T_1330, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_1332 = bits(uimm5_0, 0, 0) @[ifu_compress_ctl.scala 139:23] + node _T_1333 = cat(UInt<6>("h00"), uimm5d) @[Cat.scala 29:58] + node _T_1334 = bits(sjaloffset11_1, 0, 0) @[ifu_compress_ctl.scala 140:30] + node _T_1335 = bits(sjald, 19, 19) @[ifu_compress_ctl.scala 140:47] + node _T_1336 = bits(sjald, 9, 0) @[ifu_compress_ctl.scala 140:58] + node _T_1337 = bits(sjald, 10, 10) @[ifu_compress_ctl.scala 140:70] + node _T_1338 = cat(_T_1335, _T_1336) @[Cat.scala 29:58] + node _T_1339 = cat(_T_1338, _T_1337) @[Cat.scala 29:58] + node _T_1340 = bits(sluimm17_12, 0, 0) @[ifu_compress_ctl.scala 141:27] + node _T_1341 = bits(sluimmd, 19, 8) @[ifu_compress_ctl.scala 141:42] + node _T_1342 = mux(_T_1304, _T_1314, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1343 = mux(_T_1315, _T_1317, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1344 = mux(_T_1318, _T_1325, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1345 = mux(_T_1326, _T_1328, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1346 = mux(_T_1329, _T_1331, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1347 = mux(_T_1332, _T_1333, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1348 = mux(_T_1334, _T_1339, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1349 = mux(_T_1340, _T_1341, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1350 = or(_T_1342, _T_1343) @[Mux.scala 27:72] + node _T_1351 = or(_T_1350, _T_1344) @[Mux.scala 27:72] + node _T_1352 = or(_T_1351, _T_1345) @[Mux.scala 27:72] + node _T_1353 = or(_T_1352, _T_1346) @[Mux.scala 27:72] + node _T_1354 = or(_T_1353, _T_1347) @[Mux.scala 27:72] + node _T_1355 = or(_T_1354, _T_1348) @[Mux.scala 27:72] + node _T_1356 = or(_T_1355, _T_1349) @[Mux.scala 27:72] + wire _T_1357 : UInt<12> @[Mux.scala 27:72] + _T_1357 <= _T_1356 @[Mux.scala 27:72] + node l2_31 = or(_T_1303, _T_1357) @[ifu_compress_ctl.scala 133:25] + node _T_1358 = bits(l1, 19, 12) @[ifu_compress_ctl.scala 143:17] + node _T_1359 = bits(sjaloffset11_1, 0, 0) @[ifu_compress_ctl.scala 143:52] + node _T_1360 = bits(sjald, 19, 12) @[ifu_compress_ctl.scala 143:65] + node _T_1361 = bits(sluimm17_12, 0, 0) @[ifu_compress_ctl.scala 144:49] + node _T_1362 = bits(sluimmd, 7, 0) @[ifu_compress_ctl.scala 144:64] + node _T_1363 = mux(_T_1359, _T_1360, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1364 = mux(_T_1361, _T_1362, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1365 = or(_T_1363, _T_1364) @[Mux.scala 27:72] + wire _T_1366 : UInt<8> @[Mux.scala 27:72] + _T_1366 <= _T_1365 @[Mux.scala 27:72] + node l2_19 = or(_T_1358, _T_1366) @[ifu_compress_ctl.scala 143:25] + node _T_1367 = bits(l1, 11, 0) @[ifu_compress_ctl.scala 145:32] + node _T_1368 = cat(l2_31, l2_19) @[Cat.scala 29:58] + node l2 = cat(_T_1368, _T_1367) @[Cat.scala 29:58] + node _T_1369 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 147:25] + node _T_1370 = bits(io.din, 6, 6) @[ifu_compress_ctl.scala 147:36] + node _T_1371 = bits(io.din, 5, 5) @[ifu_compress_ctl.scala 147:46] + node _T_1372 = bits(io.din, 2, 2) @[ifu_compress_ctl.scala 147:56] + node _T_1373 = bits(io.din, 11, 11) @[ifu_compress_ctl.scala 147:66] + node _T_1374 = bits(io.din, 10, 10) @[ifu_compress_ctl.scala 147:77] + node _T_1375 = bits(io.din, 4, 4) @[ifu_compress_ctl.scala 147:88] + node _T_1376 = bits(io.din, 3, 3) @[ifu_compress_ctl.scala 147:98] + node _T_1377 = cat(_T_1376, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_1378 = cat(_T_1374, _T_1375) @[Cat.scala 29:58] + node _T_1379 = cat(_T_1378, _T_1377) @[Cat.scala 29:58] + node _T_1380 = cat(_T_1372, _T_1373) @[Cat.scala 29:58] + node _T_1381 = cat(_T_1369, _T_1370) @[Cat.scala 29:58] + node _T_1382 = cat(_T_1381, _T_1371) @[Cat.scala 29:58] + node _T_1383 = cat(_T_1382, _T_1380) @[Cat.scala 29:58] + node sbr8d = cat(_T_1383, _T_1379) @[Cat.scala 29:58] + node _T_1384 = bits(io.din, 5, 5) @[ifu_compress_ctl.scala 148:28] + node _T_1385 = bits(io.din, 12, 10) @[ifu_compress_ctl.scala 148:39] + node _T_1386 = bits(io.din, 6, 6) @[ifu_compress_ctl.scala 148:54] + node _T_1387 = cat(_T_1386, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_1388 = cat(_T_1384, _T_1385) @[Cat.scala 29:58] + node uswimm6d = cat(_T_1388, _T_1387) @[Cat.scala 29:58] + node _T_1389 = bits(io.din, 8, 7) @[ifu_compress_ctl.scala 149:30] + node _T_1390 = bits(io.din, 12, 9) @[ifu_compress_ctl.scala 149:42] + node _T_1391 = cat(_T_1389, _T_1390) @[Cat.scala 29:58] + node uswspimm7d = cat(_T_1391, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_1392 = bits(l2, 31, 25) @[ifu_compress_ctl.scala 151:17] + node _T_1393 = bits(sbroffset8_1, 0, 0) @[ifu_compress_ctl.scala 151:50] + node _T_1394 = bits(sbr8d, 8, 8) @[ifu_compress_ctl.scala 151:74] + wire _T_1395 : UInt<1>[4] @[lib.scala 12:48] + _T_1395[0] <= _T_1394 @[lib.scala 12:48] + _T_1395[1] <= _T_1394 @[lib.scala 12:48] + _T_1395[2] <= _T_1394 @[lib.scala 12:48] + _T_1395[3] <= _T_1394 @[lib.scala 12:48] + node _T_1396 = cat(_T_1395[0], _T_1395[1]) @[Cat.scala 29:58] + node _T_1397 = cat(_T_1396, _T_1395[2]) @[Cat.scala 29:58] + node _T_1398 = cat(_T_1397, _T_1395[3]) @[Cat.scala 29:58] + node _T_1399 = bits(sbr8d, 7, 5) @[ifu_compress_ctl.scala 151:84] + node _T_1400 = cat(_T_1398, _T_1399) @[Cat.scala 29:58] + node _T_1401 = bits(uswimm6_2, 0, 0) @[ifu_compress_ctl.scala 152:15] + node _T_1402 = bits(uswimm6d, 6, 5) @[ifu_compress_ctl.scala 152:44] + node _T_1403 = cat(UInt<5>("h00"), _T_1402) @[Cat.scala 29:58] + node _T_1404 = bits(uswspimm7_2, 0, 0) @[ifu_compress_ctl.scala 152:64] + node _T_1405 = bits(uswspimm7d, 7, 5) @[ifu_compress_ctl.scala 152:95] + node _T_1406 = cat(UInt<4>("h00"), _T_1405) @[Cat.scala 29:58] + node _T_1407 = mux(_T_1393, _T_1400, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1408 = mux(_T_1401, _T_1403, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1409 = mux(_T_1404, _T_1406, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1410 = or(_T_1407, _T_1408) @[Mux.scala 27:72] + node _T_1411 = or(_T_1410, _T_1409) @[Mux.scala 27:72] + wire _T_1412 : UInt<7> @[Mux.scala 27:72] + _T_1412 <= _T_1411 @[Mux.scala 27:72] + node l3_31 = or(_T_1392, _T_1412) @[ifu_compress_ctl.scala 151:25] + node l3_24 = bits(l2, 24, 12) @[ifu_compress_ctl.scala 154:17] + node _T_1413 = bits(l2, 11, 7) @[ifu_compress_ctl.scala 156:17] + node _T_1414 = bits(sbroffset8_1, 0, 0) @[ifu_compress_ctl.scala 156:49] + node _T_1415 = bits(sbr8d, 4, 1) @[ifu_compress_ctl.scala 156:66] + node _T_1416 = bits(sbr8d, 8, 8) @[ifu_compress_ctl.scala 156:78] + node _T_1417 = cat(_T_1415, _T_1416) @[Cat.scala 29:58] + node _T_1418 = bits(uswimm6_2, 0, 0) @[ifu_compress_ctl.scala 157:15] + node _T_1419 = bits(uswimm6d, 4, 0) @[ifu_compress_ctl.scala 157:31] + node _T_1420 = bits(uswspimm7_2, 0, 0) @[ifu_compress_ctl.scala 158:17] + node _T_1421 = bits(uswspimm7d, 4, 0) @[ifu_compress_ctl.scala 158:35] + node _T_1422 = mux(_T_1414, _T_1417, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1423 = mux(_T_1418, _T_1419, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1424 = mux(_T_1420, _T_1421, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1425 = or(_T_1422, _T_1423) @[Mux.scala 27:72] + node _T_1426 = or(_T_1425, _T_1424) @[Mux.scala 27:72] + wire _T_1427 : UInt<5> @[Mux.scala 27:72] + _T_1427 <= _T_1426 @[Mux.scala 27:72] + node l3_11 = or(_T_1413, _T_1427) @[ifu_compress_ctl.scala 156:24] + node _T_1428 = bits(l2, 6, 0) @[ifu_compress_ctl.scala 160:39] + node _T_1429 = cat(l3_11, _T_1428) @[Cat.scala 29:58] + node _T_1430 = cat(l3_31, l3_24) @[Cat.scala 29:58] + node l3 = cat(_T_1430, _T_1429) @[Cat.scala 29:58] + node _T_1431 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:90] + node _T_1432 = eq(_T_1431, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1433 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 12:90] + node _T_1434 = eq(_T_1433, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1435 = bits(io.din, 11, 11) @[ifu_compress_ctl.scala 12:71] + node _T_1436 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_1437 = and(_T_1432, _T_1434) @[ifu_compress_ctl.scala 12:110] + node _T_1438 = and(_T_1437, _T_1435) @[ifu_compress_ctl.scala 12:110] + node _T_1439 = and(_T_1438, _T_1436) @[ifu_compress_ctl.scala 12:110] + node _T_1440 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 162:48] + node _T_1441 = eq(_T_1440, UInt<1>("h00")) @[ifu_compress_ctl.scala 162:41] + node _T_1442 = and(_T_1439, _T_1441) @[ifu_compress_ctl.scala 162:39] + node _T_1443 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:90] + node _T_1444 = eq(_T_1443, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1445 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 12:90] + node _T_1446 = eq(_T_1445, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1447 = bits(io.din, 6, 6) @[ifu_compress_ctl.scala 12:71] + node _T_1448 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_1449 = and(_T_1444, _T_1446) @[ifu_compress_ctl.scala 12:110] + node _T_1450 = and(_T_1449, _T_1447) @[ifu_compress_ctl.scala 12:110] + node _T_1451 = and(_T_1450, _T_1448) @[ifu_compress_ctl.scala 12:110] + node _T_1452 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 162:88] + node _T_1453 = eq(_T_1452, UInt<1>("h00")) @[ifu_compress_ctl.scala 162:81] + node _T_1454 = and(_T_1451, _T_1453) @[ifu_compress_ctl.scala 162:79] + node _T_1455 = or(_T_1442, _T_1454) @[ifu_compress_ctl.scala 162:54] + node _T_1456 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:90] + node _T_1457 = eq(_T_1456, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1458 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:90] + node _T_1459 = eq(_T_1458, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1460 = bits(io.din, 11, 11) @[ifu_compress_ctl.scala 12:71] + node _T_1461 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:90] + node _T_1462 = eq(_T_1461, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1463 = and(_T_1457, _T_1459) @[ifu_compress_ctl.scala 12:110] + node _T_1464 = and(_T_1463, _T_1460) @[ifu_compress_ctl.scala 12:110] + node _T_1465 = and(_T_1464, _T_1462) @[ifu_compress_ctl.scala 12:110] + node _T_1466 = or(_T_1455, _T_1465) @[ifu_compress_ctl.scala 162:94] + node _T_1467 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:90] + node _T_1468 = eq(_T_1467, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1469 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 12:90] + node _T_1470 = eq(_T_1469, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1471 = bits(io.din, 5, 5) @[ifu_compress_ctl.scala 12:71] + node _T_1472 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_1473 = and(_T_1468, _T_1470) @[ifu_compress_ctl.scala 12:110] + node _T_1474 = and(_T_1473, _T_1471) @[ifu_compress_ctl.scala 12:110] + node _T_1475 = and(_T_1474, _T_1472) @[ifu_compress_ctl.scala 12:110] + node _T_1476 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 163:64] + node _T_1477 = eq(_T_1476, UInt<1>("h00")) @[ifu_compress_ctl.scala 163:57] + node _T_1478 = and(_T_1475, _T_1477) @[ifu_compress_ctl.scala 163:55] + node _T_1479 = or(_T_1466, _T_1478) @[ifu_compress_ctl.scala 163:30] + node _T_1480 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:90] + node _T_1481 = eq(_T_1480, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1482 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 12:90] + node _T_1483 = eq(_T_1482, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1484 = bits(io.din, 10, 10) @[ifu_compress_ctl.scala 12:71] + node _T_1485 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_1486 = and(_T_1481, _T_1483) @[ifu_compress_ctl.scala 12:110] + node _T_1487 = and(_T_1486, _T_1484) @[ifu_compress_ctl.scala 12:110] + node _T_1488 = and(_T_1487, _T_1485) @[ifu_compress_ctl.scala 12:110] + node _T_1489 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 163:105] + node _T_1490 = eq(_T_1489, UInt<1>("h00")) @[ifu_compress_ctl.scala 163:98] + node _T_1491 = and(_T_1488, _T_1490) @[ifu_compress_ctl.scala 163:96] + node _T_1492 = or(_T_1479, _T_1491) @[ifu_compress_ctl.scala 163:70] + node _T_1493 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:90] + node _T_1494 = eq(_T_1493, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1495 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:90] + node _T_1496 = eq(_T_1495, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1497 = bits(io.din, 6, 6) @[ifu_compress_ctl.scala 12:71] + node _T_1498 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:90] + node _T_1499 = eq(_T_1498, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1500 = and(_T_1494, _T_1496) @[ifu_compress_ctl.scala 12:110] + node _T_1501 = and(_T_1500, _T_1497) @[ifu_compress_ctl.scala 12:110] + node _T_1502 = and(_T_1501, _T_1499) @[ifu_compress_ctl.scala 12:110] + node _T_1503 = or(_T_1492, _T_1502) @[ifu_compress_ctl.scala 163:111] + node _T_1504 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:71] + node _T_1505 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 12:90] + node _T_1506 = eq(_T_1505, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1507 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:90] + node _T_1508 = eq(_T_1507, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1509 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 12:71] + node _T_1510 = and(_T_1504, _T_1506) @[ifu_compress_ctl.scala 12:110] + node _T_1511 = and(_T_1510, _T_1508) @[ifu_compress_ctl.scala 12:110] + node _T_1512 = and(_T_1511, _T_1509) @[ifu_compress_ctl.scala 12:110] + node _T_1513 = or(_T_1503, _T_1512) @[ifu_compress_ctl.scala 164:29] + node _T_1514 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:90] + node _T_1515 = eq(_T_1514, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1516 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 12:90] + node _T_1517 = eq(_T_1516, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1518 = bits(io.din, 9, 9) @[ifu_compress_ctl.scala 12:71] + node _T_1519 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_1520 = and(_T_1515, _T_1517) @[ifu_compress_ctl.scala 12:110] + node _T_1521 = and(_T_1520, _T_1518) @[ifu_compress_ctl.scala 12:110] + node _T_1522 = and(_T_1521, _T_1519) @[ifu_compress_ctl.scala 12:110] + node _T_1523 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 164:88] + node _T_1524 = eq(_T_1523, UInt<1>("h00")) @[ifu_compress_ctl.scala 164:81] + node _T_1525 = and(_T_1522, _T_1524) @[ifu_compress_ctl.scala 164:79] + node _T_1526 = or(_T_1513, _T_1525) @[ifu_compress_ctl.scala 164:54] + node _T_1527 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 12:90] + node _T_1528 = eq(_T_1527, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1529 = bits(io.din, 6, 6) @[ifu_compress_ctl.scala 12:71] + node _T_1530 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:90] + node _T_1531 = eq(_T_1530, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1532 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 12:71] + node _T_1533 = and(_T_1528, _T_1529) @[ifu_compress_ctl.scala 12:110] + node _T_1534 = and(_T_1533, _T_1531) @[ifu_compress_ctl.scala 12:110] + node _T_1535 = and(_T_1534, _T_1532) @[ifu_compress_ctl.scala 12:110] + node _T_1536 = or(_T_1526, _T_1535) @[ifu_compress_ctl.scala 164:94] + node _T_1537 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:90] + node _T_1538 = eq(_T_1537, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1539 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:90] + node _T_1540 = eq(_T_1539, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1541 = bits(io.din, 5, 5) @[ifu_compress_ctl.scala 12:71] + node _T_1542 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:90] + node _T_1543 = eq(_T_1542, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1544 = and(_T_1538, _T_1540) @[ifu_compress_ctl.scala 12:110] + node _T_1545 = and(_T_1544, _T_1541) @[ifu_compress_ctl.scala 12:110] + node _T_1546 = and(_T_1545, _T_1543) @[ifu_compress_ctl.scala 12:110] + node _T_1547 = or(_T_1536, _T_1546) @[ifu_compress_ctl.scala 164:118] + node _T_1548 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:90] + node _T_1549 = eq(_T_1548, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1550 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 12:90] + node _T_1551 = eq(_T_1550, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1552 = bits(io.din, 8, 8) @[ifu_compress_ctl.scala 12:71] + node _T_1553 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_1554 = and(_T_1549, _T_1551) @[ifu_compress_ctl.scala 12:110] + node _T_1555 = and(_T_1554, _T_1552) @[ifu_compress_ctl.scala 12:110] + node _T_1556 = and(_T_1555, _T_1553) @[ifu_compress_ctl.scala 12:110] + node _T_1557 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 165:37] + node _T_1558 = eq(_T_1557, UInt<1>("h00")) @[ifu_compress_ctl.scala 165:30] + node _T_1559 = and(_T_1556, _T_1558) @[ifu_compress_ctl.scala 165:28] + node _T_1560 = or(_T_1547, _T_1559) @[ifu_compress_ctl.scala 164:144] + node _T_1561 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 12:90] + node _T_1562 = eq(_T_1561, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1563 = bits(io.din, 5, 5) @[ifu_compress_ctl.scala 12:71] + node _T_1564 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:90] + node _T_1565 = eq(_T_1564, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1566 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 12:71] + node _T_1567 = and(_T_1562, _T_1563) @[ifu_compress_ctl.scala 12:110] + node _T_1568 = and(_T_1567, _T_1565) @[ifu_compress_ctl.scala 12:110] + node _T_1569 = and(_T_1568, _T_1566) @[ifu_compress_ctl.scala 12:110] + node _T_1570 = or(_T_1560, _T_1569) @[ifu_compress_ctl.scala 165:43] + node _T_1571 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:90] + node _T_1572 = eq(_T_1571, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1573 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:90] + node _T_1574 = eq(_T_1573, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1575 = bits(io.din, 10, 10) @[ifu_compress_ctl.scala 12:71] + node _T_1576 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:90] + node _T_1577 = eq(_T_1576, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1578 = and(_T_1572, _T_1574) @[ifu_compress_ctl.scala 12:110] + node _T_1579 = and(_T_1578, _T_1575) @[ifu_compress_ctl.scala 12:110] + node _T_1580 = and(_T_1579, _T_1577) @[ifu_compress_ctl.scala 12:110] + node _T_1581 = or(_T_1570, _T_1580) @[ifu_compress_ctl.scala 165:67] + node _T_1582 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:90] + node _T_1583 = eq(_T_1582, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1584 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 12:90] + node _T_1585 = eq(_T_1584, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1586 = bits(io.din, 7, 7) @[ifu_compress_ctl.scala 12:71] + node _T_1587 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_1588 = and(_T_1583, _T_1585) @[ifu_compress_ctl.scala 12:110] + node _T_1589 = and(_T_1588, _T_1586) @[ifu_compress_ctl.scala 12:110] + node _T_1590 = and(_T_1589, _T_1587) @[ifu_compress_ctl.scala 12:110] + node _T_1591 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 166:37] + node _T_1592 = eq(_T_1591, UInt<1>("h00")) @[ifu_compress_ctl.scala 166:30] + node _T_1593 = and(_T_1590, _T_1592) @[ifu_compress_ctl.scala 166:28] + node _T_1594 = or(_T_1581, _T_1593) @[ifu_compress_ctl.scala 165:94] + node _T_1595 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 12:71] + node _T_1596 = bits(io.din, 11, 11) @[ifu_compress_ctl.scala 12:71] + node _T_1597 = bits(io.din, 10, 10) @[ifu_compress_ctl.scala 12:90] + node _T_1598 = eq(_T_1597, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1599 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:90] + node _T_1600 = eq(_T_1599, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1601 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 12:71] + node _T_1602 = and(_T_1595, _T_1596) @[ifu_compress_ctl.scala 12:110] + node _T_1603 = and(_T_1602, _T_1598) @[ifu_compress_ctl.scala 12:110] + node _T_1604 = and(_T_1603, _T_1600) @[ifu_compress_ctl.scala 12:110] + node _T_1605 = and(_T_1604, _T_1601) @[ifu_compress_ctl.scala 12:110] + node _T_1606 = or(_T_1594, _T_1605) @[ifu_compress_ctl.scala 166:43] + node _T_1607 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:90] + node _T_1608 = eq(_T_1607, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1609 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:90] + node _T_1610 = eq(_T_1609, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1611 = bits(io.din, 9, 9) @[ifu_compress_ctl.scala 12:71] + node _T_1612 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:90] + node _T_1613 = eq(_T_1612, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1614 = and(_T_1608, _T_1610) @[ifu_compress_ctl.scala 12:110] + node _T_1615 = and(_T_1614, _T_1611) @[ifu_compress_ctl.scala 12:110] + node _T_1616 = and(_T_1615, _T_1613) @[ifu_compress_ctl.scala 12:110] + node _T_1617 = or(_T_1606, _T_1616) @[ifu_compress_ctl.scala 166:71] + node _T_1618 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:90] + node _T_1619 = eq(_T_1618, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1620 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 12:90] + node _T_1621 = eq(_T_1620, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1622 = bits(io.din, 4, 4) @[ifu_compress_ctl.scala 12:71] + node _T_1623 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_1624 = and(_T_1619, _T_1621) @[ifu_compress_ctl.scala 12:110] + node _T_1625 = and(_T_1624, _T_1622) @[ifu_compress_ctl.scala 12:110] + node _T_1626 = and(_T_1625, _T_1623) @[ifu_compress_ctl.scala 12:110] + node _T_1627 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 167:37] + node _T_1628 = eq(_T_1627, UInt<1>("h00")) @[ifu_compress_ctl.scala 167:30] + node _T_1629 = and(_T_1626, _T_1628) @[ifu_compress_ctl.scala 167:28] + node _T_1630 = or(_T_1617, _T_1629) @[ifu_compress_ctl.scala 166:97] + node _T_1631 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:71] + node _T_1632 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 12:71] + node _T_1633 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:90] + node _T_1634 = eq(_T_1633, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1635 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 12:71] + node _T_1636 = and(_T_1631, _T_1632) @[ifu_compress_ctl.scala 12:110] + node _T_1637 = and(_T_1636, _T_1634) @[ifu_compress_ctl.scala 12:110] + node _T_1638 = and(_T_1637, _T_1635) @[ifu_compress_ctl.scala 12:110] + node _T_1639 = or(_T_1630, _T_1638) @[ifu_compress_ctl.scala 167:43] + node _T_1640 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:90] + node _T_1641 = eq(_T_1640, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1642 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:90] + node _T_1643 = eq(_T_1642, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1644 = bits(io.din, 8, 8) @[ifu_compress_ctl.scala 12:71] + node _T_1645 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:90] + node _T_1646 = eq(_T_1645, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1647 = and(_T_1641, _T_1643) @[ifu_compress_ctl.scala 12:110] + node _T_1648 = and(_T_1647, _T_1644) @[ifu_compress_ctl.scala 12:110] + node _T_1649 = and(_T_1648, _T_1646) @[ifu_compress_ctl.scala 12:110] + node _T_1650 = or(_T_1639, _T_1649) @[ifu_compress_ctl.scala 167:67] + node _T_1651 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:90] + node _T_1652 = eq(_T_1651, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1653 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 12:90] + node _T_1654 = eq(_T_1653, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1655 = bits(io.din, 3, 3) @[ifu_compress_ctl.scala 12:71] + node _T_1656 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_1657 = and(_T_1652, _T_1654) @[ifu_compress_ctl.scala 12:110] + node _T_1658 = and(_T_1657, _T_1655) @[ifu_compress_ctl.scala 12:110] + node _T_1659 = and(_T_1658, _T_1656) @[ifu_compress_ctl.scala 12:110] + node _T_1660 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 168:37] + node _T_1661 = eq(_T_1660, UInt<1>("h00")) @[ifu_compress_ctl.scala 168:30] + node _T_1662 = and(_T_1659, _T_1661) @[ifu_compress_ctl.scala 168:28] + node _T_1663 = or(_T_1650, _T_1662) @[ifu_compress_ctl.scala 167:93] + node _T_1664 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:71] + node _T_1665 = bits(io.din, 4, 4) @[ifu_compress_ctl.scala 12:71] + node _T_1666 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:90] + node _T_1667 = eq(_T_1666, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1668 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 12:71] + node _T_1669 = and(_T_1664, _T_1665) @[ifu_compress_ctl.scala 12:110] + node _T_1670 = and(_T_1669, _T_1667) @[ifu_compress_ctl.scala 12:110] + node _T_1671 = and(_T_1670, _T_1668) @[ifu_compress_ctl.scala 12:110] + node _T_1672 = or(_T_1663, _T_1671) @[ifu_compress_ctl.scala 168:43] + node _T_1673 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:90] + node _T_1674 = eq(_T_1673, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1675 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 12:90] + node _T_1676 = eq(_T_1675, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1677 = bits(io.din, 2, 2) @[ifu_compress_ctl.scala 12:71] + node _T_1678 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_1679 = and(_T_1674, _T_1676) @[ifu_compress_ctl.scala 12:110] + node _T_1680 = and(_T_1679, _T_1677) @[ifu_compress_ctl.scala 12:110] + node _T_1681 = and(_T_1680, _T_1678) @[ifu_compress_ctl.scala 12:110] + node _T_1682 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 168:100] + node _T_1683 = eq(_T_1682, UInt<1>("h00")) @[ifu_compress_ctl.scala 168:93] + node _T_1684 = and(_T_1681, _T_1683) @[ifu_compress_ctl.scala 168:91] + node _T_1685 = or(_T_1672, _T_1684) @[ifu_compress_ctl.scala 168:66] + node _T_1686 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:90] + node _T_1687 = eq(_T_1686, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1688 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:90] + node _T_1689 = eq(_T_1688, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1690 = bits(io.din, 7, 7) @[ifu_compress_ctl.scala 12:71] + node _T_1691 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:90] + node _T_1692 = eq(_T_1691, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1693 = and(_T_1687, _T_1689) @[ifu_compress_ctl.scala 12:110] + node _T_1694 = and(_T_1693, _T_1690) @[ifu_compress_ctl.scala 12:110] + node _T_1695 = and(_T_1694, _T_1692) @[ifu_compress_ctl.scala 12:110] + node _T_1696 = or(_T_1685, _T_1695) @[ifu_compress_ctl.scala 168:106] + node _T_1697 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:71] + node _T_1698 = bits(io.din, 3, 3) @[ifu_compress_ctl.scala 12:71] + node _T_1699 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:90] + node _T_1700 = eq(_T_1699, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1701 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 12:71] + node _T_1702 = and(_T_1697, _T_1698) @[ifu_compress_ctl.scala 12:110] + node _T_1703 = and(_T_1702, _T_1700) @[ifu_compress_ctl.scala 12:110] + node _T_1704 = and(_T_1703, _T_1701) @[ifu_compress_ctl.scala 12:110] + node _T_1705 = or(_T_1696, _T_1704) @[ifu_compress_ctl.scala 169:29] + node _T_1706 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:71] + node _T_1707 = bits(io.din, 2, 2) @[ifu_compress_ctl.scala 12:71] + node _T_1708 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:90] + node _T_1709 = eq(_T_1708, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1710 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 12:71] + node _T_1711 = and(_T_1706, _T_1707) @[ifu_compress_ctl.scala 12:110] + node _T_1712 = and(_T_1711, _T_1709) @[ifu_compress_ctl.scala 12:110] + node _T_1713 = and(_T_1712, _T_1710) @[ifu_compress_ctl.scala 12:110] + node _T_1714 = or(_T_1705, _T_1713) @[ifu_compress_ctl.scala 169:52] + node _T_1715 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:71] + node _T_1716 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:90] + node _T_1717 = eq(_T_1716, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1718 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:90] + node _T_1719 = eq(_T_1718, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1720 = and(_T_1715, _T_1717) @[ifu_compress_ctl.scala 12:110] + node _T_1721 = and(_T_1720, _T_1719) @[ifu_compress_ctl.scala 12:110] + node _T_1722 = or(_T_1714, _T_1721) @[ifu_compress_ctl.scala 169:75] + node _T_1723 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:90] + node _T_1724 = eq(_T_1723, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1725 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 12:90] + node _T_1726 = eq(_T_1725, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1727 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:90] + node _T_1728 = eq(_T_1727, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1729 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 12:71] + node _T_1730 = and(_T_1724, _T_1726) @[ifu_compress_ctl.scala 12:110] + node _T_1731 = and(_T_1730, _T_1728) @[ifu_compress_ctl.scala 12:110] + node _T_1732 = and(_T_1731, _T_1729) @[ifu_compress_ctl.scala 12:110] + node _T_1733 = or(_T_1722, _T_1732) @[ifu_compress_ctl.scala 169:98] + node _T_1734 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:71] + node _T_1735 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:90] + node _T_1736 = eq(_T_1735, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1737 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 12:71] + node _T_1738 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_1739 = and(_T_1734, _T_1736) @[ifu_compress_ctl.scala 12:110] + node _T_1740 = and(_T_1739, _T_1737) @[ifu_compress_ctl.scala 12:110] + node _T_1741 = and(_T_1740, _T_1738) @[ifu_compress_ctl.scala 12:110] + node _T_1742 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 170:63] + node _T_1743 = eq(_T_1742, UInt<1>("h00")) @[ifu_compress_ctl.scala 170:56] + node _T_1744 = and(_T_1741, _T_1743) @[ifu_compress_ctl.scala 170:54] + node _T_1745 = or(_T_1733, _T_1744) @[ifu_compress_ctl.scala 170:29] + node _T_1746 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:90] + node _T_1747 = eq(_T_1746, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1748 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:90] + node _T_1749 = eq(_T_1748, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1750 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 12:90] + node _T_1751 = eq(_T_1750, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1752 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:71] + node _T_1753 = and(_T_1747, _T_1749) @[ifu_compress_ctl.scala 12:110] + node _T_1754 = and(_T_1753, _T_1751) @[ifu_compress_ctl.scala 12:110] + node _T_1755 = and(_T_1754, _T_1752) @[ifu_compress_ctl.scala 12:110] + node _T_1756 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 170:105] + node _T_1757 = eq(_T_1756, UInt<1>("h00")) @[ifu_compress_ctl.scala 170:98] + node _T_1758 = and(_T_1755, _T_1757) @[ifu_compress_ctl.scala 170:96] + node _T_1759 = or(_T_1745, _T_1758) @[ifu_compress_ctl.scala 170:69] + node _T_1760 = bits(io.din, 15, 15) @[ifu_compress_ctl.scala 12:90] + node _T_1761 = eq(_T_1760, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1762 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:90] + node _T_1763 = eq(_T_1762, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1764 = bits(io.din, 12, 12) @[ifu_compress_ctl.scala 12:71] + node _T_1765 = bits(io.din, 1, 1) @[ifu_compress_ctl.scala 12:90] + node _T_1766 = eq(_T_1765, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1767 = and(_T_1761, _T_1763) @[ifu_compress_ctl.scala 12:110] + node _T_1768 = and(_T_1767, _T_1764) @[ifu_compress_ctl.scala 12:110] + node _T_1769 = and(_T_1768, _T_1766) @[ifu_compress_ctl.scala 12:110] + node _T_1770 = or(_T_1759, _T_1769) @[ifu_compress_ctl.scala 170:111] + node _T_1771 = bits(io.din, 14, 14) @[ifu_compress_ctl.scala 12:71] + node _T_1772 = bits(io.din, 13, 13) @[ifu_compress_ctl.scala 12:90] + node _T_1773 = eq(_T_1772, UInt<1>("h00")) @[ifu_compress_ctl.scala 12:83] + node _T_1774 = and(_T_1771, _T_1773) @[ifu_compress_ctl.scala 12:110] + node _T_1775 = bits(io.din, 0, 0) @[ifu_compress_ctl.scala 171:59] + node _T_1776 = eq(_T_1775, UInt<1>("h00")) @[ifu_compress_ctl.scala 171:52] + node _T_1777 = and(_T_1774, _T_1776) @[ifu_compress_ctl.scala 171:50] + node legal = or(_T_1770, _T_1777) @[ifu_compress_ctl.scala 171:30] + wire _T_1778 : UInt<1>[32] @[lib.scala 12:48] + _T_1778[0] <= legal @[lib.scala 12:48] + _T_1778[1] <= legal @[lib.scala 12:48] + _T_1778[2] <= legal @[lib.scala 12:48] + _T_1778[3] <= legal @[lib.scala 12:48] + _T_1778[4] <= legal @[lib.scala 12:48] + _T_1778[5] <= legal @[lib.scala 12:48] + _T_1778[6] <= legal @[lib.scala 12:48] + _T_1778[7] <= legal @[lib.scala 12:48] + _T_1778[8] <= legal @[lib.scala 12:48] + _T_1778[9] <= legal @[lib.scala 12:48] + _T_1778[10] <= legal @[lib.scala 12:48] + _T_1778[11] <= legal @[lib.scala 12:48] + _T_1778[12] <= legal @[lib.scala 12:48] + _T_1778[13] <= legal @[lib.scala 12:48] + _T_1778[14] <= legal @[lib.scala 12:48] + _T_1778[15] <= legal @[lib.scala 12:48] + _T_1778[16] <= legal @[lib.scala 12:48] + _T_1778[17] <= legal @[lib.scala 12:48] + _T_1778[18] <= legal @[lib.scala 12:48] + _T_1778[19] <= legal @[lib.scala 12:48] + _T_1778[20] <= legal @[lib.scala 12:48] + _T_1778[21] <= legal @[lib.scala 12:48] + _T_1778[22] <= legal @[lib.scala 12:48] + _T_1778[23] <= legal @[lib.scala 12:48] + _T_1778[24] <= legal @[lib.scala 12:48] + _T_1778[25] <= legal @[lib.scala 12:48] + _T_1778[26] <= legal @[lib.scala 12:48] + _T_1778[27] <= legal @[lib.scala 12:48] + _T_1778[28] <= legal @[lib.scala 12:48] + _T_1778[29] <= legal @[lib.scala 12:48] + _T_1778[30] <= legal @[lib.scala 12:48] + _T_1778[31] <= legal @[lib.scala 12:48] + node _T_1779 = cat(_T_1778[0], _T_1778[1]) @[Cat.scala 29:58] + node _T_1780 = cat(_T_1779, _T_1778[2]) @[Cat.scala 29:58] + node _T_1781 = cat(_T_1780, _T_1778[3]) @[Cat.scala 29:58] + node _T_1782 = cat(_T_1781, _T_1778[4]) @[Cat.scala 29:58] + node _T_1783 = cat(_T_1782, _T_1778[5]) @[Cat.scala 29:58] + node _T_1784 = cat(_T_1783, _T_1778[6]) @[Cat.scala 29:58] + node _T_1785 = cat(_T_1784, _T_1778[7]) @[Cat.scala 29:58] + node _T_1786 = cat(_T_1785, _T_1778[8]) @[Cat.scala 29:58] + node _T_1787 = cat(_T_1786, _T_1778[9]) @[Cat.scala 29:58] + node _T_1788 = cat(_T_1787, _T_1778[10]) @[Cat.scala 29:58] + node _T_1789 = cat(_T_1788, _T_1778[11]) @[Cat.scala 29:58] + node _T_1790 = cat(_T_1789, _T_1778[12]) @[Cat.scala 29:58] + node _T_1791 = cat(_T_1790, _T_1778[13]) @[Cat.scala 29:58] + node _T_1792 = cat(_T_1791, _T_1778[14]) @[Cat.scala 29:58] + node _T_1793 = cat(_T_1792, _T_1778[15]) @[Cat.scala 29:58] + node _T_1794 = cat(_T_1793, _T_1778[16]) @[Cat.scala 29:58] + node _T_1795 = cat(_T_1794, _T_1778[17]) @[Cat.scala 29:58] + node _T_1796 = cat(_T_1795, _T_1778[18]) @[Cat.scala 29:58] + node _T_1797 = cat(_T_1796, _T_1778[19]) @[Cat.scala 29:58] + node _T_1798 = cat(_T_1797, _T_1778[20]) @[Cat.scala 29:58] + node _T_1799 = cat(_T_1798, _T_1778[21]) @[Cat.scala 29:58] + node _T_1800 = cat(_T_1799, _T_1778[22]) @[Cat.scala 29:58] + node _T_1801 = cat(_T_1800, _T_1778[23]) @[Cat.scala 29:58] + node _T_1802 = cat(_T_1801, _T_1778[24]) @[Cat.scala 29:58] + node _T_1803 = cat(_T_1802, _T_1778[25]) @[Cat.scala 29:58] + node _T_1804 = cat(_T_1803, _T_1778[26]) @[Cat.scala 29:58] + node _T_1805 = cat(_T_1804, _T_1778[27]) @[Cat.scala 29:58] + node _T_1806 = cat(_T_1805, _T_1778[28]) @[Cat.scala 29:58] + node _T_1807 = cat(_T_1806, _T_1778[29]) @[Cat.scala 29:58] + node _T_1808 = cat(_T_1807, _T_1778[30]) @[Cat.scala 29:58] + node _T_1809 = cat(_T_1808, _T_1778[31]) @[Cat.scala 29:58] + node _T_1810 = and(l3, _T_1809) @[ifu_compress_ctl.scala 173:16] + io.dout <= _T_1810 @[ifu_compress_ctl.scala 173:10] + + module ifu_aln_ctl : + input clock : Clock + input reset : AsyncReset + output io : {flip scan_mode : UInt<1>, flip active_clk : Clock, flip ifu_async_error_start : UInt<1>, flip iccm_rd_ecc_double_err : UInt<1>, flip ic_access_fault_f : UInt<1>, flip ic_access_fault_type_f : UInt<2>, flip ifu_bp_fghr_f : UInt<8>, flip ifu_bp_btb_target_f : UInt<31>, flip ifu_bp_poffset_f : UInt<12>, flip ifu_bp_hist0_f : UInt<2>, flip ifu_bp_hist1_f : UInt<2>, flip ifu_bp_pc4_f : UInt<2>, flip ifu_bp_way_f : UInt<2>, flip ifu_bp_valid_f : UInt<2>, flip ifu_bp_ret_f : UInt<2>, flip exu_flush_final : UInt<1>, dec_aln : {aln_dec : {flip dec_i0_decode_d : UInt<1>, ifu_i0_cinst : UInt<16>}, aln_ib : {ifu_i0_icaf : UInt<1>, ifu_i0_icaf_type : UInt<2>, ifu_i0_icaf_f1 : UInt<1>, ifu_i0_dbecc : UInt<1>, ifu_i0_bp_index : UInt<8>, ifu_i0_bp_fghr : UInt<8>, ifu_i0_bp_btag : UInt<5>, ifu_i0_valid : UInt<1>, ifu_i0_instr : UInt<32>, ifu_i0_pc : UInt<31>, ifu_i0_pc4 : UInt<1>, i0_brp : {valid : UInt<1>, bits : {toffset : UInt<12>, hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, bank : UInt<1>, prett : UInt<31>, way : UInt<1>, ret : UInt<1>}}}, ifu_pmu_instr_aligned : UInt<1>}, flip ifu_fetch_data_f : UInt<32>, flip ifu_fetch_val : UInt<2>, flip ifu_fetch_pc : UInt<31>, ifu_fb_consume1 : UInt<1>, ifu_fb_consume2 : UInt<1>} + + wire error_stall_in : UInt<1> + error_stall_in <= UInt<1>("h00") + wire alignval : UInt<2> + alignval <= UInt<1>("h00") + wire q0final : UInt<32> + q0final <= UInt<1>("h00") + wire q1final : UInt<16> + q1final <= UInt<1>("h00") + wire wrptr_in : UInt<2> + wrptr_in <= UInt<1>("h00") + wire rdptr_in : UInt<2> + rdptr_in <= UInt<1>("h00") + wire f2val_in : UInt<2> + f2val_in <= UInt<1>("h00") + wire f1val_in : UInt<2> + f1val_in <= UInt<1>("h00") + wire f0val_in : UInt<2> + f0val_in <= UInt<1>("h00") + wire q2off_in : UInt<1> + q2off_in <= UInt<1>("h00") + wire q1off_in : UInt<1> + q1off_in <= UInt<1>("h00") + wire q0off_in : UInt<1> + q0off_in <= UInt<1>("h00") + wire sf0_valid : UInt<1> + sf0_valid <= UInt<1>("h00") + wire sf1_valid : UInt<1> + sf1_valid <= UInt<1>("h00") + wire f2_valid : UInt<1> + f2_valid <= UInt<1>("h00") + wire ifvalid : UInt<1> + ifvalid <= UInt<1>("h00") + wire shift_f2_f1 : UInt<1> + shift_f2_f1 <= UInt<1>("h00") + wire shift_f2_f0 : UInt<1> + shift_f2_f0 <= UInt<1>("h00") + wire shift_f1_f0 : UInt<1> + shift_f1_f0 <= UInt<1>("h00") + wire f0icaf : UInt<1> + f0icaf <= UInt<1>("h00") + wire f1icaf : UInt<1> + f1icaf <= UInt<1>("h00") + wire sf0val : UInt<2> + sf0val <= UInt<1>("h00") + wire sf1val : UInt<2> + sf1val <= UInt<1>("h00") + wire misc0 : UInt<55> + misc0 <= UInt<1>("h00") + wire misc1 : UInt<55> + misc1 <= UInt<1>("h00") + wire misc2 : UInt<55> + misc2 <= UInt<1>("h00") + wire brdata1 : UInt<12> + brdata1 <= UInt<1>("h00") + wire brdata0 : UInt<12> + brdata0 <= UInt<1>("h00") + wire brdata2 : UInt<12> + brdata2 <= UInt<1>("h00") + wire q0 : UInt<32> + q0 <= UInt<1>("h00") + wire q1 : UInt<32> + q1 <= UInt<1>("h00") + wire q2 : UInt<32> + q2 <= UInt<1>("h00") + wire f1pc_in : UInt<31> + f1pc_in <= UInt<1>("h00") + wire f0pc_in : UInt<31> + f0pc_in <= UInt<1>("h00") + wire error_stall : UInt<1> + error_stall <= UInt<1>("h00") + wire f2_wr_en : UInt<1> + f2_wr_en <= UInt<1>("h00") + wire shift_4B : UInt<1> + shift_4B <= UInt<1>("h00") + wire f1_shift_wr_en : UInt<1> + f1_shift_wr_en <= UInt<1>("h00") + wire f0_shift_wr_en : UInt<1> + f0_shift_wr_en <= UInt<1>("h00") + wire qwen : UInt<3> + qwen <= UInt<1>("h00") + wire brdata_in : UInt<12> + brdata_in <= UInt<1>("h00") + wire misc_data_in : UInt<55> + misc_data_in <= UInt<1>("h00") + wire fetch_to_f0 : UInt<1> + fetch_to_f0 <= UInt<1>("h00") + wire fetch_to_f1 : UInt<1> + fetch_to_f1 <= UInt<1>("h00") + wire fetch_to_f2 : UInt<1> + fetch_to_f2 <= UInt<1>("h00") + wire f1_shift_2B : UInt<1> + f1_shift_2B <= UInt<1>("h00") + wire first4B : UInt<1> + first4B <= UInt<1>("h00") + wire shift_2B : UInt<1> + shift_2B <= UInt<1>("h00") + wire f0_shift_2B : UInt<1> + f0_shift_2B <= UInt<1>("h00") + node _T = or(error_stall, io.ifu_async_error_start) @[ifu_aln_ctl.scala 99:34] + node _T_1 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_aln_ctl.scala 99:64] + node _T_2 = and(_T, _T_1) @[ifu_aln_ctl.scala 99:62] + error_stall_in <= _T_2 @[ifu_aln_ctl.scala 99:18] + reg _T_3 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_aln_ctl.scala 102:51] + _T_3 <= error_stall_in @[ifu_aln_ctl.scala 102:51] + error_stall <= _T_3 @[ifu_aln_ctl.scala 102:15] + reg wrptr : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_aln_ctl.scala 104:48] + wrptr <= wrptr_in @[ifu_aln_ctl.scala 104:48] + reg rdptr : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_aln_ctl.scala 106:48] + rdptr <= rdptr_in @[ifu_aln_ctl.scala 106:48] + reg f2val : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_aln_ctl.scala 108:48] + f2val <= f2val_in @[ifu_aln_ctl.scala 108:48] + reg f1val : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_aln_ctl.scala 109:48] + f1val <= f1val_in @[ifu_aln_ctl.scala 109:48] + reg f0val : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_aln_ctl.scala 110:48] + f0val <= f0val_in @[ifu_aln_ctl.scala 110:48] + reg q2off : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_aln_ctl.scala 112:48] + q2off <= q2off_in @[ifu_aln_ctl.scala 112:48] + reg q1off : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_aln_ctl.scala 113:48] + q1off <= q1off_in @[ifu_aln_ctl.scala 113:48] + reg q0off : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_aln_ctl.scala 114:48] + q0off <= q0off_in @[ifu_aln_ctl.scala 114:48] + node _T_4 = bits(f2_wr_en, 0, 0) @[ifu_aln_ctl.scala 116:47] + inst rvclkhdr of rvclkhdr_648 @[lib.scala 368:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 370:18] + rvclkhdr.io.en <= _T_4 @[lib.scala 371:17] + rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg f2pc : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + f2pc <= io.ifu_fetch_pc @[lib.scala 374:16] + node _T_5 = bits(f1_shift_wr_en, 0, 0) @[ifu_aln_ctl.scala 117:45] + inst rvclkhdr_1 of rvclkhdr_649 @[lib.scala 368:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_1.io.en <= _T_5 @[lib.scala 371:17] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg f1pc : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + f1pc <= f1pc_in @[lib.scala 374:16] + node _T_6 = bits(f0_shift_wr_en, 0, 0) @[ifu_aln_ctl.scala 118:45] + inst rvclkhdr_2 of rvclkhdr_650 @[lib.scala 368:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_2.io.en <= _T_6 @[lib.scala 371:17] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg f0pc : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + f0pc <= f0pc_in @[lib.scala 374:16] + node _T_7 = bits(qwen, 2, 2) @[ifu_aln_ctl.scala 120:36] + inst rvclkhdr_3 of rvclkhdr_651 @[lib.scala 368:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_3.io.en <= _T_7 @[lib.scala 371:17] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_8 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_8 <= brdata_in @[lib.scala 374:16] + brdata2 <= _T_8 @[ifu_aln_ctl.scala 120:11] + node _T_9 = bits(qwen, 1, 1) @[ifu_aln_ctl.scala 121:36] + inst rvclkhdr_4 of rvclkhdr_652 @[lib.scala 368:23] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_4.io.en <= _T_9 @[lib.scala 371:17] + rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_10 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_10 <= brdata_in @[lib.scala 374:16] + brdata1 <= _T_10 @[ifu_aln_ctl.scala 121:11] + node _T_11 = bits(qwen, 0, 0) @[ifu_aln_ctl.scala 122:36] + inst rvclkhdr_5 of rvclkhdr_653 @[lib.scala 368:23] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_5.io.en <= _T_11 @[lib.scala 371:17] + rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_12 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_12 <= brdata_in @[lib.scala 374:16] + brdata0 <= _T_12 @[ifu_aln_ctl.scala 122:11] + node _T_13 = bits(qwen, 2, 2) @[ifu_aln_ctl.scala 124:37] + inst rvclkhdr_6 of rvclkhdr_654 @[lib.scala 368:23] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= reset + rvclkhdr_6.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_6.io.en <= _T_13 @[lib.scala 371:17] + rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_14 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_14 <= misc_data_in @[lib.scala 374:16] + misc2 <= _T_14 @[ifu_aln_ctl.scala 124:9] + node _T_15 = bits(qwen, 1, 1) @[ifu_aln_ctl.scala 125:37] + inst rvclkhdr_7 of rvclkhdr_655 @[lib.scala 368:23] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= reset + rvclkhdr_7.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_7.io.en <= _T_15 @[lib.scala 371:17] + rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_16 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_16 <= misc_data_in @[lib.scala 374:16] + misc1 <= _T_16 @[ifu_aln_ctl.scala 125:9] + node _T_17 = bits(qwen, 0, 0) @[ifu_aln_ctl.scala 126:37] + inst rvclkhdr_8 of rvclkhdr_656 @[lib.scala 368:23] + rvclkhdr_8.clock <= clock + rvclkhdr_8.reset <= reset + rvclkhdr_8.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_8.io.en <= _T_17 @[lib.scala 371:17] + rvclkhdr_8.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_18 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_18 <= misc_data_in @[lib.scala 374:16] + misc0 <= _T_18 @[ifu_aln_ctl.scala 126:9] + node _T_19 = bits(qwen, 2, 2) @[ifu_aln_ctl.scala 128:41] + inst rvclkhdr_9 of rvclkhdr_657 @[lib.scala 368:23] + rvclkhdr_9.clock <= clock + rvclkhdr_9.reset <= reset + rvclkhdr_9.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_9.io.en <= _T_19 @[lib.scala 371:17] + rvclkhdr_9.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_20 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_20 <= io.ifu_fetch_data_f @[lib.scala 374:16] + q2 <= _T_20 @[ifu_aln_ctl.scala 128:6] + node _T_21 = bits(qwen, 1, 1) @[ifu_aln_ctl.scala 129:41] + inst rvclkhdr_10 of rvclkhdr_658 @[lib.scala 368:23] + rvclkhdr_10.clock <= clock + rvclkhdr_10.reset <= reset + rvclkhdr_10.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_10.io.en <= _T_21 @[lib.scala 371:17] + rvclkhdr_10.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_22 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_22 <= io.ifu_fetch_data_f @[lib.scala 374:16] + q1 <= _T_22 @[ifu_aln_ctl.scala 129:6] + node _T_23 = bits(qwen, 0, 0) @[ifu_aln_ctl.scala 130:41] + inst rvclkhdr_11 of rvclkhdr_659 @[lib.scala 368:23] + rvclkhdr_11.clock <= clock + rvclkhdr_11.reset <= reset + rvclkhdr_11.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_11.io.en <= _T_23 @[lib.scala 371:17] + rvclkhdr_11.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_24 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_24 <= io.ifu_fetch_data_f @[lib.scala 374:16] + q0 <= _T_24 @[ifu_aln_ctl.scala 130:6] + f2_wr_en <= fetch_to_f2 @[ifu_aln_ctl.scala 133:18] + node _T_25 = or(fetch_to_f1, shift_f2_f1) @[ifu_aln_ctl.scala 134:33] + node _T_26 = or(_T_25, f1_shift_2B) @[ifu_aln_ctl.scala 134:47] + f1_shift_wr_en <= _T_26 @[ifu_aln_ctl.scala 134:18] + node _T_27 = or(fetch_to_f0, shift_f2_f0) @[ifu_aln_ctl.scala 135:33] + node _T_28 = or(_T_27, shift_f1_f0) @[ifu_aln_ctl.scala 135:47] + node _T_29 = or(_T_28, shift_2B) @[ifu_aln_ctl.scala 135:61] + node _T_30 = or(_T_29, shift_4B) @[ifu_aln_ctl.scala 135:72] + f0_shift_wr_en <= _T_30 @[ifu_aln_ctl.scala 135:18] + node _T_31 = eq(rdptr, UInt<2>("h02")) @[ifu_aln_ctl.scala 137:24] + node _T_32 = eq(rdptr, UInt<1>("h01")) @[ifu_aln_ctl.scala 137:39] + node _T_33 = eq(rdptr, UInt<1>("h00")) @[ifu_aln_ctl.scala 137:54] + node _T_34 = cat(_T_31, _T_32) @[Cat.scala 29:58] + node qren = cat(_T_34, _T_33) @[Cat.scala 29:58] + node _T_35 = eq(wrptr, UInt<2>("h02")) @[ifu_aln_ctl.scala 139:21] + node _T_36 = and(_T_35, ifvalid) @[ifu_aln_ctl.scala 139:29] + node _T_37 = eq(wrptr, UInt<1>("h01")) @[ifu_aln_ctl.scala 139:46] + node _T_38 = and(_T_37, ifvalid) @[ifu_aln_ctl.scala 139:54] + node _T_39 = eq(wrptr, UInt<1>("h00")) @[ifu_aln_ctl.scala 139:71] + node _T_40 = and(_T_39, ifvalid) @[ifu_aln_ctl.scala 139:79] + node _T_41 = cat(_T_36, _T_38) @[Cat.scala 29:58] + node _T_42 = cat(_T_41, _T_40) @[Cat.scala 29:58] + qwen <= _T_42 @[ifu_aln_ctl.scala 139:8] + node _T_43 = bits(qren, 0, 0) @[ifu_aln_ctl.scala 143:30] + node _T_44 = and(_T_43, io.ifu_fb_consume1) @[ifu_aln_ctl.scala 143:34] + node _T_45 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_aln_ctl.scala 143:57] + node _T_46 = and(_T_44, _T_45) @[ifu_aln_ctl.scala 143:55] + node _T_47 = bits(_T_46, 0, 0) @[ifu_aln_ctl.scala 143:78] + node _T_48 = bits(qren, 1, 1) @[ifu_aln_ctl.scala 144:10] + node _T_49 = and(_T_48, io.ifu_fb_consume1) @[ifu_aln_ctl.scala 144:14] + node _T_50 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_aln_ctl.scala 144:37] + node _T_51 = and(_T_49, _T_50) @[ifu_aln_ctl.scala 144:35] + node _T_52 = bits(_T_51, 0, 0) @[ifu_aln_ctl.scala 144:58] + node _T_53 = bits(qren, 2, 2) @[ifu_aln_ctl.scala 145:10] + node _T_54 = and(_T_53, io.ifu_fb_consume1) @[ifu_aln_ctl.scala 145:14] + node _T_55 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_aln_ctl.scala 145:37] + node _T_56 = and(_T_54, _T_55) @[ifu_aln_ctl.scala 145:35] + node _T_57 = bits(_T_56, 0, 0) @[ifu_aln_ctl.scala 145:58] + node _T_58 = bits(qren, 0, 0) @[ifu_aln_ctl.scala 146:10] + node _T_59 = and(_T_58, io.ifu_fb_consume2) @[ifu_aln_ctl.scala 146:14] + node _T_60 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_aln_ctl.scala 146:37] + node _T_61 = and(_T_59, _T_60) @[ifu_aln_ctl.scala 146:35] + node _T_62 = bits(_T_61, 0, 0) @[ifu_aln_ctl.scala 146:58] + node _T_63 = bits(qren, 1, 1) @[ifu_aln_ctl.scala 147:10] + node _T_64 = and(_T_63, io.ifu_fb_consume2) @[ifu_aln_ctl.scala 147:14] + node _T_65 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_aln_ctl.scala 147:37] + node _T_66 = and(_T_64, _T_65) @[ifu_aln_ctl.scala 147:35] + node _T_67 = bits(_T_66, 0, 0) @[ifu_aln_ctl.scala 147:58] + node _T_68 = bits(qren, 2, 2) @[ifu_aln_ctl.scala 148:10] + node _T_69 = and(_T_68, io.ifu_fb_consume2) @[ifu_aln_ctl.scala 148:14] + node _T_70 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_aln_ctl.scala 148:37] + node _T_71 = and(_T_69, _T_70) @[ifu_aln_ctl.scala 148:35] + node _T_72 = bits(_T_71, 0, 0) @[ifu_aln_ctl.scala 148:58] + node _T_73 = eq(io.ifu_fb_consume1, UInt<1>("h00")) @[ifu_aln_ctl.scala 149:6] + node _T_74 = eq(io.ifu_fb_consume2, UInt<1>("h00")) @[ifu_aln_ctl.scala 149:28] + node _T_75 = and(_T_73, _T_74) @[ifu_aln_ctl.scala 149:26] + node _T_76 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_aln_ctl.scala 149:50] + node _T_77 = and(_T_75, _T_76) @[ifu_aln_ctl.scala 149:48] + node _T_78 = bits(_T_77, 0, 0) @[ifu_aln_ctl.scala 149:71] + node _T_79 = mux(_T_47, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_80 = mux(_T_52, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_81 = mux(_T_57, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_82 = mux(_T_62, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_83 = mux(_T_67, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_84 = mux(_T_72, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_85 = mux(_T_78, rdptr, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_86 = or(_T_79, _T_80) @[Mux.scala 27:72] + node _T_87 = or(_T_86, _T_81) @[Mux.scala 27:72] + node _T_88 = or(_T_87, _T_82) @[Mux.scala 27:72] + node _T_89 = or(_T_88, _T_83) @[Mux.scala 27:72] + node _T_90 = or(_T_89, _T_84) @[Mux.scala 27:72] + node _T_91 = or(_T_90, _T_85) @[Mux.scala 27:72] + wire _T_92 : UInt @[Mux.scala 27:72] + _T_92 <= _T_91 @[Mux.scala 27:72] + rdptr_in <= _T_92 @[ifu_aln_ctl.scala 143:12] + node _T_93 = bits(qwen, 0, 0) @[ifu_aln_ctl.scala 152:30] + node _T_94 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_aln_ctl.scala 152:36] + node _T_95 = and(_T_93, _T_94) @[ifu_aln_ctl.scala 152:34] + node _T_96 = bits(_T_95, 0, 0) @[ifu_aln_ctl.scala 152:57] + node _T_97 = bits(qwen, 1, 1) @[ifu_aln_ctl.scala 153:10] + node _T_98 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_aln_ctl.scala 153:16] + node _T_99 = and(_T_97, _T_98) @[ifu_aln_ctl.scala 153:14] + node _T_100 = bits(_T_99, 0, 0) @[ifu_aln_ctl.scala 153:37] + node _T_101 = bits(qwen, 2, 2) @[ifu_aln_ctl.scala 154:10] + node _T_102 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_aln_ctl.scala 154:16] + node _T_103 = and(_T_101, _T_102) @[ifu_aln_ctl.scala 154:14] + node _T_104 = bits(_T_103, 0, 0) @[ifu_aln_ctl.scala 154:37] + node _T_105 = eq(ifvalid, UInt<1>("h00")) @[ifu_aln_ctl.scala 155:6] + node _T_106 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_aln_ctl.scala 155:17] + node _T_107 = and(_T_105, _T_106) @[ifu_aln_ctl.scala 155:15] + node _T_108 = bits(_T_107, 0, 0) @[ifu_aln_ctl.scala 155:38] + node _T_109 = mux(_T_96, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_110 = mux(_T_100, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_111 = mux(_T_104, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_112 = mux(_T_108, wrptr, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_113 = or(_T_109, _T_110) @[Mux.scala 27:72] + node _T_114 = or(_T_113, _T_111) @[Mux.scala 27:72] + node _T_115 = or(_T_114, _T_112) @[Mux.scala 27:72] + wire _T_116 : UInt @[Mux.scala 27:72] + _T_116 <= _T_115 @[Mux.scala 27:72] + wrptr_in <= _T_116 @[ifu_aln_ctl.scala 152:12] + node _T_117 = bits(qwen, 2, 2) @[ifu_aln_ctl.scala 157:31] + node _T_118 = eq(_T_117, UInt<1>("h00")) @[ifu_aln_ctl.scala 157:26] + node _T_119 = eq(rdptr, UInt<2>("h02")) @[ifu_aln_ctl.scala 157:43] + node _T_120 = and(_T_118, _T_119) @[ifu_aln_ctl.scala 157:35] + node _T_121 = bits(_T_120, 0, 0) @[ifu_aln_ctl.scala 157:52] + node _T_122 = or(q2off, f0_shift_2B) @[ifu_aln_ctl.scala 157:74] + node _T_123 = bits(qwen, 2, 2) @[ifu_aln_ctl.scala 158:11] + node _T_124 = eq(_T_123, UInt<1>("h00")) @[ifu_aln_ctl.scala 158:6] + node _T_125 = eq(rdptr, UInt<1>("h01")) @[ifu_aln_ctl.scala 158:23] + node _T_126 = and(_T_124, _T_125) @[ifu_aln_ctl.scala 158:15] + node _T_127 = bits(_T_126, 0, 0) @[ifu_aln_ctl.scala 158:32] + node _T_128 = or(q2off, f1_shift_2B) @[ifu_aln_ctl.scala 158:54] + node _T_129 = bits(qwen, 2, 2) @[ifu_aln_ctl.scala 159:11] + node _T_130 = eq(_T_129, UInt<1>("h00")) @[ifu_aln_ctl.scala 159:6] + node _T_131 = eq(rdptr, UInt<1>("h00")) @[ifu_aln_ctl.scala 159:23] + node _T_132 = and(_T_130, _T_131) @[ifu_aln_ctl.scala 159:15] + node _T_133 = bits(_T_132, 0, 0) @[ifu_aln_ctl.scala 159:32] + node _T_134 = mux(_T_121, _T_122, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_135 = mux(_T_127, _T_128, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_136 = mux(_T_133, q2off, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_137 = or(_T_134, _T_135) @[Mux.scala 27:72] + node _T_138 = or(_T_137, _T_136) @[Mux.scala 27:72] + wire _T_139 : UInt @[Mux.scala 27:72] + _T_139 <= _T_138 @[Mux.scala 27:72] + q2off_in <= _T_139 @[ifu_aln_ctl.scala 157:12] + node _T_140 = bits(qwen, 1, 1) @[ifu_aln_ctl.scala 161:31] + node _T_141 = eq(_T_140, UInt<1>("h00")) @[ifu_aln_ctl.scala 161:26] + node _T_142 = eq(rdptr, UInt<1>("h01")) @[ifu_aln_ctl.scala 161:43] + node _T_143 = and(_T_141, _T_142) @[ifu_aln_ctl.scala 161:35] + node _T_144 = bits(_T_143, 0, 0) @[ifu_aln_ctl.scala 161:52] + node _T_145 = or(q1off, f0_shift_2B) @[ifu_aln_ctl.scala 161:74] + node _T_146 = bits(qwen, 1, 1) @[ifu_aln_ctl.scala 162:11] + node _T_147 = eq(_T_146, UInt<1>("h00")) @[ifu_aln_ctl.scala 162:6] + node _T_148 = eq(rdptr, UInt<1>("h00")) @[ifu_aln_ctl.scala 162:23] + node _T_149 = and(_T_147, _T_148) @[ifu_aln_ctl.scala 162:15] + node _T_150 = bits(_T_149, 0, 0) @[ifu_aln_ctl.scala 162:32] + node _T_151 = or(q1off, f1_shift_2B) @[ifu_aln_ctl.scala 162:54] + node _T_152 = bits(qwen, 1, 1) @[ifu_aln_ctl.scala 163:11] + node _T_153 = eq(_T_152, UInt<1>("h00")) @[ifu_aln_ctl.scala 163:6] + node _T_154 = eq(rdptr, UInt<2>("h02")) @[ifu_aln_ctl.scala 163:23] + node _T_155 = and(_T_153, _T_154) @[ifu_aln_ctl.scala 163:15] + node _T_156 = bits(_T_155, 0, 0) @[ifu_aln_ctl.scala 163:32] + node _T_157 = mux(_T_144, _T_145, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_158 = mux(_T_150, _T_151, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_159 = mux(_T_156, q1off, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_160 = or(_T_157, _T_158) @[Mux.scala 27:72] + node _T_161 = or(_T_160, _T_159) @[Mux.scala 27:72] + wire _T_162 : UInt @[Mux.scala 27:72] + _T_162 <= _T_161 @[Mux.scala 27:72] + q1off_in <= _T_162 @[ifu_aln_ctl.scala 161:12] + node _T_163 = bits(qwen, 0, 0) @[ifu_aln_ctl.scala 165:31] + node _T_164 = eq(_T_163, UInt<1>("h00")) @[ifu_aln_ctl.scala 165:26] + node _T_165 = eq(rdptr, UInt<1>("h00")) @[ifu_aln_ctl.scala 165:43] + node _T_166 = and(_T_164, _T_165) @[ifu_aln_ctl.scala 165:35] + node _T_167 = bits(_T_166, 0, 0) @[ifu_aln_ctl.scala 165:52] + node _T_168 = or(q0off, f0_shift_2B) @[ifu_aln_ctl.scala 165:76] + node _T_169 = bits(qwen, 0, 0) @[ifu_aln_ctl.scala 166:31] + node _T_170 = eq(_T_169, UInt<1>("h00")) @[ifu_aln_ctl.scala 166:26] + node _T_171 = eq(rdptr, UInt<2>("h02")) @[ifu_aln_ctl.scala 166:43] + node _T_172 = and(_T_170, _T_171) @[ifu_aln_ctl.scala 166:35] + node _T_173 = bits(_T_172, 0, 0) @[ifu_aln_ctl.scala 166:52] + node _T_174 = or(q0off, f1_shift_2B) @[ifu_aln_ctl.scala 166:76] + node _T_175 = bits(qwen, 0, 0) @[ifu_aln_ctl.scala 167:31] + node _T_176 = eq(_T_175, UInt<1>("h00")) @[ifu_aln_ctl.scala 167:26] + node _T_177 = eq(rdptr, UInt<1>("h01")) @[ifu_aln_ctl.scala 167:43] + node _T_178 = and(_T_176, _T_177) @[ifu_aln_ctl.scala 167:35] + node _T_179 = bits(_T_178, 0, 0) @[ifu_aln_ctl.scala 167:52] + node _T_180 = mux(_T_167, _T_168, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_181 = mux(_T_173, _T_174, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_182 = mux(_T_179, q0off, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_183 = or(_T_180, _T_181) @[Mux.scala 27:72] + node _T_184 = or(_T_183, _T_182) @[Mux.scala 27:72] + wire _T_185 : UInt @[Mux.scala 27:72] + _T_185 <= _T_184 @[Mux.scala 27:72] + q0off_in <= _T_185 @[ifu_aln_ctl.scala 165:12] + node _T_186 = eq(rdptr, UInt<1>("h00")) @[ifu_aln_ctl.scala 169:31] + node _T_187 = eq(rdptr, UInt<1>("h01")) @[ifu_aln_ctl.scala 170:11] + node _T_188 = eq(rdptr, UInt<2>("h02")) @[ifu_aln_ctl.scala 171:11] + node _T_189 = mux(_T_186, q0off, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_190 = mux(_T_187, q1off, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_191 = mux(_T_188, q2off, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_192 = or(_T_189, _T_190) @[Mux.scala 27:72] + node _T_193 = or(_T_192, _T_191) @[Mux.scala 27:72] + wire q0ptr : UInt @[Mux.scala 27:72] + q0ptr <= _T_193 @[Mux.scala 27:72] + node _T_194 = eq(rdptr, UInt<1>("h00")) @[ifu_aln_ctl.scala 173:32] + node _T_195 = eq(rdptr, UInt<1>("h01")) @[ifu_aln_ctl.scala 173:57] + node _T_196 = eq(rdptr, UInt<2>("h02")) @[ifu_aln_ctl.scala 173:83] + node _T_197 = mux(_T_194, q1off, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_198 = mux(_T_195, q2off, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_199 = mux(_T_196, q0off, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_200 = or(_T_197, _T_198) @[Mux.scala 27:72] + node _T_201 = or(_T_200, _T_199) @[Mux.scala 27:72] + wire q1ptr : UInt @[Mux.scala 27:72] + q1ptr <= _T_201 @[Mux.scala 27:72] + node _T_202 = eq(q0ptr, UInt<1>("h00")) @[ifu_aln_ctl.scala 175:26] + node q0sel = cat(q0ptr, _T_202) @[Cat.scala 29:58] + node _T_203 = eq(q1ptr, UInt<1>("h00")) @[ifu_aln_ctl.scala 177:26] + node q1sel = cat(q1ptr, _T_203) @[Cat.scala 29:58] + node _T_204 = cat(io.ifu_bp_btb_target_f, io.ifu_bp_poffset_f) @[Cat.scala 29:58] + node _T_205 = cat(_T_204, io.ifu_bp_fghr_f) @[Cat.scala 29:58] + node _T_206 = cat(io.iccm_rd_ecc_double_err, io.ic_access_fault_f) @[Cat.scala 29:58] + node _T_207 = cat(_T_206, io.ic_access_fault_type_f) @[Cat.scala 29:58] + node _T_208 = cat(_T_207, _T_205) @[Cat.scala 29:58] + misc_data_in <= _T_208 @[ifu_aln_ctl.scala 179:16] + node _T_209 = bits(qren, 0, 0) @[ifu_aln_ctl.scala 182:31] + node _T_210 = bits(_T_209, 0, 0) @[ifu_aln_ctl.scala 182:41] + node _T_211 = cat(misc1, misc0) @[Cat.scala 29:58] + node _T_212 = bits(qren, 1, 1) @[ifu_aln_ctl.scala 183:9] + node _T_213 = bits(_T_212, 0, 0) @[ifu_aln_ctl.scala 183:19] + node _T_214 = cat(misc2, misc1) @[Cat.scala 29:58] + node _T_215 = bits(qren, 2, 2) @[ifu_aln_ctl.scala 184:9] + node _T_216 = bits(_T_215, 0, 0) @[ifu_aln_ctl.scala 184:19] + node _T_217 = cat(misc0, misc2) @[Cat.scala 29:58] + node _T_218 = mux(_T_210, _T_211, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_219 = mux(_T_213, _T_214, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_220 = mux(_T_216, _T_217, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_221 = or(_T_218, _T_219) @[Mux.scala 27:72] + node _T_222 = or(_T_221, _T_220) @[Mux.scala 27:72] + wire misceff : UInt<110> @[Mux.scala 27:72] + misceff <= _T_222 @[Mux.scala 27:72] + node misc1eff = bits(misceff, 109, 55) @[ifu_aln_ctl.scala 186:25] + node misc0eff = bits(misceff, 54, 0) @[ifu_aln_ctl.scala 187:25] + node f1dbecc = bits(misc1eff, 54, 54) @[ifu_aln_ctl.scala 190:25] + node _T_223 = bits(misc1eff, 53, 53) @[ifu_aln_ctl.scala 191:21] + f1icaf <= _T_223 @[ifu_aln_ctl.scala 191:10] + node f1ictype = bits(misc1eff, 52, 51) @[ifu_aln_ctl.scala 192:26] + node f1prett = bits(misc1eff, 50, 20) @[ifu_aln_ctl.scala 193:25] + node f1poffset = bits(misc1eff, 19, 8) @[ifu_aln_ctl.scala 194:27] + node f1fghr = bits(misc1eff, 7, 0) @[ifu_aln_ctl.scala 195:24] + node f0dbecc = bits(misc0eff, 54, 54) @[ifu_aln_ctl.scala 197:25] + node _T_224 = bits(misc0eff, 53, 53) @[ifu_aln_ctl.scala 198:21] + f0icaf <= _T_224 @[ifu_aln_ctl.scala 198:10] + node f0ictype = bits(misc0eff, 52, 51) @[ifu_aln_ctl.scala 199:26] + node f0prett = bits(misc0eff, 50, 20) @[ifu_aln_ctl.scala 200:25] + node f0poffset = bits(misc0eff, 19, 8) @[ifu_aln_ctl.scala 201:27] + node f0fghr = bits(misc0eff, 7, 0) @[ifu_aln_ctl.scala 202:24] + node _T_225 = bits(io.ifu_bp_hist1_f, 1, 1) @[ifu_aln_ctl.scala 205:37] + node _T_226 = bits(io.ifu_bp_hist0_f, 1, 1) @[ifu_aln_ctl.scala 205:58] + node _T_227 = bits(io.ifu_bp_pc4_f, 1, 1) @[ifu_aln_ctl.scala 205:77] + node _T_228 = bits(io.ifu_bp_way_f, 1, 1) @[ifu_aln_ctl.scala 205:96] + node _T_229 = bits(io.ifu_bp_valid_f, 1, 1) @[ifu_aln_ctl.scala 205:117] + node _T_230 = bits(io.ifu_bp_ret_f, 1, 1) @[ifu_aln_ctl.scala 206:20] + node _T_231 = bits(io.ifu_bp_hist1_f, 0, 0) @[ifu_aln_ctl.scala 206:42] + node _T_232 = bits(io.ifu_bp_hist0_f, 0, 0) @[ifu_aln_ctl.scala 206:63] + node _T_233 = bits(io.ifu_bp_pc4_f, 0, 0) @[ifu_aln_ctl.scala 206:82] + node _T_234 = bits(io.ifu_bp_way_f, 0, 0) @[ifu_aln_ctl.scala 206:101] + node _T_235 = bits(io.ifu_bp_valid_f, 0, 0) @[ifu_aln_ctl.scala 207:22] + node _T_236 = bits(io.ifu_bp_ret_f, 0, 0) @[ifu_aln_ctl.scala 207:41] + node _T_237 = cat(_T_234, _T_235) @[Cat.scala 29:58] + node _T_238 = cat(_T_237, _T_236) @[Cat.scala 29:58] + node _T_239 = cat(_T_231, _T_232) @[Cat.scala 29:58] + node _T_240 = cat(_T_239, _T_233) @[Cat.scala 29:58] + node _T_241 = cat(_T_240, _T_238) @[Cat.scala 29:58] + node _T_242 = cat(_T_228, _T_229) @[Cat.scala 29:58] + node _T_243 = cat(_T_242, _T_230) @[Cat.scala 29:58] + node _T_244 = cat(_T_225, _T_226) @[Cat.scala 29:58] + node _T_245 = cat(_T_244, _T_227) @[Cat.scala 29:58] + node _T_246 = cat(_T_245, _T_243) @[Cat.scala 29:58] + node _T_247 = cat(_T_246, _T_241) @[Cat.scala 29:58] + brdata_in <= _T_247 @[ifu_aln_ctl.scala 205:13] + node _T_248 = bits(qren, 0, 0) @[ifu_aln_ctl.scala 209:33] + node _T_249 = bits(_T_248, 0, 0) @[ifu_aln_ctl.scala 209:37] + node _T_250 = cat(brdata1, brdata0) @[Cat.scala 29:58] + node _T_251 = bits(qren, 1, 1) @[ifu_aln_ctl.scala 210:9] + node _T_252 = bits(_T_251, 0, 0) @[ifu_aln_ctl.scala 210:13] + node _T_253 = cat(brdata2, brdata1) @[Cat.scala 29:58] + node _T_254 = bits(qren, 2, 2) @[ifu_aln_ctl.scala 211:9] + node _T_255 = bits(_T_254, 0, 0) @[ifu_aln_ctl.scala 211:13] + node _T_256 = cat(brdata0, brdata2) @[Cat.scala 29:58] + node _T_257 = mux(_T_249, _T_250, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_258 = mux(_T_252, _T_253, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_259 = mux(_T_255, _T_256, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_260 = or(_T_257, _T_258) @[Mux.scala 27:72] + node _T_261 = or(_T_260, _T_259) @[Mux.scala 27:72] + wire brdataeff : UInt<24> @[Mux.scala 27:72] + brdataeff <= _T_261 @[Mux.scala 27:72] + node brdata0eff = bits(brdataeff, 11, 0) @[ifu_aln_ctl.scala 213:43] + node brdata1eff = bits(brdataeff, 23, 12) @[ifu_aln_ctl.scala 213:61] + node _T_262 = bits(q0sel, 0, 0) @[ifu_aln_ctl.scala 215:37] + node _T_263 = bits(_T_262, 0, 0) @[ifu_aln_ctl.scala 215:41] + node _T_264 = bits(q0sel, 1, 1) @[ifu_aln_ctl.scala 215:68] + node _T_265 = bits(_T_264, 0, 0) @[ifu_aln_ctl.scala 215:72] + node _T_266 = bits(brdata0eff, 11, 6) @[ifu_aln_ctl.scala 215:92] + node _T_267 = mux(_T_263, brdata0eff, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_268 = mux(_T_265, _T_266, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_269 = or(_T_267, _T_268) @[Mux.scala 27:72] + wire brdata0final : UInt<12> @[Mux.scala 27:72] + brdata0final <= _T_269 @[Mux.scala 27:72] + node _T_270 = bits(q1sel, 0, 0) @[ifu_aln_ctl.scala 216:37] + node _T_271 = bits(_T_270, 0, 0) @[ifu_aln_ctl.scala 216:41] + node _T_272 = bits(q1sel, 1, 1) @[ifu_aln_ctl.scala 216:68] + node _T_273 = bits(_T_272, 0, 0) @[ifu_aln_ctl.scala 216:72] + node _T_274 = bits(brdata1eff, 11, 6) @[ifu_aln_ctl.scala 216:92] + node _T_275 = mux(_T_271, brdata1eff, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_276 = mux(_T_273, _T_274, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_277 = or(_T_275, _T_276) @[Mux.scala 27:72] + wire brdata1final : UInt<12> @[Mux.scala 27:72] + brdata1final <= _T_277 @[Mux.scala 27:72] + node _T_278 = bits(brdata0final, 6, 6) @[ifu_aln_ctl.scala 218:31] + node _T_279 = bits(brdata0final, 0, 0) @[ifu_aln_ctl.scala 218:47] + node f0ret = cat(_T_278, _T_279) @[Cat.scala 29:58] + node _T_280 = bits(brdata0final, 7, 7) @[ifu_aln_ctl.scala 219:33] + node _T_281 = bits(brdata0final, 1, 1) @[ifu_aln_ctl.scala 219:49] + node f0brend = cat(_T_280, _T_281) @[Cat.scala 29:58] + node _T_282 = bits(brdata0final, 8, 8) @[ifu_aln_ctl.scala 220:31] + node _T_283 = bits(brdata0final, 2, 2) @[ifu_aln_ctl.scala 220:47] + node f0way = cat(_T_282, _T_283) @[Cat.scala 29:58] + node _T_284 = bits(brdata0final, 9, 9) @[ifu_aln_ctl.scala 221:31] + node _T_285 = bits(brdata0final, 3, 3) @[ifu_aln_ctl.scala 221:47] + node f0pc4 = cat(_T_284, _T_285) @[Cat.scala 29:58] + node _T_286 = bits(brdata0final, 10, 10) @[ifu_aln_ctl.scala 222:33] + node _T_287 = bits(brdata0final, 4, 4) @[ifu_aln_ctl.scala 222:50] + node f0hist0 = cat(_T_286, _T_287) @[Cat.scala 29:58] + node _T_288 = bits(brdata0final, 11, 11) @[ifu_aln_ctl.scala 223:33] + node _T_289 = bits(brdata0final, 5, 5) @[ifu_aln_ctl.scala 223:50] + node f0hist1 = cat(_T_288, _T_289) @[Cat.scala 29:58] + node _T_290 = bits(brdata1final, 6, 6) @[ifu_aln_ctl.scala 225:31] + node _T_291 = bits(brdata1final, 0, 0) @[ifu_aln_ctl.scala 225:47] + node f1ret = cat(_T_290, _T_291) @[Cat.scala 29:58] + node _T_292 = bits(brdata1final, 7, 7) @[ifu_aln_ctl.scala 226:33] + node _T_293 = bits(brdata1final, 1, 1) @[ifu_aln_ctl.scala 226:49] + node f1brend = cat(_T_292, _T_293) @[Cat.scala 29:58] + node _T_294 = bits(brdata1final, 8, 8) @[ifu_aln_ctl.scala 227:31] + node _T_295 = bits(brdata1final, 2, 2) @[ifu_aln_ctl.scala 227:47] + node f1way = cat(_T_294, _T_295) @[Cat.scala 29:58] + node _T_296 = bits(brdata1final, 9, 9) @[ifu_aln_ctl.scala 228:31] + node _T_297 = bits(brdata1final, 3, 3) @[ifu_aln_ctl.scala 228:47] + node f1pc4 = cat(_T_296, _T_297) @[Cat.scala 29:58] + node _T_298 = bits(brdata1final, 10, 10) @[ifu_aln_ctl.scala 229:33] + node _T_299 = bits(brdata1final, 4, 4) @[ifu_aln_ctl.scala 229:50] + node f1hist0 = cat(_T_298, _T_299) @[Cat.scala 29:58] + node _T_300 = bits(brdata1final, 11, 11) @[ifu_aln_ctl.scala 230:33] + node _T_301 = bits(brdata1final, 5, 5) @[ifu_aln_ctl.scala 230:50] + node f1hist1 = cat(_T_300, _T_301) @[Cat.scala 29:58] + node _T_302 = bits(f2val, 0, 0) @[ifu_aln_ctl.scala 233:20] + f2_valid <= _T_302 @[ifu_aln_ctl.scala 233:12] + node _T_303 = bits(sf1val, 0, 0) @[ifu_aln_ctl.scala 234:22] + sf1_valid <= _T_303 @[ifu_aln_ctl.scala 234:13] + node _T_304 = bits(sf0val, 0, 0) @[ifu_aln_ctl.scala 235:22] + sf0_valid <= _T_304 @[ifu_aln_ctl.scala 235:13] + node _T_305 = bits(sf0val, 0, 0) @[ifu_aln_ctl.scala 237:28] + node _T_306 = eq(_T_305, UInt<1>("h00")) @[ifu_aln_ctl.scala 237:21] + node _T_307 = bits(f0val, 0, 0) @[ifu_aln_ctl.scala 237:39] + node consume_fb0 = and(_T_306, _T_307) @[ifu_aln_ctl.scala 237:32] + node _T_308 = bits(sf1val, 0, 0) @[ifu_aln_ctl.scala 238:28] + node _T_309 = eq(_T_308, UInt<1>("h00")) @[ifu_aln_ctl.scala 238:21] + node _T_310 = bits(f1val, 0, 0) @[ifu_aln_ctl.scala 238:39] + node consume_fb1 = and(_T_309, _T_310) @[ifu_aln_ctl.scala 238:32] + node _T_311 = eq(consume_fb1, UInt<1>("h00")) @[ifu_aln_ctl.scala 241:39] + node _T_312 = and(consume_fb0, _T_311) @[ifu_aln_ctl.scala 241:37] + node _T_313 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_aln_ctl.scala 241:54] + node _T_314 = and(_T_312, _T_313) @[ifu_aln_ctl.scala 241:52] + io.ifu_fb_consume1 <= _T_314 @[ifu_aln_ctl.scala 241:22] + node _T_315 = and(consume_fb0, consume_fb1) @[ifu_aln_ctl.scala 242:37] + node _T_316 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_aln_ctl.scala 242:54] + node _T_317 = and(_T_315, _T_316) @[ifu_aln_ctl.scala 242:52] + io.ifu_fb_consume2 <= _T_317 @[ifu_aln_ctl.scala 242:22] + node _T_318 = bits(io.ifu_fetch_val, 0, 0) @[ifu_aln_ctl.scala 244:30] + ifvalid <= _T_318 @[ifu_aln_ctl.scala 244:11] + node _T_319 = eq(sf0_valid, UInt<1>("h00")) @[ifu_aln_ctl.scala 247:18] + node _T_320 = and(_T_319, sf1_valid) @[ifu_aln_ctl.scala 247:29] + shift_f1_f0 <= _T_320 @[ifu_aln_ctl.scala 247:15] + node _T_321 = eq(sf0_valid, UInt<1>("h00")) @[ifu_aln_ctl.scala 248:18] + node _T_322 = eq(sf1_valid, UInt<1>("h00")) @[ifu_aln_ctl.scala 248:31] + node _T_323 = and(_T_321, _T_322) @[ifu_aln_ctl.scala 248:29] + node _T_324 = and(_T_323, f2_valid) @[ifu_aln_ctl.scala 248:42] + shift_f2_f0 <= _T_324 @[ifu_aln_ctl.scala 248:15] + node _T_325 = eq(sf0_valid, UInt<1>("h00")) @[ifu_aln_ctl.scala 249:18] + node _T_326 = and(_T_325, sf1_valid) @[ifu_aln_ctl.scala 249:29] + node _T_327 = and(_T_326, f2_valid) @[ifu_aln_ctl.scala 249:42] + shift_f2_f1 <= _T_327 @[ifu_aln_ctl.scala 249:15] + node _T_328 = eq(sf0_valid, UInt<1>("h00")) @[ifu_aln_ctl.scala 251:26] + node _T_329 = eq(sf1_valid, UInt<1>("h00")) @[ifu_aln_ctl.scala 251:39] + node _T_330 = and(_T_328, _T_329) @[ifu_aln_ctl.scala 251:37] + node _T_331 = eq(f2_valid, UInt<1>("h00")) @[ifu_aln_ctl.scala 251:52] + node _T_332 = and(_T_330, _T_331) @[ifu_aln_ctl.scala 251:50] + node _T_333 = and(_T_332, ifvalid) @[ifu_aln_ctl.scala 251:62] + fetch_to_f0 <= _T_333 @[ifu_aln_ctl.scala 251:22] + node _T_334 = eq(sf0_valid, UInt<1>("h00")) @[ifu_aln_ctl.scala 252:26] + node _T_335 = eq(sf1_valid, UInt<1>("h00")) @[ifu_aln_ctl.scala 252:39] + node _T_336 = and(_T_334, _T_335) @[ifu_aln_ctl.scala 252:37] + node _T_337 = and(_T_336, f2_valid) @[ifu_aln_ctl.scala 252:50] + node _T_338 = and(_T_337, ifvalid) @[ifu_aln_ctl.scala 252:62] + node _T_339 = eq(sf0_valid, UInt<1>("h00")) @[ifu_aln_ctl.scala 253:26] + node _T_340 = and(_T_339, sf1_valid) @[ifu_aln_ctl.scala 253:37] + node _T_341 = eq(f2_valid, UInt<1>("h00")) @[ifu_aln_ctl.scala 253:52] + node _T_342 = and(_T_340, _T_341) @[ifu_aln_ctl.scala 253:50] + node _T_343 = and(_T_342, ifvalid) @[ifu_aln_ctl.scala 253:62] + node _T_344 = or(_T_338, _T_343) @[ifu_aln_ctl.scala 252:74] + node _T_345 = eq(sf1_valid, UInt<1>("h00")) @[ifu_aln_ctl.scala 254:39] + node _T_346 = and(sf0_valid, _T_345) @[ifu_aln_ctl.scala 254:37] + node _T_347 = eq(f2_valid, UInt<1>("h00")) @[ifu_aln_ctl.scala 254:52] + node _T_348 = and(_T_346, _T_347) @[ifu_aln_ctl.scala 254:50] + node _T_349 = and(_T_348, ifvalid) @[ifu_aln_ctl.scala 254:62] + node _T_350 = or(_T_344, _T_349) @[ifu_aln_ctl.scala 253:74] + fetch_to_f1 <= _T_350 @[ifu_aln_ctl.scala 252:22] + node _T_351 = eq(sf0_valid, UInt<1>("h00")) @[ifu_aln_ctl.scala 256:26] + node _T_352 = and(_T_351, sf1_valid) @[ifu_aln_ctl.scala 256:37] + node _T_353 = and(_T_352, f2_valid) @[ifu_aln_ctl.scala 256:50] + node _T_354 = and(_T_353, ifvalid) @[ifu_aln_ctl.scala 256:62] + node _T_355 = and(sf0_valid, sf1_valid) @[ifu_aln_ctl.scala 257:37] + node _T_356 = eq(f2_valid, UInt<1>("h00")) @[ifu_aln_ctl.scala 257:52] + node _T_357 = and(_T_355, _T_356) @[ifu_aln_ctl.scala 257:50] + node _T_358 = and(_T_357, ifvalid) @[ifu_aln_ctl.scala 257:62] + node _T_359 = or(_T_354, _T_358) @[ifu_aln_ctl.scala 256:74] + fetch_to_f2 <= _T_359 @[ifu_aln_ctl.scala 256:22] + node _T_360 = add(f0pc, UInt<1>("h01")) @[ifu_aln_ctl.scala 259:25] + node f0pc_plus1 = tail(_T_360, 1) @[ifu_aln_ctl.scala 259:25] + node _T_361 = add(f1pc, UInt<1>("h01")) @[ifu_aln_ctl.scala 261:25] + node f1pc_plus1 = tail(_T_361, 1) @[ifu_aln_ctl.scala 261:25] + node _T_362 = bits(f1_shift_2B, 0, 0) @[Bitwise.scala 72:15] + node _T_363 = mux(_T_362, UInt<31>("h07fffffff"), UInt<31>("h00")) @[Bitwise.scala 72:12] + node _T_364 = and(_T_363, f1pc_plus1) @[ifu_aln_ctl.scala 263:38] + node _T_365 = eq(f1_shift_2B, UInt<1>("h00")) @[ifu_aln_ctl.scala 263:64] + node _T_366 = bits(_T_365, 0, 0) @[Bitwise.scala 72:15] + node _T_367 = mux(_T_366, UInt<31>("h07fffffff"), UInt<31>("h00")) @[Bitwise.scala 72:12] + node _T_368 = and(_T_367, f1pc) @[ifu_aln_ctl.scala 263:78] + node sf1pc = or(_T_364, _T_368) @[ifu_aln_ctl.scala 263:52] + node _T_369 = bits(fetch_to_f1, 0, 0) @[ifu_aln_ctl.scala 265:36] + node _T_370 = bits(shift_f2_f1, 0, 0) @[ifu_aln_ctl.scala 266:17] + node _T_371 = eq(fetch_to_f1, UInt<1>("h00")) @[ifu_aln_ctl.scala 267:6] + node _T_372 = eq(shift_f2_f1, UInt<1>("h00")) @[ifu_aln_ctl.scala 267:21] + node _T_373 = and(_T_371, _T_372) @[ifu_aln_ctl.scala 267:19] + node _T_374 = bits(_T_373, 0, 0) @[ifu_aln_ctl.scala 267:35] + node _T_375 = mux(_T_369, io.ifu_fetch_pc, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_376 = mux(_T_370, f2pc, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_377 = mux(_T_374, sf1pc, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_378 = or(_T_375, _T_376) @[Mux.scala 27:72] + node _T_379 = or(_T_378, _T_377) @[Mux.scala 27:72] + wire _T_380 : UInt @[Mux.scala 27:72] + _T_380 <= _T_379 @[Mux.scala 27:72] + f1pc_in <= _T_380 @[ifu_aln_ctl.scala 265:11] + node _T_381 = bits(fetch_to_f0, 0, 0) @[ifu_aln_ctl.scala 269:36] + node _T_382 = bits(shift_f2_f0, 0, 0) @[ifu_aln_ctl.scala 270:36] + node _T_383 = bits(shift_f1_f0, 0, 0) @[ifu_aln_ctl.scala 271:36] + node _T_384 = eq(fetch_to_f0, UInt<1>("h00")) @[ifu_aln_ctl.scala 272:24] + node _T_385 = eq(shift_f2_f0, UInt<1>("h00")) @[ifu_aln_ctl.scala 272:39] + node _T_386 = and(_T_384, _T_385) @[ifu_aln_ctl.scala 272:37] + node _T_387 = eq(shift_f1_f0, UInt<1>("h00")) @[ifu_aln_ctl.scala 272:54] + node _T_388 = and(_T_386, _T_387) @[ifu_aln_ctl.scala 272:52] + node _T_389 = bits(_T_388, 0, 0) @[ifu_aln_ctl.scala 272:68] + node _T_390 = mux(_T_381, io.ifu_fetch_pc, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_391 = mux(_T_382, f2pc, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_392 = mux(_T_383, sf1pc, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_393 = mux(_T_389, f0pc_plus1, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_394 = or(_T_390, _T_391) @[Mux.scala 27:72] + node _T_395 = or(_T_394, _T_392) @[Mux.scala 27:72] + node _T_396 = or(_T_395, _T_393) @[Mux.scala 27:72] + wire _T_397 : UInt @[Mux.scala 27:72] + _T_397 <= _T_396 @[Mux.scala 27:72] + f0pc_in <= _T_397 @[ifu_aln_ctl.scala 269:11] + node _T_398 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_aln_ctl.scala 274:40] + node _T_399 = and(fetch_to_f2, _T_398) @[ifu_aln_ctl.scala 274:38] + node _T_400 = bits(_T_399, 0, 0) @[ifu_aln_ctl.scala 274:61] + node _T_401 = eq(fetch_to_f2, UInt<1>("h00")) @[ifu_aln_ctl.scala 275:25] + node _T_402 = eq(shift_f2_f1, UInt<1>("h00")) @[ifu_aln_ctl.scala 275:40] + node _T_403 = and(_T_401, _T_402) @[ifu_aln_ctl.scala 275:38] + node _T_404 = eq(shift_f2_f0, UInt<1>("h00")) @[ifu_aln_ctl.scala 275:55] + node _T_405 = and(_T_403, _T_404) @[ifu_aln_ctl.scala 275:53] + node _T_406 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_aln_ctl.scala 275:70] + node _T_407 = and(_T_405, _T_406) @[ifu_aln_ctl.scala 275:68] + node _T_408 = bits(_T_407, 0, 0) @[ifu_aln_ctl.scala 275:91] + node _T_409 = mux(_T_400, io.ifu_fetch_val, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_410 = mux(_T_408, f2val, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_411 = or(_T_409, _T_410) @[Mux.scala 27:72] + wire _T_412 : UInt @[Mux.scala 27:72] + _T_412 <= _T_411 @[Mux.scala 27:72] + f2val_in <= _T_412 @[ifu_aln_ctl.scala 274:12] + node _T_413 = bits(f1_shift_2B, 0, 0) @[ifu_aln_ctl.scala 277:35] + node _T_414 = bits(f1val, 1, 1) @[ifu_aln_ctl.scala 277:48] + node _T_415 = bits(f1_shift_2B, 0, 0) @[ifu_aln_ctl.scala 277:66] + node _T_416 = eq(_T_415, UInt<1>("h00")) @[ifu_aln_ctl.scala 277:53] + node _T_417 = mux(_T_413, _T_414, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_418 = mux(_T_416, f1val, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_419 = or(_T_417, _T_418) @[Mux.scala 27:72] + wire _T_420 : UInt @[Mux.scala 27:72] + _T_420 <= _T_419 @[Mux.scala 27:72] + sf1val <= _T_420 @[ifu_aln_ctl.scala 277:10] + node _T_421 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_aln_ctl.scala 279:71] + node _T_422 = and(fetch_to_f1, _T_421) @[ifu_aln_ctl.scala 279:39] + node _T_423 = bits(_T_422, 0, 0) @[ifu_aln_ctl.scala 279:92] + node _T_424 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_aln_ctl.scala 280:71] + node _T_425 = and(shift_f2_f1, _T_424) @[ifu_aln_ctl.scala 280:54] + node _T_426 = bits(_T_425, 0, 0) @[ifu_aln_ctl.scala 280:92] + node _T_427 = eq(fetch_to_f1, UInt<1>("h00")) @[ifu_aln_ctl.scala 281:26] + node _T_428 = eq(shift_f2_f1, UInt<1>("h00")) @[ifu_aln_ctl.scala 281:41] + node _T_429 = and(_T_427, _T_428) @[ifu_aln_ctl.scala 281:39] + node _T_430 = eq(shift_f1_f0, UInt<1>("h00")) @[ifu_aln_ctl.scala 281:56] + node _T_431 = and(_T_429, _T_430) @[ifu_aln_ctl.scala 281:54] + node _T_432 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_aln_ctl.scala 281:71] + node _T_433 = and(_T_431, _T_432) @[ifu_aln_ctl.scala 281:69] + node _T_434 = bits(_T_433, 0, 0) @[ifu_aln_ctl.scala 281:92] + node _T_435 = mux(_T_423, io.ifu_fetch_val, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_436 = mux(_T_426, f2val, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_437 = mux(_T_434, sf1val, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_438 = or(_T_435, _T_436) @[Mux.scala 27:72] + node _T_439 = or(_T_438, _T_437) @[Mux.scala 27:72] + wire _T_440 : UInt @[Mux.scala 27:72] + _T_440 <= _T_439 @[Mux.scala 27:72] + f1val_in <= _T_440 @[ifu_aln_ctl.scala 279:12] + node _T_441 = bits(shift_2B, 0, 0) @[ifu_aln_ctl.scala 283:32] + node _T_442 = bits(f0val, 1, 1) @[ifu_aln_ctl.scala 283:54] + node _T_443 = cat(UInt<1>("h00"), _T_442) @[Cat.scala 29:58] + node _T_444 = eq(shift_2B, UInt<1>("h00")) @[ifu_aln_ctl.scala 284:18] + node _T_445 = eq(shift_4B, UInt<1>("h00")) @[ifu_aln_ctl.scala 284:30] + node _T_446 = and(_T_444, _T_445) @[ifu_aln_ctl.scala 284:28] + node _T_447 = bits(_T_446, 0, 0) @[ifu_aln_ctl.scala 284:41] + node _T_448 = mux(_T_441, _T_443, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_449 = mux(_T_447, f0val, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_450 = or(_T_448, _T_449) @[Mux.scala 27:72] + wire _T_451 : UInt @[Mux.scala 27:72] + _T_451 <= _T_450 @[Mux.scala 27:72] + sf0val <= _T_451 @[ifu_aln_ctl.scala 283:10] + node _T_452 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_aln_ctl.scala 286:71] + node _T_453 = and(fetch_to_f0, _T_452) @[ifu_aln_ctl.scala 286:38] + node _T_454 = bits(_T_453, 0, 0) @[ifu_aln_ctl.scala 286:92] + node _T_455 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_aln_ctl.scala 287:71] + node _T_456 = and(shift_f2_f0, _T_455) @[ifu_aln_ctl.scala 287:54] + node _T_457 = bits(_T_456, 0, 0) @[ifu_aln_ctl.scala 287:92] + node _T_458 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_aln_ctl.scala 288:71] + node _T_459 = and(shift_f1_f0, _T_458) @[ifu_aln_ctl.scala 288:69] + node _T_460 = bits(_T_459, 0, 0) @[ifu_aln_ctl.scala 288:92] + node _T_461 = eq(fetch_to_f0, UInt<1>("h00")) @[ifu_aln_ctl.scala 289:26] + node _T_462 = eq(shift_f2_f0, UInt<1>("h00")) @[ifu_aln_ctl.scala 289:41] + node _T_463 = and(_T_461, _T_462) @[ifu_aln_ctl.scala 289:39] + node _T_464 = eq(shift_f1_f0, UInt<1>("h00")) @[ifu_aln_ctl.scala 289:56] + node _T_465 = and(_T_463, _T_464) @[ifu_aln_ctl.scala 289:54] + node _T_466 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_aln_ctl.scala 289:71] + node _T_467 = and(_T_465, _T_466) @[ifu_aln_ctl.scala 289:69] + node _T_468 = bits(_T_467, 0, 0) @[ifu_aln_ctl.scala 289:92] + node _T_469 = mux(_T_454, io.ifu_fetch_val, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_470 = mux(_T_457, f2val, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_471 = mux(_T_460, sf1val, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_472 = mux(_T_468, sf0val, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_473 = or(_T_469, _T_470) @[Mux.scala 27:72] + node _T_474 = or(_T_473, _T_471) @[Mux.scala 27:72] + node _T_475 = or(_T_474, _T_472) @[Mux.scala 27:72] + wire _T_476 : UInt @[Mux.scala 27:72] + _T_476 <= _T_475 @[Mux.scala 27:72] + f0val_in <= _T_476 @[ifu_aln_ctl.scala 286:12] + node _T_477 = bits(qren, 0, 0) @[ifu_aln_ctl.scala 291:28] + node _T_478 = bits(_T_477, 0, 0) @[ifu_aln_ctl.scala 291:32] + node _T_479 = cat(q1, q0) @[Cat.scala 29:58] + node _T_480 = bits(qren, 1, 1) @[ifu_aln_ctl.scala 292:9] + node _T_481 = bits(_T_480, 0, 0) @[ifu_aln_ctl.scala 292:13] + node _T_482 = cat(q2, q1) @[Cat.scala 29:58] + node _T_483 = bits(qren, 2, 2) @[ifu_aln_ctl.scala 293:9] + node _T_484 = bits(_T_483, 0, 0) @[ifu_aln_ctl.scala 293:13] + node _T_485 = cat(q0, q2) @[Cat.scala 29:58] + node _T_486 = mux(_T_478, _T_479, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_487 = mux(_T_481, _T_482, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_488 = mux(_T_484, _T_485, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_489 = or(_T_486, _T_487) @[Mux.scala 27:72] + node _T_490 = or(_T_489, _T_488) @[Mux.scala 27:72] + wire qeff : UInt<64> @[Mux.scala 27:72] + qeff <= _T_490 @[Mux.scala 27:72] + node q1eff = bits(qeff, 63, 32) @[ifu_aln_ctl.scala 294:29] + node q0eff = bits(qeff, 31, 0) @[ifu_aln_ctl.scala 294:42] + node _T_491 = bits(q0sel, 0, 0) @[ifu_aln_ctl.scala 296:29] + node _T_492 = bits(_T_491, 0, 0) @[ifu_aln_ctl.scala 296:33] + node _T_493 = bits(q0sel, 1, 1) @[ifu_aln_ctl.scala 296:53] + node _T_494 = bits(_T_493, 0, 0) @[ifu_aln_ctl.scala 296:57] + node _T_495 = bits(q0eff, 31, 16) @[ifu_aln_ctl.scala 296:70] + node _T_496 = mux(_T_492, q0eff, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_497 = mux(_T_494, _T_495, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_498 = or(_T_496, _T_497) @[Mux.scala 27:72] + wire _T_499 : UInt<32> @[Mux.scala 27:72] + _T_499 <= _T_498 @[Mux.scala 27:72] + q0final <= _T_499 @[ifu_aln_ctl.scala 296:11] + node _T_500 = bits(q1sel, 0, 0) @[ifu_aln_ctl.scala 298:29] + node _T_501 = bits(_T_500, 0, 0) @[ifu_aln_ctl.scala 298:33] + node _T_502 = bits(q1eff, 15, 0) @[ifu_aln_ctl.scala 298:46] + node _T_503 = bits(q1sel, 1, 1) @[ifu_aln_ctl.scala 298:59] + node _T_504 = bits(_T_503, 0, 0) @[ifu_aln_ctl.scala 298:63] + node _T_505 = bits(q1eff, 31, 16) @[ifu_aln_ctl.scala 298:76] + node _T_506 = mux(_T_501, _T_502, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_507 = mux(_T_504, _T_505, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_508 = or(_T_506, _T_507) @[Mux.scala 27:72] + wire _T_509 : UInt<16> @[Mux.scala 27:72] + _T_509 <= _T_508 @[Mux.scala 27:72] + q1final <= _T_509 @[ifu_aln_ctl.scala 298:11] + node _T_510 = bits(f0val, 1, 1) @[ifu_aln_ctl.scala 301:34] + node _T_511 = bits(_T_510, 0, 0) @[ifu_aln_ctl.scala 301:38] + node _T_512 = bits(f0val, 1, 1) @[ifu_aln_ctl.scala 301:64] + node _T_513 = not(_T_512) @[ifu_aln_ctl.scala 301:58] + node _T_514 = bits(f0val, 0, 0) @[ifu_aln_ctl.scala 301:75] + node _T_515 = and(_T_513, _T_514) @[ifu_aln_ctl.scala 301:68] + node _T_516 = bits(_T_515, 0, 0) @[ifu_aln_ctl.scala 301:80] + node _T_517 = bits(q1final, 15, 0) @[ifu_aln_ctl.scala 301:101] + node _T_518 = bits(q0final, 15, 0) @[ifu_aln_ctl.scala 301:115] + node _T_519 = cat(_T_517, _T_518) @[Cat.scala 29:58] + node _T_520 = mux(_T_511, q0final, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_521 = mux(_T_516, _T_519, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_522 = or(_T_520, _T_521) @[Mux.scala 27:72] + wire aligndata : UInt<32> @[Mux.scala 27:72] + aligndata <= _T_522 @[Mux.scala 27:72] + node _T_523 = bits(f0val, 1, 1) @[ifu_aln_ctl.scala 303:30] + node _T_524 = bits(_T_523, 0, 0) @[ifu_aln_ctl.scala 303:34] + node _T_525 = bits(f0val, 1, 1) @[ifu_aln_ctl.scala 303:54] + node _T_526 = eq(_T_525, UInt<1>("h00")) @[ifu_aln_ctl.scala 303:48] + node _T_527 = bits(f0val, 0, 0) @[ifu_aln_ctl.scala 303:65] + node _T_528 = and(_T_526, _T_527) @[ifu_aln_ctl.scala 303:58] + node _T_529 = bits(f1val, 0, 0) @[ifu_aln_ctl.scala 303:82] + node _T_530 = cat(_T_529, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_531 = mux(_T_524, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_532 = mux(_T_528, _T_530, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_533 = or(_T_531, _T_532) @[Mux.scala 27:72] + wire _T_534 : UInt<2> @[Mux.scala 27:72] + _T_534 <= _T_533 @[Mux.scala 27:72] + alignval <= _T_534 @[ifu_aln_ctl.scala 303:12] + node _T_535 = bits(f0val, 1, 1) @[ifu_aln_ctl.scala 305:34] + node _T_536 = bits(_T_535, 0, 0) @[ifu_aln_ctl.scala 305:38] + node _T_537 = bits(f0val, 1, 1) @[ifu_aln_ctl.scala 305:63] + node _T_538 = not(_T_537) @[ifu_aln_ctl.scala 305:57] + node _T_539 = bits(f0val, 0, 0) @[ifu_aln_ctl.scala 305:74] + node _T_540 = and(_T_538, _T_539) @[ifu_aln_ctl.scala 305:67] + node _T_541 = bits(_T_540, 0, 0) @[ifu_aln_ctl.scala 305:79] + node _T_542 = cat(f1icaf, f0icaf) @[Cat.scala 29:58] + node _T_543 = mux(_T_536, f0icaf, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_544 = mux(_T_541, _T_542, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_545 = or(_T_543, _T_544) @[Mux.scala 27:72] + wire alignicaf : UInt<2> @[Mux.scala 27:72] + alignicaf <= _T_545 @[Mux.scala 27:72] + node _T_546 = bits(f0val, 1, 1) @[ifu_aln_ctl.scala 307:35] + node _T_547 = bits(_T_546, 0, 0) @[ifu_aln_ctl.scala 307:39] + node _T_548 = bits(f0dbecc, 0, 0) @[Bitwise.scala 72:15] + node _T_549 = mux(_T_548, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_550 = bits(f0val, 1, 1) @[ifu_aln_ctl.scala 307:73] + node _T_551 = eq(_T_550, UInt<1>("h00")) @[ifu_aln_ctl.scala 307:67] + node _T_552 = bits(f0val, 0, 0) @[ifu_aln_ctl.scala 307:84] + node _T_553 = and(_T_551, _T_552) @[ifu_aln_ctl.scala 307:77] + node _T_554 = bits(_T_553, 0, 0) @[ifu_aln_ctl.scala 307:89] + node _T_555 = cat(f1dbecc, f0dbecc) @[Cat.scala 29:58] + node _T_556 = mux(_T_547, _T_549, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_557 = mux(_T_554, _T_555, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_558 = or(_T_556, _T_557) @[Mux.scala 27:72] + wire aligndbecc : UInt<2> @[Mux.scala 27:72] + aligndbecc <= _T_558 @[Mux.scala 27:72] + node _T_559 = bits(f0val, 1, 1) @[ifu_aln_ctl.scala 309:35] + node _T_560 = bits(_T_559, 0, 0) @[ifu_aln_ctl.scala 309:45] + node _T_561 = bits(f0val, 1, 1) @[ifu_aln_ctl.scala 309:65] + node _T_562 = eq(_T_561, UInt<1>("h00")) @[ifu_aln_ctl.scala 309:59] + node _T_563 = bits(f0val, 0, 0) @[ifu_aln_ctl.scala 309:76] + node _T_564 = and(_T_562, _T_563) @[ifu_aln_ctl.scala 309:69] + node _T_565 = bits(_T_564, 0, 0) @[ifu_aln_ctl.scala 309:81] + node _T_566 = bits(f1brend, 0, 0) @[ifu_aln_ctl.scala 309:100] + node _T_567 = bits(f0brend, 0, 0) @[ifu_aln_ctl.scala 309:111] + node _T_568 = cat(_T_566, _T_567) @[Cat.scala 29:58] + node _T_569 = mux(_T_560, f0brend, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_570 = mux(_T_565, _T_568, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_571 = or(_T_569, _T_570) @[Mux.scala 27:72] + wire alignbrend : UInt<2> @[Mux.scala 27:72] + alignbrend <= _T_571 @[Mux.scala 27:72] + node _T_572 = bits(f0val, 1, 1) @[ifu_aln_ctl.scala 311:33] + node _T_573 = bits(_T_572, 0, 0) @[ifu_aln_ctl.scala 311:43] + node _T_574 = bits(f0val, 1, 1) @[ifu_aln_ctl.scala 311:61] + node _T_575 = eq(_T_574, UInt<1>("h00")) @[ifu_aln_ctl.scala 311:55] + node _T_576 = bits(f0val, 0, 0) @[ifu_aln_ctl.scala 311:72] + node _T_577 = and(_T_575, _T_576) @[ifu_aln_ctl.scala 311:65] + node _T_578 = bits(_T_577, 0, 0) @[ifu_aln_ctl.scala 311:77] + node _T_579 = bits(f1pc4, 0, 0) @[ifu_aln_ctl.scala 311:94] + node _T_580 = bits(f0pc4, 0, 0) @[ifu_aln_ctl.scala 311:103] + node _T_581 = cat(_T_579, _T_580) @[Cat.scala 29:58] + node _T_582 = mux(_T_573, f0pc4, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_583 = mux(_T_578, _T_581, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_584 = or(_T_582, _T_583) @[Mux.scala 27:72] + wire alignpc4 : UInt<2> @[Mux.scala 27:72] + alignpc4 <= _T_584 @[Mux.scala 27:72] + node _T_585 = bits(f0val, 1, 1) @[ifu_aln_ctl.scala 313:33] + node _T_586 = bits(_T_585, 0, 0) @[ifu_aln_ctl.scala 313:43] + node _T_587 = bits(f0val, 1, 1) @[ifu_aln_ctl.scala 313:61] + node _T_588 = eq(_T_587, UInt<1>("h00")) @[ifu_aln_ctl.scala 313:55] + node _T_589 = bits(f0val, 0, 0) @[ifu_aln_ctl.scala 313:72] + node _T_590 = and(_T_588, _T_589) @[ifu_aln_ctl.scala 313:65] + node _T_591 = bits(_T_590, 0, 0) @[ifu_aln_ctl.scala 313:77] + node _T_592 = bits(f1ret, 0, 0) @[ifu_aln_ctl.scala 313:94] + node _T_593 = bits(f0ret, 0, 0) @[ifu_aln_ctl.scala 313:103] + node _T_594 = cat(_T_592, _T_593) @[Cat.scala 29:58] + node _T_595 = mux(_T_586, f0ret, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_596 = mux(_T_591, _T_594, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_597 = or(_T_595, _T_596) @[Mux.scala 27:72] + wire alignret : UInt<2> @[Mux.scala 27:72] + alignret <= _T_597 @[Mux.scala 27:72] + node _T_598 = bits(f0val, 1, 1) @[ifu_aln_ctl.scala 315:33] + node _T_599 = bits(_T_598, 0, 0) @[ifu_aln_ctl.scala 315:43] + node _T_600 = bits(f0val, 1, 1) @[ifu_aln_ctl.scala 315:61] + node _T_601 = eq(_T_600, UInt<1>("h00")) @[ifu_aln_ctl.scala 315:55] + node _T_602 = bits(f0val, 0, 0) @[ifu_aln_ctl.scala 315:72] + node _T_603 = and(_T_601, _T_602) @[ifu_aln_ctl.scala 315:65] + node _T_604 = bits(_T_603, 0, 0) @[ifu_aln_ctl.scala 315:77] + node _T_605 = bits(f1way, 0, 0) @[ifu_aln_ctl.scala 315:94] + node _T_606 = bits(f0way, 0, 0) @[ifu_aln_ctl.scala 315:103] + node _T_607 = cat(_T_605, _T_606) @[Cat.scala 29:58] + node _T_608 = mux(_T_599, f0way, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_609 = mux(_T_604, _T_607, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_610 = or(_T_608, _T_609) @[Mux.scala 27:72] + wire alignway : UInt<2> @[Mux.scala 27:72] + alignway <= _T_610 @[Mux.scala 27:72] + node _T_611 = bits(f0val, 1, 1) @[ifu_aln_ctl.scala 317:35] + node _T_612 = bits(_T_611, 0, 0) @[ifu_aln_ctl.scala 317:45] + node _T_613 = bits(f0val, 1, 1) @[ifu_aln_ctl.scala 317:65] + node _T_614 = eq(_T_613, UInt<1>("h00")) @[ifu_aln_ctl.scala 317:59] + node _T_615 = bits(f0val, 0, 0) @[ifu_aln_ctl.scala 317:76] + node _T_616 = and(_T_614, _T_615) @[ifu_aln_ctl.scala 317:69] + node _T_617 = bits(_T_616, 0, 0) @[ifu_aln_ctl.scala 317:81] + node _T_618 = bits(f1hist1, 0, 0) @[ifu_aln_ctl.scala 317:100] + node _T_619 = bits(f0hist1, 0, 0) @[ifu_aln_ctl.scala 317:111] + node _T_620 = cat(_T_618, _T_619) @[Cat.scala 29:58] + node _T_621 = mux(_T_612, f0hist1, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_622 = mux(_T_617, _T_620, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_623 = or(_T_621, _T_622) @[Mux.scala 27:72] + wire alignhist1 : UInt<2> @[Mux.scala 27:72] + alignhist1 <= _T_623 @[Mux.scala 27:72] + node _T_624 = bits(f0val, 1, 1) @[ifu_aln_ctl.scala 319:35] + node _T_625 = bits(_T_624, 0, 0) @[ifu_aln_ctl.scala 319:45] + node _T_626 = bits(f0val, 1, 1) @[ifu_aln_ctl.scala 319:65] + node _T_627 = eq(_T_626, UInt<1>("h00")) @[ifu_aln_ctl.scala 319:59] + node _T_628 = bits(f0val, 0, 0) @[ifu_aln_ctl.scala 319:76] + node _T_629 = and(_T_627, _T_628) @[ifu_aln_ctl.scala 319:69] + node _T_630 = bits(_T_629, 0, 0) @[ifu_aln_ctl.scala 319:81] + node _T_631 = bits(f1hist0, 0, 0) @[ifu_aln_ctl.scala 319:100] + node _T_632 = bits(f0hist0, 0, 0) @[ifu_aln_ctl.scala 319:111] + node _T_633 = cat(_T_631, _T_632) @[Cat.scala 29:58] + node _T_634 = mux(_T_625, f0hist0, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_635 = mux(_T_630, _T_633, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_636 = or(_T_634, _T_635) @[Mux.scala 27:72] + wire alignhist0 : UInt<2> @[Mux.scala 27:72] + alignhist0 <= _T_636 @[Mux.scala 27:72] + node _T_637 = bits(f0val, 1, 1) @[ifu_aln_ctl.scala 321:27] + node _T_638 = eq(_T_637, UInt<1>("h00")) @[ifu_aln_ctl.scala 321:21] + node _T_639 = bits(f0val, 0, 0) @[ifu_aln_ctl.scala 321:38] + node alignfromf1 = and(_T_638, _T_639) @[ifu_aln_ctl.scala 321:31] + node _T_640 = bits(f0val, 1, 1) @[ifu_aln_ctl.scala 323:33] + node _T_641 = bits(_T_640, 0, 0) @[ifu_aln_ctl.scala 323:43] + node _T_642 = bits(f0val, 1, 1) @[ifu_aln_ctl.scala 323:67] + node _T_643 = eq(_T_642, UInt<1>("h00")) @[ifu_aln_ctl.scala 323:61] + node _T_644 = bits(f0val, 0, 0) @[ifu_aln_ctl.scala 323:78] + node _T_645 = and(_T_643, _T_644) @[ifu_aln_ctl.scala 323:71] + node _T_646 = bits(_T_645, 0, 0) @[ifu_aln_ctl.scala 323:83] + node _T_647 = mux(_T_641, f0pc_plus1, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_648 = mux(_T_646, f1pc, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_649 = or(_T_647, _T_648) @[Mux.scala 27:72] + wire secondpc : UInt @[Mux.scala 27:72] + secondpc <= _T_649 @[Mux.scala 27:72] + io.dec_aln.aln_ib.ifu_i0_pc <= f0pc @[ifu_aln_ctl.scala 325:31] + io.dec_aln.aln_ib.ifu_i0_pc4 <= first4B @[ifu_aln_ctl.scala 329:32] + node _T_650 = bits(aligndata, 15, 0) @[ifu_aln_ctl.scala 331:47] + io.dec_aln.aln_dec.ifu_i0_cinst <= _T_650 @[ifu_aln_ctl.scala 331:35] + node _T_651 = bits(aligndata, 1, 0) @[ifu_aln_ctl.scala 334:23] + node _T_652 = eq(_T_651, UInt<2>("h03")) @[ifu_aln_ctl.scala 334:29] + first4B <= _T_652 @[ifu_aln_ctl.scala 334:11] + node first2B = not(first4B) @[ifu_aln_ctl.scala 336:17] + node _T_653 = bits(first4B, 0, 0) @[ifu_aln_ctl.scala 338:55] + node _T_654 = bits(alignval, 1, 1) @[ifu_aln_ctl.scala 338:73] + node _T_655 = bits(first2B, 0, 0) @[ifu_aln_ctl.scala 338:86] + node _T_656 = bits(alignval, 0, 0) @[ifu_aln_ctl.scala 338:104] + node _T_657 = mux(_T_653, _T_654, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_658 = mux(_T_655, _T_656, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_659 = or(_T_657, _T_658) @[Mux.scala 27:72] + wire _T_660 : UInt<1> @[Mux.scala 27:72] + _T_660 <= _T_659 @[Mux.scala 27:72] + io.dec_aln.aln_ib.ifu_i0_valid <= _T_660 @[ifu_aln_ctl.scala 338:34] + node _T_661 = bits(first4B, 0, 0) @[ifu_aln_ctl.scala 340:54] + node _T_662 = orr(alignicaf) @[ifu_aln_ctl.scala 340:74] + node _T_663 = bits(first2B, 0, 0) @[ifu_aln_ctl.scala 340:87] + node _T_664 = bits(alignicaf, 0, 0) @[ifu_aln_ctl.scala 340:106] + node _T_665 = mux(_T_661, _T_662, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_666 = mux(_T_663, _T_664, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_667 = or(_T_665, _T_666) @[Mux.scala 27:72] + wire _T_668 : UInt<1> @[Mux.scala 27:72] + _T_668 <= _T_667 @[Mux.scala 27:72] + io.dec_aln.aln_ib.ifu_i0_icaf <= _T_668 @[ifu_aln_ctl.scala 340:33] + node _T_669 = bits(f0val, 1, 1) @[ifu_aln_ctl.scala 342:62] + node _T_670 = eq(_T_669, UInt<1>("h00")) @[ifu_aln_ctl.scala 342:56] + node _T_671 = and(first4B, _T_670) @[ifu_aln_ctl.scala 342:54] + node _T_672 = bits(f0val, 0, 0) @[ifu_aln_ctl.scala 342:73] + node _T_673 = and(_T_671, _T_672) @[ifu_aln_ctl.scala 342:66] + node _T_674 = bits(alignicaf, 0, 0) @[ifu_aln_ctl.scala 342:89] + node _T_675 = eq(_T_674, UInt<1>("h00")) @[ifu_aln_ctl.scala 342:79] + node _T_676 = and(_T_673, _T_675) @[ifu_aln_ctl.scala 342:77] + node _T_677 = bits(aligndbecc, 0, 0) @[ifu_aln_ctl.scala 342:106] + node _T_678 = eq(_T_677, UInt<1>("h00")) @[ifu_aln_ctl.scala 342:95] + node _T_679 = and(_T_676, _T_678) @[ifu_aln_ctl.scala 342:93] + node _T_680 = bits(_T_679, 0, 0) @[ifu_aln_ctl.scala 342:111] + node _T_681 = mux(_T_680, f1ictype, f0ictype) @[ifu_aln_ctl.scala 342:44] + io.dec_aln.aln_ib.ifu_i0_icaf_type <= _T_681 @[ifu_aln_ctl.scala 342:38] + node _T_682 = bits(alignicaf, 1, 1) @[ifu_aln_ctl.scala 344:27] + node _T_683 = bits(aligndbecc, 1, 1) @[ifu_aln_ctl.scala 344:43] + node icaf_eff = or(_T_682, _T_683) @[ifu_aln_ctl.scala 344:31] + node _T_684 = and(first4B, icaf_eff) @[ifu_aln_ctl.scala 346:47] + node _T_685 = and(_T_684, alignfromf1) @[ifu_aln_ctl.scala 346:58] + io.dec_aln.aln_ib.ifu_i0_icaf_f1 <= _T_685 @[ifu_aln_ctl.scala 346:36] + node _T_686 = bits(first4B, 0, 0) @[ifu_aln_ctl.scala 348:55] + node _T_687 = orr(aligndbecc) @[ifu_aln_ctl.scala 348:74] + node _T_688 = bits(first2B, 0, 0) @[ifu_aln_ctl.scala 348:87] + node _T_689 = bits(aligndbecc, 0, 0) @[ifu_aln_ctl.scala 348:105] + node _T_690 = mux(_T_686, _T_687, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_691 = mux(_T_688, _T_689, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_692 = or(_T_690, _T_691) @[Mux.scala 27:72] + wire _T_693 : UInt<1> @[Mux.scala 27:72] + _T_693 <= _T_692 @[Mux.scala 27:72] + io.dec_aln.aln_ib.ifu_i0_dbecc <= _T_693 @[ifu_aln_ctl.scala 348:34] + inst decompressed of ifu_compress_ctl @[ifu_aln_ctl.scala 352:28] + decompressed.clock <= clock + decompressed.reset <= reset + node _T_694 = bits(first4B, 0, 0) @[ifu_aln_ctl.scala 354:55] + node _T_695 = bits(first2B, 0, 0) @[ifu_aln_ctl.scala 354:81] + node _T_696 = mux(_T_694, aligndata, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_697 = mux(_T_695, decompressed.io.dout, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_698 = or(_T_696, _T_697) @[Mux.scala 27:72] + wire _T_699 : UInt<32> @[Mux.scala 27:72] + _T_699 <= _T_698 @[Mux.scala 27:72] + io.dec_aln.aln_ib.ifu_i0_instr <= _T_699 @[ifu_aln_ctl.scala 354:34] + node _T_700 = bits(f0pc, 8, 1) @[lib.scala 51:13] + node _T_701 = bits(f0pc, 16, 9) @[lib.scala 51:51] + node _T_702 = xor(_T_700, _T_701) @[lib.scala 51:47] + node _T_703 = bits(f0pc, 24, 17) @[lib.scala 51:89] + node firstpc_hash = xor(_T_702, _T_703) @[lib.scala 51:85] + node _T_704 = bits(secondpc, 8, 1) @[lib.scala 51:13] + node _T_705 = bits(secondpc, 16, 9) @[lib.scala 51:51] + node _T_706 = xor(_T_704, _T_705) @[lib.scala 51:47] + node _T_707 = bits(secondpc, 24, 17) @[lib.scala 51:89] + node secondpc_hash = xor(_T_706, _T_707) @[lib.scala 51:85] + node _T_708 = bits(f0pc, 13, 9) @[lib.scala 42:32] + node _T_709 = bits(f0pc, 18, 14) @[lib.scala 42:32] + node _T_710 = bits(f0pc, 23, 19) @[lib.scala 42:32] + wire _T_711 : UInt<5>[3] @[lib.scala 42:24] + _T_711[0] <= _T_708 @[lib.scala 42:24] + _T_711[1] <= _T_709 @[lib.scala 42:24] + _T_711[2] <= _T_710 @[lib.scala 42:24] + node _T_712 = xor(_T_711[0], _T_711[1]) @[lib.scala 42:111] + node firstbrtag_hash = xor(_T_712, _T_711[2]) @[lib.scala 42:111] + node _T_713 = bits(secondpc, 13, 9) @[lib.scala 42:32] + node _T_714 = bits(secondpc, 18, 14) @[lib.scala 42:32] + node _T_715 = bits(secondpc, 23, 19) @[lib.scala 42:32] + wire _T_716 : UInt<5>[3] @[lib.scala 42:24] + _T_716[0] <= _T_713 @[lib.scala 42:24] + _T_716[1] <= _T_714 @[lib.scala 42:24] + _T_716[2] <= _T_715 @[lib.scala 42:24] + node _T_717 = xor(_T_716[0], _T_716[1]) @[lib.scala 42:111] + node secondbrtag_hash = xor(_T_717, _T_716[2]) @[lib.scala 42:111] + node _T_718 = bits(alignbrend, 0, 0) @[ifu_aln_ctl.scala 365:57] + node _T_719 = and(first2B, _T_718) @[ifu_aln_ctl.scala 365:45] + node _T_720 = bits(alignbrend, 1, 1) @[ifu_aln_ctl.scala 365:85] + node _T_721 = and(first4B, _T_720) @[ifu_aln_ctl.scala 365:73] + node _T_722 = or(_T_719, _T_721) @[ifu_aln_ctl.scala 365:62] + node _T_723 = bits(alignval, 1, 1) @[ifu_aln_ctl.scala 365:111] + node _T_724 = and(first4B, _T_723) @[ifu_aln_ctl.scala 365:101] + node _T_725 = bits(alignbrend, 0, 0) @[ifu_aln_ctl.scala 365:127] + node _T_726 = and(_T_724, _T_725) @[ifu_aln_ctl.scala 365:115] + node _T_727 = or(_T_722, _T_726) @[ifu_aln_ctl.scala 365:90] + io.dec_aln.aln_ib.i0_brp.valid <= _T_727 @[ifu_aln_ctl.scala 365:34] + node _T_728 = bits(alignret, 0, 0) @[ifu_aln_ctl.scala 367:59] + node _T_729 = and(first2B, _T_728) @[ifu_aln_ctl.scala 367:49] + node _T_730 = bits(alignret, 1, 1) @[ifu_aln_ctl.scala 367:85] + node _T_731 = and(first4B, _T_730) @[ifu_aln_ctl.scala 367:75] + node _T_732 = or(_T_729, _T_731) @[ifu_aln_ctl.scala 367:64] + io.dec_aln.aln_ib.i0_brp.bits.ret <= _T_732 @[ifu_aln_ctl.scala 367:37] + node _T_733 = bits(alignpc4, 0, 0) @[ifu_aln_ctl.scala 369:39] + node _T_734 = and(first2B, _T_733) @[ifu_aln_ctl.scala 369:29] + node _T_735 = bits(alignpc4, 1, 1) @[ifu_aln_ctl.scala 369:65] + node _T_736 = and(first4B, _T_735) @[ifu_aln_ctl.scala 369:55] + node i0_brp_pc4 = or(_T_734, _T_736) @[ifu_aln_ctl.scala 369:44] + node _T_737 = bits(alignbrend, 0, 0) @[ifu_aln_ctl.scala 371:65] + node _T_738 = or(first2B, _T_737) @[ifu_aln_ctl.scala 371:53] + node _T_739 = bits(_T_738, 0, 0) @[ifu_aln_ctl.scala 371:70] + node _T_740 = bits(alignway, 0, 0) @[ifu_aln_ctl.scala 371:86] + node _T_741 = bits(alignway, 1, 1) @[ifu_aln_ctl.scala 371:100] + node _T_742 = mux(_T_739, _T_740, _T_741) @[ifu_aln_ctl.scala 371:43] + io.dec_aln.aln_ib.i0_brp.bits.way <= _T_742 @[ifu_aln_ctl.scala 371:37] + node _T_743 = bits(alignhist1, 0, 0) @[ifu_aln_ctl.scala 373:66] + node _T_744 = and(first2B, _T_743) @[ifu_aln_ctl.scala 373:54] + node _T_745 = bits(alignhist1, 1, 1) @[ifu_aln_ctl.scala 373:94] + node _T_746 = and(first4B, _T_745) @[ifu_aln_ctl.scala 373:82] + node _T_747 = or(_T_744, _T_746) @[ifu_aln_ctl.scala 373:71] + node _T_748 = bits(alignhist0, 0, 0) @[ifu_aln_ctl.scala 374:26] + node _T_749 = and(first2B, _T_748) @[ifu_aln_ctl.scala 374:14] + node _T_750 = bits(alignhist0, 1, 1) @[ifu_aln_ctl.scala 374:54] + node _T_751 = and(first4B, _T_750) @[ifu_aln_ctl.scala 374:42] + node _T_752 = or(_T_749, _T_751) @[ifu_aln_ctl.scala 374:31] + node _T_753 = cat(_T_747, _T_752) @[Cat.scala 29:58] + io.dec_aln.aln_ib.i0_brp.bits.hist <= _T_753 @[ifu_aln_ctl.scala 373:38] + node i0_ends_f1 = and(first4B, alignfromf1) @[ifu_aln_ctl.scala 376:28] + node _T_754 = bits(i0_ends_f1, 0, 0) @[ifu_aln_ctl.scala 377:59] + node _T_755 = mux(_T_754, f1poffset, f0poffset) @[ifu_aln_ctl.scala 377:47] + io.dec_aln.aln_ib.i0_brp.bits.toffset <= _T_755 @[ifu_aln_ctl.scala 377:41] + node _T_756 = bits(i0_ends_f1, 0, 0) @[ifu_aln_ctl.scala 379:57] + node _T_757 = mux(_T_756, f1prett, f0prett) @[ifu_aln_ctl.scala 379:45] + io.dec_aln.aln_ib.i0_brp.bits.prett <= _T_757 @[ifu_aln_ctl.scala 379:39] + node _T_758 = bits(alignval, 1, 1) @[ifu_aln_ctl.scala 381:71] + node _T_759 = and(first4B, _T_758) @[ifu_aln_ctl.scala 381:61] + node _T_760 = bits(alignbrend, 0, 0) @[ifu_aln_ctl.scala 381:87] + node _T_761 = and(_T_759, _T_760) @[ifu_aln_ctl.scala 381:75] + io.dec_aln.aln_ib.i0_brp.bits.br_start_error <= _T_761 @[ifu_aln_ctl.scala 381:49] + node _T_762 = bits(alignbrend, 0, 0) @[ifu_aln_ctl.scala 383:77] + node _T_763 = or(first2B, _T_762) @[ifu_aln_ctl.scala 383:65] + node _T_764 = bits(_T_763, 0, 0) @[ifu_aln_ctl.scala 383:82] + node _T_765 = bits(f0pc, 0, 0) @[ifu_aln_ctl.scala 383:97] + node _T_766 = bits(secondpc, 0, 0) @[ifu_aln_ctl.scala 383:110] + node _T_767 = mux(_T_764, _T_765, _T_766) @[ifu_aln_ctl.scala 383:55] + io.dec_aln.aln_ib.i0_brp.bits.bank <= _T_767 @[ifu_aln_ctl.scala 383:49] + node _T_768 = and(io.dec_aln.aln_ib.i0_brp.valid, i0_brp_pc4) @[ifu_aln_ctl.scala 385:77] + node _T_769 = and(_T_768, first2B) @[ifu_aln_ctl.scala 385:91] + node _T_770 = eq(i0_brp_pc4, UInt<1>("h00")) @[ifu_aln_ctl.scala 385:139] + node _T_771 = and(io.dec_aln.aln_ib.i0_brp.valid, _T_770) @[ifu_aln_ctl.scala 385:137] + node _T_772 = and(_T_771, first4B) @[ifu_aln_ctl.scala 385:151] + node _T_773 = or(_T_769, _T_772) @[ifu_aln_ctl.scala 385:103] + io.dec_aln.aln_ib.i0_brp.bits.br_error <= _T_773 @[ifu_aln_ctl.scala 385:42] + node _T_774 = bits(alignbrend, 0, 0) @[ifu_aln_ctl.scala 387:65] + node _T_775 = or(first2B, _T_774) @[ifu_aln_ctl.scala 387:53] + node _T_776 = bits(_T_775, 0, 0) @[ifu_aln_ctl.scala 387:70] + node _T_777 = mux(_T_776, firstpc_hash, secondpc_hash) @[ifu_aln_ctl.scala 387:43] + io.dec_aln.aln_ib.ifu_i0_bp_index <= _T_777 @[ifu_aln_ctl.scala 387:37] + node _T_778 = and(first4B, alignfromf1) @[ifu_aln_ctl.scala 389:52] + node _T_779 = bits(_T_778, 0, 0) @[ifu_aln_ctl.scala 389:67] + node _T_780 = mux(_T_779, f1fghr, f0fghr) @[ifu_aln_ctl.scala 389:42] + io.dec_aln.aln_ib.ifu_i0_bp_fghr <= _T_780 @[ifu_aln_ctl.scala 389:36] + node _T_781 = bits(alignbrend, 0, 0) @[ifu_aln_ctl.scala 391:64] + node _T_782 = or(first2B, _T_781) @[ifu_aln_ctl.scala 391:52] + node _T_783 = bits(_T_782, 0, 0) @[ifu_aln_ctl.scala 391:69] + node _T_784 = mux(_T_783, firstbrtag_hash, secondbrtag_hash) @[ifu_aln_ctl.scala 391:42] + io.dec_aln.aln_ib.ifu_i0_bp_btag <= _T_784 @[ifu_aln_ctl.scala 391:36] + decompressed.io.din <= aligndata @[ifu_aln_ctl.scala 393:23] + node _T_785 = not(error_stall) @[ifu_aln_ctl.scala 395:55] + node i0_shift = and(io.dec_aln.aln_dec.dec_i0_decode_d, _T_785) @[ifu_aln_ctl.scala 395:53] + io.dec_aln.ifu_pmu_instr_aligned <= i0_shift @[ifu_aln_ctl.scala 397:36] + node _T_786 = and(i0_shift, first2B) @[ifu_aln_ctl.scala 399:24] + shift_2B <= _T_786 @[ifu_aln_ctl.scala 399:12] + node _T_787 = and(i0_shift, first4B) @[ifu_aln_ctl.scala 400:24] + shift_4B <= _T_787 @[ifu_aln_ctl.scala 400:12] + node _T_788 = bits(shift_2B, 0, 0) @[ifu_aln_ctl.scala 402:37] + node _T_789 = bits(f0val, 0, 0) @[ifu_aln_ctl.scala 402:52] + node _T_790 = bits(shift_4B, 0, 0) @[ifu_aln_ctl.scala 402:66] + node _T_791 = bits(f0val, 0, 0) @[ifu_aln_ctl.scala 402:82] + node _T_792 = bits(f0val, 1, 1) @[ifu_aln_ctl.scala 402:94] + node _T_793 = eq(_T_792, UInt<1>("h00")) @[ifu_aln_ctl.scala 402:88] + node _T_794 = and(_T_791, _T_793) @[ifu_aln_ctl.scala 402:86] + node _T_795 = mux(_T_788, _T_789, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_796 = mux(_T_790, _T_794, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_797 = or(_T_795, _T_796) @[Mux.scala 27:72] + wire _T_798 : UInt<1> @[Mux.scala 27:72] + _T_798 <= _T_797 @[Mux.scala 27:72] + f0_shift_2B <= _T_798 @[ifu_aln_ctl.scala 402:15] + node _T_799 = bits(f0val, 0, 0) @[ifu_aln_ctl.scala 403:24] + node _T_800 = bits(f0val, 1, 1) @[ifu_aln_ctl.scala 403:36] + node _T_801 = eq(_T_800, UInt<1>("h00")) @[ifu_aln_ctl.scala 403:30] + node _T_802 = and(_T_799, _T_801) @[ifu_aln_ctl.scala 403:28] + node _T_803 = and(_T_802, shift_4B) @[ifu_aln_ctl.scala 403:40] + f1_shift_2B <= _T_803 @[ifu_aln_ctl.scala 403:15] + + extmodule gated_latch_660 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_660 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_660 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module ifu_ifc_ctl : + input clock : Clock + input reset : AsyncReset + output io : {flip exu_flush_final : UInt<1>, flip exu_flush_path_final : UInt<31>, flip free_clk : Clock, flip active_clk : Clock, flip scan_mode : UInt<1>, flip ic_hit_f : UInt<1>, flip ifu_ic_mb_empty : UInt<1>, flip ifu_fb_consume1 : UInt<1>, flip ifu_fb_consume2 : UInt<1>, flip ifu_bp_hit_taken_f : UInt<1>, flip ifu_bp_btb_target_f : UInt<31>, flip ic_dma_active : UInt<1>, flip ic_write_stall : UInt<1>, dec_ifc : {flip dec_tlu_flush_noredir_wb : UInt<1>, flip dec_tlu_mrac_ff : UInt<32>, ifu_pmu_fetch_stall : UInt<1>}, dma_ifc : {flip dma_iccm_stall_any : UInt<1>}, ifc_fetch_addr_f : UInt<31>, ifc_fetch_addr_bf : UInt<31>, ifc_fetch_req_f : UInt<1>, ifc_fetch_uncacheable_bf : UInt<1>, ifc_fetch_req_bf : UInt<1>, ifc_fetch_req_bf_raw : UInt<1>, ifc_iccm_access_bf : UInt<1>, ifc_region_acc_fault_bf : UInt<1>, ifc_dma_access_ok : UInt<1>} + + wire fetch_addr_bf : UInt<31> + fetch_addr_bf <= UInt<1>("h00") + wire fetch_addr_next_0 : UInt<1> + fetch_addr_next_0 <= UInt<1>("h00") + wire fetch_addr_next : UInt<31> + fetch_addr_next <= UInt<1>("h00") + wire fb_write_ns : UInt<4> + fb_write_ns <= UInt<1>("h00") + wire fb_write_f : UInt<4> + fb_write_f <= UInt<1>("h00") + wire fb_full_f_ns : UInt<1> + fb_full_f_ns <= UInt<1>("h00") + wire fb_right : UInt<1> + fb_right <= UInt<1>("h00") + wire fb_right2 : UInt<1> + fb_right2 <= UInt<1>("h00") + wire fb_left : UInt<1> + fb_left <= UInt<1>("h00") + wire wfm : UInt<1> + wfm <= UInt<1>("h00") + wire idle : UInt<1> + idle <= UInt<1>("h00") + wire miss_f : UInt<1> + miss_f <= UInt<1>("h00") + wire miss_a : UInt<1> + miss_a <= UInt<1>("h00") + wire flush_fb : UInt<1> + flush_fb <= UInt<1>("h00") + wire mb_empty_mod : UInt<1> + mb_empty_mod <= UInt<1>("h00") + wire goto_idle : UInt<1> + goto_idle <= UInt<1>("h00") + wire leave_idle : UInt<1> + leave_idle <= UInt<1>("h00") + wire fetch_bf_en : UInt<1> + fetch_bf_en <= UInt<1>("h00") + wire line_wrap : UInt<1> + line_wrap <= UInt<1>("h00") + wire state : UInt<2> + state <= UInt<1>("h00") + wire dma_iccm_stall_any_f : UInt<1> + dma_iccm_stall_any_f <= UInt<1>("h00") + node dma_stall = or(io.ic_dma_active, dma_iccm_stall_any_f) @[ifu_ifc_ctl.scala 62:36] + reg _T : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_ifc_ctl.scala 63:58] + _T <= io.dma_ifc.dma_iccm_stall_any @[ifu_ifc_ctl.scala 63:58] + dma_iccm_stall_any_f <= _T @[ifu_ifc_ctl.scala 63:24] + reg _T_1 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_ifc_ctl.scala 65:44] + _T_1 <= miss_f @[ifu_ifc_ctl.scala 65:44] + miss_a <= _T_1 @[ifu_ifc_ctl.scala 65:10] + node _T_2 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_ifc_ctl.scala 67:26] + node _T_3 = eq(io.ifc_fetch_req_f, UInt<1>("h00")) @[ifu_ifc_ctl.scala 67:49] + node _T_4 = eq(io.ic_hit_f, UInt<1>("h00")) @[ifu_ifc_ctl.scala 67:71] + node _T_5 = or(_T_3, _T_4) @[ifu_ifc_ctl.scala 67:69] + node sel_last_addr_bf = and(_T_2, _T_5) @[ifu_ifc_ctl.scala 67:46] + node _T_6 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_ifc_ctl.scala 68:26] + node _T_7 = and(_T_6, io.ifc_fetch_req_f) @[ifu_ifc_ctl.scala 68:46] + node _T_8 = and(_T_7, io.ifu_bp_hit_taken_f) @[ifu_ifc_ctl.scala 68:67] + node sel_btb_addr_bf = and(_T_8, io.ic_hit_f) @[ifu_ifc_ctl.scala 68:92] + node _T_9 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_ifc_ctl.scala 69:26] + node _T_10 = and(_T_9, io.ifc_fetch_req_f) @[ifu_ifc_ctl.scala 69:46] + node _T_11 = eq(io.ifu_bp_hit_taken_f, UInt<1>("h00")) @[ifu_ifc_ctl.scala 69:69] + node _T_12 = and(_T_10, _T_11) @[ifu_ifc_ctl.scala 69:67] + node sel_next_addr_bf = and(_T_12, io.ic_hit_f) @[ifu_ifc_ctl.scala 69:92] + node _T_13 = bits(io.exu_flush_final, 0, 0) @[ifu_ifc_ctl.scala 73:56] + node _T_14 = bits(sel_last_addr_bf, 0, 0) @[ifu_ifc_ctl.scala 74:26] + node _T_15 = bits(sel_btb_addr_bf, 0, 0) @[ifu_ifc_ctl.scala 75:25] + node _T_16 = bits(sel_next_addr_bf, 0, 0) @[ifu_ifc_ctl.scala 76:26] + node _T_17 = mux(_T_13, io.exu_flush_path_final, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_18 = mux(_T_14, io.ifc_fetch_addr_f, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_19 = mux(_T_15, io.ifu_bp_btb_target_f, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20 = mux(_T_16, fetch_addr_next, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21 = or(_T_17, _T_18) @[Mux.scala 27:72] + node _T_22 = or(_T_21, _T_19) @[Mux.scala 27:72] + node _T_23 = or(_T_22, _T_20) @[Mux.scala 27:72] + wire _T_24 : UInt<31> @[Mux.scala 27:72] + _T_24 <= _T_23 @[Mux.scala 27:72] + io.ifc_fetch_addr_bf <= _T_24 @[ifu_ifc_ctl.scala 73:24] + node _T_25 = bits(io.ifc_fetch_addr_f, 30, 1) @[ifu_ifc_ctl.scala 78:42] + node _T_26 = add(_T_25, UInt<1>("h01")) @[ifu_ifc_ctl.scala 78:48] + node address_upper = tail(_T_26, 1) @[ifu_ifc_ctl.scala 78:48] + node _T_27 = bits(address_upper, 4, 4) @[ifu_ifc_ctl.scala 79:39] + node _T_28 = bits(io.ifc_fetch_addr_f, 5, 5) @[ifu_ifc_ctl.scala 79:84] + node _T_29 = xor(_T_27, _T_28) @[ifu_ifc_ctl.scala 79:63] + node _T_30 = eq(_T_29, UInt<1>("h00")) @[ifu_ifc_ctl.scala 79:24] + node _T_31 = bits(io.ifc_fetch_addr_f, 0, 0) @[ifu_ifc_ctl.scala 79:130] + node _T_32 = and(_T_30, _T_31) @[ifu_ifc_ctl.scala 79:109] + fetch_addr_next_0 <= _T_32 @[ifu_ifc_ctl.scala 79:21] + node _T_33 = cat(address_upper, fetch_addr_next_0) @[Cat.scala 29:58] + fetch_addr_next <= _T_33 @[ifu_ifc_ctl.scala 82:19] + node _T_34 = not(idle) @[ifu_ifc_ctl.scala 84:30] + io.ifc_fetch_req_bf_raw <= _T_34 @[ifu_ifc_ctl.scala 84:27] + node _T_35 = or(io.ifu_fb_consume2, io.ifu_fb_consume1) @[ifu_ifc_ctl.scala 86:91] + node _T_36 = eq(_T_35, UInt<1>("h00")) @[ifu_ifc_ctl.scala 86:70] + node _T_37 = and(fb_full_f_ns, _T_36) @[ifu_ifc_ctl.scala 86:68] + node _T_38 = eq(_T_37, UInt<1>("h00")) @[ifu_ifc_ctl.scala 86:53] + node _T_39 = and(io.ifc_fetch_req_bf_raw, _T_38) @[ifu_ifc_ctl.scala 86:51] + node _T_40 = eq(dma_stall, UInt<1>("h00")) @[ifu_ifc_ctl.scala 87:5] + node _T_41 = and(_T_39, _T_40) @[ifu_ifc_ctl.scala 86:114] + node _T_42 = eq(io.ic_write_stall, UInt<1>("h00")) @[ifu_ifc_ctl.scala 87:18] + node _T_43 = and(_T_41, _T_42) @[ifu_ifc_ctl.scala 87:16] + node _T_44 = eq(io.dec_ifc.dec_tlu_flush_noredir_wb, UInt<1>("h00")) @[ifu_ifc_ctl.scala 87:39] + node _T_45 = and(_T_43, _T_44) @[ifu_ifc_ctl.scala 87:37] + io.ifc_fetch_req_bf <= _T_45 @[ifu_ifc_ctl.scala 86:23] + node _T_46 = or(io.exu_flush_final, io.ifc_fetch_req_f) @[ifu_ifc_ctl.scala 89:37] + fetch_bf_en <= _T_46 @[ifu_ifc_ctl.scala 89:15] + node _T_47 = eq(io.ic_hit_f, UInt<1>("h00")) @[ifu_ifc_ctl.scala 91:34] + node _T_48 = and(io.ifc_fetch_req_f, _T_47) @[ifu_ifc_ctl.scala 91:32] + node _T_49 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_ifc_ctl.scala 91:49] + node _T_50 = and(_T_48, _T_49) @[ifu_ifc_ctl.scala 91:47] + miss_f <= _T_50 @[ifu_ifc_ctl.scala 91:10] + node _T_51 = or(io.ifu_ic_mb_empty, io.exu_flush_final) @[ifu_ifc_ctl.scala 93:39] + node _T_52 = eq(dma_stall, UInt<1>("h00")) @[ifu_ifc_ctl.scala 93:63] + node _T_53 = and(_T_51, _T_52) @[ifu_ifc_ctl.scala 93:61] + node _T_54 = eq(miss_f, UInt<1>("h00")) @[ifu_ifc_ctl.scala 93:76] + node _T_55 = and(_T_53, _T_54) @[ifu_ifc_ctl.scala 93:74] + node _T_56 = eq(miss_a, UInt<1>("h00")) @[ifu_ifc_ctl.scala 93:86] + node _T_57 = and(_T_55, _T_56) @[ifu_ifc_ctl.scala 93:84] + mb_empty_mod <= _T_57 @[ifu_ifc_ctl.scala 93:16] + node _T_58 = and(io.exu_flush_final, io.dec_ifc.dec_tlu_flush_noredir_wb) @[ifu_ifc_ctl.scala 95:35] + goto_idle <= _T_58 @[ifu_ifc_ctl.scala 95:13] + node _T_59 = eq(io.dec_ifc.dec_tlu_flush_noredir_wb, UInt<1>("h00")) @[ifu_ifc_ctl.scala 97:38] + node _T_60 = and(io.exu_flush_final, _T_59) @[ifu_ifc_ctl.scala 97:36] + node _T_61 = and(_T_60, idle) @[ifu_ifc_ctl.scala 97:75] + leave_idle <= _T_61 @[ifu_ifc_ctl.scala 97:14] + node _T_62 = bits(state, 1, 1) @[ifu_ifc_ctl.scala 99:29] + node _T_63 = eq(_T_62, UInt<1>("h00")) @[ifu_ifc_ctl.scala 99:23] + node _T_64 = bits(state, 0, 0) @[ifu_ifc_ctl.scala 99:40] + node _T_65 = and(_T_63, _T_64) @[ifu_ifc_ctl.scala 99:33] + node _T_66 = and(_T_65, miss_f) @[ifu_ifc_ctl.scala 99:44] + node _T_67 = eq(goto_idle, UInt<1>("h00")) @[ifu_ifc_ctl.scala 99:55] + node _T_68 = and(_T_66, _T_67) @[ifu_ifc_ctl.scala 99:53] + node _T_69 = bits(state, 1, 1) @[ifu_ifc_ctl.scala 100:11] + node _T_70 = eq(mb_empty_mod, UInt<1>("h00")) @[ifu_ifc_ctl.scala 100:17] + node _T_71 = and(_T_69, _T_70) @[ifu_ifc_ctl.scala 100:15] + node _T_72 = eq(goto_idle, UInt<1>("h00")) @[ifu_ifc_ctl.scala 100:33] + node _T_73 = and(_T_71, _T_72) @[ifu_ifc_ctl.scala 100:31] + node next_state_1 = or(_T_68, _T_73) @[ifu_ifc_ctl.scala 99:67] + node _T_74 = eq(goto_idle, UInt<1>("h00")) @[ifu_ifc_ctl.scala 102:23] + node _T_75 = and(_T_74, leave_idle) @[ifu_ifc_ctl.scala 102:34] + node _T_76 = bits(state, 0, 0) @[ifu_ifc_ctl.scala 102:56] + node _T_77 = eq(goto_idle, UInt<1>("h00")) @[ifu_ifc_ctl.scala 102:62] + node _T_78 = and(_T_76, _T_77) @[ifu_ifc_ctl.scala 102:60] + node next_state_0 = or(_T_75, _T_78) @[ifu_ifc_ctl.scala 102:48] + node _T_79 = cat(next_state_1, next_state_0) @[Cat.scala 29:58] + reg _T_80 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_ifc_ctl.scala 104:45] + _T_80 <= _T_79 @[ifu_ifc_ctl.scala 104:45] + state <= _T_80 @[ifu_ifc_ctl.scala 104:9] + flush_fb <= io.exu_flush_final @[ifu_ifc_ctl.scala 106:12] + node _T_81 = eq(io.ifu_fb_consume2, UInt<1>("h00")) @[ifu_ifc_ctl.scala 109:38] + node _T_82 = and(io.ifu_fb_consume1, _T_81) @[ifu_ifc_ctl.scala 109:36] + node _T_83 = eq(io.ifc_fetch_req_f, UInt<1>("h00")) @[ifu_ifc_ctl.scala 109:61] + node _T_84 = or(_T_83, miss_f) @[ifu_ifc_ctl.scala 109:81] + node _T_85 = and(_T_82, _T_84) @[ifu_ifc_ctl.scala 109:58] + node _T_86 = and(io.ifu_fb_consume2, io.ifc_fetch_req_f) @[ifu_ifc_ctl.scala 110:25] + node _T_87 = or(_T_85, _T_86) @[ifu_ifc_ctl.scala 109:92] + fb_right <= _T_87 @[ifu_ifc_ctl.scala 109:12] + node _T_88 = not(io.ifc_fetch_req_f) @[ifu_ifc_ctl.scala 112:39] + node _T_89 = or(_T_88, miss_f) @[ifu_ifc_ctl.scala 112:59] + node _T_90 = and(io.ifu_fb_consume2, _T_89) @[ifu_ifc_ctl.scala 112:36] + fb_right2 <= _T_90 @[ifu_ifc_ctl.scala 112:13] + node _T_91 = or(io.ifu_fb_consume1, io.ifu_fb_consume2) @[ifu_ifc_ctl.scala 113:56] + node _T_92 = eq(_T_91, UInt<1>("h00")) @[ifu_ifc_ctl.scala 113:35] + node _T_93 = and(io.ifc_fetch_req_f, _T_92) @[ifu_ifc_ctl.scala 113:33] + node _T_94 = eq(miss_f, UInt<1>("h00")) @[ifu_ifc_ctl.scala 113:80] + node _T_95 = and(_T_93, _T_94) @[ifu_ifc_ctl.scala 113:78] + fb_left <= _T_95 @[ifu_ifc_ctl.scala 113:11] + node _T_96 = bits(flush_fb, 0, 0) @[ifu_ifc_ctl.scala 116:37] + node _T_97 = eq(flush_fb, UInt<1>("h00")) @[ifu_ifc_ctl.scala 117:6] + node _T_98 = and(_T_97, fb_right) @[ifu_ifc_ctl.scala 117:16] + node _T_99 = bits(_T_98, 0, 0) @[ifu_ifc_ctl.scala 117:28] + node _T_100 = bits(fb_write_f, 3, 1) @[ifu_ifc_ctl.scala 117:62] + node _T_101 = cat(UInt<1>("h00"), _T_100) @[Cat.scala 29:58] + node _T_102 = eq(flush_fb, UInt<1>("h00")) @[ifu_ifc_ctl.scala 118:6] + node _T_103 = and(_T_102, fb_right2) @[ifu_ifc_ctl.scala 118:16] + node _T_104 = bits(_T_103, 0, 0) @[ifu_ifc_ctl.scala 118:29] + node _T_105 = bits(fb_write_f, 3, 2) @[ifu_ifc_ctl.scala 118:63] + node _T_106 = cat(UInt<2>("h00"), _T_105) @[Cat.scala 29:58] + node _T_107 = eq(flush_fb, UInt<1>("h00")) @[ifu_ifc_ctl.scala 119:6] + node _T_108 = and(_T_107, fb_left) @[ifu_ifc_ctl.scala 119:16] + node _T_109 = bits(_T_108, 0, 0) @[ifu_ifc_ctl.scala 119:27] + node _T_110 = bits(fb_write_f, 2, 0) @[ifu_ifc_ctl.scala 119:51] + node _T_111 = cat(_T_110, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_112 = eq(flush_fb, UInt<1>("h00")) @[ifu_ifc_ctl.scala 120:6] + node _T_113 = eq(fb_right, UInt<1>("h00")) @[ifu_ifc_ctl.scala 120:18] + node _T_114 = and(_T_112, _T_113) @[ifu_ifc_ctl.scala 120:16] + node _T_115 = eq(fb_right2, UInt<1>("h00")) @[ifu_ifc_ctl.scala 120:30] + node _T_116 = and(_T_114, _T_115) @[ifu_ifc_ctl.scala 120:28] + node _T_117 = eq(fb_left, UInt<1>("h00")) @[ifu_ifc_ctl.scala 120:43] + node _T_118 = and(_T_116, _T_117) @[ifu_ifc_ctl.scala 120:41] + node _T_119 = bits(_T_118, 0, 0) @[ifu_ifc_ctl.scala 120:53] + node _T_120 = bits(fb_write_f, 3, 0) @[ifu_ifc_ctl.scala 120:73] + node _T_121 = mux(_T_96, UInt<4>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_122 = mux(_T_99, _T_101, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_123 = mux(_T_104, _T_106, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_124 = mux(_T_109, _T_111, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_125 = mux(_T_119, _T_120, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_126 = or(_T_121, _T_122) @[Mux.scala 27:72] + node _T_127 = or(_T_126, _T_123) @[Mux.scala 27:72] + node _T_128 = or(_T_127, _T_124) @[Mux.scala 27:72] + node _T_129 = or(_T_128, _T_125) @[Mux.scala 27:72] + wire _T_130 : UInt<4> @[Mux.scala 27:72] + _T_130 <= _T_129 @[Mux.scala 27:72] + fb_write_ns <= _T_130 @[ifu_ifc_ctl.scala 116:15] + node _T_131 = eq(state, UInt<2>("h00")) @[ifu_ifc_ctl.scala 123:17] + idle <= _T_131 @[ifu_ifc_ctl.scala 123:8] + node _T_132 = eq(state, UInt<2>("h03")) @[ifu_ifc_ctl.scala 124:16] + wfm <= _T_132 @[ifu_ifc_ctl.scala 124:7] + node _T_133 = bits(fb_write_ns, 3, 3) @[ifu_ifc_ctl.scala 126:30] + fb_full_f_ns <= _T_133 @[ifu_ifc_ctl.scala 126:16] + reg fb_full_f : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_ifc_ctl.scala 127:52] + fb_full_f <= fb_full_f_ns @[ifu_ifc_ctl.scala 127:52] + reg _T_134 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_ifc_ctl.scala 128:50] + _T_134 <= fb_write_ns @[ifu_ifc_ctl.scala 128:50] + fb_write_f <= _T_134 @[ifu_ifc_ctl.scala 128:14] + node _T_135 = or(io.ifu_fb_consume2, io.ifu_fb_consume1) @[ifu_ifc_ctl.scala 131:40] + node _T_136 = or(_T_135, io.exu_flush_final) @[ifu_ifc_ctl.scala 131:61] + node _T_137 = eq(_T_136, UInt<1>("h00")) @[ifu_ifc_ctl.scala 131:19] + node _T_138 = and(fb_full_f, _T_137) @[ifu_ifc_ctl.scala 131:17] + node _T_139 = or(_T_138, dma_stall) @[ifu_ifc_ctl.scala 131:84] + node _T_140 = and(io.ifc_fetch_req_bf_raw, _T_139) @[ifu_ifc_ctl.scala 130:68] + node _T_141 = or(wfm, _T_140) @[ifu_ifc_ctl.scala 130:41] + io.dec_ifc.ifu_pmu_fetch_stall <= _T_141 @[ifu_ifc_ctl.scala 130:34] + node _T_142 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_143 = bits(_T_142, 31, 28) @[lib.scala 84:25] + node iccm_acc_in_region_bf = eq(_T_143, UInt<4>("h0e")) @[lib.scala 84:47] + node _T_144 = bits(_T_142, 31, 16) @[lib.scala 87:14] + node iccm_acc_in_range_bf = eq(_T_144, UInt<16>("h0ee00")) @[lib.scala 87:29] + io.ifc_iccm_access_bf <= iccm_acc_in_range_bf @[ifu_ifc_ctl.scala 137:25] + node _T_145 = eq(io.ifc_iccm_access_bf, UInt<1>("h00")) @[ifu_ifc_ctl.scala 138:30] + node _T_146 = or(io.ifu_fb_consume2, io.ifu_fb_consume1) @[ifu_ifc_ctl.scala 139:39] + node _T_147 = eq(_T_146, UInt<1>("h00")) @[ifu_ifc_ctl.scala 139:18] + node _T_148 = and(fb_full_f, _T_147) @[ifu_ifc_ctl.scala 139:16] + node _T_149 = or(_T_145, _T_148) @[ifu_ifc_ctl.scala 138:53] + node _T_150 = eq(io.ifc_fetch_req_bf, UInt<1>("h00")) @[ifu_ifc_ctl.scala 140:13] + node _T_151 = and(wfm, _T_150) @[ifu_ifc_ctl.scala 140:11] + node _T_152 = or(_T_149, _T_151) @[ifu_ifc_ctl.scala 139:62] + node _T_153 = or(_T_152, idle) @[ifu_ifc_ctl.scala 140:35] + node _T_154 = eq(io.exu_flush_final, UInt<1>("h00")) @[ifu_ifc_ctl.scala 140:46] + node _T_155 = and(_T_153, _T_154) @[ifu_ifc_ctl.scala 140:44] + node _T_156 = or(_T_155, dma_iccm_stall_any_f) @[ifu_ifc_ctl.scala 140:67] + io.ifc_dma_access_ok <= _T_156 @[ifu_ifc_ctl.scala 138:24] + node _T_157 = eq(iccm_acc_in_range_bf, UInt<1>("h00")) @[ifu_ifc_ctl.scala 142:33] + node _T_158 = and(_T_157, iccm_acc_in_region_bf) @[ifu_ifc_ctl.scala 142:55] + io.ifc_region_acc_fault_bf <= _T_158 @[ifu_ifc_ctl.scala 142:30] + node _T_159 = bits(io.ifc_fetch_addr_bf, 30, 27) @[ifu_ifc_ctl.scala 143:86] + node _T_160 = cat(_T_159, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_161 = dshr(io.dec_ifc.dec_tlu_mrac_ff, _T_160) @[ifu_ifc_ctl.scala 143:61] + node _T_162 = bits(_T_161, 0, 0) @[ifu_ifc_ctl.scala 143:61] + node _T_163 = not(_T_162) @[ifu_ifc_ctl.scala 143:34] + io.ifc_fetch_uncacheable_bf <= _T_163 @[ifu_ifc_ctl.scala 143:31] + reg _T_164 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[ifu_ifc_ctl.scala 145:57] + _T_164 <= io.ifc_fetch_req_bf @[ifu_ifc_ctl.scala 145:57] + io.ifc_fetch_req_f <= _T_164 @[ifu_ifc_ctl.scala 145:22] + node _T_165 = or(io.exu_flush_final, io.ifc_fetch_req_f) @[ifu_ifc_ctl.scala 147:73] + inst rvclkhdr of rvclkhdr_660 @[lib.scala 368:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 370:18] + rvclkhdr.io.en <= _T_165 @[lib.scala 371:17] + rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_166 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_166 <= io.ifc_fetch_addr_bf @[lib.scala 374:16] + io.ifc_fetch_addr_f <= _T_166 @[ifu_ifc_ctl.scala 147:23] + + module ifu : + input clock : Clock + input reset : AsyncReset + output io : {flip exu_flush_final : UInt<1>, flip exu_flush_path_final : UInt<31>, flip free_clk : Clock, flip active_clk : Clock, ifu_dec : {dec_aln : {aln_dec : {flip dec_i0_decode_d : UInt<1>, ifu_i0_cinst : UInt<16>}, aln_ib : {ifu_i0_icaf : UInt<1>, ifu_i0_icaf_type : UInt<2>, ifu_i0_icaf_f1 : UInt<1>, ifu_i0_dbecc : UInt<1>, ifu_i0_bp_index : UInt<8>, ifu_i0_bp_fghr : UInt<8>, ifu_i0_bp_btag : UInt<5>, ifu_i0_valid : UInt<1>, ifu_i0_instr : UInt<32>, ifu_i0_pc : UInt<31>, ifu_i0_pc4 : UInt<1>, i0_brp : {valid : UInt<1>, bits : {toffset : UInt<12>, hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, bank : UInt<1>, prett : UInt<31>, way : UInt<1>, ret : UInt<1>}}}, ifu_pmu_instr_aligned : UInt<1>}, dec_mem_ctrl : {flip dec_tlu_flush_err_wb : UInt<1>, flip dec_tlu_i0_commit_cmt : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip dec_tlu_fence_i_wb : UInt<1>, flip dec_tlu_ic_diag_pkt : {icache_wrdata : UInt<71>, icache_dicawics : UInt<17>, icache_rd_valid : UInt<1>, icache_wr_valid : UInt<1>}, flip dec_tlu_core_ecc_disable : UInt<1>, ifu_pmu_ic_miss : UInt<1>, ifu_pmu_ic_hit : UInt<1>, ifu_pmu_bus_error : UInt<1>, ifu_pmu_bus_busy : UInt<1>, ifu_pmu_bus_trxn : UInt<1>, ifu_ic_error_start : UInt<1>, ifu_iccm_rd_ecc_single_err : UInt<1>, ifu_ic_debug_rd_data : UInt<71>, ifu_ic_debug_rd_data_valid : UInt<1>, ifu_miss_state_idle : UInt<1>}, dec_ifc : {flip dec_tlu_flush_noredir_wb : UInt<1>, flip dec_tlu_mrac_ff : UInt<32>, ifu_pmu_fetch_stall : UInt<1>}, dec_bp : {flip dec_tlu_br0_r_pkt : {valid : UInt<1>, bits : {hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, way : UInt<1>, middle : UInt<1>}}, flip dec_tlu_flush_leak_one_wb : UInt<1>, flip dec_tlu_bpred_disable : UInt<1>}}, exu_ifu : {flip exu_bp : {exu_i0_br_index_r : UInt<8>, exu_i0_br_fghr_r : UInt<8>, exu_i0_br_way_r : UInt<1>, exu_mp_pkt : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<31>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}}, exu_mp_eghr : UInt<8>, exu_mp_fghr : UInt<8>, exu_mp_index : UInt<8>, exu_mp_btag : UInt<5>}}, iccm : {rw_addr : UInt<15>, buf_correct_ecc : UInt<1>, correction_state : UInt<1>, wren : UInt<1>, rden : UInt<1>, wr_size : UInt<3>, wr_data : UInt<78>, flip rd_data : UInt<64>, flip rd_data_ecc : UInt<78>}, ic : {rw_addr : UInt<31>, tag_valid : UInt<2>, wr_en : UInt<2>, rd_en : UInt<1>, wr_data : UInt<71>[2], debug_wr_data : UInt<71>, debug_addr : UInt<10>, flip rd_data : UInt<64>, flip debug_rd_data : UInt<71>, flip tag_debug_rd_data : UInt<26>, flip eccerr : UInt<2>, flip parerr : UInt<2>, flip rd_hit : UInt<2>, flip tag_perr : UInt<1>, debug_rd_en : UInt<1>, debug_wr_en : UInt<1>, debug_tag_array : UInt<1>, debug_way : UInt<2>, premux_data : UInt<64>, sel_premux_data : UInt<1>}, ifu : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip ifu_bus_clk_en : UInt<1>, ifu_dma : {dma_ifc : {flip dma_iccm_stall_any : UInt<1>}, dma_mem_ctl : {flip dma_iccm_req : UInt<1>, flip dma_mem_addr : UInt<32>, flip dma_mem_sz : UInt<3>, flip dma_mem_write : UInt<1>, flip dma_mem_wdata : UInt<64>, flip dma_mem_tag : UInt<3>}}, iccm_dma_ecc_error : UInt<1>, iccm_dma_rvalid : UInt<1>, iccm_dma_rdata : UInt<64>, iccm_dma_rtag : UInt<3>, iccm_ready : UInt<1>, iccm_dma_sb_error : UInt<1>, flip dec_tlu_flush_lower_wb : UInt<1>, flip scan_mode : UInt<1>} + + inst mem_ctl of ifu_mem_ctl @[ifu.scala 34:23] + mem_ctl.clock <= clock + mem_ctl.reset <= reset + inst bp_ctl of ifu_bp_ctl @[ifu.scala 35:22] + bp_ctl.clock <= clock + bp_ctl.reset <= reset + inst aln_ctl of ifu_aln_ctl @[ifu.scala 36:23] + aln_ctl.clock <= clock + aln_ctl.reset <= reset + inst ifc_ctl of ifu_ifc_ctl @[ifu.scala 37:23] + ifc_ctl.clock <= clock + ifc_ctl.reset <= reset + ifc_ctl.io.active_clk <= io.active_clk @[ifu.scala 40:25] + ifc_ctl.io.free_clk <= io.free_clk @[ifu.scala 41:23] + ifc_ctl.io.scan_mode <= io.scan_mode @[ifu.scala 42:24] + ifc_ctl.io.ic_hit_f <= mem_ctl.io.ic_hit_f @[ifu.scala 43:23] + ifc_ctl.io.ifu_fb_consume1 <= aln_ctl.io.ifu_fb_consume1 @[ifu.scala 44:30] + ifc_ctl.io.ifu_fb_consume2 <= aln_ctl.io.ifu_fb_consume2 @[ifu.scala 45:30] + io.ifu_dec.dec_ifc.ifu_pmu_fetch_stall <= ifc_ctl.io.dec_ifc.ifu_pmu_fetch_stall @[ifu.scala 46:22] + ifc_ctl.io.dec_ifc.dec_tlu_mrac_ff <= io.ifu_dec.dec_ifc.dec_tlu_mrac_ff @[ifu.scala 46:22] + ifc_ctl.io.dec_ifc.dec_tlu_flush_noredir_wb <= io.ifu_dec.dec_ifc.dec_tlu_flush_noredir_wb @[ifu.scala 46:22] + ifc_ctl.io.exu_flush_final <= io.exu_flush_final @[ifu.scala 47:30] + ifc_ctl.io.ifu_bp_hit_taken_f <= bp_ctl.io.ifu_bp_hit_taken_f @[ifu.scala 48:33] + ifc_ctl.io.ifu_bp_btb_target_f <= bp_ctl.io.ifu_bp_btb_target_f @[ifu.scala 49:34] + ifc_ctl.io.ic_dma_active <= mem_ctl.io.ic_dma_active @[ifu.scala 50:28] + ifc_ctl.io.ic_write_stall <= mem_ctl.io.ic_write_stall @[ifu.scala 51:29] + ifc_ctl.io.dma_ifc.dma_iccm_stall_any <= io.ifu_dma.dma_ifc.dma_iccm_stall_any @[ifu.scala 52:22] + ifc_ctl.io.ifu_ic_mb_empty <= mem_ctl.io.ifu_ic_mb_empty @[ifu.scala 53:30] + ifc_ctl.io.exu_flush_path_final <= io.exu_flush_path_final @[ifu.scala 54:35] + aln_ctl.io.scan_mode <= io.scan_mode @[ifu.scala 57:24] + aln_ctl.io.active_clk <= io.active_clk @[ifu.scala 58:25] + aln_ctl.io.ifu_async_error_start <= mem_ctl.io.ifu_async_error_start @[ifu.scala 59:36] + aln_ctl.io.iccm_rd_ecc_double_err <= mem_ctl.io.iccm_rd_ecc_double_err @[ifu.scala 60:37] + aln_ctl.io.ic_access_fault_f <= mem_ctl.io.ic_access_fault_f @[ifu.scala 61:32] + aln_ctl.io.ic_access_fault_type_f <= mem_ctl.io.ic_access_fault_type_f @[ifu.scala 62:37] + aln_ctl.io.ifu_bp_fghr_f <= bp_ctl.io.ifu_bp_fghr_f @[ifu.scala 63:28] + aln_ctl.io.ifu_bp_btb_target_f <= bp_ctl.io.ifu_bp_btb_target_f @[ifu.scala 64:34] + aln_ctl.io.ifu_bp_poffset_f <= bp_ctl.io.ifu_bp_poffset_f @[ifu.scala 65:31] + aln_ctl.io.ifu_bp_hist0_f <= bp_ctl.io.ifu_bp_hist0_f @[ifu.scala 66:29] + aln_ctl.io.ifu_bp_hist1_f <= bp_ctl.io.ifu_bp_hist1_f @[ifu.scala 67:29] + aln_ctl.io.ifu_bp_pc4_f <= bp_ctl.io.ifu_bp_pc4_f @[ifu.scala 68:27] + aln_ctl.io.ifu_bp_way_f <= bp_ctl.io.ifu_bp_way_f @[ifu.scala 69:27] + aln_ctl.io.ifu_bp_valid_f <= bp_ctl.io.ifu_bp_valid_f @[ifu.scala 70:29] + aln_ctl.io.ifu_bp_ret_f <= bp_ctl.io.ifu_bp_ret_f @[ifu.scala 71:27] + aln_ctl.io.exu_flush_final <= io.exu_flush_final @[ifu.scala 72:30] + io.ifu_dec.dec_aln.ifu_pmu_instr_aligned <= aln_ctl.io.dec_aln.ifu_pmu_instr_aligned @[ifu.scala 73:22] + io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.ret <= aln_ctl.io.dec_aln.aln_ib.i0_brp.bits.ret @[ifu.scala 73:22] + io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.way <= aln_ctl.io.dec_aln.aln_ib.i0_brp.bits.way @[ifu.scala 73:22] + io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.prett <= aln_ctl.io.dec_aln.aln_ib.i0_brp.bits.prett @[ifu.scala 73:22] + io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.bank <= aln_ctl.io.dec_aln.aln_ib.i0_brp.bits.bank @[ifu.scala 73:22] + io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.br_start_error <= aln_ctl.io.dec_aln.aln_ib.i0_brp.bits.br_start_error @[ifu.scala 73:22] + io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.br_error <= aln_ctl.io.dec_aln.aln_ib.i0_brp.bits.br_error @[ifu.scala 73:22] + io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.hist <= aln_ctl.io.dec_aln.aln_ib.i0_brp.bits.hist @[ifu.scala 73:22] + io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.toffset <= aln_ctl.io.dec_aln.aln_ib.i0_brp.bits.toffset @[ifu.scala 73:22] + io.ifu_dec.dec_aln.aln_ib.i0_brp.valid <= aln_ctl.io.dec_aln.aln_ib.i0_brp.valid @[ifu.scala 73:22] + io.ifu_dec.dec_aln.aln_ib.ifu_i0_pc4 <= aln_ctl.io.dec_aln.aln_ib.ifu_i0_pc4 @[ifu.scala 73:22] + io.ifu_dec.dec_aln.aln_ib.ifu_i0_pc <= aln_ctl.io.dec_aln.aln_ib.ifu_i0_pc @[ifu.scala 73:22] + io.ifu_dec.dec_aln.aln_ib.ifu_i0_instr <= aln_ctl.io.dec_aln.aln_ib.ifu_i0_instr @[ifu.scala 73:22] + io.ifu_dec.dec_aln.aln_ib.ifu_i0_valid <= aln_ctl.io.dec_aln.aln_ib.ifu_i0_valid @[ifu.scala 73:22] + io.ifu_dec.dec_aln.aln_ib.ifu_i0_bp_btag <= aln_ctl.io.dec_aln.aln_ib.ifu_i0_bp_btag @[ifu.scala 73:22] + io.ifu_dec.dec_aln.aln_ib.ifu_i0_bp_fghr <= aln_ctl.io.dec_aln.aln_ib.ifu_i0_bp_fghr @[ifu.scala 73:22] + io.ifu_dec.dec_aln.aln_ib.ifu_i0_bp_index <= aln_ctl.io.dec_aln.aln_ib.ifu_i0_bp_index @[ifu.scala 73:22] + io.ifu_dec.dec_aln.aln_ib.ifu_i0_dbecc <= aln_ctl.io.dec_aln.aln_ib.ifu_i0_dbecc @[ifu.scala 73:22] + io.ifu_dec.dec_aln.aln_ib.ifu_i0_icaf_f1 <= aln_ctl.io.dec_aln.aln_ib.ifu_i0_icaf_f1 @[ifu.scala 73:22] + io.ifu_dec.dec_aln.aln_ib.ifu_i0_icaf_type <= aln_ctl.io.dec_aln.aln_ib.ifu_i0_icaf_type @[ifu.scala 73:22] + io.ifu_dec.dec_aln.aln_ib.ifu_i0_icaf <= aln_ctl.io.dec_aln.aln_ib.ifu_i0_icaf @[ifu.scala 73:22] + io.ifu_dec.dec_aln.aln_dec.ifu_i0_cinst <= aln_ctl.io.dec_aln.aln_dec.ifu_i0_cinst @[ifu.scala 73:22] + aln_ctl.io.dec_aln.aln_dec.dec_i0_decode_d <= io.ifu_dec.dec_aln.aln_dec.dec_i0_decode_d @[ifu.scala 73:22] + aln_ctl.io.ifu_fetch_data_f <= mem_ctl.io.ic_data_f @[ifu.scala 74:31] + aln_ctl.io.ifu_fetch_val <= mem_ctl.io.ifu_fetch_val @[ifu.scala 75:28] + aln_ctl.io.ifu_fetch_pc <= ifc_ctl.io.ifc_fetch_addr_f @[ifu.scala 76:27] + bp_ctl.io.scan_mode <= io.scan_mode @[ifu.scala 79:23] + bp_ctl.io.active_clk <= io.active_clk @[ifu.scala 80:24] + bp_ctl.io.ic_hit_f <= mem_ctl.io.ic_hit_f @[ifu.scala 81:22] + bp_ctl.io.ifc_fetch_addr_f <= ifc_ctl.io.ifc_fetch_addr_f @[ifu.scala 82:30] + bp_ctl.io.ifc_fetch_req_f <= ifc_ctl.io.ifc_fetch_req_f @[ifu.scala 83:29] + bp_ctl.io.dec_bp.dec_tlu_bpred_disable <= io.ifu_dec.dec_bp.dec_tlu_bpred_disable @[ifu.scala 84:20] + bp_ctl.io.dec_bp.dec_tlu_flush_leak_one_wb <= io.ifu_dec.dec_bp.dec_tlu_flush_leak_one_wb @[ifu.scala 84:20] + bp_ctl.io.dec_bp.dec_tlu_br0_r_pkt.bits.middle <= io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.middle @[ifu.scala 84:20] + bp_ctl.io.dec_bp.dec_tlu_br0_r_pkt.bits.way <= io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.way @[ifu.scala 84:20] + bp_ctl.io.dec_bp.dec_tlu_br0_r_pkt.bits.br_start_error <= io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.br_start_error @[ifu.scala 84:20] + bp_ctl.io.dec_bp.dec_tlu_br0_r_pkt.bits.br_error <= io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.br_error @[ifu.scala 84:20] + bp_ctl.io.dec_bp.dec_tlu_br0_r_pkt.bits.hist <= io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.hist @[ifu.scala 84:20] + bp_ctl.io.dec_bp.dec_tlu_br0_r_pkt.valid <= io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.valid @[ifu.scala 84:20] + bp_ctl.io.exu_bp.exu_mp_btag <= io.exu_ifu.exu_bp.exu_mp_btag @[ifu.scala 85:20] + bp_ctl.io.exu_bp.exu_mp_index <= io.exu_ifu.exu_bp.exu_mp_index @[ifu.scala 85:20] + bp_ctl.io.exu_bp.exu_mp_fghr <= io.exu_ifu.exu_bp.exu_mp_fghr @[ifu.scala 85:20] + bp_ctl.io.exu_bp.exu_mp_eghr <= io.exu_ifu.exu_bp.exu_mp_eghr @[ifu.scala 85:20] + bp_ctl.io.exu_bp.exu_mp_pkt.bits.way <= io.exu_ifu.exu_bp.exu_mp_pkt.bits.way @[ifu.scala 85:20] + bp_ctl.io.exu_bp.exu_mp_pkt.bits.pja <= io.exu_ifu.exu_bp.exu_mp_pkt.bits.pja @[ifu.scala 85:20] + bp_ctl.io.exu_bp.exu_mp_pkt.bits.pret <= io.exu_ifu.exu_bp.exu_mp_pkt.bits.pret @[ifu.scala 85:20] + bp_ctl.io.exu_bp.exu_mp_pkt.bits.pcall <= io.exu_ifu.exu_bp.exu_mp_pkt.bits.pcall @[ifu.scala 85:20] + bp_ctl.io.exu_bp.exu_mp_pkt.bits.prett <= io.exu_ifu.exu_bp.exu_mp_pkt.bits.prett @[ifu.scala 85:20] + bp_ctl.io.exu_bp.exu_mp_pkt.bits.br_start_error <= io.exu_ifu.exu_bp.exu_mp_pkt.bits.br_start_error @[ifu.scala 85:20] + bp_ctl.io.exu_bp.exu_mp_pkt.bits.br_error <= io.exu_ifu.exu_bp.exu_mp_pkt.bits.br_error @[ifu.scala 85:20] + bp_ctl.io.exu_bp.exu_mp_pkt.bits.toffset <= io.exu_ifu.exu_bp.exu_mp_pkt.bits.toffset @[ifu.scala 85:20] + bp_ctl.io.exu_bp.exu_mp_pkt.bits.hist <= io.exu_ifu.exu_bp.exu_mp_pkt.bits.hist @[ifu.scala 85:20] + bp_ctl.io.exu_bp.exu_mp_pkt.bits.pc4 <= io.exu_ifu.exu_bp.exu_mp_pkt.bits.pc4 @[ifu.scala 85:20] + bp_ctl.io.exu_bp.exu_mp_pkt.bits.boffset <= io.exu_ifu.exu_bp.exu_mp_pkt.bits.boffset @[ifu.scala 85:20] + bp_ctl.io.exu_bp.exu_mp_pkt.bits.ataken <= io.exu_ifu.exu_bp.exu_mp_pkt.bits.ataken @[ifu.scala 85:20] + bp_ctl.io.exu_bp.exu_mp_pkt.bits.misp <= io.exu_ifu.exu_bp.exu_mp_pkt.bits.misp @[ifu.scala 85:20] + bp_ctl.io.exu_bp.exu_mp_pkt.valid <= io.exu_ifu.exu_bp.exu_mp_pkt.valid @[ifu.scala 85:20] + bp_ctl.io.exu_bp.exu_i0_br_way_r <= io.exu_ifu.exu_bp.exu_i0_br_way_r @[ifu.scala 85:20] + bp_ctl.io.exu_bp.exu_i0_br_fghr_r <= io.exu_ifu.exu_bp.exu_i0_br_fghr_r @[ifu.scala 85:20] + bp_ctl.io.exu_bp.exu_i0_br_index_r <= io.exu_ifu.exu_bp.exu_i0_br_index_r @[ifu.scala 85:20] + bp_ctl.io.exu_flush_final <= io.exu_flush_final @[ifu.scala 86:29] + bp_ctl.io.dec_tlu_flush_lower_wb <= io.dec_tlu_flush_lower_wb @[ifu.scala 87:36] + mem_ctl.io.free_clk <= io.free_clk @[ifu.scala 90:23] + mem_ctl.io.active_clk <= io.active_clk @[ifu.scala 91:25] + mem_ctl.io.exu_flush_final <= io.exu_flush_final @[ifu.scala 92:30] + io.ifu_dec.dec_mem_ctrl.ifu_miss_state_idle <= mem_ctl.io.dec_mem_ctrl.ifu_miss_state_idle @[ifu.scala 93:27] + io.ifu_dec.dec_mem_ctrl.ifu_ic_debug_rd_data_valid <= mem_ctl.io.dec_mem_ctrl.ifu_ic_debug_rd_data_valid @[ifu.scala 93:27] + io.ifu_dec.dec_mem_ctrl.ifu_ic_debug_rd_data <= mem_ctl.io.dec_mem_ctrl.ifu_ic_debug_rd_data @[ifu.scala 93:27] + io.ifu_dec.dec_mem_ctrl.ifu_iccm_rd_ecc_single_err <= mem_ctl.io.dec_mem_ctrl.ifu_iccm_rd_ecc_single_err @[ifu.scala 93:27] + io.ifu_dec.dec_mem_ctrl.ifu_ic_error_start <= mem_ctl.io.dec_mem_ctrl.ifu_ic_error_start @[ifu.scala 93:27] + io.ifu_dec.dec_mem_ctrl.ifu_pmu_bus_trxn <= mem_ctl.io.dec_mem_ctrl.ifu_pmu_bus_trxn @[ifu.scala 93:27] + io.ifu_dec.dec_mem_ctrl.ifu_pmu_bus_busy <= mem_ctl.io.dec_mem_ctrl.ifu_pmu_bus_busy @[ifu.scala 93:27] + io.ifu_dec.dec_mem_ctrl.ifu_pmu_bus_error <= mem_ctl.io.dec_mem_ctrl.ifu_pmu_bus_error @[ifu.scala 93:27] + io.ifu_dec.dec_mem_ctrl.ifu_pmu_ic_hit <= mem_ctl.io.dec_mem_ctrl.ifu_pmu_ic_hit @[ifu.scala 93:27] + io.ifu_dec.dec_mem_ctrl.ifu_pmu_ic_miss <= mem_ctl.io.dec_mem_ctrl.ifu_pmu_ic_miss @[ifu.scala 93:27] + mem_ctl.io.dec_mem_ctrl.dec_tlu_core_ecc_disable <= io.ifu_dec.dec_mem_ctrl.dec_tlu_core_ecc_disable @[ifu.scala 93:27] + mem_ctl.io.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wr_valid <= io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wr_valid @[ifu.scala 93:27] + mem_ctl.io.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_rd_valid <= io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_rd_valid @[ifu.scala 93:27] + mem_ctl.io.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_dicawics <= io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_dicawics @[ifu.scala 93:27] + mem_ctl.io.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wrdata <= io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wrdata @[ifu.scala 93:27] + mem_ctl.io.dec_mem_ctrl.dec_tlu_fence_i_wb <= io.ifu_dec.dec_mem_ctrl.dec_tlu_fence_i_wb @[ifu.scala 93:27] + mem_ctl.io.dec_mem_ctrl.dec_tlu_force_halt <= io.ifu_dec.dec_mem_ctrl.dec_tlu_force_halt @[ifu.scala 93:27] + mem_ctl.io.dec_mem_ctrl.dec_tlu_i0_commit_cmt <= io.ifu_dec.dec_mem_ctrl.dec_tlu_i0_commit_cmt @[ifu.scala 93:27] + mem_ctl.io.dec_mem_ctrl.dec_tlu_flush_err_wb <= io.ifu_dec.dec_mem_ctrl.dec_tlu_flush_err_wb @[ifu.scala 93:27] + mem_ctl.io.ifc_fetch_addr_bf <= ifc_ctl.io.ifc_fetch_addr_bf @[ifu.scala 94:32] + mem_ctl.io.ifc_fetch_uncacheable_bf <= ifc_ctl.io.ifc_fetch_uncacheable_bf @[ifu.scala 95:39] + mem_ctl.io.ifc_fetch_req_bf <= ifc_ctl.io.ifc_fetch_req_bf @[ifu.scala 96:31] + mem_ctl.io.ifc_fetch_req_bf_raw <= ifc_ctl.io.ifc_fetch_req_bf_raw @[ifu.scala 97:35] + mem_ctl.io.ifc_iccm_access_bf <= ifc_ctl.io.ifc_iccm_access_bf @[ifu.scala 98:33] + mem_ctl.io.ifc_region_acc_fault_bf <= ifc_ctl.io.ifc_region_acc_fault_bf @[ifu.scala 99:38] + mem_ctl.io.ifc_dma_access_ok <= ifc_ctl.io.ifc_dma_access_ok @[ifu.scala 100:32] + mem_ctl.io.ifu_bp_hit_taken_f <= bp_ctl.io.ifu_bp_hit_taken_f @[ifu.scala 101:33] + mem_ctl.io.ifu_bp_inst_mask_f <= bp_ctl.io.ifu_bp_inst_mask_f @[ifu.scala 102:33] + mem_ctl.io.ifu_axi.r.bits.last <= io.ifu.r.bits.last @[ifu.scala 103:22] + mem_ctl.io.ifu_axi.r.bits.resp <= io.ifu.r.bits.resp @[ifu.scala 103:22] + mem_ctl.io.ifu_axi.r.bits.data <= io.ifu.r.bits.data @[ifu.scala 103:22] + mem_ctl.io.ifu_axi.r.bits.id <= io.ifu.r.bits.id @[ifu.scala 103:22] + mem_ctl.io.ifu_axi.r.valid <= io.ifu.r.valid @[ifu.scala 103:22] + io.ifu.r.ready <= mem_ctl.io.ifu_axi.r.ready @[ifu.scala 103:22] + io.ifu.ar.bits.qos <= mem_ctl.io.ifu_axi.ar.bits.qos @[ifu.scala 103:22] + io.ifu.ar.bits.prot <= mem_ctl.io.ifu_axi.ar.bits.prot @[ifu.scala 103:22] + io.ifu.ar.bits.cache <= mem_ctl.io.ifu_axi.ar.bits.cache @[ifu.scala 103:22] + io.ifu.ar.bits.lock <= mem_ctl.io.ifu_axi.ar.bits.lock @[ifu.scala 103:22] + io.ifu.ar.bits.burst <= mem_ctl.io.ifu_axi.ar.bits.burst @[ifu.scala 103:22] + io.ifu.ar.bits.size <= mem_ctl.io.ifu_axi.ar.bits.size @[ifu.scala 103:22] + io.ifu.ar.bits.len <= mem_ctl.io.ifu_axi.ar.bits.len @[ifu.scala 103:22] + io.ifu.ar.bits.region <= mem_ctl.io.ifu_axi.ar.bits.region @[ifu.scala 103:22] + io.ifu.ar.bits.addr <= mem_ctl.io.ifu_axi.ar.bits.addr @[ifu.scala 103:22] + io.ifu.ar.bits.id <= mem_ctl.io.ifu_axi.ar.bits.id @[ifu.scala 103:22] + io.ifu.ar.valid <= mem_ctl.io.ifu_axi.ar.valid @[ifu.scala 103:22] + mem_ctl.io.ifu_axi.ar.ready <= io.ifu.ar.ready @[ifu.scala 103:22] + mem_ctl.io.ifu_axi.b.bits.id <= io.ifu.b.bits.id @[ifu.scala 103:22] + mem_ctl.io.ifu_axi.b.bits.resp <= io.ifu.b.bits.resp @[ifu.scala 103:22] + mem_ctl.io.ifu_axi.b.valid <= io.ifu.b.valid @[ifu.scala 103:22] + io.ifu.b.ready <= mem_ctl.io.ifu_axi.b.ready @[ifu.scala 103:22] + io.ifu.w.bits.last <= mem_ctl.io.ifu_axi.w.bits.last @[ifu.scala 103:22] + io.ifu.w.bits.strb <= mem_ctl.io.ifu_axi.w.bits.strb @[ifu.scala 103:22] + io.ifu.w.bits.data <= mem_ctl.io.ifu_axi.w.bits.data @[ifu.scala 103:22] + io.ifu.w.valid <= mem_ctl.io.ifu_axi.w.valid @[ifu.scala 103:22] + mem_ctl.io.ifu_axi.w.ready <= io.ifu.w.ready @[ifu.scala 103:22] + io.ifu.aw.bits.qos <= mem_ctl.io.ifu_axi.aw.bits.qos @[ifu.scala 103:22] + io.ifu.aw.bits.prot <= mem_ctl.io.ifu_axi.aw.bits.prot @[ifu.scala 103:22] + io.ifu.aw.bits.cache <= mem_ctl.io.ifu_axi.aw.bits.cache @[ifu.scala 103:22] + io.ifu.aw.bits.lock <= mem_ctl.io.ifu_axi.aw.bits.lock @[ifu.scala 103:22] + io.ifu.aw.bits.burst <= mem_ctl.io.ifu_axi.aw.bits.burst @[ifu.scala 103:22] + io.ifu.aw.bits.size <= mem_ctl.io.ifu_axi.aw.bits.size @[ifu.scala 103:22] + io.ifu.aw.bits.len <= mem_ctl.io.ifu_axi.aw.bits.len @[ifu.scala 103:22] + io.ifu.aw.bits.region <= mem_ctl.io.ifu_axi.aw.bits.region @[ifu.scala 103:22] + io.ifu.aw.bits.addr <= mem_ctl.io.ifu_axi.aw.bits.addr @[ifu.scala 103:22] + io.ifu.aw.bits.id <= mem_ctl.io.ifu_axi.aw.bits.id @[ifu.scala 103:22] + io.ifu.aw.valid <= mem_ctl.io.ifu_axi.aw.valid @[ifu.scala 103:22] + mem_ctl.io.ifu_axi.aw.ready <= io.ifu.aw.ready @[ifu.scala 103:22] + mem_ctl.io.ifu_bus_clk_en <= io.ifu_bus_clk_en @[ifu.scala 104:29] + mem_ctl.io.dma_mem_ctl.dma_mem_tag <= io.ifu_dma.dma_mem_ctl.dma_mem_tag @[ifu.scala 105:26] + mem_ctl.io.dma_mem_ctl.dma_mem_wdata <= io.ifu_dma.dma_mem_ctl.dma_mem_wdata @[ifu.scala 105:26] + mem_ctl.io.dma_mem_ctl.dma_mem_write <= io.ifu_dma.dma_mem_ctl.dma_mem_write @[ifu.scala 105:26] + mem_ctl.io.dma_mem_ctl.dma_mem_sz <= io.ifu_dma.dma_mem_ctl.dma_mem_sz @[ifu.scala 105:26] + mem_ctl.io.dma_mem_ctl.dma_mem_addr <= io.ifu_dma.dma_mem_ctl.dma_mem_addr @[ifu.scala 105:26] + mem_ctl.io.dma_mem_ctl.dma_iccm_req <= io.ifu_dma.dma_mem_ctl.dma_iccm_req @[ifu.scala 105:26] + io.ic.sel_premux_data <= mem_ctl.io.ic.sel_premux_data @[ifu.scala 106:17] + io.ic.premux_data <= mem_ctl.io.ic.premux_data @[ifu.scala 106:17] + io.ic.debug_way <= mem_ctl.io.ic.debug_way @[ifu.scala 106:17] + io.ic.debug_tag_array <= mem_ctl.io.ic.debug_tag_array @[ifu.scala 106:17] + io.ic.debug_wr_en <= mem_ctl.io.ic.debug_wr_en @[ifu.scala 106:17] + io.ic.debug_rd_en <= mem_ctl.io.ic.debug_rd_en @[ifu.scala 106:17] + mem_ctl.io.ic.tag_perr <= io.ic.tag_perr @[ifu.scala 106:17] + mem_ctl.io.ic.rd_hit <= io.ic.rd_hit @[ifu.scala 106:17] + mem_ctl.io.ic.parerr <= io.ic.parerr @[ifu.scala 106:17] + mem_ctl.io.ic.eccerr <= io.ic.eccerr @[ifu.scala 106:17] + mem_ctl.io.ic.tag_debug_rd_data <= io.ic.tag_debug_rd_data @[ifu.scala 106:17] + mem_ctl.io.ic.debug_rd_data <= io.ic.debug_rd_data @[ifu.scala 106:17] + mem_ctl.io.ic.rd_data <= io.ic.rd_data @[ifu.scala 106:17] + io.ic.debug_addr <= mem_ctl.io.ic.debug_addr @[ifu.scala 106:17] + io.ic.debug_wr_data <= mem_ctl.io.ic.debug_wr_data @[ifu.scala 106:17] + io.ic.wr_data[0] <= mem_ctl.io.ic.wr_data[0] @[ifu.scala 106:17] + io.ic.wr_data[1] <= mem_ctl.io.ic.wr_data[1] @[ifu.scala 106:17] + io.ic.rd_en <= mem_ctl.io.ic.rd_en @[ifu.scala 106:17] + io.ic.wr_en <= mem_ctl.io.ic.wr_en @[ifu.scala 106:17] + io.ic.tag_valid <= mem_ctl.io.ic.tag_valid @[ifu.scala 106:17] + io.ic.rw_addr <= mem_ctl.io.ic.rw_addr @[ifu.scala 106:17] + mem_ctl.io.iccm.rd_data_ecc <= io.iccm.rd_data_ecc @[ifu.scala 107:19] + mem_ctl.io.iccm.rd_data <= io.iccm.rd_data @[ifu.scala 107:19] + io.iccm.wr_data <= mem_ctl.io.iccm.wr_data @[ifu.scala 107:19] + io.iccm.wr_size <= mem_ctl.io.iccm.wr_size @[ifu.scala 107:19] + io.iccm.rden <= mem_ctl.io.iccm.rden @[ifu.scala 107:19] + io.iccm.wren <= mem_ctl.io.iccm.wren @[ifu.scala 107:19] + io.iccm.correction_state <= mem_ctl.io.iccm.correction_state @[ifu.scala 107:19] + io.iccm.buf_correct_ecc <= mem_ctl.io.iccm.buf_correct_ecc @[ifu.scala 107:19] + io.iccm.rw_addr <= mem_ctl.io.iccm.rw_addr @[ifu.scala 107:19] + mem_ctl.io.ifu_fetch_val <= mem_ctl.io.ic_fetch_val_f @[ifu.scala 108:28] + mem_ctl.io.dec_tlu_flush_lower_wb <= io.dec_tlu_flush_lower_wb @[ifu.scala 109:37] + mem_ctl.io.scan_mode <= io.scan_mode @[ifu.scala 110:24] + io.iccm_dma_ecc_error <= mem_ctl.io.iccm_dma_ecc_error @[ifu.scala 113:25] + io.iccm_dma_rvalid <= mem_ctl.io.iccm_dma_rvalid @[ifu.scala 114:22] + io.iccm_dma_rdata <= mem_ctl.io.iccm_dma_rdata @[ifu.scala 115:21] + io.iccm_dma_rtag <= mem_ctl.io.iccm_dma_rtag @[ifu.scala 116:20] + io.iccm_ready <= mem_ctl.io.iccm_ready @[ifu.scala 117:17] + io.iccm_dma_sb_error <= mem_ctl.io.iccm_dma_sb_error @[ifu.scala 118:24] + diff --git a/ifu.v b/ifu.v new file mode 100644 index 00000000..3ee33785 --- /dev/null +++ b/ifu.v @@ -0,0 +1,45244 @@ +module rvclkhdr( + output io_l1clk, + input io_clk, + input io_en, + input io_scan_mode +); + wire clkhdr_Q; // @[lib.scala 334:26] + wire clkhdr_CK; // @[lib.scala 334:26] + wire clkhdr_EN; // @[lib.scala 334:26] + wire clkhdr_SE; // @[lib.scala 334:26] + gated_latch clkhdr ( // @[lib.scala 334:26] + .Q(clkhdr_Q), + .CK(clkhdr_CK), + .EN(clkhdr_EN), + .SE(clkhdr_SE) + ); + assign io_l1clk = clkhdr_Q; // @[lib.scala 335:14] + assign clkhdr_CK = io_clk; // @[lib.scala 336:18] + assign clkhdr_EN = io_en; // @[lib.scala 337:18] + assign clkhdr_SE = io_scan_mode; // @[lib.scala 338:18] +endmodule +module ifu_mem_ctl( + input clock, + input reset, + input io_free_clk, + input io_active_clk, + input io_exu_flush_final, + input io_dec_mem_ctrl_dec_tlu_flush_err_wb, + input io_dec_mem_ctrl_dec_tlu_i0_commit_cmt, + input io_dec_mem_ctrl_dec_tlu_force_halt, + input io_dec_mem_ctrl_dec_tlu_fence_i_wb, + input [70:0] io_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wrdata, + input [16:0] io_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_dicawics, + input io_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_rd_valid, + input io_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wr_valid, + input io_dec_mem_ctrl_dec_tlu_core_ecc_disable, + output io_dec_mem_ctrl_ifu_pmu_ic_miss, + output io_dec_mem_ctrl_ifu_pmu_ic_hit, + output io_dec_mem_ctrl_ifu_pmu_bus_error, + output io_dec_mem_ctrl_ifu_pmu_bus_busy, + output io_dec_mem_ctrl_ifu_pmu_bus_trxn, + output io_dec_mem_ctrl_ifu_ic_error_start, + output io_dec_mem_ctrl_ifu_iccm_rd_ecc_single_err, + output [70:0] io_dec_mem_ctrl_ifu_ic_debug_rd_data, + output io_dec_mem_ctrl_ifu_ic_debug_rd_data_valid, + output io_dec_mem_ctrl_ifu_miss_state_idle, + input [30:0] io_ifc_fetch_addr_bf, + input io_ifc_fetch_uncacheable_bf, + input io_ifc_fetch_req_bf, + input io_ifc_fetch_req_bf_raw, + input io_ifc_iccm_access_bf, + input io_ifc_region_acc_fault_bf, + input io_ifc_dma_access_ok, + input io_ifu_bp_hit_taken_f, + input io_ifu_bp_inst_mask_f, + input io_ifu_axi_ar_ready, + output io_ifu_axi_ar_valid, + output [2:0] io_ifu_axi_ar_bits_id, + output [31:0] io_ifu_axi_ar_bits_addr, + output [3:0] io_ifu_axi_ar_bits_region, + output io_ifu_axi_r_ready, + input io_ifu_axi_r_valid, + input [2:0] io_ifu_axi_r_bits_id, + input [63:0] io_ifu_axi_r_bits_data, + input [1:0] io_ifu_axi_r_bits_resp, + input io_ifu_bus_clk_en, + input io_dma_mem_ctl_dma_iccm_req, + input [31:0] io_dma_mem_ctl_dma_mem_addr, + input [2:0] io_dma_mem_ctl_dma_mem_sz, + input io_dma_mem_ctl_dma_mem_write, + input [63:0] io_dma_mem_ctl_dma_mem_wdata, + input [2:0] io_dma_mem_ctl_dma_mem_tag, + output [14:0] io_iccm_rw_addr, + output io_iccm_buf_correct_ecc, + output io_iccm_correction_state, + output io_iccm_wren, + output io_iccm_rden, + output [2:0] io_iccm_wr_size, + output [77:0] io_iccm_wr_data, + input [63:0] io_iccm_rd_data, + input [77:0] io_iccm_rd_data_ecc, + output [30:0] io_ic_rw_addr, + output [1:0] io_ic_tag_valid, + output [1:0] io_ic_wr_en, + output io_ic_rd_en, + output [70:0] io_ic_wr_data_0, + output [70:0] io_ic_wr_data_1, + output [70:0] io_ic_debug_wr_data, + output [9:0] io_ic_debug_addr, + input [63:0] io_ic_rd_data, + input [70:0] io_ic_debug_rd_data, + input [25:0] io_ic_tag_debug_rd_data, + input [1:0] io_ic_eccerr, + input [1:0] io_ic_rd_hit, + input io_ic_tag_perr, + output io_ic_debug_rd_en, + output io_ic_debug_wr_en, + output io_ic_debug_tag_array, + output [1:0] io_ic_debug_way, + output [63:0] io_ic_premux_data, + output io_ic_sel_premux_data, + input [1:0] io_ifu_fetch_val, + output io_ifu_ic_mb_empty, + output io_ic_dma_active, + output io_ic_write_stall, + output io_iccm_dma_ecc_error, + output io_iccm_dma_rvalid, + output [63:0] io_iccm_dma_rdata, + output [2:0] io_iccm_dma_rtag, + output io_iccm_ready, + input io_dec_tlu_flush_lower_wb, + output io_iccm_rd_ecc_double_err, + output io_iccm_dma_sb_error, + output io_ic_hit_f, + output io_ic_access_fault_f, + output [1:0] io_ic_access_fault_type_f, + output io_ifu_async_error_start, + output [1:0] io_ic_fetch_val_f, + output [31:0] io_ic_data_f, + input io_scan_mode +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; + reg [31:0] _RAND_11; + reg [31:0] _RAND_12; + reg [31:0] _RAND_13; + reg [31:0] _RAND_14; + reg [31:0] _RAND_15; + reg [31:0] _RAND_16; + reg [31:0] _RAND_17; + reg [31:0] _RAND_18; + reg [31:0] _RAND_19; + reg [31:0] _RAND_20; + reg [31:0] _RAND_21; + reg [31:0] _RAND_22; + reg [31:0] _RAND_23; + reg [31:0] _RAND_24; + reg [31:0] _RAND_25; + reg [31:0] _RAND_26; + reg [31:0] _RAND_27; + reg [31:0] _RAND_28; + reg [31:0] _RAND_29; + reg [31:0] _RAND_30; + reg [31:0] _RAND_31; + reg [31:0] _RAND_32; + reg [31:0] _RAND_33; + reg [31:0] _RAND_34; + reg [31:0] _RAND_35; + reg [31:0] _RAND_36; + reg [31:0] _RAND_37; + reg [31:0] _RAND_38; + reg [31:0] _RAND_39; + reg [31:0] _RAND_40; + reg [31:0] _RAND_41; + reg [31:0] _RAND_42; + reg [31:0] _RAND_43; + reg [31:0] _RAND_44; + reg [31:0] _RAND_45; + reg [31:0] _RAND_46; + reg [31:0] _RAND_47; + reg [31:0] _RAND_48; + reg [31:0] _RAND_49; + reg [31:0] _RAND_50; + reg [31:0] _RAND_51; + reg [31:0] _RAND_52; + reg [31:0] _RAND_53; + reg [31:0] _RAND_54; + reg [31:0] _RAND_55; + reg [31:0] _RAND_56; + reg [31:0] _RAND_57; + reg [31:0] _RAND_58; + reg [31:0] _RAND_59; + reg [31:0] _RAND_60; + reg [31:0] _RAND_61; + reg [31:0] _RAND_62; + reg [31:0] _RAND_63; + reg [31:0] _RAND_64; + reg [31:0] _RAND_65; + reg [31:0] _RAND_66; + reg [31:0] _RAND_67; + reg [31:0] _RAND_68; + reg [31:0] _RAND_69; + reg [31:0] _RAND_70; + reg [31:0] _RAND_71; + reg [31:0] _RAND_72; + reg [31:0] _RAND_73; + reg [31:0] _RAND_74; + reg [31:0] _RAND_75; + reg [31:0] _RAND_76; + reg [31:0] _RAND_77; + reg [31:0] _RAND_78; + reg [31:0] _RAND_79; + reg [31:0] _RAND_80; + reg [31:0] _RAND_81; + reg [31:0] _RAND_82; + reg [31:0] _RAND_83; + reg [31:0] _RAND_84; + reg [31:0] _RAND_85; + reg [31:0] _RAND_86; + reg [31:0] _RAND_87; + reg [31:0] _RAND_88; + reg [31:0] _RAND_89; + reg [31:0] _RAND_90; + reg [31:0] _RAND_91; + reg [31:0] _RAND_92; + reg [31:0] _RAND_93; + reg [31:0] _RAND_94; + reg [31:0] _RAND_95; + reg [31:0] _RAND_96; + reg [31:0] _RAND_97; + reg [31:0] _RAND_98; + reg [31:0] _RAND_99; + reg [31:0] _RAND_100; + reg [31:0] _RAND_101; + reg [31:0] _RAND_102; + reg [31:0] _RAND_103; + reg [31:0] _RAND_104; + reg [31:0] _RAND_105; + reg [31:0] _RAND_106; + reg [31:0] _RAND_107; + reg [31:0] _RAND_108; + reg [31:0] _RAND_109; + reg [31:0] _RAND_110; + reg [31:0] _RAND_111; + reg [31:0] _RAND_112; + reg [31:0] _RAND_113; + reg [31:0] _RAND_114; + reg [31:0] _RAND_115; + reg [31:0] _RAND_116; + reg [31:0] _RAND_117; + reg [31:0] _RAND_118; + reg [31:0] _RAND_119; + reg [31:0] _RAND_120; + reg [31:0] _RAND_121; + reg [31:0] _RAND_122; + reg [31:0] _RAND_123; + reg [31:0] _RAND_124; + reg [31:0] _RAND_125; + reg [31:0] _RAND_126; + reg [31:0] _RAND_127; + reg [31:0] _RAND_128; + reg [31:0] _RAND_129; + reg [31:0] _RAND_130; + reg [31:0] _RAND_131; + reg [31:0] _RAND_132; + reg [31:0] _RAND_133; + reg [31:0] _RAND_134; + reg [31:0] _RAND_135; + reg [31:0] _RAND_136; + reg [31:0] _RAND_137; + reg [31:0] _RAND_138; + reg [31:0] _RAND_139; + reg [31:0] _RAND_140; + reg [31:0] _RAND_141; + reg [31:0] _RAND_142; + reg [31:0] _RAND_143; + reg [31:0] _RAND_144; + reg [31:0] _RAND_145; + reg [31:0] _RAND_146; + reg [31:0] _RAND_147; + reg [31:0] _RAND_148; + reg [31:0] _RAND_149; + reg [31:0] _RAND_150; + reg [31:0] _RAND_151; + reg [31:0] _RAND_152; + reg [31:0] _RAND_153; + reg [31:0] _RAND_154; + reg [31:0] _RAND_155; + reg [31:0] _RAND_156; + reg [31:0] _RAND_157; + reg [31:0] _RAND_158; + reg [31:0] _RAND_159; + reg [31:0] _RAND_160; + reg [31:0] _RAND_161; + reg [31:0] _RAND_162; + reg [31:0] _RAND_163; + reg [63:0] _RAND_164; + reg [31:0] _RAND_165; + reg [31:0] _RAND_166; + reg [31:0] _RAND_167; + reg [31:0] _RAND_168; + reg [31:0] _RAND_169; + reg [31:0] _RAND_170; + reg [31:0] _RAND_171; + reg [31:0] _RAND_172; + reg [31:0] _RAND_173; + reg [31:0] _RAND_174; + reg [31:0] _RAND_175; + reg [31:0] _RAND_176; + reg [31:0] _RAND_177; + reg [31:0] _RAND_178; + reg [31:0] _RAND_179; + reg [31:0] _RAND_180; + reg [31:0] _RAND_181; + reg [31:0] _RAND_182; + reg [31:0] _RAND_183; + reg [31:0] _RAND_184; + reg [31:0] _RAND_185; + reg [31:0] _RAND_186; + reg [31:0] _RAND_187; + reg [31:0] _RAND_188; + reg [31:0] _RAND_189; + reg [31:0] _RAND_190; + reg [31:0] _RAND_191; + reg [31:0] _RAND_192; + reg [31:0] _RAND_193; + reg [31:0] _RAND_194; + reg [31:0] _RAND_195; + reg [31:0] _RAND_196; + reg [31:0] _RAND_197; + reg [31:0] _RAND_198; + reg [31:0] _RAND_199; + reg [31:0] _RAND_200; + reg [31:0] _RAND_201; + reg [31:0] _RAND_202; + reg [31:0] _RAND_203; + reg [31:0] _RAND_204; + reg [31:0] _RAND_205; + reg [31:0] _RAND_206; + reg [31:0] _RAND_207; + reg [31:0] _RAND_208; + reg [31:0] _RAND_209; + reg [31:0] _RAND_210; + reg [31:0] _RAND_211; + reg [31:0] _RAND_212; + reg [31:0] _RAND_213; + reg [31:0] _RAND_214; + reg [31:0] _RAND_215; + reg [31:0] _RAND_216; + reg [31:0] _RAND_217; + reg [31:0] _RAND_218; + reg [31:0] _RAND_219; + reg [31:0] _RAND_220; + reg [31:0] _RAND_221; + reg [31:0] _RAND_222; + reg [31:0] _RAND_223; + reg [31:0] _RAND_224; + reg [31:0] _RAND_225; + reg [31:0] _RAND_226; + reg [31:0] _RAND_227; + reg [31:0] _RAND_228; + reg [31:0] _RAND_229; + reg [31:0] _RAND_230; + reg [31:0] _RAND_231; + reg [31:0] _RAND_232; + reg [31:0] _RAND_233; + reg [31:0] _RAND_234; + reg [31:0] _RAND_235; + reg [31:0] _RAND_236; + reg [31:0] _RAND_237; + reg [31:0] _RAND_238; + reg [31:0] _RAND_239; + reg [31:0] _RAND_240; + reg [31:0] _RAND_241; + reg [31:0] _RAND_242; + reg [31:0] _RAND_243; + reg [31:0] _RAND_244; + reg [31:0] _RAND_245; + reg [31:0] _RAND_246; + reg [31:0] _RAND_247; + reg [31:0] _RAND_248; + reg [31:0] _RAND_249; + reg [31:0] _RAND_250; + reg [31:0] _RAND_251; + reg [31:0] _RAND_252; + reg [31:0] _RAND_253; + reg [31:0] _RAND_254; + reg [31:0] _RAND_255; + reg [31:0] _RAND_256; + reg [31:0] _RAND_257; + reg [31:0] _RAND_258; + reg [31:0] _RAND_259; + reg [31:0] _RAND_260; + reg [31:0] _RAND_261; + reg [31:0] _RAND_262; + reg [31:0] _RAND_263; + reg [31:0] _RAND_264; + reg [31:0] _RAND_265; + reg [31:0] _RAND_266; + reg [31:0] _RAND_267; + reg [31:0] _RAND_268; + reg [31:0] _RAND_269; + reg [31:0] _RAND_270; + reg [31:0] _RAND_271; + reg [31:0] _RAND_272; + reg [31:0] _RAND_273; + reg [31:0] _RAND_274; + reg [31:0] _RAND_275; + reg [31:0] _RAND_276; + reg [31:0] _RAND_277; + reg [31:0] _RAND_278; + reg [31:0] _RAND_279; + reg [31:0] _RAND_280; + reg [31:0] _RAND_281; + reg [31:0] _RAND_282; + reg [31:0] _RAND_283; + reg [31:0] _RAND_284; + reg [31:0] _RAND_285; + reg [31:0] _RAND_286; + reg [31:0] _RAND_287; + reg [31:0] _RAND_288; + reg [31:0] _RAND_289; + reg [31:0] _RAND_290; + reg [31:0] _RAND_291; + reg [31:0] _RAND_292; + reg [31:0] _RAND_293; + reg [31:0] _RAND_294; + reg [31:0] _RAND_295; + reg [31:0] _RAND_296; + reg [31:0] _RAND_297; + reg [31:0] _RAND_298; + reg [31:0] _RAND_299; + reg [31:0] _RAND_300; + reg [31:0] _RAND_301; + reg [31:0] _RAND_302; + reg [31:0] _RAND_303; + reg [31:0] _RAND_304; + reg [31:0] _RAND_305; + reg [31:0] _RAND_306; + reg [31:0] _RAND_307; + reg [31:0] _RAND_308; + reg [31:0] _RAND_309; + reg [31:0] _RAND_310; + reg [31:0] _RAND_311; + reg [31:0] _RAND_312; + reg [31:0] _RAND_313; + reg [31:0] _RAND_314; + reg [31:0] _RAND_315; + reg [31:0] _RAND_316; + reg [31:0] _RAND_317; + reg [31:0] _RAND_318; + reg [31:0] _RAND_319; + reg [31:0] _RAND_320; + reg [31:0] _RAND_321; + reg [31:0] _RAND_322; + reg [31:0] _RAND_323; + reg [31:0] _RAND_324; + reg [31:0] _RAND_325; + reg [31:0] _RAND_326; + reg [31:0] _RAND_327; + reg [31:0] _RAND_328; + reg [31:0] _RAND_329; + reg [31:0] _RAND_330; + reg [31:0] _RAND_331; + reg [31:0] _RAND_332; + reg [31:0] _RAND_333; + reg [31:0] _RAND_334; + reg [31:0] _RAND_335; + reg [31:0] _RAND_336; + reg [31:0] _RAND_337; + reg [31:0] _RAND_338; + reg [31:0] _RAND_339; + reg [31:0] _RAND_340; + reg [31:0] _RAND_341; + reg [31:0] _RAND_342; + reg [31:0] _RAND_343; + reg [31:0] _RAND_344; + reg [31:0] _RAND_345; + reg [31:0] _RAND_346; + reg [31:0] _RAND_347; + reg [31:0] _RAND_348; + reg [31:0] _RAND_349; + reg [31:0] _RAND_350; + reg [31:0] _RAND_351; + reg [31:0] _RAND_352; + reg [31:0] _RAND_353; + reg [31:0] _RAND_354; + reg [31:0] _RAND_355; + reg [31:0] _RAND_356; + reg [31:0] _RAND_357; + reg [31:0] _RAND_358; + reg [31:0] _RAND_359; + reg [31:0] _RAND_360; + reg [31:0] _RAND_361; + reg [31:0] _RAND_362; + reg [31:0] _RAND_363; + reg [31:0] _RAND_364; + reg [31:0] _RAND_365; + reg [31:0] _RAND_366; + reg [31:0] _RAND_367; + reg [31:0] _RAND_368; + reg [31:0] _RAND_369; + reg [31:0] _RAND_370; + reg [31:0] _RAND_371; + reg [31:0] _RAND_372; + reg [31:0] _RAND_373; + reg [31:0] _RAND_374; + reg [31:0] _RAND_375; + reg [31:0] _RAND_376; + reg [31:0] _RAND_377; + reg [31:0] _RAND_378; + reg [31:0] _RAND_379; + reg [31:0] _RAND_380; + reg [31:0] _RAND_381; + reg [31:0] _RAND_382; + reg [31:0] _RAND_383; + reg [31:0] _RAND_384; + reg [31:0] _RAND_385; + reg [31:0] _RAND_386; + reg [31:0] _RAND_387; + reg [31:0] _RAND_388; + reg [31:0] _RAND_389; + reg [31:0] _RAND_390; + reg [31:0] _RAND_391; + reg [31:0] _RAND_392; + reg [31:0] _RAND_393; + reg [31:0] _RAND_394; + reg [31:0] _RAND_395; + reg [31:0] _RAND_396; + reg [31:0] _RAND_397; + reg [31:0] _RAND_398; + reg [31:0] _RAND_399; + reg [31:0] _RAND_400; + reg [31:0] _RAND_401; + reg [31:0] _RAND_402; + reg [31:0] _RAND_403; + reg [31:0] _RAND_404; + reg [31:0] _RAND_405; + reg [31:0] _RAND_406; + reg [31:0] _RAND_407; + reg [31:0] _RAND_408; + reg [31:0] _RAND_409; + reg [31:0] _RAND_410; + reg [31:0] _RAND_411; + reg [31:0] _RAND_412; + reg [31:0] _RAND_413; + reg [31:0] _RAND_414; + reg [31:0] _RAND_415; + reg [31:0] _RAND_416; + reg [31:0] _RAND_417; + reg [31:0] _RAND_418; + reg [31:0] _RAND_419; + reg [31:0] _RAND_420; + reg [31:0] _RAND_421; + reg [31:0] _RAND_422; + reg [31:0] _RAND_423; + reg [31:0] _RAND_424; + reg [31:0] _RAND_425; + reg [31:0] _RAND_426; + reg [31:0] _RAND_427; + reg [31:0] _RAND_428; + reg [31:0] _RAND_429; + reg [31:0] _RAND_430; + reg [31:0] _RAND_431; + reg [31:0] _RAND_432; + reg [31:0] _RAND_433; + reg [31:0] _RAND_434; + reg [31:0] _RAND_435; + reg [31:0] _RAND_436; + reg [31:0] _RAND_437; + reg [31:0] _RAND_438; + reg [31:0] _RAND_439; + reg [31:0] _RAND_440; + reg [31:0] _RAND_441; + reg [95:0] _RAND_442; + reg [31:0] _RAND_443; + reg [31:0] _RAND_444; + reg [31:0] _RAND_445; + reg [31:0] _RAND_446; + reg [31:0] _RAND_447; + reg [31:0] _RAND_448; + reg [31:0] _RAND_449; + reg [31:0] _RAND_450; + reg [31:0] _RAND_451; + reg [63:0] _RAND_452; + reg [31:0] _RAND_453; + reg [31:0] _RAND_454; + reg [31:0] _RAND_455; + reg [31:0] _RAND_456; + reg [31:0] _RAND_457; + reg [63:0] _RAND_458; + reg [31:0] _RAND_459; + reg [31:0] _RAND_460; + reg [31:0] _RAND_461; + reg [31:0] _RAND_462; + reg [31:0] _RAND_463; + reg [31:0] _RAND_464; + reg [31:0] _RAND_465; + reg [31:0] _RAND_466; + reg [31:0] _RAND_467; + reg [31:0] _RAND_468; + reg [31:0] _RAND_469; + reg [31:0] _RAND_470; + reg [31:0] _RAND_471; + reg [31:0] _RAND_472; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_io_en; // @[lib.scala 343:22] + wire rvclkhdr_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_1_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_1_io_en; // @[lib.scala 343:22] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_2_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_2_io_en; // @[lib.scala 343:22] + wire rvclkhdr_2_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_3_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_3_io_en; // @[lib.scala 343:22] + wire rvclkhdr_3_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_4_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_4_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_4_io_en; // @[lib.scala 343:22] + wire rvclkhdr_4_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_5_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_5_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_5_io_en; // @[lib.scala 343:22] + wire rvclkhdr_5_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_6_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_6_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_6_io_en; // @[lib.scala 343:22] + wire rvclkhdr_6_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_7_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_7_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_7_io_en; // @[lib.scala 343:22] + wire rvclkhdr_7_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_8_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_8_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_8_io_en; // @[lib.scala 343:22] + wire rvclkhdr_8_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_9_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_9_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_9_io_en; // @[lib.scala 343:22] + wire rvclkhdr_9_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_10_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_10_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_10_io_en; // @[lib.scala 343:22] + wire rvclkhdr_10_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_11_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_11_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_11_io_en; // @[lib.scala 343:22] + wire rvclkhdr_11_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_12_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_12_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_12_io_en; // @[lib.scala 343:22] + wire rvclkhdr_12_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_13_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_13_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_13_io_en; // @[lib.scala 343:22] + wire rvclkhdr_13_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_14_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_14_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_14_io_en; // @[lib.scala 343:22] + wire rvclkhdr_14_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_15_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_15_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_15_io_en; // @[lib.scala 343:22] + wire rvclkhdr_15_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_16_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_16_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_16_io_en; // @[lib.scala 343:22] + wire rvclkhdr_16_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_17_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_17_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_17_io_en; // @[lib.scala 343:22] + wire rvclkhdr_17_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_18_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_18_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_18_io_en; // @[lib.scala 343:22] + wire rvclkhdr_18_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_19_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_19_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_19_io_en; // @[lib.scala 343:22] + wire rvclkhdr_19_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_20_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_20_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_20_io_en; // @[lib.scala 343:22] + wire rvclkhdr_20_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_21_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_21_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_21_io_en; // @[lib.scala 343:22] + wire rvclkhdr_21_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_22_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_22_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_22_io_en; // @[lib.scala 343:22] + wire rvclkhdr_22_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_23_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_23_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_23_io_en; // @[lib.scala 343:22] + wire rvclkhdr_23_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_24_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_24_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_24_io_en; // @[lib.scala 343:22] + wire rvclkhdr_24_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_25_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_25_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_25_io_en; // @[lib.scala 343:22] + wire rvclkhdr_25_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_26_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_26_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_26_io_en; // @[lib.scala 343:22] + wire rvclkhdr_26_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_27_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_27_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_27_io_en; // @[lib.scala 343:22] + wire rvclkhdr_27_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_28_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_28_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_28_io_en; // @[lib.scala 343:22] + wire rvclkhdr_28_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_29_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_29_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_29_io_en; // @[lib.scala 343:22] + wire rvclkhdr_29_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_30_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_30_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_30_io_en; // @[lib.scala 343:22] + wire rvclkhdr_30_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_31_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_31_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_31_io_en; // @[lib.scala 343:22] + wire rvclkhdr_31_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_32_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_32_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_32_io_en; // @[lib.scala 343:22] + wire rvclkhdr_32_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_33_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_33_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_33_io_en; // @[lib.scala 343:22] + wire rvclkhdr_33_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_34_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_34_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_34_io_en; // @[lib.scala 343:22] + wire rvclkhdr_34_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_35_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_35_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_35_io_en; // @[lib.scala 343:22] + wire rvclkhdr_35_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_36_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_36_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_36_io_en; // @[lib.scala 343:22] + wire rvclkhdr_36_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_37_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_37_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_37_io_en; // @[lib.scala 343:22] + wire rvclkhdr_37_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_38_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_38_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_38_io_en; // @[lib.scala 343:22] + wire rvclkhdr_38_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_39_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_39_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_39_io_en; // @[lib.scala 343:22] + wire rvclkhdr_39_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_40_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_40_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_40_io_en; // @[lib.scala 343:22] + wire rvclkhdr_40_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_41_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_41_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_41_io_en; // @[lib.scala 343:22] + wire rvclkhdr_41_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_42_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_42_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_42_io_en; // @[lib.scala 343:22] + wire rvclkhdr_42_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_43_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_43_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_43_io_en; // @[lib.scala 343:22] + wire rvclkhdr_43_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_44_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_44_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_44_io_en; // @[lib.scala 343:22] + wire rvclkhdr_44_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_45_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_45_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_45_io_en; // @[lib.scala 343:22] + wire rvclkhdr_45_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_46_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_46_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_46_io_en; // @[lib.scala 343:22] + wire rvclkhdr_46_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_47_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_47_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_47_io_en; // @[lib.scala 343:22] + wire rvclkhdr_47_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_48_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_48_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_48_io_en; // @[lib.scala 343:22] + wire rvclkhdr_48_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_49_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_49_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_49_io_en; // @[lib.scala 343:22] + wire rvclkhdr_49_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_50_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_50_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_50_io_en; // @[lib.scala 343:22] + wire rvclkhdr_50_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_51_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_51_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_51_io_en; // @[lib.scala 343:22] + wire rvclkhdr_51_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_52_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_52_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_52_io_en; // @[lib.scala 343:22] + wire rvclkhdr_52_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_53_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_53_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_53_io_en; // @[lib.scala 343:22] + wire rvclkhdr_53_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_54_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_54_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_54_io_en; // @[lib.scala 343:22] + wire rvclkhdr_54_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_55_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_55_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_55_io_en; // @[lib.scala 343:22] + wire rvclkhdr_55_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_56_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_56_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_56_io_en; // @[lib.scala 343:22] + wire rvclkhdr_56_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_57_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_57_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_57_io_en; // @[lib.scala 343:22] + wire rvclkhdr_57_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_58_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_58_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_58_io_en; // @[lib.scala 343:22] + wire rvclkhdr_58_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_59_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_59_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_59_io_en; // @[lib.scala 343:22] + wire rvclkhdr_59_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_60_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_60_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_60_io_en; // @[lib.scala 343:22] + wire rvclkhdr_60_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_61_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_61_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_61_io_en; // @[lib.scala 343:22] + wire rvclkhdr_61_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_62_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_62_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_62_io_en; // @[lib.scala 343:22] + wire rvclkhdr_62_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_63_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_63_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_63_io_en; // @[lib.scala 343:22] + wire rvclkhdr_63_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_64_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_64_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_64_io_en; // @[lib.scala 343:22] + wire rvclkhdr_64_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_65_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_65_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_65_io_en; // @[lib.scala 343:22] + wire rvclkhdr_65_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_66_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_66_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_66_io_en; // @[lib.scala 343:22] + wire rvclkhdr_66_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_67_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_67_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_67_io_en; // @[lib.scala 343:22] + wire rvclkhdr_67_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_68_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_68_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_68_io_en; // @[lib.scala 343:22] + wire rvclkhdr_68_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_69_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_69_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_69_io_en; // @[lib.scala 343:22] + wire rvclkhdr_69_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_70_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_70_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_70_io_en; // @[lib.scala 343:22] + wire rvclkhdr_70_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_71_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_71_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_71_io_en; // @[lib.scala 343:22] + wire rvclkhdr_71_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_72_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_72_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_72_io_en; // @[lib.scala 343:22] + wire rvclkhdr_72_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_73_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_73_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_73_io_en; // @[lib.scala 343:22] + wire rvclkhdr_73_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_74_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_74_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_74_io_en; // @[lib.scala 343:22] + wire rvclkhdr_74_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_75_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_75_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_75_io_en; // @[lib.scala 343:22] + wire rvclkhdr_75_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_76_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_76_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_76_io_en; // @[lib.scala 343:22] + wire rvclkhdr_76_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_77_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_77_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_77_io_en; // @[lib.scala 343:22] + wire rvclkhdr_77_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_78_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_78_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_78_io_en; // @[lib.scala 343:22] + wire rvclkhdr_78_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_79_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_79_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_79_io_en; // @[lib.scala 343:22] + wire rvclkhdr_79_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_80_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_80_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_80_io_en; // @[lib.scala 343:22] + wire rvclkhdr_80_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_81_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_81_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_81_io_en; // @[lib.scala 343:22] + wire rvclkhdr_81_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_82_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_82_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_82_io_en; // @[lib.scala 343:22] + wire rvclkhdr_82_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_83_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_83_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_83_io_en; // @[lib.scala 343:22] + wire rvclkhdr_83_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_84_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_84_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_84_io_en; // @[lib.scala 343:22] + wire rvclkhdr_84_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_85_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_85_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_85_io_en; // @[lib.scala 343:22] + wire rvclkhdr_85_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_86_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_86_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_86_io_en; // @[lib.scala 343:22] + wire rvclkhdr_86_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_87_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_87_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_87_io_en; // @[lib.scala 343:22] + wire rvclkhdr_87_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_88_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_88_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_88_io_en; // @[lib.scala 343:22] + wire rvclkhdr_88_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_89_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_89_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_89_io_en; // @[lib.scala 343:22] + wire rvclkhdr_89_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_90_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_90_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_90_io_en; // @[lib.scala 343:22] + wire rvclkhdr_90_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_91_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_91_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_91_io_en; // @[lib.scala 343:22] + wire rvclkhdr_91_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_92_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_92_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_92_io_en; // @[lib.scala 343:22] + wire rvclkhdr_92_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_93_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_93_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_93_io_en; // @[lib.scala 343:22] + wire rvclkhdr_93_io_scan_mode; // @[lib.scala 343:22] + reg flush_final_f; // @[ifu_mem_ctl.scala 90:53] + reg ifc_fetch_req_f_raw; // @[ifu_mem_ctl.scala 227:61] + wire _T_319 = ~io_exu_flush_final; // @[ifu_mem_ctl.scala 228:44] + wire ifc_fetch_req_f = ifc_fetch_req_f_raw & _T_319; // @[ifu_mem_ctl.scala 228:42] + wire _T = io_ifc_fetch_req_bf_raw | ifc_fetch_req_f; // @[ifu_mem_ctl.scala 91:53] + reg [2:0] miss_state; // @[Reg.scala 27:20] + wire miss_pending = miss_state != 3'h0; // @[ifu_mem_ctl.scala 159:30] + wire _T_1 = _T | miss_pending; // @[ifu_mem_ctl.scala 91:71] + wire _T_2 = _T_1 | io_exu_flush_final; // @[ifu_mem_ctl.scala 91:86] + reg scnd_miss_req_q; // @[ifu_mem_ctl.scala 464:52] + wire scnd_miss_req = scnd_miss_req_q & _T_319; // @[ifu_mem_ctl.scala 466:36] + wire debug_c1_clken = io_ic_debug_rd_en | io_ic_debug_wr_en; // @[ifu_mem_ctl.scala 92:42] + wire [3:0] ic_fetch_val_int_f = {2'h0,io_ic_fetch_val_f}; // @[Cat.scala 29:58] + reg [30:0] ifu_fetch_addr_int_f; // @[ifu_mem_ctl.scala 214:63] + wire [4:0] _GEN_435 = {{1'd0}, ic_fetch_val_int_f}; // @[ifu_mem_ctl.scala 602:53] + wire [4:0] ic_fetch_val_shift_right = _GEN_435 << ifu_fetch_addr_int_f[0]; // @[ifu_mem_ctl.scala 602:53] + wire _T_3129 = |ic_fetch_val_shift_right[3:2]; // @[ifu_mem_ctl.scala 605:91] + wire _T_3131 = _T_3129 & _T_319; // @[ifu_mem_ctl.scala 605:95] + reg ifc_iccm_access_f; // @[ifu_mem_ctl.scala 229:60] + wire fetch_req_iccm_f = ifc_fetch_req_f & ifc_iccm_access_f; // @[ifu_mem_ctl.scala 181:46] + wire _T_3132 = _T_3131 & fetch_req_iccm_f; // @[ifu_mem_ctl.scala 605:117] + reg iccm_dma_rvalid_in; // @[ifu_mem_ctl.scala 591:59] + wire _T_3133 = _T_3132 | iccm_dma_rvalid_in; // @[ifu_mem_ctl.scala 605:134] + wire _T_3134 = ~io_dec_mem_ctrl_dec_tlu_core_ecc_disable; // @[ifu_mem_ctl.scala 605:158] + wire _T_3135 = _T_3133 & _T_3134; // @[ifu_mem_ctl.scala 605:156] + wire _T_3121 = |ic_fetch_val_shift_right[1:0]; // @[ifu_mem_ctl.scala 605:91] + wire _T_3123 = _T_3121 & _T_319; // @[ifu_mem_ctl.scala 605:95] + wire _T_3124 = _T_3123 & fetch_req_iccm_f; // @[ifu_mem_ctl.scala 605:117] + wire _T_3125 = _T_3124 | iccm_dma_rvalid_in; // @[ifu_mem_ctl.scala 605:134] + wire _T_3127 = _T_3125 & _T_3134; // @[ifu_mem_ctl.scala 605:156] + wire [1:0] iccm_ecc_word_enable = {_T_3135,_T_3127}; // @[Cat.scala 29:58] + wire _T_3620 = ^io_iccm_rd_data_ecc[70:39]; // @[lib.scala 193:30] + wire _T_3621 = ^io_iccm_rd_data_ecc[77:71]; // @[lib.scala 193:44] + wire _T_3622 = _T_3620 ^ _T_3621; // @[lib.scala 193:35] + wire [5:0] _T_3630 = {io_iccm_rd_data_ecc[70],io_iccm_rd_data_ecc[69],io_iccm_rd_data_ecc[68],io_iccm_rd_data_ecc[67],io_iccm_rd_data_ecc[66],io_iccm_rd_data_ecc[65]}; // @[lib.scala 193:76] + wire _T_3631 = ^_T_3630; // @[lib.scala 193:83] + wire _T_3632 = io_iccm_rd_data_ecc[76] ^ _T_3631; // @[lib.scala 193:71] + wire [6:0] _T_3639 = {io_iccm_rd_data_ecc[56],io_iccm_rd_data_ecc[55],io_iccm_rd_data_ecc[54],io_iccm_rd_data_ecc[53],io_iccm_rd_data_ecc[52],io_iccm_rd_data_ecc[51],io_iccm_rd_data_ecc[50]}; // @[lib.scala 193:103] + wire [14:0] _T_3647 = {io_iccm_rd_data_ecc[64],io_iccm_rd_data_ecc[63],io_iccm_rd_data_ecc[62],io_iccm_rd_data_ecc[61],io_iccm_rd_data_ecc[60],io_iccm_rd_data_ecc[59],io_iccm_rd_data_ecc[58],io_iccm_rd_data_ecc[57],_T_3639}; // @[lib.scala 193:103] + wire _T_3648 = ^_T_3647; // @[lib.scala 193:110] + wire _T_3649 = io_iccm_rd_data_ecc[75] ^ _T_3648; // @[lib.scala 193:98] + wire [6:0] _T_3656 = {io_iccm_rd_data_ecc[49],io_iccm_rd_data_ecc[48],io_iccm_rd_data_ecc[47],io_iccm_rd_data_ecc[46],io_iccm_rd_data_ecc[45],io_iccm_rd_data_ecc[44],io_iccm_rd_data_ecc[43]}; // @[lib.scala 193:130] + wire [14:0] _T_3664 = {io_iccm_rd_data_ecc[64],io_iccm_rd_data_ecc[63],io_iccm_rd_data_ecc[62],io_iccm_rd_data_ecc[61],io_iccm_rd_data_ecc[60],io_iccm_rd_data_ecc[59],io_iccm_rd_data_ecc[58],io_iccm_rd_data_ecc[57],_T_3656}; // @[lib.scala 193:130] + wire _T_3665 = ^_T_3664; // @[lib.scala 193:137] + wire _T_3666 = io_iccm_rd_data_ecc[74] ^ _T_3665; // @[lib.scala 193:125] + wire [8:0] _T_3675 = {io_iccm_rd_data_ecc[54],io_iccm_rd_data_ecc[53],io_iccm_rd_data_ecc[49],io_iccm_rd_data_ecc[48],io_iccm_rd_data_ecc[47],io_iccm_rd_data_ecc[46],io_iccm_rd_data_ecc[42],io_iccm_rd_data_ecc[41],io_iccm_rd_data_ecc[40]}; // @[lib.scala 193:157] + wire [17:0] _T_3684 = {io_iccm_rd_data_ecc[70],io_iccm_rd_data_ecc[69],io_iccm_rd_data_ecc[68],io_iccm_rd_data_ecc[64],io_iccm_rd_data_ecc[63],io_iccm_rd_data_ecc[62],io_iccm_rd_data_ecc[61],io_iccm_rd_data_ecc[56],io_iccm_rd_data_ecc[55],_T_3675}; // @[lib.scala 193:157] + wire _T_3685 = ^_T_3684; // @[lib.scala 193:164] + wire _T_3686 = io_iccm_rd_data_ecc[73] ^ _T_3685; // @[lib.scala 193:152] + wire [8:0] _T_3695 = {io_iccm_rd_data_ecc[52],io_iccm_rd_data_ecc[51],io_iccm_rd_data_ecc[49],io_iccm_rd_data_ecc[48],io_iccm_rd_data_ecc[45],io_iccm_rd_data_ecc[44],io_iccm_rd_data_ecc[42],io_iccm_rd_data_ecc[41],io_iccm_rd_data_ecc[39]}; // @[lib.scala 193:184] + wire [17:0] _T_3704 = {io_iccm_rd_data_ecc[70],io_iccm_rd_data_ecc[67],io_iccm_rd_data_ecc[66],io_iccm_rd_data_ecc[64],io_iccm_rd_data_ecc[63],io_iccm_rd_data_ecc[60],io_iccm_rd_data_ecc[59],io_iccm_rd_data_ecc[56],io_iccm_rd_data_ecc[55],_T_3695}; // @[lib.scala 193:184] + wire _T_3705 = ^_T_3704; // @[lib.scala 193:191] + wire _T_3706 = io_iccm_rd_data_ecc[72] ^ _T_3705; // @[lib.scala 193:179] + wire [8:0] _T_3715 = {io_iccm_rd_data_ecc[52],io_iccm_rd_data_ecc[50],io_iccm_rd_data_ecc[49],io_iccm_rd_data_ecc[47],io_iccm_rd_data_ecc[45],io_iccm_rd_data_ecc[43],io_iccm_rd_data_ecc[42],io_iccm_rd_data_ecc[40],io_iccm_rd_data_ecc[39]}; // @[lib.scala 193:211] + wire [17:0] _T_3724 = {io_iccm_rd_data_ecc[69],io_iccm_rd_data_ecc[67],io_iccm_rd_data_ecc[65],io_iccm_rd_data_ecc[64],io_iccm_rd_data_ecc[62],io_iccm_rd_data_ecc[60],io_iccm_rd_data_ecc[58],io_iccm_rd_data_ecc[56],io_iccm_rd_data_ecc[54],_T_3715}; // @[lib.scala 193:211] + wire _T_3725 = ^_T_3724; // @[lib.scala 193:218] + wire _T_3726 = io_iccm_rd_data_ecc[71] ^ _T_3725; // @[lib.scala 193:206] + wire [6:0] _T_3732 = {_T_3622,_T_3632,_T_3649,_T_3666,_T_3686,_T_3706,_T_3726}; // @[Cat.scala 29:58] + wire _T_3733 = _T_3732 != 7'h0; // @[lib.scala 194:44] + wire _T_3734 = iccm_ecc_word_enable[1] & _T_3733; // @[lib.scala 194:32] + wire _T_3736 = _T_3734 & _T_3732[6]; // @[lib.scala 194:53] + wire _T_3235 = ^io_iccm_rd_data_ecc[31:0]; // @[lib.scala 193:30] + wire _T_3236 = ^io_iccm_rd_data_ecc[38:32]; // @[lib.scala 193:44] + wire _T_3237 = _T_3235 ^ _T_3236; // @[lib.scala 193:35] + wire [5:0] _T_3245 = {io_iccm_rd_data_ecc[31],io_iccm_rd_data_ecc[30],io_iccm_rd_data_ecc[29],io_iccm_rd_data_ecc[28],io_iccm_rd_data_ecc[27],io_iccm_rd_data_ecc[26]}; // @[lib.scala 193:76] + wire _T_3246 = ^_T_3245; // @[lib.scala 193:83] + wire _T_3247 = io_iccm_rd_data_ecc[37] ^ _T_3246; // @[lib.scala 193:71] + wire [6:0] _T_3254 = {io_iccm_rd_data_ecc[17],io_iccm_rd_data_ecc[16],io_iccm_rd_data_ecc[15],io_iccm_rd_data_ecc[14],io_iccm_rd_data_ecc[13],io_iccm_rd_data_ecc[12],io_iccm_rd_data_ecc[11]}; // @[lib.scala 193:103] + wire [14:0] _T_3262 = {io_iccm_rd_data_ecc[25],io_iccm_rd_data_ecc[24],io_iccm_rd_data_ecc[23],io_iccm_rd_data_ecc[22],io_iccm_rd_data_ecc[21],io_iccm_rd_data_ecc[20],io_iccm_rd_data_ecc[19],io_iccm_rd_data_ecc[18],_T_3254}; // @[lib.scala 193:103] + wire _T_3263 = ^_T_3262; // @[lib.scala 193:110] + wire _T_3264 = io_iccm_rd_data_ecc[36] ^ _T_3263; // @[lib.scala 193:98] + wire [6:0] _T_3271 = {io_iccm_rd_data_ecc[10],io_iccm_rd_data_ecc[9],io_iccm_rd_data_ecc[8],io_iccm_rd_data_ecc[7],io_iccm_rd_data_ecc[6],io_iccm_rd_data_ecc[5],io_iccm_rd_data_ecc[4]}; // @[lib.scala 193:130] + wire [14:0] _T_3279 = {io_iccm_rd_data_ecc[25],io_iccm_rd_data_ecc[24],io_iccm_rd_data_ecc[23],io_iccm_rd_data_ecc[22],io_iccm_rd_data_ecc[21],io_iccm_rd_data_ecc[20],io_iccm_rd_data_ecc[19],io_iccm_rd_data_ecc[18],_T_3271}; // @[lib.scala 193:130] + wire _T_3280 = ^_T_3279; // @[lib.scala 193:137] + wire _T_3281 = io_iccm_rd_data_ecc[35] ^ _T_3280; // @[lib.scala 193:125] + wire [8:0] _T_3290 = {io_iccm_rd_data_ecc[15],io_iccm_rd_data_ecc[14],io_iccm_rd_data_ecc[10],io_iccm_rd_data_ecc[9],io_iccm_rd_data_ecc[8],io_iccm_rd_data_ecc[7],io_iccm_rd_data_ecc[3],io_iccm_rd_data_ecc[2],io_iccm_rd_data_ecc[1]}; // @[lib.scala 193:157] + wire [17:0] _T_3299 = {io_iccm_rd_data_ecc[31],io_iccm_rd_data_ecc[30],io_iccm_rd_data_ecc[29],io_iccm_rd_data_ecc[25],io_iccm_rd_data_ecc[24],io_iccm_rd_data_ecc[23],io_iccm_rd_data_ecc[22],io_iccm_rd_data_ecc[17],io_iccm_rd_data_ecc[16],_T_3290}; // @[lib.scala 193:157] + wire _T_3300 = ^_T_3299; // @[lib.scala 193:164] + wire _T_3301 = io_iccm_rd_data_ecc[34] ^ _T_3300; // @[lib.scala 193:152] + wire [8:0] _T_3310 = {io_iccm_rd_data_ecc[13],io_iccm_rd_data_ecc[12],io_iccm_rd_data_ecc[10],io_iccm_rd_data_ecc[9],io_iccm_rd_data_ecc[6],io_iccm_rd_data_ecc[5],io_iccm_rd_data_ecc[3],io_iccm_rd_data_ecc[2],io_iccm_rd_data_ecc[0]}; // @[lib.scala 193:184] + wire [17:0] _T_3319 = {io_iccm_rd_data_ecc[31],io_iccm_rd_data_ecc[28],io_iccm_rd_data_ecc[27],io_iccm_rd_data_ecc[25],io_iccm_rd_data_ecc[24],io_iccm_rd_data_ecc[21],io_iccm_rd_data_ecc[20],io_iccm_rd_data_ecc[17],io_iccm_rd_data_ecc[16],_T_3310}; // @[lib.scala 193:184] + wire _T_3320 = ^_T_3319; // @[lib.scala 193:191] + wire _T_3321 = io_iccm_rd_data_ecc[33] ^ _T_3320; // @[lib.scala 193:179] + wire [8:0] _T_3330 = {io_iccm_rd_data_ecc[13],io_iccm_rd_data_ecc[11],io_iccm_rd_data_ecc[10],io_iccm_rd_data_ecc[8],io_iccm_rd_data_ecc[6],io_iccm_rd_data_ecc[4],io_iccm_rd_data_ecc[3],io_iccm_rd_data_ecc[1],io_iccm_rd_data_ecc[0]}; // @[lib.scala 193:211] + wire [17:0] _T_3339 = {io_iccm_rd_data_ecc[30],io_iccm_rd_data_ecc[28],io_iccm_rd_data_ecc[26],io_iccm_rd_data_ecc[25],io_iccm_rd_data_ecc[23],io_iccm_rd_data_ecc[21],io_iccm_rd_data_ecc[19],io_iccm_rd_data_ecc[17],io_iccm_rd_data_ecc[15],_T_3330}; // @[lib.scala 193:211] + wire _T_3340 = ^_T_3339; // @[lib.scala 193:218] + wire _T_3341 = io_iccm_rd_data_ecc[32] ^ _T_3340; // @[lib.scala 193:206] + wire [6:0] _T_3347 = {_T_3237,_T_3247,_T_3264,_T_3281,_T_3301,_T_3321,_T_3341}; // @[Cat.scala 29:58] + wire _T_3348 = _T_3347 != 7'h0; // @[lib.scala 194:44] + wire _T_3349 = iccm_ecc_word_enable[0] & _T_3348; // @[lib.scala 194:32] + wire _T_3351 = _T_3349 & _T_3347[6]; // @[lib.scala 194:53] + wire [1:0] iccm_single_ecc_error = {_T_3736,_T_3351}; // @[Cat.scala 29:58] + wire _T_3 = |iccm_single_ecc_error; // @[ifu_mem_ctl.scala 95:52] + reg dma_iccm_req_f; // @[ifu_mem_ctl.scala 568:51] + wire _T_6 = io_dec_mem_ctrl_ifu_iccm_rd_ecc_single_err | io_dec_mem_ctrl_ifu_ic_error_start; // @[ifu_mem_ctl.scala 96:74] + reg [2:0] perr_state; // @[Reg.scala 27:20] + wire _T_7 = perr_state == 3'h4; // @[ifu_mem_ctl.scala 97:54] + wire iccm_correct_ecc = perr_state == 3'h3; // @[ifu_mem_ctl.scala 392:34] + wire _T_8 = iccm_correct_ecc | _T_7; // @[ifu_mem_ctl.scala 97:40] + reg [1:0] err_stop_state; // @[Reg.scala 27:20] + wire _T_9 = err_stop_state == 2'h3; // @[ifu_mem_ctl.scala 97:90] + wire _T_10 = _T_8 | _T_9; // @[ifu_mem_ctl.scala 97:72] + wire _T_2526 = 2'h0 == err_stop_state; // @[Conditional.scala 37:30] + wire _T_2531 = 2'h1 == err_stop_state; // @[Conditional.scala 37:30] + wire _T_2551 = io_ifu_fetch_val == 2'h3; // @[ifu_mem_ctl.scala 441:48] + wire two_byte_instr = io_ic_data_f[1:0] != 2'h3; // @[ifu_mem_ctl.scala 306:42] + wire _T_2553 = io_ifu_fetch_val[0] & two_byte_instr; // @[ifu_mem_ctl.scala 441:79] + wire _T_2554 = _T_2551 | _T_2553; // @[ifu_mem_ctl.scala 441:56] + wire _T_2555 = io_exu_flush_final | io_dec_mem_ctrl_dec_tlu_i0_commit_cmt; // @[ifu_mem_ctl.scala 441:122] + wire _T_2556 = ~_T_2555; // @[ifu_mem_ctl.scala 441:101] + wire _T_2557 = _T_2554 & _T_2556; // @[ifu_mem_ctl.scala 441:99] + wire _T_2558 = 2'h2 == err_stop_state; // @[Conditional.scala 37:30] + wire _T_2572 = io_ifu_fetch_val[0] & _T_319; // @[ifu_mem_ctl.scala 448:45] + wire _T_2573 = ~io_dec_mem_ctrl_dec_tlu_i0_commit_cmt; // @[ifu_mem_ctl.scala 448:69] + wire _T_2574 = _T_2572 & _T_2573; // @[ifu_mem_ctl.scala 448:67] + wire _T_2575 = 2'h3 == err_stop_state; // @[Conditional.scala 37:30] + wire _GEN_37 = _T_2558 ? _T_2574 : _T_2575; // @[Conditional.scala 39:67] + wire _GEN_41 = _T_2531 ? _T_2557 : _GEN_37; // @[Conditional.scala 39:67] + wire err_stop_fetch = _T_2526 ? 1'h0 : _GEN_41; // @[Conditional.scala 40:58] + wire _T_11 = _T_10 | err_stop_fetch; // @[ifu_mem_ctl.scala 97:112] + wire _T_13 = io_ifu_axi_r_valid & io_ifu_bus_clk_en; // @[ifu_mem_ctl.scala 99:44] + wire _T_14 = _T_13 & io_ifu_axi_r_ready; // @[ifu_mem_ctl.scala 99:65] + wire _T_227 = |io_ic_rd_hit; // @[ifu_mem_ctl.scala 189:37] + wire _T_228 = ~_T_227; // @[ifu_mem_ctl.scala 189:23] + reg reset_all_tags; // @[ifu_mem_ctl.scala 637:53] + wire _T_229 = _T_228 | reset_all_tags; // @[ifu_mem_ctl.scala 189:41] + wire _T_207 = ~ifc_iccm_access_f; // @[ifu_mem_ctl.scala 180:48] + wire _T_208 = ifc_fetch_req_f & _T_207; // @[ifu_mem_ctl.scala 180:46] + reg ifc_region_acc_fault_final_f; // @[ifu_mem_ctl.scala 231:71] + wire _T_209 = ~ifc_region_acc_fault_final_f; // @[ifu_mem_ctl.scala 180:69] + wire fetch_req_icache_f = _T_208 & _T_209; // @[ifu_mem_ctl.scala 180:67] + wire _T_230 = _T_229 & fetch_req_icache_f; // @[ifu_mem_ctl.scala 189:59] + wire _T_231 = ~miss_pending; // @[ifu_mem_ctl.scala 189:82] + wire _T_232 = _T_230 & _T_231; // @[ifu_mem_ctl.scala 189:80] + wire _T_233 = _T_232 | scnd_miss_req; // @[ifu_mem_ctl.scala 189:97] + wire ic_act_miss_f = _T_233 & _T_209; // @[ifu_mem_ctl.scala 189:114] + reg ifu_bus_rvalid_unq_ff; // @[ifu_mem_ctl.scala 510:56] + reg bus_ifu_bus_clk_en_ff; // @[ifu_mem_ctl.scala 463:61] + wire ifu_bus_rvalid_ff = ifu_bus_rvalid_unq_ff & bus_ifu_bus_clk_en_ff; // @[ifu_mem_ctl.scala 524:49] + wire bus_ifu_wr_en_ff = ifu_bus_rvalid_ff & miss_pending; // @[ifu_mem_ctl.scala 552:41] + reg uncacheable_miss_ff; // @[ifu_mem_ctl.scala 216:62] + reg [2:0] bus_data_beat_count; // @[ifu_mem_ctl.scala 533:56] + wire _T_2672 = bus_data_beat_count == 3'h1; // @[ifu_mem_ctl.scala 550:69] + wire _T_2673 = &bus_data_beat_count; // @[ifu_mem_ctl.scala 550:101] + wire bus_last_data_beat = uncacheable_miss_ff ? _T_2672 : _T_2673; // @[ifu_mem_ctl.scala 550:28] + wire _T_2624 = bus_ifu_wr_en_ff & bus_last_data_beat; // @[ifu_mem_ctl.scala 529:68] + wire _T_2625 = ic_act_miss_f | _T_2624; // @[ifu_mem_ctl.scala 529:48] + wire bus_reset_data_beat_cnt = _T_2625 | io_dec_mem_ctrl_dec_tlu_force_halt; // @[ifu_mem_ctl.scala 529:91] + wire _T_2621 = ~bus_last_data_beat; // @[ifu_mem_ctl.scala 528:50] + wire _T_2622 = bus_ifu_wr_en_ff & _T_2621; // @[ifu_mem_ctl.scala 528:48] + wire _T_2623 = ~io_dec_mem_ctrl_dec_tlu_force_halt; // @[ifu_mem_ctl.scala 528:72] + wire bus_inc_data_beat_cnt = _T_2622 & _T_2623; // @[ifu_mem_ctl.scala 528:70] + wire [2:0] _T_2629 = bus_data_beat_count + 3'h1; // @[ifu_mem_ctl.scala 532:115] + wire [2:0] _T_2631 = bus_inc_data_beat_cnt ? _T_2629 : 3'h0; // @[Mux.scala 27:72] + wire _T_2626 = ~bus_inc_data_beat_cnt; // @[ifu_mem_ctl.scala 530:32] + wire _T_2627 = ~bus_reset_data_beat_cnt; // @[ifu_mem_ctl.scala 530:57] + wire bus_hold_data_beat_cnt = _T_2626 & _T_2627; // @[ifu_mem_ctl.scala 530:55] + wire [2:0] _T_2632 = bus_hold_data_beat_cnt ? bus_data_beat_count : 3'h0; // @[Mux.scala 27:72] + wire [2:0] bus_new_data_beat_count = _T_2631 | _T_2632; // @[Mux.scala 27:72] + wire _T_15 = &bus_new_data_beat_count; // @[ifu_mem_ctl.scala 99:112] + wire _T_16 = _T_14 & _T_15; // @[ifu_mem_ctl.scala 99:85] + wire _T_17 = ~uncacheable_miss_ff; // @[ifu_mem_ctl.scala 100:5] + wire _T_18 = _T_16 & _T_17; // @[ifu_mem_ctl.scala 99:118] + wire _T_19 = miss_state == 3'h5; // @[ifu_mem_ctl.scala 100:41] + wire _T_24 = 3'h0 == miss_state; // @[Conditional.scala 37:30] + wire _T_26 = ic_act_miss_f & _T_319; // @[ifu_mem_ctl.scala 106:43] + wire [2:0] _T_28 = _T_26 ? 3'h1 : 3'h2; // @[ifu_mem_ctl.scala 106:27] + wire _T_31 = 3'h1 == miss_state; // @[Conditional.scala 37:30] + wire [4:0] byp_fetch_index = ifu_fetch_addr_int_f[4:0]; // @[ifu_mem_ctl.scala 343:45] + wire _T_2155 = byp_fetch_index[4:2] == 3'h0; // @[ifu_mem_ctl.scala 364:127] + reg [7:0] ic_miss_buff_data_valid; // @[ifu_mem_ctl.scala 320:60] + wire _T_2186 = _T_2155 & ic_miss_buff_data_valid[0]; // @[Mux.scala 27:72] + wire _T_2159 = byp_fetch_index[4:2] == 3'h1; // @[ifu_mem_ctl.scala 364:127] + wire _T_2187 = _T_2159 & ic_miss_buff_data_valid[1]; // @[Mux.scala 27:72] + wire _T_2194 = _T_2186 | _T_2187; // @[Mux.scala 27:72] + wire _T_2163 = byp_fetch_index[4:2] == 3'h2; // @[ifu_mem_ctl.scala 364:127] + wire _T_2188 = _T_2163 & ic_miss_buff_data_valid[2]; // @[Mux.scala 27:72] + wire _T_2195 = _T_2194 | _T_2188; // @[Mux.scala 27:72] + wire _T_2167 = byp_fetch_index[4:2] == 3'h3; // @[ifu_mem_ctl.scala 364:127] + wire _T_2189 = _T_2167 & ic_miss_buff_data_valid[3]; // @[Mux.scala 27:72] + wire _T_2196 = _T_2195 | _T_2189; // @[Mux.scala 27:72] + wire _T_2171 = byp_fetch_index[4:2] == 3'h4; // @[ifu_mem_ctl.scala 364:127] + wire _T_2190 = _T_2171 & ic_miss_buff_data_valid[4]; // @[Mux.scala 27:72] + wire _T_2197 = _T_2196 | _T_2190; // @[Mux.scala 27:72] + wire _T_2175 = byp_fetch_index[4:2] == 3'h5; // @[ifu_mem_ctl.scala 364:127] + wire _T_2191 = _T_2175 & ic_miss_buff_data_valid[5]; // @[Mux.scala 27:72] + wire _T_2198 = _T_2197 | _T_2191; // @[Mux.scala 27:72] + wire _T_2179 = byp_fetch_index[4:2] == 3'h6; // @[ifu_mem_ctl.scala 364:127] + wire _T_2192 = _T_2179 & ic_miss_buff_data_valid[6]; // @[Mux.scala 27:72] + wire _T_2199 = _T_2198 | _T_2192; // @[Mux.scala 27:72] + wire _T_2183 = byp_fetch_index[4:2] == 3'h7; // @[ifu_mem_ctl.scala 364:127] + wire _T_2193 = _T_2183 & ic_miss_buff_data_valid[7]; // @[Mux.scala 27:72] + wire ic_miss_buff_data_valid_bypass_index = _T_2199 | _T_2193; // @[Mux.scala 27:72] + wire _T_2241 = ~byp_fetch_index[1]; // @[ifu_mem_ctl.scala 366:69] + wire _T_2242 = ic_miss_buff_data_valid_bypass_index & _T_2241; // @[ifu_mem_ctl.scala 366:67] + wire _T_2244 = ~byp_fetch_index[0]; // @[ifu_mem_ctl.scala 366:91] + wire _T_2245 = _T_2242 & _T_2244; // @[ifu_mem_ctl.scala 366:89] + wire _T_2250 = _T_2242 & byp_fetch_index[0]; // @[ifu_mem_ctl.scala 367:65] + wire _T_2251 = _T_2245 | _T_2250; // @[ifu_mem_ctl.scala 366:112] + wire _T_2253 = ic_miss_buff_data_valid_bypass_index & byp_fetch_index[1]; // @[ifu_mem_ctl.scala 368:43] + wire _T_2256 = _T_2253 & _T_2244; // @[ifu_mem_ctl.scala 368:65] + wire _T_2257 = _T_2251 | _T_2256; // @[ifu_mem_ctl.scala 367:88] + wire _T_2261 = _T_2253 & byp_fetch_index[0]; // @[ifu_mem_ctl.scala 369:65] + wire [2:0] byp_fetch_index_inc = ifu_fetch_addr_int_f[4:2] + 3'h1; // @[ifu_mem_ctl.scala 346:75] + wire _T_2201 = byp_fetch_index_inc == 3'h0; // @[ifu_mem_ctl.scala 365:110] + wire _T_2225 = _T_2201 & ic_miss_buff_data_valid[0]; // @[Mux.scala 27:72] + wire _T_2204 = byp_fetch_index_inc == 3'h1; // @[ifu_mem_ctl.scala 365:110] + wire _T_2226 = _T_2204 & ic_miss_buff_data_valid[1]; // @[Mux.scala 27:72] + wire _T_2233 = _T_2225 | _T_2226; // @[Mux.scala 27:72] + wire _T_2207 = byp_fetch_index_inc == 3'h2; // @[ifu_mem_ctl.scala 365:110] + wire _T_2227 = _T_2207 & ic_miss_buff_data_valid[2]; // @[Mux.scala 27:72] + wire _T_2234 = _T_2233 | _T_2227; // @[Mux.scala 27:72] + wire _T_2210 = byp_fetch_index_inc == 3'h3; // @[ifu_mem_ctl.scala 365:110] + wire _T_2228 = _T_2210 & ic_miss_buff_data_valid[3]; // @[Mux.scala 27:72] + wire _T_2235 = _T_2234 | _T_2228; // @[Mux.scala 27:72] + wire _T_2213 = byp_fetch_index_inc == 3'h4; // @[ifu_mem_ctl.scala 365:110] + wire _T_2229 = _T_2213 & ic_miss_buff_data_valid[4]; // @[Mux.scala 27:72] + wire _T_2236 = _T_2235 | _T_2229; // @[Mux.scala 27:72] + wire _T_2216 = byp_fetch_index_inc == 3'h5; // @[ifu_mem_ctl.scala 365:110] + wire _T_2230 = _T_2216 & ic_miss_buff_data_valid[5]; // @[Mux.scala 27:72] + wire _T_2237 = _T_2236 | _T_2230; // @[Mux.scala 27:72] + wire _T_2219 = byp_fetch_index_inc == 3'h6; // @[ifu_mem_ctl.scala 365:110] + wire _T_2231 = _T_2219 & ic_miss_buff_data_valid[6]; // @[Mux.scala 27:72] + wire _T_2238 = _T_2237 | _T_2231; // @[Mux.scala 27:72] + wire _T_2222 = byp_fetch_index_inc == 3'h7; // @[ifu_mem_ctl.scala 365:110] + wire _T_2232 = _T_2222 & ic_miss_buff_data_valid[7]; // @[Mux.scala 27:72] + wire ic_miss_buff_data_valid_inc_bypass_index = _T_2238 | _T_2232; // @[Mux.scala 27:72] + wire _T_2262 = _T_2261 & ic_miss_buff_data_valid_inc_bypass_index; // @[ifu_mem_ctl.scala 369:87] + wire _T_2263 = _T_2257 | _T_2262; // @[ifu_mem_ctl.scala 368:88] + wire _T_2267 = ic_miss_buff_data_valid_bypass_index & _T_2183; // @[ifu_mem_ctl.scala 370:43] + wire miss_buff_hit_unq_f = _T_2263 | _T_2267; // @[ifu_mem_ctl.scala 369:131] + wire _T_2283 = miss_state == 3'h4; // @[ifu_mem_ctl.scala 375:55] + wire _T_2284 = miss_state == 3'h1; // @[ifu_mem_ctl.scala 375:87] + wire _T_2285 = _T_2283 | _T_2284; // @[ifu_mem_ctl.scala 375:74] + wire crit_byp_hit_f = miss_buff_hit_unq_f & _T_2285; // @[ifu_mem_ctl.scala 375:41] + wire _T_2268 = miss_state == 3'h6; // @[ifu_mem_ctl.scala 372:30] + reg [30:0] imb_ff; // @[ifu_mem_ctl.scala 217:49] + wire miss_wrap_f = imb_ff[5] != ifu_fetch_addr_int_f[5]; // @[ifu_mem_ctl.scala 363:51] + wire _T_2269 = ~miss_wrap_f; // @[ifu_mem_ctl.scala 372:68] + wire _T_2270 = miss_buff_hit_unq_f & _T_2269; // @[ifu_mem_ctl.scala 372:66] + wire stream_hit_f = _T_2268 & _T_2270; // @[ifu_mem_ctl.scala 372:43] + wire _T_215 = crit_byp_hit_f | stream_hit_f; // @[ifu_mem_ctl.scala 184:35] + wire _T_216 = _T_215 & fetch_req_icache_f; // @[ifu_mem_ctl.scala 184:52] + wire ic_byp_hit_f = _T_216 & miss_pending; // @[ifu_mem_ctl.scala 184:73] + reg last_data_recieved_ff; // @[ifu_mem_ctl.scala 535:58] + wire last_beat = bus_last_data_beat & bus_ifu_wr_en_ff; // @[ifu_mem_ctl.scala 562:35] + wire _T_32 = bus_ifu_wr_en_ff & last_beat; // @[ifu_mem_ctl.scala 110:126] + wire _T_33 = last_data_recieved_ff | _T_32; // @[ifu_mem_ctl.scala 110:106] + wire _T_34 = ic_byp_hit_f & _T_33; // @[ifu_mem_ctl.scala 110:80] + wire _T_35 = _T_34 & uncacheable_miss_ff; // @[ifu_mem_ctl.scala 110:140] + wire _T_36 = io_dec_mem_ctrl_dec_tlu_force_halt | _T_35; // @[ifu_mem_ctl.scala 110:64] + wire _T_38 = ~last_data_recieved_ff; // @[ifu_mem_ctl.scala 111:30] + wire _T_39 = ic_byp_hit_f & _T_38; // @[ifu_mem_ctl.scala 111:27] + wire _T_40 = _T_39 & uncacheable_miss_ff; // @[ifu_mem_ctl.scala 111:53] + wire _T_42 = ~ic_byp_hit_f; // @[ifu_mem_ctl.scala 112:16] + wire _T_44 = _T_42 & _T_319; // @[ifu_mem_ctl.scala 112:30] + wire _T_46 = _T_44 & _T_32; // @[ifu_mem_ctl.scala 112:52] + wire _T_47 = _T_46 & uncacheable_miss_ff; // @[ifu_mem_ctl.scala 112:85] + wire _T_51 = _T_32 & _T_17; // @[ifu_mem_ctl.scala 113:49] + wire _T_54 = ic_byp_hit_f & _T_319; // @[ifu_mem_ctl.scala 114:33] + wire _T_56 = ~_T_32; // @[ifu_mem_ctl.scala 114:57] + wire _T_57 = _T_54 & _T_56; // @[ifu_mem_ctl.scala 114:55] + wire ifu_bp_hit_taken_q_f = io_ifu_bp_hit_taken_f & io_ic_hit_f; // @[ifu_mem_ctl.scala 102:52] + wire _T_58 = ~ifu_bp_hit_taken_q_f; // @[ifu_mem_ctl.scala 114:91] + wire _T_59 = _T_57 & _T_58; // @[ifu_mem_ctl.scala 114:89] + wire _T_61 = _T_59 & _T_17; // @[ifu_mem_ctl.scala 114:113] + wire _T_64 = bus_ifu_wr_en_ff & _T_319; // @[ifu_mem_ctl.scala 115:39] + wire _T_67 = _T_64 & _T_56; // @[ifu_mem_ctl.scala 115:61] + wire _T_69 = _T_67 & _T_58; // @[ifu_mem_ctl.scala 115:95] + wire _T_71 = _T_69 & _T_17; // @[ifu_mem_ctl.scala 115:119] + wire _T_79 = _T_46 & _T_17; // @[ifu_mem_ctl.scala 116:100] + wire _T_81 = io_exu_flush_final | ifu_bp_hit_taken_q_f; // @[ifu_mem_ctl.scala 117:44] + wire _T_84 = _T_81 & _T_56; // @[ifu_mem_ctl.scala 117:68] + wire [2:0] _T_86 = _T_84 ? 3'h2 : 3'h0; // @[ifu_mem_ctl.scala 117:22] + wire [2:0] _T_87 = _T_79 ? 3'h0 : _T_86; // @[ifu_mem_ctl.scala 116:20] + wire [2:0] _T_88 = _T_71 ? 3'h6 : _T_87; // @[ifu_mem_ctl.scala 115:20] + wire [2:0] _T_89 = _T_61 ? 3'h6 : _T_88; // @[ifu_mem_ctl.scala 114:18] + wire [2:0] _T_90 = _T_51 ? 3'h0 : _T_89; // @[ifu_mem_ctl.scala 113:16] + wire [2:0] _T_91 = _T_47 ? 3'h4 : _T_90; // @[ifu_mem_ctl.scala 112:14] + wire [2:0] _T_92 = _T_40 ? 3'h3 : _T_91; // @[ifu_mem_ctl.scala 111:12] + wire [2:0] _T_93 = _T_36 ? 3'h0 : _T_92; // @[ifu_mem_ctl.scala 110:27] + wire _T_102 = 3'h4 == miss_state; // @[Conditional.scala 37:30] + wire _T_106 = 3'h6 == miss_state; // @[Conditional.scala 37:30] + wire _T_2280 = byp_fetch_index[4:1] == 4'hf; // @[ifu_mem_ctl.scala 374:60] + wire _T_2281 = _T_2280 & ifc_fetch_req_f; // @[ifu_mem_ctl.scala 374:94] + wire stream_eol_f = _T_2281 & stream_hit_f; // @[ifu_mem_ctl.scala 374:112] + wire _T_108 = _T_81 | stream_eol_f; // @[ifu_mem_ctl.scala 125:72] + wire _T_111 = _T_108 & _T_56; // @[ifu_mem_ctl.scala 125:87] + wire _T_113 = _T_111 & _T_2623; // @[ifu_mem_ctl.scala 125:122] + wire [2:0] _T_115 = _T_113 ? 3'h2 : 3'h0; // @[ifu_mem_ctl.scala 125:27] + wire _T_121 = 3'h3 == miss_state; // @[Conditional.scala 37:30] + wire _T_124 = io_exu_flush_final & _T_56; // @[ifu_mem_ctl.scala 129:48] + wire _T_126 = _T_124 & _T_2623; // @[ifu_mem_ctl.scala 129:82] + wire [2:0] _T_128 = _T_126 ? 3'h2 : 3'h0; // @[ifu_mem_ctl.scala 129:27] + wire _T_132 = 3'h2 == miss_state; // @[Conditional.scala 37:30] + wire _T_236 = io_ic_rd_hit == 2'h0; // @[ifu_mem_ctl.scala 190:28] + wire _T_237 = _T_236 | reset_all_tags; // @[ifu_mem_ctl.scala 190:42] + wire _T_238 = _T_237 & fetch_req_icache_f; // @[ifu_mem_ctl.scala 190:60] + wire _T_239 = miss_state == 3'h2; // @[ifu_mem_ctl.scala 190:94] + wire _T_240 = _T_238 & _T_239; // @[ifu_mem_ctl.scala 190:81] + wire _T_243 = imb_ff[30:5] != ifu_fetch_addr_int_f[30:5]; // @[ifu_mem_ctl.scala 191:39] + wire _T_244 = _T_240 & _T_243; // @[ifu_mem_ctl.scala 190:111] + wire _T_246 = _T_244 & _T_17; // @[ifu_mem_ctl.scala 191:91] + reg sel_mb_addr_ff; // @[ifu_mem_ctl.scala 245:51] + wire _T_247 = ~sel_mb_addr_ff; // @[ifu_mem_ctl.scala 191:116] + wire _T_248 = _T_246 & _T_247; // @[ifu_mem_ctl.scala 191:114] + wire ic_miss_under_miss_f = _T_248 & _T_209; // @[ifu_mem_ctl.scala 191:132] + wire _T_135 = ic_miss_under_miss_f & _T_56; // @[ifu_mem_ctl.scala 133:50] + wire _T_137 = _T_135 & _T_2623; // @[ifu_mem_ctl.scala 133:84] + wire _T_256 = _T_230 & _T_239; // @[ifu_mem_ctl.scala 192:85] + wire _T_259 = imb_ff[30:5] == ifu_fetch_addr_int_f[30:5]; // @[ifu_mem_ctl.scala 193:39] + wire _T_260 = _T_259 | uncacheable_miss_ff; // @[ifu_mem_ctl.scala 193:91] + wire ic_ignore_2nd_miss_f = _T_256 & _T_260; // @[ifu_mem_ctl.scala 192:117] + wire _T_141 = ic_ignore_2nd_miss_f & _T_56; // @[ifu_mem_ctl.scala 134:35] + wire _T_143 = _T_141 & _T_2623; // @[ifu_mem_ctl.scala 134:69] + wire [2:0] _T_145 = _T_143 ? 3'h7 : 3'h0; // @[ifu_mem_ctl.scala 134:12] + wire [2:0] _T_146 = _T_137 ? 3'h5 : _T_145; // @[ifu_mem_ctl.scala 133:27] + wire _T_151 = 3'h5 == miss_state; // @[Conditional.scala 37:30] + wire [2:0] _T_154 = _T_32 ? 3'h0 : 3'h2; // @[ifu_mem_ctl.scala 139:12] + wire [2:0] _T_155 = io_exu_flush_final ? _T_154 : 3'h1; // @[ifu_mem_ctl.scala 138:75] + wire [2:0] _T_156 = io_dec_mem_ctrl_dec_tlu_force_halt ? 3'h0 : _T_155; // @[ifu_mem_ctl.scala 138:27] + wire _T_160 = 3'h7 == miss_state; // @[Conditional.scala 37:30] + wire [2:0] _T_164 = io_exu_flush_final ? _T_154 : 3'h0; // @[ifu_mem_ctl.scala 143:75] + wire [2:0] _T_165 = io_dec_mem_ctrl_dec_tlu_force_halt ? 3'h0 : _T_164; // @[ifu_mem_ctl.scala 143:27] + wire [2:0] _GEN_0 = _T_160 ? _T_165 : 3'h0; // @[Conditional.scala 39:67] + wire [2:0] _GEN_2 = _T_151 ? _T_156 : _GEN_0; // @[Conditional.scala 39:67] + wire [2:0] _GEN_4 = _T_132 ? _T_146 : _GEN_2; // @[Conditional.scala 39:67] + wire [2:0] _GEN_6 = _T_121 ? _T_128 : _GEN_4; // @[Conditional.scala 39:67] + wire [2:0] _GEN_8 = _T_106 ? _T_115 : _GEN_6; // @[Conditional.scala 39:67] + wire [2:0] _GEN_10 = _T_102 ? 3'h0 : _GEN_8; // @[Conditional.scala 39:67] + wire [2:0] _GEN_12 = _T_31 ? _T_93 : _GEN_10; // @[Conditional.scala 39:67] + wire [2:0] miss_nxtstate = _T_24 ? _T_28 : _GEN_12; // @[Conditional.scala 40:58] + wire _T_20 = miss_nxtstate == 3'h5; // @[ifu_mem_ctl.scala 100:73] + wire _T_21 = _T_19 | _T_20; // @[ifu_mem_ctl.scala 100:57] + wire _T_22 = _T_18 & _T_21; // @[ifu_mem_ctl.scala 100:26] + wire _T_30 = ic_act_miss_f & _T_2623; // @[ifu_mem_ctl.scala 107:38] + wire _T_94 = io_dec_mem_ctrl_dec_tlu_force_halt | io_exu_flush_final; // @[ifu_mem_ctl.scala 118:59] + wire _T_95 = _T_94 | ic_byp_hit_f; // @[ifu_mem_ctl.scala 118:80] + wire _T_96 = _T_95 | ifu_bp_hit_taken_q_f; // @[ifu_mem_ctl.scala 118:95] + wire _T_98 = _T_96 | _T_32; // @[ifu_mem_ctl.scala 118:118] + wire _T_100 = bus_ifu_wr_en_ff & _T_17; // @[ifu_mem_ctl.scala 118:171] + wire _T_101 = _T_98 | _T_100; // @[ifu_mem_ctl.scala 118:151] + wire _T_103 = io_exu_flush_final | flush_final_f; // @[ifu_mem_ctl.scala 122:43] + wire _T_104 = _T_103 | ic_byp_hit_f; // @[ifu_mem_ctl.scala 122:59] + wire _T_105 = _T_104 | io_dec_mem_ctrl_dec_tlu_force_halt; // @[ifu_mem_ctl.scala 122:74] + wire _T_119 = _T_108 | _T_32; // @[ifu_mem_ctl.scala 126:84] + wire _T_120 = _T_119 | io_dec_mem_ctrl_dec_tlu_force_halt; // @[ifu_mem_ctl.scala 126:118] + wire _T_130 = io_exu_flush_final | _T_32; // @[ifu_mem_ctl.scala 130:43] + wire _T_131 = _T_130 | io_dec_mem_ctrl_dec_tlu_force_halt; // @[ifu_mem_ctl.scala 130:76] + wire _T_148 = _T_32 | ic_miss_under_miss_f; // @[ifu_mem_ctl.scala 135:55] + wire _T_149 = _T_148 | ic_ignore_2nd_miss_f; // @[ifu_mem_ctl.scala 135:78] + wire _T_150 = _T_149 | io_dec_mem_ctrl_dec_tlu_force_halt; // @[ifu_mem_ctl.scala 135:101] + wire _T_158 = _T_32 | io_exu_flush_final; // @[ifu_mem_ctl.scala 140:55] + wire _T_159 = _T_158 | io_dec_mem_ctrl_dec_tlu_force_halt; // @[ifu_mem_ctl.scala 140:76] + wire _GEN_1 = _T_160 & _T_159; // @[Conditional.scala 39:67] + wire _GEN_3 = _T_151 ? _T_159 : _GEN_1; // @[Conditional.scala 39:67] + wire _GEN_5 = _T_132 ? _T_150 : _GEN_3; // @[Conditional.scala 39:67] + wire _GEN_7 = _T_121 ? _T_131 : _GEN_5; // @[Conditional.scala 39:67] + wire _GEN_9 = _T_106 ? _T_120 : _GEN_7; // @[Conditional.scala 39:67] + wire _GEN_11 = _T_102 ? _T_105 : _GEN_9; // @[Conditional.scala 39:67] + wire _GEN_13 = _T_31 ? _T_101 : _GEN_11; // @[Conditional.scala 39:67] + wire miss_state_en = _T_24 ? _T_30 : _GEN_13; // @[Conditional.scala 40:58] + wire _T_174 = ~flush_final_f; // @[ifu_mem_ctl.scala 160:95] + wire _T_175 = _T_2283 & _T_174; // @[ifu_mem_ctl.scala 160:93] + wire crit_wd_byp_ok_ff = _T_2284 | _T_175; // @[ifu_mem_ctl.scala 160:58] + wire _T_178 = miss_pending & _T_56; // @[ifu_mem_ctl.scala 161:36] + wire _T_180 = _T_2283 & io_exu_flush_final; // @[ifu_mem_ctl.scala 161:106] + wire _T_181 = ~_T_180; // @[ifu_mem_ctl.scala 161:72] + wire _T_182 = _T_178 & _T_181; // @[ifu_mem_ctl.scala 161:70] + wire _T_184 = _T_2283 & crit_byp_hit_f; // @[ifu_mem_ctl.scala 162:57] + wire _T_185 = ~_T_184; // @[ifu_mem_ctl.scala 162:23] + wire _T_186 = _T_182 & _T_185; // @[ifu_mem_ctl.scala 161:128] + wire _T_187 = _T_186 | ic_act_miss_f; // @[ifu_mem_ctl.scala 162:77] + wire _T_188 = miss_nxtstate == 3'h4; // @[ifu_mem_ctl.scala 163:36] + wire _T_189 = miss_pending & _T_188; // @[ifu_mem_ctl.scala 163:19] + wire sel_hold_imb = _T_187 | _T_189; // @[ifu_mem_ctl.scala 162:93] + wire _T_191 = _T_19 | ic_miss_under_miss_f; // @[ifu_mem_ctl.scala 165:57] + wire sel_hold_imb_scnd = _T_191 & _T_174; // @[ifu_mem_ctl.scala 165:81] + reg way_status_mb_scnd_ff; // @[ifu_mem_ctl.scala 173:64] + reg [6:0] ifu_ic_rw_int_addr_ff; // @[ifu_mem_ctl.scala 669:14] + wire _T_4671 = ifu_ic_rw_int_addr_ff == 7'h0; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_0; // @[Reg.scala 27:20] + wire _T_4799 = _T_4671 & way_status_out_0; // @[Mux.scala 27:72] + wire _T_4672 = ifu_ic_rw_int_addr_ff == 7'h1; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_1; // @[Reg.scala 27:20] + wire _T_4800 = _T_4672 & way_status_out_1; // @[Mux.scala 27:72] + wire _T_4927 = _T_4799 | _T_4800; // @[Mux.scala 27:72] + wire _T_4673 = ifu_ic_rw_int_addr_ff == 7'h2; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_2; // @[Reg.scala 27:20] + wire _T_4801 = _T_4673 & way_status_out_2; // @[Mux.scala 27:72] + wire _T_4928 = _T_4927 | _T_4801; // @[Mux.scala 27:72] + wire _T_4674 = ifu_ic_rw_int_addr_ff == 7'h3; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_3; // @[Reg.scala 27:20] + wire _T_4802 = _T_4674 & way_status_out_3; // @[Mux.scala 27:72] + wire _T_4929 = _T_4928 | _T_4802; // @[Mux.scala 27:72] + wire _T_4675 = ifu_ic_rw_int_addr_ff == 7'h4; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_4; // @[Reg.scala 27:20] + wire _T_4803 = _T_4675 & way_status_out_4; // @[Mux.scala 27:72] + wire _T_4930 = _T_4929 | _T_4803; // @[Mux.scala 27:72] + wire _T_4676 = ifu_ic_rw_int_addr_ff == 7'h5; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_5; // @[Reg.scala 27:20] + wire _T_4804 = _T_4676 & way_status_out_5; // @[Mux.scala 27:72] + wire _T_4931 = _T_4930 | _T_4804; // @[Mux.scala 27:72] + wire _T_4677 = ifu_ic_rw_int_addr_ff == 7'h6; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_6; // @[Reg.scala 27:20] + wire _T_4805 = _T_4677 & way_status_out_6; // @[Mux.scala 27:72] + wire _T_4932 = _T_4931 | _T_4805; // @[Mux.scala 27:72] + wire _T_4678 = ifu_ic_rw_int_addr_ff == 7'h7; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_7; // @[Reg.scala 27:20] + wire _T_4806 = _T_4678 & way_status_out_7; // @[Mux.scala 27:72] + wire _T_4933 = _T_4932 | _T_4806; // @[Mux.scala 27:72] + wire _T_4679 = ifu_ic_rw_int_addr_ff == 7'h8; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_8; // @[Reg.scala 27:20] + wire _T_4807 = _T_4679 & way_status_out_8; // @[Mux.scala 27:72] + wire _T_4934 = _T_4933 | _T_4807; // @[Mux.scala 27:72] + wire _T_4680 = ifu_ic_rw_int_addr_ff == 7'h9; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_9; // @[Reg.scala 27:20] + wire _T_4808 = _T_4680 & way_status_out_9; // @[Mux.scala 27:72] + wire _T_4935 = _T_4934 | _T_4808; // @[Mux.scala 27:72] + wire _T_4681 = ifu_ic_rw_int_addr_ff == 7'ha; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_10; // @[Reg.scala 27:20] + wire _T_4809 = _T_4681 & way_status_out_10; // @[Mux.scala 27:72] + wire _T_4936 = _T_4935 | _T_4809; // @[Mux.scala 27:72] + wire _T_4682 = ifu_ic_rw_int_addr_ff == 7'hb; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_11; // @[Reg.scala 27:20] + wire _T_4810 = _T_4682 & way_status_out_11; // @[Mux.scala 27:72] + wire _T_4937 = _T_4936 | _T_4810; // @[Mux.scala 27:72] + wire _T_4683 = ifu_ic_rw_int_addr_ff == 7'hc; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_12; // @[Reg.scala 27:20] + wire _T_4811 = _T_4683 & way_status_out_12; // @[Mux.scala 27:72] + wire _T_4938 = _T_4937 | _T_4811; // @[Mux.scala 27:72] + wire _T_4684 = ifu_ic_rw_int_addr_ff == 7'hd; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_13; // @[Reg.scala 27:20] + wire _T_4812 = _T_4684 & way_status_out_13; // @[Mux.scala 27:72] + wire _T_4939 = _T_4938 | _T_4812; // @[Mux.scala 27:72] + wire _T_4685 = ifu_ic_rw_int_addr_ff == 7'he; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_14; // @[Reg.scala 27:20] + wire _T_4813 = _T_4685 & way_status_out_14; // @[Mux.scala 27:72] + wire _T_4940 = _T_4939 | _T_4813; // @[Mux.scala 27:72] + wire _T_4686 = ifu_ic_rw_int_addr_ff == 7'hf; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_15; // @[Reg.scala 27:20] + wire _T_4814 = _T_4686 & way_status_out_15; // @[Mux.scala 27:72] + wire _T_4941 = _T_4940 | _T_4814; // @[Mux.scala 27:72] + wire _T_4687 = ifu_ic_rw_int_addr_ff == 7'h10; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_16; // @[Reg.scala 27:20] + wire _T_4815 = _T_4687 & way_status_out_16; // @[Mux.scala 27:72] + wire _T_4942 = _T_4941 | _T_4815; // @[Mux.scala 27:72] + wire _T_4688 = ifu_ic_rw_int_addr_ff == 7'h11; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_17; // @[Reg.scala 27:20] + wire _T_4816 = _T_4688 & way_status_out_17; // @[Mux.scala 27:72] + wire _T_4943 = _T_4942 | _T_4816; // @[Mux.scala 27:72] + wire _T_4689 = ifu_ic_rw_int_addr_ff == 7'h12; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_18; // @[Reg.scala 27:20] + wire _T_4817 = _T_4689 & way_status_out_18; // @[Mux.scala 27:72] + wire _T_4944 = _T_4943 | _T_4817; // @[Mux.scala 27:72] + wire _T_4690 = ifu_ic_rw_int_addr_ff == 7'h13; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_19; // @[Reg.scala 27:20] + wire _T_4818 = _T_4690 & way_status_out_19; // @[Mux.scala 27:72] + wire _T_4945 = _T_4944 | _T_4818; // @[Mux.scala 27:72] + wire _T_4691 = ifu_ic_rw_int_addr_ff == 7'h14; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_20; // @[Reg.scala 27:20] + wire _T_4819 = _T_4691 & way_status_out_20; // @[Mux.scala 27:72] + wire _T_4946 = _T_4945 | _T_4819; // @[Mux.scala 27:72] + wire _T_4692 = ifu_ic_rw_int_addr_ff == 7'h15; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_21; // @[Reg.scala 27:20] + wire _T_4820 = _T_4692 & way_status_out_21; // @[Mux.scala 27:72] + wire _T_4947 = _T_4946 | _T_4820; // @[Mux.scala 27:72] + wire _T_4693 = ifu_ic_rw_int_addr_ff == 7'h16; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_22; // @[Reg.scala 27:20] + wire _T_4821 = _T_4693 & way_status_out_22; // @[Mux.scala 27:72] + wire _T_4948 = _T_4947 | _T_4821; // @[Mux.scala 27:72] + wire _T_4694 = ifu_ic_rw_int_addr_ff == 7'h17; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_23; // @[Reg.scala 27:20] + wire _T_4822 = _T_4694 & way_status_out_23; // @[Mux.scala 27:72] + wire _T_4949 = _T_4948 | _T_4822; // @[Mux.scala 27:72] + wire _T_4695 = ifu_ic_rw_int_addr_ff == 7'h18; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_24; // @[Reg.scala 27:20] + wire _T_4823 = _T_4695 & way_status_out_24; // @[Mux.scala 27:72] + wire _T_4950 = _T_4949 | _T_4823; // @[Mux.scala 27:72] + wire _T_4696 = ifu_ic_rw_int_addr_ff == 7'h19; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_25; // @[Reg.scala 27:20] + wire _T_4824 = _T_4696 & way_status_out_25; // @[Mux.scala 27:72] + wire _T_4951 = _T_4950 | _T_4824; // @[Mux.scala 27:72] + wire _T_4697 = ifu_ic_rw_int_addr_ff == 7'h1a; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_26; // @[Reg.scala 27:20] + wire _T_4825 = _T_4697 & way_status_out_26; // @[Mux.scala 27:72] + wire _T_4952 = _T_4951 | _T_4825; // @[Mux.scala 27:72] + wire _T_4698 = ifu_ic_rw_int_addr_ff == 7'h1b; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_27; // @[Reg.scala 27:20] + wire _T_4826 = _T_4698 & way_status_out_27; // @[Mux.scala 27:72] + wire _T_4953 = _T_4952 | _T_4826; // @[Mux.scala 27:72] + wire _T_4699 = ifu_ic_rw_int_addr_ff == 7'h1c; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_28; // @[Reg.scala 27:20] + wire _T_4827 = _T_4699 & way_status_out_28; // @[Mux.scala 27:72] + wire _T_4954 = _T_4953 | _T_4827; // @[Mux.scala 27:72] + wire _T_4700 = ifu_ic_rw_int_addr_ff == 7'h1d; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_29; // @[Reg.scala 27:20] + wire _T_4828 = _T_4700 & way_status_out_29; // @[Mux.scala 27:72] + wire _T_4955 = _T_4954 | _T_4828; // @[Mux.scala 27:72] + wire _T_4701 = ifu_ic_rw_int_addr_ff == 7'h1e; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_30; // @[Reg.scala 27:20] + wire _T_4829 = _T_4701 & way_status_out_30; // @[Mux.scala 27:72] + wire _T_4956 = _T_4955 | _T_4829; // @[Mux.scala 27:72] + wire _T_4702 = ifu_ic_rw_int_addr_ff == 7'h1f; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_31; // @[Reg.scala 27:20] + wire _T_4830 = _T_4702 & way_status_out_31; // @[Mux.scala 27:72] + wire _T_4957 = _T_4956 | _T_4830; // @[Mux.scala 27:72] + wire _T_4703 = ifu_ic_rw_int_addr_ff == 7'h20; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_32; // @[Reg.scala 27:20] + wire _T_4831 = _T_4703 & way_status_out_32; // @[Mux.scala 27:72] + wire _T_4958 = _T_4957 | _T_4831; // @[Mux.scala 27:72] + wire _T_4704 = ifu_ic_rw_int_addr_ff == 7'h21; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_33; // @[Reg.scala 27:20] + wire _T_4832 = _T_4704 & way_status_out_33; // @[Mux.scala 27:72] + wire _T_4959 = _T_4958 | _T_4832; // @[Mux.scala 27:72] + wire _T_4705 = ifu_ic_rw_int_addr_ff == 7'h22; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_34; // @[Reg.scala 27:20] + wire _T_4833 = _T_4705 & way_status_out_34; // @[Mux.scala 27:72] + wire _T_4960 = _T_4959 | _T_4833; // @[Mux.scala 27:72] + wire _T_4706 = ifu_ic_rw_int_addr_ff == 7'h23; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_35; // @[Reg.scala 27:20] + wire _T_4834 = _T_4706 & way_status_out_35; // @[Mux.scala 27:72] + wire _T_4961 = _T_4960 | _T_4834; // @[Mux.scala 27:72] + wire _T_4707 = ifu_ic_rw_int_addr_ff == 7'h24; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_36; // @[Reg.scala 27:20] + wire _T_4835 = _T_4707 & way_status_out_36; // @[Mux.scala 27:72] + wire _T_4962 = _T_4961 | _T_4835; // @[Mux.scala 27:72] + wire _T_4708 = ifu_ic_rw_int_addr_ff == 7'h25; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_37; // @[Reg.scala 27:20] + wire _T_4836 = _T_4708 & way_status_out_37; // @[Mux.scala 27:72] + wire _T_4963 = _T_4962 | _T_4836; // @[Mux.scala 27:72] + wire _T_4709 = ifu_ic_rw_int_addr_ff == 7'h26; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_38; // @[Reg.scala 27:20] + wire _T_4837 = _T_4709 & way_status_out_38; // @[Mux.scala 27:72] + wire _T_4964 = _T_4963 | _T_4837; // @[Mux.scala 27:72] + wire _T_4710 = ifu_ic_rw_int_addr_ff == 7'h27; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_39; // @[Reg.scala 27:20] + wire _T_4838 = _T_4710 & way_status_out_39; // @[Mux.scala 27:72] + wire _T_4965 = _T_4964 | _T_4838; // @[Mux.scala 27:72] + wire _T_4711 = ifu_ic_rw_int_addr_ff == 7'h28; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_40; // @[Reg.scala 27:20] + wire _T_4839 = _T_4711 & way_status_out_40; // @[Mux.scala 27:72] + wire _T_4966 = _T_4965 | _T_4839; // @[Mux.scala 27:72] + wire _T_4712 = ifu_ic_rw_int_addr_ff == 7'h29; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_41; // @[Reg.scala 27:20] + wire _T_4840 = _T_4712 & way_status_out_41; // @[Mux.scala 27:72] + wire _T_4967 = _T_4966 | _T_4840; // @[Mux.scala 27:72] + wire _T_4713 = ifu_ic_rw_int_addr_ff == 7'h2a; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_42; // @[Reg.scala 27:20] + wire _T_4841 = _T_4713 & way_status_out_42; // @[Mux.scala 27:72] + wire _T_4968 = _T_4967 | _T_4841; // @[Mux.scala 27:72] + wire _T_4714 = ifu_ic_rw_int_addr_ff == 7'h2b; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_43; // @[Reg.scala 27:20] + wire _T_4842 = _T_4714 & way_status_out_43; // @[Mux.scala 27:72] + wire _T_4969 = _T_4968 | _T_4842; // @[Mux.scala 27:72] + wire _T_4715 = ifu_ic_rw_int_addr_ff == 7'h2c; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_44; // @[Reg.scala 27:20] + wire _T_4843 = _T_4715 & way_status_out_44; // @[Mux.scala 27:72] + wire _T_4970 = _T_4969 | _T_4843; // @[Mux.scala 27:72] + wire _T_4716 = ifu_ic_rw_int_addr_ff == 7'h2d; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_45; // @[Reg.scala 27:20] + wire _T_4844 = _T_4716 & way_status_out_45; // @[Mux.scala 27:72] + wire _T_4971 = _T_4970 | _T_4844; // @[Mux.scala 27:72] + wire _T_4717 = ifu_ic_rw_int_addr_ff == 7'h2e; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_46; // @[Reg.scala 27:20] + wire _T_4845 = _T_4717 & way_status_out_46; // @[Mux.scala 27:72] + wire _T_4972 = _T_4971 | _T_4845; // @[Mux.scala 27:72] + wire _T_4718 = ifu_ic_rw_int_addr_ff == 7'h2f; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_47; // @[Reg.scala 27:20] + wire _T_4846 = _T_4718 & way_status_out_47; // @[Mux.scala 27:72] + wire _T_4973 = _T_4972 | _T_4846; // @[Mux.scala 27:72] + wire _T_4719 = ifu_ic_rw_int_addr_ff == 7'h30; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_48; // @[Reg.scala 27:20] + wire _T_4847 = _T_4719 & way_status_out_48; // @[Mux.scala 27:72] + wire _T_4974 = _T_4973 | _T_4847; // @[Mux.scala 27:72] + wire _T_4720 = ifu_ic_rw_int_addr_ff == 7'h31; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_49; // @[Reg.scala 27:20] + wire _T_4848 = _T_4720 & way_status_out_49; // @[Mux.scala 27:72] + wire _T_4975 = _T_4974 | _T_4848; // @[Mux.scala 27:72] + wire _T_4721 = ifu_ic_rw_int_addr_ff == 7'h32; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_50; // @[Reg.scala 27:20] + wire _T_4849 = _T_4721 & way_status_out_50; // @[Mux.scala 27:72] + wire _T_4976 = _T_4975 | _T_4849; // @[Mux.scala 27:72] + wire _T_4722 = ifu_ic_rw_int_addr_ff == 7'h33; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_51; // @[Reg.scala 27:20] + wire _T_4850 = _T_4722 & way_status_out_51; // @[Mux.scala 27:72] + wire _T_4977 = _T_4976 | _T_4850; // @[Mux.scala 27:72] + wire _T_4723 = ifu_ic_rw_int_addr_ff == 7'h34; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_52; // @[Reg.scala 27:20] + wire _T_4851 = _T_4723 & way_status_out_52; // @[Mux.scala 27:72] + wire _T_4978 = _T_4977 | _T_4851; // @[Mux.scala 27:72] + wire _T_4724 = ifu_ic_rw_int_addr_ff == 7'h35; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_53; // @[Reg.scala 27:20] + wire _T_4852 = _T_4724 & way_status_out_53; // @[Mux.scala 27:72] + wire _T_4979 = _T_4978 | _T_4852; // @[Mux.scala 27:72] + wire _T_4725 = ifu_ic_rw_int_addr_ff == 7'h36; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_54; // @[Reg.scala 27:20] + wire _T_4853 = _T_4725 & way_status_out_54; // @[Mux.scala 27:72] + wire _T_4980 = _T_4979 | _T_4853; // @[Mux.scala 27:72] + wire _T_4726 = ifu_ic_rw_int_addr_ff == 7'h37; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_55; // @[Reg.scala 27:20] + wire _T_4854 = _T_4726 & way_status_out_55; // @[Mux.scala 27:72] + wire _T_4981 = _T_4980 | _T_4854; // @[Mux.scala 27:72] + wire _T_4727 = ifu_ic_rw_int_addr_ff == 7'h38; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_56; // @[Reg.scala 27:20] + wire _T_4855 = _T_4727 & way_status_out_56; // @[Mux.scala 27:72] + wire _T_4982 = _T_4981 | _T_4855; // @[Mux.scala 27:72] + wire _T_4728 = ifu_ic_rw_int_addr_ff == 7'h39; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_57; // @[Reg.scala 27:20] + wire _T_4856 = _T_4728 & way_status_out_57; // @[Mux.scala 27:72] + wire _T_4983 = _T_4982 | _T_4856; // @[Mux.scala 27:72] + wire _T_4729 = ifu_ic_rw_int_addr_ff == 7'h3a; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_58; // @[Reg.scala 27:20] + wire _T_4857 = _T_4729 & way_status_out_58; // @[Mux.scala 27:72] + wire _T_4984 = _T_4983 | _T_4857; // @[Mux.scala 27:72] + wire _T_4730 = ifu_ic_rw_int_addr_ff == 7'h3b; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_59; // @[Reg.scala 27:20] + wire _T_4858 = _T_4730 & way_status_out_59; // @[Mux.scala 27:72] + wire _T_4985 = _T_4984 | _T_4858; // @[Mux.scala 27:72] + wire _T_4731 = ifu_ic_rw_int_addr_ff == 7'h3c; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_60; // @[Reg.scala 27:20] + wire _T_4859 = _T_4731 & way_status_out_60; // @[Mux.scala 27:72] + wire _T_4986 = _T_4985 | _T_4859; // @[Mux.scala 27:72] + wire _T_4732 = ifu_ic_rw_int_addr_ff == 7'h3d; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_61; // @[Reg.scala 27:20] + wire _T_4860 = _T_4732 & way_status_out_61; // @[Mux.scala 27:72] + wire _T_4987 = _T_4986 | _T_4860; // @[Mux.scala 27:72] + wire _T_4733 = ifu_ic_rw_int_addr_ff == 7'h3e; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_62; // @[Reg.scala 27:20] + wire _T_4861 = _T_4733 & way_status_out_62; // @[Mux.scala 27:72] + wire _T_4988 = _T_4987 | _T_4861; // @[Mux.scala 27:72] + wire _T_4734 = ifu_ic_rw_int_addr_ff == 7'h3f; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_63; // @[Reg.scala 27:20] + wire _T_4862 = _T_4734 & way_status_out_63; // @[Mux.scala 27:72] + wire _T_4989 = _T_4988 | _T_4862; // @[Mux.scala 27:72] + wire _T_4735 = ifu_ic_rw_int_addr_ff == 7'h40; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_64; // @[Reg.scala 27:20] + wire _T_4863 = _T_4735 & way_status_out_64; // @[Mux.scala 27:72] + wire _T_4990 = _T_4989 | _T_4863; // @[Mux.scala 27:72] + wire _T_4736 = ifu_ic_rw_int_addr_ff == 7'h41; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_65; // @[Reg.scala 27:20] + wire _T_4864 = _T_4736 & way_status_out_65; // @[Mux.scala 27:72] + wire _T_4991 = _T_4990 | _T_4864; // @[Mux.scala 27:72] + wire _T_4737 = ifu_ic_rw_int_addr_ff == 7'h42; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_66; // @[Reg.scala 27:20] + wire _T_4865 = _T_4737 & way_status_out_66; // @[Mux.scala 27:72] + wire _T_4992 = _T_4991 | _T_4865; // @[Mux.scala 27:72] + wire _T_4738 = ifu_ic_rw_int_addr_ff == 7'h43; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_67; // @[Reg.scala 27:20] + wire _T_4866 = _T_4738 & way_status_out_67; // @[Mux.scala 27:72] + wire _T_4993 = _T_4992 | _T_4866; // @[Mux.scala 27:72] + wire _T_4739 = ifu_ic_rw_int_addr_ff == 7'h44; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_68; // @[Reg.scala 27:20] + wire _T_4867 = _T_4739 & way_status_out_68; // @[Mux.scala 27:72] + wire _T_4994 = _T_4993 | _T_4867; // @[Mux.scala 27:72] + wire _T_4740 = ifu_ic_rw_int_addr_ff == 7'h45; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_69; // @[Reg.scala 27:20] + wire _T_4868 = _T_4740 & way_status_out_69; // @[Mux.scala 27:72] + wire _T_4995 = _T_4994 | _T_4868; // @[Mux.scala 27:72] + wire _T_4741 = ifu_ic_rw_int_addr_ff == 7'h46; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_70; // @[Reg.scala 27:20] + wire _T_4869 = _T_4741 & way_status_out_70; // @[Mux.scala 27:72] + wire _T_4996 = _T_4995 | _T_4869; // @[Mux.scala 27:72] + wire _T_4742 = ifu_ic_rw_int_addr_ff == 7'h47; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_71; // @[Reg.scala 27:20] + wire _T_4870 = _T_4742 & way_status_out_71; // @[Mux.scala 27:72] + wire _T_4997 = _T_4996 | _T_4870; // @[Mux.scala 27:72] + wire _T_4743 = ifu_ic_rw_int_addr_ff == 7'h48; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_72; // @[Reg.scala 27:20] + wire _T_4871 = _T_4743 & way_status_out_72; // @[Mux.scala 27:72] + wire _T_4998 = _T_4997 | _T_4871; // @[Mux.scala 27:72] + wire _T_4744 = ifu_ic_rw_int_addr_ff == 7'h49; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_73; // @[Reg.scala 27:20] + wire _T_4872 = _T_4744 & way_status_out_73; // @[Mux.scala 27:72] + wire _T_4999 = _T_4998 | _T_4872; // @[Mux.scala 27:72] + wire _T_4745 = ifu_ic_rw_int_addr_ff == 7'h4a; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_74; // @[Reg.scala 27:20] + wire _T_4873 = _T_4745 & way_status_out_74; // @[Mux.scala 27:72] + wire _T_5000 = _T_4999 | _T_4873; // @[Mux.scala 27:72] + wire _T_4746 = ifu_ic_rw_int_addr_ff == 7'h4b; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_75; // @[Reg.scala 27:20] + wire _T_4874 = _T_4746 & way_status_out_75; // @[Mux.scala 27:72] + wire _T_5001 = _T_5000 | _T_4874; // @[Mux.scala 27:72] + wire _T_4747 = ifu_ic_rw_int_addr_ff == 7'h4c; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_76; // @[Reg.scala 27:20] + wire _T_4875 = _T_4747 & way_status_out_76; // @[Mux.scala 27:72] + wire _T_5002 = _T_5001 | _T_4875; // @[Mux.scala 27:72] + wire _T_4748 = ifu_ic_rw_int_addr_ff == 7'h4d; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_77; // @[Reg.scala 27:20] + wire _T_4876 = _T_4748 & way_status_out_77; // @[Mux.scala 27:72] + wire _T_5003 = _T_5002 | _T_4876; // @[Mux.scala 27:72] + wire _T_4749 = ifu_ic_rw_int_addr_ff == 7'h4e; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_78; // @[Reg.scala 27:20] + wire _T_4877 = _T_4749 & way_status_out_78; // @[Mux.scala 27:72] + wire _T_5004 = _T_5003 | _T_4877; // @[Mux.scala 27:72] + wire _T_4750 = ifu_ic_rw_int_addr_ff == 7'h4f; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_79; // @[Reg.scala 27:20] + wire _T_4878 = _T_4750 & way_status_out_79; // @[Mux.scala 27:72] + wire _T_5005 = _T_5004 | _T_4878; // @[Mux.scala 27:72] + wire _T_4751 = ifu_ic_rw_int_addr_ff == 7'h50; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_80; // @[Reg.scala 27:20] + wire _T_4879 = _T_4751 & way_status_out_80; // @[Mux.scala 27:72] + wire _T_5006 = _T_5005 | _T_4879; // @[Mux.scala 27:72] + wire _T_4752 = ifu_ic_rw_int_addr_ff == 7'h51; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_81; // @[Reg.scala 27:20] + wire _T_4880 = _T_4752 & way_status_out_81; // @[Mux.scala 27:72] + wire _T_5007 = _T_5006 | _T_4880; // @[Mux.scala 27:72] + wire _T_4753 = ifu_ic_rw_int_addr_ff == 7'h52; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_82; // @[Reg.scala 27:20] + wire _T_4881 = _T_4753 & way_status_out_82; // @[Mux.scala 27:72] + wire _T_5008 = _T_5007 | _T_4881; // @[Mux.scala 27:72] + wire _T_4754 = ifu_ic_rw_int_addr_ff == 7'h53; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_83; // @[Reg.scala 27:20] + wire _T_4882 = _T_4754 & way_status_out_83; // @[Mux.scala 27:72] + wire _T_5009 = _T_5008 | _T_4882; // @[Mux.scala 27:72] + wire _T_4755 = ifu_ic_rw_int_addr_ff == 7'h54; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_84; // @[Reg.scala 27:20] + wire _T_4883 = _T_4755 & way_status_out_84; // @[Mux.scala 27:72] + wire _T_5010 = _T_5009 | _T_4883; // @[Mux.scala 27:72] + wire _T_4756 = ifu_ic_rw_int_addr_ff == 7'h55; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_85; // @[Reg.scala 27:20] + wire _T_4884 = _T_4756 & way_status_out_85; // @[Mux.scala 27:72] + wire _T_5011 = _T_5010 | _T_4884; // @[Mux.scala 27:72] + wire _T_4757 = ifu_ic_rw_int_addr_ff == 7'h56; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_86; // @[Reg.scala 27:20] + wire _T_4885 = _T_4757 & way_status_out_86; // @[Mux.scala 27:72] + wire _T_5012 = _T_5011 | _T_4885; // @[Mux.scala 27:72] + wire _T_4758 = ifu_ic_rw_int_addr_ff == 7'h57; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_87; // @[Reg.scala 27:20] + wire _T_4886 = _T_4758 & way_status_out_87; // @[Mux.scala 27:72] + wire _T_5013 = _T_5012 | _T_4886; // @[Mux.scala 27:72] + wire _T_4759 = ifu_ic_rw_int_addr_ff == 7'h58; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_88; // @[Reg.scala 27:20] + wire _T_4887 = _T_4759 & way_status_out_88; // @[Mux.scala 27:72] + wire _T_5014 = _T_5013 | _T_4887; // @[Mux.scala 27:72] + wire _T_4760 = ifu_ic_rw_int_addr_ff == 7'h59; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_89; // @[Reg.scala 27:20] + wire _T_4888 = _T_4760 & way_status_out_89; // @[Mux.scala 27:72] + wire _T_5015 = _T_5014 | _T_4888; // @[Mux.scala 27:72] + wire _T_4761 = ifu_ic_rw_int_addr_ff == 7'h5a; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_90; // @[Reg.scala 27:20] + wire _T_4889 = _T_4761 & way_status_out_90; // @[Mux.scala 27:72] + wire _T_5016 = _T_5015 | _T_4889; // @[Mux.scala 27:72] + wire _T_4762 = ifu_ic_rw_int_addr_ff == 7'h5b; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_91; // @[Reg.scala 27:20] + wire _T_4890 = _T_4762 & way_status_out_91; // @[Mux.scala 27:72] + wire _T_5017 = _T_5016 | _T_4890; // @[Mux.scala 27:72] + wire _T_4763 = ifu_ic_rw_int_addr_ff == 7'h5c; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_92; // @[Reg.scala 27:20] + wire _T_4891 = _T_4763 & way_status_out_92; // @[Mux.scala 27:72] + wire _T_5018 = _T_5017 | _T_4891; // @[Mux.scala 27:72] + wire _T_4764 = ifu_ic_rw_int_addr_ff == 7'h5d; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_93; // @[Reg.scala 27:20] + wire _T_4892 = _T_4764 & way_status_out_93; // @[Mux.scala 27:72] + wire _T_5019 = _T_5018 | _T_4892; // @[Mux.scala 27:72] + wire _T_4765 = ifu_ic_rw_int_addr_ff == 7'h5e; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_94; // @[Reg.scala 27:20] + wire _T_4893 = _T_4765 & way_status_out_94; // @[Mux.scala 27:72] + wire _T_5020 = _T_5019 | _T_4893; // @[Mux.scala 27:72] + wire _T_4766 = ifu_ic_rw_int_addr_ff == 7'h5f; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_95; // @[Reg.scala 27:20] + wire _T_4894 = _T_4766 & way_status_out_95; // @[Mux.scala 27:72] + wire _T_5021 = _T_5020 | _T_4894; // @[Mux.scala 27:72] + wire _T_4767 = ifu_ic_rw_int_addr_ff == 7'h60; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_96; // @[Reg.scala 27:20] + wire _T_4895 = _T_4767 & way_status_out_96; // @[Mux.scala 27:72] + wire _T_5022 = _T_5021 | _T_4895; // @[Mux.scala 27:72] + wire _T_4768 = ifu_ic_rw_int_addr_ff == 7'h61; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_97; // @[Reg.scala 27:20] + wire _T_4896 = _T_4768 & way_status_out_97; // @[Mux.scala 27:72] + wire _T_5023 = _T_5022 | _T_4896; // @[Mux.scala 27:72] + wire _T_4769 = ifu_ic_rw_int_addr_ff == 7'h62; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_98; // @[Reg.scala 27:20] + wire _T_4897 = _T_4769 & way_status_out_98; // @[Mux.scala 27:72] + wire _T_5024 = _T_5023 | _T_4897; // @[Mux.scala 27:72] + wire _T_4770 = ifu_ic_rw_int_addr_ff == 7'h63; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_99; // @[Reg.scala 27:20] + wire _T_4898 = _T_4770 & way_status_out_99; // @[Mux.scala 27:72] + wire _T_5025 = _T_5024 | _T_4898; // @[Mux.scala 27:72] + wire _T_4771 = ifu_ic_rw_int_addr_ff == 7'h64; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_100; // @[Reg.scala 27:20] + wire _T_4899 = _T_4771 & way_status_out_100; // @[Mux.scala 27:72] + wire _T_5026 = _T_5025 | _T_4899; // @[Mux.scala 27:72] + wire _T_4772 = ifu_ic_rw_int_addr_ff == 7'h65; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_101; // @[Reg.scala 27:20] + wire _T_4900 = _T_4772 & way_status_out_101; // @[Mux.scala 27:72] + wire _T_5027 = _T_5026 | _T_4900; // @[Mux.scala 27:72] + wire _T_4773 = ifu_ic_rw_int_addr_ff == 7'h66; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_102; // @[Reg.scala 27:20] + wire _T_4901 = _T_4773 & way_status_out_102; // @[Mux.scala 27:72] + wire _T_5028 = _T_5027 | _T_4901; // @[Mux.scala 27:72] + wire _T_4774 = ifu_ic_rw_int_addr_ff == 7'h67; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_103; // @[Reg.scala 27:20] + wire _T_4902 = _T_4774 & way_status_out_103; // @[Mux.scala 27:72] + wire _T_5029 = _T_5028 | _T_4902; // @[Mux.scala 27:72] + wire _T_4775 = ifu_ic_rw_int_addr_ff == 7'h68; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_104; // @[Reg.scala 27:20] + wire _T_4903 = _T_4775 & way_status_out_104; // @[Mux.scala 27:72] + wire _T_5030 = _T_5029 | _T_4903; // @[Mux.scala 27:72] + wire _T_4776 = ifu_ic_rw_int_addr_ff == 7'h69; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_105; // @[Reg.scala 27:20] + wire _T_4904 = _T_4776 & way_status_out_105; // @[Mux.scala 27:72] + wire _T_5031 = _T_5030 | _T_4904; // @[Mux.scala 27:72] + wire _T_4777 = ifu_ic_rw_int_addr_ff == 7'h6a; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_106; // @[Reg.scala 27:20] + wire _T_4905 = _T_4777 & way_status_out_106; // @[Mux.scala 27:72] + wire _T_5032 = _T_5031 | _T_4905; // @[Mux.scala 27:72] + wire _T_4778 = ifu_ic_rw_int_addr_ff == 7'h6b; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_107; // @[Reg.scala 27:20] + wire _T_4906 = _T_4778 & way_status_out_107; // @[Mux.scala 27:72] + wire _T_5033 = _T_5032 | _T_4906; // @[Mux.scala 27:72] + wire _T_4779 = ifu_ic_rw_int_addr_ff == 7'h6c; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_108; // @[Reg.scala 27:20] + wire _T_4907 = _T_4779 & way_status_out_108; // @[Mux.scala 27:72] + wire _T_5034 = _T_5033 | _T_4907; // @[Mux.scala 27:72] + wire _T_4780 = ifu_ic_rw_int_addr_ff == 7'h6d; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_109; // @[Reg.scala 27:20] + wire _T_4908 = _T_4780 & way_status_out_109; // @[Mux.scala 27:72] + wire _T_5035 = _T_5034 | _T_4908; // @[Mux.scala 27:72] + wire _T_4781 = ifu_ic_rw_int_addr_ff == 7'h6e; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_110; // @[Reg.scala 27:20] + wire _T_4909 = _T_4781 & way_status_out_110; // @[Mux.scala 27:72] + wire _T_5036 = _T_5035 | _T_4909; // @[Mux.scala 27:72] + wire _T_4782 = ifu_ic_rw_int_addr_ff == 7'h6f; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_111; // @[Reg.scala 27:20] + wire _T_4910 = _T_4782 & way_status_out_111; // @[Mux.scala 27:72] + wire _T_5037 = _T_5036 | _T_4910; // @[Mux.scala 27:72] + wire _T_4783 = ifu_ic_rw_int_addr_ff == 7'h70; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_112; // @[Reg.scala 27:20] + wire _T_4911 = _T_4783 & way_status_out_112; // @[Mux.scala 27:72] + wire _T_5038 = _T_5037 | _T_4911; // @[Mux.scala 27:72] + wire _T_4784 = ifu_ic_rw_int_addr_ff == 7'h71; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_113; // @[Reg.scala 27:20] + wire _T_4912 = _T_4784 & way_status_out_113; // @[Mux.scala 27:72] + wire _T_5039 = _T_5038 | _T_4912; // @[Mux.scala 27:72] + wire _T_4785 = ifu_ic_rw_int_addr_ff == 7'h72; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_114; // @[Reg.scala 27:20] + wire _T_4913 = _T_4785 & way_status_out_114; // @[Mux.scala 27:72] + wire _T_5040 = _T_5039 | _T_4913; // @[Mux.scala 27:72] + wire _T_4786 = ifu_ic_rw_int_addr_ff == 7'h73; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_115; // @[Reg.scala 27:20] + wire _T_4914 = _T_4786 & way_status_out_115; // @[Mux.scala 27:72] + wire _T_5041 = _T_5040 | _T_4914; // @[Mux.scala 27:72] + wire _T_4787 = ifu_ic_rw_int_addr_ff == 7'h74; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_116; // @[Reg.scala 27:20] + wire _T_4915 = _T_4787 & way_status_out_116; // @[Mux.scala 27:72] + wire _T_5042 = _T_5041 | _T_4915; // @[Mux.scala 27:72] + wire _T_4788 = ifu_ic_rw_int_addr_ff == 7'h75; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_117; // @[Reg.scala 27:20] + wire _T_4916 = _T_4788 & way_status_out_117; // @[Mux.scala 27:72] + wire _T_5043 = _T_5042 | _T_4916; // @[Mux.scala 27:72] + wire _T_4789 = ifu_ic_rw_int_addr_ff == 7'h76; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_118; // @[Reg.scala 27:20] + wire _T_4917 = _T_4789 & way_status_out_118; // @[Mux.scala 27:72] + wire _T_5044 = _T_5043 | _T_4917; // @[Mux.scala 27:72] + wire _T_4790 = ifu_ic_rw_int_addr_ff == 7'h77; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_119; // @[Reg.scala 27:20] + wire _T_4918 = _T_4790 & way_status_out_119; // @[Mux.scala 27:72] + wire _T_5045 = _T_5044 | _T_4918; // @[Mux.scala 27:72] + wire _T_4791 = ifu_ic_rw_int_addr_ff == 7'h78; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_120; // @[Reg.scala 27:20] + wire _T_4919 = _T_4791 & way_status_out_120; // @[Mux.scala 27:72] + wire _T_5046 = _T_5045 | _T_4919; // @[Mux.scala 27:72] + wire _T_4792 = ifu_ic_rw_int_addr_ff == 7'h79; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_121; // @[Reg.scala 27:20] + wire _T_4920 = _T_4792 & way_status_out_121; // @[Mux.scala 27:72] + wire _T_5047 = _T_5046 | _T_4920; // @[Mux.scala 27:72] + wire _T_4793 = ifu_ic_rw_int_addr_ff == 7'h7a; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_122; // @[Reg.scala 27:20] + wire _T_4921 = _T_4793 & way_status_out_122; // @[Mux.scala 27:72] + wire _T_5048 = _T_5047 | _T_4921; // @[Mux.scala 27:72] + wire _T_4794 = ifu_ic_rw_int_addr_ff == 7'h7b; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_123; // @[Reg.scala 27:20] + wire _T_4922 = _T_4794 & way_status_out_123; // @[Mux.scala 27:72] + wire _T_5049 = _T_5048 | _T_4922; // @[Mux.scala 27:72] + wire _T_4795 = ifu_ic_rw_int_addr_ff == 7'h7c; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_124; // @[Reg.scala 27:20] + wire _T_4923 = _T_4795 & way_status_out_124; // @[Mux.scala 27:72] + wire _T_5050 = _T_5049 | _T_4923; // @[Mux.scala 27:72] + wire _T_4796 = ifu_ic_rw_int_addr_ff == 7'h7d; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_125; // @[Reg.scala 27:20] + wire _T_4924 = _T_4796 & way_status_out_125; // @[Mux.scala 27:72] + wire _T_5051 = _T_5050 | _T_4924; // @[Mux.scala 27:72] + wire _T_4797 = ifu_ic_rw_int_addr_ff == 7'h7e; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_126; // @[Reg.scala 27:20] + wire _T_4925 = _T_4797 & way_status_out_126; // @[Mux.scala 27:72] + wire _T_5052 = _T_5051 | _T_4925; // @[Mux.scala 27:72] + wire _T_4798 = ifu_ic_rw_int_addr_ff == 7'h7f; // @[ifu_mem_ctl.scala 665:80] + reg way_status_out_127; // @[Reg.scala 27:20] + wire _T_4926 = _T_4798 & way_status_out_127; // @[Mux.scala 27:72] + wire way_status = _T_5052 | _T_4926; // @[Mux.scala 27:72] + wire _T_195 = ~reset_all_tags; // @[ifu_mem_ctl.scala 168:96] + wire [1:0] _T_197 = _T_195 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_198 = _T_197 & io_ic_tag_valid; // @[ifu_mem_ctl.scala 168:113] + reg [1:0] tagv_mb_scnd_ff; // @[ifu_mem_ctl.scala 174:58] + reg uncacheable_miss_scnd_ff; // @[ifu_mem_ctl.scala 170:67] + reg [30:0] imb_scnd_ff; // @[ifu_mem_ctl.scala 172:54] + wire [2:0] _T_206 = bus_ifu_wr_en_ff ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + reg [2:0] ifu_bus_rid_ff; // @[ifu_mem_ctl.scala 514:46] + wire [2:0] ic_wr_addr_bits_hi_3 = ifu_bus_rid_ff & _T_206; // @[ifu_mem_ctl.scala 177:45] + wire _T_212 = _T_231 | _T_239; // @[ifu_mem_ctl.scala 182:59] + wire _T_214 = _T_212 | _T_2268; // @[ifu_mem_ctl.scala 182:91] + wire ic_iccm_hit_f = fetch_req_iccm_f & _T_214; // @[ifu_mem_ctl.scala 182:41] + wire _T_219 = _T_227 & fetch_req_icache_f; // @[ifu_mem_ctl.scala 188:39] + wire _T_221 = _T_219 & _T_195; // @[ifu_mem_ctl.scala 188:60] + wire _T_225 = _T_221 & _T_212; // @[ifu_mem_ctl.scala 188:78] + wire ic_act_hit_f = _T_225 & _T_247; // @[ifu_mem_ctl.scala 188:126] + wire _T_262 = ic_act_hit_f | ic_byp_hit_f; // @[ifu_mem_ctl.scala 195:31] + wire _T_263 = _T_262 | ic_iccm_hit_f; // @[ifu_mem_ctl.scala 195:46] + wire _T_264 = ifc_region_acc_fault_final_f & ifc_fetch_req_f; // @[ifu_mem_ctl.scala 195:94] + wire _T_268 = sel_hold_imb ? uncacheable_miss_ff : io_ifc_fetch_uncacheable_bf; // @[ifu_mem_ctl.scala 196:84] + wire uncacheable_miss_in = scnd_miss_req ? uncacheable_miss_scnd_ff : _T_268; // @[ifu_mem_ctl.scala 196:32] + wire _T_274 = imb_ff[11:5] == imb_scnd_ff[11:5]; // @[ifu_mem_ctl.scala 199:79] + wire _T_275 = _T_274 & scnd_miss_req; // @[ifu_mem_ctl.scala 199:135] + reg [1:0] ifu_bus_rresp_ff; // @[ifu_mem_ctl.scala 512:51] + wire _T_2693 = |ifu_bus_rresp_ff; // @[ifu_mem_ctl.scala 558:48] + wire _T_2694 = _T_2693 & ifu_bus_rvalid_ff; // @[ifu_mem_ctl.scala 558:52] + wire bus_ifu_wr_data_error_ff = _T_2694 & miss_pending; // @[ifu_mem_ctl.scala 558:73] + reg ifu_wr_data_comb_err_ff; // @[ifu_mem_ctl.scala 276:61] + wire ifu_wr_cumulative_err_data = bus_ifu_wr_data_error_ff | ifu_wr_data_comb_err_ff; // @[ifu_mem_ctl.scala 275:55] + wire _T_276 = ~ifu_wr_cumulative_err_data; // @[ifu_mem_ctl.scala 199:153] + wire scnd_miss_index_match = _T_275 & _T_276; // @[ifu_mem_ctl.scala 199:151] + wire _T_277 = ~scnd_miss_index_match; // @[ifu_mem_ctl.scala 202:47] + wire _T_278 = scnd_miss_req & _T_277; // @[ifu_mem_ctl.scala 202:45] + wire _T_280 = scnd_miss_req & scnd_miss_index_match; // @[ifu_mem_ctl.scala 203:26] + reg way_status_mb_ff; // @[ifu_mem_ctl.scala 223:59] + wire _T_9756 = ~way_status_mb_ff; // @[ifu_mem_ctl.scala 720:33] + reg [1:0] tagv_mb_ff; // @[ifu_mem_ctl.scala 224:53] + wire _T_9758 = _T_9756 & tagv_mb_ff[0]; // @[ifu_mem_ctl.scala 720:51] + wire _T_9760 = _T_9758 & tagv_mb_ff[1]; // @[ifu_mem_ctl.scala 720:67] + wire _T_9762 = ~tagv_mb_ff[0]; // @[ifu_mem_ctl.scala 720:86] + wire replace_way_mb_any_0 = _T_9760 | _T_9762; // @[ifu_mem_ctl.scala 720:84] + wire [1:0] _T_287 = scnd_miss_index_match ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire _T_9765 = way_status_mb_ff & tagv_mb_ff[0]; // @[ifu_mem_ctl.scala 721:50] + wire _T_9767 = _T_9765 & tagv_mb_ff[1]; // @[ifu_mem_ctl.scala 721:66] + wire _T_9769 = ~tagv_mb_ff[1]; // @[ifu_mem_ctl.scala 721:85] + wire _T_9771 = _T_9769 & tagv_mb_ff[0]; // @[ifu_mem_ctl.scala 721:100] + wire replace_way_mb_any_1 = _T_9767 | _T_9771; // @[ifu_mem_ctl.scala 721:83] + wire [1:0] _T_288 = {replace_way_mb_any_1,replace_way_mb_any_0}; // @[Cat.scala 29:58] + wire [1:0] _T_289 = _T_287 & _T_288; // @[ifu_mem_ctl.scala 207:110] + wire [1:0] _T_290 = tagv_mb_scnd_ff | _T_289; // @[ifu_mem_ctl.scala 207:62] + wire [1:0] _T_295 = io_ic_tag_valid & _T_197; // @[ifu_mem_ctl.scala 208:56] + wire _T_297 = ~scnd_miss_req_q; // @[ifu_mem_ctl.scala 211:36] + wire _T_298 = miss_pending & _T_297; // @[ifu_mem_ctl.scala 211:34] + reg reset_ic_ff; // @[ifu_mem_ctl.scala 212:48] + wire _T_299 = reset_all_tags | reset_ic_ff; // @[ifu_mem_ctl.scala 211:72] + wire reset_ic_in = _T_298 & _T_299; // @[ifu_mem_ctl.scala 211:53] + reg fetch_uncacheable_ff; // @[ifu_mem_ctl.scala 213:62] + reg [25:0] miss_addr; // @[ifu_mem_ctl.scala 222:48] + wire _T_309 = io_ifu_bus_clk_en | ic_act_miss_f; // @[ifu_mem_ctl.scala 221:57] + wire _T_315 = _T_2283 & flush_final_f; // @[ifu_mem_ctl.scala 226:87] + wire _T_316 = ~_T_315; // @[ifu_mem_ctl.scala 226:55] + wire _T_317 = io_ifc_fetch_req_bf & _T_316; // @[ifu_mem_ctl.scala 226:53] + wire _T_2275 = ~_T_2270; // @[ifu_mem_ctl.scala 373:46] + wire _T_2276 = _T_2268 & _T_2275; // @[ifu_mem_ctl.scala 373:44] + wire stream_miss_f = _T_2276 & ifc_fetch_req_f; // @[ifu_mem_ctl.scala 373:84] + wire _T_318 = ~stream_miss_f; // @[ifu_mem_ctl.scala 226:106] + reg ifc_region_acc_fault_f; // @[ifu_mem_ctl.scala 232:68] + reg [2:0] bus_rd_addr_count; // @[ifu_mem_ctl.scala 540:55] + wire [28:0] ifu_ic_req_addr_f = {miss_addr,bus_rd_addr_count}; // @[Cat.scala 29:58] + wire _T_325 = _T_239 | _T_2268; // @[ifu_mem_ctl.scala 234:55] + wire _T_328 = _T_325 & _T_56; // @[ifu_mem_ctl.scala 234:82] + wire _T_2289 = ~ifu_bus_rid_ff[0]; // @[ifu_mem_ctl.scala 378:55] + wire [2:0] other_tag = {ifu_bus_rid_ff[2:1],_T_2289}; // @[Cat.scala 29:58] + wire _T_2290 = other_tag == 3'h0; // @[ifu_mem_ctl.scala 379:81] + wire _T_2314 = _T_2290 & ic_miss_buff_data_valid[0]; // @[Mux.scala 27:72] + wire _T_2293 = other_tag == 3'h1; // @[ifu_mem_ctl.scala 379:81] + wire _T_2315 = _T_2293 & ic_miss_buff_data_valid[1]; // @[Mux.scala 27:72] + wire _T_2322 = _T_2314 | _T_2315; // @[Mux.scala 27:72] + wire _T_2296 = other_tag == 3'h2; // @[ifu_mem_ctl.scala 379:81] + wire _T_2316 = _T_2296 & ic_miss_buff_data_valid[2]; // @[Mux.scala 27:72] + wire _T_2323 = _T_2322 | _T_2316; // @[Mux.scala 27:72] + wire _T_2299 = other_tag == 3'h3; // @[ifu_mem_ctl.scala 379:81] + wire _T_2317 = _T_2299 & ic_miss_buff_data_valid[3]; // @[Mux.scala 27:72] + wire _T_2324 = _T_2323 | _T_2317; // @[Mux.scala 27:72] + wire _T_2302 = other_tag == 3'h4; // @[ifu_mem_ctl.scala 379:81] + wire _T_2318 = _T_2302 & ic_miss_buff_data_valid[4]; // @[Mux.scala 27:72] + wire _T_2325 = _T_2324 | _T_2318; // @[Mux.scala 27:72] + wire _T_2305 = other_tag == 3'h5; // @[ifu_mem_ctl.scala 379:81] + wire _T_2319 = _T_2305 & ic_miss_buff_data_valid[5]; // @[Mux.scala 27:72] + wire _T_2326 = _T_2325 | _T_2319; // @[Mux.scala 27:72] + wire _T_2308 = other_tag == 3'h6; // @[ifu_mem_ctl.scala 379:81] + wire _T_2320 = _T_2308 & ic_miss_buff_data_valid[6]; // @[Mux.scala 27:72] + wire _T_2327 = _T_2326 | _T_2320; // @[Mux.scala 27:72] + wire _T_2311 = other_tag == 3'h7; // @[ifu_mem_ctl.scala 379:81] + wire _T_2321 = _T_2311 & ic_miss_buff_data_valid[7]; // @[Mux.scala 27:72] + wire second_half_available = _T_2327 | _T_2321; // @[Mux.scala 27:72] + wire write_ic_16_bytes = second_half_available & bus_ifu_wr_en_ff; // @[ifu_mem_ctl.scala 380:46] + wire _T_332 = miss_pending & write_ic_16_bytes; // @[ifu_mem_ctl.scala 238:35] + wire _T_334 = _T_332 & _T_17; // @[ifu_mem_ctl.scala 238:55] + reg ic_act_miss_f_delayed; // @[ifu_mem_ctl.scala 555:61] + wire _T_2687 = ic_act_miss_f_delayed & _T_2284; // @[ifu_mem_ctl.scala 556:53] + wire reset_tag_valid_for_miss = _T_2687 & _T_17; // @[ifu_mem_ctl.scala 556:84] + wire sel_mb_addr = _T_334 | reset_tag_valid_for_miss; // @[ifu_mem_ctl.scala 238:79] + wire [30:0] _T_338 = {imb_ff[30:5],ic_wr_addr_bits_hi_3,imb_ff[1:0]}; // @[Cat.scala 29:58] + wire _T_339 = ~sel_mb_addr; // @[ifu_mem_ctl.scala 240:37] + wire [30:0] _T_340 = sel_mb_addr ? _T_338 : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_341 = _T_339 ? io_ifc_fetch_addr_bf : 31'h0; // @[Mux.scala 27:72] + wire [30:0] ifu_ic_rw_int_addr = _T_340 | _T_341; // @[Mux.scala 27:72] + wire _T_346 = _T_334 & last_beat; // @[ifu_mem_ctl.scala 242:85] + wire _T_2681 = ~_T_2693; // @[ifu_mem_ctl.scala 553:84] + wire _T_2682 = _T_100 & _T_2681; // @[ifu_mem_ctl.scala 553:82] + wire bus_ifu_wr_en_ff_q = _T_2682 & write_ic_16_bytes; // @[ifu_mem_ctl.scala 553:108] + wire _T_347 = _T_346 & bus_ifu_wr_en_ff_q; // @[ifu_mem_ctl.scala 242:97] + wire sel_mb_status_addr = _T_347 | reset_tag_valid_for_miss; // @[ifu_mem_ctl.scala 242:119] + wire [30:0] ifu_status_wr_addr = sel_mb_status_addr ? _T_338 : ifu_fetch_addr_int_f; // @[ifu_mem_ctl.scala 243:31] + reg [63:0] ifu_bus_rdata_ff; // @[ifu_mem_ctl.scala 513:48] + wire [6:0] _T_570 = {ifu_bus_rdata_ff[63],ifu_bus_rdata_ff[62],ifu_bus_rdata_ff[61],ifu_bus_rdata_ff[60],ifu_bus_rdata_ff[59],ifu_bus_rdata_ff[58],ifu_bus_rdata_ff[57]}; // @[lib.scala 276:13] + wire _T_571 = ^_T_570; // @[lib.scala 276:20] + wire [6:0] _T_577 = {ifu_bus_rdata_ff[32],ifu_bus_rdata_ff[31],ifu_bus_rdata_ff[30],ifu_bus_rdata_ff[29],ifu_bus_rdata_ff[28],ifu_bus_rdata_ff[27],ifu_bus_rdata_ff[26]}; // @[lib.scala 276:30] + wire [7:0] _T_584 = {ifu_bus_rdata_ff[40],ifu_bus_rdata_ff[39],ifu_bus_rdata_ff[38],ifu_bus_rdata_ff[37],ifu_bus_rdata_ff[36],ifu_bus_rdata_ff[35],ifu_bus_rdata_ff[34],ifu_bus_rdata_ff[33]}; // @[lib.scala 276:30] + wire [14:0] _T_585 = {ifu_bus_rdata_ff[40],ifu_bus_rdata_ff[39],ifu_bus_rdata_ff[38],ifu_bus_rdata_ff[37],ifu_bus_rdata_ff[36],ifu_bus_rdata_ff[35],ifu_bus_rdata_ff[34],ifu_bus_rdata_ff[33],_T_577}; // @[lib.scala 276:30] + wire [7:0] _T_592 = {ifu_bus_rdata_ff[48],ifu_bus_rdata_ff[47],ifu_bus_rdata_ff[46],ifu_bus_rdata_ff[45],ifu_bus_rdata_ff[44],ifu_bus_rdata_ff[43],ifu_bus_rdata_ff[42],ifu_bus_rdata_ff[41]}; // @[lib.scala 276:30] + wire [30:0] _T_601 = {ifu_bus_rdata_ff[56],ifu_bus_rdata_ff[55],ifu_bus_rdata_ff[54],ifu_bus_rdata_ff[53],ifu_bus_rdata_ff[52],ifu_bus_rdata_ff[51],ifu_bus_rdata_ff[50],ifu_bus_rdata_ff[49],_T_592,_T_585}; // @[lib.scala 276:30] + wire _T_602 = ^_T_601; // @[lib.scala 276:37] + wire [6:0] _T_608 = {ifu_bus_rdata_ff[17],ifu_bus_rdata_ff[16],ifu_bus_rdata_ff[15],ifu_bus_rdata_ff[14],ifu_bus_rdata_ff[13],ifu_bus_rdata_ff[12],ifu_bus_rdata_ff[11]}; // @[lib.scala 276:47] + wire [14:0] _T_616 = {ifu_bus_rdata_ff[25],ifu_bus_rdata_ff[24],ifu_bus_rdata_ff[23],ifu_bus_rdata_ff[22],ifu_bus_rdata_ff[21],ifu_bus_rdata_ff[20],ifu_bus_rdata_ff[19],ifu_bus_rdata_ff[18],_T_608}; // @[lib.scala 276:47] + wire [30:0] _T_632 = {ifu_bus_rdata_ff[56],ifu_bus_rdata_ff[55],ifu_bus_rdata_ff[54],ifu_bus_rdata_ff[53],ifu_bus_rdata_ff[52],ifu_bus_rdata_ff[51],ifu_bus_rdata_ff[50],ifu_bus_rdata_ff[49],_T_592,_T_616}; // @[lib.scala 276:47] + wire _T_633 = ^_T_632; // @[lib.scala 276:54] + wire [6:0] _T_639 = {ifu_bus_rdata_ff[10],ifu_bus_rdata_ff[9],ifu_bus_rdata_ff[8],ifu_bus_rdata_ff[7],ifu_bus_rdata_ff[6],ifu_bus_rdata_ff[5],ifu_bus_rdata_ff[4]}; // @[lib.scala 276:64] + wire [14:0] _T_647 = {ifu_bus_rdata_ff[25],ifu_bus_rdata_ff[24],ifu_bus_rdata_ff[23],ifu_bus_rdata_ff[22],ifu_bus_rdata_ff[21],ifu_bus_rdata_ff[20],ifu_bus_rdata_ff[19],ifu_bus_rdata_ff[18],_T_639}; // @[lib.scala 276:64] + wire [30:0] _T_663 = {ifu_bus_rdata_ff[56],ifu_bus_rdata_ff[55],ifu_bus_rdata_ff[54],ifu_bus_rdata_ff[53],ifu_bus_rdata_ff[52],ifu_bus_rdata_ff[51],ifu_bus_rdata_ff[50],ifu_bus_rdata_ff[49],_T_584,_T_647}; // @[lib.scala 276:64] + wire _T_664 = ^_T_663; // @[lib.scala 276:71] + wire [7:0] _T_671 = {ifu_bus_rdata_ff[14],ifu_bus_rdata_ff[10],ifu_bus_rdata_ff[9],ifu_bus_rdata_ff[8],ifu_bus_rdata_ff[7],ifu_bus_rdata_ff[3],ifu_bus_rdata_ff[2],ifu_bus_rdata_ff[1]}; // @[lib.scala 276:81] + wire [16:0] _T_680 = {ifu_bus_rdata_ff[30],ifu_bus_rdata_ff[29],ifu_bus_rdata_ff[25],ifu_bus_rdata_ff[24],ifu_bus_rdata_ff[23],ifu_bus_rdata_ff[22],ifu_bus_rdata_ff[17],ifu_bus_rdata_ff[16],ifu_bus_rdata_ff[15],_T_671}; // @[lib.scala 276:81] + wire [8:0] _T_688 = {ifu_bus_rdata_ff[47],ifu_bus_rdata_ff[46],ifu_bus_rdata_ff[45],ifu_bus_rdata_ff[40],ifu_bus_rdata_ff[39],ifu_bus_rdata_ff[38],ifu_bus_rdata_ff[37],ifu_bus_rdata_ff[32],ifu_bus_rdata_ff[31]}; // @[lib.scala 276:81] + wire [17:0] _T_697 = {ifu_bus_rdata_ff[63],ifu_bus_rdata_ff[62],ifu_bus_rdata_ff[61],ifu_bus_rdata_ff[60],ifu_bus_rdata_ff[56],ifu_bus_rdata_ff[55],ifu_bus_rdata_ff[54],ifu_bus_rdata_ff[53],ifu_bus_rdata_ff[48],_T_688}; // @[lib.scala 276:81] + wire [34:0] _T_698 = {_T_697,_T_680}; // @[lib.scala 276:81] + wire _T_699 = ^_T_698; // @[lib.scala 276:88] + wire [7:0] _T_706 = {ifu_bus_rdata_ff[12],ifu_bus_rdata_ff[10],ifu_bus_rdata_ff[9],ifu_bus_rdata_ff[6],ifu_bus_rdata_ff[5],ifu_bus_rdata_ff[3],ifu_bus_rdata_ff[2],ifu_bus_rdata_ff[0]}; // @[lib.scala 276:98] + wire [16:0] _T_715 = {ifu_bus_rdata_ff[28],ifu_bus_rdata_ff[27],ifu_bus_rdata_ff[25],ifu_bus_rdata_ff[24],ifu_bus_rdata_ff[21],ifu_bus_rdata_ff[20],ifu_bus_rdata_ff[17],ifu_bus_rdata_ff[16],ifu_bus_rdata_ff[13],_T_706}; // @[lib.scala 276:98] + wire [8:0] _T_723 = {ifu_bus_rdata_ff[47],ifu_bus_rdata_ff[44],ifu_bus_rdata_ff[43],ifu_bus_rdata_ff[40],ifu_bus_rdata_ff[39],ifu_bus_rdata_ff[36],ifu_bus_rdata_ff[35],ifu_bus_rdata_ff[32],ifu_bus_rdata_ff[31]}; // @[lib.scala 276:98] + wire [17:0] _T_732 = {ifu_bus_rdata_ff[63],ifu_bus_rdata_ff[62],ifu_bus_rdata_ff[59],ifu_bus_rdata_ff[58],ifu_bus_rdata_ff[56],ifu_bus_rdata_ff[55],ifu_bus_rdata_ff[52],ifu_bus_rdata_ff[51],ifu_bus_rdata_ff[48],_T_723}; // @[lib.scala 276:98] + wire [34:0] _T_733 = {_T_732,_T_715}; // @[lib.scala 276:98] + wire _T_734 = ^_T_733; // @[lib.scala 276:105] + wire [7:0] _T_741 = {ifu_bus_rdata_ff[11],ifu_bus_rdata_ff[10],ifu_bus_rdata_ff[8],ifu_bus_rdata_ff[6],ifu_bus_rdata_ff[4],ifu_bus_rdata_ff[3],ifu_bus_rdata_ff[1],ifu_bus_rdata_ff[0]}; // @[lib.scala 276:115] + wire [16:0] _T_750 = {ifu_bus_rdata_ff[28],ifu_bus_rdata_ff[26],ifu_bus_rdata_ff[25],ifu_bus_rdata_ff[23],ifu_bus_rdata_ff[21],ifu_bus_rdata_ff[19],ifu_bus_rdata_ff[17],ifu_bus_rdata_ff[15],ifu_bus_rdata_ff[13],_T_741}; // @[lib.scala 276:115] + wire [8:0] _T_758 = {ifu_bus_rdata_ff[46],ifu_bus_rdata_ff[44],ifu_bus_rdata_ff[42],ifu_bus_rdata_ff[40],ifu_bus_rdata_ff[38],ifu_bus_rdata_ff[36],ifu_bus_rdata_ff[34],ifu_bus_rdata_ff[32],ifu_bus_rdata_ff[30]}; // @[lib.scala 276:115] + wire [17:0] _T_767 = {ifu_bus_rdata_ff[63],ifu_bus_rdata_ff[61],ifu_bus_rdata_ff[59],ifu_bus_rdata_ff[57],ifu_bus_rdata_ff[56],ifu_bus_rdata_ff[54],ifu_bus_rdata_ff[52],ifu_bus_rdata_ff[50],ifu_bus_rdata_ff[48],_T_758}; // @[lib.scala 276:115] + wire [34:0] _T_768 = {_T_767,_T_750}; // @[lib.scala 276:115] + wire _T_769 = ^_T_768; // @[lib.scala 276:122] + wire [3:0] _T_2330 = {ifu_bus_rid_ff[2:1],_T_2289,1'h1}; // @[Cat.scala 29:58] + wire _T_2331 = _T_2330 == 4'h0; // @[ifu_mem_ctl.scala 381:89] + reg [31:0] ic_miss_buff_data_0; // @[ifu_mem_ctl.scala 316:65] + wire [31:0] _T_2378 = _T_2331 ? ic_miss_buff_data_0 : 32'h0; // @[Mux.scala 27:72] + wire _T_2334 = _T_2330 == 4'h1; // @[ifu_mem_ctl.scala 381:89] + reg [31:0] ic_miss_buff_data_1; // @[ifu_mem_ctl.scala 317:67] + wire [31:0] _T_2379 = _T_2334 ? ic_miss_buff_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2394 = _T_2378 | _T_2379; // @[Mux.scala 27:72] + wire _T_2337 = _T_2330 == 4'h2; // @[ifu_mem_ctl.scala 381:89] + reg [31:0] ic_miss_buff_data_2; // @[ifu_mem_ctl.scala 316:65] + wire [31:0] _T_2380 = _T_2337 ? ic_miss_buff_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2395 = _T_2394 | _T_2380; // @[Mux.scala 27:72] + wire _T_2340 = _T_2330 == 4'h3; // @[ifu_mem_ctl.scala 381:89] + reg [31:0] ic_miss_buff_data_3; // @[ifu_mem_ctl.scala 317:67] + wire [31:0] _T_2381 = _T_2340 ? ic_miss_buff_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2396 = _T_2395 | _T_2381; // @[Mux.scala 27:72] + wire _T_2343 = _T_2330 == 4'h4; // @[ifu_mem_ctl.scala 381:89] + reg [31:0] ic_miss_buff_data_4; // @[ifu_mem_ctl.scala 316:65] + wire [31:0] _T_2382 = _T_2343 ? ic_miss_buff_data_4 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2397 = _T_2396 | _T_2382; // @[Mux.scala 27:72] + wire _T_2346 = _T_2330 == 4'h5; // @[ifu_mem_ctl.scala 381:89] + reg [31:0] ic_miss_buff_data_5; // @[ifu_mem_ctl.scala 317:67] + wire [31:0] _T_2383 = _T_2346 ? ic_miss_buff_data_5 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2398 = _T_2397 | _T_2383; // @[Mux.scala 27:72] + wire _T_2349 = _T_2330 == 4'h6; // @[ifu_mem_ctl.scala 381:89] + reg [31:0] ic_miss_buff_data_6; // @[ifu_mem_ctl.scala 316:65] + wire [31:0] _T_2384 = _T_2349 ? ic_miss_buff_data_6 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2399 = _T_2398 | _T_2384; // @[Mux.scala 27:72] + wire _T_2352 = _T_2330 == 4'h7; // @[ifu_mem_ctl.scala 381:89] + reg [31:0] ic_miss_buff_data_7; // @[ifu_mem_ctl.scala 317:67] + wire [31:0] _T_2385 = _T_2352 ? ic_miss_buff_data_7 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2400 = _T_2399 | _T_2385; // @[Mux.scala 27:72] + wire _T_2355 = _T_2330 == 4'h8; // @[ifu_mem_ctl.scala 381:89] + reg [31:0] ic_miss_buff_data_8; // @[ifu_mem_ctl.scala 316:65] + wire [31:0] _T_2386 = _T_2355 ? ic_miss_buff_data_8 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2401 = _T_2400 | _T_2386; // @[Mux.scala 27:72] + wire _T_2358 = _T_2330 == 4'h9; // @[ifu_mem_ctl.scala 381:89] + reg [31:0] ic_miss_buff_data_9; // @[ifu_mem_ctl.scala 317:67] + wire [31:0] _T_2387 = _T_2358 ? ic_miss_buff_data_9 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2402 = _T_2401 | _T_2387; // @[Mux.scala 27:72] + wire _T_2361 = _T_2330 == 4'ha; // @[ifu_mem_ctl.scala 381:89] + reg [31:0] ic_miss_buff_data_10; // @[ifu_mem_ctl.scala 316:65] + wire [31:0] _T_2388 = _T_2361 ? ic_miss_buff_data_10 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2403 = _T_2402 | _T_2388; // @[Mux.scala 27:72] + wire _T_2364 = _T_2330 == 4'hb; // @[ifu_mem_ctl.scala 381:89] + reg [31:0] ic_miss_buff_data_11; // @[ifu_mem_ctl.scala 317:67] + wire [31:0] _T_2389 = _T_2364 ? ic_miss_buff_data_11 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2404 = _T_2403 | _T_2389; // @[Mux.scala 27:72] + wire _T_2367 = _T_2330 == 4'hc; // @[ifu_mem_ctl.scala 381:89] + reg [31:0] ic_miss_buff_data_12; // @[ifu_mem_ctl.scala 316:65] + wire [31:0] _T_2390 = _T_2367 ? ic_miss_buff_data_12 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2405 = _T_2404 | _T_2390; // @[Mux.scala 27:72] + wire _T_2370 = _T_2330 == 4'hd; // @[ifu_mem_ctl.scala 381:89] + reg [31:0] ic_miss_buff_data_13; // @[ifu_mem_ctl.scala 317:67] + wire [31:0] _T_2391 = _T_2370 ? ic_miss_buff_data_13 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2406 = _T_2405 | _T_2391; // @[Mux.scala 27:72] + wire _T_2373 = _T_2330 == 4'he; // @[ifu_mem_ctl.scala 381:89] + reg [31:0] ic_miss_buff_data_14; // @[ifu_mem_ctl.scala 316:65] + wire [31:0] _T_2392 = _T_2373 ? ic_miss_buff_data_14 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2407 = _T_2406 | _T_2392; // @[Mux.scala 27:72] + wire _T_2376 = _T_2330 == 4'hf; // @[ifu_mem_ctl.scala 381:89] + reg [31:0] ic_miss_buff_data_15; // @[ifu_mem_ctl.scala 317:67] + wire [31:0] _T_2393 = _T_2376 ? ic_miss_buff_data_15 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2408 = _T_2407 | _T_2393; // @[Mux.scala 27:72] + wire [3:0] _T_2410 = {ifu_bus_rid_ff[2:1],_T_2289,1'h0}; // @[Cat.scala 29:58] + wire _T_2411 = _T_2410 == 4'h0; // @[ifu_mem_ctl.scala 382:66] + wire [31:0] _T_2458 = _T_2411 ? ic_miss_buff_data_0 : 32'h0; // @[Mux.scala 27:72] + wire _T_2414 = _T_2410 == 4'h1; // @[ifu_mem_ctl.scala 382:66] + wire [31:0] _T_2459 = _T_2414 ? ic_miss_buff_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2474 = _T_2458 | _T_2459; // @[Mux.scala 27:72] + wire _T_2417 = _T_2410 == 4'h2; // @[ifu_mem_ctl.scala 382:66] + wire [31:0] _T_2460 = _T_2417 ? ic_miss_buff_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2475 = _T_2474 | _T_2460; // @[Mux.scala 27:72] + wire _T_2420 = _T_2410 == 4'h3; // @[ifu_mem_ctl.scala 382:66] + wire [31:0] _T_2461 = _T_2420 ? ic_miss_buff_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2476 = _T_2475 | _T_2461; // @[Mux.scala 27:72] + wire _T_2423 = _T_2410 == 4'h4; // @[ifu_mem_ctl.scala 382:66] + wire [31:0] _T_2462 = _T_2423 ? ic_miss_buff_data_4 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2477 = _T_2476 | _T_2462; // @[Mux.scala 27:72] + wire _T_2426 = _T_2410 == 4'h5; // @[ifu_mem_ctl.scala 382:66] + wire [31:0] _T_2463 = _T_2426 ? ic_miss_buff_data_5 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2478 = _T_2477 | _T_2463; // @[Mux.scala 27:72] + wire _T_2429 = _T_2410 == 4'h6; // @[ifu_mem_ctl.scala 382:66] + wire [31:0] _T_2464 = _T_2429 ? ic_miss_buff_data_6 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2479 = _T_2478 | _T_2464; // @[Mux.scala 27:72] + wire _T_2432 = _T_2410 == 4'h7; // @[ifu_mem_ctl.scala 382:66] + wire [31:0] _T_2465 = _T_2432 ? ic_miss_buff_data_7 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2480 = _T_2479 | _T_2465; // @[Mux.scala 27:72] + wire _T_2435 = _T_2410 == 4'h8; // @[ifu_mem_ctl.scala 382:66] + wire [31:0] _T_2466 = _T_2435 ? ic_miss_buff_data_8 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2481 = _T_2480 | _T_2466; // @[Mux.scala 27:72] + wire _T_2438 = _T_2410 == 4'h9; // @[ifu_mem_ctl.scala 382:66] + wire [31:0] _T_2467 = _T_2438 ? ic_miss_buff_data_9 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2482 = _T_2481 | _T_2467; // @[Mux.scala 27:72] + wire _T_2441 = _T_2410 == 4'ha; // @[ifu_mem_ctl.scala 382:66] + wire [31:0] _T_2468 = _T_2441 ? ic_miss_buff_data_10 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2483 = _T_2482 | _T_2468; // @[Mux.scala 27:72] + wire _T_2444 = _T_2410 == 4'hb; // @[ifu_mem_ctl.scala 382:66] + wire [31:0] _T_2469 = _T_2444 ? ic_miss_buff_data_11 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2484 = _T_2483 | _T_2469; // @[Mux.scala 27:72] + wire _T_2447 = _T_2410 == 4'hc; // @[ifu_mem_ctl.scala 382:66] + wire [31:0] _T_2470 = _T_2447 ? ic_miss_buff_data_12 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2485 = _T_2484 | _T_2470; // @[Mux.scala 27:72] + wire _T_2450 = _T_2410 == 4'hd; // @[ifu_mem_ctl.scala 382:66] + wire [31:0] _T_2471 = _T_2450 ? ic_miss_buff_data_13 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2486 = _T_2485 | _T_2471; // @[Mux.scala 27:72] + wire _T_2453 = _T_2410 == 4'he; // @[ifu_mem_ctl.scala 382:66] + wire [31:0] _T_2472 = _T_2453 ? ic_miss_buff_data_14 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2487 = _T_2486 | _T_2472; // @[Mux.scala 27:72] + wire _T_2456 = _T_2410 == 4'hf; // @[ifu_mem_ctl.scala 382:66] + wire [31:0] _T_2473 = _T_2456 ? ic_miss_buff_data_15 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2488 = _T_2487 | _T_2473; // @[Mux.scala 27:72] + wire [63:0] ic_miss_buff_half = {_T_2408,_T_2488}; // @[Cat.scala 29:58] + wire [6:0] _T_992 = {ic_miss_buff_half[63],ic_miss_buff_half[62],ic_miss_buff_half[61],ic_miss_buff_half[60],ic_miss_buff_half[59],ic_miss_buff_half[58],ic_miss_buff_half[57]}; // @[lib.scala 276:13] + wire _T_993 = ^_T_992; // @[lib.scala 276:20] + wire [6:0] _T_999 = {ic_miss_buff_half[32],ic_miss_buff_half[31],ic_miss_buff_half[30],ic_miss_buff_half[29],ic_miss_buff_half[28],ic_miss_buff_half[27],ic_miss_buff_half[26]}; // @[lib.scala 276:30] + wire [7:0] _T_1006 = {ic_miss_buff_half[40],ic_miss_buff_half[39],ic_miss_buff_half[38],ic_miss_buff_half[37],ic_miss_buff_half[36],ic_miss_buff_half[35],ic_miss_buff_half[34],ic_miss_buff_half[33]}; // @[lib.scala 276:30] + wire [14:0] _T_1007 = {ic_miss_buff_half[40],ic_miss_buff_half[39],ic_miss_buff_half[38],ic_miss_buff_half[37],ic_miss_buff_half[36],ic_miss_buff_half[35],ic_miss_buff_half[34],ic_miss_buff_half[33],_T_999}; // @[lib.scala 276:30] + wire [7:0] _T_1014 = {ic_miss_buff_half[48],ic_miss_buff_half[47],ic_miss_buff_half[46],ic_miss_buff_half[45],ic_miss_buff_half[44],ic_miss_buff_half[43],ic_miss_buff_half[42],ic_miss_buff_half[41]}; // @[lib.scala 276:30] + wire [30:0] _T_1023 = {ic_miss_buff_half[56],ic_miss_buff_half[55],ic_miss_buff_half[54],ic_miss_buff_half[53],ic_miss_buff_half[52],ic_miss_buff_half[51],ic_miss_buff_half[50],ic_miss_buff_half[49],_T_1014,_T_1007}; // @[lib.scala 276:30] + wire _T_1024 = ^_T_1023; // @[lib.scala 276:37] + wire [6:0] _T_1030 = {ic_miss_buff_half[17],ic_miss_buff_half[16],ic_miss_buff_half[15],ic_miss_buff_half[14],ic_miss_buff_half[13],ic_miss_buff_half[12],ic_miss_buff_half[11]}; // @[lib.scala 276:47] + wire [14:0] _T_1038 = {ic_miss_buff_half[25],ic_miss_buff_half[24],ic_miss_buff_half[23],ic_miss_buff_half[22],ic_miss_buff_half[21],ic_miss_buff_half[20],ic_miss_buff_half[19],ic_miss_buff_half[18],_T_1030}; // @[lib.scala 276:47] + wire [30:0] _T_1054 = {ic_miss_buff_half[56],ic_miss_buff_half[55],ic_miss_buff_half[54],ic_miss_buff_half[53],ic_miss_buff_half[52],ic_miss_buff_half[51],ic_miss_buff_half[50],ic_miss_buff_half[49],_T_1014,_T_1038}; // @[lib.scala 276:47] + wire _T_1055 = ^_T_1054; // @[lib.scala 276:54] + wire [6:0] _T_1061 = {ic_miss_buff_half[10],ic_miss_buff_half[9],ic_miss_buff_half[8],ic_miss_buff_half[7],ic_miss_buff_half[6],ic_miss_buff_half[5],ic_miss_buff_half[4]}; // @[lib.scala 276:64] + wire [14:0] _T_1069 = {ic_miss_buff_half[25],ic_miss_buff_half[24],ic_miss_buff_half[23],ic_miss_buff_half[22],ic_miss_buff_half[21],ic_miss_buff_half[20],ic_miss_buff_half[19],ic_miss_buff_half[18],_T_1061}; // @[lib.scala 276:64] + wire [30:0] _T_1085 = {ic_miss_buff_half[56],ic_miss_buff_half[55],ic_miss_buff_half[54],ic_miss_buff_half[53],ic_miss_buff_half[52],ic_miss_buff_half[51],ic_miss_buff_half[50],ic_miss_buff_half[49],_T_1006,_T_1069}; // @[lib.scala 276:64] + wire _T_1086 = ^_T_1085; // @[lib.scala 276:71] + wire [7:0] _T_1093 = {ic_miss_buff_half[14],ic_miss_buff_half[10],ic_miss_buff_half[9],ic_miss_buff_half[8],ic_miss_buff_half[7],ic_miss_buff_half[3],ic_miss_buff_half[2],ic_miss_buff_half[1]}; // @[lib.scala 276:81] + wire [16:0] _T_1102 = {ic_miss_buff_half[30],ic_miss_buff_half[29],ic_miss_buff_half[25],ic_miss_buff_half[24],ic_miss_buff_half[23],ic_miss_buff_half[22],ic_miss_buff_half[17],ic_miss_buff_half[16],ic_miss_buff_half[15],_T_1093}; // @[lib.scala 276:81] + wire [8:0] _T_1110 = {ic_miss_buff_half[47],ic_miss_buff_half[46],ic_miss_buff_half[45],ic_miss_buff_half[40],ic_miss_buff_half[39],ic_miss_buff_half[38],ic_miss_buff_half[37],ic_miss_buff_half[32],ic_miss_buff_half[31]}; // @[lib.scala 276:81] + wire [17:0] _T_1119 = {ic_miss_buff_half[63],ic_miss_buff_half[62],ic_miss_buff_half[61],ic_miss_buff_half[60],ic_miss_buff_half[56],ic_miss_buff_half[55],ic_miss_buff_half[54],ic_miss_buff_half[53],ic_miss_buff_half[48],_T_1110}; // @[lib.scala 276:81] + wire [34:0] _T_1120 = {_T_1119,_T_1102}; // @[lib.scala 276:81] + wire _T_1121 = ^_T_1120; // @[lib.scala 276:88] + wire [7:0] _T_1128 = {ic_miss_buff_half[12],ic_miss_buff_half[10],ic_miss_buff_half[9],ic_miss_buff_half[6],ic_miss_buff_half[5],ic_miss_buff_half[3],ic_miss_buff_half[2],ic_miss_buff_half[0]}; // @[lib.scala 276:98] + wire [16:0] _T_1137 = {ic_miss_buff_half[28],ic_miss_buff_half[27],ic_miss_buff_half[25],ic_miss_buff_half[24],ic_miss_buff_half[21],ic_miss_buff_half[20],ic_miss_buff_half[17],ic_miss_buff_half[16],ic_miss_buff_half[13],_T_1128}; // @[lib.scala 276:98] + wire [8:0] _T_1145 = {ic_miss_buff_half[47],ic_miss_buff_half[44],ic_miss_buff_half[43],ic_miss_buff_half[40],ic_miss_buff_half[39],ic_miss_buff_half[36],ic_miss_buff_half[35],ic_miss_buff_half[32],ic_miss_buff_half[31]}; // @[lib.scala 276:98] + wire [17:0] _T_1154 = {ic_miss_buff_half[63],ic_miss_buff_half[62],ic_miss_buff_half[59],ic_miss_buff_half[58],ic_miss_buff_half[56],ic_miss_buff_half[55],ic_miss_buff_half[52],ic_miss_buff_half[51],ic_miss_buff_half[48],_T_1145}; // @[lib.scala 276:98] + wire [34:0] _T_1155 = {_T_1154,_T_1137}; // @[lib.scala 276:98] + wire _T_1156 = ^_T_1155; // @[lib.scala 276:105] + wire [7:0] _T_1163 = {ic_miss_buff_half[11],ic_miss_buff_half[10],ic_miss_buff_half[8],ic_miss_buff_half[6],ic_miss_buff_half[4],ic_miss_buff_half[3],ic_miss_buff_half[1],ic_miss_buff_half[0]}; // @[lib.scala 276:115] + wire [16:0] _T_1172 = {ic_miss_buff_half[28],ic_miss_buff_half[26],ic_miss_buff_half[25],ic_miss_buff_half[23],ic_miss_buff_half[21],ic_miss_buff_half[19],ic_miss_buff_half[17],ic_miss_buff_half[15],ic_miss_buff_half[13],_T_1163}; // @[lib.scala 276:115] + wire [8:0] _T_1180 = {ic_miss_buff_half[46],ic_miss_buff_half[44],ic_miss_buff_half[42],ic_miss_buff_half[40],ic_miss_buff_half[38],ic_miss_buff_half[36],ic_miss_buff_half[34],ic_miss_buff_half[32],ic_miss_buff_half[30]}; // @[lib.scala 276:115] + wire [17:0] _T_1189 = {ic_miss_buff_half[63],ic_miss_buff_half[61],ic_miss_buff_half[59],ic_miss_buff_half[57],ic_miss_buff_half[56],ic_miss_buff_half[54],ic_miss_buff_half[52],ic_miss_buff_half[50],ic_miss_buff_half[48],_T_1180}; // @[lib.scala 276:115] + wire [34:0] _T_1190 = {_T_1189,_T_1172}; // @[lib.scala 276:115] + wire _T_1191 = ^_T_1190; // @[lib.scala 276:122] + wire [70:0] _T_1236 = {_T_571,_T_602,_T_633,_T_664,_T_699,_T_734,_T_769,ifu_bus_rdata_ff}; // @[Cat.scala 29:58] + wire [70:0] _T_1235 = {_T_993,_T_1024,_T_1055,_T_1086,_T_1121,_T_1156,_T_1191,_T_2408,_T_2488}; // @[Cat.scala 29:58] + wire [141:0] _T_1237 = {_T_571,_T_602,_T_633,_T_664,_T_699,_T_734,_T_769,ifu_bus_rdata_ff,_T_1235}; // @[Cat.scala 29:58] + wire [141:0] _T_1240 = {_T_993,_T_1024,_T_1055,_T_1086,_T_1121,_T_1156,_T_1191,_T_2408,_T_2488,_T_1236}; // @[Cat.scala 29:58] + wire [141:0] ic_wr_16bytes_data = ifu_bus_rid_ff[0] ? _T_1237 : _T_1240; // @[ifu_mem_ctl.scala 267:28] + wire _T_1199 = |io_ic_eccerr; // @[ifu_mem_ctl.scala 256:73] + wire _T_1200 = _T_1199 & ic_act_hit_f; // @[ifu_mem_ctl.scala 256:100] + wire [4:0] bypass_index = imb_ff[4:0]; // @[ifu_mem_ctl.scala 328:28] + wire _T_1404 = bypass_index[4:2] == 3'h0; // @[ifu_mem_ctl.scala 330:114] + wire bus_ifu_wr_en = _T_13 & miss_pending; // @[ifu_mem_ctl.scala 551:35] + wire _T_1289 = io_ifu_axi_r_bits_id == 3'h0; // @[ifu_mem_ctl.scala 312:91] + wire write_fill_data_0 = bus_ifu_wr_en & _T_1289; // @[ifu_mem_ctl.scala 312:73] + wire _T_1330 = ~ic_act_miss_f; // @[ifu_mem_ctl.scala 319:118] + wire _T_1331 = ic_miss_buff_data_valid[0] & _T_1330; // @[ifu_mem_ctl.scala 319:116] + wire ic_miss_buff_data_valid_in_0 = write_fill_data_0 | _T_1331; // @[ifu_mem_ctl.scala 319:88] + wire _T_1427 = _T_1404 & ic_miss_buff_data_valid_in_0; // @[Mux.scala 27:72] + wire _T_1407 = bypass_index[4:2] == 3'h1; // @[ifu_mem_ctl.scala 330:114] + wire _T_1290 = io_ifu_axi_r_bits_id == 3'h1; // @[ifu_mem_ctl.scala 312:91] + wire write_fill_data_1 = bus_ifu_wr_en & _T_1290; // @[ifu_mem_ctl.scala 312:73] + wire _T_1334 = ic_miss_buff_data_valid[1] & _T_1330; // @[ifu_mem_ctl.scala 319:116] + wire ic_miss_buff_data_valid_in_1 = write_fill_data_1 | _T_1334; // @[ifu_mem_ctl.scala 319:88] + wire _T_1428 = _T_1407 & ic_miss_buff_data_valid_in_1; // @[Mux.scala 27:72] + wire _T_1435 = _T_1427 | _T_1428; // @[Mux.scala 27:72] + wire _T_1410 = bypass_index[4:2] == 3'h2; // @[ifu_mem_ctl.scala 330:114] + wire _T_1291 = io_ifu_axi_r_bits_id == 3'h2; // @[ifu_mem_ctl.scala 312:91] + wire write_fill_data_2 = bus_ifu_wr_en & _T_1291; // @[ifu_mem_ctl.scala 312:73] + wire _T_1337 = ic_miss_buff_data_valid[2] & _T_1330; // @[ifu_mem_ctl.scala 319:116] + wire ic_miss_buff_data_valid_in_2 = write_fill_data_2 | _T_1337; // @[ifu_mem_ctl.scala 319:88] + wire _T_1429 = _T_1410 & ic_miss_buff_data_valid_in_2; // @[Mux.scala 27:72] + wire _T_1436 = _T_1435 | _T_1429; // @[Mux.scala 27:72] + wire _T_1413 = bypass_index[4:2] == 3'h3; // @[ifu_mem_ctl.scala 330:114] + wire _T_1292 = io_ifu_axi_r_bits_id == 3'h3; // @[ifu_mem_ctl.scala 312:91] + wire write_fill_data_3 = bus_ifu_wr_en & _T_1292; // @[ifu_mem_ctl.scala 312:73] + wire _T_1340 = ic_miss_buff_data_valid[3] & _T_1330; // @[ifu_mem_ctl.scala 319:116] + wire ic_miss_buff_data_valid_in_3 = write_fill_data_3 | _T_1340; // @[ifu_mem_ctl.scala 319:88] + wire _T_1430 = _T_1413 & ic_miss_buff_data_valid_in_3; // @[Mux.scala 27:72] + wire _T_1437 = _T_1436 | _T_1430; // @[Mux.scala 27:72] + wire _T_1416 = bypass_index[4:2] == 3'h4; // @[ifu_mem_ctl.scala 330:114] + wire _T_1293 = io_ifu_axi_r_bits_id == 3'h4; // @[ifu_mem_ctl.scala 312:91] + wire write_fill_data_4 = bus_ifu_wr_en & _T_1293; // @[ifu_mem_ctl.scala 312:73] + wire _T_1343 = ic_miss_buff_data_valid[4] & _T_1330; // @[ifu_mem_ctl.scala 319:116] + wire ic_miss_buff_data_valid_in_4 = write_fill_data_4 | _T_1343; // @[ifu_mem_ctl.scala 319:88] + wire _T_1431 = _T_1416 & ic_miss_buff_data_valid_in_4; // @[Mux.scala 27:72] + wire _T_1438 = _T_1437 | _T_1431; // @[Mux.scala 27:72] + wire _T_1419 = bypass_index[4:2] == 3'h5; // @[ifu_mem_ctl.scala 330:114] + wire _T_1294 = io_ifu_axi_r_bits_id == 3'h5; // @[ifu_mem_ctl.scala 312:91] + wire write_fill_data_5 = bus_ifu_wr_en & _T_1294; // @[ifu_mem_ctl.scala 312:73] + wire _T_1346 = ic_miss_buff_data_valid[5] & _T_1330; // @[ifu_mem_ctl.scala 319:116] + wire ic_miss_buff_data_valid_in_5 = write_fill_data_5 | _T_1346; // @[ifu_mem_ctl.scala 319:88] + wire _T_1432 = _T_1419 & ic_miss_buff_data_valid_in_5; // @[Mux.scala 27:72] + wire _T_1439 = _T_1438 | _T_1432; // @[Mux.scala 27:72] + wire _T_1422 = bypass_index[4:2] == 3'h6; // @[ifu_mem_ctl.scala 330:114] + wire _T_1295 = io_ifu_axi_r_bits_id == 3'h6; // @[ifu_mem_ctl.scala 312:91] + wire write_fill_data_6 = bus_ifu_wr_en & _T_1295; // @[ifu_mem_ctl.scala 312:73] + wire _T_1349 = ic_miss_buff_data_valid[6] & _T_1330; // @[ifu_mem_ctl.scala 319:116] + wire ic_miss_buff_data_valid_in_6 = write_fill_data_6 | _T_1349; // @[ifu_mem_ctl.scala 319:88] + wire _T_1433 = _T_1422 & ic_miss_buff_data_valid_in_6; // @[Mux.scala 27:72] + wire _T_1440 = _T_1439 | _T_1433; // @[Mux.scala 27:72] + wire _T_1425 = bypass_index[4:2] == 3'h7; // @[ifu_mem_ctl.scala 330:114] + wire _T_1296 = io_ifu_axi_r_bits_id == 3'h7; // @[ifu_mem_ctl.scala 312:91] + wire write_fill_data_7 = bus_ifu_wr_en & _T_1296; // @[ifu_mem_ctl.scala 312:73] + wire _T_1352 = ic_miss_buff_data_valid[7] & _T_1330; // @[ifu_mem_ctl.scala 319:116] + wire ic_miss_buff_data_valid_in_7 = write_fill_data_7 | _T_1352; // @[ifu_mem_ctl.scala 319:88] + wire _T_1434 = _T_1425 & ic_miss_buff_data_valid_in_7; // @[Mux.scala 27:72] + wire bypass_valid_value_check = _T_1440 | _T_1434; // @[Mux.scala 27:72] + wire _T_1443 = ~bypass_index[1]; // @[ifu_mem_ctl.scala 331:58] + wire _T_1444 = bypass_valid_value_check & _T_1443; // @[ifu_mem_ctl.scala 331:56] + wire _T_1446 = ~bypass_index[0]; // @[ifu_mem_ctl.scala 331:77] + wire _T_1447 = _T_1444 & _T_1446; // @[ifu_mem_ctl.scala 331:75] + wire _T_1452 = _T_1444 & bypass_index[0]; // @[ifu_mem_ctl.scala 332:75] + wire _T_1453 = _T_1447 | _T_1452; // @[ifu_mem_ctl.scala 331:95] + wire _T_1455 = bypass_valid_value_check & bypass_index[1]; // @[ifu_mem_ctl.scala 333:56] + wire _T_1458 = _T_1455 & _T_1446; // @[ifu_mem_ctl.scala 333:74] + wire _T_1459 = _T_1453 | _T_1458; // @[ifu_mem_ctl.scala 332:94] + wire _T_1463 = _T_1455 & bypass_index[0]; // @[ifu_mem_ctl.scala 334:51] + wire [2:0] bypass_index_5_3_inc = bypass_index[4:2] + 3'h1; // @[ifu_mem_ctl.scala 329:70] + wire _T_1464 = bypass_index_5_3_inc == 3'h0; // @[ifu_mem_ctl.scala 334:132] + wire _T_1480 = _T_1464 & ic_miss_buff_data_valid_in_0; // @[Mux.scala 27:72] + wire _T_1466 = bypass_index_5_3_inc == 3'h1; // @[ifu_mem_ctl.scala 334:132] + wire _T_1481 = _T_1466 & ic_miss_buff_data_valid_in_1; // @[Mux.scala 27:72] + wire _T_1488 = _T_1480 | _T_1481; // @[Mux.scala 27:72] + wire _T_1468 = bypass_index_5_3_inc == 3'h2; // @[ifu_mem_ctl.scala 334:132] + wire _T_1482 = _T_1468 & ic_miss_buff_data_valid_in_2; // @[Mux.scala 27:72] + wire _T_1489 = _T_1488 | _T_1482; // @[Mux.scala 27:72] + wire _T_1470 = bypass_index_5_3_inc == 3'h3; // @[ifu_mem_ctl.scala 334:132] + wire _T_1483 = _T_1470 & ic_miss_buff_data_valid_in_3; // @[Mux.scala 27:72] + wire _T_1490 = _T_1489 | _T_1483; // @[Mux.scala 27:72] + wire _T_1472 = bypass_index_5_3_inc == 3'h4; // @[ifu_mem_ctl.scala 334:132] + wire _T_1484 = _T_1472 & ic_miss_buff_data_valid_in_4; // @[Mux.scala 27:72] + wire _T_1491 = _T_1490 | _T_1484; // @[Mux.scala 27:72] + wire _T_1474 = bypass_index_5_3_inc == 3'h5; // @[ifu_mem_ctl.scala 334:132] + wire _T_1485 = _T_1474 & ic_miss_buff_data_valid_in_5; // @[Mux.scala 27:72] + wire _T_1492 = _T_1491 | _T_1485; // @[Mux.scala 27:72] + wire _T_1476 = bypass_index_5_3_inc == 3'h6; // @[ifu_mem_ctl.scala 334:132] + wire _T_1486 = _T_1476 & ic_miss_buff_data_valid_in_6; // @[Mux.scala 27:72] + wire _T_1493 = _T_1492 | _T_1486; // @[Mux.scala 27:72] + wire _T_1478 = bypass_index_5_3_inc == 3'h7; // @[ifu_mem_ctl.scala 334:132] + wire _T_1487 = _T_1478 & ic_miss_buff_data_valid_in_7; // @[Mux.scala 27:72] + wire _T_1494 = _T_1493 | _T_1487; // @[Mux.scala 27:72] + wire _T_1496 = _T_1463 & _T_1494; // @[ifu_mem_ctl.scala 334:69] + wire _T_1497 = _T_1459 | _T_1496; // @[ifu_mem_ctl.scala 333:94] + wire [4:0] _GEN_436 = {{2'd0}, bypass_index[4:2]}; // @[ifu_mem_ctl.scala 335:95] + wire _T_1500 = _GEN_436 == 5'h1f; // @[ifu_mem_ctl.scala 335:95] + wire _T_1501 = bypass_valid_value_check & _T_1500; // @[ifu_mem_ctl.scala 335:56] + wire bypass_data_ready_in = _T_1497 | _T_1501; // @[ifu_mem_ctl.scala 334:181] + wire _T_1502 = bypass_data_ready_in & crit_wd_byp_ok_ff; // @[ifu_mem_ctl.scala 339:53] + wire _T_1503 = _T_1502 & uncacheable_miss_ff; // @[ifu_mem_ctl.scala 339:73] + wire _T_1505 = _T_1503 & _T_319; // @[ifu_mem_ctl.scala 339:96] + wire _T_1507 = _T_1505 & _T_58; // @[ifu_mem_ctl.scala 339:118] + wire _T_1509 = crit_wd_byp_ok_ff & _T_17; // @[ifu_mem_ctl.scala 340:73] + wire _T_1511 = _T_1509 & _T_319; // @[ifu_mem_ctl.scala 340:96] + wire _T_1513 = _T_1511 & _T_58; // @[ifu_mem_ctl.scala 340:118] + wire _T_1514 = _T_1507 | _T_1513; // @[ifu_mem_ctl.scala 339:143] + reg ic_crit_wd_rdy_new_ff; // @[ifu_mem_ctl.scala 342:58] + wire _T_1515 = ic_crit_wd_rdy_new_ff & crit_wd_byp_ok_ff; // @[ifu_mem_ctl.scala 341:54] + wire _T_1516 = ~fetch_req_icache_f; // @[ifu_mem_ctl.scala 341:76] + wire _T_1517 = _T_1515 & _T_1516; // @[ifu_mem_ctl.scala 341:74] + wire _T_1519 = _T_1517 & _T_319; // @[ifu_mem_ctl.scala 341:96] + wire ic_crit_wd_rdy_new_in = _T_1514 | _T_1519; // @[ifu_mem_ctl.scala 340:143] + wire ic_crit_wd_rdy = ic_crit_wd_rdy_new_in | ic_crit_wd_rdy_new_ff; // @[ifu_mem_ctl.scala 561:43] + wire _T_1252 = ic_crit_wd_rdy | _T_2268; // @[ifu_mem_ctl.scala 280:38] + wire _T_1254 = _T_1252 | _T_2284; // @[ifu_mem_ctl.scala 280:64] + wire _T_1255 = ~_T_1254; // @[ifu_mem_ctl.scala 280:21] + wire _T_1256 = ~fetch_req_iccm_f; // @[ifu_mem_ctl.scala 280:98] + wire sel_ic_data = _T_1255 & _T_1256; // @[ifu_mem_ctl.scala 280:96] + wire _T_2491 = io_ic_tag_perr & sel_ic_data; // @[ifu_mem_ctl.scala 385:44] + wire _T_1612 = ~ifu_fetch_addr_int_f[1]; // @[ifu_mem_ctl.scala 351:30] + wire _T_1614 = ~ifu_fetch_addr_int_f[0]; // @[ifu_mem_ctl.scala 351:57] + wire _T_1615 = _T_1612 & _T_1614; // @[ifu_mem_ctl.scala 351:55] + reg [7:0] ic_miss_buff_data_error; // @[ifu_mem_ctl.scala 325:60] + wire [7:0] _T_1617 = ic_miss_buff_data_error >> byp_fetch_index[4:2]; // @[ifu_mem_ctl.scala 351:107] + wire _T_1619 = _T_1615 & _T_1617[0]; // @[ifu_mem_ctl.scala 351:82] + wire _T_1623 = _T_1612 & ifu_fetch_addr_int_f[0]; // @[ifu_mem_ctl.scala 352:33] + wire _T_1627 = _T_1623 & _T_1617[0]; // @[ifu_mem_ctl.scala 352:60] + wire _T_1628 = _T_1619 | _T_1627; // @[ifu_mem_ctl.scala 351:151] + wire _T_1637 = _T_1628 | _T_1627; // @[ifu_mem_ctl.scala 352:129] + wire _T_1641 = ifu_fetch_addr_int_f[1] & _T_1614; // @[ifu_mem_ctl.scala 354:33] + wire _T_1645 = _T_1641 & _T_1617[0]; // @[ifu_mem_ctl.scala 354:60] + wire _T_1646 = _T_1637 | _T_1645; // @[ifu_mem_ctl.scala 353:129] + wire _T_1649 = ifu_fetch_addr_int_f[1] & ifu_fetch_addr_int_f[0]; // @[ifu_mem_ctl.scala 355:32] + wire [7:0] _T_1654 = ic_miss_buff_data_error >> byp_fetch_index_inc; // @[ifu_mem_ctl.scala 356:32] + wire _T_1656 = _T_1617[0] | _T_1654[0]; // @[ifu_mem_ctl.scala 355:127] + wire _T_1657 = _T_1649 & _T_1656; // @[ifu_mem_ctl.scala 355:58] + wire ifu_byp_data_err_new = _T_1646 | _T_1657; // @[ifu_mem_ctl.scala 354:129] + wire ifc_bus_acc_fault_f = ic_byp_hit_f & ifu_byp_data_err_new; // @[ifu_mem_ctl.scala 297:42] + wire _T_2492 = ifc_region_acc_fault_final_f | ifc_bus_acc_fault_f; // @[ifu_mem_ctl.scala 385:91] + wire _T_2493 = ~_T_2492; // @[ifu_mem_ctl.scala 385:60] + wire ic_rd_parity_final_err = _T_2491 & _T_2493; // @[ifu_mem_ctl.scala 385:58] + reg ic_debug_ict_array_sel_ff; // @[ifu_mem_ctl.scala 768:63] + reg ic_tag_valid_out_1_0; // @[Reg.scala 27:20] + wire _T_9374 = _T_4671 & ic_tag_valid_out_1_0; // @[ifu_mem_ctl.scala 696:10] + reg ic_tag_valid_out_1_1; // @[Reg.scala 27:20] + wire _T_9376 = _T_4672 & ic_tag_valid_out_1_1; // @[ifu_mem_ctl.scala 696:10] + wire _T_9629 = _T_9374 | _T_9376; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_2; // @[Reg.scala 27:20] + wire _T_9378 = _T_4673 & ic_tag_valid_out_1_2; // @[ifu_mem_ctl.scala 696:10] + wire _T_9630 = _T_9629 | _T_9378; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_3; // @[Reg.scala 27:20] + wire _T_9380 = _T_4674 & ic_tag_valid_out_1_3; // @[ifu_mem_ctl.scala 696:10] + wire _T_9631 = _T_9630 | _T_9380; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_4; // @[Reg.scala 27:20] + wire _T_9382 = _T_4675 & ic_tag_valid_out_1_4; // @[ifu_mem_ctl.scala 696:10] + wire _T_9632 = _T_9631 | _T_9382; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_5; // @[Reg.scala 27:20] + wire _T_9384 = _T_4676 & ic_tag_valid_out_1_5; // @[ifu_mem_ctl.scala 696:10] + wire _T_9633 = _T_9632 | _T_9384; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_6; // @[Reg.scala 27:20] + wire _T_9386 = _T_4677 & ic_tag_valid_out_1_6; // @[ifu_mem_ctl.scala 696:10] + wire _T_9634 = _T_9633 | _T_9386; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_7; // @[Reg.scala 27:20] + wire _T_9388 = _T_4678 & ic_tag_valid_out_1_7; // @[ifu_mem_ctl.scala 696:10] + wire _T_9635 = _T_9634 | _T_9388; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_8; // @[Reg.scala 27:20] + wire _T_9390 = _T_4679 & ic_tag_valid_out_1_8; // @[ifu_mem_ctl.scala 696:10] + wire _T_9636 = _T_9635 | _T_9390; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_9; // @[Reg.scala 27:20] + wire _T_9392 = _T_4680 & ic_tag_valid_out_1_9; // @[ifu_mem_ctl.scala 696:10] + wire _T_9637 = _T_9636 | _T_9392; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_10; // @[Reg.scala 27:20] + wire _T_9394 = _T_4681 & ic_tag_valid_out_1_10; // @[ifu_mem_ctl.scala 696:10] + wire _T_9638 = _T_9637 | _T_9394; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_11; // @[Reg.scala 27:20] + wire _T_9396 = _T_4682 & ic_tag_valid_out_1_11; // @[ifu_mem_ctl.scala 696:10] + wire _T_9639 = _T_9638 | _T_9396; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_12; // @[Reg.scala 27:20] + wire _T_9398 = _T_4683 & ic_tag_valid_out_1_12; // @[ifu_mem_ctl.scala 696:10] + wire _T_9640 = _T_9639 | _T_9398; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_13; // @[Reg.scala 27:20] + wire _T_9400 = _T_4684 & ic_tag_valid_out_1_13; // @[ifu_mem_ctl.scala 696:10] + wire _T_9641 = _T_9640 | _T_9400; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_14; // @[Reg.scala 27:20] + wire _T_9402 = _T_4685 & ic_tag_valid_out_1_14; // @[ifu_mem_ctl.scala 696:10] + wire _T_9642 = _T_9641 | _T_9402; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_15; // @[Reg.scala 27:20] + wire _T_9404 = _T_4686 & ic_tag_valid_out_1_15; // @[ifu_mem_ctl.scala 696:10] + wire _T_9643 = _T_9642 | _T_9404; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_16; // @[Reg.scala 27:20] + wire _T_9406 = _T_4687 & ic_tag_valid_out_1_16; // @[ifu_mem_ctl.scala 696:10] + wire _T_9644 = _T_9643 | _T_9406; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_17; // @[Reg.scala 27:20] + wire _T_9408 = _T_4688 & ic_tag_valid_out_1_17; // @[ifu_mem_ctl.scala 696:10] + wire _T_9645 = _T_9644 | _T_9408; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_18; // @[Reg.scala 27:20] + wire _T_9410 = _T_4689 & ic_tag_valid_out_1_18; // @[ifu_mem_ctl.scala 696:10] + wire _T_9646 = _T_9645 | _T_9410; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_19; // @[Reg.scala 27:20] + wire _T_9412 = _T_4690 & ic_tag_valid_out_1_19; // @[ifu_mem_ctl.scala 696:10] + wire _T_9647 = _T_9646 | _T_9412; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_20; // @[Reg.scala 27:20] + wire _T_9414 = _T_4691 & ic_tag_valid_out_1_20; // @[ifu_mem_ctl.scala 696:10] + wire _T_9648 = _T_9647 | _T_9414; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_21; // @[Reg.scala 27:20] + wire _T_9416 = _T_4692 & ic_tag_valid_out_1_21; // @[ifu_mem_ctl.scala 696:10] + wire _T_9649 = _T_9648 | _T_9416; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_22; // @[Reg.scala 27:20] + wire _T_9418 = _T_4693 & ic_tag_valid_out_1_22; // @[ifu_mem_ctl.scala 696:10] + wire _T_9650 = _T_9649 | _T_9418; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_23; // @[Reg.scala 27:20] + wire _T_9420 = _T_4694 & ic_tag_valid_out_1_23; // @[ifu_mem_ctl.scala 696:10] + wire _T_9651 = _T_9650 | _T_9420; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_24; // @[Reg.scala 27:20] + wire _T_9422 = _T_4695 & ic_tag_valid_out_1_24; // @[ifu_mem_ctl.scala 696:10] + wire _T_9652 = _T_9651 | _T_9422; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_25; // @[Reg.scala 27:20] + wire _T_9424 = _T_4696 & ic_tag_valid_out_1_25; // @[ifu_mem_ctl.scala 696:10] + wire _T_9653 = _T_9652 | _T_9424; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_26; // @[Reg.scala 27:20] + wire _T_9426 = _T_4697 & ic_tag_valid_out_1_26; // @[ifu_mem_ctl.scala 696:10] + wire _T_9654 = _T_9653 | _T_9426; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_27; // @[Reg.scala 27:20] + wire _T_9428 = _T_4698 & ic_tag_valid_out_1_27; // @[ifu_mem_ctl.scala 696:10] + wire _T_9655 = _T_9654 | _T_9428; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_28; // @[Reg.scala 27:20] + wire _T_9430 = _T_4699 & ic_tag_valid_out_1_28; // @[ifu_mem_ctl.scala 696:10] + wire _T_9656 = _T_9655 | _T_9430; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_29; // @[Reg.scala 27:20] + wire _T_9432 = _T_4700 & ic_tag_valid_out_1_29; // @[ifu_mem_ctl.scala 696:10] + wire _T_9657 = _T_9656 | _T_9432; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_30; // @[Reg.scala 27:20] + wire _T_9434 = _T_4701 & ic_tag_valid_out_1_30; // @[ifu_mem_ctl.scala 696:10] + wire _T_9658 = _T_9657 | _T_9434; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_31; // @[Reg.scala 27:20] + wire _T_9436 = _T_4702 & ic_tag_valid_out_1_31; // @[ifu_mem_ctl.scala 696:10] + wire _T_9659 = _T_9658 | _T_9436; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_32; // @[Reg.scala 27:20] + wire _T_9438 = _T_4703 & ic_tag_valid_out_1_32; // @[ifu_mem_ctl.scala 696:10] + wire _T_9660 = _T_9659 | _T_9438; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_33; // @[Reg.scala 27:20] + wire _T_9440 = _T_4704 & ic_tag_valid_out_1_33; // @[ifu_mem_ctl.scala 696:10] + wire _T_9661 = _T_9660 | _T_9440; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_34; // @[Reg.scala 27:20] + wire _T_9442 = _T_4705 & ic_tag_valid_out_1_34; // @[ifu_mem_ctl.scala 696:10] + wire _T_9662 = _T_9661 | _T_9442; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_35; // @[Reg.scala 27:20] + wire _T_9444 = _T_4706 & ic_tag_valid_out_1_35; // @[ifu_mem_ctl.scala 696:10] + wire _T_9663 = _T_9662 | _T_9444; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_36; // @[Reg.scala 27:20] + wire _T_9446 = _T_4707 & ic_tag_valid_out_1_36; // @[ifu_mem_ctl.scala 696:10] + wire _T_9664 = _T_9663 | _T_9446; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_37; // @[Reg.scala 27:20] + wire _T_9448 = _T_4708 & ic_tag_valid_out_1_37; // @[ifu_mem_ctl.scala 696:10] + wire _T_9665 = _T_9664 | _T_9448; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_38; // @[Reg.scala 27:20] + wire _T_9450 = _T_4709 & ic_tag_valid_out_1_38; // @[ifu_mem_ctl.scala 696:10] + wire _T_9666 = _T_9665 | _T_9450; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_39; // @[Reg.scala 27:20] + wire _T_9452 = _T_4710 & ic_tag_valid_out_1_39; // @[ifu_mem_ctl.scala 696:10] + wire _T_9667 = _T_9666 | _T_9452; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_40; // @[Reg.scala 27:20] + wire _T_9454 = _T_4711 & ic_tag_valid_out_1_40; // @[ifu_mem_ctl.scala 696:10] + wire _T_9668 = _T_9667 | _T_9454; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_41; // @[Reg.scala 27:20] + wire _T_9456 = _T_4712 & ic_tag_valid_out_1_41; // @[ifu_mem_ctl.scala 696:10] + wire _T_9669 = _T_9668 | _T_9456; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_42; // @[Reg.scala 27:20] + wire _T_9458 = _T_4713 & ic_tag_valid_out_1_42; // @[ifu_mem_ctl.scala 696:10] + wire _T_9670 = _T_9669 | _T_9458; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_43; // @[Reg.scala 27:20] + wire _T_9460 = _T_4714 & ic_tag_valid_out_1_43; // @[ifu_mem_ctl.scala 696:10] + wire _T_9671 = _T_9670 | _T_9460; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_44; // @[Reg.scala 27:20] + wire _T_9462 = _T_4715 & ic_tag_valid_out_1_44; // @[ifu_mem_ctl.scala 696:10] + wire _T_9672 = _T_9671 | _T_9462; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_45; // @[Reg.scala 27:20] + wire _T_9464 = _T_4716 & ic_tag_valid_out_1_45; // @[ifu_mem_ctl.scala 696:10] + wire _T_9673 = _T_9672 | _T_9464; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_46; // @[Reg.scala 27:20] + wire _T_9466 = _T_4717 & ic_tag_valid_out_1_46; // @[ifu_mem_ctl.scala 696:10] + wire _T_9674 = _T_9673 | _T_9466; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_47; // @[Reg.scala 27:20] + wire _T_9468 = _T_4718 & ic_tag_valid_out_1_47; // @[ifu_mem_ctl.scala 696:10] + wire _T_9675 = _T_9674 | _T_9468; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_48; // @[Reg.scala 27:20] + wire _T_9470 = _T_4719 & ic_tag_valid_out_1_48; // @[ifu_mem_ctl.scala 696:10] + wire _T_9676 = _T_9675 | _T_9470; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_49; // @[Reg.scala 27:20] + wire _T_9472 = _T_4720 & ic_tag_valid_out_1_49; // @[ifu_mem_ctl.scala 696:10] + wire _T_9677 = _T_9676 | _T_9472; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_50; // @[Reg.scala 27:20] + wire _T_9474 = _T_4721 & ic_tag_valid_out_1_50; // @[ifu_mem_ctl.scala 696:10] + wire _T_9678 = _T_9677 | _T_9474; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_51; // @[Reg.scala 27:20] + wire _T_9476 = _T_4722 & ic_tag_valid_out_1_51; // @[ifu_mem_ctl.scala 696:10] + wire _T_9679 = _T_9678 | _T_9476; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_52; // @[Reg.scala 27:20] + wire _T_9478 = _T_4723 & ic_tag_valid_out_1_52; // @[ifu_mem_ctl.scala 696:10] + wire _T_9680 = _T_9679 | _T_9478; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_53; // @[Reg.scala 27:20] + wire _T_9480 = _T_4724 & ic_tag_valid_out_1_53; // @[ifu_mem_ctl.scala 696:10] + wire _T_9681 = _T_9680 | _T_9480; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_54; // @[Reg.scala 27:20] + wire _T_9482 = _T_4725 & ic_tag_valid_out_1_54; // @[ifu_mem_ctl.scala 696:10] + wire _T_9682 = _T_9681 | _T_9482; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_55; // @[Reg.scala 27:20] + wire _T_9484 = _T_4726 & ic_tag_valid_out_1_55; // @[ifu_mem_ctl.scala 696:10] + wire _T_9683 = _T_9682 | _T_9484; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_56; // @[Reg.scala 27:20] + wire _T_9486 = _T_4727 & ic_tag_valid_out_1_56; // @[ifu_mem_ctl.scala 696:10] + wire _T_9684 = _T_9683 | _T_9486; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_57; // @[Reg.scala 27:20] + wire _T_9488 = _T_4728 & ic_tag_valid_out_1_57; // @[ifu_mem_ctl.scala 696:10] + wire _T_9685 = _T_9684 | _T_9488; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_58; // @[Reg.scala 27:20] + wire _T_9490 = _T_4729 & ic_tag_valid_out_1_58; // @[ifu_mem_ctl.scala 696:10] + wire _T_9686 = _T_9685 | _T_9490; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_59; // @[Reg.scala 27:20] + wire _T_9492 = _T_4730 & ic_tag_valid_out_1_59; // @[ifu_mem_ctl.scala 696:10] + wire _T_9687 = _T_9686 | _T_9492; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_60; // @[Reg.scala 27:20] + wire _T_9494 = _T_4731 & ic_tag_valid_out_1_60; // @[ifu_mem_ctl.scala 696:10] + wire _T_9688 = _T_9687 | _T_9494; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_61; // @[Reg.scala 27:20] + wire _T_9496 = _T_4732 & ic_tag_valid_out_1_61; // @[ifu_mem_ctl.scala 696:10] + wire _T_9689 = _T_9688 | _T_9496; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_62; // @[Reg.scala 27:20] + wire _T_9498 = _T_4733 & ic_tag_valid_out_1_62; // @[ifu_mem_ctl.scala 696:10] + wire _T_9690 = _T_9689 | _T_9498; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_63; // @[Reg.scala 27:20] + wire _T_9500 = _T_4734 & ic_tag_valid_out_1_63; // @[ifu_mem_ctl.scala 696:10] + wire _T_9691 = _T_9690 | _T_9500; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_64; // @[Reg.scala 27:20] + wire _T_9502 = _T_4735 & ic_tag_valid_out_1_64; // @[ifu_mem_ctl.scala 696:10] + wire _T_9692 = _T_9691 | _T_9502; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_65; // @[Reg.scala 27:20] + wire _T_9504 = _T_4736 & ic_tag_valid_out_1_65; // @[ifu_mem_ctl.scala 696:10] + wire _T_9693 = _T_9692 | _T_9504; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_66; // @[Reg.scala 27:20] + wire _T_9506 = _T_4737 & ic_tag_valid_out_1_66; // @[ifu_mem_ctl.scala 696:10] + wire _T_9694 = _T_9693 | _T_9506; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_67; // @[Reg.scala 27:20] + wire _T_9508 = _T_4738 & ic_tag_valid_out_1_67; // @[ifu_mem_ctl.scala 696:10] + wire _T_9695 = _T_9694 | _T_9508; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_68; // @[Reg.scala 27:20] + wire _T_9510 = _T_4739 & ic_tag_valid_out_1_68; // @[ifu_mem_ctl.scala 696:10] + wire _T_9696 = _T_9695 | _T_9510; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_69; // @[Reg.scala 27:20] + wire _T_9512 = _T_4740 & ic_tag_valid_out_1_69; // @[ifu_mem_ctl.scala 696:10] + wire _T_9697 = _T_9696 | _T_9512; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_70; // @[Reg.scala 27:20] + wire _T_9514 = _T_4741 & ic_tag_valid_out_1_70; // @[ifu_mem_ctl.scala 696:10] + wire _T_9698 = _T_9697 | _T_9514; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_71; // @[Reg.scala 27:20] + wire _T_9516 = _T_4742 & ic_tag_valid_out_1_71; // @[ifu_mem_ctl.scala 696:10] + wire _T_9699 = _T_9698 | _T_9516; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_72; // @[Reg.scala 27:20] + wire _T_9518 = _T_4743 & ic_tag_valid_out_1_72; // @[ifu_mem_ctl.scala 696:10] + wire _T_9700 = _T_9699 | _T_9518; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_73; // @[Reg.scala 27:20] + wire _T_9520 = _T_4744 & ic_tag_valid_out_1_73; // @[ifu_mem_ctl.scala 696:10] + wire _T_9701 = _T_9700 | _T_9520; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_74; // @[Reg.scala 27:20] + wire _T_9522 = _T_4745 & ic_tag_valid_out_1_74; // @[ifu_mem_ctl.scala 696:10] + wire _T_9702 = _T_9701 | _T_9522; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_75; // @[Reg.scala 27:20] + wire _T_9524 = _T_4746 & ic_tag_valid_out_1_75; // @[ifu_mem_ctl.scala 696:10] + wire _T_9703 = _T_9702 | _T_9524; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_76; // @[Reg.scala 27:20] + wire _T_9526 = _T_4747 & ic_tag_valid_out_1_76; // @[ifu_mem_ctl.scala 696:10] + wire _T_9704 = _T_9703 | _T_9526; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_77; // @[Reg.scala 27:20] + wire _T_9528 = _T_4748 & ic_tag_valid_out_1_77; // @[ifu_mem_ctl.scala 696:10] + wire _T_9705 = _T_9704 | _T_9528; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_78; // @[Reg.scala 27:20] + wire _T_9530 = _T_4749 & ic_tag_valid_out_1_78; // @[ifu_mem_ctl.scala 696:10] + wire _T_9706 = _T_9705 | _T_9530; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_79; // @[Reg.scala 27:20] + wire _T_9532 = _T_4750 & ic_tag_valid_out_1_79; // @[ifu_mem_ctl.scala 696:10] + wire _T_9707 = _T_9706 | _T_9532; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_80; // @[Reg.scala 27:20] + wire _T_9534 = _T_4751 & ic_tag_valid_out_1_80; // @[ifu_mem_ctl.scala 696:10] + wire _T_9708 = _T_9707 | _T_9534; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_81; // @[Reg.scala 27:20] + wire _T_9536 = _T_4752 & ic_tag_valid_out_1_81; // @[ifu_mem_ctl.scala 696:10] + wire _T_9709 = _T_9708 | _T_9536; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_82; // @[Reg.scala 27:20] + wire _T_9538 = _T_4753 & ic_tag_valid_out_1_82; // @[ifu_mem_ctl.scala 696:10] + wire _T_9710 = _T_9709 | _T_9538; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_83; // @[Reg.scala 27:20] + wire _T_9540 = _T_4754 & ic_tag_valid_out_1_83; // @[ifu_mem_ctl.scala 696:10] + wire _T_9711 = _T_9710 | _T_9540; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_84; // @[Reg.scala 27:20] + wire _T_9542 = _T_4755 & ic_tag_valid_out_1_84; // @[ifu_mem_ctl.scala 696:10] + wire _T_9712 = _T_9711 | _T_9542; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_85; // @[Reg.scala 27:20] + wire _T_9544 = _T_4756 & ic_tag_valid_out_1_85; // @[ifu_mem_ctl.scala 696:10] + wire _T_9713 = _T_9712 | _T_9544; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_86; // @[Reg.scala 27:20] + wire _T_9546 = _T_4757 & ic_tag_valid_out_1_86; // @[ifu_mem_ctl.scala 696:10] + wire _T_9714 = _T_9713 | _T_9546; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_87; // @[Reg.scala 27:20] + wire _T_9548 = _T_4758 & ic_tag_valid_out_1_87; // @[ifu_mem_ctl.scala 696:10] + wire _T_9715 = _T_9714 | _T_9548; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_88; // @[Reg.scala 27:20] + wire _T_9550 = _T_4759 & ic_tag_valid_out_1_88; // @[ifu_mem_ctl.scala 696:10] + wire _T_9716 = _T_9715 | _T_9550; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_89; // @[Reg.scala 27:20] + wire _T_9552 = _T_4760 & ic_tag_valid_out_1_89; // @[ifu_mem_ctl.scala 696:10] + wire _T_9717 = _T_9716 | _T_9552; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_90; // @[Reg.scala 27:20] + wire _T_9554 = _T_4761 & ic_tag_valid_out_1_90; // @[ifu_mem_ctl.scala 696:10] + wire _T_9718 = _T_9717 | _T_9554; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_91; // @[Reg.scala 27:20] + wire _T_9556 = _T_4762 & ic_tag_valid_out_1_91; // @[ifu_mem_ctl.scala 696:10] + wire _T_9719 = _T_9718 | _T_9556; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_92; // @[Reg.scala 27:20] + wire _T_9558 = _T_4763 & ic_tag_valid_out_1_92; // @[ifu_mem_ctl.scala 696:10] + wire _T_9720 = _T_9719 | _T_9558; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_93; // @[Reg.scala 27:20] + wire _T_9560 = _T_4764 & ic_tag_valid_out_1_93; // @[ifu_mem_ctl.scala 696:10] + wire _T_9721 = _T_9720 | _T_9560; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_94; // @[Reg.scala 27:20] + wire _T_9562 = _T_4765 & ic_tag_valid_out_1_94; // @[ifu_mem_ctl.scala 696:10] + wire _T_9722 = _T_9721 | _T_9562; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_95; // @[Reg.scala 27:20] + wire _T_9564 = _T_4766 & ic_tag_valid_out_1_95; // @[ifu_mem_ctl.scala 696:10] + wire _T_9723 = _T_9722 | _T_9564; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_96; // @[Reg.scala 27:20] + wire _T_9566 = _T_4767 & ic_tag_valid_out_1_96; // @[ifu_mem_ctl.scala 696:10] + wire _T_9724 = _T_9723 | _T_9566; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_97; // @[Reg.scala 27:20] + wire _T_9568 = _T_4768 & ic_tag_valid_out_1_97; // @[ifu_mem_ctl.scala 696:10] + wire _T_9725 = _T_9724 | _T_9568; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_98; // @[Reg.scala 27:20] + wire _T_9570 = _T_4769 & ic_tag_valid_out_1_98; // @[ifu_mem_ctl.scala 696:10] + wire _T_9726 = _T_9725 | _T_9570; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_99; // @[Reg.scala 27:20] + wire _T_9572 = _T_4770 & ic_tag_valid_out_1_99; // @[ifu_mem_ctl.scala 696:10] + wire _T_9727 = _T_9726 | _T_9572; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_100; // @[Reg.scala 27:20] + wire _T_9574 = _T_4771 & ic_tag_valid_out_1_100; // @[ifu_mem_ctl.scala 696:10] + wire _T_9728 = _T_9727 | _T_9574; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_101; // @[Reg.scala 27:20] + wire _T_9576 = _T_4772 & ic_tag_valid_out_1_101; // @[ifu_mem_ctl.scala 696:10] + wire _T_9729 = _T_9728 | _T_9576; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_102; // @[Reg.scala 27:20] + wire _T_9578 = _T_4773 & ic_tag_valid_out_1_102; // @[ifu_mem_ctl.scala 696:10] + wire _T_9730 = _T_9729 | _T_9578; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_103; // @[Reg.scala 27:20] + wire _T_9580 = _T_4774 & ic_tag_valid_out_1_103; // @[ifu_mem_ctl.scala 696:10] + wire _T_9731 = _T_9730 | _T_9580; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_104; // @[Reg.scala 27:20] + wire _T_9582 = _T_4775 & ic_tag_valid_out_1_104; // @[ifu_mem_ctl.scala 696:10] + wire _T_9732 = _T_9731 | _T_9582; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_105; // @[Reg.scala 27:20] + wire _T_9584 = _T_4776 & ic_tag_valid_out_1_105; // @[ifu_mem_ctl.scala 696:10] + wire _T_9733 = _T_9732 | _T_9584; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_106; // @[Reg.scala 27:20] + wire _T_9586 = _T_4777 & ic_tag_valid_out_1_106; // @[ifu_mem_ctl.scala 696:10] + wire _T_9734 = _T_9733 | _T_9586; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_107; // @[Reg.scala 27:20] + wire _T_9588 = _T_4778 & ic_tag_valid_out_1_107; // @[ifu_mem_ctl.scala 696:10] + wire _T_9735 = _T_9734 | _T_9588; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_108; // @[Reg.scala 27:20] + wire _T_9590 = _T_4779 & ic_tag_valid_out_1_108; // @[ifu_mem_ctl.scala 696:10] + wire _T_9736 = _T_9735 | _T_9590; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_109; // @[Reg.scala 27:20] + wire _T_9592 = _T_4780 & ic_tag_valid_out_1_109; // @[ifu_mem_ctl.scala 696:10] + wire _T_9737 = _T_9736 | _T_9592; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_110; // @[Reg.scala 27:20] + wire _T_9594 = _T_4781 & ic_tag_valid_out_1_110; // @[ifu_mem_ctl.scala 696:10] + wire _T_9738 = _T_9737 | _T_9594; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_111; // @[Reg.scala 27:20] + wire _T_9596 = _T_4782 & ic_tag_valid_out_1_111; // @[ifu_mem_ctl.scala 696:10] + wire _T_9739 = _T_9738 | _T_9596; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_112; // @[Reg.scala 27:20] + wire _T_9598 = _T_4783 & ic_tag_valid_out_1_112; // @[ifu_mem_ctl.scala 696:10] + wire _T_9740 = _T_9739 | _T_9598; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_113; // @[Reg.scala 27:20] + wire _T_9600 = _T_4784 & ic_tag_valid_out_1_113; // @[ifu_mem_ctl.scala 696:10] + wire _T_9741 = _T_9740 | _T_9600; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_114; // @[Reg.scala 27:20] + wire _T_9602 = _T_4785 & ic_tag_valid_out_1_114; // @[ifu_mem_ctl.scala 696:10] + wire _T_9742 = _T_9741 | _T_9602; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_115; // @[Reg.scala 27:20] + wire _T_9604 = _T_4786 & ic_tag_valid_out_1_115; // @[ifu_mem_ctl.scala 696:10] + wire _T_9743 = _T_9742 | _T_9604; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_116; // @[Reg.scala 27:20] + wire _T_9606 = _T_4787 & ic_tag_valid_out_1_116; // @[ifu_mem_ctl.scala 696:10] + wire _T_9744 = _T_9743 | _T_9606; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_117; // @[Reg.scala 27:20] + wire _T_9608 = _T_4788 & ic_tag_valid_out_1_117; // @[ifu_mem_ctl.scala 696:10] + wire _T_9745 = _T_9744 | _T_9608; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_118; // @[Reg.scala 27:20] + wire _T_9610 = _T_4789 & ic_tag_valid_out_1_118; // @[ifu_mem_ctl.scala 696:10] + wire _T_9746 = _T_9745 | _T_9610; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_119; // @[Reg.scala 27:20] + wire _T_9612 = _T_4790 & ic_tag_valid_out_1_119; // @[ifu_mem_ctl.scala 696:10] + wire _T_9747 = _T_9746 | _T_9612; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_120; // @[Reg.scala 27:20] + wire _T_9614 = _T_4791 & ic_tag_valid_out_1_120; // @[ifu_mem_ctl.scala 696:10] + wire _T_9748 = _T_9747 | _T_9614; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_121; // @[Reg.scala 27:20] + wire _T_9616 = _T_4792 & ic_tag_valid_out_1_121; // @[ifu_mem_ctl.scala 696:10] + wire _T_9749 = _T_9748 | _T_9616; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_122; // @[Reg.scala 27:20] + wire _T_9618 = _T_4793 & ic_tag_valid_out_1_122; // @[ifu_mem_ctl.scala 696:10] + wire _T_9750 = _T_9749 | _T_9618; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_123; // @[Reg.scala 27:20] + wire _T_9620 = _T_4794 & ic_tag_valid_out_1_123; // @[ifu_mem_ctl.scala 696:10] + wire _T_9751 = _T_9750 | _T_9620; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_124; // @[Reg.scala 27:20] + wire _T_9622 = _T_4795 & ic_tag_valid_out_1_124; // @[ifu_mem_ctl.scala 696:10] + wire _T_9752 = _T_9751 | _T_9622; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_125; // @[Reg.scala 27:20] + wire _T_9624 = _T_4796 & ic_tag_valid_out_1_125; // @[ifu_mem_ctl.scala 696:10] + wire _T_9753 = _T_9752 | _T_9624; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_126; // @[Reg.scala 27:20] + wire _T_9626 = _T_4797 & ic_tag_valid_out_1_126; // @[ifu_mem_ctl.scala 696:10] + wire _T_9754 = _T_9753 | _T_9626; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_1_127; // @[Reg.scala 27:20] + wire _T_9628 = _T_4798 & ic_tag_valid_out_1_127; // @[ifu_mem_ctl.scala 696:10] + wire _T_9755 = _T_9754 | _T_9628; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_0; // @[Reg.scala 27:20] + wire _T_8991 = _T_4671 & ic_tag_valid_out_0_0; // @[ifu_mem_ctl.scala 696:10] + reg ic_tag_valid_out_0_1; // @[Reg.scala 27:20] + wire _T_8993 = _T_4672 & ic_tag_valid_out_0_1; // @[ifu_mem_ctl.scala 696:10] + wire _T_9246 = _T_8991 | _T_8993; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_2; // @[Reg.scala 27:20] + wire _T_8995 = _T_4673 & ic_tag_valid_out_0_2; // @[ifu_mem_ctl.scala 696:10] + wire _T_9247 = _T_9246 | _T_8995; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_3; // @[Reg.scala 27:20] + wire _T_8997 = _T_4674 & ic_tag_valid_out_0_3; // @[ifu_mem_ctl.scala 696:10] + wire _T_9248 = _T_9247 | _T_8997; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_4; // @[Reg.scala 27:20] + wire _T_8999 = _T_4675 & ic_tag_valid_out_0_4; // @[ifu_mem_ctl.scala 696:10] + wire _T_9249 = _T_9248 | _T_8999; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_5; // @[Reg.scala 27:20] + wire _T_9001 = _T_4676 & ic_tag_valid_out_0_5; // @[ifu_mem_ctl.scala 696:10] + wire _T_9250 = _T_9249 | _T_9001; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_6; // @[Reg.scala 27:20] + wire _T_9003 = _T_4677 & ic_tag_valid_out_0_6; // @[ifu_mem_ctl.scala 696:10] + wire _T_9251 = _T_9250 | _T_9003; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_7; // @[Reg.scala 27:20] + wire _T_9005 = _T_4678 & ic_tag_valid_out_0_7; // @[ifu_mem_ctl.scala 696:10] + wire _T_9252 = _T_9251 | _T_9005; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_8; // @[Reg.scala 27:20] + wire _T_9007 = _T_4679 & ic_tag_valid_out_0_8; // @[ifu_mem_ctl.scala 696:10] + wire _T_9253 = _T_9252 | _T_9007; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_9; // @[Reg.scala 27:20] + wire _T_9009 = _T_4680 & ic_tag_valid_out_0_9; // @[ifu_mem_ctl.scala 696:10] + wire _T_9254 = _T_9253 | _T_9009; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_10; // @[Reg.scala 27:20] + wire _T_9011 = _T_4681 & ic_tag_valid_out_0_10; // @[ifu_mem_ctl.scala 696:10] + wire _T_9255 = _T_9254 | _T_9011; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_11; // @[Reg.scala 27:20] + wire _T_9013 = _T_4682 & ic_tag_valid_out_0_11; // @[ifu_mem_ctl.scala 696:10] + wire _T_9256 = _T_9255 | _T_9013; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_12; // @[Reg.scala 27:20] + wire _T_9015 = _T_4683 & ic_tag_valid_out_0_12; // @[ifu_mem_ctl.scala 696:10] + wire _T_9257 = _T_9256 | _T_9015; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_13; // @[Reg.scala 27:20] + wire _T_9017 = _T_4684 & ic_tag_valid_out_0_13; // @[ifu_mem_ctl.scala 696:10] + wire _T_9258 = _T_9257 | _T_9017; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_14; // @[Reg.scala 27:20] + wire _T_9019 = _T_4685 & ic_tag_valid_out_0_14; // @[ifu_mem_ctl.scala 696:10] + wire _T_9259 = _T_9258 | _T_9019; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_15; // @[Reg.scala 27:20] + wire _T_9021 = _T_4686 & ic_tag_valid_out_0_15; // @[ifu_mem_ctl.scala 696:10] + wire _T_9260 = _T_9259 | _T_9021; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_16; // @[Reg.scala 27:20] + wire _T_9023 = _T_4687 & ic_tag_valid_out_0_16; // @[ifu_mem_ctl.scala 696:10] + wire _T_9261 = _T_9260 | _T_9023; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_17; // @[Reg.scala 27:20] + wire _T_9025 = _T_4688 & ic_tag_valid_out_0_17; // @[ifu_mem_ctl.scala 696:10] + wire _T_9262 = _T_9261 | _T_9025; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_18; // @[Reg.scala 27:20] + wire _T_9027 = _T_4689 & ic_tag_valid_out_0_18; // @[ifu_mem_ctl.scala 696:10] + wire _T_9263 = _T_9262 | _T_9027; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_19; // @[Reg.scala 27:20] + wire _T_9029 = _T_4690 & ic_tag_valid_out_0_19; // @[ifu_mem_ctl.scala 696:10] + wire _T_9264 = _T_9263 | _T_9029; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_20; // @[Reg.scala 27:20] + wire _T_9031 = _T_4691 & ic_tag_valid_out_0_20; // @[ifu_mem_ctl.scala 696:10] + wire _T_9265 = _T_9264 | _T_9031; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_21; // @[Reg.scala 27:20] + wire _T_9033 = _T_4692 & ic_tag_valid_out_0_21; // @[ifu_mem_ctl.scala 696:10] + wire _T_9266 = _T_9265 | _T_9033; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_22; // @[Reg.scala 27:20] + wire _T_9035 = _T_4693 & ic_tag_valid_out_0_22; // @[ifu_mem_ctl.scala 696:10] + wire _T_9267 = _T_9266 | _T_9035; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_23; // @[Reg.scala 27:20] + wire _T_9037 = _T_4694 & ic_tag_valid_out_0_23; // @[ifu_mem_ctl.scala 696:10] + wire _T_9268 = _T_9267 | _T_9037; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_24; // @[Reg.scala 27:20] + wire _T_9039 = _T_4695 & ic_tag_valid_out_0_24; // @[ifu_mem_ctl.scala 696:10] + wire _T_9269 = _T_9268 | _T_9039; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_25; // @[Reg.scala 27:20] + wire _T_9041 = _T_4696 & ic_tag_valid_out_0_25; // @[ifu_mem_ctl.scala 696:10] + wire _T_9270 = _T_9269 | _T_9041; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_26; // @[Reg.scala 27:20] + wire _T_9043 = _T_4697 & ic_tag_valid_out_0_26; // @[ifu_mem_ctl.scala 696:10] + wire _T_9271 = _T_9270 | _T_9043; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_27; // @[Reg.scala 27:20] + wire _T_9045 = _T_4698 & ic_tag_valid_out_0_27; // @[ifu_mem_ctl.scala 696:10] + wire _T_9272 = _T_9271 | _T_9045; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_28; // @[Reg.scala 27:20] + wire _T_9047 = _T_4699 & ic_tag_valid_out_0_28; // @[ifu_mem_ctl.scala 696:10] + wire _T_9273 = _T_9272 | _T_9047; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_29; // @[Reg.scala 27:20] + wire _T_9049 = _T_4700 & ic_tag_valid_out_0_29; // @[ifu_mem_ctl.scala 696:10] + wire _T_9274 = _T_9273 | _T_9049; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_30; // @[Reg.scala 27:20] + wire _T_9051 = _T_4701 & ic_tag_valid_out_0_30; // @[ifu_mem_ctl.scala 696:10] + wire _T_9275 = _T_9274 | _T_9051; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_31; // @[Reg.scala 27:20] + wire _T_9053 = _T_4702 & ic_tag_valid_out_0_31; // @[ifu_mem_ctl.scala 696:10] + wire _T_9276 = _T_9275 | _T_9053; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_32; // @[Reg.scala 27:20] + wire _T_9055 = _T_4703 & ic_tag_valid_out_0_32; // @[ifu_mem_ctl.scala 696:10] + wire _T_9277 = _T_9276 | _T_9055; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_33; // @[Reg.scala 27:20] + wire _T_9057 = _T_4704 & ic_tag_valid_out_0_33; // @[ifu_mem_ctl.scala 696:10] + wire _T_9278 = _T_9277 | _T_9057; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_34; // @[Reg.scala 27:20] + wire _T_9059 = _T_4705 & ic_tag_valid_out_0_34; // @[ifu_mem_ctl.scala 696:10] + wire _T_9279 = _T_9278 | _T_9059; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_35; // @[Reg.scala 27:20] + wire _T_9061 = _T_4706 & ic_tag_valid_out_0_35; // @[ifu_mem_ctl.scala 696:10] + wire _T_9280 = _T_9279 | _T_9061; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_36; // @[Reg.scala 27:20] + wire _T_9063 = _T_4707 & ic_tag_valid_out_0_36; // @[ifu_mem_ctl.scala 696:10] + wire _T_9281 = _T_9280 | _T_9063; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_37; // @[Reg.scala 27:20] + wire _T_9065 = _T_4708 & ic_tag_valid_out_0_37; // @[ifu_mem_ctl.scala 696:10] + wire _T_9282 = _T_9281 | _T_9065; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_38; // @[Reg.scala 27:20] + wire _T_9067 = _T_4709 & ic_tag_valid_out_0_38; // @[ifu_mem_ctl.scala 696:10] + wire _T_9283 = _T_9282 | _T_9067; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_39; // @[Reg.scala 27:20] + wire _T_9069 = _T_4710 & ic_tag_valid_out_0_39; // @[ifu_mem_ctl.scala 696:10] + wire _T_9284 = _T_9283 | _T_9069; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_40; // @[Reg.scala 27:20] + wire _T_9071 = _T_4711 & ic_tag_valid_out_0_40; // @[ifu_mem_ctl.scala 696:10] + wire _T_9285 = _T_9284 | _T_9071; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_41; // @[Reg.scala 27:20] + wire _T_9073 = _T_4712 & ic_tag_valid_out_0_41; // @[ifu_mem_ctl.scala 696:10] + wire _T_9286 = _T_9285 | _T_9073; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_42; // @[Reg.scala 27:20] + wire _T_9075 = _T_4713 & ic_tag_valid_out_0_42; // @[ifu_mem_ctl.scala 696:10] + wire _T_9287 = _T_9286 | _T_9075; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_43; // @[Reg.scala 27:20] + wire _T_9077 = _T_4714 & ic_tag_valid_out_0_43; // @[ifu_mem_ctl.scala 696:10] + wire _T_9288 = _T_9287 | _T_9077; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_44; // @[Reg.scala 27:20] + wire _T_9079 = _T_4715 & ic_tag_valid_out_0_44; // @[ifu_mem_ctl.scala 696:10] + wire _T_9289 = _T_9288 | _T_9079; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_45; // @[Reg.scala 27:20] + wire _T_9081 = _T_4716 & ic_tag_valid_out_0_45; // @[ifu_mem_ctl.scala 696:10] + wire _T_9290 = _T_9289 | _T_9081; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_46; // @[Reg.scala 27:20] + wire _T_9083 = _T_4717 & ic_tag_valid_out_0_46; // @[ifu_mem_ctl.scala 696:10] + wire _T_9291 = _T_9290 | _T_9083; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_47; // @[Reg.scala 27:20] + wire _T_9085 = _T_4718 & ic_tag_valid_out_0_47; // @[ifu_mem_ctl.scala 696:10] + wire _T_9292 = _T_9291 | _T_9085; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_48; // @[Reg.scala 27:20] + wire _T_9087 = _T_4719 & ic_tag_valid_out_0_48; // @[ifu_mem_ctl.scala 696:10] + wire _T_9293 = _T_9292 | _T_9087; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_49; // @[Reg.scala 27:20] + wire _T_9089 = _T_4720 & ic_tag_valid_out_0_49; // @[ifu_mem_ctl.scala 696:10] + wire _T_9294 = _T_9293 | _T_9089; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_50; // @[Reg.scala 27:20] + wire _T_9091 = _T_4721 & ic_tag_valid_out_0_50; // @[ifu_mem_ctl.scala 696:10] + wire _T_9295 = _T_9294 | _T_9091; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_51; // @[Reg.scala 27:20] + wire _T_9093 = _T_4722 & ic_tag_valid_out_0_51; // @[ifu_mem_ctl.scala 696:10] + wire _T_9296 = _T_9295 | _T_9093; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_52; // @[Reg.scala 27:20] + wire _T_9095 = _T_4723 & ic_tag_valid_out_0_52; // @[ifu_mem_ctl.scala 696:10] + wire _T_9297 = _T_9296 | _T_9095; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_53; // @[Reg.scala 27:20] + wire _T_9097 = _T_4724 & ic_tag_valid_out_0_53; // @[ifu_mem_ctl.scala 696:10] + wire _T_9298 = _T_9297 | _T_9097; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_54; // @[Reg.scala 27:20] + wire _T_9099 = _T_4725 & ic_tag_valid_out_0_54; // @[ifu_mem_ctl.scala 696:10] + wire _T_9299 = _T_9298 | _T_9099; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_55; // @[Reg.scala 27:20] + wire _T_9101 = _T_4726 & ic_tag_valid_out_0_55; // @[ifu_mem_ctl.scala 696:10] + wire _T_9300 = _T_9299 | _T_9101; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_56; // @[Reg.scala 27:20] + wire _T_9103 = _T_4727 & ic_tag_valid_out_0_56; // @[ifu_mem_ctl.scala 696:10] + wire _T_9301 = _T_9300 | _T_9103; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_57; // @[Reg.scala 27:20] + wire _T_9105 = _T_4728 & ic_tag_valid_out_0_57; // @[ifu_mem_ctl.scala 696:10] + wire _T_9302 = _T_9301 | _T_9105; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_58; // @[Reg.scala 27:20] + wire _T_9107 = _T_4729 & ic_tag_valid_out_0_58; // @[ifu_mem_ctl.scala 696:10] + wire _T_9303 = _T_9302 | _T_9107; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_59; // @[Reg.scala 27:20] + wire _T_9109 = _T_4730 & ic_tag_valid_out_0_59; // @[ifu_mem_ctl.scala 696:10] + wire _T_9304 = _T_9303 | _T_9109; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_60; // @[Reg.scala 27:20] + wire _T_9111 = _T_4731 & ic_tag_valid_out_0_60; // @[ifu_mem_ctl.scala 696:10] + wire _T_9305 = _T_9304 | _T_9111; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_61; // @[Reg.scala 27:20] + wire _T_9113 = _T_4732 & ic_tag_valid_out_0_61; // @[ifu_mem_ctl.scala 696:10] + wire _T_9306 = _T_9305 | _T_9113; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_62; // @[Reg.scala 27:20] + wire _T_9115 = _T_4733 & ic_tag_valid_out_0_62; // @[ifu_mem_ctl.scala 696:10] + wire _T_9307 = _T_9306 | _T_9115; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_63; // @[Reg.scala 27:20] + wire _T_9117 = _T_4734 & ic_tag_valid_out_0_63; // @[ifu_mem_ctl.scala 696:10] + wire _T_9308 = _T_9307 | _T_9117; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_64; // @[Reg.scala 27:20] + wire _T_9119 = _T_4735 & ic_tag_valid_out_0_64; // @[ifu_mem_ctl.scala 696:10] + wire _T_9309 = _T_9308 | _T_9119; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_65; // @[Reg.scala 27:20] + wire _T_9121 = _T_4736 & ic_tag_valid_out_0_65; // @[ifu_mem_ctl.scala 696:10] + wire _T_9310 = _T_9309 | _T_9121; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_66; // @[Reg.scala 27:20] + wire _T_9123 = _T_4737 & ic_tag_valid_out_0_66; // @[ifu_mem_ctl.scala 696:10] + wire _T_9311 = _T_9310 | _T_9123; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_67; // @[Reg.scala 27:20] + wire _T_9125 = _T_4738 & ic_tag_valid_out_0_67; // @[ifu_mem_ctl.scala 696:10] + wire _T_9312 = _T_9311 | _T_9125; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_68; // @[Reg.scala 27:20] + wire _T_9127 = _T_4739 & ic_tag_valid_out_0_68; // @[ifu_mem_ctl.scala 696:10] + wire _T_9313 = _T_9312 | _T_9127; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_69; // @[Reg.scala 27:20] + wire _T_9129 = _T_4740 & ic_tag_valid_out_0_69; // @[ifu_mem_ctl.scala 696:10] + wire _T_9314 = _T_9313 | _T_9129; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_70; // @[Reg.scala 27:20] + wire _T_9131 = _T_4741 & ic_tag_valid_out_0_70; // @[ifu_mem_ctl.scala 696:10] + wire _T_9315 = _T_9314 | _T_9131; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_71; // @[Reg.scala 27:20] + wire _T_9133 = _T_4742 & ic_tag_valid_out_0_71; // @[ifu_mem_ctl.scala 696:10] + wire _T_9316 = _T_9315 | _T_9133; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_72; // @[Reg.scala 27:20] + wire _T_9135 = _T_4743 & ic_tag_valid_out_0_72; // @[ifu_mem_ctl.scala 696:10] + wire _T_9317 = _T_9316 | _T_9135; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_73; // @[Reg.scala 27:20] + wire _T_9137 = _T_4744 & ic_tag_valid_out_0_73; // @[ifu_mem_ctl.scala 696:10] + wire _T_9318 = _T_9317 | _T_9137; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_74; // @[Reg.scala 27:20] + wire _T_9139 = _T_4745 & ic_tag_valid_out_0_74; // @[ifu_mem_ctl.scala 696:10] + wire _T_9319 = _T_9318 | _T_9139; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_75; // @[Reg.scala 27:20] + wire _T_9141 = _T_4746 & ic_tag_valid_out_0_75; // @[ifu_mem_ctl.scala 696:10] + wire _T_9320 = _T_9319 | _T_9141; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_76; // @[Reg.scala 27:20] + wire _T_9143 = _T_4747 & ic_tag_valid_out_0_76; // @[ifu_mem_ctl.scala 696:10] + wire _T_9321 = _T_9320 | _T_9143; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_77; // @[Reg.scala 27:20] + wire _T_9145 = _T_4748 & ic_tag_valid_out_0_77; // @[ifu_mem_ctl.scala 696:10] + wire _T_9322 = _T_9321 | _T_9145; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_78; // @[Reg.scala 27:20] + wire _T_9147 = _T_4749 & ic_tag_valid_out_0_78; // @[ifu_mem_ctl.scala 696:10] + wire _T_9323 = _T_9322 | _T_9147; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_79; // @[Reg.scala 27:20] + wire _T_9149 = _T_4750 & ic_tag_valid_out_0_79; // @[ifu_mem_ctl.scala 696:10] + wire _T_9324 = _T_9323 | _T_9149; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_80; // @[Reg.scala 27:20] + wire _T_9151 = _T_4751 & ic_tag_valid_out_0_80; // @[ifu_mem_ctl.scala 696:10] + wire _T_9325 = _T_9324 | _T_9151; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_81; // @[Reg.scala 27:20] + wire _T_9153 = _T_4752 & ic_tag_valid_out_0_81; // @[ifu_mem_ctl.scala 696:10] + wire _T_9326 = _T_9325 | _T_9153; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_82; // @[Reg.scala 27:20] + wire _T_9155 = _T_4753 & ic_tag_valid_out_0_82; // @[ifu_mem_ctl.scala 696:10] + wire _T_9327 = _T_9326 | _T_9155; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_83; // @[Reg.scala 27:20] + wire _T_9157 = _T_4754 & ic_tag_valid_out_0_83; // @[ifu_mem_ctl.scala 696:10] + wire _T_9328 = _T_9327 | _T_9157; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_84; // @[Reg.scala 27:20] + wire _T_9159 = _T_4755 & ic_tag_valid_out_0_84; // @[ifu_mem_ctl.scala 696:10] + wire _T_9329 = _T_9328 | _T_9159; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_85; // @[Reg.scala 27:20] + wire _T_9161 = _T_4756 & ic_tag_valid_out_0_85; // @[ifu_mem_ctl.scala 696:10] + wire _T_9330 = _T_9329 | _T_9161; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_86; // @[Reg.scala 27:20] + wire _T_9163 = _T_4757 & ic_tag_valid_out_0_86; // @[ifu_mem_ctl.scala 696:10] + wire _T_9331 = _T_9330 | _T_9163; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_87; // @[Reg.scala 27:20] + wire _T_9165 = _T_4758 & ic_tag_valid_out_0_87; // @[ifu_mem_ctl.scala 696:10] + wire _T_9332 = _T_9331 | _T_9165; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_88; // @[Reg.scala 27:20] + wire _T_9167 = _T_4759 & ic_tag_valid_out_0_88; // @[ifu_mem_ctl.scala 696:10] + wire _T_9333 = _T_9332 | _T_9167; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_89; // @[Reg.scala 27:20] + wire _T_9169 = _T_4760 & ic_tag_valid_out_0_89; // @[ifu_mem_ctl.scala 696:10] + wire _T_9334 = _T_9333 | _T_9169; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_90; // @[Reg.scala 27:20] + wire _T_9171 = _T_4761 & ic_tag_valid_out_0_90; // @[ifu_mem_ctl.scala 696:10] + wire _T_9335 = _T_9334 | _T_9171; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_91; // @[Reg.scala 27:20] + wire _T_9173 = _T_4762 & ic_tag_valid_out_0_91; // @[ifu_mem_ctl.scala 696:10] + wire _T_9336 = _T_9335 | _T_9173; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_92; // @[Reg.scala 27:20] + wire _T_9175 = _T_4763 & ic_tag_valid_out_0_92; // @[ifu_mem_ctl.scala 696:10] + wire _T_9337 = _T_9336 | _T_9175; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_93; // @[Reg.scala 27:20] + wire _T_9177 = _T_4764 & ic_tag_valid_out_0_93; // @[ifu_mem_ctl.scala 696:10] + wire _T_9338 = _T_9337 | _T_9177; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_94; // @[Reg.scala 27:20] + wire _T_9179 = _T_4765 & ic_tag_valid_out_0_94; // @[ifu_mem_ctl.scala 696:10] + wire _T_9339 = _T_9338 | _T_9179; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_95; // @[Reg.scala 27:20] + wire _T_9181 = _T_4766 & ic_tag_valid_out_0_95; // @[ifu_mem_ctl.scala 696:10] + wire _T_9340 = _T_9339 | _T_9181; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_96; // @[Reg.scala 27:20] + wire _T_9183 = _T_4767 & ic_tag_valid_out_0_96; // @[ifu_mem_ctl.scala 696:10] + wire _T_9341 = _T_9340 | _T_9183; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_97; // @[Reg.scala 27:20] + wire _T_9185 = _T_4768 & ic_tag_valid_out_0_97; // @[ifu_mem_ctl.scala 696:10] + wire _T_9342 = _T_9341 | _T_9185; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_98; // @[Reg.scala 27:20] + wire _T_9187 = _T_4769 & ic_tag_valid_out_0_98; // @[ifu_mem_ctl.scala 696:10] + wire _T_9343 = _T_9342 | _T_9187; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_99; // @[Reg.scala 27:20] + wire _T_9189 = _T_4770 & ic_tag_valid_out_0_99; // @[ifu_mem_ctl.scala 696:10] + wire _T_9344 = _T_9343 | _T_9189; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_100; // @[Reg.scala 27:20] + wire _T_9191 = _T_4771 & ic_tag_valid_out_0_100; // @[ifu_mem_ctl.scala 696:10] + wire _T_9345 = _T_9344 | _T_9191; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_101; // @[Reg.scala 27:20] + wire _T_9193 = _T_4772 & ic_tag_valid_out_0_101; // @[ifu_mem_ctl.scala 696:10] + wire _T_9346 = _T_9345 | _T_9193; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_102; // @[Reg.scala 27:20] + wire _T_9195 = _T_4773 & ic_tag_valid_out_0_102; // @[ifu_mem_ctl.scala 696:10] + wire _T_9347 = _T_9346 | _T_9195; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_103; // @[Reg.scala 27:20] + wire _T_9197 = _T_4774 & ic_tag_valid_out_0_103; // @[ifu_mem_ctl.scala 696:10] + wire _T_9348 = _T_9347 | _T_9197; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_104; // @[Reg.scala 27:20] + wire _T_9199 = _T_4775 & ic_tag_valid_out_0_104; // @[ifu_mem_ctl.scala 696:10] + wire _T_9349 = _T_9348 | _T_9199; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_105; // @[Reg.scala 27:20] + wire _T_9201 = _T_4776 & ic_tag_valid_out_0_105; // @[ifu_mem_ctl.scala 696:10] + wire _T_9350 = _T_9349 | _T_9201; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_106; // @[Reg.scala 27:20] + wire _T_9203 = _T_4777 & ic_tag_valid_out_0_106; // @[ifu_mem_ctl.scala 696:10] + wire _T_9351 = _T_9350 | _T_9203; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_107; // @[Reg.scala 27:20] + wire _T_9205 = _T_4778 & ic_tag_valid_out_0_107; // @[ifu_mem_ctl.scala 696:10] + wire _T_9352 = _T_9351 | _T_9205; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_108; // @[Reg.scala 27:20] + wire _T_9207 = _T_4779 & ic_tag_valid_out_0_108; // @[ifu_mem_ctl.scala 696:10] + wire _T_9353 = _T_9352 | _T_9207; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_109; // @[Reg.scala 27:20] + wire _T_9209 = _T_4780 & ic_tag_valid_out_0_109; // @[ifu_mem_ctl.scala 696:10] + wire _T_9354 = _T_9353 | _T_9209; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_110; // @[Reg.scala 27:20] + wire _T_9211 = _T_4781 & ic_tag_valid_out_0_110; // @[ifu_mem_ctl.scala 696:10] + wire _T_9355 = _T_9354 | _T_9211; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_111; // @[Reg.scala 27:20] + wire _T_9213 = _T_4782 & ic_tag_valid_out_0_111; // @[ifu_mem_ctl.scala 696:10] + wire _T_9356 = _T_9355 | _T_9213; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_112; // @[Reg.scala 27:20] + wire _T_9215 = _T_4783 & ic_tag_valid_out_0_112; // @[ifu_mem_ctl.scala 696:10] + wire _T_9357 = _T_9356 | _T_9215; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_113; // @[Reg.scala 27:20] + wire _T_9217 = _T_4784 & ic_tag_valid_out_0_113; // @[ifu_mem_ctl.scala 696:10] + wire _T_9358 = _T_9357 | _T_9217; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_114; // @[Reg.scala 27:20] + wire _T_9219 = _T_4785 & ic_tag_valid_out_0_114; // @[ifu_mem_ctl.scala 696:10] + wire _T_9359 = _T_9358 | _T_9219; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_115; // @[Reg.scala 27:20] + wire _T_9221 = _T_4786 & ic_tag_valid_out_0_115; // @[ifu_mem_ctl.scala 696:10] + wire _T_9360 = _T_9359 | _T_9221; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_116; // @[Reg.scala 27:20] + wire _T_9223 = _T_4787 & ic_tag_valid_out_0_116; // @[ifu_mem_ctl.scala 696:10] + wire _T_9361 = _T_9360 | _T_9223; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_117; // @[Reg.scala 27:20] + wire _T_9225 = _T_4788 & ic_tag_valid_out_0_117; // @[ifu_mem_ctl.scala 696:10] + wire _T_9362 = _T_9361 | _T_9225; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_118; // @[Reg.scala 27:20] + wire _T_9227 = _T_4789 & ic_tag_valid_out_0_118; // @[ifu_mem_ctl.scala 696:10] + wire _T_9363 = _T_9362 | _T_9227; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_119; // @[Reg.scala 27:20] + wire _T_9229 = _T_4790 & ic_tag_valid_out_0_119; // @[ifu_mem_ctl.scala 696:10] + wire _T_9364 = _T_9363 | _T_9229; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_120; // @[Reg.scala 27:20] + wire _T_9231 = _T_4791 & ic_tag_valid_out_0_120; // @[ifu_mem_ctl.scala 696:10] + wire _T_9365 = _T_9364 | _T_9231; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_121; // @[Reg.scala 27:20] + wire _T_9233 = _T_4792 & ic_tag_valid_out_0_121; // @[ifu_mem_ctl.scala 696:10] + wire _T_9366 = _T_9365 | _T_9233; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_122; // @[Reg.scala 27:20] + wire _T_9235 = _T_4793 & ic_tag_valid_out_0_122; // @[ifu_mem_ctl.scala 696:10] + wire _T_9367 = _T_9366 | _T_9235; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_123; // @[Reg.scala 27:20] + wire _T_9237 = _T_4794 & ic_tag_valid_out_0_123; // @[ifu_mem_ctl.scala 696:10] + wire _T_9368 = _T_9367 | _T_9237; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_124; // @[Reg.scala 27:20] + wire _T_9239 = _T_4795 & ic_tag_valid_out_0_124; // @[ifu_mem_ctl.scala 696:10] + wire _T_9369 = _T_9368 | _T_9239; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_125; // @[Reg.scala 27:20] + wire _T_9241 = _T_4796 & ic_tag_valid_out_0_125; // @[ifu_mem_ctl.scala 696:10] + wire _T_9370 = _T_9369 | _T_9241; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_126; // @[Reg.scala 27:20] + wire _T_9243 = _T_4797 & ic_tag_valid_out_0_126; // @[ifu_mem_ctl.scala 696:10] + wire _T_9371 = _T_9370 | _T_9243; // @[ifu_mem_ctl.scala 696:91] + reg ic_tag_valid_out_0_127; // @[Reg.scala 27:20] + wire _T_9245 = _T_4798 & ic_tag_valid_out_0_127; // @[ifu_mem_ctl.scala 696:10] + wire _T_9372 = _T_9371 | _T_9245; // @[ifu_mem_ctl.scala 696:91] + wire [1:0] ic_tag_valid_unq = {_T_9755,_T_9372}; // @[Cat.scala 29:58] + reg [1:0] ic_debug_way_ff; // @[ifu_mem_ctl.scala 767:53] + reg ic_debug_rd_en_ff; // @[ifu_mem_ctl.scala 769:54] + wire [1:0] _T_9795 = ic_debug_rd_en_ff ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_9796 = ic_debug_way_ff & _T_9795; // @[ifu_mem_ctl.scala 750:67] + wire [1:0] _T_9797 = ic_tag_valid_unq & _T_9796; // @[ifu_mem_ctl.scala 750:48] + wire ic_debug_tag_val_rd_out = |_T_9797; // @[ifu_mem_ctl.scala 750:115] + wire [70:0] _T_1211 = {2'h0,io_ic_tag_debug_rd_data[25:21],32'h0,io_ic_tag_debug_rd_data[20:0],6'h0,way_status,3'h0,ic_debug_tag_val_rd_out}; // @[Cat.scala 29:58] + reg [70:0] _T_1212; // @[ifu_mem_ctl.scala 263:76] + wire _T_1250 = ~ifu_byp_data_err_new; // @[ifu_mem_ctl.scala 279:98] + wire sel_byp_data = _T_1254 & _T_1250; // @[ifu_mem_ctl.scala 279:96] + wire _T_1257 = sel_byp_data | fetch_req_iccm_f; // @[ifu_mem_ctl.scala 284:46] + wire final_data_sel1_0 = _T_1257 | sel_ic_data; // @[ifu_mem_ctl.scala 284:62] + wire [63:0] _T_1263 = final_data_sel1_0 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] + wire [63:0] ic_final_data = _T_1263 & io_ic_rd_data; // @[ifu_mem_ctl.scala 288:92] + wire [63:0] _T_1265 = fetch_req_iccm_f ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] + wire [63:0] _T_1266 = _T_1265 & io_iccm_rd_data; // @[ifu_mem_ctl.scala 292:69] + wire [63:0] _T_1268 = sel_byp_data ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] + wire [3:0] byp_fetch_index_inc_0 = {byp_fetch_index_inc,1'h0}; // @[Cat.scala 29:58] + wire _T_1662 = byp_fetch_index_inc_0 == 4'h0; // @[ifu_mem_ctl.scala 358:73] + wire [15:0] _T_1710 = _T_1662 ? ic_miss_buff_data_0[15:0] : 16'h0; // @[Mux.scala 27:72] + wire _T_1665 = byp_fetch_index_inc_0 == 4'h1; // @[ifu_mem_ctl.scala 358:73] + wire [15:0] _T_1711 = _T_1665 ? ic_miss_buff_data_1[15:0] : 16'h0; // @[Mux.scala 27:72] + wire [15:0] _T_1726 = _T_1710 | _T_1711; // @[Mux.scala 27:72] + wire _T_1668 = byp_fetch_index_inc_0 == 4'h2; // @[ifu_mem_ctl.scala 358:73] + wire [15:0] _T_1712 = _T_1668 ? ic_miss_buff_data_2[15:0] : 16'h0; // @[Mux.scala 27:72] + wire [15:0] _T_1727 = _T_1726 | _T_1712; // @[Mux.scala 27:72] + wire _T_1671 = byp_fetch_index_inc_0 == 4'h3; // @[ifu_mem_ctl.scala 358:73] + wire [15:0] _T_1713 = _T_1671 ? ic_miss_buff_data_3[15:0] : 16'h0; // @[Mux.scala 27:72] + wire [15:0] _T_1728 = _T_1727 | _T_1713; // @[Mux.scala 27:72] + wire _T_1674 = byp_fetch_index_inc_0 == 4'h4; // @[ifu_mem_ctl.scala 358:73] + wire [15:0] _T_1714 = _T_1674 ? ic_miss_buff_data_4[15:0] : 16'h0; // @[Mux.scala 27:72] + wire [15:0] _T_1729 = _T_1728 | _T_1714; // @[Mux.scala 27:72] + wire _T_1677 = byp_fetch_index_inc_0 == 4'h5; // @[ifu_mem_ctl.scala 358:73] + wire [15:0] _T_1715 = _T_1677 ? ic_miss_buff_data_5[15:0] : 16'h0; // @[Mux.scala 27:72] + wire [15:0] _T_1730 = _T_1729 | _T_1715; // @[Mux.scala 27:72] + wire _T_1680 = byp_fetch_index_inc_0 == 4'h6; // @[ifu_mem_ctl.scala 358:73] + wire [15:0] _T_1716 = _T_1680 ? ic_miss_buff_data_6[15:0] : 16'h0; // @[Mux.scala 27:72] + wire [15:0] _T_1731 = _T_1730 | _T_1716; // @[Mux.scala 27:72] + wire _T_1683 = byp_fetch_index_inc_0 == 4'h7; // @[ifu_mem_ctl.scala 358:73] + wire [15:0] _T_1717 = _T_1683 ? ic_miss_buff_data_7[15:0] : 16'h0; // @[Mux.scala 27:72] + wire [15:0] _T_1732 = _T_1731 | _T_1717; // @[Mux.scala 27:72] + wire _T_1686 = byp_fetch_index_inc_0 == 4'h8; // @[ifu_mem_ctl.scala 358:73] + wire [15:0] _T_1718 = _T_1686 ? ic_miss_buff_data_8[15:0] : 16'h0; // @[Mux.scala 27:72] + wire [15:0] _T_1733 = _T_1732 | _T_1718; // @[Mux.scala 27:72] + wire _T_1689 = byp_fetch_index_inc_0 == 4'h9; // @[ifu_mem_ctl.scala 358:73] + wire [15:0] _T_1719 = _T_1689 ? ic_miss_buff_data_9[15:0] : 16'h0; // @[Mux.scala 27:72] + wire [15:0] _T_1734 = _T_1733 | _T_1719; // @[Mux.scala 27:72] + wire _T_1692 = byp_fetch_index_inc_0 == 4'ha; // @[ifu_mem_ctl.scala 358:73] + wire [15:0] _T_1720 = _T_1692 ? ic_miss_buff_data_10[15:0] : 16'h0; // @[Mux.scala 27:72] + wire [15:0] _T_1735 = _T_1734 | _T_1720; // @[Mux.scala 27:72] + wire _T_1695 = byp_fetch_index_inc_0 == 4'hb; // @[ifu_mem_ctl.scala 358:73] + wire [15:0] _T_1721 = _T_1695 ? ic_miss_buff_data_11[15:0] : 16'h0; // @[Mux.scala 27:72] + wire [15:0] _T_1736 = _T_1735 | _T_1721; // @[Mux.scala 27:72] + wire _T_1698 = byp_fetch_index_inc_0 == 4'hc; // @[ifu_mem_ctl.scala 358:73] + wire [15:0] _T_1722 = _T_1698 ? ic_miss_buff_data_12[15:0] : 16'h0; // @[Mux.scala 27:72] + wire [15:0] _T_1737 = _T_1736 | _T_1722; // @[Mux.scala 27:72] + wire _T_1701 = byp_fetch_index_inc_0 == 4'hd; // @[ifu_mem_ctl.scala 358:73] + wire [15:0] _T_1723 = _T_1701 ? ic_miss_buff_data_13[15:0] : 16'h0; // @[Mux.scala 27:72] + wire [15:0] _T_1738 = _T_1737 | _T_1723; // @[Mux.scala 27:72] + wire _T_1704 = byp_fetch_index_inc_0 == 4'he; // @[ifu_mem_ctl.scala 358:73] + wire [15:0] _T_1724 = _T_1704 ? ic_miss_buff_data_14[15:0] : 16'h0; // @[Mux.scala 27:72] + wire [15:0] _T_1739 = _T_1738 | _T_1724; // @[Mux.scala 27:72] + wire _T_1707 = byp_fetch_index_inc_0 == 4'hf; // @[ifu_mem_ctl.scala 358:73] + wire [15:0] _T_1725 = _T_1707 ? ic_miss_buff_data_15[15:0] : 16'h0; // @[Mux.scala 27:72] + wire [15:0] _T_1740 = _T_1739 | _T_1725; // @[Mux.scala 27:72] + wire [3:0] byp_fetch_index_1 = {ifu_fetch_addr_int_f[4:2],1'h1}; // @[Cat.scala 29:58] + wire _T_1742 = byp_fetch_index_1 == 4'h0; // @[ifu_mem_ctl.scala 358:179] + wire [31:0] _T_1790 = _T_1742 ? ic_miss_buff_data_0 : 32'h0; // @[Mux.scala 27:72] + wire _T_1745 = byp_fetch_index_1 == 4'h1; // @[ifu_mem_ctl.scala 358:179] + wire [31:0] _T_1791 = _T_1745 ? ic_miss_buff_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1806 = _T_1790 | _T_1791; // @[Mux.scala 27:72] + wire _T_1748 = byp_fetch_index_1 == 4'h2; // @[ifu_mem_ctl.scala 358:179] + wire [31:0] _T_1792 = _T_1748 ? ic_miss_buff_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1807 = _T_1806 | _T_1792; // @[Mux.scala 27:72] + wire _T_1751 = byp_fetch_index_1 == 4'h3; // @[ifu_mem_ctl.scala 358:179] + wire [31:0] _T_1793 = _T_1751 ? ic_miss_buff_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1808 = _T_1807 | _T_1793; // @[Mux.scala 27:72] + wire _T_1754 = byp_fetch_index_1 == 4'h4; // @[ifu_mem_ctl.scala 358:179] + wire [31:0] _T_1794 = _T_1754 ? ic_miss_buff_data_4 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1809 = _T_1808 | _T_1794; // @[Mux.scala 27:72] + wire _T_1757 = byp_fetch_index_1 == 4'h5; // @[ifu_mem_ctl.scala 358:179] + wire [31:0] _T_1795 = _T_1757 ? ic_miss_buff_data_5 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1810 = _T_1809 | _T_1795; // @[Mux.scala 27:72] + wire _T_1760 = byp_fetch_index_1 == 4'h6; // @[ifu_mem_ctl.scala 358:179] + wire [31:0] _T_1796 = _T_1760 ? ic_miss_buff_data_6 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1811 = _T_1810 | _T_1796; // @[Mux.scala 27:72] + wire _T_1763 = byp_fetch_index_1 == 4'h7; // @[ifu_mem_ctl.scala 358:179] + wire [31:0] _T_1797 = _T_1763 ? ic_miss_buff_data_7 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1812 = _T_1811 | _T_1797; // @[Mux.scala 27:72] + wire _T_1766 = byp_fetch_index_1 == 4'h8; // @[ifu_mem_ctl.scala 358:179] + wire [31:0] _T_1798 = _T_1766 ? ic_miss_buff_data_8 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1813 = _T_1812 | _T_1798; // @[Mux.scala 27:72] + wire _T_1769 = byp_fetch_index_1 == 4'h9; // @[ifu_mem_ctl.scala 358:179] + wire [31:0] _T_1799 = _T_1769 ? ic_miss_buff_data_9 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1814 = _T_1813 | _T_1799; // @[Mux.scala 27:72] + wire _T_1772 = byp_fetch_index_1 == 4'ha; // @[ifu_mem_ctl.scala 358:179] + wire [31:0] _T_1800 = _T_1772 ? ic_miss_buff_data_10 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1815 = _T_1814 | _T_1800; // @[Mux.scala 27:72] + wire _T_1775 = byp_fetch_index_1 == 4'hb; // @[ifu_mem_ctl.scala 358:179] + wire [31:0] _T_1801 = _T_1775 ? ic_miss_buff_data_11 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1816 = _T_1815 | _T_1801; // @[Mux.scala 27:72] + wire _T_1778 = byp_fetch_index_1 == 4'hc; // @[ifu_mem_ctl.scala 358:179] + wire [31:0] _T_1802 = _T_1778 ? ic_miss_buff_data_12 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1817 = _T_1816 | _T_1802; // @[Mux.scala 27:72] + wire _T_1781 = byp_fetch_index_1 == 4'hd; // @[ifu_mem_ctl.scala 358:179] + wire [31:0] _T_1803 = _T_1781 ? ic_miss_buff_data_13 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1818 = _T_1817 | _T_1803; // @[Mux.scala 27:72] + wire _T_1784 = byp_fetch_index_1 == 4'he; // @[ifu_mem_ctl.scala 358:179] + wire [31:0] _T_1804 = _T_1784 ? ic_miss_buff_data_14 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1819 = _T_1818 | _T_1804; // @[Mux.scala 27:72] + wire _T_1787 = byp_fetch_index_1 == 4'hf; // @[ifu_mem_ctl.scala 358:179] + wire [31:0] _T_1805 = _T_1787 ? ic_miss_buff_data_15 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1820 = _T_1819 | _T_1805; // @[Mux.scala 27:72] + wire [3:0] byp_fetch_index_0 = {ifu_fetch_addr_int_f[4:2],1'h0}; // @[Cat.scala 29:58] + wire _T_1822 = byp_fetch_index_0 == 4'h0; // @[ifu_mem_ctl.scala 358:285] + wire [31:0] _T_1870 = _T_1822 ? ic_miss_buff_data_0 : 32'h0; // @[Mux.scala 27:72] + wire _T_1825 = byp_fetch_index_0 == 4'h1; // @[ifu_mem_ctl.scala 358:285] + wire [31:0] _T_1871 = _T_1825 ? ic_miss_buff_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1886 = _T_1870 | _T_1871; // @[Mux.scala 27:72] + wire _T_1828 = byp_fetch_index_0 == 4'h2; // @[ifu_mem_ctl.scala 358:285] + wire [31:0] _T_1872 = _T_1828 ? ic_miss_buff_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1887 = _T_1886 | _T_1872; // @[Mux.scala 27:72] + wire _T_1831 = byp_fetch_index_0 == 4'h3; // @[ifu_mem_ctl.scala 358:285] + wire [31:0] _T_1873 = _T_1831 ? ic_miss_buff_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1888 = _T_1887 | _T_1873; // @[Mux.scala 27:72] + wire _T_1834 = byp_fetch_index_0 == 4'h4; // @[ifu_mem_ctl.scala 358:285] + wire [31:0] _T_1874 = _T_1834 ? ic_miss_buff_data_4 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1889 = _T_1888 | _T_1874; // @[Mux.scala 27:72] + wire _T_1837 = byp_fetch_index_0 == 4'h5; // @[ifu_mem_ctl.scala 358:285] + wire [31:0] _T_1875 = _T_1837 ? ic_miss_buff_data_5 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1890 = _T_1889 | _T_1875; // @[Mux.scala 27:72] + wire _T_1840 = byp_fetch_index_0 == 4'h6; // @[ifu_mem_ctl.scala 358:285] + wire [31:0] _T_1876 = _T_1840 ? ic_miss_buff_data_6 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1891 = _T_1890 | _T_1876; // @[Mux.scala 27:72] + wire _T_1843 = byp_fetch_index_0 == 4'h7; // @[ifu_mem_ctl.scala 358:285] + wire [31:0] _T_1877 = _T_1843 ? ic_miss_buff_data_7 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1892 = _T_1891 | _T_1877; // @[Mux.scala 27:72] + wire _T_1846 = byp_fetch_index_0 == 4'h8; // @[ifu_mem_ctl.scala 358:285] + wire [31:0] _T_1878 = _T_1846 ? ic_miss_buff_data_8 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1893 = _T_1892 | _T_1878; // @[Mux.scala 27:72] + wire _T_1849 = byp_fetch_index_0 == 4'h9; // @[ifu_mem_ctl.scala 358:285] + wire [31:0] _T_1879 = _T_1849 ? ic_miss_buff_data_9 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1894 = _T_1893 | _T_1879; // @[Mux.scala 27:72] + wire _T_1852 = byp_fetch_index_0 == 4'ha; // @[ifu_mem_ctl.scala 358:285] + wire [31:0] _T_1880 = _T_1852 ? ic_miss_buff_data_10 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1895 = _T_1894 | _T_1880; // @[Mux.scala 27:72] + wire _T_1855 = byp_fetch_index_0 == 4'hb; // @[ifu_mem_ctl.scala 358:285] + wire [31:0] _T_1881 = _T_1855 ? ic_miss_buff_data_11 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1896 = _T_1895 | _T_1881; // @[Mux.scala 27:72] + wire _T_1858 = byp_fetch_index_0 == 4'hc; // @[ifu_mem_ctl.scala 358:285] + wire [31:0] _T_1882 = _T_1858 ? ic_miss_buff_data_12 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1897 = _T_1896 | _T_1882; // @[Mux.scala 27:72] + wire _T_1861 = byp_fetch_index_0 == 4'hd; // @[ifu_mem_ctl.scala 358:285] + wire [31:0] _T_1883 = _T_1861 ? ic_miss_buff_data_13 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1898 = _T_1897 | _T_1883; // @[Mux.scala 27:72] + wire _T_1864 = byp_fetch_index_0 == 4'he; // @[ifu_mem_ctl.scala 358:285] + wire [31:0] _T_1884 = _T_1864 ? ic_miss_buff_data_14 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1899 = _T_1898 | _T_1884; // @[Mux.scala 27:72] + wire _T_1867 = byp_fetch_index_0 == 4'hf; // @[ifu_mem_ctl.scala 358:285] + wire [31:0] _T_1885 = _T_1867 ? ic_miss_buff_data_15 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1900 = _T_1899 | _T_1885; // @[Mux.scala 27:72] + wire [79:0] _T_1903 = {_T_1740,_T_1820,_T_1900}; // @[Cat.scala 29:58] + wire [3:0] byp_fetch_index_inc_1 = {byp_fetch_index_inc,1'h1}; // @[Cat.scala 29:58] + wire _T_1904 = byp_fetch_index_inc_1 == 4'h0; // @[ifu_mem_ctl.scala 359:73] + wire [15:0] _T_1952 = _T_1904 ? ic_miss_buff_data_0[15:0] : 16'h0; // @[Mux.scala 27:72] + wire _T_1907 = byp_fetch_index_inc_1 == 4'h1; // @[ifu_mem_ctl.scala 359:73] + wire [15:0] _T_1953 = _T_1907 ? ic_miss_buff_data_1[15:0] : 16'h0; // @[Mux.scala 27:72] + wire [15:0] _T_1968 = _T_1952 | _T_1953; // @[Mux.scala 27:72] + wire _T_1910 = byp_fetch_index_inc_1 == 4'h2; // @[ifu_mem_ctl.scala 359:73] + wire [15:0] _T_1954 = _T_1910 ? ic_miss_buff_data_2[15:0] : 16'h0; // @[Mux.scala 27:72] + wire [15:0] _T_1969 = _T_1968 | _T_1954; // @[Mux.scala 27:72] + wire _T_1913 = byp_fetch_index_inc_1 == 4'h3; // @[ifu_mem_ctl.scala 359:73] + wire [15:0] _T_1955 = _T_1913 ? ic_miss_buff_data_3[15:0] : 16'h0; // @[Mux.scala 27:72] + wire [15:0] _T_1970 = _T_1969 | _T_1955; // @[Mux.scala 27:72] + wire _T_1916 = byp_fetch_index_inc_1 == 4'h4; // @[ifu_mem_ctl.scala 359:73] + wire [15:0] _T_1956 = _T_1916 ? ic_miss_buff_data_4[15:0] : 16'h0; // @[Mux.scala 27:72] + wire [15:0] _T_1971 = _T_1970 | _T_1956; // @[Mux.scala 27:72] + wire _T_1919 = byp_fetch_index_inc_1 == 4'h5; // @[ifu_mem_ctl.scala 359:73] + wire [15:0] _T_1957 = _T_1919 ? ic_miss_buff_data_5[15:0] : 16'h0; // @[Mux.scala 27:72] + wire [15:0] _T_1972 = _T_1971 | _T_1957; // @[Mux.scala 27:72] + wire _T_1922 = byp_fetch_index_inc_1 == 4'h6; // @[ifu_mem_ctl.scala 359:73] + wire [15:0] _T_1958 = _T_1922 ? ic_miss_buff_data_6[15:0] : 16'h0; // @[Mux.scala 27:72] + wire [15:0] _T_1973 = _T_1972 | _T_1958; // @[Mux.scala 27:72] + wire _T_1925 = byp_fetch_index_inc_1 == 4'h7; // @[ifu_mem_ctl.scala 359:73] + wire [15:0] _T_1959 = _T_1925 ? ic_miss_buff_data_7[15:0] : 16'h0; // @[Mux.scala 27:72] + wire [15:0] _T_1974 = _T_1973 | _T_1959; // @[Mux.scala 27:72] + wire _T_1928 = byp_fetch_index_inc_1 == 4'h8; // @[ifu_mem_ctl.scala 359:73] + wire [15:0] _T_1960 = _T_1928 ? ic_miss_buff_data_8[15:0] : 16'h0; // @[Mux.scala 27:72] + wire [15:0] _T_1975 = _T_1974 | _T_1960; // @[Mux.scala 27:72] + wire _T_1931 = byp_fetch_index_inc_1 == 4'h9; // @[ifu_mem_ctl.scala 359:73] + wire [15:0] _T_1961 = _T_1931 ? ic_miss_buff_data_9[15:0] : 16'h0; // @[Mux.scala 27:72] + wire [15:0] _T_1976 = _T_1975 | _T_1961; // @[Mux.scala 27:72] + wire _T_1934 = byp_fetch_index_inc_1 == 4'ha; // @[ifu_mem_ctl.scala 359:73] + wire [15:0] _T_1962 = _T_1934 ? ic_miss_buff_data_10[15:0] : 16'h0; // @[Mux.scala 27:72] + wire [15:0] _T_1977 = _T_1976 | _T_1962; // @[Mux.scala 27:72] + wire _T_1937 = byp_fetch_index_inc_1 == 4'hb; // @[ifu_mem_ctl.scala 359:73] + wire [15:0] _T_1963 = _T_1937 ? ic_miss_buff_data_11[15:0] : 16'h0; // @[Mux.scala 27:72] + wire [15:0] _T_1978 = _T_1977 | _T_1963; // @[Mux.scala 27:72] + wire _T_1940 = byp_fetch_index_inc_1 == 4'hc; // @[ifu_mem_ctl.scala 359:73] + wire [15:0] _T_1964 = _T_1940 ? ic_miss_buff_data_12[15:0] : 16'h0; // @[Mux.scala 27:72] + wire [15:0] _T_1979 = _T_1978 | _T_1964; // @[Mux.scala 27:72] + wire _T_1943 = byp_fetch_index_inc_1 == 4'hd; // @[ifu_mem_ctl.scala 359:73] + wire [15:0] _T_1965 = _T_1943 ? ic_miss_buff_data_13[15:0] : 16'h0; // @[Mux.scala 27:72] + wire [15:0] _T_1980 = _T_1979 | _T_1965; // @[Mux.scala 27:72] + wire _T_1946 = byp_fetch_index_inc_1 == 4'he; // @[ifu_mem_ctl.scala 359:73] + wire [15:0] _T_1966 = _T_1946 ? ic_miss_buff_data_14[15:0] : 16'h0; // @[Mux.scala 27:72] + wire [15:0] _T_1981 = _T_1980 | _T_1966; // @[Mux.scala 27:72] + wire _T_1949 = byp_fetch_index_inc_1 == 4'hf; // @[ifu_mem_ctl.scala 359:73] + wire [15:0] _T_1967 = _T_1949 ? ic_miss_buff_data_15[15:0] : 16'h0; // @[Mux.scala 27:72] + wire [15:0] _T_1982 = _T_1981 | _T_1967; // @[Mux.scala 27:72] + wire [31:0] _T_2032 = _T_1662 ? ic_miss_buff_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2033 = _T_1665 ? ic_miss_buff_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2048 = _T_2032 | _T_2033; // @[Mux.scala 27:72] + wire [31:0] _T_2034 = _T_1668 ? ic_miss_buff_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2049 = _T_2048 | _T_2034; // @[Mux.scala 27:72] + wire [31:0] _T_2035 = _T_1671 ? ic_miss_buff_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2050 = _T_2049 | _T_2035; // @[Mux.scala 27:72] + wire [31:0] _T_2036 = _T_1674 ? ic_miss_buff_data_4 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2051 = _T_2050 | _T_2036; // @[Mux.scala 27:72] + wire [31:0] _T_2037 = _T_1677 ? ic_miss_buff_data_5 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2052 = _T_2051 | _T_2037; // @[Mux.scala 27:72] + wire [31:0] _T_2038 = _T_1680 ? ic_miss_buff_data_6 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2053 = _T_2052 | _T_2038; // @[Mux.scala 27:72] + wire [31:0] _T_2039 = _T_1683 ? ic_miss_buff_data_7 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2054 = _T_2053 | _T_2039; // @[Mux.scala 27:72] + wire [31:0] _T_2040 = _T_1686 ? ic_miss_buff_data_8 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2055 = _T_2054 | _T_2040; // @[Mux.scala 27:72] + wire [31:0] _T_2041 = _T_1689 ? ic_miss_buff_data_9 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2056 = _T_2055 | _T_2041; // @[Mux.scala 27:72] + wire [31:0] _T_2042 = _T_1692 ? ic_miss_buff_data_10 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2057 = _T_2056 | _T_2042; // @[Mux.scala 27:72] + wire [31:0] _T_2043 = _T_1695 ? ic_miss_buff_data_11 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2058 = _T_2057 | _T_2043; // @[Mux.scala 27:72] + wire [31:0] _T_2044 = _T_1698 ? ic_miss_buff_data_12 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2059 = _T_2058 | _T_2044; // @[Mux.scala 27:72] + wire [31:0] _T_2045 = _T_1701 ? ic_miss_buff_data_13 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2060 = _T_2059 | _T_2045; // @[Mux.scala 27:72] + wire [31:0] _T_2046 = _T_1704 ? ic_miss_buff_data_14 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2061 = _T_2060 | _T_2046; // @[Mux.scala 27:72] + wire [31:0] _T_2047 = _T_1707 ? ic_miss_buff_data_15 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2062 = _T_2061 | _T_2047; // @[Mux.scala 27:72] + wire [79:0] _T_2145 = {_T_1982,_T_2062,_T_1820}; // @[Cat.scala 29:58] + wire [79:0] ic_byp_data_only_pre_new = _T_1612 ? _T_1903 : _T_2145; // @[ifu_mem_ctl.scala 357:37] + wire [79:0] _T_2150 = {16'h0,ic_byp_data_only_pre_new[79:16]}; // @[Cat.scala 29:58] + wire [79:0] ic_byp_data_only_new = _T_1614 ? ic_byp_data_only_pre_new : _T_2150; // @[ifu_mem_ctl.scala 361:30] + wire [79:0] _GEN_437 = {{16'd0}, _T_1268}; // @[ifu_mem_ctl.scala 292:114] + wire [79:0] _T_1269 = _GEN_437 & ic_byp_data_only_new; // @[ifu_mem_ctl.scala 292:114] + wire [79:0] _GEN_438 = {{16'd0}, _T_1266}; // @[ifu_mem_ctl.scala 292:88] + wire [79:0] ic_premux_data_temp = _GEN_438 | _T_1269; // @[ifu_mem_ctl.scala 292:88] + wire fetch_req_f_qual = io_ic_hit_f & _T_319; // @[ifu_mem_ctl.scala 299:38] + reg ifc_region_acc_fault_memory_f; // @[ifu_mem_ctl.scala 783:66] + wire [1:0] _T_1277 = ifc_region_acc_fault_memory_f ? 2'h3 : 2'h0; // @[ifu_mem_ctl.scala 304:10] + wire [1:0] _T_1278 = ifc_region_acc_fault_f ? 2'h2 : _T_1277; // @[ifu_mem_ctl.scala 303:8] + wire _T_1280 = fetch_req_f_qual & io_ifu_bp_inst_mask_f; // @[ifu_mem_ctl.scala 305:45] + wire _T_1282 = byp_fetch_index == 5'h1f; // @[ifu_mem_ctl.scala 305:80] + wire _T_1283 = ~_T_1282; // @[ifu_mem_ctl.scala 305:71] + wire _T_1284 = _T_1280 & _T_1283; // @[ifu_mem_ctl.scala 305:69] + wire _T_1285 = err_stop_state != 2'h2; // @[ifu_mem_ctl.scala 305:131] + wire _T_1286 = _T_1284 & _T_1285; // @[ifu_mem_ctl.scala 305:114] + wire [6:0] _T_1358 = {ic_miss_buff_data_valid_in_7,ic_miss_buff_data_valid_in_6,ic_miss_buff_data_valid_in_5,ic_miss_buff_data_valid_in_4,ic_miss_buff_data_valid_in_3,ic_miss_buff_data_valid_in_2,ic_miss_buff_data_valid_in_1}; // @[Cat.scala 29:58] + wire _T_1364 = ic_miss_buff_data_error[0] & _T_1330; // @[ifu_mem_ctl.scala 324:32] + wire _T_2690 = |io_ifu_axi_r_bits_resp; // @[ifu_mem_ctl.scala 557:47] + wire _T_2691 = _T_2690 & _T_13; // @[ifu_mem_ctl.scala 557:50] + wire bus_ifu_wr_data_error = _T_2691 & miss_pending; // @[ifu_mem_ctl.scala 557:68] + wire ic_miss_buff_data_error_in_0 = write_fill_data_0 ? bus_ifu_wr_data_error : _T_1364; // @[ifu_mem_ctl.scala 323:72] + wire _T_1368 = ic_miss_buff_data_error[1] & _T_1330; // @[ifu_mem_ctl.scala 324:32] + wire ic_miss_buff_data_error_in_1 = write_fill_data_1 ? bus_ifu_wr_data_error : _T_1368; // @[ifu_mem_ctl.scala 323:72] + wire _T_1372 = ic_miss_buff_data_error[2] & _T_1330; // @[ifu_mem_ctl.scala 324:32] + wire ic_miss_buff_data_error_in_2 = write_fill_data_2 ? bus_ifu_wr_data_error : _T_1372; // @[ifu_mem_ctl.scala 323:72] + wire _T_1376 = ic_miss_buff_data_error[3] & _T_1330; // @[ifu_mem_ctl.scala 324:32] + wire ic_miss_buff_data_error_in_3 = write_fill_data_3 ? bus_ifu_wr_data_error : _T_1376; // @[ifu_mem_ctl.scala 323:72] + wire _T_1380 = ic_miss_buff_data_error[4] & _T_1330; // @[ifu_mem_ctl.scala 324:32] + wire ic_miss_buff_data_error_in_4 = write_fill_data_4 ? bus_ifu_wr_data_error : _T_1380; // @[ifu_mem_ctl.scala 323:72] + wire _T_1384 = ic_miss_buff_data_error[5] & _T_1330; // @[ifu_mem_ctl.scala 324:32] + wire ic_miss_buff_data_error_in_5 = write_fill_data_5 ? bus_ifu_wr_data_error : _T_1384; // @[ifu_mem_ctl.scala 323:72] + wire _T_1388 = ic_miss_buff_data_error[6] & _T_1330; // @[ifu_mem_ctl.scala 324:32] + wire ic_miss_buff_data_error_in_6 = write_fill_data_6 ? bus_ifu_wr_data_error : _T_1388; // @[ifu_mem_ctl.scala 323:72] + wire _T_1392 = ic_miss_buff_data_error[7] & _T_1330; // @[ifu_mem_ctl.scala 324:32] + wire ic_miss_buff_data_error_in_7 = write_fill_data_7 ? bus_ifu_wr_data_error : _T_1392; // @[ifu_mem_ctl.scala 323:72] + wire [6:0] _T_1398 = {ic_miss_buff_data_error_in_7,ic_miss_buff_data_error_in_6,ic_miss_buff_data_error_in_5,ic_miss_buff_data_error_in_4,ic_miss_buff_data_error_in_3,ic_miss_buff_data_error_in_2,ic_miss_buff_data_error_in_1}; // @[Cat.scala 29:58] + reg [6:0] perr_ic_index_ff; // @[Reg.scala 27:20] + wire _T_2500 = 3'h0 == perr_state; // @[Conditional.scala 37:30] + wire _T_2508 = _T_6 & _T_319; // @[ifu_mem_ctl.scala 405:82] + wire _T_2509 = _T_2508 | io_iccm_dma_sb_error; // @[ifu_mem_ctl.scala 405:105] + wire _T_2511 = _T_2509 & _T_2623; // @[ifu_mem_ctl.scala 405:129] + wire _T_2512 = 3'h1 == perr_state; // @[Conditional.scala 37:30] + wire _T_2513 = io_dec_tlu_flush_lower_wb | io_dec_mem_ctrl_dec_tlu_force_halt; // @[ifu_mem_ctl.scala 410:50] + wire _T_2515 = 3'h2 == perr_state; // @[Conditional.scala 37:30] + wire _T_2522 = 3'h4 == perr_state; // @[Conditional.scala 37:30] + wire _T_2524 = 3'h3 == perr_state; // @[Conditional.scala 37:30] + wire _GEN_21 = _T_2522 | _T_2524; // @[Conditional.scala 39:67] + wire _GEN_23 = _T_2515 ? _T_2513 : _GEN_21; // @[Conditional.scala 39:67] + wire _GEN_25 = _T_2512 ? _T_2513 : _GEN_23; // @[Conditional.scala 39:67] + wire perr_state_en = _T_2500 ? _T_2511 : _GEN_25; // @[Conditional.scala 40:58] + wire perr_sb_write_status = _T_2500 & perr_state_en; // @[Conditional.scala 40:58] + wire _T_2514 = io_dec_tlu_flush_lower_wb & io_dec_mem_ctrl_dec_tlu_flush_err_wb; // @[ifu_mem_ctl.scala 411:56] + wire _GEN_26 = _T_2512 & _T_2514; // @[Conditional.scala 39:67] + wire perr_sel_invalidate = _T_2500 ? 1'h0 : _GEN_26; // @[Conditional.scala 40:58] + wire [1:0] perr_err_inv_way = perr_sel_invalidate ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + reg dma_sb_err_state_ff; // @[ifu_mem_ctl.scala 396:58] + wire _T_2497 = ~dma_sb_err_state_ff; // @[ifu_mem_ctl.scala 395:49] + wire _T_2502 = io_dec_mem_ctrl_ifu_ic_error_start & _T_319; // @[ifu_mem_ctl.scala 404:104] + wire _T_2516 = ~io_dec_mem_ctrl_dec_tlu_flush_err_wb; // @[ifu_mem_ctl.scala 414:30] + wire _T_2517 = _T_2516 & io_dec_tlu_flush_lower_wb; // @[ifu_mem_ctl.scala 414:68] + wire _T_2518 = _T_2517 | io_dec_mem_ctrl_dec_tlu_force_halt; // @[ifu_mem_ctl.scala 414:98] + wire _T_2527 = perr_state == 3'h2; // @[ifu_mem_ctl.scala 434:79] + wire _T_2528 = io_dec_mem_ctrl_dec_tlu_flush_err_wb & _T_2527; // @[ifu_mem_ctl.scala 434:65] + wire _T_2530 = _T_2528 & _T_2623; // @[ifu_mem_ctl.scala 434:94] + wire _T_2532 = io_dec_tlu_flush_lower_wb | io_dec_mem_ctrl_dec_tlu_i0_commit_cmt; // @[ifu_mem_ctl.scala 437:59] + wire _T_2533 = _T_2532 | io_dec_mem_ctrl_dec_tlu_force_halt; // @[ifu_mem_ctl.scala 437:99] + wire _T_2547 = _T_2532 | io_ifu_fetch_val[0]; // @[ifu_mem_ctl.scala 440:94] + wire _T_2548 = _T_2547 | ifu_bp_hit_taken_q_f; // @[ifu_mem_ctl.scala 440:116] + wire _T_2549 = _T_2548 | io_dec_mem_ctrl_dec_tlu_force_halt; // @[ifu_mem_ctl.scala 440:139] + wire _T_2569 = _T_2547 | io_dec_mem_ctrl_dec_tlu_force_halt; // @[ifu_mem_ctl.scala 447:116] + wire _T_2577 = io_dec_tlu_flush_lower_wb & _T_2516; // @[ifu_mem_ctl.scala 452:60] + wire _T_2578 = _T_2577 | io_dec_mem_ctrl_dec_tlu_i0_commit_cmt; // @[ifu_mem_ctl.scala 452:101] + wire _T_2579 = _T_2578 | io_dec_mem_ctrl_dec_tlu_force_halt; // @[ifu_mem_ctl.scala 452:141] + wire _GEN_33 = _T_2575 & _T_2533; // @[Conditional.scala 39:67] + wire _GEN_36 = _T_2558 ? _T_2569 : _GEN_33; // @[Conditional.scala 39:67] + wire _GEN_38 = _T_2558 | _T_2575; // @[Conditional.scala 39:67] + wire _GEN_40 = _T_2531 ? _T_2549 : _GEN_36; // @[Conditional.scala 39:67] + wire _GEN_42 = _T_2531 | _GEN_38; // @[Conditional.scala 39:67] + wire err_stop_state_en = _T_2526 ? _T_2530 : _GEN_40; // @[Conditional.scala 40:58] + reg bus_cmd_req_hold; // @[ifu_mem_ctl.scala 475:53] + wire _T_2591 = ic_act_miss_f | bus_cmd_req_hold; // @[ifu_mem_ctl.scala 471:45] + reg ifu_bus_cmd_valid; // @[ifu_mem_ctl.scala 472:55] + wire _T_2592 = _T_2591 | ifu_bus_cmd_valid; // @[ifu_mem_ctl.scala 471:64] + wire _T_2594 = _T_2592 & _T_2623; // @[ifu_mem_ctl.scala 471:85] + reg [2:0] bus_cmd_beat_count; // @[Reg.scala 27:20] + wire _T_2596 = bus_cmd_beat_count == 3'h7; // @[ifu_mem_ctl.scala 471:146] + wire _T_2597 = _T_2596 & ifu_bus_cmd_valid; // @[ifu_mem_ctl.scala 471:177] + wire _T_2598 = _T_2597 & io_ifu_axi_ar_ready; // @[ifu_mem_ctl.scala 471:197] + wire _T_2599 = _T_2598 & miss_pending; // @[ifu_mem_ctl.scala 471:217] + wire _T_2600 = ~_T_2599; // @[ifu_mem_ctl.scala 471:125] + wire ifu_bus_arready = io_ifu_axi_ar_ready & io_ifu_bus_clk_en; // @[ifu_mem_ctl.scala 522:45] + wire _T_2617 = io_ifu_axi_ar_valid & ifu_bus_arready; // @[ifu_mem_ctl.scala 526:35] + wire _T_2618 = _T_2617 & miss_pending; // @[ifu_mem_ctl.scala 526:53] + wire bus_cmd_sent = _T_2618 & _T_2623; // @[ifu_mem_ctl.scala 526:68] + wire _T_2603 = ~bus_cmd_sent; // @[ifu_mem_ctl.scala 474:61] + wire _T_2604 = _T_2591 & _T_2603; // @[ifu_mem_ctl.scala 474:59] + wire [2:0] _T_2608 = ifu_bus_cmd_valid ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_2610 = {miss_addr,bus_rd_addr_count,3'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2612 = ifu_bus_cmd_valid ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + reg ifu_bus_arready_unq_ff; // @[ifu_mem_ctl.scala 509:57] + reg ifu_bus_arvalid_ff; // @[ifu_mem_ctl.scala 511:53] + wire ifu_bus_arready_ff = ifu_bus_arready_unq_ff & bus_ifu_bus_clk_en_ff; // @[ifu_mem_ctl.scala 523:51] + wire _T_2638 = ~scnd_miss_req; // @[ifu_mem_ctl.scala 534:73] + wire _T_2639 = _T_2624 & _T_2638; // @[ifu_mem_ctl.scala 534:71] + wire _T_2641 = last_data_recieved_ff & _T_1330; // @[ifu_mem_ctl.scala 534:114] + wire [2:0] _T_2647 = bus_rd_addr_count + 3'h1; // @[ifu_mem_ctl.scala 539:45] + wire _T_2651 = ifu_bus_cmd_valid & io_ifu_axi_ar_ready; // @[ifu_mem_ctl.scala 542:48] + wire _T_2652 = _T_2651 & miss_pending; // @[ifu_mem_ctl.scala 542:68] + wire bus_inc_cmd_beat_cnt = _T_2652 & _T_2623; // @[ifu_mem_ctl.scala 542:83] + wire bus_reset_cmd_beat_cnt_secondlast = ic_act_miss_f & uncacheable_miss_in; // @[ifu_mem_ctl.scala 544:57] + wire _T_2656 = ~bus_inc_cmd_beat_cnt; // @[ifu_mem_ctl.scala 545:31] + wire _T_2657 = ic_act_miss_f | scnd_miss_req; // @[ifu_mem_ctl.scala 545:71] + wire _T_2658 = _T_2657 | io_dec_mem_ctrl_dec_tlu_force_halt; // @[ifu_mem_ctl.scala 545:87] + wire _T_2659 = ~_T_2658; // @[ifu_mem_ctl.scala 545:55] + wire bus_hold_cmd_beat_cnt = _T_2656 & _T_2659; // @[ifu_mem_ctl.scala 545:53] + wire _T_2660 = bus_inc_cmd_beat_cnt | ic_act_miss_f; // @[ifu_mem_ctl.scala 546:46] + wire bus_cmd_beat_en = _T_2660 | io_dec_mem_ctrl_dec_tlu_force_halt; // @[ifu_mem_ctl.scala 546:62] + wire [2:0] _T_2663 = bus_cmd_beat_count + 3'h1; // @[ifu_mem_ctl.scala 548:46] + wire [2:0] _T_2665 = bus_reset_cmd_beat_cnt_secondlast ? 3'h6 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_2666 = bus_inc_cmd_beat_cnt ? _T_2663 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_2667 = bus_hold_cmd_beat_cnt ? bus_cmd_beat_count : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_2669 = _T_2665 | _T_2666; // @[Mux.scala 27:72] + wire [2:0] bus_new_cmd_beat_count = _T_2669 | _T_2667; // @[Mux.scala 27:72] + reg ifc_dma_access_ok_prev; // @[ifu_mem_ctl.scala 560:62] + wire _T_2698 = ~iccm_correct_ecc; // @[ifu_mem_ctl.scala 565:50] + wire _T_2699 = io_ifc_dma_access_ok & _T_2698; // @[ifu_mem_ctl.scala 565:47] + wire _T_2700 = ~io_iccm_dma_sb_error; // @[ifu_mem_ctl.scala 565:70] + wire _T_2704 = _T_2699 & ifc_dma_access_ok_prev; // @[ifu_mem_ctl.scala 566:72] + wire _T_2705 = perr_state == 3'h0; // @[ifu_mem_ctl.scala 566:111] + wire _T_2706 = _T_2704 & _T_2705; // @[ifu_mem_ctl.scala 566:97] + wire ifc_dma_access_q_ok = _T_2706 & _T_2700; // @[ifu_mem_ctl.scala 566:127] + wire _T_2709 = ifc_dma_access_q_ok & io_dma_mem_ctl_dma_iccm_req; // @[ifu_mem_ctl.scala 569:40] + wire _T_2710 = _T_2709 & io_dma_mem_ctl_dma_mem_write; // @[ifu_mem_ctl.scala 569:70] + wire _T_2713 = ~io_dma_mem_ctl_dma_mem_write; // @[ifu_mem_ctl.scala 570:72] + wire _T_2714 = _T_2709 & _T_2713; // @[ifu_mem_ctl.scala 570:70] + wire _T_2715 = io_ifc_iccm_access_bf & io_ifc_fetch_req_bf; // @[ifu_mem_ctl.scala 570:128] + wire [2:0] _T_2720 = io_dma_mem_ctl_dma_iccm_req ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire _T_2741 = io_dma_mem_ctl_dma_mem_wdata[32] ^ io_dma_mem_ctl_dma_mem_wdata[33]; // @[lib.scala 119:74] + wire _T_2742 = _T_2741 ^ io_dma_mem_ctl_dma_mem_wdata[35]; // @[lib.scala 119:74] + wire _T_2743 = _T_2742 ^ io_dma_mem_ctl_dma_mem_wdata[36]; // @[lib.scala 119:74] + wire _T_2744 = _T_2743 ^ io_dma_mem_ctl_dma_mem_wdata[38]; // @[lib.scala 119:74] + wire _T_2745 = _T_2744 ^ io_dma_mem_ctl_dma_mem_wdata[40]; // @[lib.scala 119:74] + wire _T_2746 = _T_2745 ^ io_dma_mem_ctl_dma_mem_wdata[42]; // @[lib.scala 119:74] + wire _T_2747 = _T_2746 ^ io_dma_mem_ctl_dma_mem_wdata[43]; // @[lib.scala 119:74] + wire _T_2748 = _T_2747 ^ io_dma_mem_ctl_dma_mem_wdata[45]; // @[lib.scala 119:74] + wire _T_2749 = _T_2748 ^ io_dma_mem_ctl_dma_mem_wdata[47]; // @[lib.scala 119:74] + wire _T_2750 = _T_2749 ^ io_dma_mem_ctl_dma_mem_wdata[49]; // @[lib.scala 119:74] + wire _T_2751 = _T_2750 ^ io_dma_mem_ctl_dma_mem_wdata[51]; // @[lib.scala 119:74] + wire _T_2752 = _T_2751 ^ io_dma_mem_ctl_dma_mem_wdata[53]; // @[lib.scala 119:74] + wire _T_2753 = _T_2752 ^ io_dma_mem_ctl_dma_mem_wdata[55]; // @[lib.scala 119:74] + wire _T_2754 = _T_2753 ^ io_dma_mem_ctl_dma_mem_wdata[57]; // @[lib.scala 119:74] + wire _T_2755 = _T_2754 ^ io_dma_mem_ctl_dma_mem_wdata[58]; // @[lib.scala 119:74] + wire _T_2756 = _T_2755 ^ io_dma_mem_ctl_dma_mem_wdata[60]; // @[lib.scala 119:74] + wire _T_2757 = _T_2756 ^ io_dma_mem_ctl_dma_mem_wdata[62]; // @[lib.scala 119:74] + wire _T_2776 = io_dma_mem_ctl_dma_mem_wdata[32] ^ io_dma_mem_ctl_dma_mem_wdata[34]; // @[lib.scala 119:74] + wire _T_2777 = _T_2776 ^ io_dma_mem_ctl_dma_mem_wdata[35]; // @[lib.scala 119:74] + wire _T_2778 = _T_2777 ^ io_dma_mem_ctl_dma_mem_wdata[37]; // @[lib.scala 119:74] + wire _T_2779 = _T_2778 ^ io_dma_mem_ctl_dma_mem_wdata[38]; // @[lib.scala 119:74] + wire _T_2780 = _T_2779 ^ io_dma_mem_ctl_dma_mem_wdata[41]; // @[lib.scala 119:74] + wire _T_2781 = _T_2780 ^ io_dma_mem_ctl_dma_mem_wdata[42]; // @[lib.scala 119:74] + wire _T_2782 = _T_2781 ^ io_dma_mem_ctl_dma_mem_wdata[44]; // @[lib.scala 119:74] + wire _T_2783 = _T_2782 ^ io_dma_mem_ctl_dma_mem_wdata[45]; // @[lib.scala 119:74] + wire _T_2784 = _T_2783 ^ io_dma_mem_ctl_dma_mem_wdata[48]; // @[lib.scala 119:74] + wire _T_2785 = _T_2784 ^ io_dma_mem_ctl_dma_mem_wdata[49]; // @[lib.scala 119:74] + wire _T_2786 = _T_2785 ^ io_dma_mem_ctl_dma_mem_wdata[52]; // @[lib.scala 119:74] + wire _T_2787 = _T_2786 ^ io_dma_mem_ctl_dma_mem_wdata[53]; // @[lib.scala 119:74] + wire _T_2788 = _T_2787 ^ io_dma_mem_ctl_dma_mem_wdata[56]; // @[lib.scala 119:74] + wire _T_2789 = _T_2788 ^ io_dma_mem_ctl_dma_mem_wdata[57]; // @[lib.scala 119:74] + wire _T_2790 = _T_2789 ^ io_dma_mem_ctl_dma_mem_wdata[59]; // @[lib.scala 119:74] + wire _T_2791 = _T_2790 ^ io_dma_mem_ctl_dma_mem_wdata[60]; // @[lib.scala 119:74] + wire _T_2792 = _T_2791 ^ io_dma_mem_ctl_dma_mem_wdata[63]; // @[lib.scala 119:74] + wire _T_2811 = io_dma_mem_ctl_dma_mem_wdata[33] ^ io_dma_mem_ctl_dma_mem_wdata[34]; // @[lib.scala 119:74] + wire _T_2812 = _T_2811 ^ io_dma_mem_ctl_dma_mem_wdata[35]; // @[lib.scala 119:74] + wire _T_2813 = _T_2812 ^ io_dma_mem_ctl_dma_mem_wdata[39]; // @[lib.scala 119:74] + wire _T_2814 = _T_2813 ^ io_dma_mem_ctl_dma_mem_wdata[40]; // @[lib.scala 119:74] + wire _T_2815 = _T_2814 ^ io_dma_mem_ctl_dma_mem_wdata[41]; // @[lib.scala 119:74] + wire _T_2816 = _T_2815 ^ io_dma_mem_ctl_dma_mem_wdata[42]; // @[lib.scala 119:74] + wire _T_2817 = _T_2816 ^ io_dma_mem_ctl_dma_mem_wdata[46]; // @[lib.scala 119:74] + wire _T_2818 = _T_2817 ^ io_dma_mem_ctl_dma_mem_wdata[47]; // @[lib.scala 119:74] + wire _T_2819 = _T_2818 ^ io_dma_mem_ctl_dma_mem_wdata[48]; // @[lib.scala 119:74] + wire _T_2820 = _T_2819 ^ io_dma_mem_ctl_dma_mem_wdata[49]; // @[lib.scala 119:74] + wire _T_2821 = _T_2820 ^ io_dma_mem_ctl_dma_mem_wdata[54]; // @[lib.scala 119:74] + wire _T_2822 = _T_2821 ^ io_dma_mem_ctl_dma_mem_wdata[55]; // @[lib.scala 119:74] + wire _T_2823 = _T_2822 ^ io_dma_mem_ctl_dma_mem_wdata[56]; // @[lib.scala 119:74] + wire _T_2824 = _T_2823 ^ io_dma_mem_ctl_dma_mem_wdata[57]; // @[lib.scala 119:74] + wire _T_2825 = _T_2824 ^ io_dma_mem_ctl_dma_mem_wdata[61]; // @[lib.scala 119:74] + wire _T_2826 = _T_2825 ^ io_dma_mem_ctl_dma_mem_wdata[62]; // @[lib.scala 119:74] + wire _T_2827 = _T_2826 ^ io_dma_mem_ctl_dma_mem_wdata[63]; // @[lib.scala 119:74] + wire _T_2843 = io_dma_mem_ctl_dma_mem_wdata[36] ^ io_dma_mem_ctl_dma_mem_wdata[37]; // @[lib.scala 119:74] + wire _T_2844 = _T_2843 ^ io_dma_mem_ctl_dma_mem_wdata[38]; // @[lib.scala 119:74] + wire _T_2845 = _T_2844 ^ io_dma_mem_ctl_dma_mem_wdata[39]; // @[lib.scala 119:74] + wire _T_2846 = _T_2845 ^ io_dma_mem_ctl_dma_mem_wdata[40]; // @[lib.scala 119:74] + wire _T_2847 = _T_2846 ^ io_dma_mem_ctl_dma_mem_wdata[41]; // @[lib.scala 119:74] + wire _T_2848 = _T_2847 ^ io_dma_mem_ctl_dma_mem_wdata[42]; // @[lib.scala 119:74] + wire _T_2849 = _T_2848 ^ io_dma_mem_ctl_dma_mem_wdata[50]; // @[lib.scala 119:74] + wire _T_2850 = _T_2849 ^ io_dma_mem_ctl_dma_mem_wdata[51]; // @[lib.scala 119:74] + wire _T_2851 = _T_2850 ^ io_dma_mem_ctl_dma_mem_wdata[52]; // @[lib.scala 119:74] + wire _T_2852 = _T_2851 ^ io_dma_mem_ctl_dma_mem_wdata[53]; // @[lib.scala 119:74] + wire _T_2853 = _T_2852 ^ io_dma_mem_ctl_dma_mem_wdata[54]; // @[lib.scala 119:74] + wire _T_2854 = _T_2853 ^ io_dma_mem_ctl_dma_mem_wdata[55]; // @[lib.scala 119:74] + wire _T_2855 = _T_2854 ^ io_dma_mem_ctl_dma_mem_wdata[56]; // @[lib.scala 119:74] + wire _T_2856 = _T_2855 ^ io_dma_mem_ctl_dma_mem_wdata[57]; // @[lib.scala 119:74] + wire _T_2872 = io_dma_mem_ctl_dma_mem_wdata[43] ^ io_dma_mem_ctl_dma_mem_wdata[44]; // @[lib.scala 119:74] + wire _T_2873 = _T_2872 ^ io_dma_mem_ctl_dma_mem_wdata[45]; // @[lib.scala 119:74] + wire _T_2874 = _T_2873 ^ io_dma_mem_ctl_dma_mem_wdata[46]; // @[lib.scala 119:74] + wire _T_2875 = _T_2874 ^ io_dma_mem_ctl_dma_mem_wdata[47]; // @[lib.scala 119:74] + wire _T_2876 = _T_2875 ^ io_dma_mem_ctl_dma_mem_wdata[48]; // @[lib.scala 119:74] + wire _T_2877 = _T_2876 ^ io_dma_mem_ctl_dma_mem_wdata[49]; // @[lib.scala 119:74] + wire _T_2878 = _T_2877 ^ io_dma_mem_ctl_dma_mem_wdata[50]; // @[lib.scala 119:74] + wire _T_2879 = _T_2878 ^ io_dma_mem_ctl_dma_mem_wdata[51]; // @[lib.scala 119:74] + wire _T_2880 = _T_2879 ^ io_dma_mem_ctl_dma_mem_wdata[52]; // @[lib.scala 119:74] + wire _T_2881 = _T_2880 ^ io_dma_mem_ctl_dma_mem_wdata[53]; // @[lib.scala 119:74] + wire _T_2882 = _T_2881 ^ io_dma_mem_ctl_dma_mem_wdata[54]; // @[lib.scala 119:74] + wire _T_2883 = _T_2882 ^ io_dma_mem_ctl_dma_mem_wdata[55]; // @[lib.scala 119:74] + wire _T_2884 = _T_2883 ^ io_dma_mem_ctl_dma_mem_wdata[56]; // @[lib.scala 119:74] + wire _T_2885 = _T_2884 ^ io_dma_mem_ctl_dma_mem_wdata[57]; // @[lib.scala 119:74] + wire _T_2892 = io_dma_mem_ctl_dma_mem_wdata[58] ^ io_dma_mem_ctl_dma_mem_wdata[59]; // @[lib.scala 119:74] + wire _T_2893 = _T_2892 ^ io_dma_mem_ctl_dma_mem_wdata[60]; // @[lib.scala 119:74] + wire _T_2894 = _T_2893 ^ io_dma_mem_ctl_dma_mem_wdata[61]; // @[lib.scala 119:74] + wire _T_2895 = _T_2894 ^ io_dma_mem_ctl_dma_mem_wdata[62]; // @[lib.scala 119:74] + wire _T_2896 = _T_2895 ^ io_dma_mem_ctl_dma_mem_wdata[63]; // @[lib.scala 119:74] + wire [5:0] _T_2901 = {_T_2896,_T_2885,_T_2856,_T_2827,_T_2792,_T_2757}; // @[Cat.scala 29:58] + wire _T_2902 = ^io_dma_mem_ctl_dma_mem_wdata[63:32]; // @[lib.scala 127:13] + wire _T_2903 = ^_T_2901; // @[lib.scala 127:23] + wire _T_2904 = _T_2902 ^ _T_2903; // @[lib.scala 127:18] + wire _T_2925 = io_dma_mem_ctl_dma_mem_wdata[0] ^ io_dma_mem_ctl_dma_mem_wdata[1]; // @[lib.scala 119:74] + wire _T_2926 = _T_2925 ^ io_dma_mem_ctl_dma_mem_wdata[3]; // @[lib.scala 119:74] + wire _T_2927 = _T_2926 ^ io_dma_mem_ctl_dma_mem_wdata[4]; // @[lib.scala 119:74] + wire _T_2928 = _T_2927 ^ io_dma_mem_ctl_dma_mem_wdata[6]; // @[lib.scala 119:74] + wire _T_2929 = _T_2928 ^ io_dma_mem_ctl_dma_mem_wdata[8]; // @[lib.scala 119:74] + wire _T_2930 = _T_2929 ^ io_dma_mem_ctl_dma_mem_wdata[10]; // @[lib.scala 119:74] + wire _T_2931 = _T_2930 ^ io_dma_mem_ctl_dma_mem_wdata[11]; // @[lib.scala 119:74] + wire _T_2932 = _T_2931 ^ io_dma_mem_ctl_dma_mem_wdata[13]; // @[lib.scala 119:74] + wire _T_2933 = _T_2932 ^ io_dma_mem_ctl_dma_mem_wdata[15]; // @[lib.scala 119:74] + wire _T_2934 = _T_2933 ^ io_dma_mem_ctl_dma_mem_wdata[17]; // @[lib.scala 119:74] + wire _T_2935 = _T_2934 ^ io_dma_mem_ctl_dma_mem_wdata[19]; // @[lib.scala 119:74] + wire _T_2936 = _T_2935 ^ io_dma_mem_ctl_dma_mem_wdata[21]; // @[lib.scala 119:74] + wire _T_2937 = _T_2936 ^ io_dma_mem_ctl_dma_mem_wdata[23]; // @[lib.scala 119:74] + wire _T_2938 = _T_2937 ^ io_dma_mem_ctl_dma_mem_wdata[25]; // @[lib.scala 119:74] + wire _T_2939 = _T_2938 ^ io_dma_mem_ctl_dma_mem_wdata[26]; // @[lib.scala 119:74] + wire _T_2940 = _T_2939 ^ io_dma_mem_ctl_dma_mem_wdata[28]; // @[lib.scala 119:74] + wire _T_2941 = _T_2940 ^ io_dma_mem_ctl_dma_mem_wdata[30]; // @[lib.scala 119:74] + wire _T_2960 = io_dma_mem_ctl_dma_mem_wdata[0] ^ io_dma_mem_ctl_dma_mem_wdata[2]; // @[lib.scala 119:74] + wire _T_2961 = _T_2960 ^ io_dma_mem_ctl_dma_mem_wdata[3]; // @[lib.scala 119:74] + wire _T_2962 = _T_2961 ^ io_dma_mem_ctl_dma_mem_wdata[5]; // @[lib.scala 119:74] + wire _T_2963 = _T_2962 ^ io_dma_mem_ctl_dma_mem_wdata[6]; // @[lib.scala 119:74] + wire _T_2964 = _T_2963 ^ io_dma_mem_ctl_dma_mem_wdata[9]; // @[lib.scala 119:74] + wire _T_2965 = _T_2964 ^ io_dma_mem_ctl_dma_mem_wdata[10]; // @[lib.scala 119:74] + wire _T_2966 = _T_2965 ^ io_dma_mem_ctl_dma_mem_wdata[12]; // @[lib.scala 119:74] + wire _T_2967 = _T_2966 ^ io_dma_mem_ctl_dma_mem_wdata[13]; // @[lib.scala 119:74] + wire _T_2968 = _T_2967 ^ io_dma_mem_ctl_dma_mem_wdata[16]; // @[lib.scala 119:74] + wire _T_2969 = _T_2968 ^ io_dma_mem_ctl_dma_mem_wdata[17]; // @[lib.scala 119:74] + wire _T_2970 = _T_2969 ^ io_dma_mem_ctl_dma_mem_wdata[20]; // @[lib.scala 119:74] + wire _T_2971 = _T_2970 ^ io_dma_mem_ctl_dma_mem_wdata[21]; // @[lib.scala 119:74] + wire _T_2972 = _T_2971 ^ io_dma_mem_ctl_dma_mem_wdata[24]; // @[lib.scala 119:74] + wire _T_2973 = _T_2972 ^ io_dma_mem_ctl_dma_mem_wdata[25]; // @[lib.scala 119:74] + wire _T_2974 = _T_2973 ^ io_dma_mem_ctl_dma_mem_wdata[27]; // @[lib.scala 119:74] + wire _T_2975 = _T_2974 ^ io_dma_mem_ctl_dma_mem_wdata[28]; // @[lib.scala 119:74] + wire _T_2976 = _T_2975 ^ io_dma_mem_ctl_dma_mem_wdata[31]; // @[lib.scala 119:74] + wire _T_2995 = io_dma_mem_ctl_dma_mem_wdata[1] ^ io_dma_mem_ctl_dma_mem_wdata[2]; // @[lib.scala 119:74] + wire _T_2996 = _T_2995 ^ io_dma_mem_ctl_dma_mem_wdata[3]; // @[lib.scala 119:74] + wire _T_2997 = _T_2996 ^ io_dma_mem_ctl_dma_mem_wdata[7]; // @[lib.scala 119:74] + wire _T_2998 = _T_2997 ^ io_dma_mem_ctl_dma_mem_wdata[8]; // @[lib.scala 119:74] + wire _T_2999 = _T_2998 ^ io_dma_mem_ctl_dma_mem_wdata[9]; // @[lib.scala 119:74] + wire _T_3000 = _T_2999 ^ io_dma_mem_ctl_dma_mem_wdata[10]; // @[lib.scala 119:74] + wire _T_3001 = _T_3000 ^ io_dma_mem_ctl_dma_mem_wdata[14]; // @[lib.scala 119:74] + wire _T_3002 = _T_3001 ^ io_dma_mem_ctl_dma_mem_wdata[15]; // @[lib.scala 119:74] + wire _T_3003 = _T_3002 ^ io_dma_mem_ctl_dma_mem_wdata[16]; // @[lib.scala 119:74] + wire _T_3004 = _T_3003 ^ io_dma_mem_ctl_dma_mem_wdata[17]; // @[lib.scala 119:74] + wire _T_3005 = _T_3004 ^ io_dma_mem_ctl_dma_mem_wdata[22]; // @[lib.scala 119:74] + wire _T_3006 = _T_3005 ^ io_dma_mem_ctl_dma_mem_wdata[23]; // @[lib.scala 119:74] + wire _T_3007 = _T_3006 ^ io_dma_mem_ctl_dma_mem_wdata[24]; // @[lib.scala 119:74] + wire _T_3008 = _T_3007 ^ io_dma_mem_ctl_dma_mem_wdata[25]; // @[lib.scala 119:74] + wire _T_3009 = _T_3008 ^ io_dma_mem_ctl_dma_mem_wdata[29]; // @[lib.scala 119:74] + wire _T_3010 = _T_3009 ^ io_dma_mem_ctl_dma_mem_wdata[30]; // @[lib.scala 119:74] + wire _T_3011 = _T_3010 ^ io_dma_mem_ctl_dma_mem_wdata[31]; // @[lib.scala 119:74] + wire _T_3027 = io_dma_mem_ctl_dma_mem_wdata[4] ^ io_dma_mem_ctl_dma_mem_wdata[5]; // @[lib.scala 119:74] + wire _T_3028 = _T_3027 ^ io_dma_mem_ctl_dma_mem_wdata[6]; // @[lib.scala 119:74] + wire _T_3029 = _T_3028 ^ io_dma_mem_ctl_dma_mem_wdata[7]; // @[lib.scala 119:74] + wire _T_3030 = _T_3029 ^ io_dma_mem_ctl_dma_mem_wdata[8]; // @[lib.scala 119:74] + wire _T_3031 = _T_3030 ^ io_dma_mem_ctl_dma_mem_wdata[9]; // @[lib.scala 119:74] + wire _T_3032 = _T_3031 ^ io_dma_mem_ctl_dma_mem_wdata[10]; // @[lib.scala 119:74] + wire _T_3033 = _T_3032 ^ io_dma_mem_ctl_dma_mem_wdata[18]; // @[lib.scala 119:74] + wire _T_3034 = _T_3033 ^ io_dma_mem_ctl_dma_mem_wdata[19]; // @[lib.scala 119:74] + wire _T_3035 = _T_3034 ^ io_dma_mem_ctl_dma_mem_wdata[20]; // @[lib.scala 119:74] + wire _T_3036 = _T_3035 ^ io_dma_mem_ctl_dma_mem_wdata[21]; // @[lib.scala 119:74] + wire _T_3037 = _T_3036 ^ io_dma_mem_ctl_dma_mem_wdata[22]; // @[lib.scala 119:74] + wire _T_3038 = _T_3037 ^ io_dma_mem_ctl_dma_mem_wdata[23]; // @[lib.scala 119:74] + wire _T_3039 = _T_3038 ^ io_dma_mem_ctl_dma_mem_wdata[24]; // @[lib.scala 119:74] + wire _T_3040 = _T_3039 ^ io_dma_mem_ctl_dma_mem_wdata[25]; // @[lib.scala 119:74] + wire _T_3056 = io_dma_mem_ctl_dma_mem_wdata[11] ^ io_dma_mem_ctl_dma_mem_wdata[12]; // @[lib.scala 119:74] + wire _T_3057 = _T_3056 ^ io_dma_mem_ctl_dma_mem_wdata[13]; // @[lib.scala 119:74] + wire _T_3058 = _T_3057 ^ io_dma_mem_ctl_dma_mem_wdata[14]; // @[lib.scala 119:74] + wire _T_3059 = _T_3058 ^ io_dma_mem_ctl_dma_mem_wdata[15]; // @[lib.scala 119:74] + wire _T_3060 = _T_3059 ^ io_dma_mem_ctl_dma_mem_wdata[16]; // @[lib.scala 119:74] + wire _T_3061 = _T_3060 ^ io_dma_mem_ctl_dma_mem_wdata[17]; // @[lib.scala 119:74] + wire _T_3062 = _T_3061 ^ io_dma_mem_ctl_dma_mem_wdata[18]; // @[lib.scala 119:74] + wire _T_3063 = _T_3062 ^ io_dma_mem_ctl_dma_mem_wdata[19]; // @[lib.scala 119:74] + wire _T_3064 = _T_3063 ^ io_dma_mem_ctl_dma_mem_wdata[20]; // @[lib.scala 119:74] + wire _T_3065 = _T_3064 ^ io_dma_mem_ctl_dma_mem_wdata[21]; // @[lib.scala 119:74] + wire _T_3066 = _T_3065 ^ io_dma_mem_ctl_dma_mem_wdata[22]; // @[lib.scala 119:74] + wire _T_3067 = _T_3066 ^ io_dma_mem_ctl_dma_mem_wdata[23]; // @[lib.scala 119:74] + wire _T_3068 = _T_3067 ^ io_dma_mem_ctl_dma_mem_wdata[24]; // @[lib.scala 119:74] + wire _T_3069 = _T_3068 ^ io_dma_mem_ctl_dma_mem_wdata[25]; // @[lib.scala 119:74] + wire _T_3076 = io_dma_mem_ctl_dma_mem_wdata[26] ^ io_dma_mem_ctl_dma_mem_wdata[27]; // @[lib.scala 119:74] + wire _T_3077 = _T_3076 ^ io_dma_mem_ctl_dma_mem_wdata[28]; // @[lib.scala 119:74] + wire _T_3078 = _T_3077 ^ io_dma_mem_ctl_dma_mem_wdata[29]; // @[lib.scala 119:74] + wire _T_3079 = _T_3078 ^ io_dma_mem_ctl_dma_mem_wdata[30]; // @[lib.scala 119:74] + wire _T_3080 = _T_3079 ^ io_dma_mem_ctl_dma_mem_wdata[31]; // @[lib.scala 119:74] + wire [5:0] _T_3085 = {_T_3080,_T_3069,_T_3040,_T_3011,_T_2976,_T_2941}; // @[Cat.scala 29:58] + wire _T_3086 = ^io_dma_mem_ctl_dma_mem_wdata[31:0]; // @[lib.scala 127:13] + wire _T_3087 = ^_T_3085; // @[lib.scala 127:23] + wire _T_3088 = _T_3086 ^ _T_3087; // @[lib.scala 127:18] + wire [6:0] _T_3089 = {_T_3088,_T_3080,_T_3069,_T_3040,_T_3011,_T_2976,_T_2941}; // @[Cat.scala 29:58] + wire [13:0] dma_mem_ecc = {_T_2904,_T_2896,_T_2885,_T_2856,_T_2827,_T_2792,_T_2757,_T_3089}; // @[Cat.scala 29:58] + wire _T_3091 = ~_T_2709; // @[ifu_mem_ctl.scala 576:45] + wire _T_3092 = iccm_correct_ecc & _T_3091; // @[ifu_mem_ctl.scala 576:43] + reg [38:0] iccm_ecc_corr_data_ff; // @[Reg.scala 27:20] + wire [77:0] _T_3093 = {iccm_ecc_corr_data_ff,iccm_ecc_corr_data_ff}; // @[Cat.scala 29:58] + wire [77:0] _T_3100 = {dma_mem_ecc[13:7],io_dma_mem_ctl_dma_mem_wdata[63:32],dma_mem_ecc[6:0],io_dma_mem_ctl_dma_mem_wdata[31:0]}; // @[Cat.scala 29:58] + reg [1:0] dma_mem_addr_ff; // @[ifu_mem_ctl.scala 590:53] + wire _T_3435 = _T_3347[5:0] == 6'h27; // @[lib.scala 199:41] + wire _T_3433 = _T_3347[5:0] == 6'h26; // @[lib.scala 199:41] + wire _T_3431 = _T_3347[5:0] == 6'h25; // @[lib.scala 199:41] + wire _T_3429 = _T_3347[5:0] == 6'h24; // @[lib.scala 199:41] + wire _T_3427 = _T_3347[5:0] == 6'h23; // @[lib.scala 199:41] + wire _T_3425 = _T_3347[5:0] == 6'h22; // @[lib.scala 199:41] + wire _T_3423 = _T_3347[5:0] == 6'h21; // @[lib.scala 199:41] + wire _T_3421 = _T_3347[5:0] == 6'h20; // @[lib.scala 199:41] + wire _T_3419 = _T_3347[5:0] == 6'h1f; // @[lib.scala 199:41] + wire _T_3417 = _T_3347[5:0] == 6'h1e; // @[lib.scala 199:41] + wire [9:0] _T_3493 = {_T_3435,_T_3433,_T_3431,_T_3429,_T_3427,_T_3425,_T_3423,_T_3421,_T_3419,_T_3417}; // @[lib.scala 202:69] + wire _T_3415 = _T_3347[5:0] == 6'h1d; // @[lib.scala 199:41] + wire _T_3413 = _T_3347[5:0] == 6'h1c; // @[lib.scala 199:41] + wire _T_3411 = _T_3347[5:0] == 6'h1b; // @[lib.scala 199:41] + wire _T_3409 = _T_3347[5:0] == 6'h1a; // @[lib.scala 199:41] + wire _T_3407 = _T_3347[5:0] == 6'h19; // @[lib.scala 199:41] + wire _T_3405 = _T_3347[5:0] == 6'h18; // @[lib.scala 199:41] + wire _T_3403 = _T_3347[5:0] == 6'h17; // @[lib.scala 199:41] + wire _T_3401 = _T_3347[5:0] == 6'h16; // @[lib.scala 199:41] + wire _T_3399 = _T_3347[5:0] == 6'h15; // @[lib.scala 199:41] + wire _T_3397 = _T_3347[5:0] == 6'h14; // @[lib.scala 199:41] + wire [9:0] _T_3484 = {_T_3415,_T_3413,_T_3411,_T_3409,_T_3407,_T_3405,_T_3403,_T_3401,_T_3399,_T_3397}; // @[lib.scala 202:69] + wire _T_3395 = _T_3347[5:0] == 6'h13; // @[lib.scala 199:41] + wire _T_3393 = _T_3347[5:0] == 6'h12; // @[lib.scala 199:41] + wire _T_3391 = _T_3347[5:0] == 6'h11; // @[lib.scala 199:41] + wire _T_3389 = _T_3347[5:0] == 6'h10; // @[lib.scala 199:41] + wire _T_3387 = _T_3347[5:0] == 6'hf; // @[lib.scala 199:41] + wire _T_3385 = _T_3347[5:0] == 6'he; // @[lib.scala 199:41] + wire _T_3383 = _T_3347[5:0] == 6'hd; // @[lib.scala 199:41] + wire _T_3381 = _T_3347[5:0] == 6'hc; // @[lib.scala 199:41] + wire _T_3379 = _T_3347[5:0] == 6'hb; // @[lib.scala 199:41] + wire _T_3377 = _T_3347[5:0] == 6'ha; // @[lib.scala 199:41] + wire [9:0] _T_3474 = {_T_3395,_T_3393,_T_3391,_T_3389,_T_3387,_T_3385,_T_3383,_T_3381,_T_3379,_T_3377}; // @[lib.scala 202:69] + wire _T_3375 = _T_3347[5:0] == 6'h9; // @[lib.scala 199:41] + wire _T_3373 = _T_3347[5:0] == 6'h8; // @[lib.scala 199:41] + wire _T_3371 = _T_3347[5:0] == 6'h7; // @[lib.scala 199:41] + wire _T_3369 = _T_3347[5:0] == 6'h6; // @[lib.scala 199:41] + wire _T_3367 = _T_3347[5:0] == 6'h5; // @[lib.scala 199:41] + wire _T_3365 = _T_3347[5:0] == 6'h4; // @[lib.scala 199:41] + wire _T_3363 = _T_3347[5:0] == 6'h3; // @[lib.scala 199:41] + wire _T_3361 = _T_3347[5:0] == 6'h2; // @[lib.scala 199:41] + wire _T_3359 = _T_3347[5:0] == 6'h1; // @[lib.scala 199:41] + wire [18:0] _T_3475 = {_T_3474,_T_3375,_T_3373,_T_3371,_T_3369,_T_3367,_T_3365,_T_3363,_T_3361,_T_3359}; // @[lib.scala 202:69] + wire [38:0] _T_3495 = {_T_3493,_T_3484,_T_3475}; // @[lib.scala 202:69] + wire [7:0] _T_3450 = {io_iccm_rd_data_ecc[35],io_iccm_rd_data_ecc[3:1],io_iccm_rd_data_ecc[34],io_iccm_rd_data_ecc[0],io_iccm_rd_data_ecc[33:32]}; // @[Cat.scala 29:58] + wire [38:0] _T_3456 = {io_iccm_rd_data_ecc[38],io_iccm_rd_data_ecc[31:26],io_iccm_rd_data_ecc[37],io_iccm_rd_data_ecc[25:11],io_iccm_rd_data_ecc[36],io_iccm_rd_data_ecc[10:4],_T_3450}; // @[Cat.scala 29:58] + wire [38:0] _T_3496 = _T_3495 ^ _T_3456; // @[lib.scala 202:76] + wire [38:0] _T_3497 = _T_3351 ? _T_3496 : _T_3456; // @[lib.scala 202:31] + wire [31:0] iccm_corrected_data_0 = {_T_3497[37:32],_T_3497[30:16],_T_3497[14:8],_T_3497[6:4],_T_3497[2]}; // @[Cat.scala 29:58] + wire _T_3820 = _T_3732[5:0] == 6'h27; // @[lib.scala 199:41] + wire _T_3818 = _T_3732[5:0] == 6'h26; // @[lib.scala 199:41] + wire _T_3816 = _T_3732[5:0] == 6'h25; // @[lib.scala 199:41] + wire _T_3814 = _T_3732[5:0] == 6'h24; // @[lib.scala 199:41] + wire _T_3812 = _T_3732[5:0] == 6'h23; // @[lib.scala 199:41] + wire _T_3810 = _T_3732[5:0] == 6'h22; // @[lib.scala 199:41] + wire _T_3808 = _T_3732[5:0] == 6'h21; // @[lib.scala 199:41] + wire _T_3806 = _T_3732[5:0] == 6'h20; // @[lib.scala 199:41] + wire _T_3804 = _T_3732[5:0] == 6'h1f; // @[lib.scala 199:41] + wire _T_3802 = _T_3732[5:0] == 6'h1e; // @[lib.scala 199:41] + wire [9:0] _T_3878 = {_T_3820,_T_3818,_T_3816,_T_3814,_T_3812,_T_3810,_T_3808,_T_3806,_T_3804,_T_3802}; // @[lib.scala 202:69] + wire _T_3800 = _T_3732[5:0] == 6'h1d; // @[lib.scala 199:41] + wire _T_3798 = _T_3732[5:0] == 6'h1c; // @[lib.scala 199:41] + wire _T_3796 = _T_3732[5:0] == 6'h1b; // @[lib.scala 199:41] + wire _T_3794 = _T_3732[5:0] == 6'h1a; // @[lib.scala 199:41] + wire _T_3792 = _T_3732[5:0] == 6'h19; // @[lib.scala 199:41] + wire _T_3790 = _T_3732[5:0] == 6'h18; // @[lib.scala 199:41] + wire _T_3788 = _T_3732[5:0] == 6'h17; // @[lib.scala 199:41] + wire _T_3786 = _T_3732[5:0] == 6'h16; // @[lib.scala 199:41] + wire _T_3784 = _T_3732[5:0] == 6'h15; // @[lib.scala 199:41] + wire _T_3782 = _T_3732[5:0] == 6'h14; // @[lib.scala 199:41] + wire [9:0] _T_3869 = {_T_3800,_T_3798,_T_3796,_T_3794,_T_3792,_T_3790,_T_3788,_T_3786,_T_3784,_T_3782}; // @[lib.scala 202:69] + wire _T_3780 = _T_3732[5:0] == 6'h13; // @[lib.scala 199:41] + wire _T_3778 = _T_3732[5:0] == 6'h12; // @[lib.scala 199:41] + wire _T_3776 = _T_3732[5:0] == 6'h11; // @[lib.scala 199:41] + wire _T_3774 = _T_3732[5:0] == 6'h10; // @[lib.scala 199:41] + wire _T_3772 = _T_3732[5:0] == 6'hf; // @[lib.scala 199:41] + wire _T_3770 = _T_3732[5:0] == 6'he; // @[lib.scala 199:41] + wire _T_3768 = _T_3732[5:0] == 6'hd; // @[lib.scala 199:41] + wire _T_3766 = _T_3732[5:0] == 6'hc; // @[lib.scala 199:41] + wire _T_3764 = _T_3732[5:0] == 6'hb; // @[lib.scala 199:41] + wire _T_3762 = _T_3732[5:0] == 6'ha; // @[lib.scala 199:41] + wire [9:0] _T_3859 = {_T_3780,_T_3778,_T_3776,_T_3774,_T_3772,_T_3770,_T_3768,_T_3766,_T_3764,_T_3762}; // @[lib.scala 202:69] + wire _T_3760 = _T_3732[5:0] == 6'h9; // @[lib.scala 199:41] + wire _T_3758 = _T_3732[5:0] == 6'h8; // @[lib.scala 199:41] + wire _T_3756 = _T_3732[5:0] == 6'h7; // @[lib.scala 199:41] + wire _T_3754 = _T_3732[5:0] == 6'h6; // @[lib.scala 199:41] + wire _T_3752 = _T_3732[5:0] == 6'h5; // @[lib.scala 199:41] + wire _T_3750 = _T_3732[5:0] == 6'h4; // @[lib.scala 199:41] + wire _T_3748 = _T_3732[5:0] == 6'h3; // @[lib.scala 199:41] + wire _T_3746 = _T_3732[5:0] == 6'h2; // @[lib.scala 199:41] + wire _T_3744 = _T_3732[5:0] == 6'h1; // @[lib.scala 199:41] + wire [18:0] _T_3860 = {_T_3859,_T_3760,_T_3758,_T_3756,_T_3754,_T_3752,_T_3750,_T_3748,_T_3746,_T_3744}; // @[lib.scala 202:69] + wire [38:0] _T_3880 = {_T_3878,_T_3869,_T_3860}; // @[lib.scala 202:69] + wire [7:0] _T_3835 = {io_iccm_rd_data_ecc[74],io_iccm_rd_data_ecc[42:40],io_iccm_rd_data_ecc[73],io_iccm_rd_data_ecc[39],io_iccm_rd_data_ecc[72:71]}; // @[Cat.scala 29:58] + wire [38:0] _T_3841 = {io_iccm_rd_data_ecc[77],io_iccm_rd_data_ecc[70:65],io_iccm_rd_data_ecc[76],io_iccm_rd_data_ecc[64:50],io_iccm_rd_data_ecc[75],io_iccm_rd_data_ecc[49:43],_T_3835}; // @[Cat.scala 29:58] + wire [38:0] _T_3881 = _T_3880 ^ _T_3841; // @[lib.scala 202:76] + wire [38:0] _T_3882 = _T_3736 ? _T_3881 : _T_3841; // @[lib.scala 202:31] + wire [31:0] iccm_corrected_data_1 = {_T_3882[37:32],_T_3882[30:16],_T_3882[14:8],_T_3882[6:4],_T_3882[2]}; // @[Cat.scala 29:58] + wire [31:0] iccm_dma_rdata_1_muxed = dma_mem_addr_ff[0] ? iccm_corrected_data_0 : iccm_corrected_data_1; // @[ifu_mem_ctl.scala 582:35] + wire _T_3740 = ~_T_3732[6]; // @[lib.scala 195:55] + wire _T_3741 = _T_3734 & _T_3740; // @[lib.scala 195:53] + wire _T_3355 = ~_T_3347[6]; // @[lib.scala 195:55] + wire _T_3356 = _T_3349 & _T_3355; // @[lib.scala 195:53] + wire [1:0] iccm_double_ecc_error = {_T_3741,_T_3356}; // @[Cat.scala 29:58] + wire iccm_dma_ecc_error_in = |iccm_double_ecc_error; // @[ifu_mem_ctl.scala 584:53] + wire [63:0] _T_3104 = {io_dma_mem_ctl_dma_mem_addr,io_dma_mem_ctl_dma_mem_addr}; // @[Cat.scala 29:58] + wire [63:0] _T_3105 = {iccm_dma_rdata_1_muxed,_T_3497[37:32],_T_3497[30:16],_T_3497[14:8],_T_3497[6:4],_T_3497[2]}; // @[Cat.scala 29:58] + reg [2:0] dma_mem_tag_ff; // @[ifu_mem_ctl.scala 586:54] + reg [2:0] iccm_dma_rtag_temp; // @[ifu_mem_ctl.scala 587:74] + reg iccm_dma_rvalid_temp; // @[ifu_mem_ctl.scala 592:76] + reg iccm_dma_ecc_error; // @[ifu_mem_ctl.scala 594:74] + reg [63:0] iccm_dma_rdata_temp; // @[ifu_mem_ctl.scala 596:75] + wire _T_3110 = _T_2709 & _T_2698; // @[ifu_mem_ctl.scala 599:77] + wire _T_3114 = _T_3091 & iccm_correct_ecc; // @[ifu_mem_ctl.scala 600:62] + reg [13:0] iccm_ecc_corr_index_ff; // @[Reg.scala 27:20] + wire [14:0] _T_3115 = {iccm_ecc_corr_index_ff,1'h0}; // @[Cat.scala 29:58] + wire [14:0] _T_3117 = _T_3114 ? _T_3115 : io_ifc_fetch_addr_bf[14:0]; // @[ifu_mem_ctl.scala 600:8] + wire _T_3509 = _T_3347 == 7'h40; // @[lib.scala 205:62] + wire _T_3510 = _T_3497[38] ^ _T_3509; // @[lib.scala 205:44] + wire [6:0] iccm_corrected_ecc_0 = {_T_3510,_T_3497[31],_T_3497[15],_T_3497[7],_T_3497[3],_T_3497[1:0]}; // @[Cat.scala 29:58] + wire _T_3894 = _T_3732 == 7'h40; // @[lib.scala 205:62] + wire _T_3895 = _T_3882[38] ^ _T_3894; // @[lib.scala 205:44] + wire [6:0] iccm_corrected_ecc_1 = {_T_3895,_T_3882[31],_T_3882[15],_T_3882[7],_T_3882[3],_T_3882[1:0]}; // @[Cat.scala 29:58] + wire _T_3911 = _T_3 & ifc_iccm_access_f; // @[ifu_mem_ctl.scala 612:75] + wire [31:0] iccm_corrected_data_f_mux = iccm_single_ecc_error[0] ? iccm_corrected_data_0 : iccm_corrected_data_1; // @[ifu_mem_ctl.scala 614:38] + wire [6:0] iccm_corrected_ecc_f_mux = iccm_single_ecc_error[0] ? iccm_corrected_ecc_0 : iccm_corrected_ecc_1; // @[ifu_mem_ctl.scala 615:37] + reg iccm_rd_ecc_single_err_ff; // @[ifu_mem_ctl.scala 623:62] + wire _T_3919 = ~iccm_rd_ecc_single_err_ff; // @[ifu_mem_ctl.scala 617:93] + wire _T_3920 = io_dec_mem_ctrl_ifu_iccm_rd_ecc_single_err & _T_3919; // @[ifu_mem_ctl.scala 617:91] + wire _T_3922 = _T_3920 & _T_319; // @[ifu_mem_ctl.scala 617:121] + wire iccm_ecc_write_status = _T_3922 | io_iccm_dma_sb_error; // @[ifu_mem_ctl.scala 617:144] + wire _T_3923 = io_dec_mem_ctrl_ifu_iccm_rd_ecc_single_err | iccm_rd_ecc_single_err_ff; // @[ifu_mem_ctl.scala 618:84] + reg [13:0] iccm_rw_addr_f; // @[ifu_mem_ctl.scala 622:51] + wire [13:0] _T_3928 = iccm_rw_addr_f + 14'h1; // @[ifu_mem_ctl.scala 621:102] + wire [38:0] _T_3932 = {iccm_corrected_ecc_f_mux,iccm_corrected_data_f_mux}; // @[Cat.scala 29:58] + wire _T_3937 = ~io_ifc_fetch_uncacheable_bf; // @[ifu_mem_ctl.scala 626:41] + wire _T_3938 = io_ifc_fetch_req_bf & _T_3937; // @[ifu_mem_ctl.scala 626:39] + wire _T_3939 = ~io_ifc_iccm_access_bf; // @[ifu_mem_ctl.scala 626:72] + wire _T_3940 = _T_3938 & _T_3939; // @[ifu_mem_ctl.scala 626:70] + wire _T_3942 = ~miss_state_en; // @[ifu_mem_ctl.scala 627:34] + wire _T_3943 = _T_2268 & _T_3942; // @[ifu_mem_ctl.scala 627:32] + wire _T_3946 = _T_2284 & _T_3942; // @[ifu_mem_ctl.scala 628:37] + wire _T_3947 = _T_3943 | _T_3946; // @[ifu_mem_ctl.scala 627:88] + wire _T_3948 = miss_state == 3'h7; // @[ifu_mem_ctl.scala 629:19] + wire _T_3950 = _T_3948 & _T_3942; // @[ifu_mem_ctl.scala 629:41] + wire _T_3951 = _T_3947 | _T_3950; // @[ifu_mem_ctl.scala 628:88] + wire _T_3952 = miss_state == 3'h3; // @[ifu_mem_ctl.scala 630:19] + wire _T_3954 = _T_3952 & _T_3942; // @[ifu_mem_ctl.scala 630:35] + wire _T_3955 = _T_3951 | _T_3954; // @[ifu_mem_ctl.scala 629:88] + wire _T_3958 = _T_2283 & _T_3942; // @[ifu_mem_ctl.scala 631:38] + wire _T_3959 = _T_3955 | _T_3958; // @[ifu_mem_ctl.scala 630:88] + wire _T_3961 = _T_2284 & miss_state_en; // @[ifu_mem_ctl.scala 632:37] + wire _T_3962 = miss_nxtstate == 3'h3; // @[ifu_mem_ctl.scala 632:71] + wire _T_3963 = _T_3961 & _T_3962; // @[ifu_mem_ctl.scala 632:54] + wire _T_3964 = _T_3959 | _T_3963; // @[ifu_mem_ctl.scala 631:57] + wire _T_3965 = ~_T_3964; // @[ifu_mem_ctl.scala 627:5] + wire _T_3966 = _T_3940 & _T_3965; // @[ifu_mem_ctl.scala 626:96] + wire _T_3967 = io_ifc_fetch_req_bf & io_exu_flush_final; // @[ifu_mem_ctl.scala 633:28] + wire _T_3969 = _T_3967 & _T_3937; // @[ifu_mem_ctl.scala 633:50] + wire _T_3971 = _T_3969 & _T_3939; // @[ifu_mem_ctl.scala 633:81] + wire [1:0] _T_3974 = write_ic_16_bytes ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire _T_9780 = bus_ifu_wr_en_ff_q & replace_way_mb_any_1; // @[ifu_mem_ctl.scala 727:74] + wire bus_wren_1 = _T_9780 & miss_pending; // @[ifu_mem_ctl.scala 727:98] + wire _T_9779 = bus_ifu_wr_en_ff_q & replace_way_mb_any_0; // @[ifu_mem_ctl.scala 727:74] + wire bus_wren_0 = _T_9779 & miss_pending; // @[ifu_mem_ctl.scala 727:98] + wire [1:0] bus_ic_wr_en = {bus_wren_1,bus_wren_0}; // @[Cat.scala 29:58] + wire _T_3980 = ~_T_108; // @[ifu_mem_ctl.scala 636:106] + wire _T_3981 = _T_2268 & _T_3980; // @[ifu_mem_ctl.scala 636:104] + wire _T_3982 = _T_2284 | _T_3981; // @[ifu_mem_ctl.scala 636:77] + wire _T_3986 = ~_T_51; // @[ifu_mem_ctl.scala 636:172] + wire _T_3987 = _T_3982 & _T_3986; // @[ifu_mem_ctl.scala 636:170] + wire _T_3988 = ~_T_3987; // @[ifu_mem_ctl.scala 636:44] + wire _T_3992 = reset_ic_in | reset_ic_ff; // @[ifu_mem_ctl.scala 639:64] + wire _T_3993 = ~_T_3992; // @[ifu_mem_ctl.scala 639:50] + wire _T_3994 = _T_276 & _T_3993; // @[ifu_mem_ctl.scala 639:48] + wire _T_3995 = ~reset_tag_valid_for_miss; // @[ifu_mem_ctl.scala 639:81] + wire ic_valid = _T_3994 & _T_3995; // @[ifu_mem_ctl.scala 639:79] + wire _T_3997 = debug_c1_clken & io_ic_debug_tag_array; // @[ifu_mem_ctl.scala 640:82] + reg [6:0] ifu_status_wr_addr_ff; // @[ifu_mem_ctl.scala 643:14] + wire _T_4000 = io_ic_debug_wr_en & io_ic_debug_tag_array; // @[ifu_mem_ctl.scala 646:74] + wire _T_9777 = bus_ifu_wr_en_ff_q & last_beat; // @[ifu_mem_ctl.scala 726:45] + wire way_status_wr_en = _T_9777 | ic_act_hit_f; // @[ifu_mem_ctl.scala 726:58] + reg way_status_wr_en_ff; // @[ifu_mem_ctl.scala 648:14] + wire way_status_hit_new = io_ic_rd_hit[0]; // @[ifu_mem_ctl.scala 722:41] + reg way_status_new_ff; // @[ifu_mem_ctl.scala 654:14] + wire _T_4020 = ifu_status_wr_addr_ff[2:0] == 3'h0; // @[ifu_mem_ctl.scala 660:128] + wire _T_4021 = _T_4020 & way_status_wr_en_ff; // @[ifu_mem_ctl.scala 660:136] + wire _T_4024 = ifu_status_wr_addr_ff[2:0] == 3'h1; // @[ifu_mem_ctl.scala 660:128] + wire _T_4025 = _T_4024 & way_status_wr_en_ff; // @[ifu_mem_ctl.scala 660:136] + wire _T_4028 = ifu_status_wr_addr_ff[2:0] == 3'h2; // @[ifu_mem_ctl.scala 660:128] + wire _T_4029 = _T_4028 & way_status_wr_en_ff; // @[ifu_mem_ctl.scala 660:136] + wire _T_4032 = ifu_status_wr_addr_ff[2:0] == 3'h3; // @[ifu_mem_ctl.scala 660:128] + wire _T_4033 = _T_4032 & way_status_wr_en_ff; // @[ifu_mem_ctl.scala 660:136] + wire _T_4036 = ifu_status_wr_addr_ff[2:0] == 3'h4; // @[ifu_mem_ctl.scala 660:128] + wire _T_4037 = _T_4036 & way_status_wr_en_ff; // @[ifu_mem_ctl.scala 660:136] + wire _T_4040 = ifu_status_wr_addr_ff[2:0] == 3'h5; // @[ifu_mem_ctl.scala 660:128] + wire _T_4041 = _T_4040 & way_status_wr_en_ff; // @[ifu_mem_ctl.scala 660:136] + wire _T_4044 = ifu_status_wr_addr_ff[2:0] == 3'h6; // @[ifu_mem_ctl.scala 660:128] + wire _T_4045 = _T_4044 & way_status_wr_en_ff; // @[ifu_mem_ctl.scala 660:136] + wire _T_4048 = ifu_status_wr_addr_ff[2:0] == 3'h7; // @[ifu_mem_ctl.scala 660:128] + wire _T_4049 = _T_4048 & way_status_wr_en_ff; // @[ifu_mem_ctl.scala 660:136] + wire _T_9783 = _T_100 & replace_way_mb_any_1; // @[ifu_mem_ctl.scala 729:84] + wire _T_9784 = _T_9783 & miss_pending; // @[ifu_mem_ctl.scala 729:108] + wire bus_wren_last_1 = _T_9784 & bus_last_data_beat; // @[ifu_mem_ctl.scala 729:123] + wire wren_reset_miss_1 = replace_way_mb_any_1 & reset_tag_valid_for_miss; // @[ifu_mem_ctl.scala 730:84] + wire _T_9786 = bus_wren_last_1 | wren_reset_miss_1; // @[ifu_mem_ctl.scala 731:73] + wire _T_9781 = _T_100 & replace_way_mb_any_0; // @[ifu_mem_ctl.scala 729:84] + wire _T_9782 = _T_9781 & miss_pending; // @[ifu_mem_ctl.scala 729:108] + wire bus_wren_last_0 = _T_9782 & bus_last_data_beat; // @[ifu_mem_ctl.scala 729:123] + wire wren_reset_miss_0 = replace_way_mb_any_0 & reset_tag_valid_for_miss; // @[ifu_mem_ctl.scala 730:84] + wire _T_9785 = bus_wren_last_0 | wren_reset_miss_0; // @[ifu_mem_ctl.scala 731:73] + wire [1:0] ifu_tag_wren = {_T_9786,_T_9785}; // @[Cat.scala 29:58] + wire [1:0] _T_9821 = _T_4000 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] ic_debug_tag_wr_en = _T_9821 & io_ic_debug_way; // @[ifu_mem_ctl.scala 765:90] + reg [1:0] ifu_tag_wren_ff; // @[ifu_mem_ctl.scala 675:14] + reg ic_valid_ff; // @[ifu_mem_ctl.scala 679:14] + wire _T_5063 = ifu_ic_rw_int_addr_ff[6:5] == 2'h0; // @[ifu_mem_ctl.scala 683:78] + wire _T_5065 = _T_5063 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 683:87] + wire _T_5067 = perr_ic_index_ff[6:5] == 2'h0; // @[ifu_mem_ctl.scala 684:70] + wire _T_5069 = _T_5067 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 684:79] + wire _T_5070 = _T_5065 | _T_5069; // @[ifu_mem_ctl.scala 683:109] + wire _T_5071 = _T_5070 | reset_all_tags; // @[ifu_mem_ctl.scala 684:102] + wire _T_5075 = _T_5063 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 683:87] + wire _T_5079 = _T_5067 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 684:79] + wire _T_5080 = _T_5075 | _T_5079; // @[ifu_mem_ctl.scala 683:109] + wire _T_5081 = _T_5080 | reset_all_tags; // @[ifu_mem_ctl.scala 684:102] + wire [1:0] tag_valid_clken_0 = {_T_5081,_T_5071}; // @[Cat.scala 29:58] + wire _T_5083 = ifu_ic_rw_int_addr_ff[6:5] == 2'h1; // @[ifu_mem_ctl.scala 683:78] + wire _T_5085 = _T_5083 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 683:87] + wire _T_5087 = perr_ic_index_ff[6:5] == 2'h1; // @[ifu_mem_ctl.scala 684:70] + wire _T_5089 = _T_5087 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 684:79] + wire _T_5090 = _T_5085 | _T_5089; // @[ifu_mem_ctl.scala 683:109] + wire _T_5091 = _T_5090 | reset_all_tags; // @[ifu_mem_ctl.scala 684:102] + wire _T_5095 = _T_5083 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 683:87] + wire _T_5099 = _T_5087 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 684:79] + wire _T_5100 = _T_5095 | _T_5099; // @[ifu_mem_ctl.scala 683:109] + wire _T_5101 = _T_5100 | reset_all_tags; // @[ifu_mem_ctl.scala 684:102] + wire [1:0] tag_valid_clken_1 = {_T_5101,_T_5091}; // @[Cat.scala 29:58] + wire _T_5103 = ifu_ic_rw_int_addr_ff[6:5] == 2'h2; // @[ifu_mem_ctl.scala 683:78] + wire _T_5105 = _T_5103 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 683:87] + wire _T_5107 = perr_ic_index_ff[6:5] == 2'h2; // @[ifu_mem_ctl.scala 684:70] + wire _T_5109 = _T_5107 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 684:79] + wire _T_5110 = _T_5105 | _T_5109; // @[ifu_mem_ctl.scala 683:109] + wire _T_5111 = _T_5110 | reset_all_tags; // @[ifu_mem_ctl.scala 684:102] + wire _T_5115 = _T_5103 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 683:87] + wire _T_5119 = _T_5107 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 684:79] + wire _T_5120 = _T_5115 | _T_5119; // @[ifu_mem_ctl.scala 683:109] + wire _T_5121 = _T_5120 | reset_all_tags; // @[ifu_mem_ctl.scala 684:102] + wire [1:0] tag_valid_clken_2 = {_T_5121,_T_5111}; // @[Cat.scala 29:58] + wire _T_5123 = ifu_ic_rw_int_addr_ff[6:5] == 2'h3; // @[ifu_mem_ctl.scala 683:78] + wire _T_5125 = _T_5123 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 683:87] + wire _T_5127 = perr_ic_index_ff[6:5] == 2'h3; // @[ifu_mem_ctl.scala 684:70] + wire _T_5129 = _T_5127 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 684:79] + wire _T_5130 = _T_5125 | _T_5129; // @[ifu_mem_ctl.scala 683:109] + wire _T_5131 = _T_5130 | reset_all_tags; // @[ifu_mem_ctl.scala 684:102] + wire _T_5135 = _T_5123 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 683:87] + wire _T_5139 = _T_5127 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 684:79] + wire _T_5140 = _T_5135 | _T_5139; // @[ifu_mem_ctl.scala 683:109] + wire _T_5141 = _T_5140 | reset_all_tags; // @[ifu_mem_ctl.scala 684:102] + wire [1:0] tag_valid_clken_3 = {_T_5141,_T_5131}; // @[Cat.scala 29:58] + wire _T_5152 = ic_valid_ff & _T_195; // @[ifu_mem_ctl.scala 692:97] + wire _T_5153 = ~perr_sel_invalidate; // @[ifu_mem_ctl.scala 692:124] + wire _T_5154 = _T_5152 & _T_5153; // @[ifu_mem_ctl.scala 692:122] + wire _T_5157 = _T_4671 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5158 = perr_ic_index_ff == 7'h0; // @[ifu_mem_ctl.scala 693:102] + wire _T_5160 = _T_5158 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5161 = _T_5157 | _T_5160; // @[ifu_mem_ctl.scala 693:81] + wire _T_5162 = _T_5161 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5172 = _T_4672 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5173 = perr_ic_index_ff == 7'h1; // @[ifu_mem_ctl.scala 693:102] + wire _T_5175 = _T_5173 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5176 = _T_5172 | _T_5175; // @[ifu_mem_ctl.scala 693:81] + wire _T_5177 = _T_5176 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5187 = _T_4673 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5188 = perr_ic_index_ff == 7'h2; // @[ifu_mem_ctl.scala 693:102] + wire _T_5190 = _T_5188 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5191 = _T_5187 | _T_5190; // @[ifu_mem_ctl.scala 693:81] + wire _T_5192 = _T_5191 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5202 = _T_4674 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5203 = perr_ic_index_ff == 7'h3; // @[ifu_mem_ctl.scala 693:102] + wire _T_5205 = _T_5203 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5206 = _T_5202 | _T_5205; // @[ifu_mem_ctl.scala 693:81] + wire _T_5207 = _T_5206 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5217 = _T_4675 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5218 = perr_ic_index_ff == 7'h4; // @[ifu_mem_ctl.scala 693:102] + wire _T_5220 = _T_5218 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5221 = _T_5217 | _T_5220; // @[ifu_mem_ctl.scala 693:81] + wire _T_5222 = _T_5221 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5232 = _T_4676 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5233 = perr_ic_index_ff == 7'h5; // @[ifu_mem_ctl.scala 693:102] + wire _T_5235 = _T_5233 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5236 = _T_5232 | _T_5235; // @[ifu_mem_ctl.scala 693:81] + wire _T_5237 = _T_5236 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5247 = _T_4677 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5248 = perr_ic_index_ff == 7'h6; // @[ifu_mem_ctl.scala 693:102] + wire _T_5250 = _T_5248 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5251 = _T_5247 | _T_5250; // @[ifu_mem_ctl.scala 693:81] + wire _T_5252 = _T_5251 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5262 = _T_4678 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5263 = perr_ic_index_ff == 7'h7; // @[ifu_mem_ctl.scala 693:102] + wire _T_5265 = _T_5263 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5266 = _T_5262 | _T_5265; // @[ifu_mem_ctl.scala 693:81] + wire _T_5267 = _T_5266 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5277 = _T_4679 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5278 = perr_ic_index_ff == 7'h8; // @[ifu_mem_ctl.scala 693:102] + wire _T_5280 = _T_5278 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5281 = _T_5277 | _T_5280; // @[ifu_mem_ctl.scala 693:81] + wire _T_5282 = _T_5281 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5292 = _T_4680 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5293 = perr_ic_index_ff == 7'h9; // @[ifu_mem_ctl.scala 693:102] + wire _T_5295 = _T_5293 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5296 = _T_5292 | _T_5295; // @[ifu_mem_ctl.scala 693:81] + wire _T_5297 = _T_5296 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5307 = _T_4681 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5308 = perr_ic_index_ff == 7'ha; // @[ifu_mem_ctl.scala 693:102] + wire _T_5310 = _T_5308 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5311 = _T_5307 | _T_5310; // @[ifu_mem_ctl.scala 693:81] + wire _T_5312 = _T_5311 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5322 = _T_4682 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5323 = perr_ic_index_ff == 7'hb; // @[ifu_mem_ctl.scala 693:102] + wire _T_5325 = _T_5323 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5326 = _T_5322 | _T_5325; // @[ifu_mem_ctl.scala 693:81] + wire _T_5327 = _T_5326 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5337 = _T_4683 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5338 = perr_ic_index_ff == 7'hc; // @[ifu_mem_ctl.scala 693:102] + wire _T_5340 = _T_5338 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5341 = _T_5337 | _T_5340; // @[ifu_mem_ctl.scala 693:81] + wire _T_5342 = _T_5341 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5352 = _T_4684 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5353 = perr_ic_index_ff == 7'hd; // @[ifu_mem_ctl.scala 693:102] + wire _T_5355 = _T_5353 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5356 = _T_5352 | _T_5355; // @[ifu_mem_ctl.scala 693:81] + wire _T_5357 = _T_5356 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5367 = _T_4685 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5368 = perr_ic_index_ff == 7'he; // @[ifu_mem_ctl.scala 693:102] + wire _T_5370 = _T_5368 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5371 = _T_5367 | _T_5370; // @[ifu_mem_ctl.scala 693:81] + wire _T_5372 = _T_5371 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5382 = _T_4686 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5383 = perr_ic_index_ff == 7'hf; // @[ifu_mem_ctl.scala 693:102] + wire _T_5385 = _T_5383 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5386 = _T_5382 | _T_5385; // @[ifu_mem_ctl.scala 693:81] + wire _T_5387 = _T_5386 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5397 = _T_4687 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5398 = perr_ic_index_ff == 7'h10; // @[ifu_mem_ctl.scala 693:102] + wire _T_5400 = _T_5398 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5401 = _T_5397 | _T_5400; // @[ifu_mem_ctl.scala 693:81] + wire _T_5402 = _T_5401 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5412 = _T_4688 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5413 = perr_ic_index_ff == 7'h11; // @[ifu_mem_ctl.scala 693:102] + wire _T_5415 = _T_5413 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5416 = _T_5412 | _T_5415; // @[ifu_mem_ctl.scala 693:81] + wire _T_5417 = _T_5416 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5427 = _T_4689 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5428 = perr_ic_index_ff == 7'h12; // @[ifu_mem_ctl.scala 693:102] + wire _T_5430 = _T_5428 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5431 = _T_5427 | _T_5430; // @[ifu_mem_ctl.scala 693:81] + wire _T_5432 = _T_5431 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5442 = _T_4690 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5443 = perr_ic_index_ff == 7'h13; // @[ifu_mem_ctl.scala 693:102] + wire _T_5445 = _T_5443 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5446 = _T_5442 | _T_5445; // @[ifu_mem_ctl.scala 693:81] + wire _T_5447 = _T_5446 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5457 = _T_4691 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5458 = perr_ic_index_ff == 7'h14; // @[ifu_mem_ctl.scala 693:102] + wire _T_5460 = _T_5458 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5461 = _T_5457 | _T_5460; // @[ifu_mem_ctl.scala 693:81] + wire _T_5462 = _T_5461 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5472 = _T_4692 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5473 = perr_ic_index_ff == 7'h15; // @[ifu_mem_ctl.scala 693:102] + wire _T_5475 = _T_5473 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5476 = _T_5472 | _T_5475; // @[ifu_mem_ctl.scala 693:81] + wire _T_5477 = _T_5476 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5487 = _T_4693 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5488 = perr_ic_index_ff == 7'h16; // @[ifu_mem_ctl.scala 693:102] + wire _T_5490 = _T_5488 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5491 = _T_5487 | _T_5490; // @[ifu_mem_ctl.scala 693:81] + wire _T_5492 = _T_5491 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5502 = _T_4694 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5503 = perr_ic_index_ff == 7'h17; // @[ifu_mem_ctl.scala 693:102] + wire _T_5505 = _T_5503 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5506 = _T_5502 | _T_5505; // @[ifu_mem_ctl.scala 693:81] + wire _T_5507 = _T_5506 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5517 = _T_4695 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5518 = perr_ic_index_ff == 7'h18; // @[ifu_mem_ctl.scala 693:102] + wire _T_5520 = _T_5518 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5521 = _T_5517 | _T_5520; // @[ifu_mem_ctl.scala 693:81] + wire _T_5522 = _T_5521 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5532 = _T_4696 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5533 = perr_ic_index_ff == 7'h19; // @[ifu_mem_ctl.scala 693:102] + wire _T_5535 = _T_5533 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5536 = _T_5532 | _T_5535; // @[ifu_mem_ctl.scala 693:81] + wire _T_5537 = _T_5536 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5547 = _T_4697 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5548 = perr_ic_index_ff == 7'h1a; // @[ifu_mem_ctl.scala 693:102] + wire _T_5550 = _T_5548 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5551 = _T_5547 | _T_5550; // @[ifu_mem_ctl.scala 693:81] + wire _T_5552 = _T_5551 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5562 = _T_4698 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5563 = perr_ic_index_ff == 7'h1b; // @[ifu_mem_ctl.scala 693:102] + wire _T_5565 = _T_5563 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5566 = _T_5562 | _T_5565; // @[ifu_mem_ctl.scala 693:81] + wire _T_5567 = _T_5566 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5577 = _T_4699 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5578 = perr_ic_index_ff == 7'h1c; // @[ifu_mem_ctl.scala 693:102] + wire _T_5580 = _T_5578 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5581 = _T_5577 | _T_5580; // @[ifu_mem_ctl.scala 693:81] + wire _T_5582 = _T_5581 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5592 = _T_4700 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5593 = perr_ic_index_ff == 7'h1d; // @[ifu_mem_ctl.scala 693:102] + wire _T_5595 = _T_5593 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5596 = _T_5592 | _T_5595; // @[ifu_mem_ctl.scala 693:81] + wire _T_5597 = _T_5596 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5607 = _T_4701 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5608 = perr_ic_index_ff == 7'h1e; // @[ifu_mem_ctl.scala 693:102] + wire _T_5610 = _T_5608 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5611 = _T_5607 | _T_5610; // @[ifu_mem_ctl.scala 693:81] + wire _T_5612 = _T_5611 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5622 = _T_4702 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5623 = perr_ic_index_ff == 7'h1f; // @[ifu_mem_ctl.scala 693:102] + wire _T_5625 = _T_5623 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5626 = _T_5622 | _T_5625; // @[ifu_mem_ctl.scala 693:81] + wire _T_5627 = _T_5626 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5637 = _T_4671 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5640 = _T_5158 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5641 = _T_5637 | _T_5640; // @[ifu_mem_ctl.scala 693:81] + wire _T_5642 = _T_5641 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5652 = _T_4672 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5655 = _T_5173 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5656 = _T_5652 | _T_5655; // @[ifu_mem_ctl.scala 693:81] + wire _T_5657 = _T_5656 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5667 = _T_4673 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5670 = _T_5188 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5671 = _T_5667 | _T_5670; // @[ifu_mem_ctl.scala 693:81] + wire _T_5672 = _T_5671 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5682 = _T_4674 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5685 = _T_5203 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5686 = _T_5682 | _T_5685; // @[ifu_mem_ctl.scala 693:81] + wire _T_5687 = _T_5686 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5697 = _T_4675 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5700 = _T_5218 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5701 = _T_5697 | _T_5700; // @[ifu_mem_ctl.scala 693:81] + wire _T_5702 = _T_5701 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5712 = _T_4676 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5715 = _T_5233 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5716 = _T_5712 | _T_5715; // @[ifu_mem_ctl.scala 693:81] + wire _T_5717 = _T_5716 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5727 = _T_4677 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5730 = _T_5248 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5731 = _T_5727 | _T_5730; // @[ifu_mem_ctl.scala 693:81] + wire _T_5732 = _T_5731 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5742 = _T_4678 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5745 = _T_5263 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5746 = _T_5742 | _T_5745; // @[ifu_mem_ctl.scala 693:81] + wire _T_5747 = _T_5746 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5757 = _T_4679 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5760 = _T_5278 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5761 = _T_5757 | _T_5760; // @[ifu_mem_ctl.scala 693:81] + wire _T_5762 = _T_5761 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5772 = _T_4680 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5775 = _T_5293 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5776 = _T_5772 | _T_5775; // @[ifu_mem_ctl.scala 693:81] + wire _T_5777 = _T_5776 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5787 = _T_4681 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5790 = _T_5308 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5791 = _T_5787 | _T_5790; // @[ifu_mem_ctl.scala 693:81] + wire _T_5792 = _T_5791 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5802 = _T_4682 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5805 = _T_5323 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5806 = _T_5802 | _T_5805; // @[ifu_mem_ctl.scala 693:81] + wire _T_5807 = _T_5806 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5817 = _T_4683 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5820 = _T_5338 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5821 = _T_5817 | _T_5820; // @[ifu_mem_ctl.scala 693:81] + wire _T_5822 = _T_5821 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5832 = _T_4684 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5835 = _T_5353 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5836 = _T_5832 | _T_5835; // @[ifu_mem_ctl.scala 693:81] + wire _T_5837 = _T_5836 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5847 = _T_4685 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5850 = _T_5368 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5851 = _T_5847 | _T_5850; // @[ifu_mem_ctl.scala 693:81] + wire _T_5852 = _T_5851 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5862 = _T_4686 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5865 = _T_5383 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5866 = _T_5862 | _T_5865; // @[ifu_mem_ctl.scala 693:81] + wire _T_5867 = _T_5866 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5877 = _T_4687 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5880 = _T_5398 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5881 = _T_5877 | _T_5880; // @[ifu_mem_ctl.scala 693:81] + wire _T_5882 = _T_5881 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5892 = _T_4688 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5895 = _T_5413 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5896 = _T_5892 | _T_5895; // @[ifu_mem_ctl.scala 693:81] + wire _T_5897 = _T_5896 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5907 = _T_4689 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5910 = _T_5428 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5911 = _T_5907 | _T_5910; // @[ifu_mem_ctl.scala 693:81] + wire _T_5912 = _T_5911 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5922 = _T_4690 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5925 = _T_5443 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5926 = _T_5922 | _T_5925; // @[ifu_mem_ctl.scala 693:81] + wire _T_5927 = _T_5926 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5937 = _T_4691 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5940 = _T_5458 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5941 = _T_5937 | _T_5940; // @[ifu_mem_ctl.scala 693:81] + wire _T_5942 = _T_5941 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5952 = _T_4692 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5955 = _T_5473 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5956 = _T_5952 | _T_5955; // @[ifu_mem_ctl.scala 693:81] + wire _T_5957 = _T_5956 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5967 = _T_4693 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5970 = _T_5488 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5971 = _T_5967 | _T_5970; // @[ifu_mem_ctl.scala 693:81] + wire _T_5972 = _T_5971 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5982 = _T_4694 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_5985 = _T_5503 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_5986 = _T_5982 | _T_5985; // @[ifu_mem_ctl.scala 693:81] + wire _T_5987 = _T_5986 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_5997 = _T_4695 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6000 = _T_5518 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6001 = _T_5997 | _T_6000; // @[ifu_mem_ctl.scala 693:81] + wire _T_6002 = _T_6001 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6012 = _T_4696 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6015 = _T_5533 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6016 = _T_6012 | _T_6015; // @[ifu_mem_ctl.scala 693:81] + wire _T_6017 = _T_6016 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6027 = _T_4697 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6030 = _T_5548 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6031 = _T_6027 | _T_6030; // @[ifu_mem_ctl.scala 693:81] + wire _T_6032 = _T_6031 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6042 = _T_4698 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6045 = _T_5563 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6046 = _T_6042 | _T_6045; // @[ifu_mem_ctl.scala 693:81] + wire _T_6047 = _T_6046 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6057 = _T_4699 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6060 = _T_5578 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6061 = _T_6057 | _T_6060; // @[ifu_mem_ctl.scala 693:81] + wire _T_6062 = _T_6061 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6072 = _T_4700 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6075 = _T_5593 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6076 = _T_6072 | _T_6075; // @[ifu_mem_ctl.scala 693:81] + wire _T_6077 = _T_6076 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6087 = _T_4701 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6090 = _T_5608 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6091 = _T_6087 | _T_6090; // @[ifu_mem_ctl.scala 693:81] + wire _T_6092 = _T_6091 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6102 = _T_4702 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6105 = _T_5623 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6106 = _T_6102 | _T_6105; // @[ifu_mem_ctl.scala 693:81] + wire _T_6107 = _T_6106 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6117 = _T_4703 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6118 = perr_ic_index_ff == 7'h20; // @[ifu_mem_ctl.scala 693:102] + wire _T_6120 = _T_6118 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6121 = _T_6117 | _T_6120; // @[ifu_mem_ctl.scala 693:81] + wire _T_6122 = _T_6121 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6132 = _T_4704 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6133 = perr_ic_index_ff == 7'h21; // @[ifu_mem_ctl.scala 693:102] + wire _T_6135 = _T_6133 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6136 = _T_6132 | _T_6135; // @[ifu_mem_ctl.scala 693:81] + wire _T_6137 = _T_6136 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6147 = _T_4705 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6148 = perr_ic_index_ff == 7'h22; // @[ifu_mem_ctl.scala 693:102] + wire _T_6150 = _T_6148 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6151 = _T_6147 | _T_6150; // @[ifu_mem_ctl.scala 693:81] + wire _T_6152 = _T_6151 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6162 = _T_4706 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6163 = perr_ic_index_ff == 7'h23; // @[ifu_mem_ctl.scala 693:102] + wire _T_6165 = _T_6163 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6166 = _T_6162 | _T_6165; // @[ifu_mem_ctl.scala 693:81] + wire _T_6167 = _T_6166 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6177 = _T_4707 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6178 = perr_ic_index_ff == 7'h24; // @[ifu_mem_ctl.scala 693:102] + wire _T_6180 = _T_6178 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6181 = _T_6177 | _T_6180; // @[ifu_mem_ctl.scala 693:81] + wire _T_6182 = _T_6181 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6192 = _T_4708 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6193 = perr_ic_index_ff == 7'h25; // @[ifu_mem_ctl.scala 693:102] + wire _T_6195 = _T_6193 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6196 = _T_6192 | _T_6195; // @[ifu_mem_ctl.scala 693:81] + wire _T_6197 = _T_6196 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6207 = _T_4709 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6208 = perr_ic_index_ff == 7'h26; // @[ifu_mem_ctl.scala 693:102] + wire _T_6210 = _T_6208 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6211 = _T_6207 | _T_6210; // @[ifu_mem_ctl.scala 693:81] + wire _T_6212 = _T_6211 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6222 = _T_4710 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6223 = perr_ic_index_ff == 7'h27; // @[ifu_mem_ctl.scala 693:102] + wire _T_6225 = _T_6223 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6226 = _T_6222 | _T_6225; // @[ifu_mem_ctl.scala 693:81] + wire _T_6227 = _T_6226 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6237 = _T_4711 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6238 = perr_ic_index_ff == 7'h28; // @[ifu_mem_ctl.scala 693:102] + wire _T_6240 = _T_6238 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6241 = _T_6237 | _T_6240; // @[ifu_mem_ctl.scala 693:81] + wire _T_6242 = _T_6241 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6252 = _T_4712 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6253 = perr_ic_index_ff == 7'h29; // @[ifu_mem_ctl.scala 693:102] + wire _T_6255 = _T_6253 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6256 = _T_6252 | _T_6255; // @[ifu_mem_ctl.scala 693:81] + wire _T_6257 = _T_6256 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6267 = _T_4713 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6268 = perr_ic_index_ff == 7'h2a; // @[ifu_mem_ctl.scala 693:102] + wire _T_6270 = _T_6268 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6271 = _T_6267 | _T_6270; // @[ifu_mem_ctl.scala 693:81] + wire _T_6272 = _T_6271 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6282 = _T_4714 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6283 = perr_ic_index_ff == 7'h2b; // @[ifu_mem_ctl.scala 693:102] + wire _T_6285 = _T_6283 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6286 = _T_6282 | _T_6285; // @[ifu_mem_ctl.scala 693:81] + wire _T_6287 = _T_6286 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6297 = _T_4715 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6298 = perr_ic_index_ff == 7'h2c; // @[ifu_mem_ctl.scala 693:102] + wire _T_6300 = _T_6298 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6301 = _T_6297 | _T_6300; // @[ifu_mem_ctl.scala 693:81] + wire _T_6302 = _T_6301 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6312 = _T_4716 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6313 = perr_ic_index_ff == 7'h2d; // @[ifu_mem_ctl.scala 693:102] + wire _T_6315 = _T_6313 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6316 = _T_6312 | _T_6315; // @[ifu_mem_ctl.scala 693:81] + wire _T_6317 = _T_6316 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6327 = _T_4717 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6328 = perr_ic_index_ff == 7'h2e; // @[ifu_mem_ctl.scala 693:102] + wire _T_6330 = _T_6328 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6331 = _T_6327 | _T_6330; // @[ifu_mem_ctl.scala 693:81] + wire _T_6332 = _T_6331 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6342 = _T_4718 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6343 = perr_ic_index_ff == 7'h2f; // @[ifu_mem_ctl.scala 693:102] + wire _T_6345 = _T_6343 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6346 = _T_6342 | _T_6345; // @[ifu_mem_ctl.scala 693:81] + wire _T_6347 = _T_6346 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6357 = _T_4719 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6358 = perr_ic_index_ff == 7'h30; // @[ifu_mem_ctl.scala 693:102] + wire _T_6360 = _T_6358 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6361 = _T_6357 | _T_6360; // @[ifu_mem_ctl.scala 693:81] + wire _T_6362 = _T_6361 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6372 = _T_4720 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6373 = perr_ic_index_ff == 7'h31; // @[ifu_mem_ctl.scala 693:102] + wire _T_6375 = _T_6373 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6376 = _T_6372 | _T_6375; // @[ifu_mem_ctl.scala 693:81] + wire _T_6377 = _T_6376 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6387 = _T_4721 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6388 = perr_ic_index_ff == 7'h32; // @[ifu_mem_ctl.scala 693:102] + wire _T_6390 = _T_6388 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6391 = _T_6387 | _T_6390; // @[ifu_mem_ctl.scala 693:81] + wire _T_6392 = _T_6391 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6402 = _T_4722 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6403 = perr_ic_index_ff == 7'h33; // @[ifu_mem_ctl.scala 693:102] + wire _T_6405 = _T_6403 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6406 = _T_6402 | _T_6405; // @[ifu_mem_ctl.scala 693:81] + wire _T_6407 = _T_6406 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6417 = _T_4723 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6418 = perr_ic_index_ff == 7'h34; // @[ifu_mem_ctl.scala 693:102] + wire _T_6420 = _T_6418 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6421 = _T_6417 | _T_6420; // @[ifu_mem_ctl.scala 693:81] + wire _T_6422 = _T_6421 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6432 = _T_4724 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6433 = perr_ic_index_ff == 7'h35; // @[ifu_mem_ctl.scala 693:102] + wire _T_6435 = _T_6433 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6436 = _T_6432 | _T_6435; // @[ifu_mem_ctl.scala 693:81] + wire _T_6437 = _T_6436 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6447 = _T_4725 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6448 = perr_ic_index_ff == 7'h36; // @[ifu_mem_ctl.scala 693:102] + wire _T_6450 = _T_6448 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6451 = _T_6447 | _T_6450; // @[ifu_mem_ctl.scala 693:81] + wire _T_6452 = _T_6451 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6462 = _T_4726 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6463 = perr_ic_index_ff == 7'h37; // @[ifu_mem_ctl.scala 693:102] + wire _T_6465 = _T_6463 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6466 = _T_6462 | _T_6465; // @[ifu_mem_ctl.scala 693:81] + wire _T_6467 = _T_6466 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6477 = _T_4727 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6478 = perr_ic_index_ff == 7'h38; // @[ifu_mem_ctl.scala 693:102] + wire _T_6480 = _T_6478 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6481 = _T_6477 | _T_6480; // @[ifu_mem_ctl.scala 693:81] + wire _T_6482 = _T_6481 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6492 = _T_4728 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6493 = perr_ic_index_ff == 7'h39; // @[ifu_mem_ctl.scala 693:102] + wire _T_6495 = _T_6493 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6496 = _T_6492 | _T_6495; // @[ifu_mem_ctl.scala 693:81] + wire _T_6497 = _T_6496 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6507 = _T_4729 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6508 = perr_ic_index_ff == 7'h3a; // @[ifu_mem_ctl.scala 693:102] + wire _T_6510 = _T_6508 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6511 = _T_6507 | _T_6510; // @[ifu_mem_ctl.scala 693:81] + wire _T_6512 = _T_6511 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6522 = _T_4730 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6523 = perr_ic_index_ff == 7'h3b; // @[ifu_mem_ctl.scala 693:102] + wire _T_6525 = _T_6523 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6526 = _T_6522 | _T_6525; // @[ifu_mem_ctl.scala 693:81] + wire _T_6527 = _T_6526 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6537 = _T_4731 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6538 = perr_ic_index_ff == 7'h3c; // @[ifu_mem_ctl.scala 693:102] + wire _T_6540 = _T_6538 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6541 = _T_6537 | _T_6540; // @[ifu_mem_ctl.scala 693:81] + wire _T_6542 = _T_6541 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6552 = _T_4732 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6553 = perr_ic_index_ff == 7'h3d; // @[ifu_mem_ctl.scala 693:102] + wire _T_6555 = _T_6553 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6556 = _T_6552 | _T_6555; // @[ifu_mem_ctl.scala 693:81] + wire _T_6557 = _T_6556 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6567 = _T_4733 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6568 = perr_ic_index_ff == 7'h3e; // @[ifu_mem_ctl.scala 693:102] + wire _T_6570 = _T_6568 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6571 = _T_6567 | _T_6570; // @[ifu_mem_ctl.scala 693:81] + wire _T_6572 = _T_6571 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6582 = _T_4734 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6583 = perr_ic_index_ff == 7'h3f; // @[ifu_mem_ctl.scala 693:102] + wire _T_6585 = _T_6583 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6586 = _T_6582 | _T_6585; // @[ifu_mem_ctl.scala 693:81] + wire _T_6587 = _T_6586 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6597 = _T_4703 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6600 = _T_6118 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6601 = _T_6597 | _T_6600; // @[ifu_mem_ctl.scala 693:81] + wire _T_6602 = _T_6601 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6612 = _T_4704 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6615 = _T_6133 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6616 = _T_6612 | _T_6615; // @[ifu_mem_ctl.scala 693:81] + wire _T_6617 = _T_6616 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6627 = _T_4705 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6630 = _T_6148 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6631 = _T_6627 | _T_6630; // @[ifu_mem_ctl.scala 693:81] + wire _T_6632 = _T_6631 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6642 = _T_4706 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6645 = _T_6163 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6646 = _T_6642 | _T_6645; // @[ifu_mem_ctl.scala 693:81] + wire _T_6647 = _T_6646 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6657 = _T_4707 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6660 = _T_6178 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6661 = _T_6657 | _T_6660; // @[ifu_mem_ctl.scala 693:81] + wire _T_6662 = _T_6661 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6672 = _T_4708 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6675 = _T_6193 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6676 = _T_6672 | _T_6675; // @[ifu_mem_ctl.scala 693:81] + wire _T_6677 = _T_6676 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6687 = _T_4709 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6690 = _T_6208 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6691 = _T_6687 | _T_6690; // @[ifu_mem_ctl.scala 693:81] + wire _T_6692 = _T_6691 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6702 = _T_4710 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6705 = _T_6223 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6706 = _T_6702 | _T_6705; // @[ifu_mem_ctl.scala 693:81] + wire _T_6707 = _T_6706 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6717 = _T_4711 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6720 = _T_6238 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6721 = _T_6717 | _T_6720; // @[ifu_mem_ctl.scala 693:81] + wire _T_6722 = _T_6721 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6732 = _T_4712 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6735 = _T_6253 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6736 = _T_6732 | _T_6735; // @[ifu_mem_ctl.scala 693:81] + wire _T_6737 = _T_6736 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6747 = _T_4713 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6750 = _T_6268 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6751 = _T_6747 | _T_6750; // @[ifu_mem_ctl.scala 693:81] + wire _T_6752 = _T_6751 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6762 = _T_4714 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6765 = _T_6283 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6766 = _T_6762 | _T_6765; // @[ifu_mem_ctl.scala 693:81] + wire _T_6767 = _T_6766 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6777 = _T_4715 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6780 = _T_6298 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6781 = _T_6777 | _T_6780; // @[ifu_mem_ctl.scala 693:81] + wire _T_6782 = _T_6781 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6792 = _T_4716 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6795 = _T_6313 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6796 = _T_6792 | _T_6795; // @[ifu_mem_ctl.scala 693:81] + wire _T_6797 = _T_6796 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6807 = _T_4717 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6810 = _T_6328 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6811 = _T_6807 | _T_6810; // @[ifu_mem_ctl.scala 693:81] + wire _T_6812 = _T_6811 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6822 = _T_4718 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6825 = _T_6343 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6826 = _T_6822 | _T_6825; // @[ifu_mem_ctl.scala 693:81] + wire _T_6827 = _T_6826 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6837 = _T_4719 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6840 = _T_6358 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6841 = _T_6837 | _T_6840; // @[ifu_mem_ctl.scala 693:81] + wire _T_6842 = _T_6841 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6852 = _T_4720 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6855 = _T_6373 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6856 = _T_6852 | _T_6855; // @[ifu_mem_ctl.scala 693:81] + wire _T_6857 = _T_6856 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6867 = _T_4721 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6870 = _T_6388 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6871 = _T_6867 | _T_6870; // @[ifu_mem_ctl.scala 693:81] + wire _T_6872 = _T_6871 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6882 = _T_4722 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6885 = _T_6403 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6886 = _T_6882 | _T_6885; // @[ifu_mem_ctl.scala 693:81] + wire _T_6887 = _T_6886 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6897 = _T_4723 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6900 = _T_6418 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6901 = _T_6897 | _T_6900; // @[ifu_mem_ctl.scala 693:81] + wire _T_6902 = _T_6901 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6912 = _T_4724 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6915 = _T_6433 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6916 = _T_6912 | _T_6915; // @[ifu_mem_ctl.scala 693:81] + wire _T_6917 = _T_6916 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6927 = _T_4725 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6930 = _T_6448 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6931 = _T_6927 | _T_6930; // @[ifu_mem_ctl.scala 693:81] + wire _T_6932 = _T_6931 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6942 = _T_4726 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6945 = _T_6463 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6946 = _T_6942 | _T_6945; // @[ifu_mem_ctl.scala 693:81] + wire _T_6947 = _T_6946 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6957 = _T_4727 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6960 = _T_6478 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6961 = _T_6957 | _T_6960; // @[ifu_mem_ctl.scala 693:81] + wire _T_6962 = _T_6961 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6972 = _T_4728 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6975 = _T_6493 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6976 = _T_6972 | _T_6975; // @[ifu_mem_ctl.scala 693:81] + wire _T_6977 = _T_6976 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_6987 = _T_4729 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_6990 = _T_6508 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_6991 = _T_6987 | _T_6990; // @[ifu_mem_ctl.scala 693:81] + wire _T_6992 = _T_6991 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7002 = _T_4730 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7005 = _T_6523 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7006 = _T_7002 | _T_7005; // @[ifu_mem_ctl.scala 693:81] + wire _T_7007 = _T_7006 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7017 = _T_4731 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7020 = _T_6538 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7021 = _T_7017 | _T_7020; // @[ifu_mem_ctl.scala 693:81] + wire _T_7022 = _T_7021 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7032 = _T_4732 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7035 = _T_6553 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7036 = _T_7032 | _T_7035; // @[ifu_mem_ctl.scala 693:81] + wire _T_7037 = _T_7036 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7047 = _T_4733 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7050 = _T_6568 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7051 = _T_7047 | _T_7050; // @[ifu_mem_ctl.scala 693:81] + wire _T_7052 = _T_7051 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7062 = _T_4734 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7065 = _T_6583 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7066 = _T_7062 | _T_7065; // @[ifu_mem_ctl.scala 693:81] + wire _T_7067 = _T_7066 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7077 = _T_4735 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7078 = perr_ic_index_ff == 7'h40; // @[ifu_mem_ctl.scala 693:102] + wire _T_7080 = _T_7078 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7081 = _T_7077 | _T_7080; // @[ifu_mem_ctl.scala 693:81] + wire _T_7082 = _T_7081 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7092 = _T_4736 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7093 = perr_ic_index_ff == 7'h41; // @[ifu_mem_ctl.scala 693:102] + wire _T_7095 = _T_7093 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7096 = _T_7092 | _T_7095; // @[ifu_mem_ctl.scala 693:81] + wire _T_7097 = _T_7096 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7107 = _T_4737 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7108 = perr_ic_index_ff == 7'h42; // @[ifu_mem_ctl.scala 693:102] + wire _T_7110 = _T_7108 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7111 = _T_7107 | _T_7110; // @[ifu_mem_ctl.scala 693:81] + wire _T_7112 = _T_7111 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7122 = _T_4738 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7123 = perr_ic_index_ff == 7'h43; // @[ifu_mem_ctl.scala 693:102] + wire _T_7125 = _T_7123 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7126 = _T_7122 | _T_7125; // @[ifu_mem_ctl.scala 693:81] + wire _T_7127 = _T_7126 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7137 = _T_4739 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7138 = perr_ic_index_ff == 7'h44; // @[ifu_mem_ctl.scala 693:102] + wire _T_7140 = _T_7138 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7141 = _T_7137 | _T_7140; // @[ifu_mem_ctl.scala 693:81] + wire _T_7142 = _T_7141 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7152 = _T_4740 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7153 = perr_ic_index_ff == 7'h45; // @[ifu_mem_ctl.scala 693:102] + wire _T_7155 = _T_7153 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7156 = _T_7152 | _T_7155; // @[ifu_mem_ctl.scala 693:81] + wire _T_7157 = _T_7156 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7167 = _T_4741 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7168 = perr_ic_index_ff == 7'h46; // @[ifu_mem_ctl.scala 693:102] + wire _T_7170 = _T_7168 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7171 = _T_7167 | _T_7170; // @[ifu_mem_ctl.scala 693:81] + wire _T_7172 = _T_7171 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7182 = _T_4742 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7183 = perr_ic_index_ff == 7'h47; // @[ifu_mem_ctl.scala 693:102] + wire _T_7185 = _T_7183 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7186 = _T_7182 | _T_7185; // @[ifu_mem_ctl.scala 693:81] + wire _T_7187 = _T_7186 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7197 = _T_4743 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7198 = perr_ic_index_ff == 7'h48; // @[ifu_mem_ctl.scala 693:102] + wire _T_7200 = _T_7198 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7201 = _T_7197 | _T_7200; // @[ifu_mem_ctl.scala 693:81] + wire _T_7202 = _T_7201 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7212 = _T_4744 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7213 = perr_ic_index_ff == 7'h49; // @[ifu_mem_ctl.scala 693:102] + wire _T_7215 = _T_7213 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7216 = _T_7212 | _T_7215; // @[ifu_mem_ctl.scala 693:81] + wire _T_7217 = _T_7216 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7227 = _T_4745 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7228 = perr_ic_index_ff == 7'h4a; // @[ifu_mem_ctl.scala 693:102] + wire _T_7230 = _T_7228 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7231 = _T_7227 | _T_7230; // @[ifu_mem_ctl.scala 693:81] + wire _T_7232 = _T_7231 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7242 = _T_4746 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7243 = perr_ic_index_ff == 7'h4b; // @[ifu_mem_ctl.scala 693:102] + wire _T_7245 = _T_7243 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7246 = _T_7242 | _T_7245; // @[ifu_mem_ctl.scala 693:81] + wire _T_7247 = _T_7246 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7257 = _T_4747 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7258 = perr_ic_index_ff == 7'h4c; // @[ifu_mem_ctl.scala 693:102] + wire _T_7260 = _T_7258 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7261 = _T_7257 | _T_7260; // @[ifu_mem_ctl.scala 693:81] + wire _T_7262 = _T_7261 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7272 = _T_4748 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7273 = perr_ic_index_ff == 7'h4d; // @[ifu_mem_ctl.scala 693:102] + wire _T_7275 = _T_7273 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7276 = _T_7272 | _T_7275; // @[ifu_mem_ctl.scala 693:81] + wire _T_7277 = _T_7276 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7287 = _T_4749 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7288 = perr_ic_index_ff == 7'h4e; // @[ifu_mem_ctl.scala 693:102] + wire _T_7290 = _T_7288 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7291 = _T_7287 | _T_7290; // @[ifu_mem_ctl.scala 693:81] + wire _T_7292 = _T_7291 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7302 = _T_4750 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7303 = perr_ic_index_ff == 7'h4f; // @[ifu_mem_ctl.scala 693:102] + wire _T_7305 = _T_7303 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7306 = _T_7302 | _T_7305; // @[ifu_mem_ctl.scala 693:81] + wire _T_7307 = _T_7306 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7317 = _T_4751 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7318 = perr_ic_index_ff == 7'h50; // @[ifu_mem_ctl.scala 693:102] + wire _T_7320 = _T_7318 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7321 = _T_7317 | _T_7320; // @[ifu_mem_ctl.scala 693:81] + wire _T_7322 = _T_7321 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7332 = _T_4752 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7333 = perr_ic_index_ff == 7'h51; // @[ifu_mem_ctl.scala 693:102] + wire _T_7335 = _T_7333 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7336 = _T_7332 | _T_7335; // @[ifu_mem_ctl.scala 693:81] + wire _T_7337 = _T_7336 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7347 = _T_4753 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7348 = perr_ic_index_ff == 7'h52; // @[ifu_mem_ctl.scala 693:102] + wire _T_7350 = _T_7348 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7351 = _T_7347 | _T_7350; // @[ifu_mem_ctl.scala 693:81] + wire _T_7352 = _T_7351 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7362 = _T_4754 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7363 = perr_ic_index_ff == 7'h53; // @[ifu_mem_ctl.scala 693:102] + wire _T_7365 = _T_7363 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7366 = _T_7362 | _T_7365; // @[ifu_mem_ctl.scala 693:81] + wire _T_7367 = _T_7366 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7377 = _T_4755 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7378 = perr_ic_index_ff == 7'h54; // @[ifu_mem_ctl.scala 693:102] + wire _T_7380 = _T_7378 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7381 = _T_7377 | _T_7380; // @[ifu_mem_ctl.scala 693:81] + wire _T_7382 = _T_7381 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7392 = _T_4756 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7393 = perr_ic_index_ff == 7'h55; // @[ifu_mem_ctl.scala 693:102] + wire _T_7395 = _T_7393 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7396 = _T_7392 | _T_7395; // @[ifu_mem_ctl.scala 693:81] + wire _T_7397 = _T_7396 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7407 = _T_4757 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7408 = perr_ic_index_ff == 7'h56; // @[ifu_mem_ctl.scala 693:102] + wire _T_7410 = _T_7408 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7411 = _T_7407 | _T_7410; // @[ifu_mem_ctl.scala 693:81] + wire _T_7412 = _T_7411 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7422 = _T_4758 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7423 = perr_ic_index_ff == 7'h57; // @[ifu_mem_ctl.scala 693:102] + wire _T_7425 = _T_7423 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7426 = _T_7422 | _T_7425; // @[ifu_mem_ctl.scala 693:81] + wire _T_7427 = _T_7426 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7437 = _T_4759 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7438 = perr_ic_index_ff == 7'h58; // @[ifu_mem_ctl.scala 693:102] + wire _T_7440 = _T_7438 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7441 = _T_7437 | _T_7440; // @[ifu_mem_ctl.scala 693:81] + wire _T_7442 = _T_7441 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7452 = _T_4760 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7453 = perr_ic_index_ff == 7'h59; // @[ifu_mem_ctl.scala 693:102] + wire _T_7455 = _T_7453 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7456 = _T_7452 | _T_7455; // @[ifu_mem_ctl.scala 693:81] + wire _T_7457 = _T_7456 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7467 = _T_4761 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7468 = perr_ic_index_ff == 7'h5a; // @[ifu_mem_ctl.scala 693:102] + wire _T_7470 = _T_7468 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7471 = _T_7467 | _T_7470; // @[ifu_mem_ctl.scala 693:81] + wire _T_7472 = _T_7471 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7482 = _T_4762 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7483 = perr_ic_index_ff == 7'h5b; // @[ifu_mem_ctl.scala 693:102] + wire _T_7485 = _T_7483 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7486 = _T_7482 | _T_7485; // @[ifu_mem_ctl.scala 693:81] + wire _T_7487 = _T_7486 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7497 = _T_4763 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7498 = perr_ic_index_ff == 7'h5c; // @[ifu_mem_ctl.scala 693:102] + wire _T_7500 = _T_7498 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7501 = _T_7497 | _T_7500; // @[ifu_mem_ctl.scala 693:81] + wire _T_7502 = _T_7501 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7512 = _T_4764 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7513 = perr_ic_index_ff == 7'h5d; // @[ifu_mem_ctl.scala 693:102] + wire _T_7515 = _T_7513 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7516 = _T_7512 | _T_7515; // @[ifu_mem_ctl.scala 693:81] + wire _T_7517 = _T_7516 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7527 = _T_4765 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7528 = perr_ic_index_ff == 7'h5e; // @[ifu_mem_ctl.scala 693:102] + wire _T_7530 = _T_7528 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7531 = _T_7527 | _T_7530; // @[ifu_mem_ctl.scala 693:81] + wire _T_7532 = _T_7531 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7542 = _T_4766 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7543 = perr_ic_index_ff == 7'h5f; // @[ifu_mem_ctl.scala 693:102] + wire _T_7545 = _T_7543 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7546 = _T_7542 | _T_7545; // @[ifu_mem_ctl.scala 693:81] + wire _T_7547 = _T_7546 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7557 = _T_4735 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7560 = _T_7078 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7561 = _T_7557 | _T_7560; // @[ifu_mem_ctl.scala 693:81] + wire _T_7562 = _T_7561 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7572 = _T_4736 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7575 = _T_7093 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7576 = _T_7572 | _T_7575; // @[ifu_mem_ctl.scala 693:81] + wire _T_7577 = _T_7576 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7587 = _T_4737 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7590 = _T_7108 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7591 = _T_7587 | _T_7590; // @[ifu_mem_ctl.scala 693:81] + wire _T_7592 = _T_7591 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7602 = _T_4738 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7605 = _T_7123 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7606 = _T_7602 | _T_7605; // @[ifu_mem_ctl.scala 693:81] + wire _T_7607 = _T_7606 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7617 = _T_4739 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7620 = _T_7138 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7621 = _T_7617 | _T_7620; // @[ifu_mem_ctl.scala 693:81] + wire _T_7622 = _T_7621 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7632 = _T_4740 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7635 = _T_7153 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7636 = _T_7632 | _T_7635; // @[ifu_mem_ctl.scala 693:81] + wire _T_7637 = _T_7636 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7647 = _T_4741 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7650 = _T_7168 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7651 = _T_7647 | _T_7650; // @[ifu_mem_ctl.scala 693:81] + wire _T_7652 = _T_7651 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7662 = _T_4742 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7665 = _T_7183 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7666 = _T_7662 | _T_7665; // @[ifu_mem_ctl.scala 693:81] + wire _T_7667 = _T_7666 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7677 = _T_4743 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7680 = _T_7198 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7681 = _T_7677 | _T_7680; // @[ifu_mem_ctl.scala 693:81] + wire _T_7682 = _T_7681 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7692 = _T_4744 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7695 = _T_7213 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7696 = _T_7692 | _T_7695; // @[ifu_mem_ctl.scala 693:81] + wire _T_7697 = _T_7696 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7707 = _T_4745 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7710 = _T_7228 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7711 = _T_7707 | _T_7710; // @[ifu_mem_ctl.scala 693:81] + wire _T_7712 = _T_7711 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7722 = _T_4746 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7725 = _T_7243 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7726 = _T_7722 | _T_7725; // @[ifu_mem_ctl.scala 693:81] + wire _T_7727 = _T_7726 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7737 = _T_4747 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7740 = _T_7258 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7741 = _T_7737 | _T_7740; // @[ifu_mem_ctl.scala 693:81] + wire _T_7742 = _T_7741 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7752 = _T_4748 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7755 = _T_7273 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7756 = _T_7752 | _T_7755; // @[ifu_mem_ctl.scala 693:81] + wire _T_7757 = _T_7756 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7767 = _T_4749 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7770 = _T_7288 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7771 = _T_7767 | _T_7770; // @[ifu_mem_ctl.scala 693:81] + wire _T_7772 = _T_7771 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7782 = _T_4750 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7785 = _T_7303 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7786 = _T_7782 | _T_7785; // @[ifu_mem_ctl.scala 693:81] + wire _T_7787 = _T_7786 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7797 = _T_4751 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7800 = _T_7318 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7801 = _T_7797 | _T_7800; // @[ifu_mem_ctl.scala 693:81] + wire _T_7802 = _T_7801 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7812 = _T_4752 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7815 = _T_7333 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7816 = _T_7812 | _T_7815; // @[ifu_mem_ctl.scala 693:81] + wire _T_7817 = _T_7816 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7827 = _T_4753 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7830 = _T_7348 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7831 = _T_7827 | _T_7830; // @[ifu_mem_ctl.scala 693:81] + wire _T_7832 = _T_7831 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7842 = _T_4754 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7845 = _T_7363 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7846 = _T_7842 | _T_7845; // @[ifu_mem_ctl.scala 693:81] + wire _T_7847 = _T_7846 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7857 = _T_4755 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7860 = _T_7378 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7861 = _T_7857 | _T_7860; // @[ifu_mem_ctl.scala 693:81] + wire _T_7862 = _T_7861 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7872 = _T_4756 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7875 = _T_7393 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7876 = _T_7872 | _T_7875; // @[ifu_mem_ctl.scala 693:81] + wire _T_7877 = _T_7876 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7887 = _T_4757 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7890 = _T_7408 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7891 = _T_7887 | _T_7890; // @[ifu_mem_ctl.scala 693:81] + wire _T_7892 = _T_7891 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7902 = _T_4758 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7905 = _T_7423 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7906 = _T_7902 | _T_7905; // @[ifu_mem_ctl.scala 693:81] + wire _T_7907 = _T_7906 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7917 = _T_4759 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7920 = _T_7438 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7921 = _T_7917 | _T_7920; // @[ifu_mem_ctl.scala 693:81] + wire _T_7922 = _T_7921 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7932 = _T_4760 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7935 = _T_7453 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7936 = _T_7932 | _T_7935; // @[ifu_mem_ctl.scala 693:81] + wire _T_7937 = _T_7936 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7947 = _T_4761 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7950 = _T_7468 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7951 = _T_7947 | _T_7950; // @[ifu_mem_ctl.scala 693:81] + wire _T_7952 = _T_7951 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7962 = _T_4762 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7965 = _T_7483 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7966 = _T_7962 | _T_7965; // @[ifu_mem_ctl.scala 693:81] + wire _T_7967 = _T_7966 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7977 = _T_4763 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7980 = _T_7498 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7981 = _T_7977 | _T_7980; // @[ifu_mem_ctl.scala 693:81] + wire _T_7982 = _T_7981 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_7992 = _T_4764 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_7995 = _T_7513 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_7996 = _T_7992 | _T_7995; // @[ifu_mem_ctl.scala 693:81] + wire _T_7997 = _T_7996 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8007 = _T_4765 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8010 = _T_7528 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8011 = _T_8007 | _T_8010; // @[ifu_mem_ctl.scala 693:81] + wire _T_8012 = _T_8011 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8022 = _T_4766 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8025 = _T_7543 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8026 = _T_8022 | _T_8025; // @[ifu_mem_ctl.scala 693:81] + wire _T_8027 = _T_8026 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8037 = _T_4767 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8038 = perr_ic_index_ff == 7'h60; // @[ifu_mem_ctl.scala 693:102] + wire _T_8040 = _T_8038 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8041 = _T_8037 | _T_8040; // @[ifu_mem_ctl.scala 693:81] + wire _T_8042 = _T_8041 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8052 = _T_4768 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8053 = perr_ic_index_ff == 7'h61; // @[ifu_mem_ctl.scala 693:102] + wire _T_8055 = _T_8053 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8056 = _T_8052 | _T_8055; // @[ifu_mem_ctl.scala 693:81] + wire _T_8057 = _T_8056 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8067 = _T_4769 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8068 = perr_ic_index_ff == 7'h62; // @[ifu_mem_ctl.scala 693:102] + wire _T_8070 = _T_8068 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8071 = _T_8067 | _T_8070; // @[ifu_mem_ctl.scala 693:81] + wire _T_8072 = _T_8071 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8082 = _T_4770 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8083 = perr_ic_index_ff == 7'h63; // @[ifu_mem_ctl.scala 693:102] + wire _T_8085 = _T_8083 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8086 = _T_8082 | _T_8085; // @[ifu_mem_ctl.scala 693:81] + wire _T_8087 = _T_8086 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8097 = _T_4771 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8098 = perr_ic_index_ff == 7'h64; // @[ifu_mem_ctl.scala 693:102] + wire _T_8100 = _T_8098 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8101 = _T_8097 | _T_8100; // @[ifu_mem_ctl.scala 693:81] + wire _T_8102 = _T_8101 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8112 = _T_4772 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8113 = perr_ic_index_ff == 7'h65; // @[ifu_mem_ctl.scala 693:102] + wire _T_8115 = _T_8113 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8116 = _T_8112 | _T_8115; // @[ifu_mem_ctl.scala 693:81] + wire _T_8117 = _T_8116 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8127 = _T_4773 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8128 = perr_ic_index_ff == 7'h66; // @[ifu_mem_ctl.scala 693:102] + wire _T_8130 = _T_8128 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8131 = _T_8127 | _T_8130; // @[ifu_mem_ctl.scala 693:81] + wire _T_8132 = _T_8131 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8142 = _T_4774 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8143 = perr_ic_index_ff == 7'h67; // @[ifu_mem_ctl.scala 693:102] + wire _T_8145 = _T_8143 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8146 = _T_8142 | _T_8145; // @[ifu_mem_ctl.scala 693:81] + wire _T_8147 = _T_8146 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8157 = _T_4775 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8158 = perr_ic_index_ff == 7'h68; // @[ifu_mem_ctl.scala 693:102] + wire _T_8160 = _T_8158 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8161 = _T_8157 | _T_8160; // @[ifu_mem_ctl.scala 693:81] + wire _T_8162 = _T_8161 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8172 = _T_4776 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8173 = perr_ic_index_ff == 7'h69; // @[ifu_mem_ctl.scala 693:102] + wire _T_8175 = _T_8173 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8176 = _T_8172 | _T_8175; // @[ifu_mem_ctl.scala 693:81] + wire _T_8177 = _T_8176 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8187 = _T_4777 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8188 = perr_ic_index_ff == 7'h6a; // @[ifu_mem_ctl.scala 693:102] + wire _T_8190 = _T_8188 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8191 = _T_8187 | _T_8190; // @[ifu_mem_ctl.scala 693:81] + wire _T_8192 = _T_8191 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8202 = _T_4778 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8203 = perr_ic_index_ff == 7'h6b; // @[ifu_mem_ctl.scala 693:102] + wire _T_8205 = _T_8203 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8206 = _T_8202 | _T_8205; // @[ifu_mem_ctl.scala 693:81] + wire _T_8207 = _T_8206 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8217 = _T_4779 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8218 = perr_ic_index_ff == 7'h6c; // @[ifu_mem_ctl.scala 693:102] + wire _T_8220 = _T_8218 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8221 = _T_8217 | _T_8220; // @[ifu_mem_ctl.scala 693:81] + wire _T_8222 = _T_8221 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8232 = _T_4780 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8233 = perr_ic_index_ff == 7'h6d; // @[ifu_mem_ctl.scala 693:102] + wire _T_8235 = _T_8233 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8236 = _T_8232 | _T_8235; // @[ifu_mem_ctl.scala 693:81] + wire _T_8237 = _T_8236 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8247 = _T_4781 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8248 = perr_ic_index_ff == 7'h6e; // @[ifu_mem_ctl.scala 693:102] + wire _T_8250 = _T_8248 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8251 = _T_8247 | _T_8250; // @[ifu_mem_ctl.scala 693:81] + wire _T_8252 = _T_8251 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8262 = _T_4782 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8263 = perr_ic_index_ff == 7'h6f; // @[ifu_mem_ctl.scala 693:102] + wire _T_8265 = _T_8263 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8266 = _T_8262 | _T_8265; // @[ifu_mem_ctl.scala 693:81] + wire _T_8267 = _T_8266 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8277 = _T_4783 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8278 = perr_ic_index_ff == 7'h70; // @[ifu_mem_ctl.scala 693:102] + wire _T_8280 = _T_8278 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8281 = _T_8277 | _T_8280; // @[ifu_mem_ctl.scala 693:81] + wire _T_8282 = _T_8281 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8292 = _T_4784 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8293 = perr_ic_index_ff == 7'h71; // @[ifu_mem_ctl.scala 693:102] + wire _T_8295 = _T_8293 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8296 = _T_8292 | _T_8295; // @[ifu_mem_ctl.scala 693:81] + wire _T_8297 = _T_8296 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8307 = _T_4785 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8308 = perr_ic_index_ff == 7'h72; // @[ifu_mem_ctl.scala 693:102] + wire _T_8310 = _T_8308 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8311 = _T_8307 | _T_8310; // @[ifu_mem_ctl.scala 693:81] + wire _T_8312 = _T_8311 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8322 = _T_4786 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8323 = perr_ic_index_ff == 7'h73; // @[ifu_mem_ctl.scala 693:102] + wire _T_8325 = _T_8323 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8326 = _T_8322 | _T_8325; // @[ifu_mem_ctl.scala 693:81] + wire _T_8327 = _T_8326 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8337 = _T_4787 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8338 = perr_ic_index_ff == 7'h74; // @[ifu_mem_ctl.scala 693:102] + wire _T_8340 = _T_8338 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8341 = _T_8337 | _T_8340; // @[ifu_mem_ctl.scala 693:81] + wire _T_8342 = _T_8341 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8352 = _T_4788 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8353 = perr_ic_index_ff == 7'h75; // @[ifu_mem_ctl.scala 693:102] + wire _T_8355 = _T_8353 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8356 = _T_8352 | _T_8355; // @[ifu_mem_ctl.scala 693:81] + wire _T_8357 = _T_8356 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8367 = _T_4789 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8368 = perr_ic_index_ff == 7'h76; // @[ifu_mem_ctl.scala 693:102] + wire _T_8370 = _T_8368 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8371 = _T_8367 | _T_8370; // @[ifu_mem_ctl.scala 693:81] + wire _T_8372 = _T_8371 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8382 = _T_4790 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8383 = perr_ic_index_ff == 7'h77; // @[ifu_mem_ctl.scala 693:102] + wire _T_8385 = _T_8383 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8386 = _T_8382 | _T_8385; // @[ifu_mem_ctl.scala 693:81] + wire _T_8387 = _T_8386 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8397 = _T_4791 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8398 = perr_ic_index_ff == 7'h78; // @[ifu_mem_ctl.scala 693:102] + wire _T_8400 = _T_8398 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8401 = _T_8397 | _T_8400; // @[ifu_mem_ctl.scala 693:81] + wire _T_8402 = _T_8401 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8412 = _T_4792 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8413 = perr_ic_index_ff == 7'h79; // @[ifu_mem_ctl.scala 693:102] + wire _T_8415 = _T_8413 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8416 = _T_8412 | _T_8415; // @[ifu_mem_ctl.scala 693:81] + wire _T_8417 = _T_8416 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8427 = _T_4793 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8428 = perr_ic_index_ff == 7'h7a; // @[ifu_mem_ctl.scala 693:102] + wire _T_8430 = _T_8428 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8431 = _T_8427 | _T_8430; // @[ifu_mem_ctl.scala 693:81] + wire _T_8432 = _T_8431 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8442 = _T_4794 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8443 = perr_ic_index_ff == 7'h7b; // @[ifu_mem_ctl.scala 693:102] + wire _T_8445 = _T_8443 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8446 = _T_8442 | _T_8445; // @[ifu_mem_ctl.scala 693:81] + wire _T_8447 = _T_8446 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8457 = _T_4795 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8458 = perr_ic_index_ff == 7'h7c; // @[ifu_mem_ctl.scala 693:102] + wire _T_8460 = _T_8458 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8461 = _T_8457 | _T_8460; // @[ifu_mem_ctl.scala 693:81] + wire _T_8462 = _T_8461 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8472 = _T_4796 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8473 = perr_ic_index_ff == 7'h7d; // @[ifu_mem_ctl.scala 693:102] + wire _T_8475 = _T_8473 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8476 = _T_8472 | _T_8475; // @[ifu_mem_ctl.scala 693:81] + wire _T_8477 = _T_8476 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8487 = _T_4797 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8488 = perr_ic_index_ff == 7'h7e; // @[ifu_mem_ctl.scala 693:102] + wire _T_8490 = _T_8488 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8491 = _T_8487 | _T_8490; // @[ifu_mem_ctl.scala 693:81] + wire _T_8492 = _T_8491 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8502 = _T_4798 & ifu_tag_wren_ff[0]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8503 = perr_ic_index_ff == 7'h7f; // @[ifu_mem_ctl.scala 693:102] + wire _T_8505 = _T_8503 & perr_err_inv_way[0]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8506 = _T_8502 | _T_8505; // @[ifu_mem_ctl.scala 693:81] + wire _T_8507 = _T_8506 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8517 = _T_4767 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8520 = _T_8038 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8521 = _T_8517 | _T_8520; // @[ifu_mem_ctl.scala 693:81] + wire _T_8522 = _T_8521 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8532 = _T_4768 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8535 = _T_8053 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8536 = _T_8532 | _T_8535; // @[ifu_mem_ctl.scala 693:81] + wire _T_8537 = _T_8536 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8547 = _T_4769 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8550 = _T_8068 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8551 = _T_8547 | _T_8550; // @[ifu_mem_ctl.scala 693:81] + wire _T_8552 = _T_8551 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8562 = _T_4770 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8565 = _T_8083 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8566 = _T_8562 | _T_8565; // @[ifu_mem_ctl.scala 693:81] + wire _T_8567 = _T_8566 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8577 = _T_4771 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8580 = _T_8098 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8581 = _T_8577 | _T_8580; // @[ifu_mem_ctl.scala 693:81] + wire _T_8582 = _T_8581 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8592 = _T_4772 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8595 = _T_8113 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8596 = _T_8592 | _T_8595; // @[ifu_mem_ctl.scala 693:81] + wire _T_8597 = _T_8596 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8607 = _T_4773 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8610 = _T_8128 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8611 = _T_8607 | _T_8610; // @[ifu_mem_ctl.scala 693:81] + wire _T_8612 = _T_8611 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8622 = _T_4774 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8625 = _T_8143 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8626 = _T_8622 | _T_8625; // @[ifu_mem_ctl.scala 693:81] + wire _T_8627 = _T_8626 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8637 = _T_4775 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8640 = _T_8158 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8641 = _T_8637 | _T_8640; // @[ifu_mem_ctl.scala 693:81] + wire _T_8642 = _T_8641 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8652 = _T_4776 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8655 = _T_8173 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8656 = _T_8652 | _T_8655; // @[ifu_mem_ctl.scala 693:81] + wire _T_8657 = _T_8656 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8667 = _T_4777 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8670 = _T_8188 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8671 = _T_8667 | _T_8670; // @[ifu_mem_ctl.scala 693:81] + wire _T_8672 = _T_8671 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8682 = _T_4778 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8685 = _T_8203 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8686 = _T_8682 | _T_8685; // @[ifu_mem_ctl.scala 693:81] + wire _T_8687 = _T_8686 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8697 = _T_4779 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8700 = _T_8218 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8701 = _T_8697 | _T_8700; // @[ifu_mem_ctl.scala 693:81] + wire _T_8702 = _T_8701 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8712 = _T_4780 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8715 = _T_8233 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8716 = _T_8712 | _T_8715; // @[ifu_mem_ctl.scala 693:81] + wire _T_8717 = _T_8716 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8727 = _T_4781 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8730 = _T_8248 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8731 = _T_8727 | _T_8730; // @[ifu_mem_ctl.scala 693:81] + wire _T_8732 = _T_8731 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8742 = _T_4782 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8745 = _T_8263 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8746 = _T_8742 | _T_8745; // @[ifu_mem_ctl.scala 693:81] + wire _T_8747 = _T_8746 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8757 = _T_4783 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8760 = _T_8278 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8761 = _T_8757 | _T_8760; // @[ifu_mem_ctl.scala 693:81] + wire _T_8762 = _T_8761 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8772 = _T_4784 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8775 = _T_8293 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8776 = _T_8772 | _T_8775; // @[ifu_mem_ctl.scala 693:81] + wire _T_8777 = _T_8776 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8787 = _T_4785 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8790 = _T_8308 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8791 = _T_8787 | _T_8790; // @[ifu_mem_ctl.scala 693:81] + wire _T_8792 = _T_8791 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8802 = _T_4786 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8805 = _T_8323 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8806 = _T_8802 | _T_8805; // @[ifu_mem_ctl.scala 693:81] + wire _T_8807 = _T_8806 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8817 = _T_4787 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8820 = _T_8338 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8821 = _T_8817 | _T_8820; // @[ifu_mem_ctl.scala 693:81] + wire _T_8822 = _T_8821 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8832 = _T_4788 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8835 = _T_8353 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8836 = _T_8832 | _T_8835; // @[ifu_mem_ctl.scala 693:81] + wire _T_8837 = _T_8836 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8847 = _T_4789 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8850 = _T_8368 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8851 = _T_8847 | _T_8850; // @[ifu_mem_ctl.scala 693:81] + wire _T_8852 = _T_8851 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8862 = _T_4790 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8865 = _T_8383 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8866 = _T_8862 | _T_8865; // @[ifu_mem_ctl.scala 693:81] + wire _T_8867 = _T_8866 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8877 = _T_4791 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8880 = _T_8398 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8881 = _T_8877 | _T_8880; // @[ifu_mem_ctl.scala 693:81] + wire _T_8882 = _T_8881 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8892 = _T_4792 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8895 = _T_8413 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8896 = _T_8892 | _T_8895; // @[ifu_mem_ctl.scala 693:81] + wire _T_8897 = _T_8896 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8907 = _T_4793 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8910 = _T_8428 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8911 = _T_8907 | _T_8910; // @[ifu_mem_ctl.scala 693:81] + wire _T_8912 = _T_8911 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8922 = _T_4794 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8925 = _T_8443 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8926 = _T_8922 | _T_8925; // @[ifu_mem_ctl.scala 693:81] + wire _T_8927 = _T_8926 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8937 = _T_4795 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8940 = _T_8458 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8941 = _T_8937 | _T_8940; // @[ifu_mem_ctl.scala 693:81] + wire _T_8942 = _T_8941 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8952 = _T_4796 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8955 = _T_8473 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8956 = _T_8952 | _T_8955; // @[ifu_mem_ctl.scala 693:81] + wire _T_8957 = _T_8956 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8967 = _T_4797 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8970 = _T_8488 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8971 = _T_8967 | _T_8970; // @[ifu_mem_ctl.scala 693:81] + wire _T_8972 = _T_8971 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_8982 = _T_4798 & ifu_tag_wren_ff[1]; // @[ifu_mem_ctl.scala 693:59] + wire _T_8985 = _T_8503 & perr_err_inv_way[1]; // @[ifu_mem_ctl.scala 693:124] + wire _T_8986 = _T_8982 | _T_8985; // @[ifu_mem_ctl.scala 693:81] + wire _T_8987 = _T_8986 | reset_all_tags; // @[ifu_mem_ctl.scala 693:147] + wire _T_9789 = ~fetch_uncacheable_ff; // @[ifu_mem_ctl.scala 747:63] + wire _T_9790 = _T_9789 & ifc_fetch_req_f; // @[ifu_mem_ctl.scala 747:85] + wire [1:0] _T_9792 = _T_9790 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + reg _T_9799; // @[ifu_mem_ctl.scala 752:70] + reg _T_9800; // @[ifu_mem_ctl.scala 753:69] + reg _T_9801; // @[ifu_mem_ctl.scala 754:72] + wire _T_9802 = ~ifu_bus_arready_ff; // @[ifu_mem_ctl.scala 755:93] + wire _T_9803 = ifu_bus_arvalid_ff & _T_9802; // @[ifu_mem_ctl.scala 755:91] + reg _T_9805; // @[ifu_mem_ctl.scala 755:71] + reg _T_9806; // @[ifu_mem_ctl.scala 756:71] + wire _T_9809 = io_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_dicawics[15:14] == 2'h3; // @[ifu_mem_ctl.scala 763:84] + wire _T_9811 = io_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_dicawics[15:14] == 2'h2; // @[ifu_mem_ctl.scala 763:150] + wire _T_9813 = io_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_dicawics[15:14] == 2'h1; // @[ifu_mem_ctl.scala 764:63] + wire _T_9815 = io_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_dicawics[15:14] == 2'h0; // @[ifu_mem_ctl.scala 764:129] + wire [3:0] _T_9818 = {_T_9809,_T_9811,_T_9813,_T_9815}; // @[Cat.scala 29:58] + reg _T_9826; // @[ifu_mem_ctl.scala 770:79] + wire [31:0] _T_9836 = {io_ifc_fetch_addr_bf,1'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_9837 = _T_9836 | 32'h7fffffff; // @[ifu_mem_ctl.scala 773:65] + wire _T_9839 = _T_9837 == 32'h7fffffff; // @[ifu_mem_ctl.scala 773:96] + wire [31:0] _T_9843 = _T_9836 | 32'h3fffffff; // @[ifu_mem_ctl.scala 774:65] + wire _T_9845 = _T_9843 == 32'hffffffff; // @[ifu_mem_ctl.scala 774:96] + wire _T_9847 = _T_9839 | _T_9845; // @[ifu_mem_ctl.scala 773:162] + wire [31:0] _T_9849 = _T_9836 | 32'h1fffffff; // @[ifu_mem_ctl.scala 775:65] + wire _T_9851 = _T_9849 == 32'hbfffffff; // @[ifu_mem_ctl.scala 775:96] + wire _T_9853 = _T_9847 | _T_9851; // @[ifu_mem_ctl.scala 774:162] + wire [31:0] _T_9855 = _T_9836 | 32'hfffffff; // @[ifu_mem_ctl.scala 776:65] + wire _T_9857 = _T_9855 == 32'h8fffffff; // @[ifu_mem_ctl.scala 776:96] + wire ifc_region_acc_okay = _T_9853 | _T_9857; // @[ifu_mem_ctl.scala 775:162] + wire _T_9884 = ~ifc_region_acc_okay; // @[ifu_mem_ctl.scala 781:65] + wire _T_9885 = _T_3939 & _T_9884; // @[ifu_mem_ctl.scala 781:63] + wire ifc_region_acc_fault_memory_bf = _T_9885 & io_ifc_fetch_req_bf; // @[ifu_mem_ctl.scala 781:86] + rvclkhdr rvclkhdr ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + rvclkhdr rvclkhdr_4 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_4_io_l1clk), + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en), + .io_scan_mode(rvclkhdr_4_io_scan_mode) + ); + rvclkhdr rvclkhdr_5 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_5_io_l1clk), + .io_clk(rvclkhdr_5_io_clk), + .io_en(rvclkhdr_5_io_en), + .io_scan_mode(rvclkhdr_5_io_scan_mode) + ); + rvclkhdr rvclkhdr_6 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_6_io_l1clk), + .io_clk(rvclkhdr_6_io_clk), + .io_en(rvclkhdr_6_io_en), + .io_scan_mode(rvclkhdr_6_io_scan_mode) + ); + rvclkhdr rvclkhdr_7 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_7_io_l1clk), + .io_clk(rvclkhdr_7_io_clk), + .io_en(rvclkhdr_7_io_en), + .io_scan_mode(rvclkhdr_7_io_scan_mode) + ); + rvclkhdr rvclkhdr_8 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_8_io_l1clk), + .io_clk(rvclkhdr_8_io_clk), + .io_en(rvclkhdr_8_io_en), + .io_scan_mode(rvclkhdr_8_io_scan_mode) + ); + rvclkhdr rvclkhdr_9 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_9_io_l1clk), + .io_clk(rvclkhdr_9_io_clk), + .io_en(rvclkhdr_9_io_en), + .io_scan_mode(rvclkhdr_9_io_scan_mode) + ); + rvclkhdr rvclkhdr_10 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_10_io_l1clk), + .io_clk(rvclkhdr_10_io_clk), + .io_en(rvclkhdr_10_io_en), + .io_scan_mode(rvclkhdr_10_io_scan_mode) + ); + rvclkhdr rvclkhdr_11 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_11_io_l1clk), + .io_clk(rvclkhdr_11_io_clk), + .io_en(rvclkhdr_11_io_en), + .io_scan_mode(rvclkhdr_11_io_scan_mode) + ); + rvclkhdr rvclkhdr_12 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_12_io_l1clk), + .io_clk(rvclkhdr_12_io_clk), + .io_en(rvclkhdr_12_io_en), + .io_scan_mode(rvclkhdr_12_io_scan_mode) + ); + rvclkhdr rvclkhdr_13 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_13_io_l1clk), + .io_clk(rvclkhdr_13_io_clk), + .io_en(rvclkhdr_13_io_en), + .io_scan_mode(rvclkhdr_13_io_scan_mode) + ); + rvclkhdr rvclkhdr_14 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_14_io_l1clk), + .io_clk(rvclkhdr_14_io_clk), + .io_en(rvclkhdr_14_io_en), + .io_scan_mode(rvclkhdr_14_io_scan_mode) + ); + rvclkhdr rvclkhdr_15 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_15_io_l1clk), + .io_clk(rvclkhdr_15_io_clk), + .io_en(rvclkhdr_15_io_en), + .io_scan_mode(rvclkhdr_15_io_scan_mode) + ); + rvclkhdr rvclkhdr_16 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_16_io_l1clk), + .io_clk(rvclkhdr_16_io_clk), + .io_en(rvclkhdr_16_io_en), + .io_scan_mode(rvclkhdr_16_io_scan_mode) + ); + rvclkhdr rvclkhdr_17 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_17_io_l1clk), + .io_clk(rvclkhdr_17_io_clk), + .io_en(rvclkhdr_17_io_en), + .io_scan_mode(rvclkhdr_17_io_scan_mode) + ); + rvclkhdr rvclkhdr_18 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_18_io_l1clk), + .io_clk(rvclkhdr_18_io_clk), + .io_en(rvclkhdr_18_io_en), + .io_scan_mode(rvclkhdr_18_io_scan_mode) + ); + rvclkhdr rvclkhdr_19 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_19_io_l1clk), + .io_clk(rvclkhdr_19_io_clk), + .io_en(rvclkhdr_19_io_en), + .io_scan_mode(rvclkhdr_19_io_scan_mode) + ); + rvclkhdr rvclkhdr_20 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_20_io_l1clk), + .io_clk(rvclkhdr_20_io_clk), + .io_en(rvclkhdr_20_io_en), + .io_scan_mode(rvclkhdr_20_io_scan_mode) + ); + rvclkhdr rvclkhdr_21 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_21_io_l1clk), + .io_clk(rvclkhdr_21_io_clk), + .io_en(rvclkhdr_21_io_en), + .io_scan_mode(rvclkhdr_21_io_scan_mode) + ); + rvclkhdr rvclkhdr_22 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_22_io_l1clk), + .io_clk(rvclkhdr_22_io_clk), + .io_en(rvclkhdr_22_io_en), + .io_scan_mode(rvclkhdr_22_io_scan_mode) + ); + rvclkhdr rvclkhdr_23 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_23_io_l1clk), + .io_clk(rvclkhdr_23_io_clk), + .io_en(rvclkhdr_23_io_en), + .io_scan_mode(rvclkhdr_23_io_scan_mode) + ); + rvclkhdr rvclkhdr_24 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_24_io_l1clk), + .io_clk(rvclkhdr_24_io_clk), + .io_en(rvclkhdr_24_io_en), + .io_scan_mode(rvclkhdr_24_io_scan_mode) + ); + rvclkhdr rvclkhdr_25 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_25_io_l1clk), + .io_clk(rvclkhdr_25_io_clk), + .io_en(rvclkhdr_25_io_en), + .io_scan_mode(rvclkhdr_25_io_scan_mode) + ); + rvclkhdr rvclkhdr_26 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_26_io_l1clk), + .io_clk(rvclkhdr_26_io_clk), + .io_en(rvclkhdr_26_io_en), + .io_scan_mode(rvclkhdr_26_io_scan_mode) + ); + rvclkhdr rvclkhdr_27 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_27_io_l1clk), + .io_clk(rvclkhdr_27_io_clk), + .io_en(rvclkhdr_27_io_en), + .io_scan_mode(rvclkhdr_27_io_scan_mode) + ); + rvclkhdr rvclkhdr_28 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_28_io_l1clk), + .io_clk(rvclkhdr_28_io_clk), + .io_en(rvclkhdr_28_io_en), + .io_scan_mode(rvclkhdr_28_io_scan_mode) + ); + rvclkhdr rvclkhdr_29 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_29_io_l1clk), + .io_clk(rvclkhdr_29_io_clk), + .io_en(rvclkhdr_29_io_en), + .io_scan_mode(rvclkhdr_29_io_scan_mode) + ); + rvclkhdr rvclkhdr_30 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_30_io_l1clk), + .io_clk(rvclkhdr_30_io_clk), + .io_en(rvclkhdr_30_io_en), + .io_scan_mode(rvclkhdr_30_io_scan_mode) + ); + rvclkhdr rvclkhdr_31 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_31_io_l1clk), + .io_clk(rvclkhdr_31_io_clk), + .io_en(rvclkhdr_31_io_en), + .io_scan_mode(rvclkhdr_31_io_scan_mode) + ); + rvclkhdr rvclkhdr_32 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_32_io_l1clk), + .io_clk(rvclkhdr_32_io_clk), + .io_en(rvclkhdr_32_io_en), + .io_scan_mode(rvclkhdr_32_io_scan_mode) + ); + rvclkhdr rvclkhdr_33 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_33_io_l1clk), + .io_clk(rvclkhdr_33_io_clk), + .io_en(rvclkhdr_33_io_en), + .io_scan_mode(rvclkhdr_33_io_scan_mode) + ); + rvclkhdr rvclkhdr_34 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_34_io_l1clk), + .io_clk(rvclkhdr_34_io_clk), + .io_en(rvclkhdr_34_io_en), + .io_scan_mode(rvclkhdr_34_io_scan_mode) + ); + rvclkhdr rvclkhdr_35 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_35_io_l1clk), + .io_clk(rvclkhdr_35_io_clk), + .io_en(rvclkhdr_35_io_en), + .io_scan_mode(rvclkhdr_35_io_scan_mode) + ); + rvclkhdr rvclkhdr_36 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_36_io_l1clk), + .io_clk(rvclkhdr_36_io_clk), + .io_en(rvclkhdr_36_io_en), + .io_scan_mode(rvclkhdr_36_io_scan_mode) + ); + rvclkhdr rvclkhdr_37 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_37_io_l1clk), + .io_clk(rvclkhdr_37_io_clk), + .io_en(rvclkhdr_37_io_en), + .io_scan_mode(rvclkhdr_37_io_scan_mode) + ); + rvclkhdr rvclkhdr_38 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_38_io_l1clk), + .io_clk(rvclkhdr_38_io_clk), + .io_en(rvclkhdr_38_io_en), + .io_scan_mode(rvclkhdr_38_io_scan_mode) + ); + rvclkhdr rvclkhdr_39 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_39_io_l1clk), + .io_clk(rvclkhdr_39_io_clk), + .io_en(rvclkhdr_39_io_en), + .io_scan_mode(rvclkhdr_39_io_scan_mode) + ); + rvclkhdr rvclkhdr_40 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_40_io_l1clk), + .io_clk(rvclkhdr_40_io_clk), + .io_en(rvclkhdr_40_io_en), + .io_scan_mode(rvclkhdr_40_io_scan_mode) + ); + rvclkhdr rvclkhdr_41 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_41_io_l1clk), + .io_clk(rvclkhdr_41_io_clk), + .io_en(rvclkhdr_41_io_en), + .io_scan_mode(rvclkhdr_41_io_scan_mode) + ); + rvclkhdr rvclkhdr_42 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_42_io_l1clk), + .io_clk(rvclkhdr_42_io_clk), + .io_en(rvclkhdr_42_io_en), + .io_scan_mode(rvclkhdr_42_io_scan_mode) + ); + rvclkhdr rvclkhdr_43 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_43_io_l1clk), + .io_clk(rvclkhdr_43_io_clk), + .io_en(rvclkhdr_43_io_en), + .io_scan_mode(rvclkhdr_43_io_scan_mode) + ); + rvclkhdr rvclkhdr_44 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_44_io_l1clk), + .io_clk(rvclkhdr_44_io_clk), + .io_en(rvclkhdr_44_io_en), + .io_scan_mode(rvclkhdr_44_io_scan_mode) + ); + rvclkhdr rvclkhdr_45 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_45_io_l1clk), + .io_clk(rvclkhdr_45_io_clk), + .io_en(rvclkhdr_45_io_en), + .io_scan_mode(rvclkhdr_45_io_scan_mode) + ); + rvclkhdr rvclkhdr_46 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_46_io_l1clk), + .io_clk(rvclkhdr_46_io_clk), + .io_en(rvclkhdr_46_io_en), + .io_scan_mode(rvclkhdr_46_io_scan_mode) + ); + rvclkhdr rvclkhdr_47 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_47_io_l1clk), + .io_clk(rvclkhdr_47_io_clk), + .io_en(rvclkhdr_47_io_en), + .io_scan_mode(rvclkhdr_47_io_scan_mode) + ); + rvclkhdr rvclkhdr_48 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_48_io_l1clk), + .io_clk(rvclkhdr_48_io_clk), + .io_en(rvclkhdr_48_io_en), + .io_scan_mode(rvclkhdr_48_io_scan_mode) + ); + rvclkhdr rvclkhdr_49 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_49_io_l1clk), + .io_clk(rvclkhdr_49_io_clk), + .io_en(rvclkhdr_49_io_en), + .io_scan_mode(rvclkhdr_49_io_scan_mode) + ); + rvclkhdr rvclkhdr_50 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_50_io_l1clk), + .io_clk(rvclkhdr_50_io_clk), + .io_en(rvclkhdr_50_io_en), + .io_scan_mode(rvclkhdr_50_io_scan_mode) + ); + rvclkhdr rvclkhdr_51 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_51_io_l1clk), + .io_clk(rvclkhdr_51_io_clk), + .io_en(rvclkhdr_51_io_en), + .io_scan_mode(rvclkhdr_51_io_scan_mode) + ); + rvclkhdr rvclkhdr_52 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_52_io_l1clk), + .io_clk(rvclkhdr_52_io_clk), + .io_en(rvclkhdr_52_io_en), + .io_scan_mode(rvclkhdr_52_io_scan_mode) + ); + rvclkhdr rvclkhdr_53 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_53_io_l1clk), + .io_clk(rvclkhdr_53_io_clk), + .io_en(rvclkhdr_53_io_en), + .io_scan_mode(rvclkhdr_53_io_scan_mode) + ); + rvclkhdr rvclkhdr_54 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_54_io_l1clk), + .io_clk(rvclkhdr_54_io_clk), + .io_en(rvclkhdr_54_io_en), + .io_scan_mode(rvclkhdr_54_io_scan_mode) + ); + rvclkhdr rvclkhdr_55 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_55_io_l1clk), + .io_clk(rvclkhdr_55_io_clk), + .io_en(rvclkhdr_55_io_en), + .io_scan_mode(rvclkhdr_55_io_scan_mode) + ); + rvclkhdr rvclkhdr_56 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_56_io_l1clk), + .io_clk(rvclkhdr_56_io_clk), + .io_en(rvclkhdr_56_io_en), + .io_scan_mode(rvclkhdr_56_io_scan_mode) + ); + rvclkhdr rvclkhdr_57 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_57_io_l1clk), + .io_clk(rvclkhdr_57_io_clk), + .io_en(rvclkhdr_57_io_en), + .io_scan_mode(rvclkhdr_57_io_scan_mode) + ); + rvclkhdr rvclkhdr_58 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_58_io_l1clk), + .io_clk(rvclkhdr_58_io_clk), + .io_en(rvclkhdr_58_io_en), + .io_scan_mode(rvclkhdr_58_io_scan_mode) + ); + rvclkhdr rvclkhdr_59 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_59_io_l1clk), + .io_clk(rvclkhdr_59_io_clk), + .io_en(rvclkhdr_59_io_en), + .io_scan_mode(rvclkhdr_59_io_scan_mode) + ); + rvclkhdr rvclkhdr_60 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_60_io_l1clk), + .io_clk(rvclkhdr_60_io_clk), + .io_en(rvclkhdr_60_io_en), + .io_scan_mode(rvclkhdr_60_io_scan_mode) + ); + rvclkhdr rvclkhdr_61 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_61_io_l1clk), + .io_clk(rvclkhdr_61_io_clk), + .io_en(rvclkhdr_61_io_en), + .io_scan_mode(rvclkhdr_61_io_scan_mode) + ); + rvclkhdr rvclkhdr_62 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_62_io_l1clk), + .io_clk(rvclkhdr_62_io_clk), + .io_en(rvclkhdr_62_io_en), + .io_scan_mode(rvclkhdr_62_io_scan_mode) + ); + rvclkhdr rvclkhdr_63 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_63_io_l1clk), + .io_clk(rvclkhdr_63_io_clk), + .io_en(rvclkhdr_63_io_en), + .io_scan_mode(rvclkhdr_63_io_scan_mode) + ); + rvclkhdr rvclkhdr_64 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_64_io_l1clk), + .io_clk(rvclkhdr_64_io_clk), + .io_en(rvclkhdr_64_io_en), + .io_scan_mode(rvclkhdr_64_io_scan_mode) + ); + rvclkhdr rvclkhdr_65 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_65_io_l1clk), + .io_clk(rvclkhdr_65_io_clk), + .io_en(rvclkhdr_65_io_en), + .io_scan_mode(rvclkhdr_65_io_scan_mode) + ); + rvclkhdr rvclkhdr_66 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_66_io_l1clk), + .io_clk(rvclkhdr_66_io_clk), + .io_en(rvclkhdr_66_io_en), + .io_scan_mode(rvclkhdr_66_io_scan_mode) + ); + rvclkhdr rvclkhdr_67 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_67_io_l1clk), + .io_clk(rvclkhdr_67_io_clk), + .io_en(rvclkhdr_67_io_en), + .io_scan_mode(rvclkhdr_67_io_scan_mode) + ); + rvclkhdr rvclkhdr_68 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_68_io_l1clk), + .io_clk(rvclkhdr_68_io_clk), + .io_en(rvclkhdr_68_io_en), + .io_scan_mode(rvclkhdr_68_io_scan_mode) + ); + rvclkhdr rvclkhdr_69 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_69_io_l1clk), + .io_clk(rvclkhdr_69_io_clk), + .io_en(rvclkhdr_69_io_en), + .io_scan_mode(rvclkhdr_69_io_scan_mode) + ); + rvclkhdr rvclkhdr_70 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_70_io_l1clk), + .io_clk(rvclkhdr_70_io_clk), + .io_en(rvclkhdr_70_io_en), + .io_scan_mode(rvclkhdr_70_io_scan_mode) + ); + rvclkhdr rvclkhdr_71 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_71_io_l1clk), + .io_clk(rvclkhdr_71_io_clk), + .io_en(rvclkhdr_71_io_en), + .io_scan_mode(rvclkhdr_71_io_scan_mode) + ); + rvclkhdr rvclkhdr_72 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_72_io_l1clk), + .io_clk(rvclkhdr_72_io_clk), + .io_en(rvclkhdr_72_io_en), + .io_scan_mode(rvclkhdr_72_io_scan_mode) + ); + rvclkhdr rvclkhdr_73 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_73_io_l1clk), + .io_clk(rvclkhdr_73_io_clk), + .io_en(rvclkhdr_73_io_en), + .io_scan_mode(rvclkhdr_73_io_scan_mode) + ); + rvclkhdr rvclkhdr_74 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_74_io_l1clk), + .io_clk(rvclkhdr_74_io_clk), + .io_en(rvclkhdr_74_io_en), + .io_scan_mode(rvclkhdr_74_io_scan_mode) + ); + rvclkhdr rvclkhdr_75 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_75_io_l1clk), + .io_clk(rvclkhdr_75_io_clk), + .io_en(rvclkhdr_75_io_en), + .io_scan_mode(rvclkhdr_75_io_scan_mode) + ); + rvclkhdr rvclkhdr_76 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_76_io_l1clk), + .io_clk(rvclkhdr_76_io_clk), + .io_en(rvclkhdr_76_io_en), + .io_scan_mode(rvclkhdr_76_io_scan_mode) + ); + rvclkhdr rvclkhdr_77 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_77_io_l1clk), + .io_clk(rvclkhdr_77_io_clk), + .io_en(rvclkhdr_77_io_en), + .io_scan_mode(rvclkhdr_77_io_scan_mode) + ); + rvclkhdr rvclkhdr_78 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_78_io_l1clk), + .io_clk(rvclkhdr_78_io_clk), + .io_en(rvclkhdr_78_io_en), + .io_scan_mode(rvclkhdr_78_io_scan_mode) + ); + rvclkhdr rvclkhdr_79 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_79_io_l1clk), + .io_clk(rvclkhdr_79_io_clk), + .io_en(rvclkhdr_79_io_en), + .io_scan_mode(rvclkhdr_79_io_scan_mode) + ); + rvclkhdr rvclkhdr_80 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_80_io_l1clk), + .io_clk(rvclkhdr_80_io_clk), + .io_en(rvclkhdr_80_io_en), + .io_scan_mode(rvclkhdr_80_io_scan_mode) + ); + rvclkhdr rvclkhdr_81 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_81_io_l1clk), + .io_clk(rvclkhdr_81_io_clk), + .io_en(rvclkhdr_81_io_en), + .io_scan_mode(rvclkhdr_81_io_scan_mode) + ); + rvclkhdr rvclkhdr_82 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_82_io_l1clk), + .io_clk(rvclkhdr_82_io_clk), + .io_en(rvclkhdr_82_io_en), + .io_scan_mode(rvclkhdr_82_io_scan_mode) + ); + rvclkhdr rvclkhdr_83 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_83_io_l1clk), + .io_clk(rvclkhdr_83_io_clk), + .io_en(rvclkhdr_83_io_en), + .io_scan_mode(rvclkhdr_83_io_scan_mode) + ); + rvclkhdr rvclkhdr_84 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_84_io_l1clk), + .io_clk(rvclkhdr_84_io_clk), + .io_en(rvclkhdr_84_io_en), + .io_scan_mode(rvclkhdr_84_io_scan_mode) + ); + rvclkhdr rvclkhdr_85 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_85_io_l1clk), + .io_clk(rvclkhdr_85_io_clk), + .io_en(rvclkhdr_85_io_en), + .io_scan_mode(rvclkhdr_85_io_scan_mode) + ); + rvclkhdr rvclkhdr_86 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_86_io_l1clk), + .io_clk(rvclkhdr_86_io_clk), + .io_en(rvclkhdr_86_io_en), + .io_scan_mode(rvclkhdr_86_io_scan_mode) + ); + rvclkhdr rvclkhdr_87 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_87_io_l1clk), + .io_clk(rvclkhdr_87_io_clk), + .io_en(rvclkhdr_87_io_en), + .io_scan_mode(rvclkhdr_87_io_scan_mode) + ); + rvclkhdr rvclkhdr_88 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_88_io_l1clk), + .io_clk(rvclkhdr_88_io_clk), + .io_en(rvclkhdr_88_io_en), + .io_scan_mode(rvclkhdr_88_io_scan_mode) + ); + rvclkhdr rvclkhdr_89 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_89_io_l1clk), + .io_clk(rvclkhdr_89_io_clk), + .io_en(rvclkhdr_89_io_en), + .io_scan_mode(rvclkhdr_89_io_scan_mode) + ); + rvclkhdr rvclkhdr_90 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_90_io_l1clk), + .io_clk(rvclkhdr_90_io_clk), + .io_en(rvclkhdr_90_io_en), + .io_scan_mode(rvclkhdr_90_io_scan_mode) + ); + rvclkhdr rvclkhdr_91 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_91_io_l1clk), + .io_clk(rvclkhdr_91_io_clk), + .io_en(rvclkhdr_91_io_en), + .io_scan_mode(rvclkhdr_91_io_scan_mode) + ); + rvclkhdr rvclkhdr_92 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_92_io_l1clk), + .io_clk(rvclkhdr_92_io_clk), + .io_en(rvclkhdr_92_io_en), + .io_scan_mode(rvclkhdr_92_io_scan_mode) + ); + rvclkhdr rvclkhdr_93 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_93_io_l1clk), + .io_clk(rvclkhdr_93_io_clk), + .io_en(rvclkhdr_93_io_en), + .io_scan_mode(rvclkhdr_93_io_scan_mode) + ); + assign io_dec_mem_ctrl_ifu_pmu_ic_miss = _T_9799; // @[ifu_mem_ctl.scala 752:35] + assign io_dec_mem_ctrl_ifu_pmu_ic_hit = _T_9800; // @[ifu_mem_ctl.scala 753:34] + assign io_dec_mem_ctrl_ifu_pmu_bus_error = _T_9801; // @[ifu_mem_ctl.scala 754:37] + assign io_dec_mem_ctrl_ifu_pmu_bus_busy = _T_9805; // @[ifu_mem_ctl.scala 755:36] + assign io_dec_mem_ctrl_ifu_pmu_bus_trxn = _T_9806; // @[ifu_mem_ctl.scala 756:36] + assign io_dec_mem_ctrl_ifu_ic_error_start = _T_1200 | ic_rd_parity_final_err; // @[ifu_mem_ctl.scala 256:38] + assign io_dec_mem_ctrl_ifu_iccm_rd_ecc_single_err = _T_3911 & ifc_fetch_req_f; // @[ifu_mem_ctl.scala 612:46] + assign io_dec_mem_ctrl_ifu_ic_debug_rd_data = _T_1212; // @[ifu_mem_ctl.scala 263:40] + assign io_dec_mem_ctrl_ifu_ic_debug_rd_data_valid = _T_9826; // @[ifu_mem_ctl.scala 770:46] + assign io_dec_mem_ctrl_ifu_miss_state_idle = miss_state == 3'h0; // @[ifu_mem_ctl.scala 235:39] + assign io_ifu_axi_ar_valid = ifu_bus_cmd_valid; // @[ifu_mem_ctl.scala 497:23] + assign io_ifu_axi_ar_bits_id = bus_rd_addr_count & _T_2608; // @[ifu_mem_ctl.scala 498:25] + assign io_ifu_axi_ar_bits_addr = _T_2610 & _T_2612; // @[ifu_mem_ctl.scala 499:27] + assign io_ifu_axi_ar_bits_region = ifu_ic_req_addr_f[28:25]; // @[ifu_mem_ctl.scala 502:29] + assign io_ifu_axi_r_ready = 1'h1; // @[ifu_mem_ctl.scala 504:22] + assign io_iccm_rw_addr = _T_3110 ? io_dma_mem_ctl_dma_mem_addr[15:1] : _T_3117; // @[ifu_mem_ctl.scala 599:19] + assign io_iccm_buf_correct_ecc = iccm_correct_ecc & _T_2497; // @[ifu_mem_ctl.scala 395:27] + assign io_iccm_correction_state = _T_2526 ? 1'h0 : _GEN_42; // @[ifu_mem_ctl.scala 430:28 ifu_mem_ctl.scala 442:32 ifu_mem_ctl.scala 449:32 ifu_mem_ctl.scala 456:32] + assign io_iccm_wren = _T_2710 | iccm_correct_ecc; // @[ifu_mem_ctl.scala 569:16] + assign io_iccm_rden = _T_2714 | _T_2715; // @[ifu_mem_ctl.scala 570:16] + assign io_iccm_wr_size = _T_2720 & io_dma_mem_ctl_dma_mem_sz; // @[ifu_mem_ctl.scala 572:19] + assign io_iccm_wr_data = _T_3092 ? _T_3093 : _T_3100; // @[ifu_mem_ctl.scala 576:19] + assign io_ic_rw_addr = _T_340 | _T_341; // @[ifu_mem_ctl.scala 244:17] + assign io_ic_tag_valid = ic_tag_valid_unq & _T_9792; // @[ifu_mem_ctl.scala 747:19] + assign io_ic_wr_en = bus_ic_wr_en & _T_3974; // @[ifu_mem_ctl.scala 635:15] + assign io_ic_rd_en = _T_3966 | _T_3971; // @[ifu_mem_ctl.scala 626:15] + assign io_ic_wr_data_0 = ic_wr_16bytes_data[70:0]; // @[ifu_mem_ctl.scala 253:17] + assign io_ic_wr_data_1 = ic_wr_16bytes_data[141:71]; // @[ifu_mem_ctl.scala 253:17] + assign io_ic_debug_wr_data = io_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wrdata; // @[ifu_mem_ctl.scala 254:23] + assign io_ic_debug_addr = io_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_dicawics[9:0]; // @[ifu_mem_ctl.scala 759:20] + assign io_ic_debug_rd_en = io_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[ifu_mem_ctl.scala 761:21] + assign io_ic_debug_wr_en = io_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[ifu_mem_ctl.scala 762:21] + assign io_ic_debug_tag_array = io_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_dicawics[16]; // @[ifu_mem_ctl.scala 760:25] + assign io_ic_debug_way = _T_9818[1:0]; // @[ifu_mem_ctl.scala 763:19] + assign io_ic_premux_data = ic_premux_data_temp[63:0]; // @[ifu_mem_ctl.scala 295:21] + assign io_ic_sel_premux_data = fetch_req_iccm_f | sel_byp_data; // @[ifu_mem_ctl.scala 296:25] + assign io_ifu_ic_mb_empty = _T_328 | _T_231; // @[ifu_mem_ctl.scala 234:22] + assign io_ic_dma_active = _T_11 | io_dec_mem_ctrl_dec_tlu_flush_err_wb; // @[ifu_mem_ctl.scala 97:20] + assign io_ic_write_stall = write_ic_16_bytes & _T_3988; // @[ifu_mem_ctl.scala 636:21] + assign io_iccm_dma_ecc_error = iccm_dma_ecc_error; // @[ifu_mem_ctl.scala 595:25] + assign io_iccm_dma_rvalid = iccm_dma_rvalid_temp; // @[ifu_mem_ctl.scala 593:22] + assign io_iccm_dma_rdata = iccm_dma_rdata_temp; // @[ifu_mem_ctl.scala 597:21] + assign io_iccm_dma_rtag = iccm_dma_rtag_temp; // @[ifu_mem_ctl.scala 588:20] + assign io_iccm_ready = _T_2706 & _T_2700; // @[ifu_mem_ctl.scala 567:17] + assign io_iccm_rd_ecc_double_err = iccm_dma_ecc_error_in & ifc_iccm_access_f; // @[ifu_mem_ctl.scala 613:29] + assign io_iccm_dma_sb_error = _T_3 & dma_iccm_req_f; // @[ifu_mem_ctl.scala 95:24] + assign io_ic_hit_f = _T_263 | _T_264; // @[ifu_mem_ctl.scala 195:15] + assign io_ic_access_fault_f = _T_2492 & _T_319; // @[ifu_mem_ctl.scala 301:24] + assign io_ic_access_fault_type_f = io_iccm_rd_ecc_double_err ? 2'h1 : _T_1278; // @[ifu_mem_ctl.scala 302:29] + assign io_ifu_async_error_start = io_dec_mem_ctrl_ifu_iccm_rd_ecc_single_err | io_dec_mem_ctrl_ifu_ic_error_start; // @[ifu_mem_ctl.scala 96:28] + assign io_ic_fetch_val_f = {_T_1286,fetch_req_f_qual}; // @[ifu_mem_ctl.scala 305:21] + assign io_ic_data_f = ic_final_data[31:0]; // @[ifu_mem_ctl.scala 298:16] + assign rvclkhdr_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_io_en = ic_debug_rd_en_ff; // @[lib.scala 345:16] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_1_io_en = io_ic_debug_rd_en | io_ic_debug_wr_en; // @[lib.scala 345:16] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_2_io_en = _T_2 | scnd_miss_req; // @[lib.scala 345:16] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_3_io_en = _T_309 | io_dec_mem_ctrl_dec_tlu_force_halt; // @[lib.scala 345:16] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_4_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_4_io_en = bus_ifu_wr_en & _T_1289; // @[lib.scala 345:16] + assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_5_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_5_io_en = bus_ifu_wr_en & _T_1290; // @[lib.scala 345:16] + assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_6_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_6_io_en = bus_ifu_wr_en & _T_1291; // @[lib.scala 345:16] + assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_7_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_7_io_en = bus_ifu_wr_en & _T_1292; // @[lib.scala 345:16] + assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_8_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_8_io_en = bus_ifu_wr_en & _T_1293; // @[lib.scala 345:16] + assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_9_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_9_io_en = bus_ifu_wr_en & _T_1294; // @[lib.scala 345:16] + assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_10_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_10_io_en = bus_ifu_wr_en & _T_1295; // @[lib.scala 345:16] + assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_11_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_11_io_en = bus_ifu_wr_en & _T_1296; // @[lib.scala 345:16] + assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_12_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_12_io_en = bus_ifu_wr_en & _T_1289; // @[lib.scala 345:16] + assign rvclkhdr_12_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_13_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_13_io_en = bus_ifu_wr_en & _T_1290; // @[lib.scala 345:16] + assign rvclkhdr_13_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_14_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_14_io_en = bus_ifu_wr_en & _T_1291; // @[lib.scala 345:16] + assign rvclkhdr_14_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_15_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_15_io_en = bus_ifu_wr_en & _T_1292; // @[lib.scala 345:16] + assign rvclkhdr_15_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_16_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_16_io_en = bus_ifu_wr_en & _T_1293; // @[lib.scala 345:16] + assign rvclkhdr_16_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_17_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_17_io_en = bus_ifu_wr_en & _T_1294; // @[lib.scala 345:16] + assign rvclkhdr_17_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_18_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_18_io_en = bus_ifu_wr_en & _T_1295; // @[lib.scala 345:16] + assign rvclkhdr_18_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_19_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_19_io_en = bus_ifu_wr_en & _T_1296; // @[lib.scala 345:16] + assign rvclkhdr_19_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_20_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_20_io_en = bus_ifu_wr_en & _T_1289; // @[lib.scala 345:16] + assign rvclkhdr_20_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_21_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_21_io_en = bus_ifu_wr_en & _T_1290; // @[lib.scala 345:16] + assign rvclkhdr_21_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_22_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_22_io_en = bus_ifu_wr_en & _T_1291; // @[lib.scala 345:16] + assign rvclkhdr_22_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_23_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_23_io_en = bus_ifu_wr_en & _T_1292; // @[lib.scala 345:16] + assign rvclkhdr_23_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_24_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_24_io_en = bus_ifu_wr_en & _T_1293; // @[lib.scala 345:16] + assign rvclkhdr_24_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_25_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_25_io_en = bus_ifu_wr_en & _T_1294; // @[lib.scala 345:16] + assign rvclkhdr_25_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_26_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_26_io_en = bus_ifu_wr_en & _T_1295; // @[lib.scala 345:16] + assign rvclkhdr_26_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_27_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_27_io_en = bus_ifu_wr_en & _T_1296; // @[lib.scala 345:16] + assign rvclkhdr_27_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_28_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_28_io_en = bus_ifu_wr_en & _T_1289; // @[lib.scala 345:16] + assign rvclkhdr_28_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_29_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_29_io_en = bus_ifu_wr_en & _T_1290; // @[lib.scala 345:16] + assign rvclkhdr_29_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_30_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_30_io_en = bus_ifu_wr_en & _T_1291; // @[lib.scala 345:16] + assign rvclkhdr_30_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_31_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_31_io_en = bus_ifu_wr_en & _T_1292; // @[lib.scala 345:16] + assign rvclkhdr_31_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_32_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_32_io_en = bus_ifu_wr_en & _T_1293; // @[lib.scala 345:16] + assign rvclkhdr_32_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_33_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_33_io_en = bus_ifu_wr_en & _T_1294; // @[lib.scala 345:16] + assign rvclkhdr_33_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_34_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_34_io_en = bus_ifu_wr_en & _T_1295; // @[lib.scala 345:16] + assign rvclkhdr_34_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_35_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_35_io_en = bus_ifu_wr_en & _T_1296; // @[lib.scala 345:16] + assign rvclkhdr_35_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_36_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_36_io_en = bus_ifu_wr_en & _T_1289; // @[lib.scala 345:16] + assign rvclkhdr_36_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_37_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_37_io_en = bus_ifu_wr_en & _T_1290; // @[lib.scala 345:16] + assign rvclkhdr_37_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_38_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_38_io_en = bus_ifu_wr_en & _T_1291; // @[lib.scala 345:16] + assign rvclkhdr_38_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_39_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_39_io_en = bus_ifu_wr_en & _T_1292; // @[lib.scala 345:16] + assign rvclkhdr_39_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_40_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_40_io_en = bus_ifu_wr_en & _T_1293; // @[lib.scala 345:16] + assign rvclkhdr_40_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_41_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_41_io_en = bus_ifu_wr_en & _T_1294; // @[lib.scala 345:16] + assign rvclkhdr_41_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_42_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_42_io_en = bus_ifu_wr_en & _T_1295; // @[lib.scala 345:16] + assign rvclkhdr_42_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_43_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_43_io_en = bus_ifu_wr_en & _T_1296; // @[lib.scala 345:16] + assign rvclkhdr_43_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_44_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_44_io_en = bus_ifu_wr_en & _T_1289; // @[lib.scala 345:16] + assign rvclkhdr_44_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_45_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_45_io_en = bus_ifu_wr_en & _T_1290; // @[lib.scala 345:16] + assign rvclkhdr_45_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_46_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_46_io_en = bus_ifu_wr_en & _T_1291; // @[lib.scala 345:16] + assign rvclkhdr_46_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_47_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_47_io_en = bus_ifu_wr_en & _T_1292; // @[lib.scala 345:16] + assign rvclkhdr_47_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_48_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_48_io_en = bus_ifu_wr_en & _T_1293; // @[lib.scala 345:16] + assign rvclkhdr_48_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_49_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_49_io_en = bus_ifu_wr_en & _T_1294; // @[lib.scala 345:16] + assign rvclkhdr_49_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_50_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_50_io_en = bus_ifu_wr_en & _T_1295; // @[lib.scala 345:16] + assign rvclkhdr_50_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_51_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_51_io_en = bus_ifu_wr_en & _T_1296; // @[lib.scala 345:16] + assign rvclkhdr_51_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_52_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_52_io_en = bus_ifu_wr_en & _T_1289; // @[lib.scala 345:16] + assign rvclkhdr_52_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_53_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_53_io_en = bus_ifu_wr_en & _T_1290; // @[lib.scala 345:16] + assign rvclkhdr_53_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_54_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_54_io_en = bus_ifu_wr_en & _T_1291; // @[lib.scala 345:16] + assign rvclkhdr_54_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_55_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_55_io_en = bus_ifu_wr_en & _T_1292; // @[lib.scala 345:16] + assign rvclkhdr_55_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_56_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_56_io_en = bus_ifu_wr_en & _T_1293; // @[lib.scala 345:16] + assign rvclkhdr_56_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_57_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_57_io_en = bus_ifu_wr_en & _T_1294; // @[lib.scala 345:16] + assign rvclkhdr_57_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_58_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_58_io_en = bus_ifu_wr_en & _T_1295; // @[lib.scala 345:16] + assign rvclkhdr_58_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_59_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_59_io_en = bus_ifu_wr_en & _T_1296; // @[lib.scala 345:16] + assign rvclkhdr_59_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_60_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_60_io_en = bus_ifu_wr_en & _T_1289; // @[lib.scala 345:16] + assign rvclkhdr_60_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_61_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_61_io_en = bus_ifu_wr_en & _T_1290; // @[lib.scala 345:16] + assign rvclkhdr_61_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_62_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_62_io_en = bus_ifu_wr_en & _T_1291; // @[lib.scala 345:16] + assign rvclkhdr_62_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_63_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_63_io_en = bus_ifu_wr_en & _T_1292; // @[lib.scala 345:16] + assign rvclkhdr_63_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_64_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_64_io_en = bus_ifu_wr_en & _T_1293; // @[lib.scala 345:16] + assign rvclkhdr_64_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_65_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_65_io_en = bus_ifu_wr_en & _T_1294; // @[lib.scala 345:16] + assign rvclkhdr_65_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_66_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_66_io_en = bus_ifu_wr_en & _T_1295; // @[lib.scala 345:16] + assign rvclkhdr_66_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_67_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_67_io_en = bus_ifu_wr_en & _T_1296; // @[lib.scala 345:16] + assign rvclkhdr_67_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_68_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_68_io_en = io_ifu_bus_clk_en; // @[lib.scala 345:16] + assign rvclkhdr_68_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_69_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_69_io_en = io_ifu_bus_clk_en | io_dec_mem_ctrl_dec_tlu_force_halt; // @[lib.scala 345:16] + assign rvclkhdr_69_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_70_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_70_io_en = ifu_status_wr_addr_ff[6:3] == 4'h0; // @[lib.scala 345:16] + assign rvclkhdr_70_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_71_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_71_io_en = ifu_status_wr_addr_ff[6:3] == 4'h1; // @[lib.scala 345:16] + assign rvclkhdr_71_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_72_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_72_io_en = ifu_status_wr_addr_ff[6:3] == 4'h2; // @[lib.scala 345:16] + assign rvclkhdr_72_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_73_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_73_io_en = ifu_status_wr_addr_ff[6:3] == 4'h3; // @[lib.scala 345:16] + assign rvclkhdr_73_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_74_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_74_io_en = ifu_status_wr_addr_ff[6:3] == 4'h4; // @[lib.scala 345:16] + assign rvclkhdr_74_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_75_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_75_io_en = ifu_status_wr_addr_ff[6:3] == 4'h5; // @[lib.scala 345:16] + assign rvclkhdr_75_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_76_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_76_io_en = ifu_status_wr_addr_ff[6:3] == 4'h6; // @[lib.scala 345:16] + assign rvclkhdr_76_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_77_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_77_io_en = ifu_status_wr_addr_ff[6:3] == 4'h7; // @[lib.scala 345:16] + assign rvclkhdr_77_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_78_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_78_io_en = ifu_status_wr_addr_ff[6:3] == 4'h8; // @[lib.scala 345:16] + assign rvclkhdr_78_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_79_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_79_io_en = ifu_status_wr_addr_ff[6:3] == 4'h9; // @[lib.scala 345:16] + assign rvclkhdr_79_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_80_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_80_io_en = ifu_status_wr_addr_ff[6:3] == 4'ha; // @[lib.scala 345:16] + assign rvclkhdr_80_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_81_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_81_io_en = ifu_status_wr_addr_ff[6:3] == 4'hb; // @[lib.scala 345:16] + assign rvclkhdr_81_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_82_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_82_io_en = ifu_status_wr_addr_ff[6:3] == 4'hc; // @[lib.scala 345:16] + assign rvclkhdr_82_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_83_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_83_io_en = ifu_status_wr_addr_ff[6:3] == 4'hd; // @[lib.scala 345:16] + assign rvclkhdr_83_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_84_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_84_io_en = ifu_status_wr_addr_ff[6:3] == 4'he; // @[lib.scala 345:16] + assign rvclkhdr_84_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_85_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_85_io_en = ifu_status_wr_addr_ff[6:3] == 4'hf; // @[lib.scala 345:16] + assign rvclkhdr_85_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_86_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_86_io_en = tag_valid_clken_0[0]; // @[lib.scala 345:16] + assign rvclkhdr_86_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_87_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_87_io_en = tag_valid_clken_0[1]; // @[lib.scala 345:16] + assign rvclkhdr_87_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_88_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_88_io_en = tag_valid_clken_1[0]; // @[lib.scala 345:16] + assign rvclkhdr_88_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_89_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_89_io_en = tag_valid_clken_1[1]; // @[lib.scala 345:16] + assign rvclkhdr_89_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_90_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_90_io_en = tag_valid_clken_2[0]; // @[lib.scala 345:16] + assign rvclkhdr_90_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_91_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_91_io_en = tag_valid_clken_2[1]; // @[lib.scala 345:16] + assign rvclkhdr_91_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_92_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_92_io_en = tag_valid_clken_3[0]; // @[lib.scala 345:16] + assign rvclkhdr_92_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_93_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_93_io_en = tag_valid_clken_3[1]; // @[lib.scala 345:16] + assign rvclkhdr_93_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + flush_final_f = _RAND_0[0:0]; + _RAND_1 = {1{`RANDOM}}; + ifc_fetch_req_f_raw = _RAND_1[0:0]; + _RAND_2 = {1{`RANDOM}}; + miss_state = _RAND_2[2:0]; + _RAND_3 = {1{`RANDOM}}; + scnd_miss_req_q = _RAND_3[0:0]; + _RAND_4 = {1{`RANDOM}}; + ifu_fetch_addr_int_f = _RAND_4[30:0]; + _RAND_5 = {1{`RANDOM}}; + ifc_iccm_access_f = _RAND_5[0:0]; + _RAND_6 = {1{`RANDOM}}; + iccm_dma_rvalid_in = _RAND_6[0:0]; + _RAND_7 = {1{`RANDOM}}; + dma_iccm_req_f = _RAND_7[0:0]; + _RAND_8 = {1{`RANDOM}}; + perr_state = _RAND_8[2:0]; + _RAND_9 = {1{`RANDOM}}; + err_stop_state = _RAND_9[1:0]; + _RAND_10 = {1{`RANDOM}}; + reset_all_tags = _RAND_10[0:0]; + _RAND_11 = {1{`RANDOM}}; + ifc_region_acc_fault_final_f = _RAND_11[0:0]; + _RAND_12 = {1{`RANDOM}}; + ifu_bus_rvalid_unq_ff = _RAND_12[0:0]; + _RAND_13 = {1{`RANDOM}}; + bus_ifu_bus_clk_en_ff = _RAND_13[0:0]; + _RAND_14 = {1{`RANDOM}}; + uncacheable_miss_ff = _RAND_14[0:0]; + _RAND_15 = {1{`RANDOM}}; + bus_data_beat_count = _RAND_15[2:0]; + _RAND_16 = {1{`RANDOM}}; + ic_miss_buff_data_valid = _RAND_16[7:0]; + _RAND_17 = {1{`RANDOM}}; + imb_ff = _RAND_17[30:0]; + _RAND_18 = {1{`RANDOM}}; + last_data_recieved_ff = _RAND_18[0:0]; + _RAND_19 = {1{`RANDOM}}; + sel_mb_addr_ff = _RAND_19[0:0]; + _RAND_20 = {1{`RANDOM}}; + way_status_mb_scnd_ff = _RAND_20[0:0]; + _RAND_21 = {1{`RANDOM}}; + ifu_ic_rw_int_addr_ff = _RAND_21[6:0]; + _RAND_22 = {1{`RANDOM}}; + way_status_out_0 = _RAND_22[0:0]; + _RAND_23 = {1{`RANDOM}}; + way_status_out_1 = _RAND_23[0:0]; + _RAND_24 = {1{`RANDOM}}; + way_status_out_2 = _RAND_24[0:0]; + _RAND_25 = {1{`RANDOM}}; + way_status_out_3 = _RAND_25[0:0]; + _RAND_26 = {1{`RANDOM}}; + way_status_out_4 = _RAND_26[0:0]; + _RAND_27 = {1{`RANDOM}}; + way_status_out_5 = _RAND_27[0:0]; + _RAND_28 = {1{`RANDOM}}; + way_status_out_6 = _RAND_28[0:0]; + _RAND_29 = {1{`RANDOM}}; + way_status_out_7 = _RAND_29[0:0]; + _RAND_30 = {1{`RANDOM}}; + way_status_out_8 = _RAND_30[0:0]; + _RAND_31 = {1{`RANDOM}}; + way_status_out_9 = _RAND_31[0:0]; + _RAND_32 = {1{`RANDOM}}; + way_status_out_10 = _RAND_32[0:0]; + _RAND_33 = {1{`RANDOM}}; + way_status_out_11 = _RAND_33[0:0]; + _RAND_34 = {1{`RANDOM}}; + way_status_out_12 = _RAND_34[0:0]; + _RAND_35 = {1{`RANDOM}}; + way_status_out_13 = _RAND_35[0:0]; + _RAND_36 = {1{`RANDOM}}; + way_status_out_14 = _RAND_36[0:0]; + _RAND_37 = {1{`RANDOM}}; + way_status_out_15 = _RAND_37[0:0]; + _RAND_38 = {1{`RANDOM}}; + way_status_out_16 = _RAND_38[0:0]; + _RAND_39 = {1{`RANDOM}}; + way_status_out_17 = _RAND_39[0:0]; + _RAND_40 = {1{`RANDOM}}; + way_status_out_18 = _RAND_40[0:0]; + _RAND_41 = {1{`RANDOM}}; + way_status_out_19 = _RAND_41[0:0]; + _RAND_42 = {1{`RANDOM}}; + way_status_out_20 = _RAND_42[0:0]; + _RAND_43 = {1{`RANDOM}}; + way_status_out_21 = _RAND_43[0:0]; + _RAND_44 = {1{`RANDOM}}; + way_status_out_22 = _RAND_44[0:0]; + _RAND_45 = {1{`RANDOM}}; + way_status_out_23 = _RAND_45[0:0]; + _RAND_46 = {1{`RANDOM}}; + way_status_out_24 = _RAND_46[0:0]; + _RAND_47 = {1{`RANDOM}}; + way_status_out_25 = _RAND_47[0:0]; + _RAND_48 = {1{`RANDOM}}; + way_status_out_26 = _RAND_48[0:0]; + _RAND_49 = {1{`RANDOM}}; + way_status_out_27 = _RAND_49[0:0]; + _RAND_50 = {1{`RANDOM}}; + way_status_out_28 = _RAND_50[0:0]; + _RAND_51 = {1{`RANDOM}}; + way_status_out_29 = _RAND_51[0:0]; + _RAND_52 = {1{`RANDOM}}; + way_status_out_30 = _RAND_52[0:0]; + _RAND_53 = {1{`RANDOM}}; + way_status_out_31 = _RAND_53[0:0]; + _RAND_54 = {1{`RANDOM}}; + way_status_out_32 = _RAND_54[0:0]; + _RAND_55 = {1{`RANDOM}}; + way_status_out_33 = _RAND_55[0:0]; + _RAND_56 = {1{`RANDOM}}; + way_status_out_34 = _RAND_56[0:0]; + _RAND_57 = {1{`RANDOM}}; + way_status_out_35 = _RAND_57[0:0]; + _RAND_58 = {1{`RANDOM}}; + way_status_out_36 = _RAND_58[0:0]; + _RAND_59 = {1{`RANDOM}}; + way_status_out_37 = _RAND_59[0:0]; + _RAND_60 = {1{`RANDOM}}; + way_status_out_38 = _RAND_60[0:0]; + _RAND_61 = {1{`RANDOM}}; + way_status_out_39 = _RAND_61[0:0]; + _RAND_62 = {1{`RANDOM}}; + way_status_out_40 = _RAND_62[0:0]; + _RAND_63 = {1{`RANDOM}}; + way_status_out_41 = _RAND_63[0:0]; + _RAND_64 = {1{`RANDOM}}; + way_status_out_42 = _RAND_64[0:0]; + _RAND_65 = {1{`RANDOM}}; + way_status_out_43 = _RAND_65[0:0]; + _RAND_66 = {1{`RANDOM}}; + way_status_out_44 = _RAND_66[0:0]; + _RAND_67 = {1{`RANDOM}}; + way_status_out_45 = _RAND_67[0:0]; + _RAND_68 = {1{`RANDOM}}; + way_status_out_46 = _RAND_68[0:0]; + _RAND_69 = {1{`RANDOM}}; + way_status_out_47 = _RAND_69[0:0]; + _RAND_70 = {1{`RANDOM}}; + way_status_out_48 = _RAND_70[0:0]; + _RAND_71 = {1{`RANDOM}}; + way_status_out_49 = _RAND_71[0:0]; + _RAND_72 = {1{`RANDOM}}; + way_status_out_50 = _RAND_72[0:0]; + _RAND_73 = {1{`RANDOM}}; + way_status_out_51 = _RAND_73[0:0]; + _RAND_74 = {1{`RANDOM}}; + way_status_out_52 = _RAND_74[0:0]; + _RAND_75 = {1{`RANDOM}}; + way_status_out_53 = _RAND_75[0:0]; + _RAND_76 = {1{`RANDOM}}; + way_status_out_54 = _RAND_76[0:0]; + _RAND_77 = {1{`RANDOM}}; + way_status_out_55 = _RAND_77[0:0]; + _RAND_78 = {1{`RANDOM}}; + way_status_out_56 = _RAND_78[0:0]; + _RAND_79 = {1{`RANDOM}}; + way_status_out_57 = _RAND_79[0:0]; + _RAND_80 = {1{`RANDOM}}; + way_status_out_58 = _RAND_80[0:0]; + _RAND_81 = {1{`RANDOM}}; + way_status_out_59 = _RAND_81[0:0]; + _RAND_82 = {1{`RANDOM}}; + way_status_out_60 = _RAND_82[0:0]; + _RAND_83 = {1{`RANDOM}}; + way_status_out_61 = _RAND_83[0:0]; + _RAND_84 = {1{`RANDOM}}; + way_status_out_62 = _RAND_84[0:0]; + _RAND_85 = {1{`RANDOM}}; + way_status_out_63 = _RAND_85[0:0]; + _RAND_86 = {1{`RANDOM}}; + way_status_out_64 = _RAND_86[0:0]; + _RAND_87 = {1{`RANDOM}}; + way_status_out_65 = _RAND_87[0:0]; + _RAND_88 = {1{`RANDOM}}; + way_status_out_66 = _RAND_88[0:0]; + _RAND_89 = {1{`RANDOM}}; + way_status_out_67 = _RAND_89[0:0]; + _RAND_90 = {1{`RANDOM}}; + way_status_out_68 = _RAND_90[0:0]; + _RAND_91 = {1{`RANDOM}}; + way_status_out_69 = _RAND_91[0:0]; + _RAND_92 = {1{`RANDOM}}; + way_status_out_70 = _RAND_92[0:0]; + _RAND_93 = {1{`RANDOM}}; + way_status_out_71 = _RAND_93[0:0]; + _RAND_94 = {1{`RANDOM}}; + way_status_out_72 = _RAND_94[0:0]; + _RAND_95 = {1{`RANDOM}}; + way_status_out_73 = _RAND_95[0:0]; + _RAND_96 = {1{`RANDOM}}; + way_status_out_74 = _RAND_96[0:0]; + _RAND_97 = {1{`RANDOM}}; + way_status_out_75 = _RAND_97[0:0]; + _RAND_98 = {1{`RANDOM}}; + way_status_out_76 = _RAND_98[0:0]; + _RAND_99 = {1{`RANDOM}}; + way_status_out_77 = _RAND_99[0:0]; + _RAND_100 = {1{`RANDOM}}; + way_status_out_78 = _RAND_100[0:0]; + _RAND_101 = {1{`RANDOM}}; + way_status_out_79 = _RAND_101[0:0]; + _RAND_102 = {1{`RANDOM}}; + way_status_out_80 = _RAND_102[0:0]; + _RAND_103 = {1{`RANDOM}}; + way_status_out_81 = _RAND_103[0:0]; + _RAND_104 = {1{`RANDOM}}; + way_status_out_82 = _RAND_104[0:0]; + _RAND_105 = {1{`RANDOM}}; + way_status_out_83 = _RAND_105[0:0]; + _RAND_106 = {1{`RANDOM}}; + way_status_out_84 = _RAND_106[0:0]; + _RAND_107 = {1{`RANDOM}}; + way_status_out_85 = _RAND_107[0:0]; + _RAND_108 = {1{`RANDOM}}; + way_status_out_86 = _RAND_108[0:0]; + _RAND_109 = {1{`RANDOM}}; + way_status_out_87 = _RAND_109[0:0]; + _RAND_110 = {1{`RANDOM}}; + way_status_out_88 = _RAND_110[0:0]; + _RAND_111 = {1{`RANDOM}}; + way_status_out_89 = _RAND_111[0:0]; + _RAND_112 = {1{`RANDOM}}; + way_status_out_90 = _RAND_112[0:0]; + _RAND_113 = {1{`RANDOM}}; + way_status_out_91 = _RAND_113[0:0]; + _RAND_114 = {1{`RANDOM}}; + way_status_out_92 = _RAND_114[0:0]; + _RAND_115 = {1{`RANDOM}}; + way_status_out_93 = _RAND_115[0:0]; + _RAND_116 = {1{`RANDOM}}; + way_status_out_94 = _RAND_116[0:0]; + _RAND_117 = {1{`RANDOM}}; + way_status_out_95 = _RAND_117[0:0]; + _RAND_118 = {1{`RANDOM}}; + way_status_out_96 = _RAND_118[0:0]; + _RAND_119 = {1{`RANDOM}}; + way_status_out_97 = _RAND_119[0:0]; + _RAND_120 = {1{`RANDOM}}; + way_status_out_98 = _RAND_120[0:0]; + _RAND_121 = {1{`RANDOM}}; + way_status_out_99 = _RAND_121[0:0]; + _RAND_122 = {1{`RANDOM}}; + way_status_out_100 = _RAND_122[0:0]; + _RAND_123 = {1{`RANDOM}}; + way_status_out_101 = _RAND_123[0:0]; + _RAND_124 = {1{`RANDOM}}; + way_status_out_102 = _RAND_124[0:0]; + _RAND_125 = {1{`RANDOM}}; + way_status_out_103 = _RAND_125[0:0]; + _RAND_126 = {1{`RANDOM}}; + way_status_out_104 = _RAND_126[0:0]; + _RAND_127 = {1{`RANDOM}}; + way_status_out_105 = _RAND_127[0:0]; + _RAND_128 = {1{`RANDOM}}; + way_status_out_106 = _RAND_128[0:0]; + _RAND_129 = {1{`RANDOM}}; + way_status_out_107 = _RAND_129[0:0]; + _RAND_130 = {1{`RANDOM}}; + way_status_out_108 = _RAND_130[0:0]; + _RAND_131 = {1{`RANDOM}}; + way_status_out_109 = _RAND_131[0:0]; + _RAND_132 = {1{`RANDOM}}; + way_status_out_110 = _RAND_132[0:0]; + _RAND_133 = {1{`RANDOM}}; + way_status_out_111 = _RAND_133[0:0]; + _RAND_134 = {1{`RANDOM}}; + way_status_out_112 = _RAND_134[0:0]; + _RAND_135 = {1{`RANDOM}}; + way_status_out_113 = _RAND_135[0:0]; + _RAND_136 = {1{`RANDOM}}; + way_status_out_114 = _RAND_136[0:0]; + _RAND_137 = {1{`RANDOM}}; + way_status_out_115 = _RAND_137[0:0]; + _RAND_138 = {1{`RANDOM}}; + way_status_out_116 = _RAND_138[0:0]; + _RAND_139 = {1{`RANDOM}}; + way_status_out_117 = _RAND_139[0:0]; + _RAND_140 = {1{`RANDOM}}; + way_status_out_118 = _RAND_140[0:0]; + _RAND_141 = {1{`RANDOM}}; + way_status_out_119 = _RAND_141[0:0]; + _RAND_142 = {1{`RANDOM}}; + way_status_out_120 = _RAND_142[0:0]; + _RAND_143 = {1{`RANDOM}}; + way_status_out_121 = _RAND_143[0:0]; + _RAND_144 = {1{`RANDOM}}; + way_status_out_122 = _RAND_144[0:0]; + _RAND_145 = {1{`RANDOM}}; + way_status_out_123 = _RAND_145[0:0]; + _RAND_146 = {1{`RANDOM}}; + way_status_out_124 = _RAND_146[0:0]; + _RAND_147 = {1{`RANDOM}}; + way_status_out_125 = _RAND_147[0:0]; + _RAND_148 = {1{`RANDOM}}; + way_status_out_126 = _RAND_148[0:0]; + _RAND_149 = {1{`RANDOM}}; + way_status_out_127 = _RAND_149[0:0]; + _RAND_150 = {1{`RANDOM}}; + tagv_mb_scnd_ff = _RAND_150[1:0]; + _RAND_151 = {1{`RANDOM}}; + uncacheable_miss_scnd_ff = _RAND_151[0:0]; + _RAND_152 = {1{`RANDOM}}; + imb_scnd_ff = _RAND_152[30:0]; + _RAND_153 = {1{`RANDOM}}; + ifu_bus_rid_ff = _RAND_153[2:0]; + _RAND_154 = {1{`RANDOM}}; + ifu_bus_rresp_ff = _RAND_154[1:0]; + _RAND_155 = {1{`RANDOM}}; + ifu_wr_data_comb_err_ff = _RAND_155[0:0]; + _RAND_156 = {1{`RANDOM}}; + way_status_mb_ff = _RAND_156[0:0]; + _RAND_157 = {1{`RANDOM}}; + tagv_mb_ff = _RAND_157[1:0]; + _RAND_158 = {1{`RANDOM}}; + reset_ic_ff = _RAND_158[0:0]; + _RAND_159 = {1{`RANDOM}}; + fetch_uncacheable_ff = _RAND_159[0:0]; + _RAND_160 = {1{`RANDOM}}; + miss_addr = _RAND_160[25:0]; + _RAND_161 = {1{`RANDOM}}; + ifc_region_acc_fault_f = _RAND_161[0:0]; + _RAND_162 = {1{`RANDOM}}; + bus_rd_addr_count = _RAND_162[2:0]; + _RAND_163 = {1{`RANDOM}}; + ic_act_miss_f_delayed = _RAND_163[0:0]; + _RAND_164 = {2{`RANDOM}}; + ifu_bus_rdata_ff = _RAND_164[63:0]; + _RAND_165 = {1{`RANDOM}}; + ic_miss_buff_data_0 = _RAND_165[31:0]; + _RAND_166 = {1{`RANDOM}}; + ic_miss_buff_data_1 = _RAND_166[31:0]; + _RAND_167 = {1{`RANDOM}}; + ic_miss_buff_data_2 = _RAND_167[31:0]; + _RAND_168 = {1{`RANDOM}}; + ic_miss_buff_data_3 = _RAND_168[31:0]; + _RAND_169 = {1{`RANDOM}}; + ic_miss_buff_data_4 = _RAND_169[31:0]; + _RAND_170 = {1{`RANDOM}}; + ic_miss_buff_data_5 = _RAND_170[31:0]; + _RAND_171 = {1{`RANDOM}}; + ic_miss_buff_data_6 = _RAND_171[31:0]; + _RAND_172 = {1{`RANDOM}}; + ic_miss_buff_data_7 = _RAND_172[31:0]; + _RAND_173 = {1{`RANDOM}}; + ic_miss_buff_data_8 = _RAND_173[31:0]; + _RAND_174 = {1{`RANDOM}}; + ic_miss_buff_data_9 = _RAND_174[31:0]; + _RAND_175 = {1{`RANDOM}}; + ic_miss_buff_data_10 = _RAND_175[31:0]; + _RAND_176 = {1{`RANDOM}}; + ic_miss_buff_data_11 = _RAND_176[31:0]; + _RAND_177 = {1{`RANDOM}}; + ic_miss_buff_data_12 = _RAND_177[31:0]; + _RAND_178 = {1{`RANDOM}}; + ic_miss_buff_data_13 = _RAND_178[31:0]; + _RAND_179 = {1{`RANDOM}}; + ic_miss_buff_data_14 = _RAND_179[31:0]; + _RAND_180 = {1{`RANDOM}}; + ic_miss_buff_data_15 = _RAND_180[31:0]; + _RAND_181 = {1{`RANDOM}}; + ic_crit_wd_rdy_new_ff = _RAND_181[0:0]; + _RAND_182 = {1{`RANDOM}}; + ic_miss_buff_data_error = _RAND_182[7:0]; + _RAND_183 = {1{`RANDOM}}; + ic_debug_ict_array_sel_ff = _RAND_183[0:0]; + _RAND_184 = {1{`RANDOM}}; + ic_tag_valid_out_1_0 = _RAND_184[0:0]; + _RAND_185 = {1{`RANDOM}}; + ic_tag_valid_out_1_1 = _RAND_185[0:0]; + _RAND_186 = {1{`RANDOM}}; + ic_tag_valid_out_1_2 = _RAND_186[0:0]; + _RAND_187 = {1{`RANDOM}}; + ic_tag_valid_out_1_3 = _RAND_187[0:0]; + _RAND_188 = {1{`RANDOM}}; + ic_tag_valid_out_1_4 = _RAND_188[0:0]; + _RAND_189 = {1{`RANDOM}}; + ic_tag_valid_out_1_5 = _RAND_189[0:0]; + _RAND_190 = {1{`RANDOM}}; + ic_tag_valid_out_1_6 = _RAND_190[0:0]; + _RAND_191 = {1{`RANDOM}}; + ic_tag_valid_out_1_7 = _RAND_191[0:0]; + _RAND_192 = {1{`RANDOM}}; + ic_tag_valid_out_1_8 = _RAND_192[0:0]; + _RAND_193 = {1{`RANDOM}}; + ic_tag_valid_out_1_9 = _RAND_193[0:0]; + _RAND_194 = {1{`RANDOM}}; + ic_tag_valid_out_1_10 = _RAND_194[0:0]; + _RAND_195 = {1{`RANDOM}}; + ic_tag_valid_out_1_11 = _RAND_195[0:0]; + _RAND_196 = {1{`RANDOM}}; + ic_tag_valid_out_1_12 = _RAND_196[0:0]; + _RAND_197 = {1{`RANDOM}}; + ic_tag_valid_out_1_13 = _RAND_197[0:0]; + _RAND_198 = {1{`RANDOM}}; + ic_tag_valid_out_1_14 = _RAND_198[0:0]; + _RAND_199 = {1{`RANDOM}}; + ic_tag_valid_out_1_15 = _RAND_199[0:0]; + _RAND_200 = {1{`RANDOM}}; + ic_tag_valid_out_1_16 = _RAND_200[0:0]; + _RAND_201 = {1{`RANDOM}}; + ic_tag_valid_out_1_17 = _RAND_201[0:0]; + _RAND_202 = {1{`RANDOM}}; + ic_tag_valid_out_1_18 = _RAND_202[0:0]; + _RAND_203 = {1{`RANDOM}}; + ic_tag_valid_out_1_19 = _RAND_203[0:0]; + _RAND_204 = {1{`RANDOM}}; + ic_tag_valid_out_1_20 = _RAND_204[0:0]; + _RAND_205 = {1{`RANDOM}}; + ic_tag_valid_out_1_21 = _RAND_205[0:0]; + _RAND_206 = {1{`RANDOM}}; + ic_tag_valid_out_1_22 = _RAND_206[0:0]; + _RAND_207 = {1{`RANDOM}}; + ic_tag_valid_out_1_23 = _RAND_207[0:0]; + _RAND_208 = {1{`RANDOM}}; + ic_tag_valid_out_1_24 = _RAND_208[0:0]; + _RAND_209 = {1{`RANDOM}}; + ic_tag_valid_out_1_25 = _RAND_209[0:0]; + _RAND_210 = {1{`RANDOM}}; + ic_tag_valid_out_1_26 = _RAND_210[0:0]; + _RAND_211 = {1{`RANDOM}}; + ic_tag_valid_out_1_27 = _RAND_211[0:0]; + _RAND_212 = {1{`RANDOM}}; + ic_tag_valid_out_1_28 = _RAND_212[0:0]; + _RAND_213 = {1{`RANDOM}}; + ic_tag_valid_out_1_29 = _RAND_213[0:0]; + _RAND_214 = {1{`RANDOM}}; + ic_tag_valid_out_1_30 = _RAND_214[0:0]; + _RAND_215 = {1{`RANDOM}}; + ic_tag_valid_out_1_31 = _RAND_215[0:0]; + _RAND_216 = {1{`RANDOM}}; + ic_tag_valid_out_1_32 = _RAND_216[0:0]; + _RAND_217 = {1{`RANDOM}}; + ic_tag_valid_out_1_33 = _RAND_217[0:0]; + _RAND_218 = {1{`RANDOM}}; + ic_tag_valid_out_1_34 = _RAND_218[0:0]; + _RAND_219 = {1{`RANDOM}}; + ic_tag_valid_out_1_35 = _RAND_219[0:0]; + _RAND_220 = {1{`RANDOM}}; + ic_tag_valid_out_1_36 = _RAND_220[0:0]; + _RAND_221 = {1{`RANDOM}}; + ic_tag_valid_out_1_37 = _RAND_221[0:0]; + _RAND_222 = {1{`RANDOM}}; + ic_tag_valid_out_1_38 = _RAND_222[0:0]; + _RAND_223 = {1{`RANDOM}}; + ic_tag_valid_out_1_39 = _RAND_223[0:0]; + _RAND_224 = {1{`RANDOM}}; + ic_tag_valid_out_1_40 = _RAND_224[0:0]; + _RAND_225 = {1{`RANDOM}}; + ic_tag_valid_out_1_41 = _RAND_225[0:0]; + _RAND_226 = {1{`RANDOM}}; + ic_tag_valid_out_1_42 = _RAND_226[0:0]; + _RAND_227 = {1{`RANDOM}}; + ic_tag_valid_out_1_43 = _RAND_227[0:0]; + _RAND_228 = {1{`RANDOM}}; + ic_tag_valid_out_1_44 = _RAND_228[0:0]; + _RAND_229 = {1{`RANDOM}}; + ic_tag_valid_out_1_45 = _RAND_229[0:0]; + _RAND_230 = {1{`RANDOM}}; + ic_tag_valid_out_1_46 = _RAND_230[0:0]; + _RAND_231 = {1{`RANDOM}}; + ic_tag_valid_out_1_47 = _RAND_231[0:0]; + _RAND_232 = {1{`RANDOM}}; + ic_tag_valid_out_1_48 = _RAND_232[0:0]; + _RAND_233 = {1{`RANDOM}}; + ic_tag_valid_out_1_49 = _RAND_233[0:0]; + _RAND_234 = {1{`RANDOM}}; + ic_tag_valid_out_1_50 = _RAND_234[0:0]; + _RAND_235 = {1{`RANDOM}}; + ic_tag_valid_out_1_51 = _RAND_235[0:0]; + _RAND_236 = {1{`RANDOM}}; + ic_tag_valid_out_1_52 = _RAND_236[0:0]; + _RAND_237 = {1{`RANDOM}}; + ic_tag_valid_out_1_53 = _RAND_237[0:0]; + _RAND_238 = {1{`RANDOM}}; + ic_tag_valid_out_1_54 = _RAND_238[0:0]; + _RAND_239 = {1{`RANDOM}}; + ic_tag_valid_out_1_55 = _RAND_239[0:0]; + _RAND_240 = {1{`RANDOM}}; + ic_tag_valid_out_1_56 = _RAND_240[0:0]; + _RAND_241 = {1{`RANDOM}}; + ic_tag_valid_out_1_57 = _RAND_241[0:0]; + _RAND_242 = {1{`RANDOM}}; + ic_tag_valid_out_1_58 = _RAND_242[0:0]; + _RAND_243 = {1{`RANDOM}}; + ic_tag_valid_out_1_59 = _RAND_243[0:0]; + _RAND_244 = {1{`RANDOM}}; + ic_tag_valid_out_1_60 = _RAND_244[0:0]; + _RAND_245 = {1{`RANDOM}}; + ic_tag_valid_out_1_61 = _RAND_245[0:0]; + _RAND_246 = {1{`RANDOM}}; + ic_tag_valid_out_1_62 = _RAND_246[0:0]; + _RAND_247 = {1{`RANDOM}}; + ic_tag_valid_out_1_63 = _RAND_247[0:0]; + _RAND_248 = {1{`RANDOM}}; + ic_tag_valid_out_1_64 = _RAND_248[0:0]; + _RAND_249 = {1{`RANDOM}}; + ic_tag_valid_out_1_65 = _RAND_249[0:0]; + _RAND_250 = {1{`RANDOM}}; + ic_tag_valid_out_1_66 = _RAND_250[0:0]; + _RAND_251 = {1{`RANDOM}}; + ic_tag_valid_out_1_67 = _RAND_251[0:0]; + _RAND_252 = {1{`RANDOM}}; + ic_tag_valid_out_1_68 = _RAND_252[0:0]; + _RAND_253 = {1{`RANDOM}}; + ic_tag_valid_out_1_69 = _RAND_253[0:0]; + _RAND_254 = {1{`RANDOM}}; + ic_tag_valid_out_1_70 = _RAND_254[0:0]; + _RAND_255 = {1{`RANDOM}}; + ic_tag_valid_out_1_71 = _RAND_255[0:0]; + _RAND_256 = {1{`RANDOM}}; + ic_tag_valid_out_1_72 = _RAND_256[0:0]; + _RAND_257 = {1{`RANDOM}}; + ic_tag_valid_out_1_73 = _RAND_257[0:0]; + _RAND_258 = {1{`RANDOM}}; + ic_tag_valid_out_1_74 = _RAND_258[0:0]; + _RAND_259 = {1{`RANDOM}}; + ic_tag_valid_out_1_75 = _RAND_259[0:0]; + _RAND_260 = {1{`RANDOM}}; + ic_tag_valid_out_1_76 = _RAND_260[0:0]; + _RAND_261 = {1{`RANDOM}}; + ic_tag_valid_out_1_77 = _RAND_261[0:0]; + _RAND_262 = {1{`RANDOM}}; + ic_tag_valid_out_1_78 = _RAND_262[0:0]; + _RAND_263 = {1{`RANDOM}}; + ic_tag_valid_out_1_79 = _RAND_263[0:0]; + _RAND_264 = {1{`RANDOM}}; + ic_tag_valid_out_1_80 = _RAND_264[0:0]; + _RAND_265 = {1{`RANDOM}}; + ic_tag_valid_out_1_81 = _RAND_265[0:0]; + _RAND_266 = {1{`RANDOM}}; + ic_tag_valid_out_1_82 = _RAND_266[0:0]; + _RAND_267 = {1{`RANDOM}}; + ic_tag_valid_out_1_83 = _RAND_267[0:0]; + _RAND_268 = {1{`RANDOM}}; + ic_tag_valid_out_1_84 = _RAND_268[0:0]; + _RAND_269 = {1{`RANDOM}}; + ic_tag_valid_out_1_85 = _RAND_269[0:0]; + _RAND_270 = {1{`RANDOM}}; + ic_tag_valid_out_1_86 = _RAND_270[0:0]; + _RAND_271 = {1{`RANDOM}}; + ic_tag_valid_out_1_87 = _RAND_271[0:0]; + _RAND_272 = {1{`RANDOM}}; + ic_tag_valid_out_1_88 = _RAND_272[0:0]; + _RAND_273 = {1{`RANDOM}}; + ic_tag_valid_out_1_89 = _RAND_273[0:0]; + _RAND_274 = {1{`RANDOM}}; + ic_tag_valid_out_1_90 = _RAND_274[0:0]; + _RAND_275 = {1{`RANDOM}}; + ic_tag_valid_out_1_91 = _RAND_275[0:0]; + _RAND_276 = {1{`RANDOM}}; + ic_tag_valid_out_1_92 = _RAND_276[0:0]; + _RAND_277 = {1{`RANDOM}}; + ic_tag_valid_out_1_93 = _RAND_277[0:0]; + _RAND_278 = {1{`RANDOM}}; + ic_tag_valid_out_1_94 = _RAND_278[0:0]; + _RAND_279 = {1{`RANDOM}}; + ic_tag_valid_out_1_95 = _RAND_279[0:0]; + _RAND_280 = {1{`RANDOM}}; + ic_tag_valid_out_1_96 = _RAND_280[0:0]; + _RAND_281 = {1{`RANDOM}}; + ic_tag_valid_out_1_97 = _RAND_281[0:0]; + _RAND_282 = {1{`RANDOM}}; + ic_tag_valid_out_1_98 = _RAND_282[0:0]; + _RAND_283 = {1{`RANDOM}}; + ic_tag_valid_out_1_99 = _RAND_283[0:0]; + _RAND_284 = {1{`RANDOM}}; + ic_tag_valid_out_1_100 = _RAND_284[0:0]; + _RAND_285 = {1{`RANDOM}}; + ic_tag_valid_out_1_101 = _RAND_285[0:0]; + _RAND_286 = {1{`RANDOM}}; + ic_tag_valid_out_1_102 = _RAND_286[0:0]; + _RAND_287 = {1{`RANDOM}}; + ic_tag_valid_out_1_103 = _RAND_287[0:0]; + _RAND_288 = {1{`RANDOM}}; + ic_tag_valid_out_1_104 = _RAND_288[0:0]; + _RAND_289 = {1{`RANDOM}}; + ic_tag_valid_out_1_105 = _RAND_289[0:0]; + _RAND_290 = {1{`RANDOM}}; + ic_tag_valid_out_1_106 = _RAND_290[0:0]; + _RAND_291 = {1{`RANDOM}}; + ic_tag_valid_out_1_107 = _RAND_291[0:0]; + _RAND_292 = {1{`RANDOM}}; + ic_tag_valid_out_1_108 = _RAND_292[0:0]; + _RAND_293 = {1{`RANDOM}}; + ic_tag_valid_out_1_109 = _RAND_293[0:0]; + _RAND_294 = {1{`RANDOM}}; + ic_tag_valid_out_1_110 = _RAND_294[0:0]; + _RAND_295 = {1{`RANDOM}}; + ic_tag_valid_out_1_111 = _RAND_295[0:0]; + _RAND_296 = {1{`RANDOM}}; + ic_tag_valid_out_1_112 = _RAND_296[0:0]; + _RAND_297 = {1{`RANDOM}}; + ic_tag_valid_out_1_113 = _RAND_297[0:0]; + _RAND_298 = {1{`RANDOM}}; + ic_tag_valid_out_1_114 = _RAND_298[0:0]; + _RAND_299 = {1{`RANDOM}}; + ic_tag_valid_out_1_115 = _RAND_299[0:0]; + _RAND_300 = {1{`RANDOM}}; + ic_tag_valid_out_1_116 = _RAND_300[0:0]; + _RAND_301 = {1{`RANDOM}}; + ic_tag_valid_out_1_117 = _RAND_301[0:0]; + _RAND_302 = {1{`RANDOM}}; + ic_tag_valid_out_1_118 = _RAND_302[0:0]; + _RAND_303 = {1{`RANDOM}}; + ic_tag_valid_out_1_119 = _RAND_303[0:0]; + _RAND_304 = {1{`RANDOM}}; + ic_tag_valid_out_1_120 = _RAND_304[0:0]; + _RAND_305 = {1{`RANDOM}}; + ic_tag_valid_out_1_121 = _RAND_305[0:0]; + _RAND_306 = {1{`RANDOM}}; + ic_tag_valid_out_1_122 = _RAND_306[0:0]; + _RAND_307 = {1{`RANDOM}}; + ic_tag_valid_out_1_123 = _RAND_307[0:0]; + _RAND_308 = {1{`RANDOM}}; + ic_tag_valid_out_1_124 = _RAND_308[0:0]; + _RAND_309 = {1{`RANDOM}}; + ic_tag_valid_out_1_125 = _RAND_309[0:0]; + _RAND_310 = {1{`RANDOM}}; + ic_tag_valid_out_1_126 = _RAND_310[0:0]; + _RAND_311 = {1{`RANDOM}}; + ic_tag_valid_out_1_127 = _RAND_311[0:0]; + _RAND_312 = {1{`RANDOM}}; + ic_tag_valid_out_0_0 = _RAND_312[0:0]; + _RAND_313 = {1{`RANDOM}}; + ic_tag_valid_out_0_1 = _RAND_313[0:0]; + _RAND_314 = {1{`RANDOM}}; + ic_tag_valid_out_0_2 = _RAND_314[0:0]; + _RAND_315 = {1{`RANDOM}}; + ic_tag_valid_out_0_3 = _RAND_315[0:0]; + _RAND_316 = {1{`RANDOM}}; + ic_tag_valid_out_0_4 = _RAND_316[0:0]; + _RAND_317 = {1{`RANDOM}}; + ic_tag_valid_out_0_5 = _RAND_317[0:0]; + _RAND_318 = {1{`RANDOM}}; + ic_tag_valid_out_0_6 = _RAND_318[0:0]; + _RAND_319 = {1{`RANDOM}}; + ic_tag_valid_out_0_7 = _RAND_319[0:0]; + _RAND_320 = {1{`RANDOM}}; + ic_tag_valid_out_0_8 = _RAND_320[0:0]; + _RAND_321 = {1{`RANDOM}}; + ic_tag_valid_out_0_9 = _RAND_321[0:0]; + _RAND_322 = {1{`RANDOM}}; + ic_tag_valid_out_0_10 = _RAND_322[0:0]; + _RAND_323 = {1{`RANDOM}}; + ic_tag_valid_out_0_11 = _RAND_323[0:0]; + _RAND_324 = {1{`RANDOM}}; + ic_tag_valid_out_0_12 = _RAND_324[0:0]; + _RAND_325 = {1{`RANDOM}}; + ic_tag_valid_out_0_13 = _RAND_325[0:0]; + _RAND_326 = {1{`RANDOM}}; + ic_tag_valid_out_0_14 = _RAND_326[0:0]; + _RAND_327 = {1{`RANDOM}}; + ic_tag_valid_out_0_15 = _RAND_327[0:0]; + _RAND_328 = {1{`RANDOM}}; + ic_tag_valid_out_0_16 = _RAND_328[0:0]; + _RAND_329 = {1{`RANDOM}}; + ic_tag_valid_out_0_17 = _RAND_329[0:0]; + _RAND_330 = {1{`RANDOM}}; + ic_tag_valid_out_0_18 = _RAND_330[0:0]; + _RAND_331 = {1{`RANDOM}}; + ic_tag_valid_out_0_19 = _RAND_331[0:0]; + _RAND_332 = {1{`RANDOM}}; + ic_tag_valid_out_0_20 = _RAND_332[0:0]; + _RAND_333 = {1{`RANDOM}}; + ic_tag_valid_out_0_21 = _RAND_333[0:0]; + _RAND_334 = {1{`RANDOM}}; + ic_tag_valid_out_0_22 = _RAND_334[0:0]; + _RAND_335 = {1{`RANDOM}}; + ic_tag_valid_out_0_23 = _RAND_335[0:0]; + _RAND_336 = {1{`RANDOM}}; + ic_tag_valid_out_0_24 = _RAND_336[0:0]; + _RAND_337 = {1{`RANDOM}}; + ic_tag_valid_out_0_25 = _RAND_337[0:0]; + _RAND_338 = {1{`RANDOM}}; + ic_tag_valid_out_0_26 = _RAND_338[0:0]; + _RAND_339 = {1{`RANDOM}}; + ic_tag_valid_out_0_27 = _RAND_339[0:0]; + _RAND_340 = {1{`RANDOM}}; + ic_tag_valid_out_0_28 = _RAND_340[0:0]; + _RAND_341 = {1{`RANDOM}}; + ic_tag_valid_out_0_29 = _RAND_341[0:0]; + _RAND_342 = {1{`RANDOM}}; + ic_tag_valid_out_0_30 = _RAND_342[0:0]; + _RAND_343 = {1{`RANDOM}}; + ic_tag_valid_out_0_31 = _RAND_343[0:0]; + _RAND_344 = {1{`RANDOM}}; + ic_tag_valid_out_0_32 = _RAND_344[0:0]; + _RAND_345 = {1{`RANDOM}}; + ic_tag_valid_out_0_33 = _RAND_345[0:0]; + _RAND_346 = {1{`RANDOM}}; + ic_tag_valid_out_0_34 = _RAND_346[0:0]; + _RAND_347 = {1{`RANDOM}}; + ic_tag_valid_out_0_35 = _RAND_347[0:0]; + _RAND_348 = {1{`RANDOM}}; + ic_tag_valid_out_0_36 = _RAND_348[0:0]; + _RAND_349 = {1{`RANDOM}}; + ic_tag_valid_out_0_37 = _RAND_349[0:0]; + _RAND_350 = {1{`RANDOM}}; + ic_tag_valid_out_0_38 = _RAND_350[0:0]; + _RAND_351 = {1{`RANDOM}}; + ic_tag_valid_out_0_39 = _RAND_351[0:0]; + _RAND_352 = {1{`RANDOM}}; + ic_tag_valid_out_0_40 = _RAND_352[0:0]; + _RAND_353 = {1{`RANDOM}}; + ic_tag_valid_out_0_41 = _RAND_353[0:0]; + _RAND_354 = {1{`RANDOM}}; + ic_tag_valid_out_0_42 = _RAND_354[0:0]; + _RAND_355 = {1{`RANDOM}}; + ic_tag_valid_out_0_43 = _RAND_355[0:0]; + _RAND_356 = {1{`RANDOM}}; + ic_tag_valid_out_0_44 = _RAND_356[0:0]; + _RAND_357 = {1{`RANDOM}}; + ic_tag_valid_out_0_45 = _RAND_357[0:0]; + _RAND_358 = {1{`RANDOM}}; + ic_tag_valid_out_0_46 = _RAND_358[0:0]; + _RAND_359 = {1{`RANDOM}}; + ic_tag_valid_out_0_47 = _RAND_359[0:0]; + _RAND_360 = {1{`RANDOM}}; + ic_tag_valid_out_0_48 = _RAND_360[0:0]; + _RAND_361 = {1{`RANDOM}}; + ic_tag_valid_out_0_49 = _RAND_361[0:0]; + _RAND_362 = {1{`RANDOM}}; + ic_tag_valid_out_0_50 = _RAND_362[0:0]; + _RAND_363 = {1{`RANDOM}}; + ic_tag_valid_out_0_51 = _RAND_363[0:0]; + _RAND_364 = {1{`RANDOM}}; + ic_tag_valid_out_0_52 = _RAND_364[0:0]; + _RAND_365 = {1{`RANDOM}}; + ic_tag_valid_out_0_53 = _RAND_365[0:0]; + _RAND_366 = {1{`RANDOM}}; + ic_tag_valid_out_0_54 = _RAND_366[0:0]; + _RAND_367 = {1{`RANDOM}}; + ic_tag_valid_out_0_55 = _RAND_367[0:0]; + _RAND_368 = {1{`RANDOM}}; + ic_tag_valid_out_0_56 = _RAND_368[0:0]; + _RAND_369 = {1{`RANDOM}}; + ic_tag_valid_out_0_57 = _RAND_369[0:0]; + _RAND_370 = {1{`RANDOM}}; + ic_tag_valid_out_0_58 = _RAND_370[0:0]; + _RAND_371 = {1{`RANDOM}}; + ic_tag_valid_out_0_59 = _RAND_371[0:0]; + _RAND_372 = {1{`RANDOM}}; + ic_tag_valid_out_0_60 = _RAND_372[0:0]; + _RAND_373 = {1{`RANDOM}}; + ic_tag_valid_out_0_61 = _RAND_373[0:0]; + _RAND_374 = {1{`RANDOM}}; + ic_tag_valid_out_0_62 = _RAND_374[0:0]; + _RAND_375 = {1{`RANDOM}}; + ic_tag_valid_out_0_63 = _RAND_375[0:0]; + _RAND_376 = {1{`RANDOM}}; + ic_tag_valid_out_0_64 = _RAND_376[0:0]; + _RAND_377 = {1{`RANDOM}}; + ic_tag_valid_out_0_65 = _RAND_377[0:0]; + _RAND_378 = {1{`RANDOM}}; + ic_tag_valid_out_0_66 = _RAND_378[0:0]; + _RAND_379 = {1{`RANDOM}}; + ic_tag_valid_out_0_67 = _RAND_379[0:0]; + _RAND_380 = {1{`RANDOM}}; + ic_tag_valid_out_0_68 = _RAND_380[0:0]; + _RAND_381 = {1{`RANDOM}}; + ic_tag_valid_out_0_69 = _RAND_381[0:0]; + _RAND_382 = {1{`RANDOM}}; + ic_tag_valid_out_0_70 = _RAND_382[0:0]; + _RAND_383 = {1{`RANDOM}}; + ic_tag_valid_out_0_71 = _RAND_383[0:0]; + _RAND_384 = {1{`RANDOM}}; + ic_tag_valid_out_0_72 = _RAND_384[0:0]; + _RAND_385 = {1{`RANDOM}}; + ic_tag_valid_out_0_73 = _RAND_385[0:0]; + _RAND_386 = {1{`RANDOM}}; + ic_tag_valid_out_0_74 = _RAND_386[0:0]; + _RAND_387 = {1{`RANDOM}}; + ic_tag_valid_out_0_75 = _RAND_387[0:0]; + _RAND_388 = {1{`RANDOM}}; + ic_tag_valid_out_0_76 = _RAND_388[0:0]; + _RAND_389 = {1{`RANDOM}}; + ic_tag_valid_out_0_77 = _RAND_389[0:0]; + _RAND_390 = {1{`RANDOM}}; + ic_tag_valid_out_0_78 = _RAND_390[0:0]; + _RAND_391 = {1{`RANDOM}}; + ic_tag_valid_out_0_79 = _RAND_391[0:0]; + _RAND_392 = {1{`RANDOM}}; + ic_tag_valid_out_0_80 = _RAND_392[0:0]; + _RAND_393 = {1{`RANDOM}}; + ic_tag_valid_out_0_81 = _RAND_393[0:0]; + _RAND_394 = {1{`RANDOM}}; + ic_tag_valid_out_0_82 = _RAND_394[0:0]; + _RAND_395 = {1{`RANDOM}}; + ic_tag_valid_out_0_83 = _RAND_395[0:0]; + _RAND_396 = {1{`RANDOM}}; + ic_tag_valid_out_0_84 = _RAND_396[0:0]; + _RAND_397 = {1{`RANDOM}}; + ic_tag_valid_out_0_85 = _RAND_397[0:0]; + _RAND_398 = {1{`RANDOM}}; + ic_tag_valid_out_0_86 = _RAND_398[0:0]; + _RAND_399 = {1{`RANDOM}}; + ic_tag_valid_out_0_87 = _RAND_399[0:0]; + _RAND_400 = {1{`RANDOM}}; + ic_tag_valid_out_0_88 = _RAND_400[0:0]; + _RAND_401 = {1{`RANDOM}}; + ic_tag_valid_out_0_89 = _RAND_401[0:0]; + _RAND_402 = {1{`RANDOM}}; + ic_tag_valid_out_0_90 = _RAND_402[0:0]; + _RAND_403 = {1{`RANDOM}}; + ic_tag_valid_out_0_91 = _RAND_403[0:0]; + _RAND_404 = {1{`RANDOM}}; + ic_tag_valid_out_0_92 = _RAND_404[0:0]; + _RAND_405 = {1{`RANDOM}}; + ic_tag_valid_out_0_93 = _RAND_405[0:0]; + _RAND_406 = {1{`RANDOM}}; + ic_tag_valid_out_0_94 = _RAND_406[0:0]; + _RAND_407 = {1{`RANDOM}}; + ic_tag_valid_out_0_95 = _RAND_407[0:0]; + _RAND_408 = {1{`RANDOM}}; + ic_tag_valid_out_0_96 = _RAND_408[0:0]; + _RAND_409 = {1{`RANDOM}}; + ic_tag_valid_out_0_97 = _RAND_409[0:0]; + _RAND_410 = {1{`RANDOM}}; + ic_tag_valid_out_0_98 = _RAND_410[0:0]; + _RAND_411 = {1{`RANDOM}}; + ic_tag_valid_out_0_99 = _RAND_411[0:0]; + _RAND_412 = {1{`RANDOM}}; + ic_tag_valid_out_0_100 = _RAND_412[0:0]; + _RAND_413 = {1{`RANDOM}}; + ic_tag_valid_out_0_101 = _RAND_413[0:0]; + _RAND_414 = {1{`RANDOM}}; + ic_tag_valid_out_0_102 = _RAND_414[0:0]; + _RAND_415 = {1{`RANDOM}}; + ic_tag_valid_out_0_103 = _RAND_415[0:0]; + _RAND_416 = {1{`RANDOM}}; + ic_tag_valid_out_0_104 = _RAND_416[0:0]; + _RAND_417 = {1{`RANDOM}}; + ic_tag_valid_out_0_105 = _RAND_417[0:0]; + _RAND_418 = {1{`RANDOM}}; + ic_tag_valid_out_0_106 = _RAND_418[0:0]; + _RAND_419 = {1{`RANDOM}}; + ic_tag_valid_out_0_107 = _RAND_419[0:0]; + _RAND_420 = {1{`RANDOM}}; + ic_tag_valid_out_0_108 = _RAND_420[0:0]; + _RAND_421 = {1{`RANDOM}}; + ic_tag_valid_out_0_109 = _RAND_421[0:0]; + _RAND_422 = {1{`RANDOM}}; + ic_tag_valid_out_0_110 = _RAND_422[0:0]; + _RAND_423 = {1{`RANDOM}}; + ic_tag_valid_out_0_111 = _RAND_423[0:0]; + _RAND_424 = {1{`RANDOM}}; + ic_tag_valid_out_0_112 = _RAND_424[0:0]; + _RAND_425 = {1{`RANDOM}}; + ic_tag_valid_out_0_113 = _RAND_425[0:0]; + _RAND_426 = {1{`RANDOM}}; + ic_tag_valid_out_0_114 = _RAND_426[0:0]; + _RAND_427 = {1{`RANDOM}}; + ic_tag_valid_out_0_115 = _RAND_427[0:0]; + _RAND_428 = {1{`RANDOM}}; + ic_tag_valid_out_0_116 = _RAND_428[0:0]; + _RAND_429 = {1{`RANDOM}}; + ic_tag_valid_out_0_117 = _RAND_429[0:0]; + _RAND_430 = {1{`RANDOM}}; + ic_tag_valid_out_0_118 = _RAND_430[0:0]; + _RAND_431 = {1{`RANDOM}}; + ic_tag_valid_out_0_119 = _RAND_431[0:0]; + _RAND_432 = {1{`RANDOM}}; + ic_tag_valid_out_0_120 = _RAND_432[0:0]; + _RAND_433 = {1{`RANDOM}}; + ic_tag_valid_out_0_121 = _RAND_433[0:0]; + _RAND_434 = {1{`RANDOM}}; + ic_tag_valid_out_0_122 = _RAND_434[0:0]; + _RAND_435 = {1{`RANDOM}}; + ic_tag_valid_out_0_123 = _RAND_435[0:0]; + _RAND_436 = {1{`RANDOM}}; + ic_tag_valid_out_0_124 = _RAND_436[0:0]; + _RAND_437 = {1{`RANDOM}}; + ic_tag_valid_out_0_125 = _RAND_437[0:0]; + _RAND_438 = {1{`RANDOM}}; + ic_tag_valid_out_0_126 = _RAND_438[0:0]; + _RAND_439 = {1{`RANDOM}}; + ic_tag_valid_out_0_127 = _RAND_439[0:0]; + _RAND_440 = {1{`RANDOM}}; + ic_debug_way_ff = _RAND_440[1:0]; + _RAND_441 = {1{`RANDOM}}; + ic_debug_rd_en_ff = _RAND_441[0:0]; + _RAND_442 = {3{`RANDOM}}; + _T_1212 = _RAND_442[70:0]; + _RAND_443 = {1{`RANDOM}}; + ifc_region_acc_fault_memory_f = _RAND_443[0:0]; + _RAND_444 = {1{`RANDOM}}; + perr_ic_index_ff = _RAND_444[6:0]; + _RAND_445 = {1{`RANDOM}}; + dma_sb_err_state_ff = _RAND_445[0:0]; + _RAND_446 = {1{`RANDOM}}; + bus_cmd_req_hold = _RAND_446[0:0]; + _RAND_447 = {1{`RANDOM}}; + ifu_bus_cmd_valid = _RAND_447[0:0]; + _RAND_448 = {1{`RANDOM}}; + bus_cmd_beat_count = _RAND_448[2:0]; + _RAND_449 = {1{`RANDOM}}; + ifu_bus_arready_unq_ff = _RAND_449[0:0]; + _RAND_450 = {1{`RANDOM}}; + ifu_bus_arvalid_ff = _RAND_450[0:0]; + _RAND_451 = {1{`RANDOM}}; + ifc_dma_access_ok_prev = _RAND_451[0:0]; + _RAND_452 = {2{`RANDOM}}; + iccm_ecc_corr_data_ff = _RAND_452[38:0]; + _RAND_453 = {1{`RANDOM}}; + dma_mem_addr_ff = _RAND_453[1:0]; + _RAND_454 = {1{`RANDOM}}; + dma_mem_tag_ff = _RAND_454[2:0]; + _RAND_455 = {1{`RANDOM}}; + iccm_dma_rtag_temp = _RAND_455[2:0]; + _RAND_456 = {1{`RANDOM}}; + iccm_dma_rvalid_temp = _RAND_456[0:0]; + _RAND_457 = {1{`RANDOM}}; + iccm_dma_ecc_error = _RAND_457[0:0]; + _RAND_458 = {2{`RANDOM}}; + iccm_dma_rdata_temp = _RAND_458[63:0]; + _RAND_459 = {1{`RANDOM}}; + iccm_ecc_corr_index_ff = _RAND_459[13:0]; + _RAND_460 = {1{`RANDOM}}; + iccm_rd_ecc_single_err_ff = _RAND_460[0:0]; + _RAND_461 = {1{`RANDOM}}; + iccm_rw_addr_f = _RAND_461[13:0]; + _RAND_462 = {1{`RANDOM}}; + ifu_status_wr_addr_ff = _RAND_462[6:0]; + _RAND_463 = {1{`RANDOM}}; + way_status_wr_en_ff = _RAND_463[0:0]; + _RAND_464 = {1{`RANDOM}}; + way_status_new_ff = _RAND_464[0:0]; + _RAND_465 = {1{`RANDOM}}; + ifu_tag_wren_ff = _RAND_465[1:0]; + _RAND_466 = {1{`RANDOM}}; + ic_valid_ff = _RAND_466[0:0]; + _RAND_467 = {1{`RANDOM}}; + _T_9799 = _RAND_467[0:0]; + _RAND_468 = {1{`RANDOM}}; + _T_9800 = _RAND_468[0:0]; + _RAND_469 = {1{`RANDOM}}; + _T_9801 = _RAND_469[0:0]; + _RAND_470 = {1{`RANDOM}}; + _T_9805 = _RAND_470[0:0]; + _RAND_471 = {1{`RANDOM}}; + _T_9806 = _RAND_471[0:0]; + _RAND_472 = {1{`RANDOM}}; + _T_9826 = _RAND_472[0:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + flush_final_f = 1'h0; + end + if (reset) begin + ifc_fetch_req_f_raw = 1'h0; + end + if (reset) begin + miss_state = 3'h0; + end + if (reset) begin + scnd_miss_req_q = 1'h0; + end + if (reset) begin + ifu_fetch_addr_int_f = 31'h0; + end + if (reset) begin + ifc_iccm_access_f = 1'h0; + end + if (reset) begin + iccm_dma_rvalid_in = 1'h0; + end + if (reset) begin + dma_iccm_req_f = 1'h0; + end + if (reset) begin + perr_state = 3'h0; + end + if (reset) begin + err_stop_state = 2'h0; + end + if (reset) begin + reset_all_tags = 1'h0; + end + if (reset) begin + ifc_region_acc_fault_final_f = 1'h0; + end + if (reset) begin + ifu_bus_rvalid_unq_ff = 1'h0; + end + if (reset) begin + bus_ifu_bus_clk_en_ff = 1'h0; + end + if (reset) begin + uncacheable_miss_ff = 1'h0; + end + if (reset) begin + bus_data_beat_count = 3'h0; + end + if (reset) begin + ic_miss_buff_data_valid = 8'h0; + end + if (reset) begin + imb_ff = 31'h0; + end + if (reset) begin + last_data_recieved_ff = 1'h0; + end + if (reset) begin + sel_mb_addr_ff = 1'h0; + end + if (reset) begin + way_status_mb_scnd_ff = 1'h0; + end + if (reset) begin + ifu_ic_rw_int_addr_ff = 7'h0; + end + if (reset) begin + way_status_out_0 = 1'h0; + end + if (reset) begin + way_status_out_1 = 1'h0; + end + if (reset) begin + way_status_out_2 = 1'h0; + end + if (reset) begin + way_status_out_3 = 1'h0; + end + if (reset) begin + way_status_out_4 = 1'h0; + end + if (reset) begin + way_status_out_5 = 1'h0; + end + if (reset) begin + way_status_out_6 = 1'h0; + end + if (reset) begin + way_status_out_7 = 1'h0; + end + if (reset) begin + way_status_out_8 = 1'h0; + end + if (reset) begin + way_status_out_9 = 1'h0; + end + if (reset) begin + way_status_out_10 = 1'h0; + end + if (reset) begin + way_status_out_11 = 1'h0; + end + if (reset) begin + way_status_out_12 = 1'h0; + end + if (reset) begin + way_status_out_13 = 1'h0; + end + if (reset) begin + way_status_out_14 = 1'h0; + end + if (reset) begin + way_status_out_15 = 1'h0; + end + if (reset) begin + way_status_out_16 = 1'h0; + end + if (reset) begin + way_status_out_17 = 1'h0; + end + if (reset) begin + way_status_out_18 = 1'h0; + end + if (reset) begin + way_status_out_19 = 1'h0; + end + if (reset) begin + way_status_out_20 = 1'h0; + end + if (reset) begin + way_status_out_21 = 1'h0; + end + if (reset) begin + way_status_out_22 = 1'h0; + end + if (reset) begin + way_status_out_23 = 1'h0; + end + if (reset) begin + way_status_out_24 = 1'h0; + end + if (reset) begin + way_status_out_25 = 1'h0; + end + if (reset) begin + way_status_out_26 = 1'h0; + end + if (reset) begin + way_status_out_27 = 1'h0; + end + if (reset) begin + way_status_out_28 = 1'h0; + end + if (reset) begin + way_status_out_29 = 1'h0; + end + if (reset) begin + way_status_out_30 = 1'h0; + end + if (reset) begin + way_status_out_31 = 1'h0; + end + if (reset) begin + way_status_out_32 = 1'h0; + end + if (reset) begin + way_status_out_33 = 1'h0; + end + if (reset) begin + way_status_out_34 = 1'h0; + end + if (reset) begin + way_status_out_35 = 1'h0; + end + if (reset) begin + way_status_out_36 = 1'h0; + end + if (reset) begin + way_status_out_37 = 1'h0; + end + if (reset) begin + way_status_out_38 = 1'h0; + end + if (reset) begin + way_status_out_39 = 1'h0; + end + if (reset) begin + way_status_out_40 = 1'h0; + end + if (reset) begin + way_status_out_41 = 1'h0; + end + if (reset) begin + way_status_out_42 = 1'h0; + end + if (reset) begin + way_status_out_43 = 1'h0; + end + if (reset) begin + way_status_out_44 = 1'h0; + end + if (reset) begin + way_status_out_45 = 1'h0; + end + if (reset) begin + way_status_out_46 = 1'h0; + end + if (reset) begin + way_status_out_47 = 1'h0; + end + if (reset) begin + way_status_out_48 = 1'h0; + end + if (reset) begin + way_status_out_49 = 1'h0; + end + if (reset) begin + way_status_out_50 = 1'h0; + end + if (reset) begin + way_status_out_51 = 1'h0; + end + if (reset) begin + way_status_out_52 = 1'h0; + end + if (reset) begin + way_status_out_53 = 1'h0; + end + if (reset) begin + way_status_out_54 = 1'h0; + end + if (reset) begin + way_status_out_55 = 1'h0; + end + if (reset) begin + way_status_out_56 = 1'h0; + end + if (reset) begin + way_status_out_57 = 1'h0; + end + if (reset) begin + way_status_out_58 = 1'h0; + end + if (reset) begin + way_status_out_59 = 1'h0; + end + if (reset) begin + way_status_out_60 = 1'h0; + end + if (reset) begin + way_status_out_61 = 1'h0; + end + if (reset) begin + way_status_out_62 = 1'h0; + end + if (reset) begin + way_status_out_63 = 1'h0; + end + if (reset) begin + way_status_out_64 = 1'h0; + end + if (reset) begin + way_status_out_65 = 1'h0; + end + if (reset) begin + way_status_out_66 = 1'h0; + end + if (reset) begin + way_status_out_67 = 1'h0; + end + if (reset) begin + way_status_out_68 = 1'h0; + end + if (reset) begin + way_status_out_69 = 1'h0; + end + if (reset) begin + way_status_out_70 = 1'h0; + end + if (reset) begin + way_status_out_71 = 1'h0; + end + if (reset) begin + way_status_out_72 = 1'h0; + end + if (reset) begin + way_status_out_73 = 1'h0; + end + if (reset) begin + way_status_out_74 = 1'h0; + end + if (reset) begin + way_status_out_75 = 1'h0; + end + if (reset) begin + way_status_out_76 = 1'h0; + end + if (reset) begin + way_status_out_77 = 1'h0; + end + if (reset) begin + way_status_out_78 = 1'h0; + end + if (reset) begin + way_status_out_79 = 1'h0; + end + if (reset) begin + way_status_out_80 = 1'h0; + end + if (reset) begin + way_status_out_81 = 1'h0; + end + if (reset) begin + way_status_out_82 = 1'h0; + end + if (reset) begin + way_status_out_83 = 1'h0; + end + if (reset) begin + way_status_out_84 = 1'h0; + end + if (reset) begin + way_status_out_85 = 1'h0; + end + if (reset) begin + way_status_out_86 = 1'h0; + end + if (reset) begin + way_status_out_87 = 1'h0; + end + if (reset) begin + way_status_out_88 = 1'h0; + end + if (reset) begin + way_status_out_89 = 1'h0; + end + if (reset) begin + way_status_out_90 = 1'h0; + end + if (reset) begin + way_status_out_91 = 1'h0; + end + if (reset) begin + way_status_out_92 = 1'h0; + end + if (reset) begin + way_status_out_93 = 1'h0; + end + if (reset) begin + way_status_out_94 = 1'h0; + end + if (reset) begin + way_status_out_95 = 1'h0; + end + if (reset) begin + way_status_out_96 = 1'h0; + end + if (reset) begin + way_status_out_97 = 1'h0; + end + if (reset) begin + way_status_out_98 = 1'h0; + end + if (reset) begin + way_status_out_99 = 1'h0; + end + if (reset) begin + way_status_out_100 = 1'h0; + end + if (reset) begin + way_status_out_101 = 1'h0; + end + if (reset) begin + way_status_out_102 = 1'h0; + end + if (reset) begin + way_status_out_103 = 1'h0; + end + if (reset) begin + way_status_out_104 = 1'h0; + end + if (reset) begin + way_status_out_105 = 1'h0; + end + if (reset) begin + way_status_out_106 = 1'h0; + end + if (reset) begin + way_status_out_107 = 1'h0; + end + if (reset) begin + way_status_out_108 = 1'h0; + end + if (reset) begin + way_status_out_109 = 1'h0; + end + if (reset) begin + way_status_out_110 = 1'h0; + end + if (reset) begin + way_status_out_111 = 1'h0; + end + if (reset) begin + way_status_out_112 = 1'h0; + end + if (reset) begin + way_status_out_113 = 1'h0; + end + if (reset) begin + way_status_out_114 = 1'h0; + end + if (reset) begin + way_status_out_115 = 1'h0; + end + if (reset) begin + way_status_out_116 = 1'h0; + end + if (reset) begin + way_status_out_117 = 1'h0; + end + if (reset) begin + way_status_out_118 = 1'h0; + end + if (reset) begin + way_status_out_119 = 1'h0; + end + if (reset) begin + way_status_out_120 = 1'h0; + end + if (reset) begin + way_status_out_121 = 1'h0; + end + if (reset) begin + way_status_out_122 = 1'h0; + end + if (reset) begin + way_status_out_123 = 1'h0; + end + if (reset) begin + way_status_out_124 = 1'h0; + end + if (reset) begin + way_status_out_125 = 1'h0; + end + if (reset) begin + way_status_out_126 = 1'h0; + end + if (reset) begin + way_status_out_127 = 1'h0; + end + if (reset) begin + tagv_mb_scnd_ff = 2'h0; + end + if (reset) begin + uncacheable_miss_scnd_ff = 1'h0; + end + if (reset) begin + imb_scnd_ff = 31'h0; + end + if (reset) begin + ifu_bus_rid_ff = 3'h0; + end + if (reset) begin + ifu_bus_rresp_ff = 2'h0; + end + if (reset) begin + ifu_wr_data_comb_err_ff = 1'h0; + end + if (reset) begin + way_status_mb_ff = 1'h0; + end + if (reset) begin + tagv_mb_ff = 2'h0; + end + if (reset) begin + reset_ic_ff = 1'h0; + end + if (reset) begin + fetch_uncacheable_ff = 1'h0; + end + if (reset) begin + miss_addr = 26'h0; + end + if (reset) begin + ifc_region_acc_fault_f = 1'h0; + end + if (reset) begin + bus_rd_addr_count = 3'h0; + end + if (reset) begin + ic_act_miss_f_delayed = 1'h0; + end + if (reset) begin + ifu_bus_rdata_ff = 64'h0; + end + if (reset) begin + ic_miss_buff_data_0 = 32'h0; + end + if (reset) begin + ic_miss_buff_data_1 = 32'h0; + end + if (reset) begin + ic_miss_buff_data_2 = 32'h0; + end + if (reset) begin + ic_miss_buff_data_3 = 32'h0; + end + if (reset) begin + ic_miss_buff_data_4 = 32'h0; + end + if (reset) begin + ic_miss_buff_data_5 = 32'h0; + end + if (reset) begin + ic_miss_buff_data_6 = 32'h0; + end + if (reset) begin + ic_miss_buff_data_7 = 32'h0; + end + if (reset) begin + ic_miss_buff_data_8 = 32'h0; + end + if (reset) begin + ic_miss_buff_data_9 = 32'h0; + end + if (reset) begin + ic_miss_buff_data_10 = 32'h0; + end + if (reset) begin + ic_miss_buff_data_11 = 32'h0; + end + if (reset) begin + ic_miss_buff_data_12 = 32'h0; + end + if (reset) begin + ic_miss_buff_data_13 = 32'h0; + end + if (reset) begin + ic_miss_buff_data_14 = 32'h0; + end + if (reset) begin + ic_miss_buff_data_15 = 32'h0; + end + if (reset) begin + ic_crit_wd_rdy_new_ff = 1'h0; + end + if (reset) begin + ic_miss_buff_data_error = 8'h0; + end + if (reset) begin + ic_debug_ict_array_sel_ff = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_0 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_1 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_2 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_3 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_4 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_5 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_6 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_7 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_8 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_9 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_10 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_11 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_12 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_13 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_14 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_15 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_16 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_17 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_18 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_19 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_20 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_21 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_22 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_23 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_24 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_25 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_26 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_27 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_28 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_29 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_30 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_31 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_32 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_33 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_34 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_35 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_36 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_37 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_38 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_39 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_40 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_41 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_42 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_43 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_44 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_45 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_46 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_47 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_48 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_49 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_50 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_51 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_52 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_53 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_54 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_55 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_56 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_57 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_58 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_59 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_60 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_61 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_62 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_63 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_64 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_65 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_66 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_67 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_68 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_69 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_70 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_71 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_72 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_73 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_74 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_75 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_76 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_77 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_78 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_79 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_80 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_81 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_82 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_83 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_84 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_85 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_86 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_87 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_88 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_89 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_90 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_91 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_92 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_93 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_94 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_95 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_96 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_97 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_98 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_99 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_100 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_101 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_102 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_103 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_104 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_105 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_106 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_107 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_108 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_109 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_110 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_111 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_112 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_113 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_114 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_115 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_116 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_117 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_118 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_119 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_120 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_121 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_122 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_123 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_124 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_125 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_126 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_1_127 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_0 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_1 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_2 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_3 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_4 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_5 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_6 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_7 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_8 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_9 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_10 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_11 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_12 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_13 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_14 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_15 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_16 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_17 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_18 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_19 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_20 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_21 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_22 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_23 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_24 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_25 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_26 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_27 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_28 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_29 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_30 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_31 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_32 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_33 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_34 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_35 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_36 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_37 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_38 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_39 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_40 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_41 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_42 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_43 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_44 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_45 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_46 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_47 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_48 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_49 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_50 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_51 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_52 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_53 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_54 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_55 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_56 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_57 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_58 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_59 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_60 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_61 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_62 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_63 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_64 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_65 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_66 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_67 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_68 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_69 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_70 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_71 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_72 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_73 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_74 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_75 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_76 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_77 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_78 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_79 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_80 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_81 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_82 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_83 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_84 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_85 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_86 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_87 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_88 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_89 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_90 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_91 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_92 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_93 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_94 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_95 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_96 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_97 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_98 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_99 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_100 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_101 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_102 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_103 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_104 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_105 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_106 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_107 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_108 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_109 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_110 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_111 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_112 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_113 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_114 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_115 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_116 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_117 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_118 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_119 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_120 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_121 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_122 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_123 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_124 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_125 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_126 = 1'h0; + end + if (reset) begin + ic_tag_valid_out_0_127 = 1'h0; + end + if (reset) begin + ic_debug_way_ff = 2'h0; + end + if (reset) begin + ic_debug_rd_en_ff = 1'h0; + end + if (reset) begin + _T_1212 = 71'h0; + end + if (reset) begin + ifc_region_acc_fault_memory_f = 1'h0; + end + if (reset) begin + perr_ic_index_ff = 7'h0; + end + if (reset) begin + dma_sb_err_state_ff = 1'h0; + end + if (reset) begin + bus_cmd_req_hold = 1'h0; + end + if (reset) begin + ifu_bus_cmd_valid = 1'h0; + end + if (reset) begin + bus_cmd_beat_count = 3'h0; + end + if (reset) begin + ifu_bus_arready_unq_ff = 1'h0; + end + if (reset) begin + ifu_bus_arvalid_ff = 1'h0; + end + if (reset) begin + ifc_dma_access_ok_prev = 1'h0; + end + if (reset) begin + iccm_ecc_corr_data_ff = 39'h0; + end + if (reset) begin + dma_mem_addr_ff = 2'h0; + end + if (reset) begin + dma_mem_tag_ff = 3'h0; + end + if (reset) begin + iccm_dma_rtag_temp = 3'h0; + end + if (reset) begin + iccm_dma_rvalid_temp = 1'h0; + end + if (reset) begin + iccm_dma_ecc_error = 1'h0; + end + if (reset) begin + iccm_dma_rdata_temp = 64'h0; + end + if (reset) begin + iccm_ecc_corr_index_ff = 14'h0; + end + if (reset) begin + iccm_rd_ecc_single_err_ff = 1'h0; + end + if (reset) begin + iccm_rw_addr_f = 14'h0; + end + if (reset) begin + ifu_status_wr_addr_ff = 7'h0; + end + if (reset) begin + way_status_wr_en_ff = 1'h0; + end + if (reset) begin + way_status_new_ff = 1'h0; + end + if (reset) begin + ifu_tag_wren_ff = 2'h0; + end + if (reset) begin + ic_valid_ff = 1'h0; + end + if (reset) begin + _T_9799 = 1'h0; + end + if (reset) begin + _T_9800 = 1'h0; + end + if (reset) begin + _T_9801 = 1'h0; + end + if (reset) begin + _T_9805 = 1'h0; + end + if (reset) begin + _T_9806 = 1'h0; + end + if (reset) begin + _T_9826 = 1'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + flush_final_f <= 1'h0; + end else begin + flush_final_f <= io_exu_flush_final; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + ifc_fetch_req_f_raw <= 1'h0; + end else begin + ifc_fetch_req_f_raw <= _T_317 & _T_318; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + miss_state <= 3'h0; + end else if (miss_state_en) begin + if (_T_24) begin + if (_T_26) begin + miss_state <= 3'h1; + end else begin + miss_state <= 3'h2; + end + end else if (_T_31) begin + if (_T_36) begin + miss_state <= 3'h0; + end else if (_T_40) begin + miss_state <= 3'h3; + end else if (_T_47) begin + miss_state <= 3'h4; + end else if (_T_51) begin + miss_state <= 3'h0; + end else if (_T_61) begin + miss_state <= 3'h6; + end else if (_T_71) begin + miss_state <= 3'h6; + end else if (_T_79) begin + miss_state <= 3'h0; + end else if (_T_84) begin + miss_state <= 3'h2; + end else begin + miss_state <= 3'h0; + end + end else if (_T_102) begin + miss_state <= 3'h0; + end else if (_T_106) begin + if (_T_113) begin + miss_state <= 3'h2; + end else begin + miss_state <= 3'h0; + end + end else if (_T_121) begin + if (_T_126) begin + miss_state <= 3'h2; + end else begin + miss_state <= 3'h0; + end + end else if (_T_132) begin + if (_T_137) begin + miss_state <= 3'h5; + end else if (_T_143) begin + miss_state <= 3'h7; + end else begin + miss_state <= 3'h0; + end + end else if (_T_151) begin + if (io_dec_mem_ctrl_dec_tlu_force_halt) begin + miss_state <= 3'h0; + end else if (io_exu_flush_final) begin + if (_T_32) begin + miss_state <= 3'h0; + end else begin + miss_state <= 3'h2; + end + end else begin + miss_state <= 3'h1; + end + end else if (_T_160) begin + if (io_dec_mem_ctrl_dec_tlu_force_halt) begin + miss_state <= 3'h0; + end else if (io_exu_flush_final) begin + if (_T_32) begin + miss_state <= 3'h0; + end else begin + miss_state <= 3'h2; + end + end else begin + miss_state <= 3'h0; + end + end else begin + miss_state <= 3'h0; + end + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + scnd_miss_req_q <= 1'h0; + end else begin + scnd_miss_req_q <= _T_22 & _T_319; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + ifu_fetch_addr_int_f <= 31'h0; + end else begin + ifu_fetch_addr_int_f <= io_ifc_fetch_addr_bf; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + ifc_iccm_access_f <= 1'h0; + end else begin + ifc_iccm_access_f <= io_ifc_iccm_access_bf; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + iccm_dma_rvalid_in <= 1'h0; + end else begin + iccm_dma_rvalid_in <= _T_2709 & _T_2713; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + dma_iccm_req_f <= 1'h0; + end else begin + dma_iccm_req_f <= io_dma_mem_ctl_dma_iccm_req; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + perr_state <= 3'h0; + end else if (perr_state_en) begin + if (_T_2500) begin + if (io_iccm_dma_sb_error) begin + perr_state <= 3'h4; + end else if (_T_2502) begin + perr_state <= 3'h1; + end else begin + perr_state <= 3'h2; + end + end else if (_T_2512) begin + perr_state <= 3'h0; + end else if (_T_2515) begin + if (_T_2518) begin + perr_state <= 3'h0; + end else begin + perr_state <= 3'h3; + end + end else if (_T_2522) begin + if (io_dec_mem_ctrl_dec_tlu_force_halt) begin + perr_state <= 3'h0; + end else begin + perr_state <= 3'h3; + end + end else begin + perr_state <= 3'h0; + end + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + err_stop_state <= 2'h0; + end else if (err_stop_state_en) begin + if (_T_2526) begin + err_stop_state <= 2'h1; + end else if (_T_2531) begin + if (_T_2533) begin + err_stop_state <= 2'h0; + end else if (_T_2554) begin + err_stop_state <= 2'h3; + end else if (io_ifu_fetch_val[0]) begin + err_stop_state <= 2'h2; + end else begin + err_stop_state <= 2'h1; + end + end else if (_T_2558) begin + if (_T_2533) begin + err_stop_state <= 2'h0; + end else if (io_ifu_fetch_val[0]) begin + err_stop_state <= 2'h3; + end else begin + err_stop_state <= 2'h2; + end + end else if (_T_2575) begin + if (_T_2579) begin + err_stop_state <= 2'h0; + end else if (io_dec_mem_ctrl_dec_tlu_flush_err_wb) begin + err_stop_state <= 2'h1; + end else begin + err_stop_state <= 2'h3; + end + end else begin + err_stop_state <= 2'h0; + end + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + reset_all_tags <= 1'h0; + end else begin + reset_all_tags <= io_dec_mem_ctrl_dec_tlu_fence_i_wb; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + ifc_region_acc_fault_final_f <= 1'h0; + end else begin + ifc_region_acc_fault_final_f <= io_ifc_region_acc_fault_bf | ifc_region_acc_fault_memory_bf; + end + end + always @(posedge rvclkhdr_68_io_l1clk or posedge reset) begin + if (reset) begin + ifu_bus_rvalid_unq_ff <= 1'h0; + end else begin + ifu_bus_rvalid_unq_ff <= io_ifu_axi_r_valid; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + bus_ifu_bus_clk_en_ff <= 1'h0; + end else begin + bus_ifu_bus_clk_en_ff <= io_ifu_bus_clk_en; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + uncacheable_miss_ff <= 1'h0; + end else if (scnd_miss_req) begin + uncacheable_miss_ff <= uncacheable_miss_scnd_ff; + end else if (!(sel_hold_imb)) begin + uncacheable_miss_ff <= io_ifc_fetch_uncacheable_bf; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + bus_data_beat_count <= 3'h0; + end else begin + bus_data_beat_count <= _T_2631 | _T_2632; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + ic_miss_buff_data_valid <= 8'h0; + end else begin + ic_miss_buff_data_valid <= {_T_1358,ic_miss_buff_data_valid_in_0}; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + imb_ff <= 31'h0; + end else if (scnd_miss_req) begin + imb_ff <= imb_scnd_ff; + end else if (!(sel_hold_imb)) begin + imb_ff <= io_ifc_fetch_addr_bf; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + last_data_recieved_ff <= 1'h0; + end else begin + last_data_recieved_ff <= _T_2639 | _T_2641; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + sel_mb_addr_ff <= 1'h0; + end else begin + sel_mb_addr_ff <= _T_334 | reset_tag_valid_for_miss; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + way_status_mb_scnd_ff <= 1'h0; + end else if (!(_T_19)) begin + way_status_mb_scnd_ff <= way_status; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + ifu_ic_rw_int_addr_ff <= 7'h0; + end else if (_T_3997) begin + ifu_ic_rw_int_addr_ff <= io_ic_debug_addr[9:3]; + end else begin + ifu_ic_rw_int_addr_ff <= ifu_ic_rw_int_addr[11:5]; + end + end + always @(posedge rvclkhdr_70_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_0 <= 1'h0; + end else if (_T_4021) begin + way_status_out_0 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_70_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_1 <= 1'h0; + end else if (_T_4025) begin + way_status_out_1 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_70_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_2 <= 1'h0; + end else if (_T_4029) begin + way_status_out_2 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_70_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_3 <= 1'h0; + end else if (_T_4033) begin + way_status_out_3 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_70_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_4 <= 1'h0; + end else if (_T_4037) begin + way_status_out_4 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_70_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_5 <= 1'h0; + end else if (_T_4041) begin + way_status_out_5 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_70_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_6 <= 1'h0; + end else if (_T_4045) begin + way_status_out_6 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_70_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_7 <= 1'h0; + end else if (_T_4049) begin + way_status_out_7 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_71_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_8 <= 1'h0; + end else if (_T_4021) begin + way_status_out_8 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_71_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_9 <= 1'h0; + end else if (_T_4025) begin + way_status_out_9 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_71_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_10 <= 1'h0; + end else if (_T_4029) begin + way_status_out_10 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_71_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_11 <= 1'h0; + end else if (_T_4033) begin + way_status_out_11 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_71_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_12 <= 1'h0; + end else if (_T_4037) begin + way_status_out_12 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_71_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_13 <= 1'h0; + end else if (_T_4041) begin + way_status_out_13 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_71_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_14 <= 1'h0; + end else if (_T_4045) begin + way_status_out_14 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_71_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_15 <= 1'h0; + end else if (_T_4049) begin + way_status_out_15 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_72_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_16 <= 1'h0; + end else if (_T_4021) begin + way_status_out_16 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_72_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_17 <= 1'h0; + end else if (_T_4025) begin + way_status_out_17 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_72_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_18 <= 1'h0; + end else if (_T_4029) begin + way_status_out_18 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_72_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_19 <= 1'h0; + end else if (_T_4033) begin + way_status_out_19 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_72_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_20 <= 1'h0; + end else if (_T_4037) begin + way_status_out_20 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_72_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_21 <= 1'h0; + end else if (_T_4041) begin + way_status_out_21 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_72_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_22 <= 1'h0; + end else if (_T_4045) begin + way_status_out_22 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_72_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_23 <= 1'h0; + end else if (_T_4049) begin + way_status_out_23 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_73_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_24 <= 1'h0; + end else if (_T_4021) begin + way_status_out_24 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_73_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_25 <= 1'h0; + end else if (_T_4025) begin + way_status_out_25 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_73_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_26 <= 1'h0; + end else if (_T_4029) begin + way_status_out_26 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_73_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_27 <= 1'h0; + end else if (_T_4033) begin + way_status_out_27 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_73_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_28 <= 1'h0; + end else if (_T_4037) begin + way_status_out_28 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_73_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_29 <= 1'h0; + end else if (_T_4041) begin + way_status_out_29 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_73_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_30 <= 1'h0; + end else if (_T_4045) begin + way_status_out_30 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_73_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_31 <= 1'h0; + end else if (_T_4049) begin + way_status_out_31 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_74_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_32 <= 1'h0; + end else if (_T_4021) begin + way_status_out_32 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_74_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_33 <= 1'h0; + end else if (_T_4025) begin + way_status_out_33 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_74_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_34 <= 1'h0; + end else if (_T_4029) begin + way_status_out_34 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_74_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_35 <= 1'h0; + end else if (_T_4033) begin + way_status_out_35 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_74_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_36 <= 1'h0; + end else if (_T_4037) begin + way_status_out_36 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_74_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_37 <= 1'h0; + end else if (_T_4041) begin + way_status_out_37 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_74_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_38 <= 1'h0; + end else if (_T_4045) begin + way_status_out_38 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_74_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_39 <= 1'h0; + end else if (_T_4049) begin + way_status_out_39 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_75_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_40 <= 1'h0; + end else if (_T_4021) begin + way_status_out_40 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_75_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_41 <= 1'h0; + end else if (_T_4025) begin + way_status_out_41 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_75_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_42 <= 1'h0; + end else if (_T_4029) begin + way_status_out_42 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_75_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_43 <= 1'h0; + end else if (_T_4033) begin + way_status_out_43 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_75_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_44 <= 1'h0; + end else if (_T_4037) begin + way_status_out_44 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_75_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_45 <= 1'h0; + end else if (_T_4041) begin + way_status_out_45 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_75_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_46 <= 1'h0; + end else if (_T_4045) begin + way_status_out_46 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_75_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_47 <= 1'h0; + end else if (_T_4049) begin + way_status_out_47 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_76_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_48 <= 1'h0; + end else if (_T_4021) begin + way_status_out_48 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_76_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_49 <= 1'h0; + end else if (_T_4025) begin + way_status_out_49 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_76_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_50 <= 1'h0; + end else if (_T_4029) begin + way_status_out_50 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_76_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_51 <= 1'h0; + end else if (_T_4033) begin + way_status_out_51 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_76_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_52 <= 1'h0; + end else if (_T_4037) begin + way_status_out_52 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_76_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_53 <= 1'h0; + end else if (_T_4041) begin + way_status_out_53 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_76_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_54 <= 1'h0; + end else if (_T_4045) begin + way_status_out_54 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_76_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_55 <= 1'h0; + end else if (_T_4049) begin + way_status_out_55 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_77_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_56 <= 1'h0; + end else if (_T_4021) begin + way_status_out_56 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_77_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_57 <= 1'h0; + end else if (_T_4025) begin + way_status_out_57 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_77_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_58 <= 1'h0; + end else if (_T_4029) begin + way_status_out_58 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_77_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_59 <= 1'h0; + end else if (_T_4033) begin + way_status_out_59 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_77_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_60 <= 1'h0; + end else if (_T_4037) begin + way_status_out_60 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_77_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_61 <= 1'h0; + end else if (_T_4041) begin + way_status_out_61 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_77_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_62 <= 1'h0; + end else if (_T_4045) begin + way_status_out_62 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_77_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_63 <= 1'h0; + end else if (_T_4049) begin + way_status_out_63 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_78_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_64 <= 1'h0; + end else if (_T_4021) begin + way_status_out_64 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_78_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_65 <= 1'h0; + end else if (_T_4025) begin + way_status_out_65 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_78_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_66 <= 1'h0; + end else if (_T_4029) begin + way_status_out_66 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_78_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_67 <= 1'h0; + end else if (_T_4033) begin + way_status_out_67 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_78_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_68 <= 1'h0; + end else if (_T_4037) begin + way_status_out_68 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_78_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_69 <= 1'h0; + end else if (_T_4041) begin + way_status_out_69 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_78_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_70 <= 1'h0; + end else if (_T_4045) begin + way_status_out_70 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_78_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_71 <= 1'h0; + end else if (_T_4049) begin + way_status_out_71 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_79_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_72 <= 1'h0; + end else if (_T_4021) begin + way_status_out_72 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_79_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_73 <= 1'h0; + end else if (_T_4025) begin + way_status_out_73 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_79_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_74 <= 1'h0; + end else if (_T_4029) begin + way_status_out_74 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_79_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_75 <= 1'h0; + end else if (_T_4033) begin + way_status_out_75 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_79_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_76 <= 1'h0; + end else if (_T_4037) begin + way_status_out_76 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_79_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_77 <= 1'h0; + end else if (_T_4041) begin + way_status_out_77 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_79_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_78 <= 1'h0; + end else if (_T_4045) begin + way_status_out_78 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_79_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_79 <= 1'h0; + end else if (_T_4049) begin + way_status_out_79 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_80_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_80 <= 1'h0; + end else if (_T_4021) begin + way_status_out_80 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_80_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_81 <= 1'h0; + end else if (_T_4025) begin + way_status_out_81 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_80_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_82 <= 1'h0; + end else if (_T_4029) begin + way_status_out_82 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_80_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_83 <= 1'h0; + end else if (_T_4033) begin + way_status_out_83 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_80_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_84 <= 1'h0; + end else if (_T_4037) begin + way_status_out_84 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_80_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_85 <= 1'h0; + end else if (_T_4041) begin + way_status_out_85 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_80_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_86 <= 1'h0; + end else if (_T_4045) begin + way_status_out_86 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_80_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_87 <= 1'h0; + end else if (_T_4049) begin + way_status_out_87 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_81_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_88 <= 1'h0; + end else if (_T_4021) begin + way_status_out_88 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_81_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_89 <= 1'h0; + end else if (_T_4025) begin + way_status_out_89 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_81_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_90 <= 1'h0; + end else if (_T_4029) begin + way_status_out_90 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_81_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_91 <= 1'h0; + end else if (_T_4033) begin + way_status_out_91 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_81_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_92 <= 1'h0; + end else if (_T_4037) begin + way_status_out_92 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_81_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_93 <= 1'h0; + end else if (_T_4041) begin + way_status_out_93 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_81_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_94 <= 1'h0; + end else if (_T_4045) begin + way_status_out_94 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_81_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_95 <= 1'h0; + end else if (_T_4049) begin + way_status_out_95 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_82_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_96 <= 1'h0; + end else if (_T_4021) begin + way_status_out_96 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_82_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_97 <= 1'h0; + end else if (_T_4025) begin + way_status_out_97 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_82_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_98 <= 1'h0; + end else if (_T_4029) begin + way_status_out_98 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_82_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_99 <= 1'h0; + end else if (_T_4033) begin + way_status_out_99 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_82_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_100 <= 1'h0; + end else if (_T_4037) begin + way_status_out_100 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_82_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_101 <= 1'h0; + end else if (_T_4041) begin + way_status_out_101 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_82_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_102 <= 1'h0; + end else if (_T_4045) begin + way_status_out_102 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_82_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_103 <= 1'h0; + end else if (_T_4049) begin + way_status_out_103 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_83_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_104 <= 1'h0; + end else if (_T_4021) begin + way_status_out_104 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_83_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_105 <= 1'h0; + end else if (_T_4025) begin + way_status_out_105 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_83_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_106 <= 1'h0; + end else if (_T_4029) begin + way_status_out_106 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_83_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_107 <= 1'h0; + end else if (_T_4033) begin + way_status_out_107 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_83_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_108 <= 1'h0; + end else if (_T_4037) begin + way_status_out_108 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_83_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_109 <= 1'h0; + end else if (_T_4041) begin + way_status_out_109 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_83_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_110 <= 1'h0; + end else if (_T_4045) begin + way_status_out_110 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_83_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_111 <= 1'h0; + end else if (_T_4049) begin + way_status_out_111 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_84_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_112 <= 1'h0; + end else if (_T_4021) begin + way_status_out_112 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_84_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_113 <= 1'h0; + end else if (_T_4025) begin + way_status_out_113 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_84_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_114 <= 1'h0; + end else if (_T_4029) begin + way_status_out_114 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_84_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_115 <= 1'h0; + end else if (_T_4033) begin + way_status_out_115 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_84_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_116 <= 1'h0; + end else if (_T_4037) begin + way_status_out_116 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_84_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_117 <= 1'h0; + end else if (_T_4041) begin + way_status_out_117 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_84_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_118 <= 1'h0; + end else if (_T_4045) begin + way_status_out_118 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_84_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_119 <= 1'h0; + end else if (_T_4049) begin + way_status_out_119 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_85_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_120 <= 1'h0; + end else if (_T_4021) begin + way_status_out_120 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_85_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_121 <= 1'h0; + end else if (_T_4025) begin + way_status_out_121 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_85_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_122 <= 1'h0; + end else if (_T_4029) begin + way_status_out_122 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_85_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_123 <= 1'h0; + end else if (_T_4033) begin + way_status_out_123 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_85_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_124 <= 1'h0; + end else if (_T_4037) begin + way_status_out_124 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_85_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_125 <= 1'h0; + end else if (_T_4041) begin + way_status_out_125 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_85_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_126 <= 1'h0; + end else if (_T_4045) begin + way_status_out_126 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_85_io_l1clk or posedge reset) begin + if (reset) begin + way_status_out_127 <= 1'h0; + end else if (_T_4049) begin + way_status_out_127 <= way_status_new_ff; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + tagv_mb_scnd_ff <= 2'h0; + end else if (!(_T_19)) begin + tagv_mb_scnd_ff <= _T_198; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + uncacheable_miss_scnd_ff <= 1'h0; + end else if (!(sel_hold_imb_scnd)) begin + uncacheable_miss_scnd_ff <= io_ifc_fetch_uncacheable_bf; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + imb_scnd_ff <= 31'h0; + end else if (!(sel_hold_imb_scnd)) begin + imb_scnd_ff <= io_ifc_fetch_addr_bf; + end + end + always @(posedge rvclkhdr_68_io_l1clk or posedge reset) begin + if (reset) begin + ifu_bus_rid_ff <= 3'h0; + end else begin + ifu_bus_rid_ff <= io_ifu_axi_r_bits_id; + end + end + always @(posedge rvclkhdr_68_io_l1clk or posedge reset) begin + if (reset) begin + ifu_bus_rresp_ff <= 2'h0; + end else begin + ifu_bus_rresp_ff <= io_ifu_axi_r_bits_resp; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + ifu_wr_data_comb_err_ff <= 1'h0; + end else begin + ifu_wr_data_comb_err_ff <= ifu_wr_cumulative_err_data & _T_2627; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + way_status_mb_ff <= 1'h0; + end else if (_T_278) begin + way_status_mb_ff <= way_status_mb_scnd_ff; + end else if (_T_280) begin + way_status_mb_ff <= replace_way_mb_any_0; + end else if (!(miss_pending)) begin + way_status_mb_ff <= way_status; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + tagv_mb_ff <= 2'h0; + end else if (scnd_miss_req) begin + tagv_mb_ff <= _T_290; + end else if (!(miss_pending)) begin + tagv_mb_ff <= _T_295; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + reset_ic_ff <= 1'h0; + end else begin + reset_ic_ff <= _T_298 & _T_299; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + fetch_uncacheable_ff <= 1'h0; + end else begin + fetch_uncacheable_ff <= io_ifc_fetch_uncacheable_bf; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + miss_addr <= 26'h0; + end else if (_T_231) begin + miss_addr <= imb_ff[30:5]; + end else if (scnd_miss_req_q) begin + miss_addr <= imb_scnd_ff[30:5]; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + ifc_region_acc_fault_f <= 1'h0; + end else begin + ifc_region_acc_fault_f <= io_ifc_region_acc_fault_bf; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + bus_rd_addr_count <= 3'h0; + end else if (_T_231) begin + bus_rd_addr_count <= imb_ff[4:2]; + end else if (scnd_miss_req_q) begin + bus_rd_addr_count <= imb_scnd_ff[4:2]; + end else if (bus_cmd_sent) begin + bus_rd_addr_count <= _T_2647; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + ic_act_miss_f_delayed <= 1'h0; + end else begin + ic_act_miss_f_delayed <= _T_233 & _T_209; + end + end + always @(posedge rvclkhdr_68_io_l1clk or posedge reset) begin + if (reset) begin + ifu_bus_rdata_ff <= 64'h0; + end else begin + ifu_bus_rdata_ff <= io_ifu_axi_r_bits_data; + end + end + always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + if (reset) begin + ic_miss_buff_data_0 <= 32'h0; + end else begin + ic_miss_buff_data_0 <= io_ifu_axi_r_bits_data[31:0]; + end + end + always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + if (reset) begin + ic_miss_buff_data_1 <= 32'h0; + end else begin + ic_miss_buff_data_1 <= io_ifu_axi_r_bits_data[63:32]; + end + end + always @(posedge rvclkhdr_13_io_l1clk or posedge reset) begin + if (reset) begin + ic_miss_buff_data_2 <= 32'h0; + end else begin + ic_miss_buff_data_2 <= io_ifu_axi_r_bits_data[31:0]; + end + end + always @(posedge rvclkhdr_13_io_l1clk or posedge reset) begin + if (reset) begin + ic_miss_buff_data_3 <= 32'h0; + end else begin + ic_miss_buff_data_3 <= io_ifu_axi_r_bits_data[63:32]; + end + end + always @(posedge rvclkhdr_22_io_l1clk or posedge reset) begin + if (reset) begin + ic_miss_buff_data_4 <= 32'h0; + end else begin + ic_miss_buff_data_4 <= io_ifu_axi_r_bits_data[31:0]; + end + end + always @(posedge rvclkhdr_22_io_l1clk or posedge reset) begin + if (reset) begin + ic_miss_buff_data_5 <= 32'h0; + end else begin + ic_miss_buff_data_5 <= io_ifu_axi_r_bits_data[63:32]; + end + end + always @(posedge rvclkhdr_31_io_l1clk or posedge reset) begin + if (reset) begin + ic_miss_buff_data_6 <= 32'h0; + end else begin + ic_miss_buff_data_6 <= io_ifu_axi_r_bits_data[31:0]; + end + end + always @(posedge rvclkhdr_31_io_l1clk or posedge reset) begin + if (reset) begin + ic_miss_buff_data_7 <= 32'h0; + end else begin + ic_miss_buff_data_7 <= io_ifu_axi_r_bits_data[63:32]; + end + end + always @(posedge rvclkhdr_40_io_l1clk or posedge reset) begin + if (reset) begin + ic_miss_buff_data_8 <= 32'h0; + end else begin + ic_miss_buff_data_8 <= io_ifu_axi_r_bits_data[31:0]; + end + end + always @(posedge rvclkhdr_40_io_l1clk or posedge reset) begin + if (reset) begin + ic_miss_buff_data_9 <= 32'h0; + end else begin + ic_miss_buff_data_9 <= io_ifu_axi_r_bits_data[63:32]; + end + end + always @(posedge rvclkhdr_49_io_l1clk or posedge reset) begin + if (reset) begin + ic_miss_buff_data_10 <= 32'h0; + end else begin + ic_miss_buff_data_10 <= io_ifu_axi_r_bits_data[31:0]; + end + end + always @(posedge rvclkhdr_49_io_l1clk or posedge reset) begin + if (reset) begin + ic_miss_buff_data_11 <= 32'h0; + end else begin + ic_miss_buff_data_11 <= io_ifu_axi_r_bits_data[63:32]; + end + end + always @(posedge rvclkhdr_58_io_l1clk or posedge reset) begin + if (reset) begin + ic_miss_buff_data_12 <= 32'h0; + end else begin + ic_miss_buff_data_12 <= io_ifu_axi_r_bits_data[31:0]; + end + end + always @(posedge rvclkhdr_58_io_l1clk or posedge reset) begin + if (reset) begin + ic_miss_buff_data_13 <= 32'h0; + end else begin + ic_miss_buff_data_13 <= io_ifu_axi_r_bits_data[63:32]; + end + end + always @(posedge rvclkhdr_67_io_l1clk or posedge reset) begin + if (reset) begin + ic_miss_buff_data_14 <= 32'h0; + end else begin + ic_miss_buff_data_14 <= io_ifu_axi_r_bits_data[31:0]; + end + end + always @(posedge rvclkhdr_67_io_l1clk or posedge reset) begin + if (reset) begin + ic_miss_buff_data_15 <= 32'h0; + end else begin + ic_miss_buff_data_15 <= io_ifu_axi_r_bits_data[63:32]; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + ic_crit_wd_rdy_new_ff <= 1'h0; + end else begin + ic_crit_wd_rdy_new_ff <= _T_1514 | _T_1519; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + ic_miss_buff_data_error <= 8'h0; + end else begin + ic_miss_buff_data_error <= {_T_1398,ic_miss_buff_data_error_in_0}; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + ic_debug_ict_array_sel_ff <= 1'h0; + end else begin + ic_debug_ict_array_sel_ff <= io_ic_debug_rd_en & io_ic_debug_tag_array; + end + end + always @(posedge rvclkhdr_87_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_0 <= 1'h0; + end else if (_T_5642) begin + ic_tag_valid_out_1_0 <= _T_5154; + end + end + always @(posedge rvclkhdr_87_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_1 <= 1'h0; + end else if (_T_5657) begin + ic_tag_valid_out_1_1 <= _T_5154; + end + end + always @(posedge rvclkhdr_87_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_2 <= 1'h0; + end else if (_T_5672) begin + ic_tag_valid_out_1_2 <= _T_5154; + end + end + always @(posedge rvclkhdr_87_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_3 <= 1'h0; + end else if (_T_5687) begin + ic_tag_valid_out_1_3 <= _T_5154; + end + end + always @(posedge rvclkhdr_87_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_4 <= 1'h0; + end else if (_T_5702) begin + ic_tag_valid_out_1_4 <= _T_5154; + end + end + always @(posedge rvclkhdr_87_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_5 <= 1'h0; + end else if (_T_5717) begin + ic_tag_valid_out_1_5 <= _T_5154; + end + end + always @(posedge rvclkhdr_87_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_6 <= 1'h0; + end else if (_T_5732) begin + ic_tag_valid_out_1_6 <= _T_5154; + end + end + always @(posedge rvclkhdr_87_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_7 <= 1'h0; + end else if (_T_5747) begin + ic_tag_valid_out_1_7 <= _T_5154; + end + end + always @(posedge rvclkhdr_87_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_8 <= 1'h0; + end else if (_T_5762) begin + ic_tag_valid_out_1_8 <= _T_5154; + end + end + always @(posedge rvclkhdr_87_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_9 <= 1'h0; + end else if (_T_5777) begin + ic_tag_valid_out_1_9 <= _T_5154; + end + end + always @(posedge rvclkhdr_87_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_10 <= 1'h0; + end else if (_T_5792) begin + ic_tag_valid_out_1_10 <= _T_5154; + end + end + always @(posedge rvclkhdr_87_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_11 <= 1'h0; + end else if (_T_5807) begin + ic_tag_valid_out_1_11 <= _T_5154; + end + end + always @(posedge rvclkhdr_87_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_12 <= 1'h0; + end else if (_T_5822) begin + ic_tag_valid_out_1_12 <= _T_5154; + end + end + always @(posedge rvclkhdr_87_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_13 <= 1'h0; + end else if (_T_5837) begin + ic_tag_valid_out_1_13 <= _T_5154; + end + end + always @(posedge rvclkhdr_87_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_14 <= 1'h0; + end else if (_T_5852) begin + ic_tag_valid_out_1_14 <= _T_5154; + end + end + always @(posedge rvclkhdr_87_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_15 <= 1'h0; + end else if (_T_5867) begin + ic_tag_valid_out_1_15 <= _T_5154; + end + end + always @(posedge rvclkhdr_87_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_16 <= 1'h0; + end else if (_T_5882) begin + ic_tag_valid_out_1_16 <= _T_5154; + end + end + always @(posedge rvclkhdr_87_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_17 <= 1'h0; + end else if (_T_5897) begin + ic_tag_valid_out_1_17 <= _T_5154; + end + end + always @(posedge rvclkhdr_87_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_18 <= 1'h0; + end else if (_T_5912) begin + ic_tag_valid_out_1_18 <= _T_5154; + end + end + always @(posedge rvclkhdr_87_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_19 <= 1'h0; + end else if (_T_5927) begin + ic_tag_valid_out_1_19 <= _T_5154; + end + end + always @(posedge rvclkhdr_87_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_20 <= 1'h0; + end else if (_T_5942) begin + ic_tag_valid_out_1_20 <= _T_5154; + end + end + always @(posedge rvclkhdr_87_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_21 <= 1'h0; + end else if (_T_5957) begin + ic_tag_valid_out_1_21 <= _T_5154; + end + end + always @(posedge rvclkhdr_87_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_22 <= 1'h0; + end else if (_T_5972) begin + ic_tag_valid_out_1_22 <= _T_5154; + end + end + always @(posedge rvclkhdr_87_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_23 <= 1'h0; + end else if (_T_5987) begin + ic_tag_valid_out_1_23 <= _T_5154; + end + end + always @(posedge rvclkhdr_87_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_24 <= 1'h0; + end else if (_T_6002) begin + ic_tag_valid_out_1_24 <= _T_5154; + end + end + always @(posedge rvclkhdr_87_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_25 <= 1'h0; + end else if (_T_6017) begin + ic_tag_valid_out_1_25 <= _T_5154; + end + end + always @(posedge rvclkhdr_87_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_26 <= 1'h0; + end else if (_T_6032) begin + ic_tag_valid_out_1_26 <= _T_5154; + end + end + always @(posedge rvclkhdr_87_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_27 <= 1'h0; + end else if (_T_6047) begin + ic_tag_valid_out_1_27 <= _T_5154; + end + end + always @(posedge rvclkhdr_87_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_28 <= 1'h0; + end else if (_T_6062) begin + ic_tag_valid_out_1_28 <= _T_5154; + end + end + always @(posedge rvclkhdr_87_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_29 <= 1'h0; + end else if (_T_6077) begin + ic_tag_valid_out_1_29 <= _T_5154; + end + end + always @(posedge rvclkhdr_87_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_30 <= 1'h0; + end else if (_T_6092) begin + ic_tag_valid_out_1_30 <= _T_5154; + end + end + always @(posedge rvclkhdr_87_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_31 <= 1'h0; + end else if (_T_6107) begin + ic_tag_valid_out_1_31 <= _T_5154; + end + end + always @(posedge rvclkhdr_89_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_32 <= 1'h0; + end else if (_T_6602) begin + ic_tag_valid_out_1_32 <= _T_5154; + end + end + always @(posedge rvclkhdr_89_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_33 <= 1'h0; + end else if (_T_6617) begin + ic_tag_valid_out_1_33 <= _T_5154; + end + end + always @(posedge rvclkhdr_89_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_34 <= 1'h0; + end else if (_T_6632) begin + ic_tag_valid_out_1_34 <= _T_5154; + end + end + always @(posedge rvclkhdr_89_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_35 <= 1'h0; + end else if (_T_6647) begin + ic_tag_valid_out_1_35 <= _T_5154; + end + end + always @(posedge rvclkhdr_89_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_36 <= 1'h0; + end else if (_T_6662) begin + ic_tag_valid_out_1_36 <= _T_5154; + end + end + always @(posedge rvclkhdr_89_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_37 <= 1'h0; + end else if (_T_6677) begin + ic_tag_valid_out_1_37 <= _T_5154; + end + end + always @(posedge rvclkhdr_89_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_38 <= 1'h0; + end else if (_T_6692) begin + ic_tag_valid_out_1_38 <= _T_5154; + end + end + always @(posedge rvclkhdr_89_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_39 <= 1'h0; + end else if (_T_6707) begin + ic_tag_valid_out_1_39 <= _T_5154; + end + end + always @(posedge rvclkhdr_89_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_40 <= 1'h0; + end else if (_T_6722) begin + ic_tag_valid_out_1_40 <= _T_5154; + end + end + always @(posedge rvclkhdr_89_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_41 <= 1'h0; + end else if (_T_6737) begin + ic_tag_valid_out_1_41 <= _T_5154; + end + end + always @(posedge rvclkhdr_89_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_42 <= 1'h0; + end else if (_T_6752) begin + ic_tag_valid_out_1_42 <= _T_5154; + end + end + always @(posedge rvclkhdr_89_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_43 <= 1'h0; + end else if (_T_6767) begin + ic_tag_valid_out_1_43 <= _T_5154; + end + end + always @(posedge rvclkhdr_89_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_44 <= 1'h0; + end else if (_T_6782) begin + ic_tag_valid_out_1_44 <= _T_5154; + end + end + always @(posedge rvclkhdr_89_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_45 <= 1'h0; + end else if (_T_6797) begin + ic_tag_valid_out_1_45 <= _T_5154; + end + end + always @(posedge rvclkhdr_89_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_46 <= 1'h0; + end else if (_T_6812) begin + ic_tag_valid_out_1_46 <= _T_5154; + end + end + always @(posedge rvclkhdr_89_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_47 <= 1'h0; + end else if (_T_6827) begin + ic_tag_valid_out_1_47 <= _T_5154; + end + end + always @(posedge rvclkhdr_89_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_48 <= 1'h0; + end else if (_T_6842) begin + ic_tag_valid_out_1_48 <= _T_5154; + end + end + always @(posedge rvclkhdr_89_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_49 <= 1'h0; + end else if (_T_6857) begin + ic_tag_valid_out_1_49 <= _T_5154; + end + end + always @(posedge rvclkhdr_89_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_50 <= 1'h0; + end else if (_T_6872) begin + ic_tag_valid_out_1_50 <= _T_5154; + end + end + always @(posedge rvclkhdr_89_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_51 <= 1'h0; + end else if (_T_6887) begin + ic_tag_valid_out_1_51 <= _T_5154; + end + end + always @(posedge rvclkhdr_89_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_52 <= 1'h0; + end else if (_T_6902) begin + ic_tag_valid_out_1_52 <= _T_5154; + end + end + always @(posedge rvclkhdr_89_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_53 <= 1'h0; + end else if (_T_6917) begin + ic_tag_valid_out_1_53 <= _T_5154; + end + end + always @(posedge rvclkhdr_89_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_54 <= 1'h0; + end else if (_T_6932) begin + ic_tag_valid_out_1_54 <= _T_5154; + end + end + always @(posedge rvclkhdr_89_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_55 <= 1'h0; + end else if (_T_6947) begin + ic_tag_valid_out_1_55 <= _T_5154; + end + end + always @(posedge rvclkhdr_89_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_56 <= 1'h0; + end else if (_T_6962) begin + ic_tag_valid_out_1_56 <= _T_5154; + end + end + always @(posedge rvclkhdr_89_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_57 <= 1'h0; + end else if (_T_6977) begin + ic_tag_valid_out_1_57 <= _T_5154; + end + end + always @(posedge rvclkhdr_89_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_58 <= 1'h0; + end else if (_T_6992) begin + ic_tag_valid_out_1_58 <= _T_5154; + end + end + always @(posedge rvclkhdr_89_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_59 <= 1'h0; + end else if (_T_7007) begin + ic_tag_valid_out_1_59 <= _T_5154; + end + end + always @(posedge rvclkhdr_89_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_60 <= 1'h0; + end else if (_T_7022) begin + ic_tag_valid_out_1_60 <= _T_5154; + end + end + always @(posedge rvclkhdr_89_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_61 <= 1'h0; + end else if (_T_7037) begin + ic_tag_valid_out_1_61 <= _T_5154; + end + end + always @(posedge rvclkhdr_89_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_62 <= 1'h0; + end else if (_T_7052) begin + ic_tag_valid_out_1_62 <= _T_5154; + end + end + always @(posedge rvclkhdr_89_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_63 <= 1'h0; + end else if (_T_7067) begin + ic_tag_valid_out_1_63 <= _T_5154; + end + end + always @(posedge rvclkhdr_91_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_64 <= 1'h0; + end else if (_T_7562) begin + ic_tag_valid_out_1_64 <= _T_5154; + end + end + always @(posedge rvclkhdr_91_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_65 <= 1'h0; + end else if (_T_7577) begin + ic_tag_valid_out_1_65 <= _T_5154; + end + end + always @(posedge rvclkhdr_91_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_66 <= 1'h0; + end else if (_T_7592) begin + ic_tag_valid_out_1_66 <= _T_5154; + end + end + always @(posedge rvclkhdr_91_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_67 <= 1'h0; + end else if (_T_7607) begin + ic_tag_valid_out_1_67 <= _T_5154; + end + end + always @(posedge rvclkhdr_91_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_68 <= 1'h0; + end else if (_T_7622) begin + ic_tag_valid_out_1_68 <= _T_5154; + end + end + always @(posedge rvclkhdr_91_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_69 <= 1'h0; + end else if (_T_7637) begin + ic_tag_valid_out_1_69 <= _T_5154; + end + end + always @(posedge rvclkhdr_91_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_70 <= 1'h0; + end else if (_T_7652) begin + ic_tag_valid_out_1_70 <= _T_5154; + end + end + always @(posedge rvclkhdr_91_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_71 <= 1'h0; + end else if (_T_7667) begin + ic_tag_valid_out_1_71 <= _T_5154; + end + end + always @(posedge rvclkhdr_91_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_72 <= 1'h0; + end else if (_T_7682) begin + ic_tag_valid_out_1_72 <= _T_5154; + end + end + always @(posedge rvclkhdr_91_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_73 <= 1'h0; + end else if (_T_7697) begin + ic_tag_valid_out_1_73 <= _T_5154; + end + end + always @(posedge rvclkhdr_91_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_74 <= 1'h0; + end else if (_T_7712) begin + ic_tag_valid_out_1_74 <= _T_5154; + end + end + always @(posedge rvclkhdr_91_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_75 <= 1'h0; + end else if (_T_7727) begin + ic_tag_valid_out_1_75 <= _T_5154; + end + end + always @(posedge rvclkhdr_91_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_76 <= 1'h0; + end else if (_T_7742) begin + ic_tag_valid_out_1_76 <= _T_5154; + end + end + always @(posedge rvclkhdr_91_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_77 <= 1'h0; + end else if (_T_7757) begin + ic_tag_valid_out_1_77 <= _T_5154; + end + end + always @(posedge rvclkhdr_91_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_78 <= 1'h0; + end else if (_T_7772) begin + ic_tag_valid_out_1_78 <= _T_5154; + end + end + always @(posedge rvclkhdr_91_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_79 <= 1'h0; + end else if (_T_7787) begin + ic_tag_valid_out_1_79 <= _T_5154; + end + end + always @(posedge rvclkhdr_91_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_80 <= 1'h0; + end else if (_T_7802) begin + ic_tag_valid_out_1_80 <= _T_5154; + end + end + always @(posedge rvclkhdr_91_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_81 <= 1'h0; + end else if (_T_7817) begin + ic_tag_valid_out_1_81 <= _T_5154; + end + end + always @(posedge rvclkhdr_91_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_82 <= 1'h0; + end else if (_T_7832) begin + ic_tag_valid_out_1_82 <= _T_5154; + end + end + always @(posedge rvclkhdr_91_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_83 <= 1'h0; + end else if (_T_7847) begin + ic_tag_valid_out_1_83 <= _T_5154; + end + end + always @(posedge rvclkhdr_91_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_84 <= 1'h0; + end else if (_T_7862) begin + ic_tag_valid_out_1_84 <= _T_5154; + end + end + always @(posedge rvclkhdr_91_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_85 <= 1'h0; + end else if (_T_7877) begin + ic_tag_valid_out_1_85 <= _T_5154; + end + end + always @(posedge rvclkhdr_91_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_86 <= 1'h0; + end else if (_T_7892) begin + ic_tag_valid_out_1_86 <= _T_5154; + end + end + always @(posedge rvclkhdr_91_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_87 <= 1'h0; + end else if (_T_7907) begin + ic_tag_valid_out_1_87 <= _T_5154; + end + end + always @(posedge rvclkhdr_91_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_88 <= 1'h0; + end else if (_T_7922) begin + ic_tag_valid_out_1_88 <= _T_5154; + end + end + always @(posedge rvclkhdr_91_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_89 <= 1'h0; + end else if (_T_7937) begin + ic_tag_valid_out_1_89 <= _T_5154; + end + end + always @(posedge rvclkhdr_91_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_90 <= 1'h0; + end else if (_T_7952) begin + ic_tag_valid_out_1_90 <= _T_5154; + end + end + always @(posedge rvclkhdr_91_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_91 <= 1'h0; + end else if (_T_7967) begin + ic_tag_valid_out_1_91 <= _T_5154; + end + end + always @(posedge rvclkhdr_91_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_92 <= 1'h0; + end else if (_T_7982) begin + ic_tag_valid_out_1_92 <= _T_5154; + end + end + always @(posedge rvclkhdr_91_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_93 <= 1'h0; + end else if (_T_7997) begin + ic_tag_valid_out_1_93 <= _T_5154; + end + end + always @(posedge rvclkhdr_91_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_94 <= 1'h0; + end else if (_T_8012) begin + ic_tag_valid_out_1_94 <= _T_5154; + end + end + always @(posedge rvclkhdr_91_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_95 <= 1'h0; + end else if (_T_8027) begin + ic_tag_valid_out_1_95 <= _T_5154; + end + end + always @(posedge rvclkhdr_93_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_96 <= 1'h0; + end else if (_T_8522) begin + ic_tag_valid_out_1_96 <= _T_5154; + end + end + always @(posedge rvclkhdr_93_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_97 <= 1'h0; + end else if (_T_8537) begin + ic_tag_valid_out_1_97 <= _T_5154; + end + end + always @(posedge rvclkhdr_93_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_98 <= 1'h0; + end else if (_T_8552) begin + ic_tag_valid_out_1_98 <= _T_5154; + end + end + always @(posedge rvclkhdr_93_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_99 <= 1'h0; + end else if (_T_8567) begin + ic_tag_valid_out_1_99 <= _T_5154; + end + end + always @(posedge rvclkhdr_93_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_100 <= 1'h0; + end else if (_T_8582) begin + ic_tag_valid_out_1_100 <= _T_5154; + end + end + always @(posedge rvclkhdr_93_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_101 <= 1'h0; + end else if (_T_8597) begin + ic_tag_valid_out_1_101 <= _T_5154; + end + end + always @(posedge rvclkhdr_93_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_102 <= 1'h0; + end else if (_T_8612) begin + ic_tag_valid_out_1_102 <= _T_5154; + end + end + always @(posedge rvclkhdr_93_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_103 <= 1'h0; + end else if (_T_8627) begin + ic_tag_valid_out_1_103 <= _T_5154; + end + end + always @(posedge rvclkhdr_93_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_104 <= 1'h0; + end else if (_T_8642) begin + ic_tag_valid_out_1_104 <= _T_5154; + end + end + always @(posedge rvclkhdr_93_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_105 <= 1'h0; + end else if (_T_8657) begin + ic_tag_valid_out_1_105 <= _T_5154; + end + end + always @(posedge rvclkhdr_93_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_106 <= 1'h0; + end else if (_T_8672) begin + ic_tag_valid_out_1_106 <= _T_5154; + end + end + always @(posedge rvclkhdr_93_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_107 <= 1'h0; + end else if (_T_8687) begin + ic_tag_valid_out_1_107 <= _T_5154; + end + end + always @(posedge rvclkhdr_93_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_108 <= 1'h0; + end else if (_T_8702) begin + ic_tag_valid_out_1_108 <= _T_5154; + end + end + always @(posedge rvclkhdr_93_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_109 <= 1'h0; + end else if (_T_8717) begin + ic_tag_valid_out_1_109 <= _T_5154; + end + end + always @(posedge rvclkhdr_93_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_110 <= 1'h0; + end else if (_T_8732) begin + ic_tag_valid_out_1_110 <= _T_5154; + end + end + always @(posedge rvclkhdr_93_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_111 <= 1'h0; + end else if (_T_8747) begin + ic_tag_valid_out_1_111 <= _T_5154; + end + end + always @(posedge rvclkhdr_93_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_112 <= 1'h0; + end else if (_T_8762) begin + ic_tag_valid_out_1_112 <= _T_5154; + end + end + always @(posedge rvclkhdr_93_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_113 <= 1'h0; + end else if (_T_8777) begin + ic_tag_valid_out_1_113 <= _T_5154; + end + end + always @(posedge rvclkhdr_93_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_114 <= 1'h0; + end else if (_T_8792) begin + ic_tag_valid_out_1_114 <= _T_5154; + end + end + always @(posedge rvclkhdr_93_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_115 <= 1'h0; + end else if (_T_8807) begin + ic_tag_valid_out_1_115 <= _T_5154; + end + end + always @(posedge rvclkhdr_93_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_116 <= 1'h0; + end else if (_T_8822) begin + ic_tag_valid_out_1_116 <= _T_5154; + end + end + always @(posedge rvclkhdr_93_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_117 <= 1'h0; + end else if (_T_8837) begin + ic_tag_valid_out_1_117 <= _T_5154; + end + end + always @(posedge rvclkhdr_93_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_118 <= 1'h0; + end else if (_T_8852) begin + ic_tag_valid_out_1_118 <= _T_5154; + end + end + always @(posedge rvclkhdr_93_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_119 <= 1'h0; + end else if (_T_8867) begin + ic_tag_valid_out_1_119 <= _T_5154; + end + end + always @(posedge rvclkhdr_93_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_120 <= 1'h0; + end else if (_T_8882) begin + ic_tag_valid_out_1_120 <= _T_5154; + end + end + always @(posedge rvclkhdr_93_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_121 <= 1'h0; + end else if (_T_8897) begin + ic_tag_valid_out_1_121 <= _T_5154; + end + end + always @(posedge rvclkhdr_93_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_122 <= 1'h0; + end else if (_T_8912) begin + ic_tag_valid_out_1_122 <= _T_5154; + end + end + always @(posedge rvclkhdr_93_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_123 <= 1'h0; + end else if (_T_8927) begin + ic_tag_valid_out_1_123 <= _T_5154; + end + end + always @(posedge rvclkhdr_93_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_124 <= 1'h0; + end else if (_T_8942) begin + ic_tag_valid_out_1_124 <= _T_5154; + end + end + always @(posedge rvclkhdr_93_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_125 <= 1'h0; + end else if (_T_8957) begin + ic_tag_valid_out_1_125 <= _T_5154; + end + end + always @(posedge rvclkhdr_93_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_126 <= 1'h0; + end else if (_T_8972) begin + ic_tag_valid_out_1_126 <= _T_5154; + end + end + always @(posedge rvclkhdr_93_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_1_127 <= 1'h0; + end else if (_T_8987) begin + ic_tag_valid_out_1_127 <= _T_5154; + end + end + always @(posedge rvclkhdr_86_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_0 <= 1'h0; + end else if (_T_5162) begin + ic_tag_valid_out_0_0 <= _T_5154; + end + end + always @(posedge rvclkhdr_86_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_1 <= 1'h0; + end else if (_T_5177) begin + ic_tag_valid_out_0_1 <= _T_5154; + end + end + always @(posedge rvclkhdr_86_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_2 <= 1'h0; + end else if (_T_5192) begin + ic_tag_valid_out_0_2 <= _T_5154; + end + end + always @(posedge rvclkhdr_86_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_3 <= 1'h0; + end else if (_T_5207) begin + ic_tag_valid_out_0_3 <= _T_5154; + end + end + always @(posedge rvclkhdr_86_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_4 <= 1'h0; + end else if (_T_5222) begin + ic_tag_valid_out_0_4 <= _T_5154; + end + end + always @(posedge rvclkhdr_86_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_5 <= 1'h0; + end else if (_T_5237) begin + ic_tag_valid_out_0_5 <= _T_5154; + end + end + always @(posedge rvclkhdr_86_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_6 <= 1'h0; + end else if (_T_5252) begin + ic_tag_valid_out_0_6 <= _T_5154; + end + end + always @(posedge rvclkhdr_86_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_7 <= 1'h0; + end else if (_T_5267) begin + ic_tag_valid_out_0_7 <= _T_5154; + end + end + always @(posedge rvclkhdr_86_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_8 <= 1'h0; + end else if (_T_5282) begin + ic_tag_valid_out_0_8 <= _T_5154; + end + end + always @(posedge rvclkhdr_86_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_9 <= 1'h0; + end else if (_T_5297) begin + ic_tag_valid_out_0_9 <= _T_5154; + end + end + always @(posedge rvclkhdr_86_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_10 <= 1'h0; + end else if (_T_5312) begin + ic_tag_valid_out_0_10 <= _T_5154; + end + end + always @(posedge rvclkhdr_86_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_11 <= 1'h0; + end else if (_T_5327) begin + ic_tag_valid_out_0_11 <= _T_5154; + end + end + always @(posedge rvclkhdr_86_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_12 <= 1'h0; + end else if (_T_5342) begin + ic_tag_valid_out_0_12 <= _T_5154; + end + end + always @(posedge rvclkhdr_86_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_13 <= 1'h0; + end else if (_T_5357) begin + ic_tag_valid_out_0_13 <= _T_5154; + end + end + always @(posedge rvclkhdr_86_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_14 <= 1'h0; + end else if (_T_5372) begin + ic_tag_valid_out_0_14 <= _T_5154; + end + end + always @(posedge rvclkhdr_86_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_15 <= 1'h0; + end else if (_T_5387) begin + ic_tag_valid_out_0_15 <= _T_5154; + end + end + always @(posedge rvclkhdr_86_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_16 <= 1'h0; + end else if (_T_5402) begin + ic_tag_valid_out_0_16 <= _T_5154; + end + end + always @(posedge rvclkhdr_86_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_17 <= 1'h0; + end else if (_T_5417) begin + ic_tag_valid_out_0_17 <= _T_5154; + end + end + always @(posedge rvclkhdr_86_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_18 <= 1'h0; + end else if (_T_5432) begin + ic_tag_valid_out_0_18 <= _T_5154; + end + end + always @(posedge rvclkhdr_86_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_19 <= 1'h0; + end else if (_T_5447) begin + ic_tag_valid_out_0_19 <= _T_5154; + end + end + always @(posedge rvclkhdr_86_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_20 <= 1'h0; + end else if (_T_5462) begin + ic_tag_valid_out_0_20 <= _T_5154; + end + end + always @(posedge rvclkhdr_86_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_21 <= 1'h0; + end else if (_T_5477) begin + ic_tag_valid_out_0_21 <= _T_5154; + end + end + always @(posedge rvclkhdr_86_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_22 <= 1'h0; + end else if (_T_5492) begin + ic_tag_valid_out_0_22 <= _T_5154; + end + end + always @(posedge rvclkhdr_86_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_23 <= 1'h0; + end else if (_T_5507) begin + ic_tag_valid_out_0_23 <= _T_5154; + end + end + always @(posedge rvclkhdr_86_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_24 <= 1'h0; + end else if (_T_5522) begin + ic_tag_valid_out_0_24 <= _T_5154; + end + end + always @(posedge rvclkhdr_86_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_25 <= 1'h0; + end else if (_T_5537) begin + ic_tag_valid_out_0_25 <= _T_5154; + end + end + always @(posedge rvclkhdr_86_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_26 <= 1'h0; + end else if (_T_5552) begin + ic_tag_valid_out_0_26 <= _T_5154; + end + end + always @(posedge rvclkhdr_86_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_27 <= 1'h0; + end else if (_T_5567) begin + ic_tag_valid_out_0_27 <= _T_5154; + end + end + always @(posedge rvclkhdr_86_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_28 <= 1'h0; + end else if (_T_5582) begin + ic_tag_valid_out_0_28 <= _T_5154; + end + end + always @(posedge rvclkhdr_86_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_29 <= 1'h0; + end else if (_T_5597) begin + ic_tag_valid_out_0_29 <= _T_5154; + end + end + always @(posedge rvclkhdr_86_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_30 <= 1'h0; + end else if (_T_5612) begin + ic_tag_valid_out_0_30 <= _T_5154; + end + end + always @(posedge rvclkhdr_86_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_31 <= 1'h0; + end else if (_T_5627) begin + ic_tag_valid_out_0_31 <= _T_5154; + end + end + always @(posedge rvclkhdr_88_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_32 <= 1'h0; + end else if (_T_6122) begin + ic_tag_valid_out_0_32 <= _T_5154; + end + end + always @(posedge rvclkhdr_88_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_33 <= 1'h0; + end else if (_T_6137) begin + ic_tag_valid_out_0_33 <= _T_5154; + end + end + always @(posedge rvclkhdr_88_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_34 <= 1'h0; + end else if (_T_6152) begin + ic_tag_valid_out_0_34 <= _T_5154; + end + end + always @(posedge rvclkhdr_88_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_35 <= 1'h0; + end else if (_T_6167) begin + ic_tag_valid_out_0_35 <= _T_5154; + end + end + always @(posedge rvclkhdr_88_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_36 <= 1'h0; + end else if (_T_6182) begin + ic_tag_valid_out_0_36 <= _T_5154; + end + end + always @(posedge rvclkhdr_88_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_37 <= 1'h0; + end else if (_T_6197) begin + ic_tag_valid_out_0_37 <= _T_5154; + end + end + always @(posedge rvclkhdr_88_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_38 <= 1'h0; + end else if (_T_6212) begin + ic_tag_valid_out_0_38 <= _T_5154; + end + end + always @(posedge rvclkhdr_88_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_39 <= 1'h0; + end else if (_T_6227) begin + ic_tag_valid_out_0_39 <= _T_5154; + end + end + always @(posedge rvclkhdr_88_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_40 <= 1'h0; + end else if (_T_6242) begin + ic_tag_valid_out_0_40 <= _T_5154; + end + end + always @(posedge rvclkhdr_88_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_41 <= 1'h0; + end else if (_T_6257) begin + ic_tag_valid_out_0_41 <= _T_5154; + end + end + always @(posedge rvclkhdr_88_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_42 <= 1'h0; + end else if (_T_6272) begin + ic_tag_valid_out_0_42 <= _T_5154; + end + end + always @(posedge rvclkhdr_88_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_43 <= 1'h0; + end else if (_T_6287) begin + ic_tag_valid_out_0_43 <= _T_5154; + end + end + always @(posedge rvclkhdr_88_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_44 <= 1'h0; + end else if (_T_6302) begin + ic_tag_valid_out_0_44 <= _T_5154; + end + end + always @(posedge rvclkhdr_88_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_45 <= 1'h0; + end else if (_T_6317) begin + ic_tag_valid_out_0_45 <= _T_5154; + end + end + always @(posedge rvclkhdr_88_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_46 <= 1'h0; + end else if (_T_6332) begin + ic_tag_valid_out_0_46 <= _T_5154; + end + end + always @(posedge rvclkhdr_88_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_47 <= 1'h0; + end else if (_T_6347) begin + ic_tag_valid_out_0_47 <= _T_5154; + end + end + always @(posedge rvclkhdr_88_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_48 <= 1'h0; + end else if (_T_6362) begin + ic_tag_valid_out_0_48 <= _T_5154; + end + end + always @(posedge rvclkhdr_88_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_49 <= 1'h0; + end else if (_T_6377) begin + ic_tag_valid_out_0_49 <= _T_5154; + end + end + always @(posedge rvclkhdr_88_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_50 <= 1'h0; + end else if (_T_6392) begin + ic_tag_valid_out_0_50 <= _T_5154; + end + end + always @(posedge rvclkhdr_88_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_51 <= 1'h0; + end else if (_T_6407) begin + ic_tag_valid_out_0_51 <= _T_5154; + end + end + always @(posedge rvclkhdr_88_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_52 <= 1'h0; + end else if (_T_6422) begin + ic_tag_valid_out_0_52 <= _T_5154; + end + end + always @(posedge rvclkhdr_88_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_53 <= 1'h0; + end else if (_T_6437) begin + ic_tag_valid_out_0_53 <= _T_5154; + end + end + always @(posedge rvclkhdr_88_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_54 <= 1'h0; + end else if (_T_6452) begin + ic_tag_valid_out_0_54 <= _T_5154; + end + end + always @(posedge rvclkhdr_88_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_55 <= 1'h0; + end else if (_T_6467) begin + ic_tag_valid_out_0_55 <= _T_5154; + end + end + always @(posedge rvclkhdr_88_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_56 <= 1'h0; + end else if (_T_6482) begin + ic_tag_valid_out_0_56 <= _T_5154; + end + end + always @(posedge rvclkhdr_88_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_57 <= 1'h0; + end else if (_T_6497) begin + ic_tag_valid_out_0_57 <= _T_5154; + end + end + always @(posedge rvclkhdr_88_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_58 <= 1'h0; + end else if (_T_6512) begin + ic_tag_valid_out_0_58 <= _T_5154; + end + end + always @(posedge rvclkhdr_88_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_59 <= 1'h0; + end else if (_T_6527) begin + ic_tag_valid_out_0_59 <= _T_5154; + end + end + always @(posedge rvclkhdr_88_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_60 <= 1'h0; + end else if (_T_6542) begin + ic_tag_valid_out_0_60 <= _T_5154; + end + end + always @(posedge rvclkhdr_88_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_61 <= 1'h0; + end else if (_T_6557) begin + ic_tag_valid_out_0_61 <= _T_5154; + end + end + always @(posedge rvclkhdr_88_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_62 <= 1'h0; + end else if (_T_6572) begin + ic_tag_valid_out_0_62 <= _T_5154; + end + end + always @(posedge rvclkhdr_88_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_63 <= 1'h0; + end else if (_T_6587) begin + ic_tag_valid_out_0_63 <= _T_5154; + end + end + always @(posedge rvclkhdr_90_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_64 <= 1'h0; + end else if (_T_7082) begin + ic_tag_valid_out_0_64 <= _T_5154; + end + end + always @(posedge rvclkhdr_90_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_65 <= 1'h0; + end else if (_T_7097) begin + ic_tag_valid_out_0_65 <= _T_5154; + end + end + always @(posedge rvclkhdr_90_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_66 <= 1'h0; + end else if (_T_7112) begin + ic_tag_valid_out_0_66 <= _T_5154; + end + end + always @(posedge rvclkhdr_90_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_67 <= 1'h0; + end else if (_T_7127) begin + ic_tag_valid_out_0_67 <= _T_5154; + end + end + always @(posedge rvclkhdr_90_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_68 <= 1'h0; + end else if (_T_7142) begin + ic_tag_valid_out_0_68 <= _T_5154; + end + end + always @(posedge rvclkhdr_90_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_69 <= 1'h0; + end else if (_T_7157) begin + ic_tag_valid_out_0_69 <= _T_5154; + end + end + always @(posedge rvclkhdr_90_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_70 <= 1'h0; + end else if (_T_7172) begin + ic_tag_valid_out_0_70 <= _T_5154; + end + end + always @(posedge rvclkhdr_90_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_71 <= 1'h0; + end else if (_T_7187) begin + ic_tag_valid_out_0_71 <= _T_5154; + end + end + always @(posedge rvclkhdr_90_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_72 <= 1'h0; + end else if (_T_7202) begin + ic_tag_valid_out_0_72 <= _T_5154; + end + end + always @(posedge rvclkhdr_90_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_73 <= 1'h0; + end else if (_T_7217) begin + ic_tag_valid_out_0_73 <= _T_5154; + end + end + always @(posedge rvclkhdr_90_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_74 <= 1'h0; + end else if (_T_7232) begin + ic_tag_valid_out_0_74 <= _T_5154; + end + end + always @(posedge rvclkhdr_90_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_75 <= 1'h0; + end else if (_T_7247) begin + ic_tag_valid_out_0_75 <= _T_5154; + end + end + always @(posedge rvclkhdr_90_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_76 <= 1'h0; + end else if (_T_7262) begin + ic_tag_valid_out_0_76 <= _T_5154; + end + end + always @(posedge rvclkhdr_90_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_77 <= 1'h0; + end else if (_T_7277) begin + ic_tag_valid_out_0_77 <= _T_5154; + end + end + always @(posedge rvclkhdr_90_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_78 <= 1'h0; + end else if (_T_7292) begin + ic_tag_valid_out_0_78 <= _T_5154; + end + end + always @(posedge rvclkhdr_90_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_79 <= 1'h0; + end else if (_T_7307) begin + ic_tag_valid_out_0_79 <= _T_5154; + end + end + always @(posedge rvclkhdr_90_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_80 <= 1'h0; + end else if (_T_7322) begin + ic_tag_valid_out_0_80 <= _T_5154; + end + end + always @(posedge rvclkhdr_90_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_81 <= 1'h0; + end else if (_T_7337) begin + ic_tag_valid_out_0_81 <= _T_5154; + end + end + always @(posedge rvclkhdr_90_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_82 <= 1'h0; + end else if (_T_7352) begin + ic_tag_valid_out_0_82 <= _T_5154; + end + end + always @(posedge rvclkhdr_90_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_83 <= 1'h0; + end else if (_T_7367) begin + ic_tag_valid_out_0_83 <= _T_5154; + end + end + always @(posedge rvclkhdr_90_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_84 <= 1'h0; + end else if (_T_7382) begin + ic_tag_valid_out_0_84 <= _T_5154; + end + end + always @(posedge rvclkhdr_90_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_85 <= 1'h0; + end else if (_T_7397) begin + ic_tag_valid_out_0_85 <= _T_5154; + end + end + always @(posedge rvclkhdr_90_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_86 <= 1'h0; + end else if (_T_7412) begin + ic_tag_valid_out_0_86 <= _T_5154; + end + end + always @(posedge rvclkhdr_90_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_87 <= 1'h0; + end else if (_T_7427) begin + ic_tag_valid_out_0_87 <= _T_5154; + end + end + always @(posedge rvclkhdr_90_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_88 <= 1'h0; + end else if (_T_7442) begin + ic_tag_valid_out_0_88 <= _T_5154; + end + end + always @(posedge rvclkhdr_90_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_89 <= 1'h0; + end else if (_T_7457) begin + ic_tag_valid_out_0_89 <= _T_5154; + end + end + always @(posedge rvclkhdr_90_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_90 <= 1'h0; + end else if (_T_7472) begin + ic_tag_valid_out_0_90 <= _T_5154; + end + end + always @(posedge rvclkhdr_90_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_91 <= 1'h0; + end else if (_T_7487) begin + ic_tag_valid_out_0_91 <= _T_5154; + end + end + always @(posedge rvclkhdr_90_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_92 <= 1'h0; + end else if (_T_7502) begin + ic_tag_valid_out_0_92 <= _T_5154; + end + end + always @(posedge rvclkhdr_90_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_93 <= 1'h0; + end else if (_T_7517) begin + ic_tag_valid_out_0_93 <= _T_5154; + end + end + always @(posedge rvclkhdr_90_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_94 <= 1'h0; + end else if (_T_7532) begin + ic_tag_valid_out_0_94 <= _T_5154; + end + end + always @(posedge rvclkhdr_90_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_95 <= 1'h0; + end else if (_T_7547) begin + ic_tag_valid_out_0_95 <= _T_5154; + end + end + always @(posedge rvclkhdr_92_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_96 <= 1'h0; + end else if (_T_8042) begin + ic_tag_valid_out_0_96 <= _T_5154; + end + end + always @(posedge rvclkhdr_92_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_97 <= 1'h0; + end else if (_T_8057) begin + ic_tag_valid_out_0_97 <= _T_5154; + end + end + always @(posedge rvclkhdr_92_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_98 <= 1'h0; + end else if (_T_8072) begin + ic_tag_valid_out_0_98 <= _T_5154; + end + end + always @(posedge rvclkhdr_92_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_99 <= 1'h0; + end else if (_T_8087) begin + ic_tag_valid_out_0_99 <= _T_5154; + end + end + always @(posedge rvclkhdr_92_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_100 <= 1'h0; + end else if (_T_8102) begin + ic_tag_valid_out_0_100 <= _T_5154; + end + end + always @(posedge rvclkhdr_92_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_101 <= 1'h0; + end else if (_T_8117) begin + ic_tag_valid_out_0_101 <= _T_5154; + end + end + always @(posedge rvclkhdr_92_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_102 <= 1'h0; + end else if (_T_8132) begin + ic_tag_valid_out_0_102 <= _T_5154; + end + end + always @(posedge rvclkhdr_92_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_103 <= 1'h0; + end else if (_T_8147) begin + ic_tag_valid_out_0_103 <= _T_5154; + end + end + always @(posedge rvclkhdr_92_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_104 <= 1'h0; + end else if (_T_8162) begin + ic_tag_valid_out_0_104 <= _T_5154; + end + end + always @(posedge rvclkhdr_92_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_105 <= 1'h0; + end else if (_T_8177) begin + ic_tag_valid_out_0_105 <= _T_5154; + end + end + always @(posedge rvclkhdr_92_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_106 <= 1'h0; + end else if (_T_8192) begin + ic_tag_valid_out_0_106 <= _T_5154; + end + end + always @(posedge rvclkhdr_92_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_107 <= 1'h0; + end else if (_T_8207) begin + ic_tag_valid_out_0_107 <= _T_5154; + end + end + always @(posedge rvclkhdr_92_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_108 <= 1'h0; + end else if (_T_8222) begin + ic_tag_valid_out_0_108 <= _T_5154; + end + end + always @(posedge rvclkhdr_92_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_109 <= 1'h0; + end else if (_T_8237) begin + ic_tag_valid_out_0_109 <= _T_5154; + end + end + always @(posedge rvclkhdr_92_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_110 <= 1'h0; + end else if (_T_8252) begin + ic_tag_valid_out_0_110 <= _T_5154; + end + end + always @(posedge rvclkhdr_92_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_111 <= 1'h0; + end else if (_T_8267) begin + ic_tag_valid_out_0_111 <= _T_5154; + end + end + always @(posedge rvclkhdr_92_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_112 <= 1'h0; + end else if (_T_8282) begin + ic_tag_valid_out_0_112 <= _T_5154; + end + end + always @(posedge rvclkhdr_92_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_113 <= 1'h0; + end else if (_T_8297) begin + ic_tag_valid_out_0_113 <= _T_5154; + end + end + always @(posedge rvclkhdr_92_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_114 <= 1'h0; + end else if (_T_8312) begin + ic_tag_valid_out_0_114 <= _T_5154; + end + end + always @(posedge rvclkhdr_92_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_115 <= 1'h0; + end else if (_T_8327) begin + ic_tag_valid_out_0_115 <= _T_5154; + end + end + always @(posedge rvclkhdr_92_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_116 <= 1'h0; + end else if (_T_8342) begin + ic_tag_valid_out_0_116 <= _T_5154; + end + end + always @(posedge rvclkhdr_92_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_117 <= 1'h0; + end else if (_T_8357) begin + ic_tag_valid_out_0_117 <= _T_5154; + end + end + always @(posedge rvclkhdr_92_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_118 <= 1'h0; + end else if (_T_8372) begin + ic_tag_valid_out_0_118 <= _T_5154; + end + end + always @(posedge rvclkhdr_92_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_119 <= 1'h0; + end else if (_T_8387) begin + ic_tag_valid_out_0_119 <= _T_5154; + end + end + always @(posedge rvclkhdr_92_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_120 <= 1'h0; + end else if (_T_8402) begin + ic_tag_valid_out_0_120 <= _T_5154; + end + end + always @(posedge rvclkhdr_92_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_121 <= 1'h0; + end else if (_T_8417) begin + ic_tag_valid_out_0_121 <= _T_5154; + end + end + always @(posedge rvclkhdr_92_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_122 <= 1'h0; + end else if (_T_8432) begin + ic_tag_valid_out_0_122 <= _T_5154; + end + end + always @(posedge rvclkhdr_92_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_123 <= 1'h0; + end else if (_T_8447) begin + ic_tag_valid_out_0_123 <= _T_5154; + end + end + always @(posedge rvclkhdr_92_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_124 <= 1'h0; + end else if (_T_8462) begin + ic_tag_valid_out_0_124 <= _T_5154; + end + end + always @(posedge rvclkhdr_92_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_125 <= 1'h0; + end else if (_T_8477) begin + ic_tag_valid_out_0_125 <= _T_5154; + end + end + always @(posedge rvclkhdr_92_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_126 <= 1'h0; + end else if (_T_8492) begin + ic_tag_valid_out_0_126 <= _T_5154; + end + end + always @(posedge rvclkhdr_92_io_l1clk or posedge reset) begin + if (reset) begin + ic_tag_valid_out_0_127 <= 1'h0; + end else if (_T_8507) begin + ic_tag_valid_out_0_127 <= _T_5154; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + ic_debug_way_ff <= 2'h0; + end else begin + ic_debug_way_ff <= io_ic_debug_way; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + ic_debug_rd_en_ff <= 1'h0; + end else begin + ic_debug_rd_en_ff <= io_ic_debug_rd_en; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + _T_1212 <= 71'h0; + end else if (ic_debug_ict_array_sel_ff) begin + _T_1212 <= _T_1211; + end else begin + _T_1212 <= io_ic_debug_rd_data; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + ifc_region_acc_fault_memory_f <= 1'h0; + end else begin + ifc_region_acc_fault_memory_f <= _T_9885 & io_ifc_fetch_req_bf; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + perr_ic_index_ff <= 7'h0; + end else if (perr_sb_write_status) begin + perr_ic_index_ff <= ifu_ic_rw_int_addr_ff; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + dma_sb_err_state_ff <= 1'h0; + end else begin + dma_sb_err_state_ff <= perr_state == 3'h4; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + bus_cmd_req_hold <= 1'h0; + end else begin + bus_cmd_req_hold <= _T_2604 & _T_2623; + end + end + always @(posedge rvclkhdr_69_io_l1clk or posedge reset) begin + if (reset) begin + ifu_bus_cmd_valid <= 1'h0; + end else begin + ifu_bus_cmd_valid <= _T_2594 & _T_2600; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + bus_cmd_beat_count <= 3'h0; + end else if (bus_cmd_beat_en) begin + bus_cmd_beat_count <= bus_new_cmd_beat_count; + end + end + always @(posedge rvclkhdr_68_io_l1clk or posedge reset) begin + if (reset) begin + ifu_bus_arready_unq_ff <= 1'h0; + end else begin + ifu_bus_arready_unq_ff <= io_ifu_axi_ar_ready; + end + end + always @(posedge rvclkhdr_68_io_l1clk or posedge reset) begin + if (reset) begin + ifu_bus_arvalid_ff <= 1'h0; + end else begin + ifu_bus_arvalid_ff <= io_ifu_axi_ar_valid; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + ifc_dma_access_ok_prev <= 1'h0; + end else begin + ifc_dma_access_ok_prev <= _T_2699 & _T_2700; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + iccm_ecc_corr_data_ff <= 39'h0; + end else if (iccm_ecc_write_status) begin + iccm_ecc_corr_data_ff <= _T_3932; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + dma_mem_addr_ff <= 2'h0; + end else begin + dma_mem_addr_ff <= io_dma_mem_ctl_dma_mem_addr[3:2]; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + dma_mem_tag_ff <= 3'h0; + end else begin + dma_mem_tag_ff <= io_dma_mem_ctl_dma_mem_tag; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + iccm_dma_rtag_temp <= 3'h0; + end else begin + iccm_dma_rtag_temp <= dma_mem_tag_ff; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + iccm_dma_rvalid_temp <= 1'h0; + end else begin + iccm_dma_rvalid_temp <= iccm_dma_rvalid_in; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + iccm_dma_ecc_error <= 1'h0; + end else begin + iccm_dma_ecc_error <= |iccm_double_ecc_error; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + iccm_dma_rdata_temp <= 64'h0; + end else if (iccm_dma_ecc_error_in) begin + iccm_dma_rdata_temp <= _T_3104; + end else begin + iccm_dma_rdata_temp <= _T_3105; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + iccm_ecc_corr_index_ff <= 14'h0; + end else if (iccm_ecc_write_status) begin + if (iccm_single_ecc_error[0]) begin + iccm_ecc_corr_index_ff <= iccm_rw_addr_f; + end else begin + iccm_ecc_corr_index_ff <= _T_3928; + end + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + iccm_rd_ecc_single_err_ff <= 1'h0; + end else begin + iccm_rd_ecc_single_err_ff <= _T_3923 & _T_319; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + iccm_rw_addr_f <= 14'h0; + end else begin + iccm_rw_addr_f <= io_iccm_rw_addr[14:1]; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + ifu_status_wr_addr_ff <= 7'h0; + end else if (_T_3997) begin + ifu_status_wr_addr_ff <= io_ic_debug_addr[9:3]; + end else begin + ifu_status_wr_addr_ff <= ifu_status_wr_addr[11:5]; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + way_status_wr_en_ff <= 1'h0; + end else begin + way_status_wr_en_ff <= way_status_wr_en | _T_4000; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + way_status_new_ff <= 1'h0; + end else if (_T_4000) begin + way_status_new_ff <= io_ic_debug_wr_data[4]; + end else if (_T_9777) begin + way_status_new_ff <= replace_way_mb_any_0; + end else begin + way_status_new_ff <= way_status_hit_new; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + ifu_tag_wren_ff <= 2'h0; + end else begin + ifu_tag_wren_ff <= ifu_tag_wren | ic_debug_tag_wr_en; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + ic_valid_ff <= 1'h0; + end else if (_T_4000) begin + ic_valid_ff <= io_ic_debug_wr_data[0]; + end else begin + ic_valid_ff <= ic_valid; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + _T_9799 <= 1'h0; + end else begin + _T_9799 <= _T_233 & _T_209; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + _T_9800 <= 1'h0; + end else begin + _T_9800 <= _T_225 & _T_247; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + _T_9801 <= 1'h0; + end else begin + _T_9801 <= ic_byp_hit_f & ifu_byp_data_err_new; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + _T_9805 <= 1'h0; + end else begin + _T_9805 <= _T_9803 & miss_pending; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + _T_9806 <= 1'h0; + end else begin + _T_9806 <= _T_2618 & _T_2623; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_9826 <= 1'h0; + end else begin + _T_9826 <= ic_debug_rd_en_ff; + end + end +endmodule +module ifu_bp_ctl( + input clock, + input reset, + input io_active_clk, + input io_ic_hit_f, + input io_exu_flush_final, + input [30:0] io_ifc_fetch_addr_f, + input io_ifc_fetch_req_f, + input io_dec_bp_dec_tlu_br0_r_pkt_valid, + input [1:0] io_dec_bp_dec_tlu_br0_r_pkt_bits_hist, + input io_dec_bp_dec_tlu_br0_r_pkt_bits_br_error, + input io_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error, + input io_dec_bp_dec_tlu_br0_r_pkt_bits_way, + input io_dec_bp_dec_tlu_br0_r_pkt_bits_middle, + input io_dec_bp_dec_tlu_flush_leak_one_wb, + input io_dec_bp_dec_tlu_bpred_disable, + input io_dec_tlu_flush_lower_wb, + input [7:0] io_exu_bp_exu_i0_br_index_r, + input [7:0] io_exu_bp_exu_i0_br_fghr_r, + input io_exu_bp_exu_mp_pkt_bits_misp, + input io_exu_bp_exu_mp_pkt_bits_ataken, + input io_exu_bp_exu_mp_pkt_bits_boffset, + input io_exu_bp_exu_mp_pkt_bits_pc4, + input [1:0] io_exu_bp_exu_mp_pkt_bits_hist, + input [11:0] io_exu_bp_exu_mp_pkt_bits_toffset, + input io_exu_bp_exu_mp_pkt_bits_pcall, + input io_exu_bp_exu_mp_pkt_bits_pret, + input io_exu_bp_exu_mp_pkt_bits_pja, + input io_exu_bp_exu_mp_pkt_bits_way, + input [7:0] io_exu_bp_exu_mp_eghr, + input [7:0] io_exu_bp_exu_mp_fghr, + input [7:0] io_exu_bp_exu_mp_index, + input [4:0] io_exu_bp_exu_mp_btag, + output io_ifu_bp_hit_taken_f, + output [30:0] io_ifu_bp_btb_target_f, + output io_ifu_bp_inst_mask_f, + output [7:0] io_ifu_bp_fghr_f, + output [1:0] io_ifu_bp_way_f, + output [1:0] io_ifu_bp_ret_f, + output [1:0] io_ifu_bp_hist1_f, + output [1:0] io_ifu_bp_hist0_f, + output [1:0] io_ifu_bp_pc4_f, + output [1:0] io_ifu_bp_valid_f, + output [11:0] io_ifu_bp_poffset_f, + input io_scan_mode +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; + reg [31:0] _RAND_11; + reg [31:0] _RAND_12; + reg [31:0] _RAND_13; + reg [31:0] _RAND_14; + reg [31:0] _RAND_15; + reg [31:0] _RAND_16; + reg [31:0] _RAND_17; + reg [31:0] _RAND_18; + reg [31:0] _RAND_19; + reg [31:0] _RAND_20; + reg [31:0] _RAND_21; + reg [31:0] _RAND_22; + reg [31:0] _RAND_23; + reg [31:0] _RAND_24; + reg [31:0] _RAND_25; + reg [31:0] _RAND_26; + reg [31:0] _RAND_27; + reg [31:0] _RAND_28; + reg [31:0] _RAND_29; + reg [31:0] _RAND_30; + reg [31:0] _RAND_31; + reg [31:0] _RAND_32; + reg [31:0] _RAND_33; + reg [31:0] _RAND_34; + reg [31:0] _RAND_35; + reg [31:0] _RAND_36; + reg [31:0] _RAND_37; + reg [31:0] _RAND_38; + reg [31:0] _RAND_39; + reg [31:0] _RAND_40; + reg [31:0] _RAND_41; + reg [31:0] _RAND_42; + reg [31:0] _RAND_43; + reg [31:0] _RAND_44; + reg [31:0] _RAND_45; + reg [31:0] _RAND_46; + reg [31:0] _RAND_47; + reg [31:0] _RAND_48; + reg [31:0] _RAND_49; + reg [31:0] _RAND_50; + reg [31:0] _RAND_51; + reg [31:0] _RAND_52; + reg [31:0] _RAND_53; + reg [31:0] _RAND_54; + reg [31:0] _RAND_55; + reg [31:0] _RAND_56; + reg [31:0] _RAND_57; + reg [31:0] _RAND_58; + reg [31:0] _RAND_59; + reg [31:0] _RAND_60; + reg [31:0] _RAND_61; + reg [31:0] _RAND_62; + reg [31:0] _RAND_63; + reg [31:0] _RAND_64; + reg [31:0] _RAND_65; + reg [31:0] _RAND_66; + reg [31:0] _RAND_67; + reg [31:0] _RAND_68; + reg [31:0] _RAND_69; + reg [31:0] _RAND_70; + reg [31:0] _RAND_71; + reg [31:0] _RAND_72; + reg [31:0] _RAND_73; + reg [31:0] _RAND_74; + reg [31:0] _RAND_75; + reg [31:0] _RAND_76; + reg [31:0] _RAND_77; + reg [31:0] _RAND_78; + reg [31:0] _RAND_79; + reg [31:0] _RAND_80; + reg [31:0] _RAND_81; + reg [31:0] _RAND_82; + reg [31:0] _RAND_83; + reg [31:0] _RAND_84; + reg [31:0] _RAND_85; + reg [31:0] _RAND_86; + reg [31:0] _RAND_87; + reg [31:0] _RAND_88; + reg [31:0] _RAND_89; + reg [31:0] _RAND_90; + reg [31:0] _RAND_91; + reg [31:0] _RAND_92; + reg [31:0] _RAND_93; + reg [31:0] _RAND_94; + reg [31:0] _RAND_95; + reg [31:0] _RAND_96; + reg [31:0] _RAND_97; + reg [31:0] _RAND_98; + reg [31:0] _RAND_99; + reg [31:0] _RAND_100; + reg [31:0] _RAND_101; + reg [31:0] _RAND_102; + reg [31:0] _RAND_103; + reg [31:0] _RAND_104; + reg [31:0] _RAND_105; + reg [31:0] _RAND_106; + reg [31:0] _RAND_107; + reg [31:0] _RAND_108; + reg [31:0] _RAND_109; + reg [31:0] _RAND_110; + reg [31:0] _RAND_111; + reg [31:0] _RAND_112; + reg [31:0] _RAND_113; + reg [31:0] _RAND_114; + reg [31:0] _RAND_115; + reg [31:0] _RAND_116; + reg [31:0] _RAND_117; + reg [31:0] _RAND_118; + reg [31:0] _RAND_119; + reg [31:0] _RAND_120; + reg [31:0] _RAND_121; + reg [31:0] _RAND_122; + reg [31:0] _RAND_123; + reg [31:0] _RAND_124; + reg [31:0] _RAND_125; + reg [31:0] _RAND_126; + reg [31:0] _RAND_127; + reg [31:0] _RAND_128; + reg [31:0] _RAND_129; + reg [31:0] _RAND_130; + reg [31:0] _RAND_131; + reg [31:0] _RAND_132; + reg [31:0] _RAND_133; + reg [31:0] _RAND_134; + reg [31:0] _RAND_135; + reg [31:0] _RAND_136; + reg [31:0] _RAND_137; + reg [31:0] _RAND_138; + reg [31:0] _RAND_139; + reg [31:0] _RAND_140; + reg [31:0] _RAND_141; + reg [31:0] _RAND_142; + reg [31:0] _RAND_143; + reg [31:0] _RAND_144; + reg [31:0] _RAND_145; + reg [31:0] _RAND_146; + reg [31:0] _RAND_147; + reg [31:0] _RAND_148; + reg [31:0] _RAND_149; + reg [31:0] _RAND_150; + reg [31:0] _RAND_151; + reg [31:0] _RAND_152; + reg [31:0] _RAND_153; + reg [31:0] _RAND_154; + reg [31:0] _RAND_155; + reg [31:0] _RAND_156; + reg [31:0] _RAND_157; + reg [31:0] _RAND_158; + reg [31:0] _RAND_159; + reg [31:0] _RAND_160; + reg [31:0] _RAND_161; + reg [31:0] _RAND_162; + reg [31:0] _RAND_163; + reg [31:0] _RAND_164; + reg [31:0] _RAND_165; + reg [31:0] _RAND_166; + reg [31:0] _RAND_167; + reg [31:0] _RAND_168; + reg [31:0] _RAND_169; + reg [31:0] _RAND_170; + reg [31:0] _RAND_171; + reg [31:0] _RAND_172; + reg [31:0] _RAND_173; + reg [31:0] _RAND_174; + reg [31:0] _RAND_175; + reg [31:0] _RAND_176; + reg [31:0] _RAND_177; + reg [31:0] _RAND_178; + reg [31:0] _RAND_179; + reg [31:0] _RAND_180; + reg [31:0] _RAND_181; + reg [31:0] _RAND_182; + reg [31:0] _RAND_183; + reg [31:0] _RAND_184; + reg [31:0] _RAND_185; + reg [31:0] _RAND_186; + reg [31:0] _RAND_187; + reg [31:0] _RAND_188; + reg [31:0] _RAND_189; + reg [31:0] _RAND_190; + reg [31:0] _RAND_191; + reg [31:0] _RAND_192; + reg [31:0] _RAND_193; + reg [31:0] _RAND_194; + reg [31:0] _RAND_195; + reg [31:0] _RAND_196; + reg [31:0] _RAND_197; + reg [31:0] _RAND_198; + reg [31:0] _RAND_199; + reg [31:0] _RAND_200; + reg [31:0] _RAND_201; + reg [31:0] _RAND_202; + reg [31:0] _RAND_203; + reg [31:0] _RAND_204; + reg [31:0] _RAND_205; + reg [31:0] _RAND_206; + reg [31:0] _RAND_207; + reg [31:0] _RAND_208; + reg [31:0] _RAND_209; + reg [31:0] _RAND_210; + reg [31:0] _RAND_211; + reg [31:0] _RAND_212; + reg [31:0] _RAND_213; + reg [31:0] _RAND_214; + reg [31:0] _RAND_215; + reg [31:0] _RAND_216; + reg [31:0] _RAND_217; + reg [31:0] _RAND_218; + reg [31:0] _RAND_219; + reg [31:0] _RAND_220; + reg [31:0] _RAND_221; + reg [31:0] _RAND_222; + reg [31:0] _RAND_223; + reg [31:0] _RAND_224; + reg [31:0] _RAND_225; + reg [31:0] _RAND_226; + reg [31:0] _RAND_227; + reg [31:0] _RAND_228; + reg [31:0] _RAND_229; + reg [31:0] _RAND_230; + reg [31:0] _RAND_231; + reg [31:0] _RAND_232; + reg [31:0] _RAND_233; + reg [31:0] _RAND_234; + reg [31:0] _RAND_235; + reg [31:0] _RAND_236; + reg [31:0] _RAND_237; + reg [31:0] _RAND_238; + reg [31:0] _RAND_239; + reg [31:0] _RAND_240; + reg [31:0] _RAND_241; + reg [31:0] _RAND_242; + reg [31:0] _RAND_243; + reg [31:0] _RAND_244; + reg [31:0] _RAND_245; + reg [31:0] _RAND_246; + reg [31:0] _RAND_247; + reg [31:0] _RAND_248; + reg [31:0] _RAND_249; + reg [31:0] _RAND_250; + reg [31:0] _RAND_251; + reg [31:0] _RAND_252; + reg [31:0] _RAND_253; + reg [31:0] _RAND_254; + reg [31:0] _RAND_255; + reg [31:0] _RAND_256; + reg [31:0] _RAND_257; + reg [31:0] _RAND_258; + reg [31:0] _RAND_259; + reg [31:0] _RAND_260; + reg [31:0] _RAND_261; + reg [31:0] _RAND_262; + reg [31:0] _RAND_263; + reg [31:0] _RAND_264; + reg [31:0] _RAND_265; + reg [31:0] _RAND_266; + reg [31:0] _RAND_267; + reg [31:0] _RAND_268; + reg [31:0] _RAND_269; + reg [31:0] _RAND_270; + reg [31:0] _RAND_271; + reg [31:0] _RAND_272; + reg [31:0] _RAND_273; + reg [31:0] _RAND_274; + reg [31:0] _RAND_275; + reg [31:0] _RAND_276; + reg [31:0] _RAND_277; + reg [31:0] _RAND_278; + reg [31:0] _RAND_279; + reg [31:0] _RAND_280; + reg [31:0] _RAND_281; + reg [31:0] _RAND_282; + reg [31:0] _RAND_283; + reg [31:0] _RAND_284; + reg [31:0] _RAND_285; + reg [31:0] _RAND_286; + reg [31:0] _RAND_287; + reg [31:0] _RAND_288; + reg [31:0] _RAND_289; + reg [31:0] _RAND_290; + reg [31:0] _RAND_291; + reg [31:0] _RAND_292; + reg [31:0] _RAND_293; + reg [31:0] _RAND_294; + reg [31:0] _RAND_295; + reg [31:0] _RAND_296; + reg [31:0] _RAND_297; + reg [31:0] _RAND_298; + reg [31:0] _RAND_299; + reg [31:0] _RAND_300; + reg [31:0] _RAND_301; + reg [31:0] _RAND_302; + reg [31:0] _RAND_303; + reg [31:0] _RAND_304; + reg [31:0] _RAND_305; + reg [31:0] _RAND_306; + reg [31:0] _RAND_307; + reg [31:0] _RAND_308; + reg [31:0] _RAND_309; + reg [31:0] _RAND_310; + reg [31:0] _RAND_311; + reg [31:0] _RAND_312; + reg [31:0] _RAND_313; + reg [31:0] _RAND_314; + reg [31:0] _RAND_315; + reg [31:0] _RAND_316; + reg [31:0] _RAND_317; + reg [31:0] _RAND_318; + reg [31:0] _RAND_319; + reg [31:0] _RAND_320; + reg [31:0] _RAND_321; + reg [31:0] _RAND_322; + reg [31:0] _RAND_323; + reg [31:0] _RAND_324; + reg [31:0] _RAND_325; + reg [31:0] _RAND_326; + reg [31:0] _RAND_327; + reg [31:0] _RAND_328; + reg [31:0] _RAND_329; + reg [31:0] _RAND_330; + reg [31:0] _RAND_331; + reg [31:0] _RAND_332; + reg [31:0] _RAND_333; + reg [31:0] _RAND_334; + reg [31:0] _RAND_335; + reg [31:0] _RAND_336; + reg [31:0] _RAND_337; + reg [31:0] _RAND_338; + reg [31:0] _RAND_339; + reg [31:0] _RAND_340; + reg [31:0] _RAND_341; + reg [31:0] _RAND_342; + reg [31:0] _RAND_343; + reg [31:0] _RAND_344; + reg [31:0] _RAND_345; + reg [31:0] _RAND_346; + reg [31:0] _RAND_347; + reg [31:0] _RAND_348; + reg [31:0] _RAND_349; + reg [31:0] _RAND_350; + reg [31:0] _RAND_351; + reg [31:0] _RAND_352; + reg [31:0] _RAND_353; + reg [31:0] _RAND_354; + reg [31:0] _RAND_355; + reg [31:0] _RAND_356; + reg [31:0] _RAND_357; + reg [31:0] _RAND_358; + reg [31:0] _RAND_359; + reg [31:0] _RAND_360; + reg [31:0] _RAND_361; + reg [31:0] _RAND_362; + reg [31:0] _RAND_363; + reg [31:0] _RAND_364; + reg [31:0] _RAND_365; + reg [31:0] _RAND_366; + reg [31:0] _RAND_367; + reg [31:0] _RAND_368; + reg [31:0] _RAND_369; + reg [31:0] _RAND_370; + reg [31:0] _RAND_371; + reg [31:0] _RAND_372; + reg [31:0] _RAND_373; + reg [31:0] _RAND_374; + reg [31:0] _RAND_375; + reg [31:0] _RAND_376; + reg [31:0] _RAND_377; + reg [31:0] _RAND_378; + reg [31:0] _RAND_379; + reg [31:0] _RAND_380; + reg [31:0] _RAND_381; + reg [31:0] _RAND_382; + reg [31:0] _RAND_383; + reg [31:0] _RAND_384; + reg [31:0] _RAND_385; + reg [31:0] _RAND_386; + reg [31:0] _RAND_387; + reg [31:0] _RAND_388; + reg [31:0] _RAND_389; + reg [31:0] _RAND_390; + reg [31:0] _RAND_391; + reg [31:0] _RAND_392; + reg [31:0] _RAND_393; + reg [31:0] _RAND_394; + reg [31:0] _RAND_395; + reg [31:0] _RAND_396; + reg [31:0] _RAND_397; + reg [31:0] _RAND_398; + reg [31:0] _RAND_399; + reg [31:0] _RAND_400; + reg [31:0] _RAND_401; + reg [31:0] _RAND_402; + reg [31:0] _RAND_403; + reg [31:0] _RAND_404; + reg [31:0] _RAND_405; + reg [31:0] _RAND_406; + reg [31:0] _RAND_407; + reg [31:0] _RAND_408; + reg [31:0] _RAND_409; + reg [31:0] _RAND_410; + reg [31:0] _RAND_411; + reg [31:0] _RAND_412; + reg [31:0] _RAND_413; + reg [31:0] _RAND_414; + reg [31:0] _RAND_415; + reg [31:0] _RAND_416; + reg [31:0] _RAND_417; + reg [31:0] _RAND_418; + reg [31:0] _RAND_419; + reg [31:0] _RAND_420; + reg [31:0] _RAND_421; + reg [31:0] _RAND_422; + reg [31:0] _RAND_423; + reg [31:0] _RAND_424; + reg [31:0] _RAND_425; + reg [31:0] _RAND_426; + reg [31:0] _RAND_427; + reg [31:0] _RAND_428; + reg [31:0] _RAND_429; + reg [31:0] _RAND_430; + reg [31:0] _RAND_431; + reg [31:0] _RAND_432; + reg [31:0] _RAND_433; + reg [31:0] _RAND_434; + reg [31:0] _RAND_435; + reg [31:0] _RAND_436; + reg [31:0] _RAND_437; + reg [31:0] _RAND_438; + reg [31:0] _RAND_439; + reg [31:0] _RAND_440; + reg [31:0] _RAND_441; + reg [31:0] _RAND_442; + reg [31:0] _RAND_443; + reg [31:0] _RAND_444; + reg [31:0] _RAND_445; + reg [31:0] _RAND_446; + reg [31:0] _RAND_447; + reg [31:0] _RAND_448; + reg [31:0] _RAND_449; + reg [31:0] _RAND_450; + reg [31:0] _RAND_451; + reg [31:0] _RAND_452; + reg [31:0] _RAND_453; + reg [31:0] _RAND_454; + reg [31:0] _RAND_455; + reg [31:0] _RAND_456; + reg [31:0] _RAND_457; + reg [31:0] _RAND_458; + reg [31:0] _RAND_459; + reg [31:0] _RAND_460; + reg [31:0] _RAND_461; + reg [31:0] _RAND_462; + reg [31:0] _RAND_463; + reg [31:0] _RAND_464; + reg [31:0] _RAND_465; + reg [31:0] _RAND_466; + reg [31:0] _RAND_467; + reg [31:0] _RAND_468; + reg [31:0] _RAND_469; + reg [31:0] _RAND_470; + reg [31:0] _RAND_471; + reg [31:0] _RAND_472; + reg [31:0] _RAND_473; + reg [31:0] _RAND_474; + reg [31:0] _RAND_475; + reg [31:0] _RAND_476; + reg [31:0] _RAND_477; + reg [31:0] _RAND_478; + reg [31:0] _RAND_479; + reg [31:0] _RAND_480; + reg [31:0] _RAND_481; + reg [31:0] _RAND_482; + reg [31:0] _RAND_483; + reg [31:0] _RAND_484; + reg [31:0] _RAND_485; + reg [31:0] _RAND_486; + reg [31:0] _RAND_487; + reg [31:0] _RAND_488; + reg [31:0] _RAND_489; + reg [31:0] _RAND_490; + reg [31:0] _RAND_491; + reg [31:0] _RAND_492; + reg [31:0] _RAND_493; + reg [31:0] _RAND_494; + reg [31:0] _RAND_495; + reg [31:0] _RAND_496; + reg [31:0] _RAND_497; + reg [31:0] _RAND_498; + reg [31:0] _RAND_499; + reg [31:0] _RAND_500; + reg [31:0] _RAND_501; + reg [31:0] _RAND_502; + reg [31:0] _RAND_503; + reg [31:0] _RAND_504; + reg [31:0] _RAND_505; + reg [31:0] _RAND_506; + reg [31:0] _RAND_507; + reg [31:0] _RAND_508; + reg [31:0] _RAND_509; + reg [31:0] _RAND_510; + reg [31:0] _RAND_511; + reg [31:0] _RAND_512; + reg [31:0] _RAND_513; + reg [31:0] _RAND_514; + reg [31:0] _RAND_515; + reg [31:0] _RAND_516; + reg [31:0] _RAND_517; + reg [31:0] _RAND_518; + reg [31:0] _RAND_519; + reg [31:0] _RAND_520; + reg [31:0] _RAND_521; + reg [31:0] _RAND_522; + reg [31:0] _RAND_523; + reg [31:0] _RAND_524; + reg [31:0] _RAND_525; + reg [31:0] _RAND_526; + reg [31:0] _RAND_527; + reg [31:0] _RAND_528; + reg [31:0] _RAND_529; + reg [31:0] _RAND_530; + reg [31:0] _RAND_531; + reg [31:0] _RAND_532; + reg [31:0] _RAND_533; + reg [31:0] _RAND_534; + reg [31:0] _RAND_535; + reg [31:0] _RAND_536; + reg [31:0] _RAND_537; + reg [31:0] _RAND_538; + reg [31:0] _RAND_539; + reg [31:0] _RAND_540; + reg [31:0] _RAND_541; + reg [31:0] _RAND_542; + reg [31:0] _RAND_543; + reg [31:0] _RAND_544; + reg [31:0] _RAND_545; + reg [31:0] _RAND_546; + reg [31:0] _RAND_547; + reg [31:0] _RAND_548; + reg [31:0] _RAND_549; + reg [31:0] _RAND_550; + reg [31:0] _RAND_551; + reg [31:0] _RAND_552; + reg [31:0] _RAND_553; + reg [31:0] _RAND_554; + reg [31:0] _RAND_555; + reg [31:0] _RAND_556; + reg [31:0] _RAND_557; + reg [31:0] _RAND_558; + reg [31:0] _RAND_559; + reg [31:0] _RAND_560; + reg [31:0] _RAND_561; + reg [31:0] _RAND_562; + reg [31:0] _RAND_563; + reg [31:0] _RAND_564; + reg [31:0] _RAND_565; + reg [31:0] _RAND_566; + reg [31:0] _RAND_567; + reg [31:0] _RAND_568; + reg [31:0] _RAND_569; + reg [31:0] _RAND_570; + reg [31:0] _RAND_571; + reg [31:0] _RAND_572; + reg [31:0] _RAND_573; + reg [31:0] _RAND_574; + reg [31:0] _RAND_575; + reg [31:0] _RAND_576; + reg [31:0] _RAND_577; + reg [31:0] _RAND_578; + reg [31:0] _RAND_579; + reg [31:0] _RAND_580; + reg [31:0] _RAND_581; + reg [31:0] _RAND_582; + reg [31:0] _RAND_583; + reg [31:0] _RAND_584; + reg [31:0] _RAND_585; + reg [31:0] _RAND_586; + reg [31:0] _RAND_587; + reg [31:0] _RAND_588; + reg [31:0] _RAND_589; + reg [31:0] _RAND_590; + reg [31:0] _RAND_591; + reg [31:0] _RAND_592; + reg [31:0] _RAND_593; + reg [31:0] _RAND_594; + reg [31:0] _RAND_595; + reg [31:0] _RAND_596; + reg [31:0] _RAND_597; + reg [31:0] _RAND_598; + reg [31:0] _RAND_599; + reg [31:0] _RAND_600; + reg [31:0] _RAND_601; + reg [31:0] _RAND_602; + reg [31:0] _RAND_603; + reg [31:0] _RAND_604; + reg [31:0] _RAND_605; + reg [31:0] _RAND_606; + reg [31:0] _RAND_607; + reg [31:0] _RAND_608; + reg [31:0] _RAND_609; + reg [31:0] _RAND_610; + reg [31:0] _RAND_611; + reg [31:0] _RAND_612; + reg [31:0] _RAND_613; + reg [31:0] _RAND_614; + reg [31:0] _RAND_615; + reg [31:0] _RAND_616; + reg [31:0] _RAND_617; + reg [31:0] _RAND_618; + reg [31:0] _RAND_619; + reg [31:0] _RAND_620; + reg [31:0] _RAND_621; + reg [31:0] _RAND_622; + reg [31:0] _RAND_623; + reg [31:0] _RAND_624; + reg [31:0] _RAND_625; + reg [31:0] _RAND_626; + reg [31:0] _RAND_627; + reg [31:0] _RAND_628; + reg [31:0] _RAND_629; + reg [31:0] _RAND_630; + reg [31:0] _RAND_631; + reg [31:0] _RAND_632; + reg [31:0] _RAND_633; + reg [31:0] _RAND_634; + reg [31:0] _RAND_635; + reg [31:0] _RAND_636; + reg [31:0] _RAND_637; + reg [31:0] _RAND_638; + reg [31:0] _RAND_639; + reg [31:0] _RAND_640; + reg [31:0] _RAND_641; + reg [31:0] _RAND_642; + reg [31:0] _RAND_643; + reg [31:0] _RAND_644; + reg [31:0] _RAND_645; + reg [31:0] _RAND_646; + reg [31:0] _RAND_647; + reg [31:0] _RAND_648; + reg [31:0] _RAND_649; + reg [31:0] _RAND_650; + reg [31:0] _RAND_651; + reg [31:0] _RAND_652; + reg [31:0] _RAND_653; + reg [31:0] _RAND_654; + reg [31:0] _RAND_655; + reg [31:0] _RAND_656; + reg [31:0] _RAND_657; + reg [31:0] _RAND_658; + reg [31:0] _RAND_659; + reg [31:0] _RAND_660; + reg [31:0] _RAND_661; + reg [31:0] _RAND_662; + reg [31:0] _RAND_663; + reg [31:0] _RAND_664; + reg [31:0] _RAND_665; + reg [31:0] _RAND_666; + reg [31:0] _RAND_667; + reg [31:0] _RAND_668; + reg [31:0] _RAND_669; + reg [31:0] _RAND_670; + reg [31:0] _RAND_671; + reg [31:0] _RAND_672; + reg [31:0] _RAND_673; + reg [31:0] _RAND_674; + reg [31:0] _RAND_675; + reg [31:0] _RAND_676; + reg [31:0] _RAND_677; + reg [31:0] _RAND_678; + reg [31:0] _RAND_679; + reg [31:0] _RAND_680; + reg [31:0] _RAND_681; + reg [31:0] _RAND_682; + reg [31:0] _RAND_683; + reg [31:0] _RAND_684; + reg [31:0] _RAND_685; + reg [31:0] _RAND_686; + reg [31:0] _RAND_687; + reg [31:0] _RAND_688; + reg [31:0] _RAND_689; + reg [31:0] _RAND_690; + reg [31:0] _RAND_691; + reg [31:0] _RAND_692; + reg [31:0] _RAND_693; + reg [31:0] _RAND_694; + reg [31:0] _RAND_695; + reg [31:0] _RAND_696; + reg [31:0] _RAND_697; + reg [31:0] _RAND_698; + reg [31:0] _RAND_699; + reg [31:0] _RAND_700; + reg [31:0] _RAND_701; + reg [31:0] _RAND_702; + reg [31:0] _RAND_703; + reg [31:0] _RAND_704; + reg [31:0] _RAND_705; + reg [31:0] _RAND_706; + reg [31:0] _RAND_707; + reg [31:0] _RAND_708; + reg [31:0] _RAND_709; + reg [31:0] _RAND_710; + reg [31:0] _RAND_711; + reg [31:0] _RAND_712; + reg [31:0] _RAND_713; + reg [31:0] _RAND_714; + reg [31:0] _RAND_715; + reg [31:0] _RAND_716; + reg [31:0] _RAND_717; + reg [31:0] _RAND_718; + reg [31:0] _RAND_719; + reg [31:0] _RAND_720; + reg [31:0] _RAND_721; + reg [31:0] _RAND_722; + reg [31:0] _RAND_723; + reg [31:0] _RAND_724; + reg [31:0] _RAND_725; + reg [31:0] _RAND_726; + reg [31:0] _RAND_727; + reg [31:0] _RAND_728; + reg [31:0] _RAND_729; + reg [31:0] _RAND_730; + reg [31:0] _RAND_731; + reg [31:0] _RAND_732; + reg [31:0] _RAND_733; + reg [31:0] _RAND_734; + reg [31:0] _RAND_735; + reg [31:0] _RAND_736; + reg [31:0] _RAND_737; + reg [31:0] _RAND_738; + reg [31:0] _RAND_739; + reg [31:0] _RAND_740; + reg [31:0] _RAND_741; + reg [31:0] _RAND_742; + reg [31:0] _RAND_743; + reg [31:0] _RAND_744; + reg [31:0] _RAND_745; + reg [31:0] _RAND_746; + reg [31:0] _RAND_747; + reg [31:0] _RAND_748; + reg [31:0] _RAND_749; + reg [31:0] _RAND_750; + reg [31:0] _RAND_751; + reg [31:0] _RAND_752; + reg [31:0] _RAND_753; + reg [31:0] _RAND_754; + reg [31:0] _RAND_755; + reg [31:0] _RAND_756; + reg [31:0] _RAND_757; + reg [31:0] _RAND_758; + reg [31:0] _RAND_759; + reg [31:0] _RAND_760; + reg [31:0] _RAND_761; + reg [31:0] _RAND_762; + reg [31:0] _RAND_763; + reg [31:0] _RAND_764; + reg [31:0] _RAND_765; + reg [31:0] _RAND_766; + reg [31:0] _RAND_767; + reg [31:0] _RAND_768; + reg [31:0] _RAND_769; + reg [31:0] _RAND_770; + reg [31:0] _RAND_771; + reg [31:0] _RAND_772; + reg [31:0] _RAND_773; + reg [31:0] _RAND_774; + reg [31:0] _RAND_775; + reg [31:0] _RAND_776; + reg [31:0] _RAND_777; + reg [31:0] _RAND_778; + reg [31:0] _RAND_779; + reg [31:0] _RAND_780; + reg [31:0] _RAND_781; + reg [31:0] _RAND_782; + reg [31:0] _RAND_783; + reg [31:0] _RAND_784; + reg [31:0] _RAND_785; + reg [31:0] _RAND_786; + reg [31:0] _RAND_787; + reg [31:0] _RAND_788; + reg [31:0] _RAND_789; + reg [31:0] _RAND_790; + reg [31:0] _RAND_791; + reg [31:0] _RAND_792; + reg [31:0] _RAND_793; + reg [31:0] _RAND_794; + reg [31:0] _RAND_795; + reg [31:0] _RAND_796; + reg [31:0] _RAND_797; + reg [31:0] _RAND_798; + reg [31:0] _RAND_799; + reg [31:0] _RAND_800; + reg [31:0] _RAND_801; + reg [31:0] _RAND_802; + reg [31:0] _RAND_803; + reg [31:0] _RAND_804; + reg [31:0] _RAND_805; + reg [31:0] _RAND_806; + reg [31:0] _RAND_807; + reg [31:0] _RAND_808; + reg [31:0] _RAND_809; + reg [31:0] _RAND_810; + reg [31:0] _RAND_811; + reg [31:0] _RAND_812; + reg [31:0] _RAND_813; + reg [31:0] _RAND_814; + reg [31:0] _RAND_815; + reg [31:0] _RAND_816; + reg [31:0] _RAND_817; + reg [31:0] _RAND_818; + reg [31:0] _RAND_819; + reg [31:0] _RAND_820; + reg [31:0] _RAND_821; + reg [31:0] _RAND_822; + reg [31:0] _RAND_823; + reg [31:0] _RAND_824; + reg [31:0] _RAND_825; + reg [31:0] _RAND_826; + reg [31:0] _RAND_827; + reg [31:0] _RAND_828; + reg [31:0] _RAND_829; + reg [31:0] _RAND_830; + reg [31:0] _RAND_831; + reg [31:0] _RAND_832; + reg [31:0] _RAND_833; + reg [31:0] _RAND_834; + reg [31:0] _RAND_835; + reg [31:0] _RAND_836; + reg [31:0] _RAND_837; + reg [31:0] _RAND_838; + reg [31:0] _RAND_839; + reg [31:0] _RAND_840; + reg [31:0] _RAND_841; + reg [31:0] _RAND_842; + reg [31:0] _RAND_843; + reg [31:0] _RAND_844; + reg [31:0] _RAND_845; + reg [31:0] _RAND_846; + reg [31:0] _RAND_847; + reg [31:0] _RAND_848; + reg [31:0] _RAND_849; + reg [31:0] _RAND_850; + reg [31:0] _RAND_851; + reg [31:0] _RAND_852; + reg [31:0] _RAND_853; + reg [31:0] _RAND_854; + reg [31:0] _RAND_855; + reg [31:0] _RAND_856; + reg [31:0] _RAND_857; + reg [31:0] _RAND_858; + reg [31:0] _RAND_859; + reg [31:0] _RAND_860; + reg [31:0] _RAND_861; + reg [31:0] _RAND_862; + reg [31:0] _RAND_863; + reg [31:0] _RAND_864; + reg [31:0] _RAND_865; + reg [31:0] _RAND_866; + reg [31:0] _RAND_867; + reg [31:0] _RAND_868; + reg [31:0] _RAND_869; + reg [31:0] _RAND_870; + reg [31:0] _RAND_871; + reg [31:0] _RAND_872; + reg [31:0] _RAND_873; + reg [31:0] _RAND_874; + reg [31:0] _RAND_875; + reg [31:0] _RAND_876; + reg [31:0] _RAND_877; + reg [31:0] _RAND_878; + reg [31:0] _RAND_879; + reg [31:0] _RAND_880; + reg [31:0] _RAND_881; + reg [31:0] _RAND_882; + reg [31:0] _RAND_883; + reg [31:0] _RAND_884; + reg [31:0] _RAND_885; + reg [31:0] _RAND_886; + reg [31:0] _RAND_887; + reg [31:0] _RAND_888; + reg [31:0] _RAND_889; + reg [31:0] _RAND_890; + reg [31:0] _RAND_891; + reg [31:0] _RAND_892; + reg [31:0] _RAND_893; + reg [31:0] _RAND_894; + reg [31:0] _RAND_895; + reg [31:0] _RAND_896; + reg [31:0] _RAND_897; + reg [31:0] _RAND_898; + reg [31:0] _RAND_899; + reg [31:0] _RAND_900; + reg [31:0] _RAND_901; + reg [31:0] _RAND_902; + reg [31:0] _RAND_903; + reg [31:0] _RAND_904; + reg [31:0] _RAND_905; + reg [31:0] _RAND_906; + reg [31:0] _RAND_907; + reg [31:0] _RAND_908; + reg [31:0] _RAND_909; + reg [31:0] _RAND_910; + reg [31:0] _RAND_911; + reg [31:0] _RAND_912; + reg [31:0] _RAND_913; + reg [31:0] _RAND_914; + reg [31:0] _RAND_915; + reg [31:0] _RAND_916; + reg [31:0] _RAND_917; + reg [31:0] _RAND_918; + reg [31:0] _RAND_919; + reg [31:0] _RAND_920; + reg [31:0] _RAND_921; + reg [31:0] _RAND_922; + reg [31:0] _RAND_923; + reg [31:0] _RAND_924; + reg [31:0] _RAND_925; + reg [31:0] _RAND_926; + reg [31:0] _RAND_927; + reg [31:0] _RAND_928; + reg [31:0] _RAND_929; + reg [31:0] _RAND_930; + reg [31:0] _RAND_931; + reg [31:0] _RAND_932; + reg [31:0] _RAND_933; + reg [31:0] _RAND_934; + reg [31:0] _RAND_935; + reg [31:0] _RAND_936; + reg [31:0] _RAND_937; + reg [31:0] _RAND_938; + reg [31:0] _RAND_939; + reg [31:0] _RAND_940; + reg [31:0] _RAND_941; + reg [31:0] _RAND_942; + reg [31:0] _RAND_943; + reg [31:0] _RAND_944; + reg [31:0] _RAND_945; + reg [31:0] _RAND_946; + reg [31:0] _RAND_947; + reg [31:0] _RAND_948; + reg [31:0] _RAND_949; + reg [31:0] _RAND_950; + reg [31:0] _RAND_951; + reg [31:0] _RAND_952; + reg [31:0] _RAND_953; + reg [31:0] _RAND_954; + reg [31:0] _RAND_955; + reg [31:0] _RAND_956; + reg [31:0] _RAND_957; + reg [31:0] _RAND_958; + reg [31:0] _RAND_959; + reg [31:0] _RAND_960; + reg [31:0] _RAND_961; + reg [31:0] _RAND_962; + reg [31:0] _RAND_963; + reg [31:0] _RAND_964; + reg [31:0] _RAND_965; + reg [31:0] _RAND_966; + reg [31:0] _RAND_967; + reg [31:0] _RAND_968; + reg [31:0] _RAND_969; + reg [31:0] _RAND_970; + reg [31:0] _RAND_971; + reg [31:0] _RAND_972; + reg [31:0] _RAND_973; + reg [31:0] _RAND_974; + reg [31:0] _RAND_975; + reg [31:0] _RAND_976; + reg [31:0] _RAND_977; + reg [31:0] _RAND_978; + reg [31:0] _RAND_979; + reg [31:0] _RAND_980; + reg [31:0] _RAND_981; + reg [31:0] _RAND_982; + reg [31:0] _RAND_983; + reg [31:0] _RAND_984; + reg [31:0] _RAND_985; + reg [31:0] _RAND_986; + reg [31:0] _RAND_987; + reg [31:0] _RAND_988; + reg [31:0] _RAND_989; + reg [31:0] _RAND_990; + reg [31:0] _RAND_991; + reg [31:0] _RAND_992; + reg [31:0] _RAND_993; + reg [31:0] _RAND_994; + reg [31:0] _RAND_995; + reg [31:0] _RAND_996; + reg [31:0] _RAND_997; + reg [31:0] _RAND_998; + reg [31:0] _RAND_999; + reg [31:0] _RAND_1000; + reg [31:0] _RAND_1001; + reg [31:0] _RAND_1002; + reg [31:0] _RAND_1003; + reg [31:0] _RAND_1004; + reg [31:0] _RAND_1005; + reg [31:0] _RAND_1006; + reg [31:0] _RAND_1007; + reg [31:0] _RAND_1008; + reg [31:0] _RAND_1009; + reg [31:0] _RAND_1010; + reg [31:0] _RAND_1011; + reg [31:0] _RAND_1012; + reg [31:0] _RAND_1013; + reg [31:0] _RAND_1014; + reg [31:0] _RAND_1015; + reg [31:0] _RAND_1016; + reg [31:0] _RAND_1017; + reg [31:0] _RAND_1018; + reg [31:0] _RAND_1019; + reg [31:0] _RAND_1020; + reg [31:0] _RAND_1021; + reg [31:0] _RAND_1022; + reg [31:0] _RAND_1023; + reg [31:0] _RAND_1024; + reg [31:0] _RAND_1025; + reg [31:0] _RAND_1026; + reg [31:0] _RAND_1027; + reg [31:0] _RAND_1028; + reg [255:0] _RAND_1029; + reg [31:0] _RAND_1030; + reg [31:0] _RAND_1031; + reg [31:0] _RAND_1032; + reg [31:0] _RAND_1033; + reg [31:0] _RAND_1034; + reg [31:0] _RAND_1035; + reg [31:0] _RAND_1036; + reg [31:0] _RAND_1037; + reg [31:0] _RAND_1038; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_io_en; // @[lib.scala 368:23] + wire rvclkhdr_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_en; // @[lib.scala 368:23] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_en; // @[lib.scala 368:23] + wire rvclkhdr_2_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_en; // @[lib.scala 368:23] + wire rvclkhdr_3_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_4_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_en; // @[lib.scala 368:23] + wire rvclkhdr_4_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_5_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_en; // @[lib.scala 368:23] + wire rvclkhdr_5_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_6_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_6_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_6_io_en; // @[lib.scala 368:23] + wire rvclkhdr_6_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_7_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_7_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_7_io_en; // @[lib.scala 368:23] + wire rvclkhdr_7_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_8_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_8_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_8_io_en; // @[lib.scala 368:23] + wire rvclkhdr_8_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_9_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_9_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_9_io_en; // @[lib.scala 368:23] + wire rvclkhdr_9_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_10_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_10_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_10_io_en; // @[lib.scala 368:23] + wire rvclkhdr_10_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_11_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_11_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_11_io_en; // @[lib.scala 368:23] + wire rvclkhdr_11_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_12_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_12_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_12_io_en; // @[lib.scala 368:23] + wire rvclkhdr_12_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_13_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_13_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_13_io_en; // @[lib.scala 368:23] + wire rvclkhdr_13_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_14_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_14_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_14_io_en; // @[lib.scala 368:23] + wire rvclkhdr_14_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_15_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_15_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_15_io_en; // @[lib.scala 368:23] + wire rvclkhdr_15_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_16_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_16_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_16_io_en; // @[lib.scala 368:23] + wire rvclkhdr_16_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_17_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_17_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_17_io_en; // @[lib.scala 368:23] + wire rvclkhdr_17_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_18_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_18_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_18_io_en; // @[lib.scala 368:23] + wire rvclkhdr_18_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_19_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_19_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_19_io_en; // @[lib.scala 368:23] + wire rvclkhdr_19_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_20_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_20_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_20_io_en; // @[lib.scala 368:23] + wire rvclkhdr_20_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_21_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_21_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_21_io_en; // @[lib.scala 368:23] + wire rvclkhdr_21_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_22_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_22_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_22_io_en; // @[lib.scala 368:23] + wire rvclkhdr_22_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_23_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_23_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_23_io_en; // @[lib.scala 368:23] + wire rvclkhdr_23_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_24_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_24_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_24_io_en; // @[lib.scala 368:23] + wire rvclkhdr_24_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_25_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_25_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_25_io_en; // @[lib.scala 368:23] + wire rvclkhdr_25_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_26_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_26_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_26_io_en; // @[lib.scala 368:23] + wire rvclkhdr_26_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_27_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_27_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_27_io_en; // @[lib.scala 368:23] + wire rvclkhdr_27_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_28_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_28_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_28_io_en; // @[lib.scala 368:23] + wire rvclkhdr_28_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_29_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_29_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_29_io_en; // @[lib.scala 368:23] + wire rvclkhdr_29_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_30_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_30_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_30_io_en; // @[lib.scala 368:23] + wire rvclkhdr_30_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_31_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_31_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_31_io_en; // @[lib.scala 368:23] + wire rvclkhdr_31_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_32_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_32_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_32_io_en; // @[lib.scala 368:23] + wire rvclkhdr_32_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_33_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_33_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_33_io_en; // @[lib.scala 368:23] + wire rvclkhdr_33_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_34_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_34_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_34_io_en; // @[lib.scala 368:23] + wire rvclkhdr_34_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_35_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_35_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_35_io_en; // @[lib.scala 368:23] + wire rvclkhdr_35_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_36_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_36_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_36_io_en; // @[lib.scala 368:23] + wire rvclkhdr_36_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_37_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_37_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_37_io_en; // @[lib.scala 368:23] + wire rvclkhdr_37_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_38_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_38_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_38_io_en; // @[lib.scala 368:23] + wire rvclkhdr_38_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_39_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_39_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_39_io_en; // @[lib.scala 368:23] + wire rvclkhdr_39_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_40_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_40_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_40_io_en; // @[lib.scala 368:23] + wire rvclkhdr_40_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_41_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_41_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_41_io_en; // @[lib.scala 368:23] + wire rvclkhdr_41_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_42_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_42_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_42_io_en; // @[lib.scala 368:23] + wire rvclkhdr_42_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_43_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_43_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_43_io_en; // @[lib.scala 368:23] + wire rvclkhdr_43_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_44_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_44_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_44_io_en; // @[lib.scala 368:23] + wire rvclkhdr_44_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_45_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_45_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_45_io_en; // @[lib.scala 368:23] + wire rvclkhdr_45_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_46_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_46_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_46_io_en; // @[lib.scala 368:23] + wire rvclkhdr_46_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_47_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_47_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_47_io_en; // @[lib.scala 368:23] + wire rvclkhdr_47_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_48_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_48_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_48_io_en; // @[lib.scala 368:23] + wire rvclkhdr_48_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_49_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_49_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_49_io_en; // @[lib.scala 368:23] + wire rvclkhdr_49_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_50_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_50_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_50_io_en; // @[lib.scala 368:23] + wire rvclkhdr_50_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_51_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_51_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_51_io_en; // @[lib.scala 368:23] + wire rvclkhdr_51_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_52_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_52_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_52_io_en; // @[lib.scala 368:23] + wire rvclkhdr_52_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_53_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_53_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_53_io_en; // @[lib.scala 368:23] + wire rvclkhdr_53_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_54_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_54_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_54_io_en; // @[lib.scala 368:23] + wire rvclkhdr_54_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_55_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_55_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_55_io_en; // @[lib.scala 368:23] + wire rvclkhdr_55_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_56_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_56_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_56_io_en; // @[lib.scala 368:23] + wire rvclkhdr_56_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_57_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_57_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_57_io_en; // @[lib.scala 368:23] + wire rvclkhdr_57_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_58_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_58_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_58_io_en; // @[lib.scala 368:23] + wire rvclkhdr_58_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_59_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_59_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_59_io_en; // @[lib.scala 368:23] + wire rvclkhdr_59_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_60_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_60_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_60_io_en; // @[lib.scala 368:23] + wire rvclkhdr_60_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_61_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_61_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_61_io_en; // @[lib.scala 368:23] + wire rvclkhdr_61_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_62_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_62_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_62_io_en; // @[lib.scala 368:23] + wire rvclkhdr_62_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_63_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_63_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_63_io_en; // @[lib.scala 368:23] + wire rvclkhdr_63_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_64_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_64_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_64_io_en; // @[lib.scala 368:23] + wire rvclkhdr_64_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_65_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_65_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_65_io_en; // @[lib.scala 368:23] + wire rvclkhdr_65_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_66_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_66_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_66_io_en; // @[lib.scala 368:23] + wire rvclkhdr_66_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_67_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_67_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_67_io_en; // @[lib.scala 368:23] + wire rvclkhdr_67_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_68_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_68_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_68_io_en; // @[lib.scala 368:23] + wire rvclkhdr_68_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_69_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_69_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_69_io_en; // @[lib.scala 368:23] + wire rvclkhdr_69_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_70_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_70_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_70_io_en; // @[lib.scala 368:23] + wire rvclkhdr_70_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_71_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_71_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_71_io_en; // @[lib.scala 368:23] + wire rvclkhdr_71_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_72_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_72_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_72_io_en; // @[lib.scala 368:23] + wire rvclkhdr_72_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_73_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_73_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_73_io_en; // @[lib.scala 368:23] + wire rvclkhdr_73_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_74_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_74_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_74_io_en; // @[lib.scala 368:23] + wire rvclkhdr_74_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_75_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_75_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_75_io_en; // @[lib.scala 368:23] + wire rvclkhdr_75_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_76_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_76_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_76_io_en; // @[lib.scala 368:23] + wire rvclkhdr_76_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_77_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_77_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_77_io_en; // @[lib.scala 368:23] + wire rvclkhdr_77_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_78_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_78_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_78_io_en; // @[lib.scala 368:23] + wire rvclkhdr_78_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_79_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_79_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_79_io_en; // @[lib.scala 368:23] + wire rvclkhdr_79_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_80_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_80_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_80_io_en; // @[lib.scala 368:23] + wire rvclkhdr_80_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_81_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_81_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_81_io_en; // @[lib.scala 368:23] + wire rvclkhdr_81_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_82_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_82_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_82_io_en; // @[lib.scala 368:23] + wire rvclkhdr_82_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_83_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_83_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_83_io_en; // @[lib.scala 368:23] + wire rvclkhdr_83_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_84_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_84_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_84_io_en; // @[lib.scala 368:23] + wire rvclkhdr_84_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_85_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_85_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_85_io_en; // @[lib.scala 368:23] + wire rvclkhdr_85_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_86_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_86_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_86_io_en; // @[lib.scala 368:23] + wire rvclkhdr_86_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_87_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_87_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_87_io_en; // @[lib.scala 368:23] + wire rvclkhdr_87_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_88_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_88_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_88_io_en; // @[lib.scala 368:23] + wire rvclkhdr_88_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_89_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_89_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_89_io_en; // @[lib.scala 368:23] + wire rvclkhdr_89_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_90_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_90_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_90_io_en; // @[lib.scala 368:23] + wire rvclkhdr_90_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_91_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_91_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_91_io_en; // @[lib.scala 368:23] + wire rvclkhdr_91_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_92_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_92_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_92_io_en; // @[lib.scala 368:23] + wire rvclkhdr_92_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_93_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_93_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_93_io_en; // @[lib.scala 368:23] + wire rvclkhdr_93_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_94_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_94_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_94_io_en; // @[lib.scala 368:23] + wire rvclkhdr_94_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_95_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_95_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_95_io_en; // @[lib.scala 368:23] + wire rvclkhdr_95_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_96_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_96_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_96_io_en; // @[lib.scala 368:23] + wire rvclkhdr_96_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_97_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_97_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_97_io_en; // @[lib.scala 368:23] + wire rvclkhdr_97_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_98_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_98_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_98_io_en; // @[lib.scala 368:23] + wire rvclkhdr_98_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_99_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_99_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_99_io_en; // @[lib.scala 368:23] + wire rvclkhdr_99_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_100_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_100_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_100_io_en; // @[lib.scala 368:23] + wire rvclkhdr_100_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_101_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_101_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_101_io_en; // @[lib.scala 368:23] + wire rvclkhdr_101_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_102_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_102_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_102_io_en; // @[lib.scala 368:23] + wire rvclkhdr_102_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_103_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_103_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_103_io_en; // @[lib.scala 368:23] + wire rvclkhdr_103_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_104_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_104_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_104_io_en; // @[lib.scala 368:23] + wire rvclkhdr_104_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_105_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_105_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_105_io_en; // @[lib.scala 368:23] + wire rvclkhdr_105_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_106_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_106_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_106_io_en; // @[lib.scala 368:23] + wire rvclkhdr_106_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_107_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_107_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_107_io_en; // @[lib.scala 368:23] + wire rvclkhdr_107_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_108_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_108_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_108_io_en; // @[lib.scala 368:23] + wire rvclkhdr_108_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_109_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_109_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_109_io_en; // @[lib.scala 368:23] + wire rvclkhdr_109_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_110_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_110_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_110_io_en; // @[lib.scala 368:23] + wire rvclkhdr_110_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_111_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_111_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_111_io_en; // @[lib.scala 368:23] + wire rvclkhdr_111_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_112_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_112_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_112_io_en; // @[lib.scala 368:23] + wire rvclkhdr_112_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_113_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_113_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_113_io_en; // @[lib.scala 368:23] + wire rvclkhdr_113_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_114_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_114_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_114_io_en; // @[lib.scala 368:23] + wire rvclkhdr_114_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_115_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_115_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_115_io_en; // @[lib.scala 368:23] + wire rvclkhdr_115_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_116_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_116_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_116_io_en; // @[lib.scala 368:23] + wire rvclkhdr_116_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_117_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_117_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_117_io_en; // @[lib.scala 368:23] + wire rvclkhdr_117_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_118_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_118_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_118_io_en; // @[lib.scala 368:23] + wire rvclkhdr_118_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_119_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_119_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_119_io_en; // @[lib.scala 368:23] + wire rvclkhdr_119_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_120_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_120_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_120_io_en; // @[lib.scala 368:23] + wire rvclkhdr_120_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_121_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_121_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_121_io_en; // @[lib.scala 368:23] + wire rvclkhdr_121_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_122_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_122_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_122_io_en; // @[lib.scala 368:23] + wire rvclkhdr_122_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_123_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_123_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_123_io_en; // @[lib.scala 368:23] + wire rvclkhdr_123_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_124_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_124_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_124_io_en; // @[lib.scala 368:23] + wire rvclkhdr_124_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_125_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_125_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_125_io_en; // @[lib.scala 368:23] + wire rvclkhdr_125_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_126_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_126_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_126_io_en; // @[lib.scala 368:23] + wire rvclkhdr_126_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_127_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_127_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_127_io_en; // @[lib.scala 368:23] + wire rvclkhdr_127_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_128_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_128_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_128_io_en; // @[lib.scala 368:23] + wire rvclkhdr_128_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_129_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_129_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_129_io_en; // @[lib.scala 368:23] + wire rvclkhdr_129_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_130_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_130_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_130_io_en; // @[lib.scala 368:23] + wire rvclkhdr_130_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_131_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_131_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_131_io_en; // @[lib.scala 368:23] + wire rvclkhdr_131_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_132_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_132_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_132_io_en; // @[lib.scala 368:23] + wire rvclkhdr_132_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_133_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_133_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_133_io_en; // @[lib.scala 368:23] + wire rvclkhdr_133_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_134_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_134_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_134_io_en; // @[lib.scala 368:23] + wire rvclkhdr_134_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_135_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_135_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_135_io_en; // @[lib.scala 368:23] + wire rvclkhdr_135_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_136_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_136_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_136_io_en; // @[lib.scala 368:23] + wire rvclkhdr_136_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_137_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_137_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_137_io_en; // @[lib.scala 368:23] + wire rvclkhdr_137_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_138_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_138_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_138_io_en; // @[lib.scala 368:23] + wire rvclkhdr_138_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_139_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_139_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_139_io_en; // @[lib.scala 368:23] + wire rvclkhdr_139_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_140_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_140_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_140_io_en; // @[lib.scala 368:23] + wire rvclkhdr_140_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_141_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_141_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_141_io_en; // @[lib.scala 368:23] + wire rvclkhdr_141_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_142_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_142_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_142_io_en; // @[lib.scala 368:23] + wire rvclkhdr_142_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_143_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_143_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_143_io_en; // @[lib.scala 368:23] + wire rvclkhdr_143_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_144_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_144_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_144_io_en; // @[lib.scala 368:23] + wire rvclkhdr_144_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_145_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_145_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_145_io_en; // @[lib.scala 368:23] + wire rvclkhdr_145_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_146_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_146_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_146_io_en; // @[lib.scala 368:23] + wire rvclkhdr_146_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_147_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_147_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_147_io_en; // @[lib.scala 368:23] + wire rvclkhdr_147_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_148_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_148_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_148_io_en; // @[lib.scala 368:23] + wire rvclkhdr_148_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_149_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_149_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_149_io_en; // @[lib.scala 368:23] + wire rvclkhdr_149_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_150_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_150_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_150_io_en; // @[lib.scala 368:23] + wire rvclkhdr_150_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_151_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_151_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_151_io_en; // @[lib.scala 368:23] + wire rvclkhdr_151_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_152_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_152_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_152_io_en; // @[lib.scala 368:23] + wire rvclkhdr_152_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_153_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_153_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_153_io_en; // @[lib.scala 368:23] + wire rvclkhdr_153_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_154_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_154_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_154_io_en; // @[lib.scala 368:23] + wire rvclkhdr_154_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_155_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_155_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_155_io_en; // @[lib.scala 368:23] + wire rvclkhdr_155_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_156_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_156_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_156_io_en; // @[lib.scala 368:23] + wire rvclkhdr_156_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_157_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_157_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_157_io_en; // @[lib.scala 368:23] + wire rvclkhdr_157_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_158_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_158_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_158_io_en; // @[lib.scala 368:23] + wire rvclkhdr_158_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_159_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_159_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_159_io_en; // @[lib.scala 368:23] + wire rvclkhdr_159_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_160_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_160_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_160_io_en; // @[lib.scala 368:23] + wire rvclkhdr_160_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_161_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_161_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_161_io_en; // @[lib.scala 368:23] + wire rvclkhdr_161_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_162_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_162_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_162_io_en; // @[lib.scala 368:23] + wire rvclkhdr_162_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_163_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_163_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_163_io_en; // @[lib.scala 368:23] + wire rvclkhdr_163_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_164_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_164_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_164_io_en; // @[lib.scala 368:23] + wire rvclkhdr_164_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_165_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_165_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_165_io_en; // @[lib.scala 368:23] + wire rvclkhdr_165_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_166_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_166_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_166_io_en; // @[lib.scala 368:23] + wire rvclkhdr_166_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_167_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_167_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_167_io_en; // @[lib.scala 368:23] + wire rvclkhdr_167_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_168_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_168_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_168_io_en; // @[lib.scala 368:23] + wire rvclkhdr_168_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_169_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_169_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_169_io_en; // @[lib.scala 368:23] + wire rvclkhdr_169_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_170_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_170_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_170_io_en; // @[lib.scala 368:23] + wire rvclkhdr_170_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_171_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_171_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_171_io_en; // @[lib.scala 368:23] + wire rvclkhdr_171_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_172_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_172_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_172_io_en; // @[lib.scala 368:23] + wire rvclkhdr_172_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_173_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_173_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_173_io_en; // @[lib.scala 368:23] + wire rvclkhdr_173_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_174_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_174_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_174_io_en; // @[lib.scala 368:23] + wire rvclkhdr_174_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_175_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_175_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_175_io_en; // @[lib.scala 368:23] + wire rvclkhdr_175_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_176_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_176_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_176_io_en; // @[lib.scala 368:23] + wire rvclkhdr_176_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_177_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_177_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_177_io_en; // @[lib.scala 368:23] + wire rvclkhdr_177_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_178_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_178_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_178_io_en; // @[lib.scala 368:23] + wire rvclkhdr_178_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_179_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_179_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_179_io_en; // @[lib.scala 368:23] + wire rvclkhdr_179_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_180_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_180_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_180_io_en; // @[lib.scala 368:23] + wire rvclkhdr_180_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_181_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_181_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_181_io_en; // @[lib.scala 368:23] + wire rvclkhdr_181_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_182_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_182_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_182_io_en; // @[lib.scala 368:23] + wire rvclkhdr_182_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_183_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_183_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_183_io_en; // @[lib.scala 368:23] + wire rvclkhdr_183_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_184_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_184_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_184_io_en; // @[lib.scala 368:23] + wire rvclkhdr_184_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_185_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_185_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_185_io_en; // @[lib.scala 368:23] + wire rvclkhdr_185_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_186_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_186_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_186_io_en; // @[lib.scala 368:23] + wire rvclkhdr_186_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_187_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_187_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_187_io_en; // @[lib.scala 368:23] + wire rvclkhdr_187_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_188_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_188_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_188_io_en; // @[lib.scala 368:23] + wire rvclkhdr_188_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_189_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_189_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_189_io_en; // @[lib.scala 368:23] + wire rvclkhdr_189_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_190_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_190_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_190_io_en; // @[lib.scala 368:23] + wire rvclkhdr_190_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_191_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_191_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_191_io_en; // @[lib.scala 368:23] + wire rvclkhdr_191_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_192_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_192_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_192_io_en; // @[lib.scala 368:23] + wire rvclkhdr_192_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_193_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_193_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_193_io_en; // @[lib.scala 368:23] + wire rvclkhdr_193_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_194_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_194_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_194_io_en; // @[lib.scala 368:23] + wire rvclkhdr_194_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_195_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_195_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_195_io_en; // @[lib.scala 368:23] + wire rvclkhdr_195_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_196_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_196_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_196_io_en; // @[lib.scala 368:23] + wire rvclkhdr_196_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_197_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_197_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_197_io_en; // @[lib.scala 368:23] + wire rvclkhdr_197_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_198_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_198_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_198_io_en; // @[lib.scala 368:23] + wire rvclkhdr_198_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_199_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_199_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_199_io_en; // @[lib.scala 368:23] + wire rvclkhdr_199_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_200_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_200_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_200_io_en; // @[lib.scala 368:23] + wire rvclkhdr_200_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_201_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_201_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_201_io_en; // @[lib.scala 368:23] + wire rvclkhdr_201_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_202_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_202_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_202_io_en; // @[lib.scala 368:23] + wire rvclkhdr_202_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_203_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_203_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_203_io_en; // @[lib.scala 368:23] + wire rvclkhdr_203_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_204_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_204_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_204_io_en; // @[lib.scala 368:23] + wire rvclkhdr_204_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_205_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_205_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_205_io_en; // @[lib.scala 368:23] + wire rvclkhdr_205_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_206_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_206_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_206_io_en; // @[lib.scala 368:23] + wire rvclkhdr_206_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_207_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_207_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_207_io_en; // @[lib.scala 368:23] + wire rvclkhdr_207_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_208_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_208_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_208_io_en; // @[lib.scala 368:23] + wire rvclkhdr_208_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_209_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_209_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_209_io_en; // @[lib.scala 368:23] + wire rvclkhdr_209_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_210_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_210_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_210_io_en; // @[lib.scala 368:23] + wire rvclkhdr_210_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_211_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_211_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_211_io_en; // @[lib.scala 368:23] + wire rvclkhdr_211_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_212_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_212_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_212_io_en; // @[lib.scala 368:23] + wire rvclkhdr_212_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_213_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_213_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_213_io_en; // @[lib.scala 368:23] + wire rvclkhdr_213_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_214_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_214_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_214_io_en; // @[lib.scala 368:23] + wire rvclkhdr_214_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_215_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_215_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_215_io_en; // @[lib.scala 368:23] + wire rvclkhdr_215_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_216_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_216_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_216_io_en; // @[lib.scala 368:23] + wire rvclkhdr_216_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_217_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_217_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_217_io_en; // @[lib.scala 368:23] + wire rvclkhdr_217_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_218_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_218_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_218_io_en; // @[lib.scala 368:23] + wire rvclkhdr_218_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_219_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_219_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_219_io_en; // @[lib.scala 368:23] + wire rvclkhdr_219_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_220_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_220_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_220_io_en; // @[lib.scala 368:23] + wire rvclkhdr_220_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_221_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_221_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_221_io_en; // @[lib.scala 368:23] + wire rvclkhdr_221_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_222_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_222_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_222_io_en; // @[lib.scala 368:23] + wire rvclkhdr_222_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_223_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_223_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_223_io_en; // @[lib.scala 368:23] + wire rvclkhdr_223_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_224_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_224_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_224_io_en; // @[lib.scala 368:23] + wire rvclkhdr_224_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_225_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_225_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_225_io_en; // @[lib.scala 368:23] + wire rvclkhdr_225_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_226_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_226_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_226_io_en; // @[lib.scala 368:23] + wire rvclkhdr_226_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_227_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_227_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_227_io_en; // @[lib.scala 368:23] + wire rvclkhdr_227_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_228_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_228_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_228_io_en; // @[lib.scala 368:23] + wire rvclkhdr_228_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_229_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_229_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_229_io_en; // @[lib.scala 368:23] + wire rvclkhdr_229_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_230_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_230_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_230_io_en; // @[lib.scala 368:23] + wire rvclkhdr_230_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_231_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_231_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_231_io_en; // @[lib.scala 368:23] + wire rvclkhdr_231_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_232_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_232_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_232_io_en; // @[lib.scala 368:23] + wire rvclkhdr_232_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_233_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_233_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_233_io_en; // @[lib.scala 368:23] + wire rvclkhdr_233_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_234_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_234_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_234_io_en; // @[lib.scala 368:23] + wire rvclkhdr_234_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_235_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_235_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_235_io_en; // @[lib.scala 368:23] + wire rvclkhdr_235_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_236_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_236_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_236_io_en; // @[lib.scala 368:23] + wire rvclkhdr_236_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_237_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_237_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_237_io_en; // @[lib.scala 368:23] + wire rvclkhdr_237_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_238_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_238_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_238_io_en; // @[lib.scala 368:23] + wire rvclkhdr_238_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_239_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_239_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_239_io_en; // @[lib.scala 368:23] + wire rvclkhdr_239_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_240_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_240_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_240_io_en; // @[lib.scala 368:23] + wire rvclkhdr_240_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_241_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_241_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_241_io_en; // @[lib.scala 368:23] + wire rvclkhdr_241_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_242_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_242_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_242_io_en; // @[lib.scala 368:23] + wire rvclkhdr_242_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_243_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_243_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_243_io_en; // @[lib.scala 368:23] + wire rvclkhdr_243_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_244_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_244_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_244_io_en; // @[lib.scala 368:23] + wire rvclkhdr_244_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_245_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_245_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_245_io_en; // @[lib.scala 368:23] + wire rvclkhdr_245_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_246_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_246_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_246_io_en; // @[lib.scala 368:23] + wire rvclkhdr_246_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_247_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_247_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_247_io_en; // @[lib.scala 368:23] + wire rvclkhdr_247_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_248_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_248_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_248_io_en; // @[lib.scala 368:23] + wire rvclkhdr_248_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_249_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_249_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_249_io_en; // @[lib.scala 368:23] + wire rvclkhdr_249_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_250_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_250_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_250_io_en; // @[lib.scala 368:23] + wire rvclkhdr_250_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_251_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_251_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_251_io_en; // @[lib.scala 368:23] + wire rvclkhdr_251_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_252_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_252_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_252_io_en; // @[lib.scala 368:23] + wire rvclkhdr_252_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_253_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_253_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_253_io_en; // @[lib.scala 368:23] + wire rvclkhdr_253_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_254_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_254_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_254_io_en; // @[lib.scala 368:23] + wire rvclkhdr_254_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_255_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_255_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_255_io_en; // @[lib.scala 368:23] + wire rvclkhdr_255_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_256_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_256_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_256_io_en; // @[lib.scala 368:23] + wire rvclkhdr_256_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_257_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_257_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_257_io_en; // @[lib.scala 368:23] + wire rvclkhdr_257_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_258_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_258_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_258_io_en; // @[lib.scala 368:23] + wire rvclkhdr_258_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_259_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_259_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_259_io_en; // @[lib.scala 368:23] + wire rvclkhdr_259_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_260_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_260_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_260_io_en; // @[lib.scala 368:23] + wire rvclkhdr_260_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_261_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_261_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_261_io_en; // @[lib.scala 368:23] + wire rvclkhdr_261_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_262_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_262_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_262_io_en; // @[lib.scala 368:23] + wire rvclkhdr_262_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_263_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_263_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_263_io_en; // @[lib.scala 368:23] + wire rvclkhdr_263_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_264_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_264_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_264_io_en; // @[lib.scala 368:23] + wire rvclkhdr_264_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_265_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_265_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_265_io_en; // @[lib.scala 368:23] + wire rvclkhdr_265_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_266_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_266_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_266_io_en; // @[lib.scala 368:23] + wire rvclkhdr_266_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_267_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_267_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_267_io_en; // @[lib.scala 368:23] + wire rvclkhdr_267_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_268_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_268_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_268_io_en; // @[lib.scala 368:23] + wire rvclkhdr_268_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_269_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_269_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_269_io_en; // @[lib.scala 368:23] + wire rvclkhdr_269_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_270_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_270_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_270_io_en; // @[lib.scala 368:23] + wire rvclkhdr_270_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_271_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_271_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_271_io_en; // @[lib.scala 368:23] + wire rvclkhdr_271_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_272_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_272_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_272_io_en; // @[lib.scala 368:23] + wire rvclkhdr_272_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_273_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_273_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_273_io_en; // @[lib.scala 368:23] + wire rvclkhdr_273_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_274_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_274_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_274_io_en; // @[lib.scala 368:23] + wire rvclkhdr_274_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_275_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_275_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_275_io_en; // @[lib.scala 368:23] + wire rvclkhdr_275_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_276_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_276_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_276_io_en; // @[lib.scala 368:23] + wire rvclkhdr_276_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_277_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_277_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_277_io_en; // @[lib.scala 368:23] + wire rvclkhdr_277_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_278_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_278_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_278_io_en; // @[lib.scala 368:23] + wire rvclkhdr_278_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_279_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_279_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_279_io_en; // @[lib.scala 368:23] + wire rvclkhdr_279_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_280_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_280_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_280_io_en; // @[lib.scala 368:23] + wire rvclkhdr_280_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_281_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_281_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_281_io_en; // @[lib.scala 368:23] + wire rvclkhdr_281_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_282_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_282_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_282_io_en; // @[lib.scala 368:23] + wire rvclkhdr_282_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_283_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_283_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_283_io_en; // @[lib.scala 368:23] + wire rvclkhdr_283_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_284_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_284_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_284_io_en; // @[lib.scala 368:23] + wire rvclkhdr_284_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_285_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_285_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_285_io_en; // @[lib.scala 368:23] + wire rvclkhdr_285_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_286_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_286_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_286_io_en; // @[lib.scala 368:23] + wire rvclkhdr_286_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_287_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_287_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_287_io_en; // @[lib.scala 368:23] + wire rvclkhdr_287_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_288_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_288_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_288_io_en; // @[lib.scala 368:23] + wire rvclkhdr_288_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_289_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_289_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_289_io_en; // @[lib.scala 368:23] + wire rvclkhdr_289_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_290_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_290_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_290_io_en; // @[lib.scala 368:23] + wire rvclkhdr_290_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_291_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_291_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_291_io_en; // @[lib.scala 368:23] + wire rvclkhdr_291_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_292_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_292_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_292_io_en; // @[lib.scala 368:23] + wire rvclkhdr_292_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_293_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_293_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_293_io_en; // @[lib.scala 368:23] + wire rvclkhdr_293_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_294_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_294_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_294_io_en; // @[lib.scala 368:23] + wire rvclkhdr_294_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_295_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_295_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_295_io_en; // @[lib.scala 368:23] + wire rvclkhdr_295_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_296_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_296_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_296_io_en; // @[lib.scala 368:23] + wire rvclkhdr_296_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_297_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_297_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_297_io_en; // @[lib.scala 368:23] + wire rvclkhdr_297_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_298_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_298_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_298_io_en; // @[lib.scala 368:23] + wire rvclkhdr_298_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_299_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_299_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_299_io_en; // @[lib.scala 368:23] + wire rvclkhdr_299_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_300_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_300_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_300_io_en; // @[lib.scala 368:23] + wire rvclkhdr_300_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_301_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_301_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_301_io_en; // @[lib.scala 368:23] + wire rvclkhdr_301_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_302_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_302_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_302_io_en; // @[lib.scala 368:23] + wire rvclkhdr_302_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_303_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_303_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_303_io_en; // @[lib.scala 368:23] + wire rvclkhdr_303_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_304_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_304_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_304_io_en; // @[lib.scala 368:23] + wire rvclkhdr_304_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_305_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_305_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_305_io_en; // @[lib.scala 368:23] + wire rvclkhdr_305_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_306_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_306_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_306_io_en; // @[lib.scala 368:23] + wire rvclkhdr_306_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_307_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_307_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_307_io_en; // @[lib.scala 368:23] + wire rvclkhdr_307_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_308_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_308_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_308_io_en; // @[lib.scala 368:23] + wire rvclkhdr_308_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_309_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_309_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_309_io_en; // @[lib.scala 368:23] + wire rvclkhdr_309_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_310_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_310_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_310_io_en; // @[lib.scala 368:23] + wire rvclkhdr_310_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_311_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_311_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_311_io_en; // @[lib.scala 368:23] + wire rvclkhdr_311_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_312_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_312_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_312_io_en; // @[lib.scala 368:23] + wire rvclkhdr_312_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_313_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_313_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_313_io_en; // @[lib.scala 368:23] + wire rvclkhdr_313_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_314_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_314_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_314_io_en; // @[lib.scala 368:23] + wire rvclkhdr_314_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_315_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_315_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_315_io_en; // @[lib.scala 368:23] + wire rvclkhdr_315_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_316_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_316_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_316_io_en; // @[lib.scala 368:23] + wire rvclkhdr_316_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_317_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_317_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_317_io_en; // @[lib.scala 368:23] + wire rvclkhdr_317_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_318_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_318_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_318_io_en; // @[lib.scala 368:23] + wire rvclkhdr_318_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_319_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_319_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_319_io_en; // @[lib.scala 368:23] + wire rvclkhdr_319_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_320_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_320_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_320_io_en; // @[lib.scala 368:23] + wire rvclkhdr_320_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_321_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_321_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_321_io_en; // @[lib.scala 368:23] + wire rvclkhdr_321_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_322_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_322_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_322_io_en; // @[lib.scala 368:23] + wire rvclkhdr_322_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_323_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_323_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_323_io_en; // @[lib.scala 368:23] + wire rvclkhdr_323_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_324_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_324_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_324_io_en; // @[lib.scala 368:23] + wire rvclkhdr_324_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_325_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_325_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_325_io_en; // @[lib.scala 368:23] + wire rvclkhdr_325_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_326_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_326_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_326_io_en; // @[lib.scala 368:23] + wire rvclkhdr_326_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_327_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_327_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_327_io_en; // @[lib.scala 368:23] + wire rvclkhdr_327_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_328_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_328_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_328_io_en; // @[lib.scala 368:23] + wire rvclkhdr_328_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_329_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_329_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_329_io_en; // @[lib.scala 368:23] + wire rvclkhdr_329_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_330_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_330_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_330_io_en; // @[lib.scala 368:23] + wire rvclkhdr_330_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_331_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_331_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_331_io_en; // @[lib.scala 368:23] + wire rvclkhdr_331_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_332_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_332_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_332_io_en; // @[lib.scala 368:23] + wire rvclkhdr_332_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_333_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_333_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_333_io_en; // @[lib.scala 368:23] + wire rvclkhdr_333_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_334_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_334_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_334_io_en; // @[lib.scala 368:23] + wire rvclkhdr_334_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_335_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_335_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_335_io_en; // @[lib.scala 368:23] + wire rvclkhdr_335_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_336_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_336_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_336_io_en; // @[lib.scala 368:23] + wire rvclkhdr_336_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_337_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_337_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_337_io_en; // @[lib.scala 368:23] + wire rvclkhdr_337_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_338_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_338_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_338_io_en; // @[lib.scala 368:23] + wire rvclkhdr_338_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_339_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_339_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_339_io_en; // @[lib.scala 368:23] + wire rvclkhdr_339_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_340_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_340_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_340_io_en; // @[lib.scala 368:23] + wire rvclkhdr_340_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_341_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_341_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_341_io_en; // @[lib.scala 368:23] + wire rvclkhdr_341_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_342_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_342_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_342_io_en; // @[lib.scala 368:23] + wire rvclkhdr_342_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_343_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_343_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_343_io_en; // @[lib.scala 368:23] + wire rvclkhdr_343_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_344_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_344_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_344_io_en; // @[lib.scala 368:23] + wire rvclkhdr_344_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_345_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_345_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_345_io_en; // @[lib.scala 368:23] + wire rvclkhdr_345_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_346_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_346_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_346_io_en; // @[lib.scala 368:23] + wire rvclkhdr_346_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_347_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_347_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_347_io_en; // @[lib.scala 368:23] + wire rvclkhdr_347_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_348_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_348_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_348_io_en; // @[lib.scala 368:23] + wire rvclkhdr_348_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_349_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_349_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_349_io_en; // @[lib.scala 368:23] + wire rvclkhdr_349_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_350_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_350_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_350_io_en; // @[lib.scala 368:23] + wire rvclkhdr_350_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_351_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_351_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_351_io_en; // @[lib.scala 368:23] + wire rvclkhdr_351_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_352_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_352_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_352_io_en; // @[lib.scala 368:23] + wire rvclkhdr_352_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_353_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_353_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_353_io_en; // @[lib.scala 368:23] + wire rvclkhdr_353_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_354_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_354_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_354_io_en; // @[lib.scala 368:23] + wire rvclkhdr_354_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_355_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_355_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_355_io_en; // @[lib.scala 368:23] + wire rvclkhdr_355_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_356_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_356_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_356_io_en; // @[lib.scala 368:23] + wire rvclkhdr_356_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_357_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_357_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_357_io_en; // @[lib.scala 368:23] + wire rvclkhdr_357_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_358_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_358_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_358_io_en; // @[lib.scala 368:23] + wire rvclkhdr_358_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_359_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_359_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_359_io_en; // @[lib.scala 368:23] + wire rvclkhdr_359_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_360_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_360_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_360_io_en; // @[lib.scala 368:23] + wire rvclkhdr_360_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_361_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_361_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_361_io_en; // @[lib.scala 368:23] + wire rvclkhdr_361_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_362_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_362_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_362_io_en; // @[lib.scala 368:23] + wire rvclkhdr_362_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_363_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_363_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_363_io_en; // @[lib.scala 368:23] + wire rvclkhdr_363_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_364_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_364_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_364_io_en; // @[lib.scala 368:23] + wire rvclkhdr_364_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_365_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_365_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_365_io_en; // @[lib.scala 368:23] + wire rvclkhdr_365_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_366_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_366_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_366_io_en; // @[lib.scala 368:23] + wire rvclkhdr_366_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_367_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_367_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_367_io_en; // @[lib.scala 368:23] + wire rvclkhdr_367_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_368_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_368_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_368_io_en; // @[lib.scala 368:23] + wire rvclkhdr_368_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_369_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_369_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_369_io_en; // @[lib.scala 368:23] + wire rvclkhdr_369_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_370_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_370_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_370_io_en; // @[lib.scala 368:23] + wire rvclkhdr_370_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_371_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_371_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_371_io_en; // @[lib.scala 368:23] + wire rvclkhdr_371_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_372_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_372_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_372_io_en; // @[lib.scala 368:23] + wire rvclkhdr_372_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_373_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_373_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_373_io_en; // @[lib.scala 368:23] + wire rvclkhdr_373_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_374_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_374_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_374_io_en; // @[lib.scala 368:23] + wire rvclkhdr_374_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_375_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_375_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_375_io_en; // @[lib.scala 368:23] + wire rvclkhdr_375_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_376_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_376_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_376_io_en; // @[lib.scala 368:23] + wire rvclkhdr_376_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_377_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_377_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_377_io_en; // @[lib.scala 368:23] + wire rvclkhdr_377_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_378_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_378_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_378_io_en; // @[lib.scala 368:23] + wire rvclkhdr_378_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_379_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_379_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_379_io_en; // @[lib.scala 368:23] + wire rvclkhdr_379_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_380_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_380_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_380_io_en; // @[lib.scala 368:23] + wire rvclkhdr_380_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_381_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_381_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_381_io_en; // @[lib.scala 368:23] + wire rvclkhdr_381_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_382_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_382_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_382_io_en; // @[lib.scala 368:23] + wire rvclkhdr_382_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_383_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_383_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_383_io_en; // @[lib.scala 368:23] + wire rvclkhdr_383_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_384_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_384_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_384_io_en; // @[lib.scala 368:23] + wire rvclkhdr_384_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_385_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_385_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_385_io_en; // @[lib.scala 368:23] + wire rvclkhdr_385_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_386_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_386_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_386_io_en; // @[lib.scala 368:23] + wire rvclkhdr_386_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_387_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_387_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_387_io_en; // @[lib.scala 368:23] + wire rvclkhdr_387_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_388_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_388_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_388_io_en; // @[lib.scala 368:23] + wire rvclkhdr_388_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_389_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_389_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_389_io_en; // @[lib.scala 368:23] + wire rvclkhdr_389_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_390_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_390_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_390_io_en; // @[lib.scala 368:23] + wire rvclkhdr_390_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_391_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_391_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_391_io_en; // @[lib.scala 368:23] + wire rvclkhdr_391_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_392_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_392_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_392_io_en; // @[lib.scala 368:23] + wire rvclkhdr_392_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_393_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_393_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_393_io_en; // @[lib.scala 368:23] + wire rvclkhdr_393_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_394_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_394_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_394_io_en; // @[lib.scala 368:23] + wire rvclkhdr_394_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_395_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_395_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_395_io_en; // @[lib.scala 368:23] + wire rvclkhdr_395_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_396_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_396_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_396_io_en; // @[lib.scala 368:23] + wire rvclkhdr_396_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_397_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_397_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_397_io_en; // @[lib.scala 368:23] + wire rvclkhdr_397_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_398_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_398_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_398_io_en; // @[lib.scala 368:23] + wire rvclkhdr_398_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_399_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_399_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_399_io_en; // @[lib.scala 368:23] + wire rvclkhdr_399_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_400_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_400_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_400_io_en; // @[lib.scala 368:23] + wire rvclkhdr_400_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_401_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_401_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_401_io_en; // @[lib.scala 368:23] + wire rvclkhdr_401_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_402_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_402_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_402_io_en; // @[lib.scala 368:23] + wire rvclkhdr_402_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_403_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_403_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_403_io_en; // @[lib.scala 368:23] + wire rvclkhdr_403_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_404_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_404_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_404_io_en; // @[lib.scala 368:23] + wire rvclkhdr_404_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_405_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_405_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_405_io_en; // @[lib.scala 368:23] + wire rvclkhdr_405_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_406_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_406_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_406_io_en; // @[lib.scala 368:23] + wire rvclkhdr_406_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_407_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_407_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_407_io_en; // @[lib.scala 368:23] + wire rvclkhdr_407_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_408_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_408_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_408_io_en; // @[lib.scala 368:23] + wire rvclkhdr_408_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_409_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_409_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_409_io_en; // @[lib.scala 368:23] + wire rvclkhdr_409_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_410_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_410_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_410_io_en; // @[lib.scala 368:23] + wire rvclkhdr_410_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_411_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_411_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_411_io_en; // @[lib.scala 368:23] + wire rvclkhdr_411_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_412_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_412_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_412_io_en; // @[lib.scala 368:23] + wire rvclkhdr_412_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_413_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_413_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_413_io_en; // @[lib.scala 368:23] + wire rvclkhdr_413_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_414_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_414_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_414_io_en; // @[lib.scala 368:23] + wire rvclkhdr_414_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_415_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_415_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_415_io_en; // @[lib.scala 368:23] + wire rvclkhdr_415_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_416_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_416_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_416_io_en; // @[lib.scala 368:23] + wire rvclkhdr_416_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_417_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_417_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_417_io_en; // @[lib.scala 368:23] + wire rvclkhdr_417_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_418_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_418_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_418_io_en; // @[lib.scala 368:23] + wire rvclkhdr_418_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_419_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_419_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_419_io_en; // @[lib.scala 368:23] + wire rvclkhdr_419_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_420_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_420_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_420_io_en; // @[lib.scala 368:23] + wire rvclkhdr_420_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_421_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_421_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_421_io_en; // @[lib.scala 368:23] + wire rvclkhdr_421_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_422_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_422_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_422_io_en; // @[lib.scala 368:23] + wire rvclkhdr_422_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_423_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_423_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_423_io_en; // @[lib.scala 368:23] + wire rvclkhdr_423_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_424_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_424_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_424_io_en; // @[lib.scala 368:23] + wire rvclkhdr_424_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_425_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_425_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_425_io_en; // @[lib.scala 368:23] + wire rvclkhdr_425_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_426_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_426_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_426_io_en; // @[lib.scala 368:23] + wire rvclkhdr_426_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_427_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_427_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_427_io_en; // @[lib.scala 368:23] + wire rvclkhdr_427_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_428_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_428_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_428_io_en; // @[lib.scala 368:23] + wire rvclkhdr_428_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_429_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_429_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_429_io_en; // @[lib.scala 368:23] + wire rvclkhdr_429_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_430_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_430_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_430_io_en; // @[lib.scala 368:23] + wire rvclkhdr_430_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_431_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_431_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_431_io_en; // @[lib.scala 368:23] + wire rvclkhdr_431_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_432_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_432_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_432_io_en; // @[lib.scala 368:23] + wire rvclkhdr_432_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_433_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_433_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_433_io_en; // @[lib.scala 368:23] + wire rvclkhdr_433_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_434_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_434_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_434_io_en; // @[lib.scala 368:23] + wire rvclkhdr_434_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_435_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_435_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_435_io_en; // @[lib.scala 368:23] + wire rvclkhdr_435_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_436_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_436_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_436_io_en; // @[lib.scala 368:23] + wire rvclkhdr_436_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_437_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_437_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_437_io_en; // @[lib.scala 368:23] + wire rvclkhdr_437_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_438_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_438_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_438_io_en; // @[lib.scala 368:23] + wire rvclkhdr_438_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_439_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_439_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_439_io_en; // @[lib.scala 368:23] + wire rvclkhdr_439_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_440_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_440_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_440_io_en; // @[lib.scala 368:23] + wire rvclkhdr_440_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_441_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_441_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_441_io_en; // @[lib.scala 368:23] + wire rvclkhdr_441_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_442_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_442_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_442_io_en; // @[lib.scala 368:23] + wire rvclkhdr_442_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_443_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_443_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_443_io_en; // @[lib.scala 368:23] + wire rvclkhdr_443_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_444_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_444_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_444_io_en; // @[lib.scala 368:23] + wire rvclkhdr_444_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_445_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_445_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_445_io_en; // @[lib.scala 368:23] + wire rvclkhdr_445_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_446_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_446_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_446_io_en; // @[lib.scala 368:23] + wire rvclkhdr_446_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_447_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_447_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_447_io_en; // @[lib.scala 368:23] + wire rvclkhdr_447_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_448_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_448_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_448_io_en; // @[lib.scala 368:23] + wire rvclkhdr_448_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_449_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_449_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_449_io_en; // @[lib.scala 368:23] + wire rvclkhdr_449_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_450_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_450_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_450_io_en; // @[lib.scala 368:23] + wire rvclkhdr_450_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_451_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_451_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_451_io_en; // @[lib.scala 368:23] + wire rvclkhdr_451_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_452_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_452_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_452_io_en; // @[lib.scala 368:23] + wire rvclkhdr_452_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_453_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_453_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_453_io_en; // @[lib.scala 368:23] + wire rvclkhdr_453_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_454_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_454_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_454_io_en; // @[lib.scala 368:23] + wire rvclkhdr_454_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_455_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_455_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_455_io_en; // @[lib.scala 368:23] + wire rvclkhdr_455_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_456_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_456_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_456_io_en; // @[lib.scala 368:23] + wire rvclkhdr_456_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_457_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_457_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_457_io_en; // @[lib.scala 368:23] + wire rvclkhdr_457_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_458_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_458_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_458_io_en; // @[lib.scala 368:23] + wire rvclkhdr_458_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_459_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_459_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_459_io_en; // @[lib.scala 368:23] + wire rvclkhdr_459_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_460_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_460_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_460_io_en; // @[lib.scala 368:23] + wire rvclkhdr_460_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_461_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_461_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_461_io_en; // @[lib.scala 368:23] + wire rvclkhdr_461_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_462_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_462_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_462_io_en; // @[lib.scala 368:23] + wire rvclkhdr_462_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_463_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_463_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_463_io_en; // @[lib.scala 368:23] + wire rvclkhdr_463_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_464_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_464_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_464_io_en; // @[lib.scala 368:23] + wire rvclkhdr_464_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_465_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_465_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_465_io_en; // @[lib.scala 368:23] + wire rvclkhdr_465_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_466_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_466_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_466_io_en; // @[lib.scala 368:23] + wire rvclkhdr_466_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_467_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_467_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_467_io_en; // @[lib.scala 368:23] + wire rvclkhdr_467_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_468_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_468_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_468_io_en; // @[lib.scala 368:23] + wire rvclkhdr_468_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_469_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_469_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_469_io_en; // @[lib.scala 368:23] + wire rvclkhdr_469_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_470_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_470_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_470_io_en; // @[lib.scala 368:23] + wire rvclkhdr_470_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_471_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_471_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_471_io_en; // @[lib.scala 368:23] + wire rvclkhdr_471_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_472_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_472_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_472_io_en; // @[lib.scala 368:23] + wire rvclkhdr_472_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_473_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_473_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_473_io_en; // @[lib.scala 368:23] + wire rvclkhdr_473_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_474_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_474_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_474_io_en; // @[lib.scala 368:23] + wire rvclkhdr_474_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_475_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_475_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_475_io_en; // @[lib.scala 368:23] + wire rvclkhdr_475_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_476_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_476_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_476_io_en; // @[lib.scala 368:23] + wire rvclkhdr_476_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_477_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_477_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_477_io_en; // @[lib.scala 368:23] + wire rvclkhdr_477_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_478_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_478_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_478_io_en; // @[lib.scala 368:23] + wire rvclkhdr_478_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_479_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_479_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_479_io_en; // @[lib.scala 368:23] + wire rvclkhdr_479_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_480_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_480_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_480_io_en; // @[lib.scala 368:23] + wire rvclkhdr_480_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_481_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_481_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_481_io_en; // @[lib.scala 368:23] + wire rvclkhdr_481_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_482_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_482_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_482_io_en; // @[lib.scala 368:23] + wire rvclkhdr_482_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_483_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_483_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_483_io_en; // @[lib.scala 368:23] + wire rvclkhdr_483_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_484_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_484_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_484_io_en; // @[lib.scala 368:23] + wire rvclkhdr_484_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_485_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_485_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_485_io_en; // @[lib.scala 368:23] + wire rvclkhdr_485_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_486_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_486_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_486_io_en; // @[lib.scala 368:23] + wire rvclkhdr_486_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_487_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_487_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_487_io_en; // @[lib.scala 368:23] + wire rvclkhdr_487_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_488_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_488_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_488_io_en; // @[lib.scala 368:23] + wire rvclkhdr_488_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_489_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_489_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_489_io_en; // @[lib.scala 368:23] + wire rvclkhdr_489_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_490_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_490_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_490_io_en; // @[lib.scala 368:23] + wire rvclkhdr_490_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_491_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_491_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_491_io_en; // @[lib.scala 368:23] + wire rvclkhdr_491_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_492_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_492_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_492_io_en; // @[lib.scala 368:23] + wire rvclkhdr_492_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_493_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_493_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_493_io_en; // @[lib.scala 368:23] + wire rvclkhdr_493_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_494_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_494_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_494_io_en; // @[lib.scala 368:23] + wire rvclkhdr_494_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_495_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_495_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_495_io_en; // @[lib.scala 368:23] + wire rvclkhdr_495_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_496_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_496_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_496_io_en; // @[lib.scala 368:23] + wire rvclkhdr_496_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_497_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_497_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_497_io_en; // @[lib.scala 368:23] + wire rvclkhdr_497_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_498_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_498_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_498_io_en; // @[lib.scala 368:23] + wire rvclkhdr_498_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_499_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_499_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_499_io_en; // @[lib.scala 368:23] + wire rvclkhdr_499_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_500_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_500_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_500_io_en; // @[lib.scala 368:23] + wire rvclkhdr_500_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_501_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_501_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_501_io_en; // @[lib.scala 368:23] + wire rvclkhdr_501_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_502_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_502_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_502_io_en; // @[lib.scala 368:23] + wire rvclkhdr_502_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_503_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_503_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_503_io_en; // @[lib.scala 368:23] + wire rvclkhdr_503_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_504_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_504_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_504_io_en; // @[lib.scala 368:23] + wire rvclkhdr_504_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_505_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_505_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_505_io_en; // @[lib.scala 368:23] + wire rvclkhdr_505_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_506_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_506_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_506_io_en; // @[lib.scala 368:23] + wire rvclkhdr_506_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_507_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_507_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_507_io_en; // @[lib.scala 368:23] + wire rvclkhdr_507_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_508_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_508_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_508_io_en; // @[lib.scala 368:23] + wire rvclkhdr_508_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_509_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_509_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_509_io_en; // @[lib.scala 368:23] + wire rvclkhdr_509_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_510_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_510_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_510_io_en; // @[lib.scala 368:23] + wire rvclkhdr_510_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_511_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_511_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_511_io_en; // @[lib.scala 368:23] + wire rvclkhdr_511_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_512_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_512_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_512_io_en; // @[lib.scala 368:23] + wire rvclkhdr_512_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_513_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_513_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_513_io_en; // @[lib.scala 368:23] + wire rvclkhdr_513_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_514_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_514_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_514_io_en; // @[lib.scala 368:23] + wire rvclkhdr_514_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_515_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_515_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_515_io_en; // @[lib.scala 368:23] + wire rvclkhdr_515_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_516_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_516_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_516_io_en; // @[lib.scala 368:23] + wire rvclkhdr_516_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_517_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_517_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_517_io_en; // @[lib.scala 368:23] + wire rvclkhdr_517_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_518_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_518_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_518_io_en; // @[lib.scala 368:23] + wire rvclkhdr_518_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_519_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_519_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_519_io_en; // @[lib.scala 368:23] + wire rvclkhdr_519_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_520_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_520_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_520_io_en; // @[lib.scala 368:23] + wire rvclkhdr_520_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_521_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_521_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_521_io_en; // @[lib.scala 368:23] + wire rvclkhdr_521_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_522_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_522_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_522_io_en; // @[lib.scala 343:22] + wire rvclkhdr_522_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_523_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_523_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_523_io_en; // @[lib.scala 343:22] + wire rvclkhdr_523_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_524_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_524_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_524_io_en; // @[lib.scala 343:22] + wire rvclkhdr_524_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_525_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_525_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_525_io_en; // @[lib.scala 343:22] + wire rvclkhdr_525_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_526_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_526_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_526_io_en; // @[lib.scala 343:22] + wire rvclkhdr_526_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_527_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_527_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_527_io_en; // @[lib.scala 343:22] + wire rvclkhdr_527_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_528_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_528_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_528_io_en; // @[lib.scala 343:22] + wire rvclkhdr_528_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_529_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_529_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_529_io_en; // @[lib.scala 343:22] + wire rvclkhdr_529_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_530_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_530_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_530_io_en; // @[lib.scala 343:22] + wire rvclkhdr_530_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_531_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_531_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_531_io_en; // @[lib.scala 343:22] + wire rvclkhdr_531_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_532_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_532_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_532_io_en; // @[lib.scala 343:22] + wire rvclkhdr_532_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_533_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_533_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_533_io_en; // @[lib.scala 343:22] + wire rvclkhdr_533_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_534_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_534_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_534_io_en; // @[lib.scala 343:22] + wire rvclkhdr_534_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_535_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_535_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_535_io_en; // @[lib.scala 343:22] + wire rvclkhdr_535_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_536_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_536_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_536_io_en; // @[lib.scala 343:22] + wire rvclkhdr_536_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_537_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_537_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_537_io_en; // @[lib.scala 343:22] + wire rvclkhdr_537_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_538_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_538_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_538_io_en; // @[lib.scala 343:22] + wire rvclkhdr_538_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_539_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_539_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_539_io_en; // @[lib.scala 343:22] + wire rvclkhdr_539_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_540_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_540_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_540_io_en; // @[lib.scala 343:22] + wire rvclkhdr_540_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_541_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_541_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_541_io_en; // @[lib.scala 343:22] + wire rvclkhdr_541_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_542_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_542_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_542_io_en; // @[lib.scala 343:22] + wire rvclkhdr_542_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_543_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_543_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_543_io_en; // @[lib.scala 343:22] + wire rvclkhdr_543_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_544_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_544_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_544_io_en; // @[lib.scala 343:22] + wire rvclkhdr_544_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_545_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_545_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_545_io_en; // @[lib.scala 343:22] + wire rvclkhdr_545_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_546_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_546_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_546_io_en; // @[lib.scala 343:22] + wire rvclkhdr_546_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_547_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_547_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_547_io_en; // @[lib.scala 343:22] + wire rvclkhdr_547_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_548_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_548_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_548_io_en; // @[lib.scala 343:22] + wire rvclkhdr_548_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_549_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_549_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_549_io_en; // @[lib.scala 343:22] + wire rvclkhdr_549_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_550_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_550_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_550_io_en; // @[lib.scala 343:22] + wire rvclkhdr_550_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_551_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_551_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_551_io_en; // @[lib.scala 343:22] + wire rvclkhdr_551_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_552_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_552_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_552_io_en; // @[lib.scala 343:22] + wire rvclkhdr_552_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_553_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_553_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_553_io_en; // @[lib.scala 343:22] + wire rvclkhdr_553_io_scan_mode; // @[lib.scala 343:22] + wire _T_40 = io_dec_bp_dec_tlu_flush_leak_one_wb & io_dec_tlu_flush_lower_wb; // @[ifu_bp_ctl.scala 123:54] + reg leak_one_f_d1; // @[ifu_bp_ctl.scala 117:56] + wire _T_41 = ~io_dec_tlu_flush_lower_wb; // @[ifu_bp_ctl.scala 123:102] + wire _T_42 = leak_one_f_d1 & _T_41; // @[ifu_bp_ctl.scala 123:100] + wire leak_one_f = _T_40 | _T_42; // @[ifu_bp_ctl.scala 123:83] + wire _T = ~leak_one_f; // @[ifu_bp_ctl.scala 60:58] + wire exu_mp_valid = io_exu_bp_exu_mp_pkt_bits_misp & _T; // @[ifu_bp_ctl.scala 60:56] + wire dec_tlu_error_wb = io_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error | io_dec_bp_dec_tlu_br0_r_pkt_bits_br_error; // @[ifu_bp_ctl.scala 82:50] + wire [7:0] _T_4 = io_ifc_fetch_addr_f[8:1] ^ io_ifc_fetch_addr_f[16:9]; // @[lib.scala 51:47] + wire [7:0] btb_rd_addr_f = _T_4 ^ io_ifc_fetch_addr_f[24:17]; // @[lib.scala 51:85] + wire [29:0] fetch_addr_p1_f = io_ifc_fetch_addr_f[30:1] + 30'h1; // @[ifu_bp_ctl.scala 90:51] + wire [30:0] _T_8 = {fetch_addr_p1_f,1'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_11 = _T_8[8:1] ^ _T_8[16:9]; // @[lib.scala 51:47] + wire [7:0] btb_rd_addr_p1_f = _T_11 ^ _T_8[24:17]; // @[lib.scala 51:85] + wire _T_144 = ~io_ifc_fetch_addr_f[0]; // @[ifu_bp_ctl.scala 174:40] + wire _T_2112 = btb_rd_addr_f == 8'h0; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_0; // @[lib.scala 374:16] + wire [21:0] _T_2624 = _T_2112 ? btb_bank0_rd_data_way0_out_0 : 22'h0; // @[Mux.scala 27:72] + wire _T_2114 = btb_rd_addr_f == 8'h1; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_1; // @[lib.scala 374:16] + wire [21:0] _T_2625 = _T_2114 ? btb_bank0_rd_data_way0_out_1 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2880 = _T_2624 | _T_2625; // @[Mux.scala 27:72] + wire _T_2116 = btb_rd_addr_f == 8'h2; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_2; // @[lib.scala 374:16] + wire [21:0] _T_2626 = _T_2116 ? btb_bank0_rd_data_way0_out_2 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2881 = _T_2880 | _T_2626; // @[Mux.scala 27:72] + wire _T_2118 = btb_rd_addr_f == 8'h3; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_3; // @[lib.scala 374:16] + wire [21:0] _T_2627 = _T_2118 ? btb_bank0_rd_data_way0_out_3 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2882 = _T_2881 | _T_2627; // @[Mux.scala 27:72] + wire _T_2120 = btb_rd_addr_f == 8'h4; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_4; // @[lib.scala 374:16] + wire [21:0] _T_2628 = _T_2120 ? btb_bank0_rd_data_way0_out_4 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2883 = _T_2882 | _T_2628; // @[Mux.scala 27:72] + wire _T_2122 = btb_rd_addr_f == 8'h5; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_5; // @[lib.scala 374:16] + wire [21:0] _T_2629 = _T_2122 ? btb_bank0_rd_data_way0_out_5 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2884 = _T_2883 | _T_2629; // @[Mux.scala 27:72] + wire _T_2124 = btb_rd_addr_f == 8'h6; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_6; // @[lib.scala 374:16] + wire [21:0] _T_2630 = _T_2124 ? btb_bank0_rd_data_way0_out_6 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2885 = _T_2884 | _T_2630; // @[Mux.scala 27:72] + wire _T_2126 = btb_rd_addr_f == 8'h7; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_7; // @[lib.scala 374:16] + wire [21:0] _T_2631 = _T_2126 ? btb_bank0_rd_data_way0_out_7 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2886 = _T_2885 | _T_2631; // @[Mux.scala 27:72] + wire _T_2128 = btb_rd_addr_f == 8'h8; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_8; // @[lib.scala 374:16] + wire [21:0] _T_2632 = _T_2128 ? btb_bank0_rd_data_way0_out_8 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2887 = _T_2886 | _T_2632; // @[Mux.scala 27:72] + wire _T_2130 = btb_rd_addr_f == 8'h9; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_9; // @[lib.scala 374:16] + wire [21:0] _T_2633 = _T_2130 ? btb_bank0_rd_data_way0_out_9 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2888 = _T_2887 | _T_2633; // @[Mux.scala 27:72] + wire _T_2132 = btb_rd_addr_f == 8'ha; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_10; // @[lib.scala 374:16] + wire [21:0] _T_2634 = _T_2132 ? btb_bank0_rd_data_way0_out_10 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2889 = _T_2888 | _T_2634; // @[Mux.scala 27:72] + wire _T_2134 = btb_rd_addr_f == 8'hb; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_11; // @[lib.scala 374:16] + wire [21:0] _T_2635 = _T_2134 ? btb_bank0_rd_data_way0_out_11 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2890 = _T_2889 | _T_2635; // @[Mux.scala 27:72] + wire _T_2136 = btb_rd_addr_f == 8'hc; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_12; // @[lib.scala 374:16] + wire [21:0] _T_2636 = _T_2136 ? btb_bank0_rd_data_way0_out_12 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2891 = _T_2890 | _T_2636; // @[Mux.scala 27:72] + wire _T_2138 = btb_rd_addr_f == 8'hd; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_13; // @[lib.scala 374:16] + wire [21:0] _T_2637 = _T_2138 ? btb_bank0_rd_data_way0_out_13 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2892 = _T_2891 | _T_2637; // @[Mux.scala 27:72] + wire _T_2140 = btb_rd_addr_f == 8'he; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_14; // @[lib.scala 374:16] + wire [21:0] _T_2638 = _T_2140 ? btb_bank0_rd_data_way0_out_14 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2893 = _T_2892 | _T_2638; // @[Mux.scala 27:72] + wire _T_2142 = btb_rd_addr_f == 8'hf; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_15; // @[lib.scala 374:16] + wire [21:0] _T_2639 = _T_2142 ? btb_bank0_rd_data_way0_out_15 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2894 = _T_2893 | _T_2639; // @[Mux.scala 27:72] + wire _T_2144 = btb_rd_addr_f == 8'h10; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_16; // @[lib.scala 374:16] + wire [21:0] _T_2640 = _T_2144 ? btb_bank0_rd_data_way0_out_16 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2895 = _T_2894 | _T_2640; // @[Mux.scala 27:72] + wire _T_2146 = btb_rd_addr_f == 8'h11; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_17; // @[lib.scala 374:16] + wire [21:0] _T_2641 = _T_2146 ? btb_bank0_rd_data_way0_out_17 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2896 = _T_2895 | _T_2641; // @[Mux.scala 27:72] + wire _T_2148 = btb_rd_addr_f == 8'h12; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_18; // @[lib.scala 374:16] + wire [21:0] _T_2642 = _T_2148 ? btb_bank0_rd_data_way0_out_18 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2897 = _T_2896 | _T_2642; // @[Mux.scala 27:72] + wire _T_2150 = btb_rd_addr_f == 8'h13; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_19; // @[lib.scala 374:16] + wire [21:0] _T_2643 = _T_2150 ? btb_bank0_rd_data_way0_out_19 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2898 = _T_2897 | _T_2643; // @[Mux.scala 27:72] + wire _T_2152 = btb_rd_addr_f == 8'h14; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_20; // @[lib.scala 374:16] + wire [21:0] _T_2644 = _T_2152 ? btb_bank0_rd_data_way0_out_20 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2899 = _T_2898 | _T_2644; // @[Mux.scala 27:72] + wire _T_2154 = btb_rd_addr_f == 8'h15; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_21; // @[lib.scala 374:16] + wire [21:0] _T_2645 = _T_2154 ? btb_bank0_rd_data_way0_out_21 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2900 = _T_2899 | _T_2645; // @[Mux.scala 27:72] + wire _T_2156 = btb_rd_addr_f == 8'h16; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_22; // @[lib.scala 374:16] + wire [21:0] _T_2646 = _T_2156 ? btb_bank0_rd_data_way0_out_22 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2901 = _T_2900 | _T_2646; // @[Mux.scala 27:72] + wire _T_2158 = btb_rd_addr_f == 8'h17; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_23; // @[lib.scala 374:16] + wire [21:0] _T_2647 = _T_2158 ? btb_bank0_rd_data_way0_out_23 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2902 = _T_2901 | _T_2647; // @[Mux.scala 27:72] + wire _T_2160 = btb_rd_addr_f == 8'h18; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_24; // @[lib.scala 374:16] + wire [21:0] _T_2648 = _T_2160 ? btb_bank0_rd_data_way0_out_24 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2903 = _T_2902 | _T_2648; // @[Mux.scala 27:72] + wire _T_2162 = btb_rd_addr_f == 8'h19; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_25; // @[lib.scala 374:16] + wire [21:0] _T_2649 = _T_2162 ? btb_bank0_rd_data_way0_out_25 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2904 = _T_2903 | _T_2649; // @[Mux.scala 27:72] + wire _T_2164 = btb_rd_addr_f == 8'h1a; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_26; // @[lib.scala 374:16] + wire [21:0] _T_2650 = _T_2164 ? btb_bank0_rd_data_way0_out_26 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2905 = _T_2904 | _T_2650; // @[Mux.scala 27:72] + wire _T_2166 = btb_rd_addr_f == 8'h1b; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_27; // @[lib.scala 374:16] + wire [21:0] _T_2651 = _T_2166 ? btb_bank0_rd_data_way0_out_27 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2906 = _T_2905 | _T_2651; // @[Mux.scala 27:72] + wire _T_2168 = btb_rd_addr_f == 8'h1c; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_28; // @[lib.scala 374:16] + wire [21:0] _T_2652 = _T_2168 ? btb_bank0_rd_data_way0_out_28 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2907 = _T_2906 | _T_2652; // @[Mux.scala 27:72] + wire _T_2170 = btb_rd_addr_f == 8'h1d; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_29; // @[lib.scala 374:16] + wire [21:0] _T_2653 = _T_2170 ? btb_bank0_rd_data_way0_out_29 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2908 = _T_2907 | _T_2653; // @[Mux.scala 27:72] + wire _T_2172 = btb_rd_addr_f == 8'h1e; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_30; // @[lib.scala 374:16] + wire [21:0] _T_2654 = _T_2172 ? btb_bank0_rd_data_way0_out_30 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2909 = _T_2908 | _T_2654; // @[Mux.scala 27:72] + wire _T_2174 = btb_rd_addr_f == 8'h1f; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_31; // @[lib.scala 374:16] + wire [21:0] _T_2655 = _T_2174 ? btb_bank0_rd_data_way0_out_31 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2910 = _T_2909 | _T_2655; // @[Mux.scala 27:72] + wire _T_2176 = btb_rd_addr_f == 8'h20; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_32; // @[lib.scala 374:16] + wire [21:0] _T_2656 = _T_2176 ? btb_bank0_rd_data_way0_out_32 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2911 = _T_2910 | _T_2656; // @[Mux.scala 27:72] + wire _T_2178 = btb_rd_addr_f == 8'h21; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_33; // @[lib.scala 374:16] + wire [21:0] _T_2657 = _T_2178 ? btb_bank0_rd_data_way0_out_33 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2912 = _T_2911 | _T_2657; // @[Mux.scala 27:72] + wire _T_2180 = btb_rd_addr_f == 8'h22; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_34; // @[lib.scala 374:16] + wire [21:0] _T_2658 = _T_2180 ? btb_bank0_rd_data_way0_out_34 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2913 = _T_2912 | _T_2658; // @[Mux.scala 27:72] + wire _T_2182 = btb_rd_addr_f == 8'h23; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_35; // @[lib.scala 374:16] + wire [21:0] _T_2659 = _T_2182 ? btb_bank0_rd_data_way0_out_35 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2914 = _T_2913 | _T_2659; // @[Mux.scala 27:72] + wire _T_2184 = btb_rd_addr_f == 8'h24; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_36; // @[lib.scala 374:16] + wire [21:0] _T_2660 = _T_2184 ? btb_bank0_rd_data_way0_out_36 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2915 = _T_2914 | _T_2660; // @[Mux.scala 27:72] + wire _T_2186 = btb_rd_addr_f == 8'h25; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_37; // @[lib.scala 374:16] + wire [21:0] _T_2661 = _T_2186 ? btb_bank0_rd_data_way0_out_37 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2916 = _T_2915 | _T_2661; // @[Mux.scala 27:72] + wire _T_2188 = btb_rd_addr_f == 8'h26; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_38; // @[lib.scala 374:16] + wire [21:0] _T_2662 = _T_2188 ? btb_bank0_rd_data_way0_out_38 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2917 = _T_2916 | _T_2662; // @[Mux.scala 27:72] + wire _T_2190 = btb_rd_addr_f == 8'h27; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_39; // @[lib.scala 374:16] + wire [21:0] _T_2663 = _T_2190 ? btb_bank0_rd_data_way0_out_39 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2918 = _T_2917 | _T_2663; // @[Mux.scala 27:72] + wire _T_2192 = btb_rd_addr_f == 8'h28; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_40; // @[lib.scala 374:16] + wire [21:0] _T_2664 = _T_2192 ? btb_bank0_rd_data_way0_out_40 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2919 = _T_2918 | _T_2664; // @[Mux.scala 27:72] + wire _T_2194 = btb_rd_addr_f == 8'h29; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_41; // @[lib.scala 374:16] + wire [21:0] _T_2665 = _T_2194 ? btb_bank0_rd_data_way0_out_41 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2920 = _T_2919 | _T_2665; // @[Mux.scala 27:72] + wire _T_2196 = btb_rd_addr_f == 8'h2a; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_42; // @[lib.scala 374:16] + wire [21:0] _T_2666 = _T_2196 ? btb_bank0_rd_data_way0_out_42 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2921 = _T_2920 | _T_2666; // @[Mux.scala 27:72] + wire _T_2198 = btb_rd_addr_f == 8'h2b; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_43; // @[lib.scala 374:16] + wire [21:0] _T_2667 = _T_2198 ? btb_bank0_rd_data_way0_out_43 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2922 = _T_2921 | _T_2667; // @[Mux.scala 27:72] + wire _T_2200 = btb_rd_addr_f == 8'h2c; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_44; // @[lib.scala 374:16] + wire [21:0] _T_2668 = _T_2200 ? btb_bank0_rd_data_way0_out_44 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2923 = _T_2922 | _T_2668; // @[Mux.scala 27:72] + wire _T_2202 = btb_rd_addr_f == 8'h2d; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_45; // @[lib.scala 374:16] + wire [21:0] _T_2669 = _T_2202 ? btb_bank0_rd_data_way0_out_45 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2924 = _T_2923 | _T_2669; // @[Mux.scala 27:72] + wire _T_2204 = btb_rd_addr_f == 8'h2e; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_46; // @[lib.scala 374:16] + wire [21:0] _T_2670 = _T_2204 ? btb_bank0_rd_data_way0_out_46 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2925 = _T_2924 | _T_2670; // @[Mux.scala 27:72] + wire _T_2206 = btb_rd_addr_f == 8'h2f; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_47; // @[lib.scala 374:16] + wire [21:0] _T_2671 = _T_2206 ? btb_bank0_rd_data_way0_out_47 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2926 = _T_2925 | _T_2671; // @[Mux.scala 27:72] + wire _T_2208 = btb_rd_addr_f == 8'h30; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_48; // @[lib.scala 374:16] + wire [21:0] _T_2672 = _T_2208 ? btb_bank0_rd_data_way0_out_48 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2927 = _T_2926 | _T_2672; // @[Mux.scala 27:72] + wire _T_2210 = btb_rd_addr_f == 8'h31; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_49; // @[lib.scala 374:16] + wire [21:0] _T_2673 = _T_2210 ? btb_bank0_rd_data_way0_out_49 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2928 = _T_2927 | _T_2673; // @[Mux.scala 27:72] + wire _T_2212 = btb_rd_addr_f == 8'h32; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_50; // @[lib.scala 374:16] + wire [21:0] _T_2674 = _T_2212 ? btb_bank0_rd_data_way0_out_50 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2929 = _T_2928 | _T_2674; // @[Mux.scala 27:72] + wire _T_2214 = btb_rd_addr_f == 8'h33; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_51; // @[lib.scala 374:16] + wire [21:0] _T_2675 = _T_2214 ? btb_bank0_rd_data_way0_out_51 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2930 = _T_2929 | _T_2675; // @[Mux.scala 27:72] + wire _T_2216 = btb_rd_addr_f == 8'h34; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_52; // @[lib.scala 374:16] + wire [21:0] _T_2676 = _T_2216 ? btb_bank0_rd_data_way0_out_52 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2931 = _T_2930 | _T_2676; // @[Mux.scala 27:72] + wire _T_2218 = btb_rd_addr_f == 8'h35; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_53; // @[lib.scala 374:16] + wire [21:0] _T_2677 = _T_2218 ? btb_bank0_rd_data_way0_out_53 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2932 = _T_2931 | _T_2677; // @[Mux.scala 27:72] + wire _T_2220 = btb_rd_addr_f == 8'h36; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_54; // @[lib.scala 374:16] + wire [21:0] _T_2678 = _T_2220 ? btb_bank0_rd_data_way0_out_54 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2933 = _T_2932 | _T_2678; // @[Mux.scala 27:72] + wire _T_2222 = btb_rd_addr_f == 8'h37; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_55; // @[lib.scala 374:16] + wire [21:0] _T_2679 = _T_2222 ? btb_bank0_rd_data_way0_out_55 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2934 = _T_2933 | _T_2679; // @[Mux.scala 27:72] + wire _T_2224 = btb_rd_addr_f == 8'h38; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_56; // @[lib.scala 374:16] + wire [21:0] _T_2680 = _T_2224 ? btb_bank0_rd_data_way0_out_56 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2935 = _T_2934 | _T_2680; // @[Mux.scala 27:72] + wire _T_2226 = btb_rd_addr_f == 8'h39; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_57; // @[lib.scala 374:16] + wire [21:0] _T_2681 = _T_2226 ? btb_bank0_rd_data_way0_out_57 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2936 = _T_2935 | _T_2681; // @[Mux.scala 27:72] + wire _T_2228 = btb_rd_addr_f == 8'h3a; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_58; // @[lib.scala 374:16] + wire [21:0] _T_2682 = _T_2228 ? btb_bank0_rd_data_way0_out_58 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2937 = _T_2936 | _T_2682; // @[Mux.scala 27:72] + wire _T_2230 = btb_rd_addr_f == 8'h3b; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_59; // @[lib.scala 374:16] + wire [21:0] _T_2683 = _T_2230 ? btb_bank0_rd_data_way0_out_59 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2938 = _T_2937 | _T_2683; // @[Mux.scala 27:72] + wire _T_2232 = btb_rd_addr_f == 8'h3c; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_60; // @[lib.scala 374:16] + wire [21:0] _T_2684 = _T_2232 ? btb_bank0_rd_data_way0_out_60 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2939 = _T_2938 | _T_2684; // @[Mux.scala 27:72] + wire _T_2234 = btb_rd_addr_f == 8'h3d; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_61; // @[lib.scala 374:16] + wire [21:0] _T_2685 = _T_2234 ? btb_bank0_rd_data_way0_out_61 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2940 = _T_2939 | _T_2685; // @[Mux.scala 27:72] + wire _T_2236 = btb_rd_addr_f == 8'h3e; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_62; // @[lib.scala 374:16] + wire [21:0] _T_2686 = _T_2236 ? btb_bank0_rd_data_way0_out_62 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2941 = _T_2940 | _T_2686; // @[Mux.scala 27:72] + wire _T_2238 = btb_rd_addr_f == 8'h3f; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_63; // @[lib.scala 374:16] + wire [21:0] _T_2687 = _T_2238 ? btb_bank0_rd_data_way0_out_63 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2942 = _T_2941 | _T_2687; // @[Mux.scala 27:72] + wire _T_2240 = btb_rd_addr_f == 8'h40; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_64; // @[lib.scala 374:16] + wire [21:0] _T_2688 = _T_2240 ? btb_bank0_rd_data_way0_out_64 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2943 = _T_2942 | _T_2688; // @[Mux.scala 27:72] + wire _T_2242 = btb_rd_addr_f == 8'h41; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_65; // @[lib.scala 374:16] + wire [21:0] _T_2689 = _T_2242 ? btb_bank0_rd_data_way0_out_65 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2944 = _T_2943 | _T_2689; // @[Mux.scala 27:72] + wire _T_2244 = btb_rd_addr_f == 8'h42; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_66; // @[lib.scala 374:16] + wire [21:0] _T_2690 = _T_2244 ? btb_bank0_rd_data_way0_out_66 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2945 = _T_2944 | _T_2690; // @[Mux.scala 27:72] + wire _T_2246 = btb_rd_addr_f == 8'h43; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_67; // @[lib.scala 374:16] + wire [21:0] _T_2691 = _T_2246 ? btb_bank0_rd_data_way0_out_67 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2946 = _T_2945 | _T_2691; // @[Mux.scala 27:72] + wire _T_2248 = btb_rd_addr_f == 8'h44; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_68; // @[lib.scala 374:16] + wire [21:0] _T_2692 = _T_2248 ? btb_bank0_rd_data_way0_out_68 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2947 = _T_2946 | _T_2692; // @[Mux.scala 27:72] + wire _T_2250 = btb_rd_addr_f == 8'h45; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_69; // @[lib.scala 374:16] + wire [21:0] _T_2693 = _T_2250 ? btb_bank0_rd_data_way0_out_69 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2948 = _T_2947 | _T_2693; // @[Mux.scala 27:72] + wire _T_2252 = btb_rd_addr_f == 8'h46; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_70; // @[lib.scala 374:16] + wire [21:0] _T_2694 = _T_2252 ? btb_bank0_rd_data_way0_out_70 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2949 = _T_2948 | _T_2694; // @[Mux.scala 27:72] + wire _T_2254 = btb_rd_addr_f == 8'h47; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_71; // @[lib.scala 374:16] + wire [21:0] _T_2695 = _T_2254 ? btb_bank0_rd_data_way0_out_71 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2950 = _T_2949 | _T_2695; // @[Mux.scala 27:72] + wire _T_2256 = btb_rd_addr_f == 8'h48; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_72; // @[lib.scala 374:16] + wire [21:0] _T_2696 = _T_2256 ? btb_bank0_rd_data_way0_out_72 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2951 = _T_2950 | _T_2696; // @[Mux.scala 27:72] + wire _T_2258 = btb_rd_addr_f == 8'h49; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_73; // @[lib.scala 374:16] + wire [21:0] _T_2697 = _T_2258 ? btb_bank0_rd_data_way0_out_73 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2952 = _T_2951 | _T_2697; // @[Mux.scala 27:72] + wire _T_2260 = btb_rd_addr_f == 8'h4a; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_74; // @[lib.scala 374:16] + wire [21:0] _T_2698 = _T_2260 ? btb_bank0_rd_data_way0_out_74 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2953 = _T_2952 | _T_2698; // @[Mux.scala 27:72] + wire _T_2262 = btb_rd_addr_f == 8'h4b; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_75; // @[lib.scala 374:16] + wire [21:0] _T_2699 = _T_2262 ? btb_bank0_rd_data_way0_out_75 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2954 = _T_2953 | _T_2699; // @[Mux.scala 27:72] + wire _T_2264 = btb_rd_addr_f == 8'h4c; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_76; // @[lib.scala 374:16] + wire [21:0] _T_2700 = _T_2264 ? btb_bank0_rd_data_way0_out_76 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2955 = _T_2954 | _T_2700; // @[Mux.scala 27:72] + wire _T_2266 = btb_rd_addr_f == 8'h4d; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_77; // @[lib.scala 374:16] + wire [21:0] _T_2701 = _T_2266 ? btb_bank0_rd_data_way0_out_77 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2956 = _T_2955 | _T_2701; // @[Mux.scala 27:72] + wire _T_2268 = btb_rd_addr_f == 8'h4e; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_78; // @[lib.scala 374:16] + wire [21:0] _T_2702 = _T_2268 ? btb_bank0_rd_data_way0_out_78 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2957 = _T_2956 | _T_2702; // @[Mux.scala 27:72] + wire _T_2270 = btb_rd_addr_f == 8'h4f; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_79; // @[lib.scala 374:16] + wire [21:0] _T_2703 = _T_2270 ? btb_bank0_rd_data_way0_out_79 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2958 = _T_2957 | _T_2703; // @[Mux.scala 27:72] + wire _T_2272 = btb_rd_addr_f == 8'h50; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_80; // @[lib.scala 374:16] + wire [21:0] _T_2704 = _T_2272 ? btb_bank0_rd_data_way0_out_80 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2959 = _T_2958 | _T_2704; // @[Mux.scala 27:72] + wire _T_2274 = btb_rd_addr_f == 8'h51; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_81; // @[lib.scala 374:16] + wire [21:0] _T_2705 = _T_2274 ? btb_bank0_rd_data_way0_out_81 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2960 = _T_2959 | _T_2705; // @[Mux.scala 27:72] + wire _T_2276 = btb_rd_addr_f == 8'h52; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_82; // @[lib.scala 374:16] + wire [21:0] _T_2706 = _T_2276 ? btb_bank0_rd_data_way0_out_82 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2961 = _T_2960 | _T_2706; // @[Mux.scala 27:72] + wire _T_2278 = btb_rd_addr_f == 8'h53; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_83; // @[lib.scala 374:16] + wire [21:0] _T_2707 = _T_2278 ? btb_bank0_rd_data_way0_out_83 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2962 = _T_2961 | _T_2707; // @[Mux.scala 27:72] + wire _T_2280 = btb_rd_addr_f == 8'h54; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_84; // @[lib.scala 374:16] + wire [21:0] _T_2708 = _T_2280 ? btb_bank0_rd_data_way0_out_84 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2963 = _T_2962 | _T_2708; // @[Mux.scala 27:72] + wire _T_2282 = btb_rd_addr_f == 8'h55; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_85; // @[lib.scala 374:16] + wire [21:0] _T_2709 = _T_2282 ? btb_bank0_rd_data_way0_out_85 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2964 = _T_2963 | _T_2709; // @[Mux.scala 27:72] + wire _T_2284 = btb_rd_addr_f == 8'h56; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_86; // @[lib.scala 374:16] + wire [21:0] _T_2710 = _T_2284 ? btb_bank0_rd_data_way0_out_86 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2965 = _T_2964 | _T_2710; // @[Mux.scala 27:72] + wire _T_2286 = btb_rd_addr_f == 8'h57; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_87; // @[lib.scala 374:16] + wire [21:0] _T_2711 = _T_2286 ? btb_bank0_rd_data_way0_out_87 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2966 = _T_2965 | _T_2711; // @[Mux.scala 27:72] + wire _T_2288 = btb_rd_addr_f == 8'h58; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_88; // @[lib.scala 374:16] + wire [21:0] _T_2712 = _T_2288 ? btb_bank0_rd_data_way0_out_88 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2967 = _T_2966 | _T_2712; // @[Mux.scala 27:72] + wire _T_2290 = btb_rd_addr_f == 8'h59; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_89; // @[lib.scala 374:16] + wire [21:0] _T_2713 = _T_2290 ? btb_bank0_rd_data_way0_out_89 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2968 = _T_2967 | _T_2713; // @[Mux.scala 27:72] + wire _T_2292 = btb_rd_addr_f == 8'h5a; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_90; // @[lib.scala 374:16] + wire [21:0] _T_2714 = _T_2292 ? btb_bank0_rd_data_way0_out_90 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2969 = _T_2968 | _T_2714; // @[Mux.scala 27:72] + wire _T_2294 = btb_rd_addr_f == 8'h5b; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_91; // @[lib.scala 374:16] + wire [21:0] _T_2715 = _T_2294 ? btb_bank0_rd_data_way0_out_91 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2970 = _T_2969 | _T_2715; // @[Mux.scala 27:72] + wire _T_2296 = btb_rd_addr_f == 8'h5c; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_92; // @[lib.scala 374:16] + wire [21:0] _T_2716 = _T_2296 ? btb_bank0_rd_data_way0_out_92 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2971 = _T_2970 | _T_2716; // @[Mux.scala 27:72] + wire _T_2298 = btb_rd_addr_f == 8'h5d; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_93; // @[lib.scala 374:16] + wire [21:0] _T_2717 = _T_2298 ? btb_bank0_rd_data_way0_out_93 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2972 = _T_2971 | _T_2717; // @[Mux.scala 27:72] + wire _T_2300 = btb_rd_addr_f == 8'h5e; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_94; // @[lib.scala 374:16] + wire [21:0] _T_2718 = _T_2300 ? btb_bank0_rd_data_way0_out_94 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2973 = _T_2972 | _T_2718; // @[Mux.scala 27:72] + wire _T_2302 = btb_rd_addr_f == 8'h5f; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_95; // @[lib.scala 374:16] + wire [21:0] _T_2719 = _T_2302 ? btb_bank0_rd_data_way0_out_95 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2974 = _T_2973 | _T_2719; // @[Mux.scala 27:72] + wire _T_2304 = btb_rd_addr_f == 8'h60; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_96; // @[lib.scala 374:16] + wire [21:0] _T_2720 = _T_2304 ? btb_bank0_rd_data_way0_out_96 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2975 = _T_2974 | _T_2720; // @[Mux.scala 27:72] + wire _T_2306 = btb_rd_addr_f == 8'h61; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_97; // @[lib.scala 374:16] + wire [21:0] _T_2721 = _T_2306 ? btb_bank0_rd_data_way0_out_97 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2976 = _T_2975 | _T_2721; // @[Mux.scala 27:72] + wire _T_2308 = btb_rd_addr_f == 8'h62; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_98; // @[lib.scala 374:16] + wire [21:0] _T_2722 = _T_2308 ? btb_bank0_rd_data_way0_out_98 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2977 = _T_2976 | _T_2722; // @[Mux.scala 27:72] + wire _T_2310 = btb_rd_addr_f == 8'h63; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_99; // @[lib.scala 374:16] + wire [21:0] _T_2723 = _T_2310 ? btb_bank0_rd_data_way0_out_99 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2978 = _T_2977 | _T_2723; // @[Mux.scala 27:72] + wire _T_2312 = btb_rd_addr_f == 8'h64; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_100; // @[lib.scala 374:16] + wire [21:0] _T_2724 = _T_2312 ? btb_bank0_rd_data_way0_out_100 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2979 = _T_2978 | _T_2724; // @[Mux.scala 27:72] + wire _T_2314 = btb_rd_addr_f == 8'h65; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_101; // @[lib.scala 374:16] + wire [21:0] _T_2725 = _T_2314 ? btb_bank0_rd_data_way0_out_101 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2980 = _T_2979 | _T_2725; // @[Mux.scala 27:72] + wire _T_2316 = btb_rd_addr_f == 8'h66; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_102; // @[lib.scala 374:16] + wire [21:0] _T_2726 = _T_2316 ? btb_bank0_rd_data_way0_out_102 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2981 = _T_2980 | _T_2726; // @[Mux.scala 27:72] + wire _T_2318 = btb_rd_addr_f == 8'h67; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_103; // @[lib.scala 374:16] + wire [21:0] _T_2727 = _T_2318 ? btb_bank0_rd_data_way0_out_103 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2982 = _T_2981 | _T_2727; // @[Mux.scala 27:72] + wire _T_2320 = btb_rd_addr_f == 8'h68; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_104; // @[lib.scala 374:16] + wire [21:0] _T_2728 = _T_2320 ? btb_bank0_rd_data_way0_out_104 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2983 = _T_2982 | _T_2728; // @[Mux.scala 27:72] + wire _T_2322 = btb_rd_addr_f == 8'h69; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_105; // @[lib.scala 374:16] + wire [21:0] _T_2729 = _T_2322 ? btb_bank0_rd_data_way0_out_105 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2984 = _T_2983 | _T_2729; // @[Mux.scala 27:72] + wire _T_2324 = btb_rd_addr_f == 8'h6a; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_106; // @[lib.scala 374:16] + wire [21:0] _T_2730 = _T_2324 ? btb_bank0_rd_data_way0_out_106 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2985 = _T_2984 | _T_2730; // @[Mux.scala 27:72] + wire _T_2326 = btb_rd_addr_f == 8'h6b; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_107; // @[lib.scala 374:16] + wire [21:0] _T_2731 = _T_2326 ? btb_bank0_rd_data_way0_out_107 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2986 = _T_2985 | _T_2731; // @[Mux.scala 27:72] + wire _T_2328 = btb_rd_addr_f == 8'h6c; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_108; // @[lib.scala 374:16] + wire [21:0] _T_2732 = _T_2328 ? btb_bank0_rd_data_way0_out_108 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2987 = _T_2986 | _T_2732; // @[Mux.scala 27:72] + wire _T_2330 = btb_rd_addr_f == 8'h6d; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_109; // @[lib.scala 374:16] + wire [21:0] _T_2733 = _T_2330 ? btb_bank0_rd_data_way0_out_109 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2988 = _T_2987 | _T_2733; // @[Mux.scala 27:72] + wire _T_2332 = btb_rd_addr_f == 8'h6e; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_110; // @[lib.scala 374:16] + wire [21:0] _T_2734 = _T_2332 ? btb_bank0_rd_data_way0_out_110 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2989 = _T_2988 | _T_2734; // @[Mux.scala 27:72] + wire _T_2334 = btb_rd_addr_f == 8'h6f; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_111; // @[lib.scala 374:16] + wire [21:0] _T_2735 = _T_2334 ? btb_bank0_rd_data_way0_out_111 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2990 = _T_2989 | _T_2735; // @[Mux.scala 27:72] + wire _T_2336 = btb_rd_addr_f == 8'h70; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_112; // @[lib.scala 374:16] + wire [21:0] _T_2736 = _T_2336 ? btb_bank0_rd_data_way0_out_112 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2991 = _T_2990 | _T_2736; // @[Mux.scala 27:72] + wire _T_2338 = btb_rd_addr_f == 8'h71; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_113; // @[lib.scala 374:16] + wire [21:0] _T_2737 = _T_2338 ? btb_bank0_rd_data_way0_out_113 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2992 = _T_2991 | _T_2737; // @[Mux.scala 27:72] + wire _T_2340 = btb_rd_addr_f == 8'h72; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_114; // @[lib.scala 374:16] + wire [21:0] _T_2738 = _T_2340 ? btb_bank0_rd_data_way0_out_114 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2993 = _T_2992 | _T_2738; // @[Mux.scala 27:72] + wire _T_2342 = btb_rd_addr_f == 8'h73; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_115; // @[lib.scala 374:16] + wire [21:0] _T_2739 = _T_2342 ? btb_bank0_rd_data_way0_out_115 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2994 = _T_2993 | _T_2739; // @[Mux.scala 27:72] + wire _T_2344 = btb_rd_addr_f == 8'h74; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_116; // @[lib.scala 374:16] + wire [21:0] _T_2740 = _T_2344 ? btb_bank0_rd_data_way0_out_116 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2995 = _T_2994 | _T_2740; // @[Mux.scala 27:72] + wire _T_2346 = btb_rd_addr_f == 8'h75; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_117; // @[lib.scala 374:16] + wire [21:0] _T_2741 = _T_2346 ? btb_bank0_rd_data_way0_out_117 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2996 = _T_2995 | _T_2741; // @[Mux.scala 27:72] + wire _T_2348 = btb_rd_addr_f == 8'h76; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_118; // @[lib.scala 374:16] + wire [21:0] _T_2742 = _T_2348 ? btb_bank0_rd_data_way0_out_118 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2997 = _T_2996 | _T_2742; // @[Mux.scala 27:72] + wire _T_2350 = btb_rd_addr_f == 8'h77; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_119; // @[lib.scala 374:16] + wire [21:0] _T_2743 = _T_2350 ? btb_bank0_rd_data_way0_out_119 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2998 = _T_2997 | _T_2743; // @[Mux.scala 27:72] + wire _T_2352 = btb_rd_addr_f == 8'h78; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_120; // @[lib.scala 374:16] + wire [21:0] _T_2744 = _T_2352 ? btb_bank0_rd_data_way0_out_120 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_2999 = _T_2998 | _T_2744; // @[Mux.scala 27:72] + wire _T_2354 = btb_rd_addr_f == 8'h79; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_121; // @[lib.scala 374:16] + wire [21:0] _T_2745 = _T_2354 ? btb_bank0_rd_data_way0_out_121 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3000 = _T_2999 | _T_2745; // @[Mux.scala 27:72] + wire _T_2356 = btb_rd_addr_f == 8'h7a; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_122; // @[lib.scala 374:16] + wire [21:0] _T_2746 = _T_2356 ? btb_bank0_rd_data_way0_out_122 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3001 = _T_3000 | _T_2746; // @[Mux.scala 27:72] + wire _T_2358 = btb_rd_addr_f == 8'h7b; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_123; // @[lib.scala 374:16] + wire [21:0] _T_2747 = _T_2358 ? btb_bank0_rd_data_way0_out_123 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3002 = _T_3001 | _T_2747; // @[Mux.scala 27:72] + wire _T_2360 = btb_rd_addr_f == 8'h7c; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_124; // @[lib.scala 374:16] + wire [21:0] _T_2748 = _T_2360 ? btb_bank0_rd_data_way0_out_124 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3003 = _T_3002 | _T_2748; // @[Mux.scala 27:72] + wire _T_2362 = btb_rd_addr_f == 8'h7d; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_125; // @[lib.scala 374:16] + wire [21:0] _T_2749 = _T_2362 ? btb_bank0_rd_data_way0_out_125 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3004 = _T_3003 | _T_2749; // @[Mux.scala 27:72] + wire _T_2364 = btb_rd_addr_f == 8'h7e; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_126; // @[lib.scala 374:16] + wire [21:0] _T_2750 = _T_2364 ? btb_bank0_rd_data_way0_out_126 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3005 = _T_3004 | _T_2750; // @[Mux.scala 27:72] + wire _T_2366 = btb_rd_addr_f == 8'h7f; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_127; // @[lib.scala 374:16] + wire [21:0] _T_2751 = _T_2366 ? btb_bank0_rd_data_way0_out_127 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3006 = _T_3005 | _T_2751; // @[Mux.scala 27:72] + wire _T_2368 = btb_rd_addr_f == 8'h80; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_128; // @[lib.scala 374:16] + wire [21:0] _T_2752 = _T_2368 ? btb_bank0_rd_data_way0_out_128 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3007 = _T_3006 | _T_2752; // @[Mux.scala 27:72] + wire _T_2370 = btb_rd_addr_f == 8'h81; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_129; // @[lib.scala 374:16] + wire [21:0] _T_2753 = _T_2370 ? btb_bank0_rd_data_way0_out_129 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3008 = _T_3007 | _T_2753; // @[Mux.scala 27:72] + wire _T_2372 = btb_rd_addr_f == 8'h82; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_130; // @[lib.scala 374:16] + wire [21:0] _T_2754 = _T_2372 ? btb_bank0_rd_data_way0_out_130 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3009 = _T_3008 | _T_2754; // @[Mux.scala 27:72] + wire _T_2374 = btb_rd_addr_f == 8'h83; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_131; // @[lib.scala 374:16] + wire [21:0] _T_2755 = _T_2374 ? btb_bank0_rd_data_way0_out_131 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3010 = _T_3009 | _T_2755; // @[Mux.scala 27:72] + wire _T_2376 = btb_rd_addr_f == 8'h84; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_132; // @[lib.scala 374:16] + wire [21:0] _T_2756 = _T_2376 ? btb_bank0_rd_data_way0_out_132 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3011 = _T_3010 | _T_2756; // @[Mux.scala 27:72] + wire _T_2378 = btb_rd_addr_f == 8'h85; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_133; // @[lib.scala 374:16] + wire [21:0] _T_2757 = _T_2378 ? btb_bank0_rd_data_way0_out_133 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3012 = _T_3011 | _T_2757; // @[Mux.scala 27:72] + wire _T_2380 = btb_rd_addr_f == 8'h86; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_134; // @[lib.scala 374:16] + wire [21:0] _T_2758 = _T_2380 ? btb_bank0_rd_data_way0_out_134 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3013 = _T_3012 | _T_2758; // @[Mux.scala 27:72] + wire _T_2382 = btb_rd_addr_f == 8'h87; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_135; // @[lib.scala 374:16] + wire [21:0] _T_2759 = _T_2382 ? btb_bank0_rd_data_way0_out_135 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3014 = _T_3013 | _T_2759; // @[Mux.scala 27:72] + wire _T_2384 = btb_rd_addr_f == 8'h88; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_136; // @[lib.scala 374:16] + wire [21:0] _T_2760 = _T_2384 ? btb_bank0_rd_data_way0_out_136 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3015 = _T_3014 | _T_2760; // @[Mux.scala 27:72] + wire _T_2386 = btb_rd_addr_f == 8'h89; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_137; // @[lib.scala 374:16] + wire [21:0] _T_2761 = _T_2386 ? btb_bank0_rd_data_way0_out_137 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3016 = _T_3015 | _T_2761; // @[Mux.scala 27:72] + wire _T_2388 = btb_rd_addr_f == 8'h8a; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_138; // @[lib.scala 374:16] + wire [21:0] _T_2762 = _T_2388 ? btb_bank0_rd_data_way0_out_138 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3017 = _T_3016 | _T_2762; // @[Mux.scala 27:72] + wire _T_2390 = btb_rd_addr_f == 8'h8b; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_139; // @[lib.scala 374:16] + wire [21:0] _T_2763 = _T_2390 ? btb_bank0_rd_data_way0_out_139 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3018 = _T_3017 | _T_2763; // @[Mux.scala 27:72] + wire _T_2392 = btb_rd_addr_f == 8'h8c; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_140; // @[lib.scala 374:16] + wire [21:0] _T_2764 = _T_2392 ? btb_bank0_rd_data_way0_out_140 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3019 = _T_3018 | _T_2764; // @[Mux.scala 27:72] + wire _T_2394 = btb_rd_addr_f == 8'h8d; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_141; // @[lib.scala 374:16] + wire [21:0] _T_2765 = _T_2394 ? btb_bank0_rd_data_way0_out_141 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3020 = _T_3019 | _T_2765; // @[Mux.scala 27:72] + wire _T_2396 = btb_rd_addr_f == 8'h8e; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_142; // @[lib.scala 374:16] + wire [21:0] _T_2766 = _T_2396 ? btb_bank0_rd_data_way0_out_142 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3021 = _T_3020 | _T_2766; // @[Mux.scala 27:72] + wire _T_2398 = btb_rd_addr_f == 8'h8f; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_143; // @[lib.scala 374:16] + wire [21:0] _T_2767 = _T_2398 ? btb_bank0_rd_data_way0_out_143 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3022 = _T_3021 | _T_2767; // @[Mux.scala 27:72] + wire _T_2400 = btb_rd_addr_f == 8'h90; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_144; // @[lib.scala 374:16] + wire [21:0] _T_2768 = _T_2400 ? btb_bank0_rd_data_way0_out_144 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3023 = _T_3022 | _T_2768; // @[Mux.scala 27:72] + wire _T_2402 = btb_rd_addr_f == 8'h91; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_145; // @[lib.scala 374:16] + wire [21:0] _T_2769 = _T_2402 ? btb_bank0_rd_data_way0_out_145 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3024 = _T_3023 | _T_2769; // @[Mux.scala 27:72] + wire _T_2404 = btb_rd_addr_f == 8'h92; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_146; // @[lib.scala 374:16] + wire [21:0] _T_2770 = _T_2404 ? btb_bank0_rd_data_way0_out_146 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3025 = _T_3024 | _T_2770; // @[Mux.scala 27:72] + wire _T_2406 = btb_rd_addr_f == 8'h93; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_147; // @[lib.scala 374:16] + wire [21:0] _T_2771 = _T_2406 ? btb_bank0_rd_data_way0_out_147 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3026 = _T_3025 | _T_2771; // @[Mux.scala 27:72] + wire _T_2408 = btb_rd_addr_f == 8'h94; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_148; // @[lib.scala 374:16] + wire [21:0] _T_2772 = _T_2408 ? btb_bank0_rd_data_way0_out_148 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3027 = _T_3026 | _T_2772; // @[Mux.scala 27:72] + wire _T_2410 = btb_rd_addr_f == 8'h95; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_149; // @[lib.scala 374:16] + wire [21:0] _T_2773 = _T_2410 ? btb_bank0_rd_data_way0_out_149 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3028 = _T_3027 | _T_2773; // @[Mux.scala 27:72] + wire _T_2412 = btb_rd_addr_f == 8'h96; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_150; // @[lib.scala 374:16] + wire [21:0] _T_2774 = _T_2412 ? btb_bank0_rd_data_way0_out_150 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3029 = _T_3028 | _T_2774; // @[Mux.scala 27:72] + wire _T_2414 = btb_rd_addr_f == 8'h97; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_151; // @[lib.scala 374:16] + wire [21:0] _T_2775 = _T_2414 ? btb_bank0_rd_data_way0_out_151 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3030 = _T_3029 | _T_2775; // @[Mux.scala 27:72] + wire _T_2416 = btb_rd_addr_f == 8'h98; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_152; // @[lib.scala 374:16] + wire [21:0] _T_2776 = _T_2416 ? btb_bank0_rd_data_way0_out_152 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3031 = _T_3030 | _T_2776; // @[Mux.scala 27:72] + wire _T_2418 = btb_rd_addr_f == 8'h99; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_153; // @[lib.scala 374:16] + wire [21:0] _T_2777 = _T_2418 ? btb_bank0_rd_data_way0_out_153 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3032 = _T_3031 | _T_2777; // @[Mux.scala 27:72] + wire _T_2420 = btb_rd_addr_f == 8'h9a; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_154; // @[lib.scala 374:16] + wire [21:0] _T_2778 = _T_2420 ? btb_bank0_rd_data_way0_out_154 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3033 = _T_3032 | _T_2778; // @[Mux.scala 27:72] + wire _T_2422 = btb_rd_addr_f == 8'h9b; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_155; // @[lib.scala 374:16] + wire [21:0] _T_2779 = _T_2422 ? btb_bank0_rd_data_way0_out_155 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3034 = _T_3033 | _T_2779; // @[Mux.scala 27:72] + wire _T_2424 = btb_rd_addr_f == 8'h9c; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_156; // @[lib.scala 374:16] + wire [21:0] _T_2780 = _T_2424 ? btb_bank0_rd_data_way0_out_156 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3035 = _T_3034 | _T_2780; // @[Mux.scala 27:72] + wire _T_2426 = btb_rd_addr_f == 8'h9d; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_157; // @[lib.scala 374:16] + wire [21:0] _T_2781 = _T_2426 ? btb_bank0_rd_data_way0_out_157 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3036 = _T_3035 | _T_2781; // @[Mux.scala 27:72] + wire _T_2428 = btb_rd_addr_f == 8'h9e; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_158; // @[lib.scala 374:16] + wire [21:0] _T_2782 = _T_2428 ? btb_bank0_rd_data_way0_out_158 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3037 = _T_3036 | _T_2782; // @[Mux.scala 27:72] + wire _T_2430 = btb_rd_addr_f == 8'h9f; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_159; // @[lib.scala 374:16] + wire [21:0] _T_2783 = _T_2430 ? btb_bank0_rd_data_way0_out_159 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3038 = _T_3037 | _T_2783; // @[Mux.scala 27:72] + wire _T_2432 = btb_rd_addr_f == 8'ha0; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_160; // @[lib.scala 374:16] + wire [21:0] _T_2784 = _T_2432 ? btb_bank0_rd_data_way0_out_160 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3039 = _T_3038 | _T_2784; // @[Mux.scala 27:72] + wire _T_2434 = btb_rd_addr_f == 8'ha1; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_161; // @[lib.scala 374:16] + wire [21:0] _T_2785 = _T_2434 ? btb_bank0_rd_data_way0_out_161 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3040 = _T_3039 | _T_2785; // @[Mux.scala 27:72] + wire _T_2436 = btb_rd_addr_f == 8'ha2; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_162; // @[lib.scala 374:16] + wire [21:0] _T_2786 = _T_2436 ? btb_bank0_rd_data_way0_out_162 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3041 = _T_3040 | _T_2786; // @[Mux.scala 27:72] + wire _T_2438 = btb_rd_addr_f == 8'ha3; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_163; // @[lib.scala 374:16] + wire [21:0] _T_2787 = _T_2438 ? btb_bank0_rd_data_way0_out_163 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3042 = _T_3041 | _T_2787; // @[Mux.scala 27:72] + wire _T_2440 = btb_rd_addr_f == 8'ha4; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_164; // @[lib.scala 374:16] + wire [21:0] _T_2788 = _T_2440 ? btb_bank0_rd_data_way0_out_164 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3043 = _T_3042 | _T_2788; // @[Mux.scala 27:72] + wire _T_2442 = btb_rd_addr_f == 8'ha5; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_165; // @[lib.scala 374:16] + wire [21:0] _T_2789 = _T_2442 ? btb_bank0_rd_data_way0_out_165 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3044 = _T_3043 | _T_2789; // @[Mux.scala 27:72] + wire _T_2444 = btb_rd_addr_f == 8'ha6; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_166; // @[lib.scala 374:16] + wire [21:0] _T_2790 = _T_2444 ? btb_bank0_rd_data_way0_out_166 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3045 = _T_3044 | _T_2790; // @[Mux.scala 27:72] + wire _T_2446 = btb_rd_addr_f == 8'ha7; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_167; // @[lib.scala 374:16] + wire [21:0] _T_2791 = _T_2446 ? btb_bank0_rd_data_way0_out_167 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3046 = _T_3045 | _T_2791; // @[Mux.scala 27:72] + wire _T_2448 = btb_rd_addr_f == 8'ha8; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_168; // @[lib.scala 374:16] + wire [21:0] _T_2792 = _T_2448 ? btb_bank0_rd_data_way0_out_168 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3047 = _T_3046 | _T_2792; // @[Mux.scala 27:72] + wire _T_2450 = btb_rd_addr_f == 8'ha9; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_169; // @[lib.scala 374:16] + wire [21:0] _T_2793 = _T_2450 ? btb_bank0_rd_data_way0_out_169 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3048 = _T_3047 | _T_2793; // @[Mux.scala 27:72] + wire _T_2452 = btb_rd_addr_f == 8'haa; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_170; // @[lib.scala 374:16] + wire [21:0] _T_2794 = _T_2452 ? btb_bank0_rd_data_way0_out_170 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3049 = _T_3048 | _T_2794; // @[Mux.scala 27:72] + wire _T_2454 = btb_rd_addr_f == 8'hab; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_171; // @[lib.scala 374:16] + wire [21:0] _T_2795 = _T_2454 ? btb_bank0_rd_data_way0_out_171 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3050 = _T_3049 | _T_2795; // @[Mux.scala 27:72] + wire _T_2456 = btb_rd_addr_f == 8'hac; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_172; // @[lib.scala 374:16] + wire [21:0] _T_2796 = _T_2456 ? btb_bank0_rd_data_way0_out_172 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3051 = _T_3050 | _T_2796; // @[Mux.scala 27:72] + wire _T_2458 = btb_rd_addr_f == 8'had; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_173; // @[lib.scala 374:16] + wire [21:0] _T_2797 = _T_2458 ? btb_bank0_rd_data_way0_out_173 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3052 = _T_3051 | _T_2797; // @[Mux.scala 27:72] + wire _T_2460 = btb_rd_addr_f == 8'hae; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_174; // @[lib.scala 374:16] + wire [21:0] _T_2798 = _T_2460 ? btb_bank0_rd_data_way0_out_174 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3053 = _T_3052 | _T_2798; // @[Mux.scala 27:72] + wire _T_2462 = btb_rd_addr_f == 8'haf; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_175; // @[lib.scala 374:16] + wire [21:0] _T_2799 = _T_2462 ? btb_bank0_rd_data_way0_out_175 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3054 = _T_3053 | _T_2799; // @[Mux.scala 27:72] + wire _T_2464 = btb_rd_addr_f == 8'hb0; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_176; // @[lib.scala 374:16] + wire [21:0] _T_2800 = _T_2464 ? btb_bank0_rd_data_way0_out_176 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3055 = _T_3054 | _T_2800; // @[Mux.scala 27:72] + wire _T_2466 = btb_rd_addr_f == 8'hb1; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_177; // @[lib.scala 374:16] + wire [21:0] _T_2801 = _T_2466 ? btb_bank0_rd_data_way0_out_177 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3056 = _T_3055 | _T_2801; // @[Mux.scala 27:72] + wire _T_2468 = btb_rd_addr_f == 8'hb2; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_178; // @[lib.scala 374:16] + wire [21:0] _T_2802 = _T_2468 ? btb_bank0_rd_data_way0_out_178 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3057 = _T_3056 | _T_2802; // @[Mux.scala 27:72] + wire _T_2470 = btb_rd_addr_f == 8'hb3; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_179; // @[lib.scala 374:16] + wire [21:0] _T_2803 = _T_2470 ? btb_bank0_rd_data_way0_out_179 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3058 = _T_3057 | _T_2803; // @[Mux.scala 27:72] + wire _T_2472 = btb_rd_addr_f == 8'hb4; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_180; // @[lib.scala 374:16] + wire [21:0] _T_2804 = _T_2472 ? btb_bank0_rd_data_way0_out_180 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3059 = _T_3058 | _T_2804; // @[Mux.scala 27:72] + wire _T_2474 = btb_rd_addr_f == 8'hb5; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_181; // @[lib.scala 374:16] + wire [21:0] _T_2805 = _T_2474 ? btb_bank0_rd_data_way0_out_181 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3060 = _T_3059 | _T_2805; // @[Mux.scala 27:72] + wire _T_2476 = btb_rd_addr_f == 8'hb6; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_182; // @[lib.scala 374:16] + wire [21:0] _T_2806 = _T_2476 ? btb_bank0_rd_data_way0_out_182 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3061 = _T_3060 | _T_2806; // @[Mux.scala 27:72] + wire _T_2478 = btb_rd_addr_f == 8'hb7; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_183; // @[lib.scala 374:16] + wire [21:0] _T_2807 = _T_2478 ? btb_bank0_rd_data_way0_out_183 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3062 = _T_3061 | _T_2807; // @[Mux.scala 27:72] + wire _T_2480 = btb_rd_addr_f == 8'hb8; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_184; // @[lib.scala 374:16] + wire [21:0] _T_2808 = _T_2480 ? btb_bank0_rd_data_way0_out_184 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3063 = _T_3062 | _T_2808; // @[Mux.scala 27:72] + wire _T_2482 = btb_rd_addr_f == 8'hb9; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_185; // @[lib.scala 374:16] + wire [21:0] _T_2809 = _T_2482 ? btb_bank0_rd_data_way0_out_185 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3064 = _T_3063 | _T_2809; // @[Mux.scala 27:72] + wire _T_2484 = btb_rd_addr_f == 8'hba; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_186; // @[lib.scala 374:16] + wire [21:0] _T_2810 = _T_2484 ? btb_bank0_rd_data_way0_out_186 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3065 = _T_3064 | _T_2810; // @[Mux.scala 27:72] + wire _T_2486 = btb_rd_addr_f == 8'hbb; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_187; // @[lib.scala 374:16] + wire [21:0] _T_2811 = _T_2486 ? btb_bank0_rd_data_way0_out_187 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3066 = _T_3065 | _T_2811; // @[Mux.scala 27:72] + wire _T_2488 = btb_rd_addr_f == 8'hbc; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_188; // @[lib.scala 374:16] + wire [21:0] _T_2812 = _T_2488 ? btb_bank0_rd_data_way0_out_188 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3067 = _T_3066 | _T_2812; // @[Mux.scala 27:72] + wire _T_2490 = btb_rd_addr_f == 8'hbd; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_189; // @[lib.scala 374:16] + wire [21:0] _T_2813 = _T_2490 ? btb_bank0_rd_data_way0_out_189 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3068 = _T_3067 | _T_2813; // @[Mux.scala 27:72] + wire _T_2492 = btb_rd_addr_f == 8'hbe; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_190; // @[lib.scala 374:16] + wire [21:0] _T_2814 = _T_2492 ? btb_bank0_rd_data_way0_out_190 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3069 = _T_3068 | _T_2814; // @[Mux.scala 27:72] + wire _T_2494 = btb_rd_addr_f == 8'hbf; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_191; // @[lib.scala 374:16] + wire [21:0] _T_2815 = _T_2494 ? btb_bank0_rd_data_way0_out_191 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3070 = _T_3069 | _T_2815; // @[Mux.scala 27:72] + wire _T_2496 = btb_rd_addr_f == 8'hc0; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_192; // @[lib.scala 374:16] + wire [21:0] _T_2816 = _T_2496 ? btb_bank0_rd_data_way0_out_192 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3071 = _T_3070 | _T_2816; // @[Mux.scala 27:72] + wire _T_2498 = btb_rd_addr_f == 8'hc1; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_193; // @[lib.scala 374:16] + wire [21:0] _T_2817 = _T_2498 ? btb_bank0_rd_data_way0_out_193 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3072 = _T_3071 | _T_2817; // @[Mux.scala 27:72] + wire _T_2500 = btb_rd_addr_f == 8'hc2; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_194; // @[lib.scala 374:16] + wire [21:0] _T_2818 = _T_2500 ? btb_bank0_rd_data_way0_out_194 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3073 = _T_3072 | _T_2818; // @[Mux.scala 27:72] + wire _T_2502 = btb_rd_addr_f == 8'hc3; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_195; // @[lib.scala 374:16] + wire [21:0] _T_2819 = _T_2502 ? btb_bank0_rd_data_way0_out_195 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3074 = _T_3073 | _T_2819; // @[Mux.scala 27:72] + wire _T_2504 = btb_rd_addr_f == 8'hc4; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_196; // @[lib.scala 374:16] + wire [21:0] _T_2820 = _T_2504 ? btb_bank0_rd_data_way0_out_196 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3075 = _T_3074 | _T_2820; // @[Mux.scala 27:72] + wire _T_2506 = btb_rd_addr_f == 8'hc5; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_197; // @[lib.scala 374:16] + wire [21:0] _T_2821 = _T_2506 ? btb_bank0_rd_data_way0_out_197 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3076 = _T_3075 | _T_2821; // @[Mux.scala 27:72] + wire _T_2508 = btb_rd_addr_f == 8'hc6; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_198; // @[lib.scala 374:16] + wire [21:0] _T_2822 = _T_2508 ? btb_bank0_rd_data_way0_out_198 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3077 = _T_3076 | _T_2822; // @[Mux.scala 27:72] + wire _T_2510 = btb_rd_addr_f == 8'hc7; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_199; // @[lib.scala 374:16] + wire [21:0] _T_2823 = _T_2510 ? btb_bank0_rd_data_way0_out_199 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3078 = _T_3077 | _T_2823; // @[Mux.scala 27:72] + wire _T_2512 = btb_rd_addr_f == 8'hc8; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_200; // @[lib.scala 374:16] + wire [21:0] _T_2824 = _T_2512 ? btb_bank0_rd_data_way0_out_200 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3079 = _T_3078 | _T_2824; // @[Mux.scala 27:72] + wire _T_2514 = btb_rd_addr_f == 8'hc9; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_201; // @[lib.scala 374:16] + wire [21:0] _T_2825 = _T_2514 ? btb_bank0_rd_data_way0_out_201 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3080 = _T_3079 | _T_2825; // @[Mux.scala 27:72] + wire _T_2516 = btb_rd_addr_f == 8'hca; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_202; // @[lib.scala 374:16] + wire [21:0] _T_2826 = _T_2516 ? btb_bank0_rd_data_way0_out_202 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3081 = _T_3080 | _T_2826; // @[Mux.scala 27:72] + wire _T_2518 = btb_rd_addr_f == 8'hcb; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_203; // @[lib.scala 374:16] + wire [21:0] _T_2827 = _T_2518 ? btb_bank0_rd_data_way0_out_203 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3082 = _T_3081 | _T_2827; // @[Mux.scala 27:72] + wire _T_2520 = btb_rd_addr_f == 8'hcc; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_204; // @[lib.scala 374:16] + wire [21:0] _T_2828 = _T_2520 ? btb_bank0_rd_data_way0_out_204 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3083 = _T_3082 | _T_2828; // @[Mux.scala 27:72] + wire _T_2522 = btb_rd_addr_f == 8'hcd; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_205; // @[lib.scala 374:16] + wire [21:0] _T_2829 = _T_2522 ? btb_bank0_rd_data_way0_out_205 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3084 = _T_3083 | _T_2829; // @[Mux.scala 27:72] + wire _T_2524 = btb_rd_addr_f == 8'hce; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_206; // @[lib.scala 374:16] + wire [21:0] _T_2830 = _T_2524 ? btb_bank0_rd_data_way0_out_206 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3085 = _T_3084 | _T_2830; // @[Mux.scala 27:72] + wire _T_2526 = btb_rd_addr_f == 8'hcf; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_207; // @[lib.scala 374:16] + wire [21:0] _T_2831 = _T_2526 ? btb_bank0_rd_data_way0_out_207 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3086 = _T_3085 | _T_2831; // @[Mux.scala 27:72] + wire _T_2528 = btb_rd_addr_f == 8'hd0; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_208; // @[lib.scala 374:16] + wire [21:0] _T_2832 = _T_2528 ? btb_bank0_rd_data_way0_out_208 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3087 = _T_3086 | _T_2832; // @[Mux.scala 27:72] + wire _T_2530 = btb_rd_addr_f == 8'hd1; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_209; // @[lib.scala 374:16] + wire [21:0] _T_2833 = _T_2530 ? btb_bank0_rd_data_way0_out_209 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3088 = _T_3087 | _T_2833; // @[Mux.scala 27:72] + wire _T_2532 = btb_rd_addr_f == 8'hd2; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_210; // @[lib.scala 374:16] + wire [21:0] _T_2834 = _T_2532 ? btb_bank0_rd_data_way0_out_210 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3089 = _T_3088 | _T_2834; // @[Mux.scala 27:72] + wire _T_2534 = btb_rd_addr_f == 8'hd3; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_211; // @[lib.scala 374:16] + wire [21:0] _T_2835 = _T_2534 ? btb_bank0_rd_data_way0_out_211 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3090 = _T_3089 | _T_2835; // @[Mux.scala 27:72] + wire _T_2536 = btb_rd_addr_f == 8'hd4; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_212; // @[lib.scala 374:16] + wire [21:0] _T_2836 = _T_2536 ? btb_bank0_rd_data_way0_out_212 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3091 = _T_3090 | _T_2836; // @[Mux.scala 27:72] + wire _T_2538 = btb_rd_addr_f == 8'hd5; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_213; // @[lib.scala 374:16] + wire [21:0] _T_2837 = _T_2538 ? btb_bank0_rd_data_way0_out_213 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3092 = _T_3091 | _T_2837; // @[Mux.scala 27:72] + wire _T_2540 = btb_rd_addr_f == 8'hd6; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_214; // @[lib.scala 374:16] + wire [21:0] _T_2838 = _T_2540 ? btb_bank0_rd_data_way0_out_214 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3093 = _T_3092 | _T_2838; // @[Mux.scala 27:72] + wire _T_2542 = btb_rd_addr_f == 8'hd7; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_215; // @[lib.scala 374:16] + wire [21:0] _T_2839 = _T_2542 ? btb_bank0_rd_data_way0_out_215 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3094 = _T_3093 | _T_2839; // @[Mux.scala 27:72] + wire _T_2544 = btb_rd_addr_f == 8'hd8; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_216; // @[lib.scala 374:16] + wire [21:0] _T_2840 = _T_2544 ? btb_bank0_rd_data_way0_out_216 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3095 = _T_3094 | _T_2840; // @[Mux.scala 27:72] + wire _T_2546 = btb_rd_addr_f == 8'hd9; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_217; // @[lib.scala 374:16] + wire [21:0] _T_2841 = _T_2546 ? btb_bank0_rd_data_way0_out_217 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3096 = _T_3095 | _T_2841; // @[Mux.scala 27:72] + wire _T_2548 = btb_rd_addr_f == 8'hda; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_218; // @[lib.scala 374:16] + wire [21:0] _T_2842 = _T_2548 ? btb_bank0_rd_data_way0_out_218 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3097 = _T_3096 | _T_2842; // @[Mux.scala 27:72] + wire _T_2550 = btb_rd_addr_f == 8'hdb; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_219; // @[lib.scala 374:16] + wire [21:0] _T_2843 = _T_2550 ? btb_bank0_rd_data_way0_out_219 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3098 = _T_3097 | _T_2843; // @[Mux.scala 27:72] + wire _T_2552 = btb_rd_addr_f == 8'hdc; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_220; // @[lib.scala 374:16] + wire [21:0] _T_2844 = _T_2552 ? btb_bank0_rd_data_way0_out_220 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3099 = _T_3098 | _T_2844; // @[Mux.scala 27:72] + wire _T_2554 = btb_rd_addr_f == 8'hdd; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_221; // @[lib.scala 374:16] + wire [21:0] _T_2845 = _T_2554 ? btb_bank0_rd_data_way0_out_221 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3100 = _T_3099 | _T_2845; // @[Mux.scala 27:72] + wire _T_2556 = btb_rd_addr_f == 8'hde; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_222; // @[lib.scala 374:16] + wire [21:0] _T_2846 = _T_2556 ? btb_bank0_rd_data_way0_out_222 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3101 = _T_3100 | _T_2846; // @[Mux.scala 27:72] + wire _T_2558 = btb_rd_addr_f == 8'hdf; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_223; // @[lib.scala 374:16] + wire [21:0] _T_2847 = _T_2558 ? btb_bank0_rd_data_way0_out_223 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3102 = _T_3101 | _T_2847; // @[Mux.scala 27:72] + wire _T_2560 = btb_rd_addr_f == 8'he0; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_224; // @[lib.scala 374:16] + wire [21:0] _T_2848 = _T_2560 ? btb_bank0_rd_data_way0_out_224 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3103 = _T_3102 | _T_2848; // @[Mux.scala 27:72] + wire _T_2562 = btb_rd_addr_f == 8'he1; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_225; // @[lib.scala 374:16] + wire [21:0] _T_2849 = _T_2562 ? btb_bank0_rd_data_way0_out_225 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3104 = _T_3103 | _T_2849; // @[Mux.scala 27:72] + wire _T_2564 = btb_rd_addr_f == 8'he2; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_226; // @[lib.scala 374:16] + wire [21:0] _T_2850 = _T_2564 ? btb_bank0_rd_data_way0_out_226 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3105 = _T_3104 | _T_2850; // @[Mux.scala 27:72] + wire _T_2566 = btb_rd_addr_f == 8'he3; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_227; // @[lib.scala 374:16] + wire [21:0] _T_2851 = _T_2566 ? btb_bank0_rd_data_way0_out_227 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3106 = _T_3105 | _T_2851; // @[Mux.scala 27:72] + wire _T_2568 = btb_rd_addr_f == 8'he4; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_228; // @[lib.scala 374:16] + wire [21:0] _T_2852 = _T_2568 ? btb_bank0_rd_data_way0_out_228 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3107 = _T_3106 | _T_2852; // @[Mux.scala 27:72] + wire _T_2570 = btb_rd_addr_f == 8'he5; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_229; // @[lib.scala 374:16] + wire [21:0] _T_2853 = _T_2570 ? btb_bank0_rd_data_way0_out_229 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3108 = _T_3107 | _T_2853; // @[Mux.scala 27:72] + wire _T_2572 = btb_rd_addr_f == 8'he6; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_230; // @[lib.scala 374:16] + wire [21:0] _T_2854 = _T_2572 ? btb_bank0_rd_data_way0_out_230 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3109 = _T_3108 | _T_2854; // @[Mux.scala 27:72] + wire _T_2574 = btb_rd_addr_f == 8'he7; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_231; // @[lib.scala 374:16] + wire [21:0] _T_2855 = _T_2574 ? btb_bank0_rd_data_way0_out_231 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3110 = _T_3109 | _T_2855; // @[Mux.scala 27:72] + wire _T_2576 = btb_rd_addr_f == 8'he8; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_232; // @[lib.scala 374:16] + wire [21:0] _T_2856 = _T_2576 ? btb_bank0_rd_data_way0_out_232 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3111 = _T_3110 | _T_2856; // @[Mux.scala 27:72] + wire _T_2578 = btb_rd_addr_f == 8'he9; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_233; // @[lib.scala 374:16] + wire [21:0] _T_2857 = _T_2578 ? btb_bank0_rd_data_way0_out_233 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3112 = _T_3111 | _T_2857; // @[Mux.scala 27:72] + wire _T_2580 = btb_rd_addr_f == 8'hea; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_234; // @[lib.scala 374:16] + wire [21:0] _T_2858 = _T_2580 ? btb_bank0_rd_data_way0_out_234 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3113 = _T_3112 | _T_2858; // @[Mux.scala 27:72] + wire _T_2582 = btb_rd_addr_f == 8'heb; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_235; // @[lib.scala 374:16] + wire [21:0] _T_2859 = _T_2582 ? btb_bank0_rd_data_way0_out_235 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3114 = _T_3113 | _T_2859; // @[Mux.scala 27:72] + wire _T_2584 = btb_rd_addr_f == 8'hec; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_236; // @[lib.scala 374:16] + wire [21:0] _T_2860 = _T_2584 ? btb_bank0_rd_data_way0_out_236 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3115 = _T_3114 | _T_2860; // @[Mux.scala 27:72] + wire _T_2586 = btb_rd_addr_f == 8'hed; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_237; // @[lib.scala 374:16] + wire [21:0] _T_2861 = _T_2586 ? btb_bank0_rd_data_way0_out_237 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3116 = _T_3115 | _T_2861; // @[Mux.scala 27:72] + wire _T_2588 = btb_rd_addr_f == 8'hee; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_238; // @[lib.scala 374:16] + wire [21:0] _T_2862 = _T_2588 ? btb_bank0_rd_data_way0_out_238 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3117 = _T_3116 | _T_2862; // @[Mux.scala 27:72] + wire _T_2590 = btb_rd_addr_f == 8'hef; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_239; // @[lib.scala 374:16] + wire [21:0] _T_2863 = _T_2590 ? btb_bank0_rd_data_way0_out_239 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3118 = _T_3117 | _T_2863; // @[Mux.scala 27:72] + wire _T_2592 = btb_rd_addr_f == 8'hf0; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_240; // @[lib.scala 374:16] + wire [21:0] _T_2864 = _T_2592 ? btb_bank0_rd_data_way0_out_240 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3119 = _T_3118 | _T_2864; // @[Mux.scala 27:72] + wire _T_2594 = btb_rd_addr_f == 8'hf1; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_241; // @[lib.scala 374:16] + wire [21:0] _T_2865 = _T_2594 ? btb_bank0_rd_data_way0_out_241 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3120 = _T_3119 | _T_2865; // @[Mux.scala 27:72] + wire _T_2596 = btb_rd_addr_f == 8'hf2; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_242; // @[lib.scala 374:16] + wire [21:0] _T_2866 = _T_2596 ? btb_bank0_rd_data_way0_out_242 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3121 = _T_3120 | _T_2866; // @[Mux.scala 27:72] + wire _T_2598 = btb_rd_addr_f == 8'hf3; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_243; // @[lib.scala 374:16] + wire [21:0] _T_2867 = _T_2598 ? btb_bank0_rd_data_way0_out_243 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3122 = _T_3121 | _T_2867; // @[Mux.scala 27:72] + wire _T_2600 = btb_rd_addr_f == 8'hf4; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_244; // @[lib.scala 374:16] + wire [21:0] _T_2868 = _T_2600 ? btb_bank0_rd_data_way0_out_244 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3123 = _T_3122 | _T_2868; // @[Mux.scala 27:72] + wire _T_2602 = btb_rd_addr_f == 8'hf5; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_245; // @[lib.scala 374:16] + wire [21:0] _T_2869 = _T_2602 ? btb_bank0_rd_data_way0_out_245 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3124 = _T_3123 | _T_2869; // @[Mux.scala 27:72] + wire _T_2604 = btb_rd_addr_f == 8'hf6; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_246; // @[lib.scala 374:16] + wire [21:0] _T_2870 = _T_2604 ? btb_bank0_rd_data_way0_out_246 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3125 = _T_3124 | _T_2870; // @[Mux.scala 27:72] + wire _T_2606 = btb_rd_addr_f == 8'hf7; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_247; // @[lib.scala 374:16] + wire [21:0] _T_2871 = _T_2606 ? btb_bank0_rd_data_way0_out_247 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3126 = _T_3125 | _T_2871; // @[Mux.scala 27:72] + wire _T_2608 = btb_rd_addr_f == 8'hf8; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_248; // @[lib.scala 374:16] + wire [21:0] _T_2872 = _T_2608 ? btb_bank0_rd_data_way0_out_248 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3127 = _T_3126 | _T_2872; // @[Mux.scala 27:72] + wire _T_2610 = btb_rd_addr_f == 8'hf9; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_249; // @[lib.scala 374:16] + wire [21:0] _T_2873 = _T_2610 ? btb_bank0_rd_data_way0_out_249 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3128 = _T_3127 | _T_2873; // @[Mux.scala 27:72] + wire _T_2612 = btb_rd_addr_f == 8'hfa; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_250; // @[lib.scala 374:16] + wire [21:0] _T_2874 = _T_2612 ? btb_bank0_rd_data_way0_out_250 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3129 = _T_3128 | _T_2874; // @[Mux.scala 27:72] + wire _T_2614 = btb_rd_addr_f == 8'hfb; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_251; // @[lib.scala 374:16] + wire [21:0] _T_2875 = _T_2614 ? btb_bank0_rd_data_way0_out_251 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3130 = _T_3129 | _T_2875; // @[Mux.scala 27:72] + wire _T_2616 = btb_rd_addr_f == 8'hfc; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_252; // @[lib.scala 374:16] + wire [21:0] _T_2876 = _T_2616 ? btb_bank0_rd_data_way0_out_252 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3131 = _T_3130 | _T_2876; // @[Mux.scala 27:72] + wire _T_2618 = btb_rd_addr_f == 8'hfd; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_253; // @[lib.scala 374:16] + wire [21:0] _T_2877 = _T_2618 ? btb_bank0_rd_data_way0_out_253 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3132 = _T_3131 | _T_2877; // @[Mux.scala 27:72] + wire _T_2620 = btb_rd_addr_f == 8'hfe; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_254; // @[lib.scala 374:16] + wire [21:0] _T_2878 = _T_2620 ? btb_bank0_rd_data_way0_out_254 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3133 = _T_3132 | _T_2878; // @[Mux.scala 27:72] + wire _T_2622 = btb_rd_addr_f == 8'hff; // @[ifu_bp_ctl.scala 418:77] + reg [21:0] btb_bank0_rd_data_way0_out_255; // @[lib.scala 374:16] + wire [21:0] _T_2879 = _T_2622 ? btb_bank0_rd_data_way0_out_255 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] btb_bank0_rd_data_way0_f = _T_3133 | _T_2879; // @[Mux.scala 27:72] + wire [4:0] _T_25 = io_ifc_fetch_addr_f[13:9] ^ io_ifc_fetch_addr_f[18:14]; // @[lib.scala 42:111] + wire [4:0] fetch_rd_tag_f = _T_25 ^ io_ifc_fetch_addr_f[23:19]; // @[lib.scala 42:111] + wire _T_46 = btb_bank0_rd_data_way0_f[21:17] == fetch_rd_tag_f; // @[ifu_bp_ctl.scala 127:97] + wire _T_47 = btb_bank0_rd_data_way0_f[0] & _T_46; // @[ifu_bp_ctl.scala 127:55] + reg dec_tlu_way_wb_f; // @[ifu_bp_ctl.scala 118:59] + wire _T_19 = io_exu_bp_exu_i0_br_index_r == btb_rd_addr_f; // @[ifu_bp_ctl.scala 102:72] + wire branch_error_collision_f = dec_tlu_error_wb & _T_19; // @[ifu_bp_ctl.scala 102:51] + wire branch_error_bank_conflict_f = branch_error_collision_f & dec_tlu_error_wb; // @[ifu_bp_ctl.scala 106:63] + wire _T_48 = dec_tlu_way_wb_f & branch_error_bank_conflict_f; // @[ifu_bp_ctl.scala 128:44] + wire _T_49 = ~_T_48; // @[ifu_bp_ctl.scala 128:25] + wire _T_50 = _T_47 & _T_49; // @[ifu_bp_ctl.scala 127:117] + wire _T_51 = _T_50 & io_ifc_fetch_req_f; // @[ifu_bp_ctl.scala 128:76] + wire tag_match_way0_f = _T_51 & _T; // @[ifu_bp_ctl.scala 128:97] + wire _T_82 = btb_bank0_rd_data_way0_f[3] ^ btb_bank0_rd_data_way0_f[4]; // @[ifu_bp_ctl.scala 142:91] + wire _T_83 = tag_match_way0_f & _T_82; // @[ifu_bp_ctl.scala 142:56] + wire _T_87 = ~_T_82; // @[ifu_bp_ctl.scala 143:58] + wire _T_88 = tag_match_way0_f & _T_87; // @[ifu_bp_ctl.scala 143:56] + wire [1:0] tag_match_way0_expanded_f = {_T_83,_T_88}; // @[Cat.scala 29:58] + wire [21:0] _T_127 = tag_match_way0_expanded_f[1] ? btb_bank0_rd_data_way0_f : 22'h0; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_0; // @[lib.scala 374:16] + wire [21:0] _T_3648 = _T_2112 ? btb_bank0_rd_data_way1_out_0 : 22'h0; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_1; // @[lib.scala 374:16] + wire [21:0] _T_3649 = _T_2114 ? btb_bank0_rd_data_way1_out_1 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3904 = _T_3648 | _T_3649; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_2; // @[lib.scala 374:16] + wire [21:0] _T_3650 = _T_2116 ? btb_bank0_rd_data_way1_out_2 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3905 = _T_3904 | _T_3650; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_3; // @[lib.scala 374:16] + wire [21:0] _T_3651 = _T_2118 ? btb_bank0_rd_data_way1_out_3 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3906 = _T_3905 | _T_3651; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_4; // @[lib.scala 374:16] + wire [21:0] _T_3652 = _T_2120 ? btb_bank0_rd_data_way1_out_4 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3907 = _T_3906 | _T_3652; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_5; // @[lib.scala 374:16] + wire [21:0] _T_3653 = _T_2122 ? btb_bank0_rd_data_way1_out_5 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3908 = _T_3907 | _T_3653; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_6; // @[lib.scala 374:16] + wire [21:0] _T_3654 = _T_2124 ? btb_bank0_rd_data_way1_out_6 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3909 = _T_3908 | _T_3654; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_7; // @[lib.scala 374:16] + wire [21:0] _T_3655 = _T_2126 ? btb_bank0_rd_data_way1_out_7 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3910 = _T_3909 | _T_3655; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_8; // @[lib.scala 374:16] + wire [21:0] _T_3656 = _T_2128 ? btb_bank0_rd_data_way1_out_8 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3911 = _T_3910 | _T_3656; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_9; // @[lib.scala 374:16] + wire [21:0] _T_3657 = _T_2130 ? btb_bank0_rd_data_way1_out_9 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3912 = _T_3911 | _T_3657; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_10; // @[lib.scala 374:16] + wire [21:0] _T_3658 = _T_2132 ? btb_bank0_rd_data_way1_out_10 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3913 = _T_3912 | _T_3658; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_11; // @[lib.scala 374:16] + wire [21:0] _T_3659 = _T_2134 ? btb_bank0_rd_data_way1_out_11 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3914 = _T_3913 | _T_3659; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_12; // @[lib.scala 374:16] + wire [21:0] _T_3660 = _T_2136 ? btb_bank0_rd_data_way1_out_12 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3915 = _T_3914 | _T_3660; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_13; // @[lib.scala 374:16] + wire [21:0] _T_3661 = _T_2138 ? btb_bank0_rd_data_way1_out_13 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3916 = _T_3915 | _T_3661; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_14; // @[lib.scala 374:16] + wire [21:0] _T_3662 = _T_2140 ? btb_bank0_rd_data_way1_out_14 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3917 = _T_3916 | _T_3662; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_15; // @[lib.scala 374:16] + wire [21:0] _T_3663 = _T_2142 ? btb_bank0_rd_data_way1_out_15 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3918 = _T_3917 | _T_3663; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_16; // @[lib.scala 374:16] + wire [21:0] _T_3664 = _T_2144 ? btb_bank0_rd_data_way1_out_16 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3919 = _T_3918 | _T_3664; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_17; // @[lib.scala 374:16] + wire [21:0] _T_3665 = _T_2146 ? btb_bank0_rd_data_way1_out_17 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3920 = _T_3919 | _T_3665; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_18; // @[lib.scala 374:16] + wire [21:0] _T_3666 = _T_2148 ? btb_bank0_rd_data_way1_out_18 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3921 = _T_3920 | _T_3666; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_19; // @[lib.scala 374:16] + wire [21:0] _T_3667 = _T_2150 ? btb_bank0_rd_data_way1_out_19 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3922 = _T_3921 | _T_3667; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_20; // @[lib.scala 374:16] + wire [21:0] _T_3668 = _T_2152 ? btb_bank0_rd_data_way1_out_20 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3923 = _T_3922 | _T_3668; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_21; // @[lib.scala 374:16] + wire [21:0] _T_3669 = _T_2154 ? btb_bank0_rd_data_way1_out_21 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3924 = _T_3923 | _T_3669; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_22; // @[lib.scala 374:16] + wire [21:0] _T_3670 = _T_2156 ? btb_bank0_rd_data_way1_out_22 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3925 = _T_3924 | _T_3670; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_23; // @[lib.scala 374:16] + wire [21:0] _T_3671 = _T_2158 ? btb_bank0_rd_data_way1_out_23 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3926 = _T_3925 | _T_3671; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_24; // @[lib.scala 374:16] + wire [21:0] _T_3672 = _T_2160 ? btb_bank0_rd_data_way1_out_24 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3927 = _T_3926 | _T_3672; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_25; // @[lib.scala 374:16] + wire [21:0] _T_3673 = _T_2162 ? btb_bank0_rd_data_way1_out_25 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3928 = _T_3927 | _T_3673; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_26; // @[lib.scala 374:16] + wire [21:0] _T_3674 = _T_2164 ? btb_bank0_rd_data_way1_out_26 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3929 = _T_3928 | _T_3674; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_27; // @[lib.scala 374:16] + wire [21:0] _T_3675 = _T_2166 ? btb_bank0_rd_data_way1_out_27 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3930 = _T_3929 | _T_3675; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_28; // @[lib.scala 374:16] + wire [21:0] _T_3676 = _T_2168 ? btb_bank0_rd_data_way1_out_28 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3931 = _T_3930 | _T_3676; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_29; // @[lib.scala 374:16] + wire [21:0] _T_3677 = _T_2170 ? btb_bank0_rd_data_way1_out_29 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3932 = _T_3931 | _T_3677; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_30; // @[lib.scala 374:16] + wire [21:0] _T_3678 = _T_2172 ? btb_bank0_rd_data_way1_out_30 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3933 = _T_3932 | _T_3678; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_31; // @[lib.scala 374:16] + wire [21:0] _T_3679 = _T_2174 ? btb_bank0_rd_data_way1_out_31 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3934 = _T_3933 | _T_3679; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_32; // @[lib.scala 374:16] + wire [21:0] _T_3680 = _T_2176 ? btb_bank0_rd_data_way1_out_32 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3935 = _T_3934 | _T_3680; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_33; // @[lib.scala 374:16] + wire [21:0] _T_3681 = _T_2178 ? btb_bank0_rd_data_way1_out_33 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3936 = _T_3935 | _T_3681; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_34; // @[lib.scala 374:16] + wire [21:0] _T_3682 = _T_2180 ? btb_bank0_rd_data_way1_out_34 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3937 = _T_3936 | _T_3682; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_35; // @[lib.scala 374:16] + wire [21:0] _T_3683 = _T_2182 ? btb_bank0_rd_data_way1_out_35 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3938 = _T_3937 | _T_3683; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_36; // @[lib.scala 374:16] + wire [21:0] _T_3684 = _T_2184 ? btb_bank0_rd_data_way1_out_36 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3939 = _T_3938 | _T_3684; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_37; // @[lib.scala 374:16] + wire [21:0] _T_3685 = _T_2186 ? btb_bank0_rd_data_way1_out_37 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3940 = _T_3939 | _T_3685; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_38; // @[lib.scala 374:16] + wire [21:0] _T_3686 = _T_2188 ? btb_bank0_rd_data_way1_out_38 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3941 = _T_3940 | _T_3686; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_39; // @[lib.scala 374:16] + wire [21:0] _T_3687 = _T_2190 ? btb_bank0_rd_data_way1_out_39 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3942 = _T_3941 | _T_3687; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_40; // @[lib.scala 374:16] + wire [21:0] _T_3688 = _T_2192 ? btb_bank0_rd_data_way1_out_40 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3943 = _T_3942 | _T_3688; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_41; // @[lib.scala 374:16] + wire [21:0] _T_3689 = _T_2194 ? btb_bank0_rd_data_way1_out_41 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3944 = _T_3943 | _T_3689; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_42; // @[lib.scala 374:16] + wire [21:0] _T_3690 = _T_2196 ? btb_bank0_rd_data_way1_out_42 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3945 = _T_3944 | _T_3690; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_43; // @[lib.scala 374:16] + wire [21:0] _T_3691 = _T_2198 ? btb_bank0_rd_data_way1_out_43 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3946 = _T_3945 | _T_3691; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_44; // @[lib.scala 374:16] + wire [21:0] _T_3692 = _T_2200 ? btb_bank0_rd_data_way1_out_44 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3947 = _T_3946 | _T_3692; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_45; // @[lib.scala 374:16] + wire [21:0] _T_3693 = _T_2202 ? btb_bank0_rd_data_way1_out_45 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3948 = _T_3947 | _T_3693; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_46; // @[lib.scala 374:16] + wire [21:0] _T_3694 = _T_2204 ? btb_bank0_rd_data_way1_out_46 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3949 = _T_3948 | _T_3694; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_47; // @[lib.scala 374:16] + wire [21:0] _T_3695 = _T_2206 ? btb_bank0_rd_data_way1_out_47 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3950 = _T_3949 | _T_3695; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_48; // @[lib.scala 374:16] + wire [21:0] _T_3696 = _T_2208 ? btb_bank0_rd_data_way1_out_48 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3951 = _T_3950 | _T_3696; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_49; // @[lib.scala 374:16] + wire [21:0] _T_3697 = _T_2210 ? btb_bank0_rd_data_way1_out_49 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3952 = _T_3951 | _T_3697; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_50; // @[lib.scala 374:16] + wire [21:0] _T_3698 = _T_2212 ? btb_bank0_rd_data_way1_out_50 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3953 = _T_3952 | _T_3698; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_51; // @[lib.scala 374:16] + wire [21:0] _T_3699 = _T_2214 ? btb_bank0_rd_data_way1_out_51 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3954 = _T_3953 | _T_3699; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_52; // @[lib.scala 374:16] + wire [21:0] _T_3700 = _T_2216 ? btb_bank0_rd_data_way1_out_52 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3955 = _T_3954 | _T_3700; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_53; // @[lib.scala 374:16] + wire [21:0] _T_3701 = _T_2218 ? btb_bank0_rd_data_way1_out_53 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3956 = _T_3955 | _T_3701; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_54; // @[lib.scala 374:16] + wire [21:0] _T_3702 = _T_2220 ? btb_bank0_rd_data_way1_out_54 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3957 = _T_3956 | _T_3702; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_55; // @[lib.scala 374:16] + wire [21:0] _T_3703 = _T_2222 ? btb_bank0_rd_data_way1_out_55 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3958 = _T_3957 | _T_3703; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_56; // @[lib.scala 374:16] + wire [21:0] _T_3704 = _T_2224 ? btb_bank0_rd_data_way1_out_56 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3959 = _T_3958 | _T_3704; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_57; // @[lib.scala 374:16] + wire [21:0] _T_3705 = _T_2226 ? btb_bank0_rd_data_way1_out_57 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3960 = _T_3959 | _T_3705; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_58; // @[lib.scala 374:16] + wire [21:0] _T_3706 = _T_2228 ? btb_bank0_rd_data_way1_out_58 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3961 = _T_3960 | _T_3706; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_59; // @[lib.scala 374:16] + wire [21:0] _T_3707 = _T_2230 ? btb_bank0_rd_data_way1_out_59 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3962 = _T_3961 | _T_3707; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_60; // @[lib.scala 374:16] + wire [21:0] _T_3708 = _T_2232 ? btb_bank0_rd_data_way1_out_60 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3963 = _T_3962 | _T_3708; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_61; // @[lib.scala 374:16] + wire [21:0] _T_3709 = _T_2234 ? btb_bank0_rd_data_way1_out_61 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3964 = _T_3963 | _T_3709; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_62; // @[lib.scala 374:16] + wire [21:0] _T_3710 = _T_2236 ? btb_bank0_rd_data_way1_out_62 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3965 = _T_3964 | _T_3710; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_63; // @[lib.scala 374:16] + wire [21:0] _T_3711 = _T_2238 ? btb_bank0_rd_data_way1_out_63 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3966 = _T_3965 | _T_3711; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_64; // @[lib.scala 374:16] + wire [21:0] _T_3712 = _T_2240 ? btb_bank0_rd_data_way1_out_64 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3967 = _T_3966 | _T_3712; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_65; // @[lib.scala 374:16] + wire [21:0] _T_3713 = _T_2242 ? btb_bank0_rd_data_way1_out_65 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3968 = _T_3967 | _T_3713; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_66; // @[lib.scala 374:16] + wire [21:0] _T_3714 = _T_2244 ? btb_bank0_rd_data_way1_out_66 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3969 = _T_3968 | _T_3714; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_67; // @[lib.scala 374:16] + wire [21:0] _T_3715 = _T_2246 ? btb_bank0_rd_data_way1_out_67 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3970 = _T_3969 | _T_3715; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_68; // @[lib.scala 374:16] + wire [21:0] _T_3716 = _T_2248 ? btb_bank0_rd_data_way1_out_68 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3971 = _T_3970 | _T_3716; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_69; // @[lib.scala 374:16] + wire [21:0] _T_3717 = _T_2250 ? btb_bank0_rd_data_way1_out_69 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3972 = _T_3971 | _T_3717; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_70; // @[lib.scala 374:16] + wire [21:0] _T_3718 = _T_2252 ? btb_bank0_rd_data_way1_out_70 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3973 = _T_3972 | _T_3718; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_71; // @[lib.scala 374:16] + wire [21:0] _T_3719 = _T_2254 ? btb_bank0_rd_data_way1_out_71 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3974 = _T_3973 | _T_3719; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_72; // @[lib.scala 374:16] + wire [21:0] _T_3720 = _T_2256 ? btb_bank0_rd_data_way1_out_72 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3975 = _T_3974 | _T_3720; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_73; // @[lib.scala 374:16] + wire [21:0] _T_3721 = _T_2258 ? btb_bank0_rd_data_way1_out_73 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3976 = _T_3975 | _T_3721; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_74; // @[lib.scala 374:16] + wire [21:0] _T_3722 = _T_2260 ? btb_bank0_rd_data_way1_out_74 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3977 = _T_3976 | _T_3722; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_75; // @[lib.scala 374:16] + wire [21:0] _T_3723 = _T_2262 ? btb_bank0_rd_data_way1_out_75 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3978 = _T_3977 | _T_3723; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_76; // @[lib.scala 374:16] + wire [21:0] _T_3724 = _T_2264 ? btb_bank0_rd_data_way1_out_76 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3979 = _T_3978 | _T_3724; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_77; // @[lib.scala 374:16] + wire [21:0] _T_3725 = _T_2266 ? btb_bank0_rd_data_way1_out_77 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3980 = _T_3979 | _T_3725; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_78; // @[lib.scala 374:16] + wire [21:0] _T_3726 = _T_2268 ? btb_bank0_rd_data_way1_out_78 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3981 = _T_3980 | _T_3726; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_79; // @[lib.scala 374:16] + wire [21:0] _T_3727 = _T_2270 ? btb_bank0_rd_data_way1_out_79 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3982 = _T_3981 | _T_3727; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_80; // @[lib.scala 374:16] + wire [21:0] _T_3728 = _T_2272 ? btb_bank0_rd_data_way1_out_80 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3983 = _T_3982 | _T_3728; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_81; // @[lib.scala 374:16] + wire [21:0] _T_3729 = _T_2274 ? btb_bank0_rd_data_way1_out_81 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3984 = _T_3983 | _T_3729; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_82; // @[lib.scala 374:16] + wire [21:0] _T_3730 = _T_2276 ? btb_bank0_rd_data_way1_out_82 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3985 = _T_3984 | _T_3730; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_83; // @[lib.scala 374:16] + wire [21:0] _T_3731 = _T_2278 ? btb_bank0_rd_data_way1_out_83 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3986 = _T_3985 | _T_3731; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_84; // @[lib.scala 374:16] + wire [21:0] _T_3732 = _T_2280 ? btb_bank0_rd_data_way1_out_84 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3987 = _T_3986 | _T_3732; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_85; // @[lib.scala 374:16] + wire [21:0] _T_3733 = _T_2282 ? btb_bank0_rd_data_way1_out_85 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3988 = _T_3987 | _T_3733; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_86; // @[lib.scala 374:16] + wire [21:0] _T_3734 = _T_2284 ? btb_bank0_rd_data_way1_out_86 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3989 = _T_3988 | _T_3734; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_87; // @[lib.scala 374:16] + wire [21:0] _T_3735 = _T_2286 ? btb_bank0_rd_data_way1_out_87 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3990 = _T_3989 | _T_3735; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_88; // @[lib.scala 374:16] + wire [21:0] _T_3736 = _T_2288 ? btb_bank0_rd_data_way1_out_88 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3991 = _T_3990 | _T_3736; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_89; // @[lib.scala 374:16] + wire [21:0] _T_3737 = _T_2290 ? btb_bank0_rd_data_way1_out_89 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3992 = _T_3991 | _T_3737; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_90; // @[lib.scala 374:16] + wire [21:0] _T_3738 = _T_2292 ? btb_bank0_rd_data_way1_out_90 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3993 = _T_3992 | _T_3738; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_91; // @[lib.scala 374:16] + wire [21:0] _T_3739 = _T_2294 ? btb_bank0_rd_data_way1_out_91 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3994 = _T_3993 | _T_3739; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_92; // @[lib.scala 374:16] + wire [21:0] _T_3740 = _T_2296 ? btb_bank0_rd_data_way1_out_92 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3995 = _T_3994 | _T_3740; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_93; // @[lib.scala 374:16] + wire [21:0] _T_3741 = _T_2298 ? btb_bank0_rd_data_way1_out_93 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3996 = _T_3995 | _T_3741; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_94; // @[lib.scala 374:16] + wire [21:0] _T_3742 = _T_2300 ? btb_bank0_rd_data_way1_out_94 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3997 = _T_3996 | _T_3742; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_95; // @[lib.scala 374:16] + wire [21:0] _T_3743 = _T_2302 ? btb_bank0_rd_data_way1_out_95 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3998 = _T_3997 | _T_3743; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_96; // @[lib.scala 374:16] + wire [21:0] _T_3744 = _T_2304 ? btb_bank0_rd_data_way1_out_96 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_3999 = _T_3998 | _T_3744; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_97; // @[lib.scala 374:16] + wire [21:0] _T_3745 = _T_2306 ? btb_bank0_rd_data_way1_out_97 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4000 = _T_3999 | _T_3745; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_98; // @[lib.scala 374:16] + wire [21:0] _T_3746 = _T_2308 ? btb_bank0_rd_data_way1_out_98 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4001 = _T_4000 | _T_3746; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_99; // @[lib.scala 374:16] + wire [21:0] _T_3747 = _T_2310 ? btb_bank0_rd_data_way1_out_99 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4002 = _T_4001 | _T_3747; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_100; // @[lib.scala 374:16] + wire [21:0] _T_3748 = _T_2312 ? btb_bank0_rd_data_way1_out_100 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4003 = _T_4002 | _T_3748; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_101; // @[lib.scala 374:16] + wire [21:0] _T_3749 = _T_2314 ? btb_bank0_rd_data_way1_out_101 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4004 = _T_4003 | _T_3749; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_102; // @[lib.scala 374:16] + wire [21:0] _T_3750 = _T_2316 ? btb_bank0_rd_data_way1_out_102 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4005 = _T_4004 | _T_3750; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_103; // @[lib.scala 374:16] + wire [21:0] _T_3751 = _T_2318 ? btb_bank0_rd_data_way1_out_103 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4006 = _T_4005 | _T_3751; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_104; // @[lib.scala 374:16] + wire [21:0] _T_3752 = _T_2320 ? btb_bank0_rd_data_way1_out_104 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4007 = _T_4006 | _T_3752; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_105; // @[lib.scala 374:16] + wire [21:0] _T_3753 = _T_2322 ? btb_bank0_rd_data_way1_out_105 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4008 = _T_4007 | _T_3753; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_106; // @[lib.scala 374:16] + wire [21:0] _T_3754 = _T_2324 ? btb_bank0_rd_data_way1_out_106 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4009 = _T_4008 | _T_3754; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_107; // @[lib.scala 374:16] + wire [21:0] _T_3755 = _T_2326 ? btb_bank0_rd_data_way1_out_107 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4010 = _T_4009 | _T_3755; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_108; // @[lib.scala 374:16] + wire [21:0] _T_3756 = _T_2328 ? btb_bank0_rd_data_way1_out_108 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4011 = _T_4010 | _T_3756; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_109; // @[lib.scala 374:16] + wire [21:0] _T_3757 = _T_2330 ? btb_bank0_rd_data_way1_out_109 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4012 = _T_4011 | _T_3757; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_110; // @[lib.scala 374:16] + wire [21:0] _T_3758 = _T_2332 ? btb_bank0_rd_data_way1_out_110 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4013 = _T_4012 | _T_3758; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_111; // @[lib.scala 374:16] + wire [21:0] _T_3759 = _T_2334 ? btb_bank0_rd_data_way1_out_111 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4014 = _T_4013 | _T_3759; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_112; // @[lib.scala 374:16] + wire [21:0] _T_3760 = _T_2336 ? btb_bank0_rd_data_way1_out_112 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4015 = _T_4014 | _T_3760; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_113; // @[lib.scala 374:16] + wire [21:0] _T_3761 = _T_2338 ? btb_bank0_rd_data_way1_out_113 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4016 = _T_4015 | _T_3761; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_114; // @[lib.scala 374:16] + wire [21:0] _T_3762 = _T_2340 ? btb_bank0_rd_data_way1_out_114 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4017 = _T_4016 | _T_3762; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_115; // @[lib.scala 374:16] + wire [21:0] _T_3763 = _T_2342 ? btb_bank0_rd_data_way1_out_115 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4018 = _T_4017 | _T_3763; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_116; // @[lib.scala 374:16] + wire [21:0] _T_3764 = _T_2344 ? btb_bank0_rd_data_way1_out_116 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4019 = _T_4018 | _T_3764; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_117; // @[lib.scala 374:16] + wire [21:0] _T_3765 = _T_2346 ? btb_bank0_rd_data_way1_out_117 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4020 = _T_4019 | _T_3765; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_118; // @[lib.scala 374:16] + wire [21:0] _T_3766 = _T_2348 ? btb_bank0_rd_data_way1_out_118 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4021 = _T_4020 | _T_3766; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_119; // @[lib.scala 374:16] + wire [21:0] _T_3767 = _T_2350 ? btb_bank0_rd_data_way1_out_119 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4022 = _T_4021 | _T_3767; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_120; // @[lib.scala 374:16] + wire [21:0] _T_3768 = _T_2352 ? btb_bank0_rd_data_way1_out_120 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4023 = _T_4022 | _T_3768; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_121; // @[lib.scala 374:16] + wire [21:0] _T_3769 = _T_2354 ? btb_bank0_rd_data_way1_out_121 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4024 = _T_4023 | _T_3769; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_122; // @[lib.scala 374:16] + wire [21:0] _T_3770 = _T_2356 ? btb_bank0_rd_data_way1_out_122 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4025 = _T_4024 | _T_3770; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_123; // @[lib.scala 374:16] + wire [21:0] _T_3771 = _T_2358 ? btb_bank0_rd_data_way1_out_123 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4026 = _T_4025 | _T_3771; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_124; // @[lib.scala 374:16] + wire [21:0] _T_3772 = _T_2360 ? btb_bank0_rd_data_way1_out_124 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4027 = _T_4026 | _T_3772; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_125; // @[lib.scala 374:16] + wire [21:0] _T_3773 = _T_2362 ? btb_bank0_rd_data_way1_out_125 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4028 = _T_4027 | _T_3773; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_126; // @[lib.scala 374:16] + wire [21:0] _T_3774 = _T_2364 ? btb_bank0_rd_data_way1_out_126 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4029 = _T_4028 | _T_3774; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_127; // @[lib.scala 374:16] + wire [21:0] _T_3775 = _T_2366 ? btb_bank0_rd_data_way1_out_127 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4030 = _T_4029 | _T_3775; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_128; // @[lib.scala 374:16] + wire [21:0] _T_3776 = _T_2368 ? btb_bank0_rd_data_way1_out_128 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4031 = _T_4030 | _T_3776; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_129; // @[lib.scala 374:16] + wire [21:0] _T_3777 = _T_2370 ? btb_bank0_rd_data_way1_out_129 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4032 = _T_4031 | _T_3777; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_130; // @[lib.scala 374:16] + wire [21:0] _T_3778 = _T_2372 ? btb_bank0_rd_data_way1_out_130 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4033 = _T_4032 | _T_3778; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_131; // @[lib.scala 374:16] + wire [21:0] _T_3779 = _T_2374 ? btb_bank0_rd_data_way1_out_131 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4034 = _T_4033 | _T_3779; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_132; // @[lib.scala 374:16] + wire [21:0] _T_3780 = _T_2376 ? btb_bank0_rd_data_way1_out_132 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4035 = _T_4034 | _T_3780; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_133; // @[lib.scala 374:16] + wire [21:0] _T_3781 = _T_2378 ? btb_bank0_rd_data_way1_out_133 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4036 = _T_4035 | _T_3781; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_134; // @[lib.scala 374:16] + wire [21:0] _T_3782 = _T_2380 ? btb_bank0_rd_data_way1_out_134 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4037 = _T_4036 | _T_3782; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_135; // @[lib.scala 374:16] + wire [21:0] _T_3783 = _T_2382 ? btb_bank0_rd_data_way1_out_135 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4038 = _T_4037 | _T_3783; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_136; // @[lib.scala 374:16] + wire [21:0] _T_3784 = _T_2384 ? btb_bank0_rd_data_way1_out_136 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4039 = _T_4038 | _T_3784; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_137; // @[lib.scala 374:16] + wire [21:0] _T_3785 = _T_2386 ? btb_bank0_rd_data_way1_out_137 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4040 = _T_4039 | _T_3785; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_138; // @[lib.scala 374:16] + wire [21:0] _T_3786 = _T_2388 ? btb_bank0_rd_data_way1_out_138 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4041 = _T_4040 | _T_3786; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_139; // @[lib.scala 374:16] + wire [21:0] _T_3787 = _T_2390 ? btb_bank0_rd_data_way1_out_139 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4042 = _T_4041 | _T_3787; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_140; // @[lib.scala 374:16] + wire [21:0] _T_3788 = _T_2392 ? btb_bank0_rd_data_way1_out_140 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4043 = _T_4042 | _T_3788; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_141; // @[lib.scala 374:16] + wire [21:0] _T_3789 = _T_2394 ? btb_bank0_rd_data_way1_out_141 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4044 = _T_4043 | _T_3789; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_142; // @[lib.scala 374:16] + wire [21:0] _T_3790 = _T_2396 ? btb_bank0_rd_data_way1_out_142 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4045 = _T_4044 | _T_3790; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_143; // @[lib.scala 374:16] + wire [21:0] _T_3791 = _T_2398 ? btb_bank0_rd_data_way1_out_143 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4046 = _T_4045 | _T_3791; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_144; // @[lib.scala 374:16] + wire [21:0] _T_3792 = _T_2400 ? btb_bank0_rd_data_way1_out_144 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4047 = _T_4046 | _T_3792; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_145; // @[lib.scala 374:16] + wire [21:0] _T_3793 = _T_2402 ? btb_bank0_rd_data_way1_out_145 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4048 = _T_4047 | _T_3793; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_146; // @[lib.scala 374:16] + wire [21:0] _T_3794 = _T_2404 ? btb_bank0_rd_data_way1_out_146 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4049 = _T_4048 | _T_3794; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_147; // @[lib.scala 374:16] + wire [21:0] _T_3795 = _T_2406 ? btb_bank0_rd_data_way1_out_147 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4050 = _T_4049 | _T_3795; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_148; // @[lib.scala 374:16] + wire [21:0] _T_3796 = _T_2408 ? btb_bank0_rd_data_way1_out_148 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4051 = _T_4050 | _T_3796; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_149; // @[lib.scala 374:16] + wire [21:0] _T_3797 = _T_2410 ? btb_bank0_rd_data_way1_out_149 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4052 = _T_4051 | _T_3797; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_150; // @[lib.scala 374:16] + wire [21:0] _T_3798 = _T_2412 ? btb_bank0_rd_data_way1_out_150 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4053 = _T_4052 | _T_3798; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_151; // @[lib.scala 374:16] + wire [21:0] _T_3799 = _T_2414 ? btb_bank0_rd_data_way1_out_151 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4054 = _T_4053 | _T_3799; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_152; // @[lib.scala 374:16] + wire [21:0] _T_3800 = _T_2416 ? btb_bank0_rd_data_way1_out_152 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4055 = _T_4054 | _T_3800; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_153; // @[lib.scala 374:16] + wire [21:0] _T_3801 = _T_2418 ? btb_bank0_rd_data_way1_out_153 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4056 = _T_4055 | _T_3801; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_154; // @[lib.scala 374:16] + wire [21:0] _T_3802 = _T_2420 ? btb_bank0_rd_data_way1_out_154 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4057 = _T_4056 | _T_3802; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_155; // @[lib.scala 374:16] + wire [21:0] _T_3803 = _T_2422 ? btb_bank0_rd_data_way1_out_155 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4058 = _T_4057 | _T_3803; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_156; // @[lib.scala 374:16] + wire [21:0] _T_3804 = _T_2424 ? btb_bank0_rd_data_way1_out_156 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4059 = _T_4058 | _T_3804; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_157; // @[lib.scala 374:16] + wire [21:0] _T_3805 = _T_2426 ? btb_bank0_rd_data_way1_out_157 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4060 = _T_4059 | _T_3805; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_158; // @[lib.scala 374:16] + wire [21:0] _T_3806 = _T_2428 ? btb_bank0_rd_data_way1_out_158 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4061 = _T_4060 | _T_3806; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_159; // @[lib.scala 374:16] + wire [21:0] _T_3807 = _T_2430 ? btb_bank0_rd_data_way1_out_159 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4062 = _T_4061 | _T_3807; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_160; // @[lib.scala 374:16] + wire [21:0] _T_3808 = _T_2432 ? btb_bank0_rd_data_way1_out_160 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4063 = _T_4062 | _T_3808; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_161; // @[lib.scala 374:16] + wire [21:0] _T_3809 = _T_2434 ? btb_bank0_rd_data_way1_out_161 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4064 = _T_4063 | _T_3809; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_162; // @[lib.scala 374:16] + wire [21:0] _T_3810 = _T_2436 ? btb_bank0_rd_data_way1_out_162 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4065 = _T_4064 | _T_3810; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_163; // @[lib.scala 374:16] + wire [21:0] _T_3811 = _T_2438 ? btb_bank0_rd_data_way1_out_163 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4066 = _T_4065 | _T_3811; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_164; // @[lib.scala 374:16] + wire [21:0] _T_3812 = _T_2440 ? btb_bank0_rd_data_way1_out_164 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4067 = _T_4066 | _T_3812; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_165; // @[lib.scala 374:16] + wire [21:0] _T_3813 = _T_2442 ? btb_bank0_rd_data_way1_out_165 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4068 = _T_4067 | _T_3813; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_166; // @[lib.scala 374:16] + wire [21:0] _T_3814 = _T_2444 ? btb_bank0_rd_data_way1_out_166 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4069 = _T_4068 | _T_3814; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_167; // @[lib.scala 374:16] + wire [21:0] _T_3815 = _T_2446 ? btb_bank0_rd_data_way1_out_167 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4070 = _T_4069 | _T_3815; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_168; // @[lib.scala 374:16] + wire [21:0] _T_3816 = _T_2448 ? btb_bank0_rd_data_way1_out_168 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4071 = _T_4070 | _T_3816; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_169; // @[lib.scala 374:16] + wire [21:0] _T_3817 = _T_2450 ? btb_bank0_rd_data_way1_out_169 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4072 = _T_4071 | _T_3817; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_170; // @[lib.scala 374:16] + wire [21:0] _T_3818 = _T_2452 ? btb_bank0_rd_data_way1_out_170 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4073 = _T_4072 | _T_3818; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_171; // @[lib.scala 374:16] + wire [21:0] _T_3819 = _T_2454 ? btb_bank0_rd_data_way1_out_171 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4074 = _T_4073 | _T_3819; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_172; // @[lib.scala 374:16] + wire [21:0] _T_3820 = _T_2456 ? btb_bank0_rd_data_way1_out_172 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4075 = _T_4074 | _T_3820; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_173; // @[lib.scala 374:16] + wire [21:0] _T_3821 = _T_2458 ? btb_bank0_rd_data_way1_out_173 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4076 = _T_4075 | _T_3821; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_174; // @[lib.scala 374:16] + wire [21:0] _T_3822 = _T_2460 ? btb_bank0_rd_data_way1_out_174 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4077 = _T_4076 | _T_3822; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_175; // @[lib.scala 374:16] + wire [21:0] _T_3823 = _T_2462 ? btb_bank0_rd_data_way1_out_175 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4078 = _T_4077 | _T_3823; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_176; // @[lib.scala 374:16] + wire [21:0] _T_3824 = _T_2464 ? btb_bank0_rd_data_way1_out_176 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4079 = _T_4078 | _T_3824; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_177; // @[lib.scala 374:16] + wire [21:0] _T_3825 = _T_2466 ? btb_bank0_rd_data_way1_out_177 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4080 = _T_4079 | _T_3825; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_178; // @[lib.scala 374:16] + wire [21:0] _T_3826 = _T_2468 ? btb_bank0_rd_data_way1_out_178 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4081 = _T_4080 | _T_3826; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_179; // @[lib.scala 374:16] + wire [21:0] _T_3827 = _T_2470 ? btb_bank0_rd_data_way1_out_179 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4082 = _T_4081 | _T_3827; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_180; // @[lib.scala 374:16] + wire [21:0] _T_3828 = _T_2472 ? btb_bank0_rd_data_way1_out_180 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4083 = _T_4082 | _T_3828; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_181; // @[lib.scala 374:16] + wire [21:0] _T_3829 = _T_2474 ? btb_bank0_rd_data_way1_out_181 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4084 = _T_4083 | _T_3829; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_182; // @[lib.scala 374:16] + wire [21:0] _T_3830 = _T_2476 ? btb_bank0_rd_data_way1_out_182 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4085 = _T_4084 | _T_3830; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_183; // @[lib.scala 374:16] + wire [21:0] _T_3831 = _T_2478 ? btb_bank0_rd_data_way1_out_183 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4086 = _T_4085 | _T_3831; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_184; // @[lib.scala 374:16] + wire [21:0] _T_3832 = _T_2480 ? btb_bank0_rd_data_way1_out_184 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4087 = _T_4086 | _T_3832; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_185; // @[lib.scala 374:16] + wire [21:0] _T_3833 = _T_2482 ? btb_bank0_rd_data_way1_out_185 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4088 = _T_4087 | _T_3833; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_186; // @[lib.scala 374:16] + wire [21:0] _T_3834 = _T_2484 ? btb_bank0_rd_data_way1_out_186 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4089 = _T_4088 | _T_3834; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_187; // @[lib.scala 374:16] + wire [21:0] _T_3835 = _T_2486 ? btb_bank0_rd_data_way1_out_187 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4090 = _T_4089 | _T_3835; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_188; // @[lib.scala 374:16] + wire [21:0] _T_3836 = _T_2488 ? btb_bank0_rd_data_way1_out_188 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4091 = _T_4090 | _T_3836; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_189; // @[lib.scala 374:16] + wire [21:0] _T_3837 = _T_2490 ? btb_bank0_rd_data_way1_out_189 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4092 = _T_4091 | _T_3837; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_190; // @[lib.scala 374:16] + wire [21:0] _T_3838 = _T_2492 ? btb_bank0_rd_data_way1_out_190 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4093 = _T_4092 | _T_3838; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_191; // @[lib.scala 374:16] + wire [21:0] _T_3839 = _T_2494 ? btb_bank0_rd_data_way1_out_191 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4094 = _T_4093 | _T_3839; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_192; // @[lib.scala 374:16] + wire [21:0] _T_3840 = _T_2496 ? btb_bank0_rd_data_way1_out_192 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4095 = _T_4094 | _T_3840; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_193; // @[lib.scala 374:16] + wire [21:0] _T_3841 = _T_2498 ? btb_bank0_rd_data_way1_out_193 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4096 = _T_4095 | _T_3841; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_194; // @[lib.scala 374:16] + wire [21:0] _T_3842 = _T_2500 ? btb_bank0_rd_data_way1_out_194 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4097 = _T_4096 | _T_3842; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_195; // @[lib.scala 374:16] + wire [21:0] _T_3843 = _T_2502 ? btb_bank0_rd_data_way1_out_195 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4098 = _T_4097 | _T_3843; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_196; // @[lib.scala 374:16] + wire [21:0] _T_3844 = _T_2504 ? btb_bank0_rd_data_way1_out_196 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4099 = _T_4098 | _T_3844; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_197; // @[lib.scala 374:16] + wire [21:0] _T_3845 = _T_2506 ? btb_bank0_rd_data_way1_out_197 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4100 = _T_4099 | _T_3845; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_198; // @[lib.scala 374:16] + wire [21:0] _T_3846 = _T_2508 ? btb_bank0_rd_data_way1_out_198 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4101 = _T_4100 | _T_3846; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_199; // @[lib.scala 374:16] + wire [21:0] _T_3847 = _T_2510 ? btb_bank0_rd_data_way1_out_199 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4102 = _T_4101 | _T_3847; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_200; // @[lib.scala 374:16] + wire [21:0] _T_3848 = _T_2512 ? btb_bank0_rd_data_way1_out_200 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4103 = _T_4102 | _T_3848; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_201; // @[lib.scala 374:16] + wire [21:0] _T_3849 = _T_2514 ? btb_bank0_rd_data_way1_out_201 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4104 = _T_4103 | _T_3849; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_202; // @[lib.scala 374:16] + wire [21:0] _T_3850 = _T_2516 ? btb_bank0_rd_data_way1_out_202 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4105 = _T_4104 | _T_3850; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_203; // @[lib.scala 374:16] + wire [21:0] _T_3851 = _T_2518 ? btb_bank0_rd_data_way1_out_203 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4106 = _T_4105 | _T_3851; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_204; // @[lib.scala 374:16] + wire [21:0] _T_3852 = _T_2520 ? btb_bank0_rd_data_way1_out_204 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4107 = _T_4106 | _T_3852; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_205; // @[lib.scala 374:16] + wire [21:0] _T_3853 = _T_2522 ? btb_bank0_rd_data_way1_out_205 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4108 = _T_4107 | _T_3853; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_206; // @[lib.scala 374:16] + wire [21:0] _T_3854 = _T_2524 ? btb_bank0_rd_data_way1_out_206 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4109 = _T_4108 | _T_3854; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_207; // @[lib.scala 374:16] + wire [21:0] _T_3855 = _T_2526 ? btb_bank0_rd_data_way1_out_207 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4110 = _T_4109 | _T_3855; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_208; // @[lib.scala 374:16] + wire [21:0] _T_3856 = _T_2528 ? btb_bank0_rd_data_way1_out_208 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4111 = _T_4110 | _T_3856; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_209; // @[lib.scala 374:16] + wire [21:0] _T_3857 = _T_2530 ? btb_bank0_rd_data_way1_out_209 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4112 = _T_4111 | _T_3857; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_210; // @[lib.scala 374:16] + wire [21:0] _T_3858 = _T_2532 ? btb_bank0_rd_data_way1_out_210 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4113 = _T_4112 | _T_3858; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_211; // @[lib.scala 374:16] + wire [21:0] _T_3859 = _T_2534 ? btb_bank0_rd_data_way1_out_211 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4114 = _T_4113 | _T_3859; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_212; // @[lib.scala 374:16] + wire [21:0] _T_3860 = _T_2536 ? btb_bank0_rd_data_way1_out_212 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4115 = _T_4114 | _T_3860; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_213; // @[lib.scala 374:16] + wire [21:0] _T_3861 = _T_2538 ? btb_bank0_rd_data_way1_out_213 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4116 = _T_4115 | _T_3861; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_214; // @[lib.scala 374:16] + wire [21:0] _T_3862 = _T_2540 ? btb_bank0_rd_data_way1_out_214 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4117 = _T_4116 | _T_3862; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_215; // @[lib.scala 374:16] + wire [21:0] _T_3863 = _T_2542 ? btb_bank0_rd_data_way1_out_215 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4118 = _T_4117 | _T_3863; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_216; // @[lib.scala 374:16] + wire [21:0] _T_3864 = _T_2544 ? btb_bank0_rd_data_way1_out_216 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4119 = _T_4118 | _T_3864; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_217; // @[lib.scala 374:16] + wire [21:0] _T_3865 = _T_2546 ? btb_bank0_rd_data_way1_out_217 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4120 = _T_4119 | _T_3865; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_218; // @[lib.scala 374:16] + wire [21:0] _T_3866 = _T_2548 ? btb_bank0_rd_data_way1_out_218 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4121 = _T_4120 | _T_3866; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_219; // @[lib.scala 374:16] + wire [21:0] _T_3867 = _T_2550 ? btb_bank0_rd_data_way1_out_219 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4122 = _T_4121 | _T_3867; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_220; // @[lib.scala 374:16] + wire [21:0] _T_3868 = _T_2552 ? btb_bank0_rd_data_way1_out_220 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4123 = _T_4122 | _T_3868; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_221; // @[lib.scala 374:16] + wire [21:0] _T_3869 = _T_2554 ? btb_bank0_rd_data_way1_out_221 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4124 = _T_4123 | _T_3869; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_222; // @[lib.scala 374:16] + wire [21:0] _T_3870 = _T_2556 ? btb_bank0_rd_data_way1_out_222 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4125 = _T_4124 | _T_3870; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_223; // @[lib.scala 374:16] + wire [21:0] _T_3871 = _T_2558 ? btb_bank0_rd_data_way1_out_223 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4126 = _T_4125 | _T_3871; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_224; // @[lib.scala 374:16] + wire [21:0] _T_3872 = _T_2560 ? btb_bank0_rd_data_way1_out_224 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4127 = _T_4126 | _T_3872; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_225; // @[lib.scala 374:16] + wire [21:0] _T_3873 = _T_2562 ? btb_bank0_rd_data_way1_out_225 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4128 = _T_4127 | _T_3873; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_226; // @[lib.scala 374:16] + wire [21:0] _T_3874 = _T_2564 ? btb_bank0_rd_data_way1_out_226 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4129 = _T_4128 | _T_3874; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_227; // @[lib.scala 374:16] + wire [21:0] _T_3875 = _T_2566 ? btb_bank0_rd_data_way1_out_227 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4130 = _T_4129 | _T_3875; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_228; // @[lib.scala 374:16] + wire [21:0] _T_3876 = _T_2568 ? btb_bank0_rd_data_way1_out_228 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4131 = _T_4130 | _T_3876; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_229; // @[lib.scala 374:16] + wire [21:0] _T_3877 = _T_2570 ? btb_bank0_rd_data_way1_out_229 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4132 = _T_4131 | _T_3877; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_230; // @[lib.scala 374:16] + wire [21:0] _T_3878 = _T_2572 ? btb_bank0_rd_data_way1_out_230 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4133 = _T_4132 | _T_3878; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_231; // @[lib.scala 374:16] + wire [21:0] _T_3879 = _T_2574 ? btb_bank0_rd_data_way1_out_231 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4134 = _T_4133 | _T_3879; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_232; // @[lib.scala 374:16] + wire [21:0] _T_3880 = _T_2576 ? btb_bank0_rd_data_way1_out_232 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4135 = _T_4134 | _T_3880; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_233; // @[lib.scala 374:16] + wire [21:0] _T_3881 = _T_2578 ? btb_bank0_rd_data_way1_out_233 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4136 = _T_4135 | _T_3881; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_234; // @[lib.scala 374:16] + wire [21:0] _T_3882 = _T_2580 ? btb_bank0_rd_data_way1_out_234 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4137 = _T_4136 | _T_3882; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_235; // @[lib.scala 374:16] + wire [21:0] _T_3883 = _T_2582 ? btb_bank0_rd_data_way1_out_235 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4138 = _T_4137 | _T_3883; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_236; // @[lib.scala 374:16] + wire [21:0] _T_3884 = _T_2584 ? btb_bank0_rd_data_way1_out_236 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4139 = _T_4138 | _T_3884; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_237; // @[lib.scala 374:16] + wire [21:0] _T_3885 = _T_2586 ? btb_bank0_rd_data_way1_out_237 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4140 = _T_4139 | _T_3885; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_238; // @[lib.scala 374:16] + wire [21:0] _T_3886 = _T_2588 ? btb_bank0_rd_data_way1_out_238 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4141 = _T_4140 | _T_3886; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_239; // @[lib.scala 374:16] + wire [21:0] _T_3887 = _T_2590 ? btb_bank0_rd_data_way1_out_239 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4142 = _T_4141 | _T_3887; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_240; // @[lib.scala 374:16] + wire [21:0] _T_3888 = _T_2592 ? btb_bank0_rd_data_way1_out_240 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4143 = _T_4142 | _T_3888; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_241; // @[lib.scala 374:16] + wire [21:0] _T_3889 = _T_2594 ? btb_bank0_rd_data_way1_out_241 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4144 = _T_4143 | _T_3889; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_242; // @[lib.scala 374:16] + wire [21:0] _T_3890 = _T_2596 ? btb_bank0_rd_data_way1_out_242 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4145 = _T_4144 | _T_3890; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_243; // @[lib.scala 374:16] + wire [21:0] _T_3891 = _T_2598 ? btb_bank0_rd_data_way1_out_243 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4146 = _T_4145 | _T_3891; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_244; // @[lib.scala 374:16] + wire [21:0] _T_3892 = _T_2600 ? btb_bank0_rd_data_way1_out_244 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4147 = _T_4146 | _T_3892; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_245; // @[lib.scala 374:16] + wire [21:0] _T_3893 = _T_2602 ? btb_bank0_rd_data_way1_out_245 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4148 = _T_4147 | _T_3893; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_246; // @[lib.scala 374:16] + wire [21:0] _T_3894 = _T_2604 ? btb_bank0_rd_data_way1_out_246 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4149 = _T_4148 | _T_3894; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_247; // @[lib.scala 374:16] + wire [21:0] _T_3895 = _T_2606 ? btb_bank0_rd_data_way1_out_247 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4150 = _T_4149 | _T_3895; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_248; // @[lib.scala 374:16] + wire [21:0] _T_3896 = _T_2608 ? btb_bank0_rd_data_way1_out_248 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4151 = _T_4150 | _T_3896; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_249; // @[lib.scala 374:16] + wire [21:0] _T_3897 = _T_2610 ? btb_bank0_rd_data_way1_out_249 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4152 = _T_4151 | _T_3897; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_250; // @[lib.scala 374:16] + wire [21:0] _T_3898 = _T_2612 ? btb_bank0_rd_data_way1_out_250 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4153 = _T_4152 | _T_3898; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_251; // @[lib.scala 374:16] + wire [21:0] _T_3899 = _T_2614 ? btb_bank0_rd_data_way1_out_251 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4154 = _T_4153 | _T_3899; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_252; // @[lib.scala 374:16] + wire [21:0] _T_3900 = _T_2616 ? btb_bank0_rd_data_way1_out_252 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4155 = _T_4154 | _T_3900; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_253; // @[lib.scala 374:16] + wire [21:0] _T_3901 = _T_2618 ? btb_bank0_rd_data_way1_out_253 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4156 = _T_4155 | _T_3901; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_254; // @[lib.scala 374:16] + wire [21:0] _T_3902 = _T_2620 ? btb_bank0_rd_data_way1_out_254 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4157 = _T_4156 | _T_3902; // @[Mux.scala 27:72] + reg [21:0] btb_bank0_rd_data_way1_out_255; // @[lib.scala 374:16] + wire [21:0] _T_3903 = _T_2622 ? btb_bank0_rd_data_way1_out_255 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] btb_bank0_rd_data_way1_f = _T_4157 | _T_3903; // @[Mux.scala 27:72] + wire _T_55 = btb_bank0_rd_data_way1_f[21:17] == fetch_rd_tag_f; // @[ifu_bp_ctl.scala 131:97] + wire _T_56 = btb_bank0_rd_data_way1_f[0] & _T_55; // @[ifu_bp_ctl.scala 131:55] + wire _T_59 = _T_56 & _T_49; // @[ifu_bp_ctl.scala 131:117] + wire _T_60 = _T_59 & io_ifc_fetch_req_f; // @[ifu_bp_ctl.scala 132:76] + wire tag_match_way1_f = _T_60 & _T; // @[ifu_bp_ctl.scala 132:97] + wire _T_91 = btb_bank0_rd_data_way1_f[3] ^ btb_bank0_rd_data_way1_f[4]; // @[ifu_bp_ctl.scala 145:91] + wire _T_92 = tag_match_way1_f & _T_91; // @[ifu_bp_ctl.scala 145:56] + wire _T_96 = ~_T_91; // @[ifu_bp_ctl.scala 146:58] + wire _T_97 = tag_match_way1_f & _T_96; // @[ifu_bp_ctl.scala 146:56] + wire [1:0] tag_match_way1_expanded_f = {_T_92,_T_97}; // @[Cat.scala 29:58] + wire [21:0] _T_128 = tag_match_way1_expanded_f[1] ? btb_bank0_rd_data_way1_f : 22'h0; // @[Mux.scala 27:72] + wire [21:0] btb_bank0o_rd_data_f = _T_127 | _T_128; // @[Mux.scala 27:72] + wire [21:0] _T_146 = _T_144 ? btb_bank0o_rd_data_f : 22'h0; // @[Mux.scala 27:72] + wire _T_4160 = btb_rd_addr_p1_f == 8'h0; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4672 = _T_4160 ? btb_bank0_rd_data_way0_out_0 : 22'h0; // @[Mux.scala 27:72] + wire _T_4162 = btb_rd_addr_p1_f == 8'h1; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4673 = _T_4162 ? btb_bank0_rd_data_way0_out_1 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4928 = _T_4672 | _T_4673; // @[Mux.scala 27:72] + wire _T_4164 = btb_rd_addr_p1_f == 8'h2; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4674 = _T_4164 ? btb_bank0_rd_data_way0_out_2 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4929 = _T_4928 | _T_4674; // @[Mux.scala 27:72] + wire _T_4166 = btb_rd_addr_p1_f == 8'h3; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4675 = _T_4166 ? btb_bank0_rd_data_way0_out_3 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4930 = _T_4929 | _T_4675; // @[Mux.scala 27:72] + wire _T_4168 = btb_rd_addr_p1_f == 8'h4; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4676 = _T_4168 ? btb_bank0_rd_data_way0_out_4 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4931 = _T_4930 | _T_4676; // @[Mux.scala 27:72] + wire _T_4170 = btb_rd_addr_p1_f == 8'h5; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4677 = _T_4170 ? btb_bank0_rd_data_way0_out_5 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4932 = _T_4931 | _T_4677; // @[Mux.scala 27:72] + wire _T_4172 = btb_rd_addr_p1_f == 8'h6; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4678 = _T_4172 ? btb_bank0_rd_data_way0_out_6 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4933 = _T_4932 | _T_4678; // @[Mux.scala 27:72] + wire _T_4174 = btb_rd_addr_p1_f == 8'h7; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4679 = _T_4174 ? btb_bank0_rd_data_way0_out_7 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4934 = _T_4933 | _T_4679; // @[Mux.scala 27:72] + wire _T_4176 = btb_rd_addr_p1_f == 8'h8; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4680 = _T_4176 ? btb_bank0_rd_data_way0_out_8 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4935 = _T_4934 | _T_4680; // @[Mux.scala 27:72] + wire _T_4178 = btb_rd_addr_p1_f == 8'h9; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4681 = _T_4178 ? btb_bank0_rd_data_way0_out_9 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4936 = _T_4935 | _T_4681; // @[Mux.scala 27:72] + wire _T_4180 = btb_rd_addr_p1_f == 8'ha; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4682 = _T_4180 ? btb_bank0_rd_data_way0_out_10 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4937 = _T_4936 | _T_4682; // @[Mux.scala 27:72] + wire _T_4182 = btb_rd_addr_p1_f == 8'hb; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4683 = _T_4182 ? btb_bank0_rd_data_way0_out_11 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4938 = _T_4937 | _T_4683; // @[Mux.scala 27:72] + wire _T_4184 = btb_rd_addr_p1_f == 8'hc; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4684 = _T_4184 ? btb_bank0_rd_data_way0_out_12 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4939 = _T_4938 | _T_4684; // @[Mux.scala 27:72] + wire _T_4186 = btb_rd_addr_p1_f == 8'hd; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4685 = _T_4186 ? btb_bank0_rd_data_way0_out_13 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4940 = _T_4939 | _T_4685; // @[Mux.scala 27:72] + wire _T_4188 = btb_rd_addr_p1_f == 8'he; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4686 = _T_4188 ? btb_bank0_rd_data_way0_out_14 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4941 = _T_4940 | _T_4686; // @[Mux.scala 27:72] + wire _T_4190 = btb_rd_addr_p1_f == 8'hf; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4687 = _T_4190 ? btb_bank0_rd_data_way0_out_15 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4942 = _T_4941 | _T_4687; // @[Mux.scala 27:72] + wire _T_4192 = btb_rd_addr_p1_f == 8'h10; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4688 = _T_4192 ? btb_bank0_rd_data_way0_out_16 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4943 = _T_4942 | _T_4688; // @[Mux.scala 27:72] + wire _T_4194 = btb_rd_addr_p1_f == 8'h11; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4689 = _T_4194 ? btb_bank0_rd_data_way0_out_17 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4944 = _T_4943 | _T_4689; // @[Mux.scala 27:72] + wire _T_4196 = btb_rd_addr_p1_f == 8'h12; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4690 = _T_4196 ? btb_bank0_rd_data_way0_out_18 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4945 = _T_4944 | _T_4690; // @[Mux.scala 27:72] + wire _T_4198 = btb_rd_addr_p1_f == 8'h13; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4691 = _T_4198 ? btb_bank0_rd_data_way0_out_19 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4946 = _T_4945 | _T_4691; // @[Mux.scala 27:72] + wire _T_4200 = btb_rd_addr_p1_f == 8'h14; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4692 = _T_4200 ? btb_bank0_rd_data_way0_out_20 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4947 = _T_4946 | _T_4692; // @[Mux.scala 27:72] + wire _T_4202 = btb_rd_addr_p1_f == 8'h15; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4693 = _T_4202 ? btb_bank0_rd_data_way0_out_21 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4948 = _T_4947 | _T_4693; // @[Mux.scala 27:72] + wire _T_4204 = btb_rd_addr_p1_f == 8'h16; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4694 = _T_4204 ? btb_bank0_rd_data_way0_out_22 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4949 = _T_4948 | _T_4694; // @[Mux.scala 27:72] + wire _T_4206 = btb_rd_addr_p1_f == 8'h17; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4695 = _T_4206 ? btb_bank0_rd_data_way0_out_23 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4950 = _T_4949 | _T_4695; // @[Mux.scala 27:72] + wire _T_4208 = btb_rd_addr_p1_f == 8'h18; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4696 = _T_4208 ? btb_bank0_rd_data_way0_out_24 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4951 = _T_4950 | _T_4696; // @[Mux.scala 27:72] + wire _T_4210 = btb_rd_addr_p1_f == 8'h19; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4697 = _T_4210 ? btb_bank0_rd_data_way0_out_25 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4952 = _T_4951 | _T_4697; // @[Mux.scala 27:72] + wire _T_4212 = btb_rd_addr_p1_f == 8'h1a; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4698 = _T_4212 ? btb_bank0_rd_data_way0_out_26 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4953 = _T_4952 | _T_4698; // @[Mux.scala 27:72] + wire _T_4214 = btb_rd_addr_p1_f == 8'h1b; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4699 = _T_4214 ? btb_bank0_rd_data_way0_out_27 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4954 = _T_4953 | _T_4699; // @[Mux.scala 27:72] + wire _T_4216 = btb_rd_addr_p1_f == 8'h1c; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4700 = _T_4216 ? btb_bank0_rd_data_way0_out_28 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4955 = _T_4954 | _T_4700; // @[Mux.scala 27:72] + wire _T_4218 = btb_rd_addr_p1_f == 8'h1d; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4701 = _T_4218 ? btb_bank0_rd_data_way0_out_29 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4956 = _T_4955 | _T_4701; // @[Mux.scala 27:72] + wire _T_4220 = btb_rd_addr_p1_f == 8'h1e; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4702 = _T_4220 ? btb_bank0_rd_data_way0_out_30 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4957 = _T_4956 | _T_4702; // @[Mux.scala 27:72] + wire _T_4222 = btb_rd_addr_p1_f == 8'h1f; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4703 = _T_4222 ? btb_bank0_rd_data_way0_out_31 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4958 = _T_4957 | _T_4703; // @[Mux.scala 27:72] + wire _T_4224 = btb_rd_addr_p1_f == 8'h20; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4704 = _T_4224 ? btb_bank0_rd_data_way0_out_32 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4959 = _T_4958 | _T_4704; // @[Mux.scala 27:72] + wire _T_4226 = btb_rd_addr_p1_f == 8'h21; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4705 = _T_4226 ? btb_bank0_rd_data_way0_out_33 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4960 = _T_4959 | _T_4705; // @[Mux.scala 27:72] + wire _T_4228 = btb_rd_addr_p1_f == 8'h22; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4706 = _T_4228 ? btb_bank0_rd_data_way0_out_34 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4961 = _T_4960 | _T_4706; // @[Mux.scala 27:72] + wire _T_4230 = btb_rd_addr_p1_f == 8'h23; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4707 = _T_4230 ? btb_bank0_rd_data_way0_out_35 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4962 = _T_4961 | _T_4707; // @[Mux.scala 27:72] + wire _T_4232 = btb_rd_addr_p1_f == 8'h24; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4708 = _T_4232 ? btb_bank0_rd_data_way0_out_36 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4963 = _T_4962 | _T_4708; // @[Mux.scala 27:72] + wire _T_4234 = btb_rd_addr_p1_f == 8'h25; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4709 = _T_4234 ? btb_bank0_rd_data_way0_out_37 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4964 = _T_4963 | _T_4709; // @[Mux.scala 27:72] + wire _T_4236 = btb_rd_addr_p1_f == 8'h26; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4710 = _T_4236 ? btb_bank0_rd_data_way0_out_38 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4965 = _T_4964 | _T_4710; // @[Mux.scala 27:72] + wire _T_4238 = btb_rd_addr_p1_f == 8'h27; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4711 = _T_4238 ? btb_bank0_rd_data_way0_out_39 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4966 = _T_4965 | _T_4711; // @[Mux.scala 27:72] + wire _T_4240 = btb_rd_addr_p1_f == 8'h28; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4712 = _T_4240 ? btb_bank0_rd_data_way0_out_40 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4967 = _T_4966 | _T_4712; // @[Mux.scala 27:72] + wire _T_4242 = btb_rd_addr_p1_f == 8'h29; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4713 = _T_4242 ? btb_bank0_rd_data_way0_out_41 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4968 = _T_4967 | _T_4713; // @[Mux.scala 27:72] + wire _T_4244 = btb_rd_addr_p1_f == 8'h2a; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4714 = _T_4244 ? btb_bank0_rd_data_way0_out_42 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4969 = _T_4968 | _T_4714; // @[Mux.scala 27:72] + wire _T_4246 = btb_rd_addr_p1_f == 8'h2b; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4715 = _T_4246 ? btb_bank0_rd_data_way0_out_43 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4970 = _T_4969 | _T_4715; // @[Mux.scala 27:72] + wire _T_4248 = btb_rd_addr_p1_f == 8'h2c; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4716 = _T_4248 ? btb_bank0_rd_data_way0_out_44 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4971 = _T_4970 | _T_4716; // @[Mux.scala 27:72] + wire _T_4250 = btb_rd_addr_p1_f == 8'h2d; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4717 = _T_4250 ? btb_bank0_rd_data_way0_out_45 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4972 = _T_4971 | _T_4717; // @[Mux.scala 27:72] + wire _T_4252 = btb_rd_addr_p1_f == 8'h2e; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4718 = _T_4252 ? btb_bank0_rd_data_way0_out_46 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4973 = _T_4972 | _T_4718; // @[Mux.scala 27:72] + wire _T_4254 = btb_rd_addr_p1_f == 8'h2f; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4719 = _T_4254 ? btb_bank0_rd_data_way0_out_47 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4974 = _T_4973 | _T_4719; // @[Mux.scala 27:72] + wire _T_4256 = btb_rd_addr_p1_f == 8'h30; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4720 = _T_4256 ? btb_bank0_rd_data_way0_out_48 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4975 = _T_4974 | _T_4720; // @[Mux.scala 27:72] + wire _T_4258 = btb_rd_addr_p1_f == 8'h31; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4721 = _T_4258 ? btb_bank0_rd_data_way0_out_49 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4976 = _T_4975 | _T_4721; // @[Mux.scala 27:72] + wire _T_4260 = btb_rd_addr_p1_f == 8'h32; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4722 = _T_4260 ? btb_bank0_rd_data_way0_out_50 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4977 = _T_4976 | _T_4722; // @[Mux.scala 27:72] + wire _T_4262 = btb_rd_addr_p1_f == 8'h33; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4723 = _T_4262 ? btb_bank0_rd_data_way0_out_51 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4978 = _T_4977 | _T_4723; // @[Mux.scala 27:72] + wire _T_4264 = btb_rd_addr_p1_f == 8'h34; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4724 = _T_4264 ? btb_bank0_rd_data_way0_out_52 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4979 = _T_4978 | _T_4724; // @[Mux.scala 27:72] + wire _T_4266 = btb_rd_addr_p1_f == 8'h35; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4725 = _T_4266 ? btb_bank0_rd_data_way0_out_53 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4980 = _T_4979 | _T_4725; // @[Mux.scala 27:72] + wire _T_4268 = btb_rd_addr_p1_f == 8'h36; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4726 = _T_4268 ? btb_bank0_rd_data_way0_out_54 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4981 = _T_4980 | _T_4726; // @[Mux.scala 27:72] + wire _T_4270 = btb_rd_addr_p1_f == 8'h37; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4727 = _T_4270 ? btb_bank0_rd_data_way0_out_55 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4982 = _T_4981 | _T_4727; // @[Mux.scala 27:72] + wire _T_4272 = btb_rd_addr_p1_f == 8'h38; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4728 = _T_4272 ? btb_bank0_rd_data_way0_out_56 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4983 = _T_4982 | _T_4728; // @[Mux.scala 27:72] + wire _T_4274 = btb_rd_addr_p1_f == 8'h39; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4729 = _T_4274 ? btb_bank0_rd_data_way0_out_57 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4984 = _T_4983 | _T_4729; // @[Mux.scala 27:72] + wire _T_4276 = btb_rd_addr_p1_f == 8'h3a; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4730 = _T_4276 ? btb_bank0_rd_data_way0_out_58 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4985 = _T_4984 | _T_4730; // @[Mux.scala 27:72] + wire _T_4278 = btb_rd_addr_p1_f == 8'h3b; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4731 = _T_4278 ? btb_bank0_rd_data_way0_out_59 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4986 = _T_4985 | _T_4731; // @[Mux.scala 27:72] + wire _T_4280 = btb_rd_addr_p1_f == 8'h3c; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4732 = _T_4280 ? btb_bank0_rd_data_way0_out_60 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4987 = _T_4986 | _T_4732; // @[Mux.scala 27:72] + wire _T_4282 = btb_rd_addr_p1_f == 8'h3d; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4733 = _T_4282 ? btb_bank0_rd_data_way0_out_61 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4988 = _T_4987 | _T_4733; // @[Mux.scala 27:72] + wire _T_4284 = btb_rd_addr_p1_f == 8'h3e; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4734 = _T_4284 ? btb_bank0_rd_data_way0_out_62 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4989 = _T_4988 | _T_4734; // @[Mux.scala 27:72] + wire _T_4286 = btb_rd_addr_p1_f == 8'h3f; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4735 = _T_4286 ? btb_bank0_rd_data_way0_out_63 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4990 = _T_4989 | _T_4735; // @[Mux.scala 27:72] + wire _T_4288 = btb_rd_addr_p1_f == 8'h40; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4736 = _T_4288 ? btb_bank0_rd_data_way0_out_64 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4991 = _T_4990 | _T_4736; // @[Mux.scala 27:72] + wire _T_4290 = btb_rd_addr_p1_f == 8'h41; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4737 = _T_4290 ? btb_bank0_rd_data_way0_out_65 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4992 = _T_4991 | _T_4737; // @[Mux.scala 27:72] + wire _T_4292 = btb_rd_addr_p1_f == 8'h42; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4738 = _T_4292 ? btb_bank0_rd_data_way0_out_66 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4993 = _T_4992 | _T_4738; // @[Mux.scala 27:72] + wire _T_4294 = btb_rd_addr_p1_f == 8'h43; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4739 = _T_4294 ? btb_bank0_rd_data_way0_out_67 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4994 = _T_4993 | _T_4739; // @[Mux.scala 27:72] + wire _T_4296 = btb_rd_addr_p1_f == 8'h44; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4740 = _T_4296 ? btb_bank0_rd_data_way0_out_68 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4995 = _T_4994 | _T_4740; // @[Mux.scala 27:72] + wire _T_4298 = btb_rd_addr_p1_f == 8'h45; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4741 = _T_4298 ? btb_bank0_rd_data_way0_out_69 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4996 = _T_4995 | _T_4741; // @[Mux.scala 27:72] + wire _T_4300 = btb_rd_addr_p1_f == 8'h46; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4742 = _T_4300 ? btb_bank0_rd_data_way0_out_70 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4997 = _T_4996 | _T_4742; // @[Mux.scala 27:72] + wire _T_4302 = btb_rd_addr_p1_f == 8'h47; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4743 = _T_4302 ? btb_bank0_rd_data_way0_out_71 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4998 = _T_4997 | _T_4743; // @[Mux.scala 27:72] + wire _T_4304 = btb_rd_addr_p1_f == 8'h48; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4744 = _T_4304 ? btb_bank0_rd_data_way0_out_72 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_4999 = _T_4998 | _T_4744; // @[Mux.scala 27:72] + wire _T_4306 = btb_rd_addr_p1_f == 8'h49; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4745 = _T_4306 ? btb_bank0_rd_data_way0_out_73 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5000 = _T_4999 | _T_4745; // @[Mux.scala 27:72] + wire _T_4308 = btb_rd_addr_p1_f == 8'h4a; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4746 = _T_4308 ? btb_bank0_rd_data_way0_out_74 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5001 = _T_5000 | _T_4746; // @[Mux.scala 27:72] + wire _T_4310 = btb_rd_addr_p1_f == 8'h4b; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4747 = _T_4310 ? btb_bank0_rd_data_way0_out_75 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5002 = _T_5001 | _T_4747; // @[Mux.scala 27:72] + wire _T_4312 = btb_rd_addr_p1_f == 8'h4c; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4748 = _T_4312 ? btb_bank0_rd_data_way0_out_76 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5003 = _T_5002 | _T_4748; // @[Mux.scala 27:72] + wire _T_4314 = btb_rd_addr_p1_f == 8'h4d; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4749 = _T_4314 ? btb_bank0_rd_data_way0_out_77 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5004 = _T_5003 | _T_4749; // @[Mux.scala 27:72] + wire _T_4316 = btb_rd_addr_p1_f == 8'h4e; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4750 = _T_4316 ? btb_bank0_rd_data_way0_out_78 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5005 = _T_5004 | _T_4750; // @[Mux.scala 27:72] + wire _T_4318 = btb_rd_addr_p1_f == 8'h4f; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4751 = _T_4318 ? btb_bank0_rd_data_way0_out_79 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5006 = _T_5005 | _T_4751; // @[Mux.scala 27:72] + wire _T_4320 = btb_rd_addr_p1_f == 8'h50; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4752 = _T_4320 ? btb_bank0_rd_data_way0_out_80 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5007 = _T_5006 | _T_4752; // @[Mux.scala 27:72] + wire _T_4322 = btb_rd_addr_p1_f == 8'h51; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4753 = _T_4322 ? btb_bank0_rd_data_way0_out_81 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5008 = _T_5007 | _T_4753; // @[Mux.scala 27:72] + wire _T_4324 = btb_rd_addr_p1_f == 8'h52; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4754 = _T_4324 ? btb_bank0_rd_data_way0_out_82 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5009 = _T_5008 | _T_4754; // @[Mux.scala 27:72] + wire _T_4326 = btb_rd_addr_p1_f == 8'h53; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4755 = _T_4326 ? btb_bank0_rd_data_way0_out_83 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5010 = _T_5009 | _T_4755; // @[Mux.scala 27:72] + wire _T_4328 = btb_rd_addr_p1_f == 8'h54; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4756 = _T_4328 ? btb_bank0_rd_data_way0_out_84 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5011 = _T_5010 | _T_4756; // @[Mux.scala 27:72] + wire _T_4330 = btb_rd_addr_p1_f == 8'h55; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4757 = _T_4330 ? btb_bank0_rd_data_way0_out_85 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5012 = _T_5011 | _T_4757; // @[Mux.scala 27:72] + wire _T_4332 = btb_rd_addr_p1_f == 8'h56; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4758 = _T_4332 ? btb_bank0_rd_data_way0_out_86 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5013 = _T_5012 | _T_4758; // @[Mux.scala 27:72] + wire _T_4334 = btb_rd_addr_p1_f == 8'h57; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4759 = _T_4334 ? btb_bank0_rd_data_way0_out_87 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5014 = _T_5013 | _T_4759; // @[Mux.scala 27:72] + wire _T_4336 = btb_rd_addr_p1_f == 8'h58; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4760 = _T_4336 ? btb_bank0_rd_data_way0_out_88 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5015 = _T_5014 | _T_4760; // @[Mux.scala 27:72] + wire _T_4338 = btb_rd_addr_p1_f == 8'h59; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4761 = _T_4338 ? btb_bank0_rd_data_way0_out_89 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5016 = _T_5015 | _T_4761; // @[Mux.scala 27:72] + wire _T_4340 = btb_rd_addr_p1_f == 8'h5a; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4762 = _T_4340 ? btb_bank0_rd_data_way0_out_90 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5017 = _T_5016 | _T_4762; // @[Mux.scala 27:72] + wire _T_4342 = btb_rd_addr_p1_f == 8'h5b; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4763 = _T_4342 ? btb_bank0_rd_data_way0_out_91 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5018 = _T_5017 | _T_4763; // @[Mux.scala 27:72] + wire _T_4344 = btb_rd_addr_p1_f == 8'h5c; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4764 = _T_4344 ? btb_bank0_rd_data_way0_out_92 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5019 = _T_5018 | _T_4764; // @[Mux.scala 27:72] + wire _T_4346 = btb_rd_addr_p1_f == 8'h5d; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4765 = _T_4346 ? btb_bank0_rd_data_way0_out_93 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5020 = _T_5019 | _T_4765; // @[Mux.scala 27:72] + wire _T_4348 = btb_rd_addr_p1_f == 8'h5e; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4766 = _T_4348 ? btb_bank0_rd_data_way0_out_94 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5021 = _T_5020 | _T_4766; // @[Mux.scala 27:72] + wire _T_4350 = btb_rd_addr_p1_f == 8'h5f; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4767 = _T_4350 ? btb_bank0_rd_data_way0_out_95 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5022 = _T_5021 | _T_4767; // @[Mux.scala 27:72] + wire _T_4352 = btb_rd_addr_p1_f == 8'h60; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4768 = _T_4352 ? btb_bank0_rd_data_way0_out_96 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5023 = _T_5022 | _T_4768; // @[Mux.scala 27:72] + wire _T_4354 = btb_rd_addr_p1_f == 8'h61; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4769 = _T_4354 ? btb_bank0_rd_data_way0_out_97 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5024 = _T_5023 | _T_4769; // @[Mux.scala 27:72] + wire _T_4356 = btb_rd_addr_p1_f == 8'h62; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4770 = _T_4356 ? btb_bank0_rd_data_way0_out_98 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5025 = _T_5024 | _T_4770; // @[Mux.scala 27:72] + wire _T_4358 = btb_rd_addr_p1_f == 8'h63; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4771 = _T_4358 ? btb_bank0_rd_data_way0_out_99 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5026 = _T_5025 | _T_4771; // @[Mux.scala 27:72] + wire _T_4360 = btb_rd_addr_p1_f == 8'h64; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4772 = _T_4360 ? btb_bank0_rd_data_way0_out_100 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5027 = _T_5026 | _T_4772; // @[Mux.scala 27:72] + wire _T_4362 = btb_rd_addr_p1_f == 8'h65; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4773 = _T_4362 ? btb_bank0_rd_data_way0_out_101 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5028 = _T_5027 | _T_4773; // @[Mux.scala 27:72] + wire _T_4364 = btb_rd_addr_p1_f == 8'h66; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4774 = _T_4364 ? btb_bank0_rd_data_way0_out_102 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5029 = _T_5028 | _T_4774; // @[Mux.scala 27:72] + wire _T_4366 = btb_rd_addr_p1_f == 8'h67; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4775 = _T_4366 ? btb_bank0_rd_data_way0_out_103 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5030 = _T_5029 | _T_4775; // @[Mux.scala 27:72] + wire _T_4368 = btb_rd_addr_p1_f == 8'h68; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4776 = _T_4368 ? btb_bank0_rd_data_way0_out_104 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5031 = _T_5030 | _T_4776; // @[Mux.scala 27:72] + wire _T_4370 = btb_rd_addr_p1_f == 8'h69; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4777 = _T_4370 ? btb_bank0_rd_data_way0_out_105 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5032 = _T_5031 | _T_4777; // @[Mux.scala 27:72] + wire _T_4372 = btb_rd_addr_p1_f == 8'h6a; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4778 = _T_4372 ? btb_bank0_rd_data_way0_out_106 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5033 = _T_5032 | _T_4778; // @[Mux.scala 27:72] + wire _T_4374 = btb_rd_addr_p1_f == 8'h6b; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4779 = _T_4374 ? btb_bank0_rd_data_way0_out_107 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5034 = _T_5033 | _T_4779; // @[Mux.scala 27:72] + wire _T_4376 = btb_rd_addr_p1_f == 8'h6c; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4780 = _T_4376 ? btb_bank0_rd_data_way0_out_108 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5035 = _T_5034 | _T_4780; // @[Mux.scala 27:72] + wire _T_4378 = btb_rd_addr_p1_f == 8'h6d; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4781 = _T_4378 ? btb_bank0_rd_data_way0_out_109 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5036 = _T_5035 | _T_4781; // @[Mux.scala 27:72] + wire _T_4380 = btb_rd_addr_p1_f == 8'h6e; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4782 = _T_4380 ? btb_bank0_rd_data_way0_out_110 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5037 = _T_5036 | _T_4782; // @[Mux.scala 27:72] + wire _T_4382 = btb_rd_addr_p1_f == 8'h6f; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4783 = _T_4382 ? btb_bank0_rd_data_way0_out_111 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5038 = _T_5037 | _T_4783; // @[Mux.scala 27:72] + wire _T_4384 = btb_rd_addr_p1_f == 8'h70; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4784 = _T_4384 ? btb_bank0_rd_data_way0_out_112 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5039 = _T_5038 | _T_4784; // @[Mux.scala 27:72] + wire _T_4386 = btb_rd_addr_p1_f == 8'h71; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4785 = _T_4386 ? btb_bank0_rd_data_way0_out_113 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5040 = _T_5039 | _T_4785; // @[Mux.scala 27:72] + wire _T_4388 = btb_rd_addr_p1_f == 8'h72; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4786 = _T_4388 ? btb_bank0_rd_data_way0_out_114 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5041 = _T_5040 | _T_4786; // @[Mux.scala 27:72] + wire _T_4390 = btb_rd_addr_p1_f == 8'h73; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4787 = _T_4390 ? btb_bank0_rd_data_way0_out_115 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5042 = _T_5041 | _T_4787; // @[Mux.scala 27:72] + wire _T_4392 = btb_rd_addr_p1_f == 8'h74; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4788 = _T_4392 ? btb_bank0_rd_data_way0_out_116 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5043 = _T_5042 | _T_4788; // @[Mux.scala 27:72] + wire _T_4394 = btb_rd_addr_p1_f == 8'h75; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4789 = _T_4394 ? btb_bank0_rd_data_way0_out_117 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5044 = _T_5043 | _T_4789; // @[Mux.scala 27:72] + wire _T_4396 = btb_rd_addr_p1_f == 8'h76; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4790 = _T_4396 ? btb_bank0_rd_data_way0_out_118 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5045 = _T_5044 | _T_4790; // @[Mux.scala 27:72] + wire _T_4398 = btb_rd_addr_p1_f == 8'h77; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4791 = _T_4398 ? btb_bank0_rd_data_way0_out_119 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5046 = _T_5045 | _T_4791; // @[Mux.scala 27:72] + wire _T_4400 = btb_rd_addr_p1_f == 8'h78; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4792 = _T_4400 ? btb_bank0_rd_data_way0_out_120 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5047 = _T_5046 | _T_4792; // @[Mux.scala 27:72] + wire _T_4402 = btb_rd_addr_p1_f == 8'h79; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4793 = _T_4402 ? btb_bank0_rd_data_way0_out_121 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5048 = _T_5047 | _T_4793; // @[Mux.scala 27:72] + wire _T_4404 = btb_rd_addr_p1_f == 8'h7a; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4794 = _T_4404 ? btb_bank0_rd_data_way0_out_122 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5049 = _T_5048 | _T_4794; // @[Mux.scala 27:72] + wire _T_4406 = btb_rd_addr_p1_f == 8'h7b; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4795 = _T_4406 ? btb_bank0_rd_data_way0_out_123 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5050 = _T_5049 | _T_4795; // @[Mux.scala 27:72] + wire _T_4408 = btb_rd_addr_p1_f == 8'h7c; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4796 = _T_4408 ? btb_bank0_rd_data_way0_out_124 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5051 = _T_5050 | _T_4796; // @[Mux.scala 27:72] + wire _T_4410 = btb_rd_addr_p1_f == 8'h7d; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4797 = _T_4410 ? btb_bank0_rd_data_way0_out_125 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5052 = _T_5051 | _T_4797; // @[Mux.scala 27:72] + wire _T_4412 = btb_rd_addr_p1_f == 8'h7e; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4798 = _T_4412 ? btb_bank0_rd_data_way0_out_126 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5053 = _T_5052 | _T_4798; // @[Mux.scala 27:72] + wire _T_4414 = btb_rd_addr_p1_f == 8'h7f; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4799 = _T_4414 ? btb_bank0_rd_data_way0_out_127 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5054 = _T_5053 | _T_4799; // @[Mux.scala 27:72] + wire _T_4416 = btb_rd_addr_p1_f == 8'h80; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4800 = _T_4416 ? btb_bank0_rd_data_way0_out_128 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5055 = _T_5054 | _T_4800; // @[Mux.scala 27:72] + wire _T_4418 = btb_rd_addr_p1_f == 8'h81; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4801 = _T_4418 ? btb_bank0_rd_data_way0_out_129 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5056 = _T_5055 | _T_4801; // @[Mux.scala 27:72] + wire _T_4420 = btb_rd_addr_p1_f == 8'h82; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4802 = _T_4420 ? btb_bank0_rd_data_way0_out_130 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5057 = _T_5056 | _T_4802; // @[Mux.scala 27:72] + wire _T_4422 = btb_rd_addr_p1_f == 8'h83; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4803 = _T_4422 ? btb_bank0_rd_data_way0_out_131 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5058 = _T_5057 | _T_4803; // @[Mux.scala 27:72] + wire _T_4424 = btb_rd_addr_p1_f == 8'h84; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4804 = _T_4424 ? btb_bank0_rd_data_way0_out_132 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5059 = _T_5058 | _T_4804; // @[Mux.scala 27:72] + wire _T_4426 = btb_rd_addr_p1_f == 8'h85; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4805 = _T_4426 ? btb_bank0_rd_data_way0_out_133 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5060 = _T_5059 | _T_4805; // @[Mux.scala 27:72] + wire _T_4428 = btb_rd_addr_p1_f == 8'h86; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4806 = _T_4428 ? btb_bank0_rd_data_way0_out_134 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5061 = _T_5060 | _T_4806; // @[Mux.scala 27:72] + wire _T_4430 = btb_rd_addr_p1_f == 8'h87; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4807 = _T_4430 ? btb_bank0_rd_data_way0_out_135 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5062 = _T_5061 | _T_4807; // @[Mux.scala 27:72] + wire _T_4432 = btb_rd_addr_p1_f == 8'h88; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4808 = _T_4432 ? btb_bank0_rd_data_way0_out_136 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5063 = _T_5062 | _T_4808; // @[Mux.scala 27:72] + wire _T_4434 = btb_rd_addr_p1_f == 8'h89; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4809 = _T_4434 ? btb_bank0_rd_data_way0_out_137 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5064 = _T_5063 | _T_4809; // @[Mux.scala 27:72] + wire _T_4436 = btb_rd_addr_p1_f == 8'h8a; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4810 = _T_4436 ? btb_bank0_rd_data_way0_out_138 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5065 = _T_5064 | _T_4810; // @[Mux.scala 27:72] + wire _T_4438 = btb_rd_addr_p1_f == 8'h8b; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4811 = _T_4438 ? btb_bank0_rd_data_way0_out_139 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5066 = _T_5065 | _T_4811; // @[Mux.scala 27:72] + wire _T_4440 = btb_rd_addr_p1_f == 8'h8c; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4812 = _T_4440 ? btb_bank0_rd_data_way0_out_140 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5067 = _T_5066 | _T_4812; // @[Mux.scala 27:72] + wire _T_4442 = btb_rd_addr_p1_f == 8'h8d; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4813 = _T_4442 ? btb_bank0_rd_data_way0_out_141 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5068 = _T_5067 | _T_4813; // @[Mux.scala 27:72] + wire _T_4444 = btb_rd_addr_p1_f == 8'h8e; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4814 = _T_4444 ? btb_bank0_rd_data_way0_out_142 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5069 = _T_5068 | _T_4814; // @[Mux.scala 27:72] + wire _T_4446 = btb_rd_addr_p1_f == 8'h8f; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4815 = _T_4446 ? btb_bank0_rd_data_way0_out_143 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5070 = _T_5069 | _T_4815; // @[Mux.scala 27:72] + wire _T_4448 = btb_rd_addr_p1_f == 8'h90; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4816 = _T_4448 ? btb_bank0_rd_data_way0_out_144 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5071 = _T_5070 | _T_4816; // @[Mux.scala 27:72] + wire _T_4450 = btb_rd_addr_p1_f == 8'h91; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4817 = _T_4450 ? btb_bank0_rd_data_way0_out_145 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5072 = _T_5071 | _T_4817; // @[Mux.scala 27:72] + wire _T_4452 = btb_rd_addr_p1_f == 8'h92; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4818 = _T_4452 ? btb_bank0_rd_data_way0_out_146 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5073 = _T_5072 | _T_4818; // @[Mux.scala 27:72] + wire _T_4454 = btb_rd_addr_p1_f == 8'h93; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4819 = _T_4454 ? btb_bank0_rd_data_way0_out_147 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5074 = _T_5073 | _T_4819; // @[Mux.scala 27:72] + wire _T_4456 = btb_rd_addr_p1_f == 8'h94; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4820 = _T_4456 ? btb_bank0_rd_data_way0_out_148 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5075 = _T_5074 | _T_4820; // @[Mux.scala 27:72] + wire _T_4458 = btb_rd_addr_p1_f == 8'h95; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4821 = _T_4458 ? btb_bank0_rd_data_way0_out_149 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5076 = _T_5075 | _T_4821; // @[Mux.scala 27:72] + wire _T_4460 = btb_rd_addr_p1_f == 8'h96; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4822 = _T_4460 ? btb_bank0_rd_data_way0_out_150 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5077 = _T_5076 | _T_4822; // @[Mux.scala 27:72] + wire _T_4462 = btb_rd_addr_p1_f == 8'h97; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4823 = _T_4462 ? btb_bank0_rd_data_way0_out_151 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5078 = _T_5077 | _T_4823; // @[Mux.scala 27:72] + wire _T_4464 = btb_rd_addr_p1_f == 8'h98; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4824 = _T_4464 ? btb_bank0_rd_data_way0_out_152 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5079 = _T_5078 | _T_4824; // @[Mux.scala 27:72] + wire _T_4466 = btb_rd_addr_p1_f == 8'h99; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4825 = _T_4466 ? btb_bank0_rd_data_way0_out_153 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5080 = _T_5079 | _T_4825; // @[Mux.scala 27:72] + wire _T_4468 = btb_rd_addr_p1_f == 8'h9a; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4826 = _T_4468 ? btb_bank0_rd_data_way0_out_154 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5081 = _T_5080 | _T_4826; // @[Mux.scala 27:72] + wire _T_4470 = btb_rd_addr_p1_f == 8'h9b; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4827 = _T_4470 ? btb_bank0_rd_data_way0_out_155 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5082 = _T_5081 | _T_4827; // @[Mux.scala 27:72] + wire _T_4472 = btb_rd_addr_p1_f == 8'h9c; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4828 = _T_4472 ? btb_bank0_rd_data_way0_out_156 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5083 = _T_5082 | _T_4828; // @[Mux.scala 27:72] + wire _T_4474 = btb_rd_addr_p1_f == 8'h9d; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4829 = _T_4474 ? btb_bank0_rd_data_way0_out_157 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5084 = _T_5083 | _T_4829; // @[Mux.scala 27:72] + wire _T_4476 = btb_rd_addr_p1_f == 8'h9e; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4830 = _T_4476 ? btb_bank0_rd_data_way0_out_158 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5085 = _T_5084 | _T_4830; // @[Mux.scala 27:72] + wire _T_4478 = btb_rd_addr_p1_f == 8'h9f; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4831 = _T_4478 ? btb_bank0_rd_data_way0_out_159 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5086 = _T_5085 | _T_4831; // @[Mux.scala 27:72] + wire _T_4480 = btb_rd_addr_p1_f == 8'ha0; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4832 = _T_4480 ? btb_bank0_rd_data_way0_out_160 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5087 = _T_5086 | _T_4832; // @[Mux.scala 27:72] + wire _T_4482 = btb_rd_addr_p1_f == 8'ha1; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4833 = _T_4482 ? btb_bank0_rd_data_way0_out_161 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5088 = _T_5087 | _T_4833; // @[Mux.scala 27:72] + wire _T_4484 = btb_rd_addr_p1_f == 8'ha2; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4834 = _T_4484 ? btb_bank0_rd_data_way0_out_162 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5089 = _T_5088 | _T_4834; // @[Mux.scala 27:72] + wire _T_4486 = btb_rd_addr_p1_f == 8'ha3; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4835 = _T_4486 ? btb_bank0_rd_data_way0_out_163 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5090 = _T_5089 | _T_4835; // @[Mux.scala 27:72] + wire _T_4488 = btb_rd_addr_p1_f == 8'ha4; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4836 = _T_4488 ? btb_bank0_rd_data_way0_out_164 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5091 = _T_5090 | _T_4836; // @[Mux.scala 27:72] + wire _T_4490 = btb_rd_addr_p1_f == 8'ha5; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4837 = _T_4490 ? btb_bank0_rd_data_way0_out_165 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5092 = _T_5091 | _T_4837; // @[Mux.scala 27:72] + wire _T_4492 = btb_rd_addr_p1_f == 8'ha6; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4838 = _T_4492 ? btb_bank0_rd_data_way0_out_166 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5093 = _T_5092 | _T_4838; // @[Mux.scala 27:72] + wire _T_4494 = btb_rd_addr_p1_f == 8'ha7; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4839 = _T_4494 ? btb_bank0_rd_data_way0_out_167 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5094 = _T_5093 | _T_4839; // @[Mux.scala 27:72] + wire _T_4496 = btb_rd_addr_p1_f == 8'ha8; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4840 = _T_4496 ? btb_bank0_rd_data_way0_out_168 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5095 = _T_5094 | _T_4840; // @[Mux.scala 27:72] + wire _T_4498 = btb_rd_addr_p1_f == 8'ha9; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4841 = _T_4498 ? btb_bank0_rd_data_way0_out_169 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5096 = _T_5095 | _T_4841; // @[Mux.scala 27:72] + wire _T_4500 = btb_rd_addr_p1_f == 8'haa; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4842 = _T_4500 ? btb_bank0_rd_data_way0_out_170 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5097 = _T_5096 | _T_4842; // @[Mux.scala 27:72] + wire _T_4502 = btb_rd_addr_p1_f == 8'hab; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4843 = _T_4502 ? btb_bank0_rd_data_way0_out_171 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5098 = _T_5097 | _T_4843; // @[Mux.scala 27:72] + wire _T_4504 = btb_rd_addr_p1_f == 8'hac; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4844 = _T_4504 ? btb_bank0_rd_data_way0_out_172 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5099 = _T_5098 | _T_4844; // @[Mux.scala 27:72] + wire _T_4506 = btb_rd_addr_p1_f == 8'had; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4845 = _T_4506 ? btb_bank0_rd_data_way0_out_173 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5100 = _T_5099 | _T_4845; // @[Mux.scala 27:72] + wire _T_4508 = btb_rd_addr_p1_f == 8'hae; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4846 = _T_4508 ? btb_bank0_rd_data_way0_out_174 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5101 = _T_5100 | _T_4846; // @[Mux.scala 27:72] + wire _T_4510 = btb_rd_addr_p1_f == 8'haf; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4847 = _T_4510 ? btb_bank0_rd_data_way0_out_175 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5102 = _T_5101 | _T_4847; // @[Mux.scala 27:72] + wire _T_4512 = btb_rd_addr_p1_f == 8'hb0; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4848 = _T_4512 ? btb_bank0_rd_data_way0_out_176 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5103 = _T_5102 | _T_4848; // @[Mux.scala 27:72] + wire _T_4514 = btb_rd_addr_p1_f == 8'hb1; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4849 = _T_4514 ? btb_bank0_rd_data_way0_out_177 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5104 = _T_5103 | _T_4849; // @[Mux.scala 27:72] + wire _T_4516 = btb_rd_addr_p1_f == 8'hb2; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4850 = _T_4516 ? btb_bank0_rd_data_way0_out_178 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5105 = _T_5104 | _T_4850; // @[Mux.scala 27:72] + wire _T_4518 = btb_rd_addr_p1_f == 8'hb3; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4851 = _T_4518 ? btb_bank0_rd_data_way0_out_179 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5106 = _T_5105 | _T_4851; // @[Mux.scala 27:72] + wire _T_4520 = btb_rd_addr_p1_f == 8'hb4; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4852 = _T_4520 ? btb_bank0_rd_data_way0_out_180 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5107 = _T_5106 | _T_4852; // @[Mux.scala 27:72] + wire _T_4522 = btb_rd_addr_p1_f == 8'hb5; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4853 = _T_4522 ? btb_bank0_rd_data_way0_out_181 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5108 = _T_5107 | _T_4853; // @[Mux.scala 27:72] + wire _T_4524 = btb_rd_addr_p1_f == 8'hb6; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4854 = _T_4524 ? btb_bank0_rd_data_way0_out_182 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5109 = _T_5108 | _T_4854; // @[Mux.scala 27:72] + wire _T_4526 = btb_rd_addr_p1_f == 8'hb7; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4855 = _T_4526 ? btb_bank0_rd_data_way0_out_183 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5110 = _T_5109 | _T_4855; // @[Mux.scala 27:72] + wire _T_4528 = btb_rd_addr_p1_f == 8'hb8; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4856 = _T_4528 ? btb_bank0_rd_data_way0_out_184 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5111 = _T_5110 | _T_4856; // @[Mux.scala 27:72] + wire _T_4530 = btb_rd_addr_p1_f == 8'hb9; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4857 = _T_4530 ? btb_bank0_rd_data_way0_out_185 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5112 = _T_5111 | _T_4857; // @[Mux.scala 27:72] + wire _T_4532 = btb_rd_addr_p1_f == 8'hba; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4858 = _T_4532 ? btb_bank0_rd_data_way0_out_186 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5113 = _T_5112 | _T_4858; // @[Mux.scala 27:72] + wire _T_4534 = btb_rd_addr_p1_f == 8'hbb; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4859 = _T_4534 ? btb_bank0_rd_data_way0_out_187 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5114 = _T_5113 | _T_4859; // @[Mux.scala 27:72] + wire _T_4536 = btb_rd_addr_p1_f == 8'hbc; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4860 = _T_4536 ? btb_bank0_rd_data_way0_out_188 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5115 = _T_5114 | _T_4860; // @[Mux.scala 27:72] + wire _T_4538 = btb_rd_addr_p1_f == 8'hbd; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4861 = _T_4538 ? btb_bank0_rd_data_way0_out_189 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5116 = _T_5115 | _T_4861; // @[Mux.scala 27:72] + wire _T_4540 = btb_rd_addr_p1_f == 8'hbe; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4862 = _T_4540 ? btb_bank0_rd_data_way0_out_190 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5117 = _T_5116 | _T_4862; // @[Mux.scala 27:72] + wire _T_4542 = btb_rd_addr_p1_f == 8'hbf; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4863 = _T_4542 ? btb_bank0_rd_data_way0_out_191 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5118 = _T_5117 | _T_4863; // @[Mux.scala 27:72] + wire _T_4544 = btb_rd_addr_p1_f == 8'hc0; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4864 = _T_4544 ? btb_bank0_rd_data_way0_out_192 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5119 = _T_5118 | _T_4864; // @[Mux.scala 27:72] + wire _T_4546 = btb_rd_addr_p1_f == 8'hc1; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4865 = _T_4546 ? btb_bank0_rd_data_way0_out_193 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5120 = _T_5119 | _T_4865; // @[Mux.scala 27:72] + wire _T_4548 = btb_rd_addr_p1_f == 8'hc2; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4866 = _T_4548 ? btb_bank0_rd_data_way0_out_194 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5121 = _T_5120 | _T_4866; // @[Mux.scala 27:72] + wire _T_4550 = btb_rd_addr_p1_f == 8'hc3; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4867 = _T_4550 ? btb_bank0_rd_data_way0_out_195 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5122 = _T_5121 | _T_4867; // @[Mux.scala 27:72] + wire _T_4552 = btb_rd_addr_p1_f == 8'hc4; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4868 = _T_4552 ? btb_bank0_rd_data_way0_out_196 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5123 = _T_5122 | _T_4868; // @[Mux.scala 27:72] + wire _T_4554 = btb_rd_addr_p1_f == 8'hc5; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4869 = _T_4554 ? btb_bank0_rd_data_way0_out_197 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5124 = _T_5123 | _T_4869; // @[Mux.scala 27:72] + wire _T_4556 = btb_rd_addr_p1_f == 8'hc6; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4870 = _T_4556 ? btb_bank0_rd_data_way0_out_198 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5125 = _T_5124 | _T_4870; // @[Mux.scala 27:72] + wire _T_4558 = btb_rd_addr_p1_f == 8'hc7; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4871 = _T_4558 ? btb_bank0_rd_data_way0_out_199 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5126 = _T_5125 | _T_4871; // @[Mux.scala 27:72] + wire _T_4560 = btb_rd_addr_p1_f == 8'hc8; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4872 = _T_4560 ? btb_bank0_rd_data_way0_out_200 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5127 = _T_5126 | _T_4872; // @[Mux.scala 27:72] + wire _T_4562 = btb_rd_addr_p1_f == 8'hc9; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4873 = _T_4562 ? btb_bank0_rd_data_way0_out_201 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5128 = _T_5127 | _T_4873; // @[Mux.scala 27:72] + wire _T_4564 = btb_rd_addr_p1_f == 8'hca; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4874 = _T_4564 ? btb_bank0_rd_data_way0_out_202 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5129 = _T_5128 | _T_4874; // @[Mux.scala 27:72] + wire _T_4566 = btb_rd_addr_p1_f == 8'hcb; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4875 = _T_4566 ? btb_bank0_rd_data_way0_out_203 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5130 = _T_5129 | _T_4875; // @[Mux.scala 27:72] + wire _T_4568 = btb_rd_addr_p1_f == 8'hcc; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4876 = _T_4568 ? btb_bank0_rd_data_way0_out_204 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5131 = _T_5130 | _T_4876; // @[Mux.scala 27:72] + wire _T_4570 = btb_rd_addr_p1_f == 8'hcd; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4877 = _T_4570 ? btb_bank0_rd_data_way0_out_205 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5132 = _T_5131 | _T_4877; // @[Mux.scala 27:72] + wire _T_4572 = btb_rd_addr_p1_f == 8'hce; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4878 = _T_4572 ? btb_bank0_rd_data_way0_out_206 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5133 = _T_5132 | _T_4878; // @[Mux.scala 27:72] + wire _T_4574 = btb_rd_addr_p1_f == 8'hcf; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4879 = _T_4574 ? btb_bank0_rd_data_way0_out_207 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5134 = _T_5133 | _T_4879; // @[Mux.scala 27:72] + wire _T_4576 = btb_rd_addr_p1_f == 8'hd0; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4880 = _T_4576 ? btb_bank0_rd_data_way0_out_208 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5135 = _T_5134 | _T_4880; // @[Mux.scala 27:72] + wire _T_4578 = btb_rd_addr_p1_f == 8'hd1; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4881 = _T_4578 ? btb_bank0_rd_data_way0_out_209 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5136 = _T_5135 | _T_4881; // @[Mux.scala 27:72] + wire _T_4580 = btb_rd_addr_p1_f == 8'hd2; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4882 = _T_4580 ? btb_bank0_rd_data_way0_out_210 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5137 = _T_5136 | _T_4882; // @[Mux.scala 27:72] + wire _T_4582 = btb_rd_addr_p1_f == 8'hd3; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4883 = _T_4582 ? btb_bank0_rd_data_way0_out_211 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5138 = _T_5137 | _T_4883; // @[Mux.scala 27:72] + wire _T_4584 = btb_rd_addr_p1_f == 8'hd4; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4884 = _T_4584 ? btb_bank0_rd_data_way0_out_212 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5139 = _T_5138 | _T_4884; // @[Mux.scala 27:72] + wire _T_4586 = btb_rd_addr_p1_f == 8'hd5; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4885 = _T_4586 ? btb_bank0_rd_data_way0_out_213 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5140 = _T_5139 | _T_4885; // @[Mux.scala 27:72] + wire _T_4588 = btb_rd_addr_p1_f == 8'hd6; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4886 = _T_4588 ? btb_bank0_rd_data_way0_out_214 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5141 = _T_5140 | _T_4886; // @[Mux.scala 27:72] + wire _T_4590 = btb_rd_addr_p1_f == 8'hd7; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4887 = _T_4590 ? btb_bank0_rd_data_way0_out_215 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5142 = _T_5141 | _T_4887; // @[Mux.scala 27:72] + wire _T_4592 = btb_rd_addr_p1_f == 8'hd8; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4888 = _T_4592 ? btb_bank0_rd_data_way0_out_216 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5143 = _T_5142 | _T_4888; // @[Mux.scala 27:72] + wire _T_4594 = btb_rd_addr_p1_f == 8'hd9; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4889 = _T_4594 ? btb_bank0_rd_data_way0_out_217 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5144 = _T_5143 | _T_4889; // @[Mux.scala 27:72] + wire _T_4596 = btb_rd_addr_p1_f == 8'hda; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4890 = _T_4596 ? btb_bank0_rd_data_way0_out_218 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5145 = _T_5144 | _T_4890; // @[Mux.scala 27:72] + wire _T_4598 = btb_rd_addr_p1_f == 8'hdb; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4891 = _T_4598 ? btb_bank0_rd_data_way0_out_219 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5146 = _T_5145 | _T_4891; // @[Mux.scala 27:72] + wire _T_4600 = btb_rd_addr_p1_f == 8'hdc; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4892 = _T_4600 ? btb_bank0_rd_data_way0_out_220 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5147 = _T_5146 | _T_4892; // @[Mux.scala 27:72] + wire _T_4602 = btb_rd_addr_p1_f == 8'hdd; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4893 = _T_4602 ? btb_bank0_rd_data_way0_out_221 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5148 = _T_5147 | _T_4893; // @[Mux.scala 27:72] + wire _T_4604 = btb_rd_addr_p1_f == 8'hde; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4894 = _T_4604 ? btb_bank0_rd_data_way0_out_222 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5149 = _T_5148 | _T_4894; // @[Mux.scala 27:72] + wire _T_4606 = btb_rd_addr_p1_f == 8'hdf; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4895 = _T_4606 ? btb_bank0_rd_data_way0_out_223 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5150 = _T_5149 | _T_4895; // @[Mux.scala 27:72] + wire _T_4608 = btb_rd_addr_p1_f == 8'he0; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4896 = _T_4608 ? btb_bank0_rd_data_way0_out_224 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5151 = _T_5150 | _T_4896; // @[Mux.scala 27:72] + wire _T_4610 = btb_rd_addr_p1_f == 8'he1; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4897 = _T_4610 ? btb_bank0_rd_data_way0_out_225 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5152 = _T_5151 | _T_4897; // @[Mux.scala 27:72] + wire _T_4612 = btb_rd_addr_p1_f == 8'he2; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4898 = _T_4612 ? btb_bank0_rd_data_way0_out_226 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5153 = _T_5152 | _T_4898; // @[Mux.scala 27:72] + wire _T_4614 = btb_rd_addr_p1_f == 8'he3; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4899 = _T_4614 ? btb_bank0_rd_data_way0_out_227 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5154 = _T_5153 | _T_4899; // @[Mux.scala 27:72] + wire _T_4616 = btb_rd_addr_p1_f == 8'he4; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4900 = _T_4616 ? btb_bank0_rd_data_way0_out_228 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5155 = _T_5154 | _T_4900; // @[Mux.scala 27:72] + wire _T_4618 = btb_rd_addr_p1_f == 8'he5; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4901 = _T_4618 ? btb_bank0_rd_data_way0_out_229 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5156 = _T_5155 | _T_4901; // @[Mux.scala 27:72] + wire _T_4620 = btb_rd_addr_p1_f == 8'he6; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4902 = _T_4620 ? btb_bank0_rd_data_way0_out_230 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5157 = _T_5156 | _T_4902; // @[Mux.scala 27:72] + wire _T_4622 = btb_rd_addr_p1_f == 8'he7; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4903 = _T_4622 ? btb_bank0_rd_data_way0_out_231 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5158 = _T_5157 | _T_4903; // @[Mux.scala 27:72] + wire _T_4624 = btb_rd_addr_p1_f == 8'he8; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4904 = _T_4624 ? btb_bank0_rd_data_way0_out_232 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5159 = _T_5158 | _T_4904; // @[Mux.scala 27:72] + wire _T_4626 = btb_rd_addr_p1_f == 8'he9; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4905 = _T_4626 ? btb_bank0_rd_data_way0_out_233 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5160 = _T_5159 | _T_4905; // @[Mux.scala 27:72] + wire _T_4628 = btb_rd_addr_p1_f == 8'hea; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4906 = _T_4628 ? btb_bank0_rd_data_way0_out_234 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5161 = _T_5160 | _T_4906; // @[Mux.scala 27:72] + wire _T_4630 = btb_rd_addr_p1_f == 8'heb; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4907 = _T_4630 ? btb_bank0_rd_data_way0_out_235 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5162 = _T_5161 | _T_4907; // @[Mux.scala 27:72] + wire _T_4632 = btb_rd_addr_p1_f == 8'hec; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4908 = _T_4632 ? btb_bank0_rd_data_way0_out_236 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5163 = _T_5162 | _T_4908; // @[Mux.scala 27:72] + wire _T_4634 = btb_rd_addr_p1_f == 8'hed; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4909 = _T_4634 ? btb_bank0_rd_data_way0_out_237 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5164 = _T_5163 | _T_4909; // @[Mux.scala 27:72] + wire _T_4636 = btb_rd_addr_p1_f == 8'hee; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4910 = _T_4636 ? btb_bank0_rd_data_way0_out_238 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5165 = _T_5164 | _T_4910; // @[Mux.scala 27:72] + wire _T_4638 = btb_rd_addr_p1_f == 8'hef; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4911 = _T_4638 ? btb_bank0_rd_data_way0_out_239 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5166 = _T_5165 | _T_4911; // @[Mux.scala 27:72] + wire _T_4640 = btb_rd_addr_p1_f == 8'hf0; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4912 = _T_4640 ? btb_bank0_rd_data_way0_out_240 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5167 = _T_5166 | _T_4912; // @[Mux.scala 27:72] + wire _T_4642 = btb_rd_addr_p1_f == 8'hf1; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4913 = _T_4642 ? btb_bank0_rd_data_way0_out_241 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5168 = _T_5167 | _T_4913; // @[Mux.scala 27:72] + wire _T_4644 = btb_rd_addr_p1_f == 8'hf2; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4914 = _T_4644 ? btb_bank0_rd_data_way0_out_242 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5169 = _T_5168 | _T_4914; // @[Mux.scala 27:72] + wire _T_4646 = btb_rd_addr_p1_f == 8'hf3; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4915 = _T_4646 ? btb_bank0_rd_data_way0_out_243 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5170 = _T_5169 | _T_4915; // @[Mux.scala 27:72] + wire _T_4648 = btb_rd_addr_p1_f == 8'hf4; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4916 = _T_4648 ? btb_bank0_rd_data_way0_out_244 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5171 = _T_5170 | _T_4916; // @[Mux.scala 27:72] + wire _T_4650 = btb_rd_addr_p1_f == 8'hf5; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4917 = _T_4650 ? btb_bank0_rd_data_way0_out_245 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5172 = _T_5171 | _T_4917; // @[Mux.scala 27:72] + wire _T_4652 = btb_rd_addr_p1_f == 8'hf6; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4918 = _T_4652 ? btb_bank0_rd_data_way0_out_246 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5173 = _T_5172 | _T_4918; // @[Mux.scala 27:72] + wire _T_4654 = btb_rd_addr_p1_f == 8'hf7; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4919 = _T_4654 ? btb_bank0_rd_data_way0_out_247 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5174 = _T_5173 | _T_4919; // @[Mux.scala 27:72] + wire _T_4656 = btb_rd_addr_p1_f == 8'hf8; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4920 = _T_4656 ? btb_bank0_rd_data_way0_out_248 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5175 = _T_5174 | _T_4920; // @[Mux.scala 27:72] + wire _T_4658 = btb_rd_addr_p1_f == 8'hf9; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4921 = _T_4658 ? btb_bank0_rd_data_way0_out_249 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5176 = _T_5175 | _T_4921; // @[Mux.scala 27:72] + wire _T_4660 = btb_rd_addr_p1_f == 8'hfa; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4922 = _T_4660 ? btb_bank0_rd_data_way0_out_250 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5177 = _T_5176 | _T_4922; // @[Mux.scala 27:72] + wire _T_4662 = btb_rd_addr_p1_f == 8'hfb; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4923 = _T_4662 ? btb_bank0_rd_data_way0_out_251 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5178 = _T_5177 | _T_4923; // @[Mux.scala 27:72] + wire _T_4664 = btb_rd_addr_p1_f == 8'hfc; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4924 = _T_4664 ? btb_bank0_rd_data_way0_out_252 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5179 = _T_5178 | _T_4924; // @[Mux.scala 27:72] + wire _T_4666 = btb_rd_addr_p1_f == 8'hfd; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4925 = _T_4666 ? btb_bank0_rd_data_way0_out_253 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5180 = _T_5179 | _T_4925; // @[Mux.scala 27:72] + wire _T_4668 = btb_rd_addr_p1_f == 8'hfe; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4926 = _T_4668 ? btb_bank0_rd_data_way0_out_254 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5181 = _T_5180 | _T_4926; // @[Mux.scala 27:72] + wire _T_4670 = btb_rd_addr_p1_f == 8'hff; // @[ifu_bp_ctl.scala 422:83] + wire [21:0] _T_4927 = _T_4670 ? btb_bank0_rd_data_way0_out_255 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] btb_bank0_rd_data_way0_p1_f = _T_5181 | _T_4927; // @[Mux.scala 27:72] + wire [4:0] _T_31 = _T_8[13:9] ^ _T_8[18:14]; // @[lib.scala 42:111] + wire [4:0] fetch_rd_tag_p1_f = _T_31 ^ _T_8[23:19]; // @[lib.scala 42:111] + wire _T_64 = btb_bank0_rd_data_way0_p1_f[21:17] == fetch_rd_tag_p1_f; // @[ifu_bp_ctl.scala 135:106] + wire _T_65 = btb_bank0_rd_data_way0_p1_f[0] & _T_64; // @[ifu_bp_ctl.scala 135:61] + wire _T_20 = io_exu_bp_exu_i0_br_index_r == btb_rd_addr_p1_f; // @[ifu_bp_ctl.scala 103:75] + wire branch_error_collision_p1_f = dec_tlu_error_wb & _T_20; // @[ifu_bp_ctl.scala 103:54] + wire branch_error_bank_conflict_p1_f = branch_error_collision_p1_f & dec_tlu_error_wb; // @[ifu_bp_ctl.scala 107:69] + wire _T_66 = dec_tlu_way_wb_f & branch_error_bank_conflict_p1_f; // @[ifu_bp_ctl.scala 136:24] + wire _T_67 = ~_T_66; // @[ifu_bp_ctl.scala 136:5] + wire _T_68 = _T_65 & _T_67; // @[ifu_bp_ctl.scala 135:129] + wire _T_69 = _T_68 & io_ifc_fetch_req_f; // @[ifu_bp_ctl.scala 136:59] + wire tag_match_way0_p1_f = _T_69 & _T; // @[ifu_bp_ctl.scala 136:80] + wire _T_100 = btb_bank0_rd_data_way0_p1_f[3] ^ btb_bank0_rd_data_way0_p1_f[4]; // @[ifu_bp_ctl.scala 148:100] + wire _T_101 = tag_match_way0_p1_f & _T_100; // @[ifu_bp_ctl.scala 148:62] + wire _T_105 = ~_T_100; // @[ifu_bp_ctl.scala 149:64] + wire _T_106 = tag_match_way0_p1_f & _T_105; // @[ifu_bp_ctl.scala 149:62] + wire [1:0] tag_match_way0_expanded_p1_f = {_T_101,_T_106}; // @[Cat.scala 29:58] + wire [21:0] _T_134 = tag_match_way0_expanded_p1_f[0] ? btb_bank0_rd_data_way0_p1_f : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5696 = _T_4160 ? btb_bank0_rd_data_way1_out_0 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5697 = _T_4162 ? btb_bank0_rd_data_way1_out_1 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5952 = _T_5696 | _T_5697; // @[Mux.scala 27:72] + wire [21:0] _T_5698 = _T_4164 ? btb_bank0_rd_data_way1_out_2 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5953 = _T_5952 | _T_5698; // @[Mux.scala 27:72] + wire [21:0] _T_5699 = _T_4166 ? btb_bank0_rd_data_way1_out_3 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5954 = _T_5953 | _T_5699; // @[Mux.scala 27:72] + wire [21:0] _T_5700 = _T_4168 ? btb_bank0_rd_data_way1_out_4 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5955 = _T_5954 | _T_5700; // @[Mux.scala 27:72] + wire [21:0] _T_5701 = _T_4170 ? btb_bank0_rd_data_way1_out_5 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5956 = _T_5955 | _T_5701; // @[Mux.scala 27:72] + wire [21:0] _T_5702 = _T_4172 ? btb_bank0_rd_data_way1_out_6 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5957 = _T_5956 | _T_5702; // @[Mux.scala 27:72] + wire [21:0] _T_5703 = _T_4174 ? btb_bank0_rd_data_way1_out_7 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5958 = _T_5957 | _T_5703; // @[Mux.scala 27:72] + wire [21:0] _T_5704 = _T_4176 ? btb_bank0_rd_data_way1_out_8 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5959 = _T_5958 | _T_5704; // @[Mux.scala 27:72] + wire [21:0] _T_5705 = _T_4178 ? btb_bank0_rd_data_way1_out_9 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5960 = _T_5959 | _T_5705; // @[Mux.scala 27:72] + wire [21:0] _T_5706 = _T_4180 ? btb_bank0_rd_data_way1_out_10 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5961 = _T_5960 | _T_5706; // @[Mux.scala 27:72] + wire [21:0] _T_5707 = _T_4182 ? btb_bank0_rd_data_way1_out_11 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5962 = _T_5961 | _T_5707; // @[Mux.scala 27:72] + wire [21:0] _T_5708 = _T_4184 ? btb_bank0_rd_data_way1_out_12 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5963 = _T_5962 | _T_5708; // @[Mux.scala 27:72] + wire [21:0] _T_5709 = _T_4186 ? btb_bank0_rd_data_way1_out_13 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5964 = _T_5963 | _T_5709; // @[Mux.scala 27:72] + wire [21:0] _T_5710 = _T_4188 ? btb_bank0_rd_data_way1_out_14 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5965 = _T_5964 | _T_5710; // @[Mux.scala 27:72] + wire [21:0] _T_5711 = _T_4190 ? btb_bank0_rd_data_way1_out_15 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5966 = _T_5965 | _T_5711; // @[Mux.scala 27:72] + wire [21:0] _T_5712 = _T_4192 ? btb_bank0_rd_data_way1_out_16 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5967 = _T_5966 | _T_5712; // @[Mux.scala 27:72] + wire [21:0] _T_5713 = _T_4194 ? btb_bank0_rd_data_way1_out_17 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5968 = _T_5967 | _T_5713; // @[Mux.scala 27:72] + wire [21:0] _T_5714 = _T_4196 ? btb_bank0_rd_data_way1_out_18 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5969 = _T_5968 | _T_5714; // @[Mux.scala 27:72] + wire [21:0] _T_5715 = _T_4198 ? btb_bank0_rd_data_way1_out_19 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5970 = _T_5969 | _T_5715; // @[Mux.scala 27:72] + wire [21:0] _T_5716 = _T_4200 ? btb_bank0_rd_data_way1_out_20 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5971 = _T_5970 | _T_5716; // @[Mux.scala 27:72] + wire [21:0] _T_5717 = _T_4202 ? btb_bank0_rd_data_way1_out_21 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5972 = _T_5971 | _T_5717; // @[Mux.scala 27:72] + wire [21:0] _T_5718 = _T_4204 ? btb_bank0_rd_data_way1_out_22 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5973 = _T_5972 | _T_5718; // @[Mux.scala 27:72] + wire [21:0] _T_5719 = _T_4206 ? btb_bank0_rd_data_way1_out_23 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5974 = _T_5973 | _T_5719; // @[Mux.scala 27:72] + wire [21:0] _T_5720 = _T_4208 ? btb_bank0_rd_data_way1_out_24 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5975 = _T_5974 | _T_5720; // @[Mux.scala 27:72] + wire [21:0] _T_5721 = _T_4210 ? btb_bank0_rd_data_way1_out_25 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5976 = _T_5975 | _T_5721; // @[Mux.scala 27:72] + wire [21:0] _T_5722 = _T_4212 ? btb_bank0_rd_data_way1_out_26 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5977 = _T_5976 | _T_5722; // @[Mux.scala 27:72] + wire [21:0] _T_5723 = _T_4214 ? btb_bank0_rd_data_way1_out_27 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5978 = _T_5977 | _T_5723; // @[Mux.scala 27:72] + wire [21:0] _T_5724 = _T_4216 ? btb_bank0_rd_data_way1_out_28 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5979 = _T_5978 | _T_5724; // @[Mux.scala 27:72] + wire [21:0] _T_5725 = _T_4218 ? btb_bank0_rd_data_way1_out_29 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5980 = _T_5979 | _T_5725; // @[Mux.scala 27:72] + wire [21:0] _T_5726 = _T_4220 ? btb_bank0_rd_data_way1_out_30 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5981 = _T_5980 | _T_5726; // @[Mux.scala 27:72] + wire [21:0] _T_5727 = _T_4222 ? btb_bank0_rd_data_way1_out_31 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5982 = _T_5981 | _T_5727; // @[Mux.scala 27:72] + wire [21:0] _T_5728 = _T_4224 ? btb_bank0_rd_data_way1_out_32 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5983 = _T_5982 | _T_5728; // @[Mux.scala 27:72] + wire [21:0] _T_5729 = _T_4226 ? btb_bank0_rd_data_way1_out_33 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5984 = _T_5983 | _T_5729; // @[Mux.scala 27:72] + wire [21:0] _T_5730 = _T_4228 ? btb_bank0_rd_data_way1_out_34 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5985 = _T_5984 | _T_5730; // @[Mux.scala 27:72] + wire [21:0] _T_5731 = _T_4230 ? btb_bank0_rd_data_way1_out_35 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5986 = _T_5985 | _T_5731; // @[Mux.scala 27:72] + wire [21:0] _T_5732 = _T_4232 ? btb_bank0_rd_data_way1_out_36 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5987 = _T_5986 | _T_5732; // @[Mux.scala 27:72] + wire [21:0] _T_5733 = _T_4234 ? btb_bank0_rd_data_way1_out_37 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5988 = _T_5987 | _T_5733; // @[Mux.scala 27:72] + wire [21:0] _T_5734 = _T_4236 ? btb_bank0_rd_data_way1_out_38 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5989 = _T_5988 | _T_5734; // @[Mux.scala 27:72] + wire [21:0] _T_5735 = _T_4238 ? btb_bank0_rd_data_way1_out_39 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5990 = _T_5989 | _T_5735; // @[Mux.scala 27:72] + wire [21:0] _T_5736 = _T_4240 ? btb_bank0_rd_data_way1_out_40 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5991 = _T_5990 | _T_5736; // @[Mux.scala 27:72] + wire [21:0] _T_5737 = _T_4242 ? btb_bank0_rd_data_way1_out_41 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5992 = _T_5991 | _T_5737; // @[Mux.scala 27:72] + wire [21:0] _T_5738 = _T_4244 ? btb_bank0_rd_data_way1_out_42 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5993 = _T_5992 | _T_5738; // @[Mux.scala 27:72] + wire [21:0] _T_5739 = _T_4246 ? btb_bank0_rd_data_way1_out_43 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5994 = _T_5993 | _T_5739; // @[Mux.scala 27:72] + wire [21:0] _T_5740 = _T_4248 ? btb_bank0_rd_data_way1_out_44 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5995 = _T_5994 | _T_5740; // @[Mux.scala 27:72] + wire [21:0] _T_5741 = _T_4250 ? btb_bank0_rd_data_way1_out_45 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5996 = _T_5995 | _T_5741; // @[Mux.scala 27:72] + wire [21:0] _T_5742 = _T_4252 ? btb_bank0_rd_data_way1_out_46 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5997 = _T_5996 | _T_5742; // @[Mux.scala 27:72] + wire [21:0] _T_5743 = _T_4254 ? btb_bank0_rd_data_way1_out_47 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5998 = _T_5997 | _T_5743; // @[Mux.scala 27:72] + wire [21:0] _T_5744 = _T_4256 ? btb_bank0_rd_data_way1_out_48 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_5999 = _T_5998 | _T_5744; // @[Mux.scala 27:72] + wire [21:0] _T_5745 = _T_4258 ? btb_bank0_rd_data_way1_out_49 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6000 = _T_5999 | _T_5745; // @[Mux.scala 27:72] + wire [21:0] _T_5746 = _T_4260 ? btb_bank0_rd_data_way1_out_50 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6001 = _T_6000 | _T_5746; // @[Mux.scala 27:72] + wire [21:0] _T_5747 = _T_4262 ? btb_bank0_rd_data_way1_out_51 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6002 = _T_6001 | _T_5747; // @[Mux.scala 27:72] + wire [21:0] _T_5748 = _T_4264 ? btb_bank0_rd_data_way1_out_52 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6003 = _T_6002 | _T_5748; // @[Mux.scala 27:72] + wire [21:0] _T_5749 = _T_4266 ? btb_bank0_rd_data_way1_out_53 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6004 = _T_6003 | _T_5749; // @[Mux.scala 27:72] + wire [21:0] _T_5750 = _T_4268 ? btb_bank0_rd_data_way1_out_54 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6005 = _T_6004 | _T_5750; // @[Mux.scala 27:72] + wire [21:0] _T_5751 = _T_4270 ? btb_bank0_rd_data_way1_out_55 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6006 = _T_6005 | _T_5751; // @[Mux.scala 27:72] + wire [21:0] _T_5752 = _T_4272 ? btb_bank0_rd_data_way1_out_56 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6007 = _T_6006 | _T_5752; // @[Mux.scala 27:72] + wire [21:0] _T_5753 = _T_4274 ? btb_bank0_rd_data_way1_out_57 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6008 = _T_6007 | _T_5753; // @[Mux.scala 27:72] + wire [21:0] _T_5754 = _T_4276 ? btb_bank0_rd_data_way1_out_58 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6009 = _T_6008 | _T_5754; // @[Mux.scala 27:72] + wire [21:0] _T_5755 = _T_4278 ? btb_bank0_rd_data_way1_out_59 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6010 = _T_6009 | _T_5755; // @[Mux.scala 27:72] + wire [21:0] _T_5756 = _T_4280 ? btb_bank0_rd_data_way1_out_60 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6011 = _T_6010 | _T_5756; // @[Mux.scala 27:72] + wire [21:0] _T_5757 = _T_4282 ? btb_bank0_rd_data_way1_out_61 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6012 = _T_6011 | _T_5757; // @[Mux.scala 27:72] + wire [21:0] _T_5758 = _T_4284 ? btb_bank0_rd_data_way1_out_62 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6013 = _T_6012 | _T_5758; // @[Mux.scala 27:72] + wire [21:0] _T_5759 = _T_4286 ? btb_bank0_rd_data_way1_out_63 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6014 = _T_6013 | _T_5759; // @[Mux.scala 27:72] + wire [21:0] _T_5760 = _T_4288 ? btb_bank0_rd_data_way1_out_64 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6015 = _T_6014 | _T_5760; // @[Mux.scala 27:72] + wire [21:0] _T_5761 = _T_4290 ? btb_bank0_rd_data_way1_out_65 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6016 = _T_6015 | _T_5761; // @[Mux.scala 27:72] + wire [21:0] _T_5762 = _T_4292 ? btb_bank0_rd_data_way1_out_66 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6017 = _T_6016 | _T_5762; // @[Mux.scala 27:72] + wire [21:0] _T_5763 = _T_4294 ? btb_bank0_rd_data_way1_out_67 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6018 = _T_6017 | _T_5763; // @[Mux.scala 27:72] + wire [21:0] _T_5764 = _T_4296 ? btb_bank0_rd_data_way1_out_68 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6019 = _T_6018 | _T_5764; // @[Mux.scala 27:72] + wire [21:0] _T_5765 = _T_4298 ? btb_bank0_rd_data_way1_out_69 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6020 = _T_6019 | _T_5765; // @[Mux.scala 27:72] + wire [21:0] _T_5766 = _T_4300 ? btb_bank0_rd_data_way1_out_70 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6021 = _T_6020 | _T_5766; // @[Mux.scala 27:72] + wire [21:0] _T_5767 = _T_4302 ? btb_bank0_rd_data_way1_out_71 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6022 = _T_6021 | _T_5767; // @[Mux.scala 27:72] + wire [21:0] _T_5768 = _T_4304 ? btb_bank0_rd_data_way1_out_72 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6023 = _T_6022 | _T_5768; // @[Mux.scala 27:72] + wire [21:0] _T_5769 = _T_4306 ? btb_bank0_rd_data_way1_out_73 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6024 = _T_6023 | _T_5769; // @[Mux.scala 27:72] + wire [21:0] _T_5770 = _T_4308 ? btb_bank0_rd_data_way1_out_74 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6025 = _T_6024 | _T_5770; // @[Mux.scala 27:72] + wire [21:0] _T_5771 = _T_4310 ? btb_bank0_rd_data_way1_out_75 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6026 = _T_6025 | _T_5771; // @[Mux.scala 27:72] + wire [21:0] _T_5772 = _T_4312 ? btb_bank0_rd_data_way1_out_76 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6027 = _T_6026 | _T_5772; // @[Mux.scala 27:72] + wire [21:0] _T_5773 = _T_4314 ? btb_bank0_rd_data_way1_out_77 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6028 = _T_6027 | _T_5773; // @[Mux.scala 27:72] + wire [21:0] _T_5774 = _T_4316 ? btb_bank0_rd_data_way1_out_78 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6029 = _T_6028 | _T_5774; // @[Mux.scala 27:72] + wire [21:0] _T_5775 = _T_4318 ? btb_bank0_rd_data_way1_out_79 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6030 = _T_6029 | _T_5775; // @[Mux.scala 27:72] + wire [21:0] _T_5776 = _T_4320 ? btb_bank0_rd_data_way1_out_80 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6031 = _T_6030 | _T_5776; // @[Mux.scala 27:72] + wire [21:0] _T_5777 = _T_4322 ? btb_bank0_rd_data_way1_out_81 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6032 = _T_6031 | _T_5777; // @[Mux.scala 27:72] + wire [21:0] _T_5778 = _T_4324 ? btb_bank0_rd_data_way1_out_82 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6033 = _T_6032 | _T_5778; // @[Mux.scala 27:72] + wire [21:0] _T_5779 = _T_4326 ? btb_bank0_rd_data_way1_out_83 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6034 = _T_6033 | _T_5779; // @[Mux.scala 27:72] + wire [21:0] _T_5780 = _T_4328 ? btb_bank0_rd_data_way1_out_84 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6035 = _T_6034 | _T_5780; // @[Mux.scala 27:72] + wire [21:0] _T_5781 = _T_4330 ? btb_bank0_rd_data_way1_out_85 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6036 = _T_6035 | _T_5781; // @[Mux.scala 27:72] + wire [21:0] _T_5782 = _T_4332 ? btb_bank0_rd_data_way1_out_86 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6037 = _T_6036 | _T_5782; // @[Mux.scala 27:72] + wire [21:0] _T_5783 = _T_4334 ? btb_bank0_rd_data_way1_out_87 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6038 = _T_6037 | _T_5783; // @[Mux.scala 27:72] + wire [21:0] _T_5784 = _T_4336 ? btb_bank0_rd_data_way1_out_88 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6039 = _T_6038 | _T_5784; // @[Mux.scala 27:72] + wire [21:0] _T_5785 = _T_4338 ? btb_bank0_rd_data_way1_out_89 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6040 = _T_6039 | _T_5785; // @[Mux.scala 27:72] + wire [21:0] _T_5786 = _T_4340 ? btb_bank0_rd_data_way1_out_90 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6041 = _T_6040 | _T_5786; // @[Mux.scala 27:72] + wire [21:0] _T_5787 = _T_4342 ? btb_bank0_rd_data_way1_out_91 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6042 = _T_6041 | _T_5787; // @[Mux.scala 27:72] + wire [21:0] _T_5788 = _T_4344 ? btb_bank0_rd_data_way1_out_92 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6043 = _T_6042 | _T_5788; // @[Mux.scala 27:72] + wire [21:0] _T_5789 = _T_4346 ? btb_bank0_rd_data_way1_out_93 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6044 = _T_6043 | _T_5789; // @[Mux.scala 27:72] + wire [21:0] _T_5790 = _T_4348 ? btb_bank0_rd_data_way1_out_94 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6045 = _T_6044 | _T_5790; // @[Mux.scala 27:72] + wire [21:0] _T_5791 = _T_4350 ? btb_bank0_rd_data_way1_out_95 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6046 = _T_6045 | _T_5791; // @[Mux.scala 27:72] + wire [21:0] _T_5792 = _T_4352 ? btb_bank0_rd_data_way1_out_96 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6047 = _T_6046 | _T_5792; // @[Mux.scala 27:72] + wire [21:0] _T_5793 = _T_4354 ? btb_bank0_rd_data_way1_out_97 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6048 = _T_6047 | _T_5793; // @[Mux.scala 27:72] + wire [21:0] _T_5794 = _T_4356 ? btb_bank0_rd_data_way1_out_98 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6049 = _T_6048 | _T_5794; // @[Mux.scala 27:72] + wire [21:0] _T_5795 = _T_4358 ? btb_bank0_rd_data_way1_out_99 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6050 = _T_6049 | _T_5795; // @[Mux.scala 27:72] + wire [21:0] _T_5796 = _T_4360 ? btb_bank0_rd_data_way1_out_100 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6051 = _T_6050 | _T_5796; // @[Mux.scala 27:72] + wire [21:0] _T_5797 = _T_4362 ? btb_bank0_rd_data_way1_out_101 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6052 = _T_6051 | _T_5797; // @[Mux.scala 27:72] + wire [21:0] _T_5798 = _T_4364 ? btb_bank0_rd_data_way1_out_102 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6053 = _T_6052 | _T_5798; // @[Mux.scala 27:72] + wire [21:0] _T_5799 = _T_4366 ? btb_bank0_rd_data_way1_out_103 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6054 = _T_6053 | _T_5799; // @[Mux.scala 27:72] + wire [21:0] _T_5800 = _T_4368 ? btb_bank0_rd_data_way1_out_104 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6055 = _T_6054 | _T_5800; // @[Mux.scala 27:72] + wire [21:0] _T_5801 = _T_4370 ? btb_bank0_rd_data_way1_out_105 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6056 = _T_6055 | _T_5801; // @[Mux.scala 27:72] + wire [21:0] _T_5802 = _T_4372 ? btb_bank0_rd_data_way1_out_106 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6057 = _T_6056 | _T_5802; // @[Mux.scala 27:72] + wire [21:0] _T_5803 = _T_4374 ? btb_bank0_rd_data_way1_out_107 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6058 = _T_6057 | _T_5803; // @[Mux.scala 27:72] + wire [21:0] _T_5804 = _T_4376 ? btb_bank0_rd_data_way1_out_108 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6059 = _T_6058 | _T_5804; // @[Mux.scala 27:72] + wire [21:0] _T_5805 = _T_4378 ? btb_bank0_rd_data_way1_out_109 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6060 = _T_6059 | _T_5805; // @[Mux.scala 27:72] + wire [21:0] _T_5806 = _T_4380 ? btb_bank0_rd_data_way1_out_110 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6061 = _T_6060 | _T_5806; // @[Mux.scala 27:72] + wire [21:0] _T_5807 = _T_4382 ? btb_bank0_rd_data_way1_out_111 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6062 = _T_6061 | _T_5807; // @[Mux.scala 27:72] + wire [21:0] _T_5808 = _T_4384 ? btb_bank0_rd_data_way1_out_112 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6063 = _T_6062 | _T_5808; // @[Mux.scala 27:72] + wire [21:0] _T_5809 = _T_4386 ? btb_bank0_rd_data_way1_out_113 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6064 = _T_6063 | _T_5809; // @[Mux.scala 27:72] + wire [21:0] _T_5810 = _T_4388 ? btb_bank0_rd_data_way1_out_114 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6065 = _T_6064 | _T_5810; // @[Mux.scala 27:72] + wire [21:0] _T_5811 = _T_4390 ? btb_bank0_rd_data_way1_out_115 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6066 = _T_6065 | _T_5811; // @[Mux.scala 27:72] + wire [21:0] _T_5812 = _T_4392 ? btb_bank0_rd_data_way1_out_116 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6067 = _T_6066 | _T_5812; // @[Mux.scala 27:72] + wire [21:0] _T_5813 = _T_4394 ? btb_bank0_rd_data_way1_out_117 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6068 = _T_6067 | _T_5813; // @[Mux.scala 27:72] + wire [21:0] _T_5814 = _T_4396 ? btb_bank0_rd_data_way1_out_118 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6069 = _T_6068 | _T_5814; // @[Mux.scala 27:72] + wire [21:0] _T_5815 = _T_4398 ? btb_bank0_rd_data_way1_out_119 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6070 = _T_6069 | _T_5815; // @[Mux.scala 27:72] + wire [21:0] _T_5816 = _T_4400 ? btb_bank0_rd_data_way1_out_120 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6071 = _T_6070 | _T_5816; // @[Mux.scala 27:72] + wire [21:0] _T_5817 = _T_4402 ? btb_bank0_rd_data_way1_out_121 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6072 = _T_6071 | _T_5817; // @[Mux.scala 27:72] + wire [21:0] _T_5818 = _T_4404 ? btb_bank0_rd_data_way1_out_122 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6073 = _T_6072 | _T_5818; // @[Mux.scala 27:72] + wire [21:0] _T_5819 = _T_4406 ? btb_bank0_rd_data_way1_out_123 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6074 = _T_6073 | _T_5819; // @[Mux.scala 27:72] + wire [21:0] _T_5820 = _T_4408 ? btb_bank0_rd_data_way1_out_124 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6075 = _T_6074 | _T_5820; // @[Mux.scala 27:72] + wire [21:0] _T_5821 = _T_4410 ? btb_bank0_rd_data_way1_out_125 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6076 = _T_6075 | _T_5821; // @[Mux.scala 27:72] + wire [21:0] _T_5822 = _T_4412 ? btb_bank0_rd_data_way1_out_126 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6077 = _T_6076 | _T_5822; // @[Mux.scala 27:72] + wire [21:0] _T_5823 = _T_4414 ? btb_bank0_rd_data_way1_out_127 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6078 = _T_6077 | _T_5823; // @[Mux.scala 27:72] + wire [21:0] _T_5824 = _T_4416 ? btb_bank0_rd_data_way1_out_128 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6079 = _T_6078 | _T_5824; // @[Mux.scala 27:72] + wire [21:0] _T_5825 = _T_4418 ? btb_bank0_rd_data_way1_out_129 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6080 = _T_6079 | _T_5825; // @[Mux.scala 27:72] + wire [21:0] _T_5826 = _T_4420 ? btb_bank0_rd_data_way1_out_130 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6081 = _T_6080 | _T_5826; // @[Mux.scala 27:72] + wire [21:0] _T_5827 = _T_4422 ? btb_bank0_rd_data_way1_out_131 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6082 = _T_6081 | _T_5827; // @[Mux.scala 27:72] + wire [21:0] _T_5828 = _T_4424 ? btb_bank0_rd_data_way1_out_132 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6083 = _T_6082 | _T_5828; // @[Mux.scala 27:72] + wire [21:0] _T_5829 = _T_4426 ? btb_bank0_rd_data_way1_out_133 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6084 = _T_6083 | _T_5829; // @[Mux.scala 27:72] + wire [21:0] _T_5830 = _T_4428 ? btb_bank0_rd_data_way1_out_134 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6085 = _T_6084 | _T_5830; // @[Mux.scala 27:72] + wire [21:0] _T_5831 = _T_4430 ? btb_bank0_rd_data_way1_out_135 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6086 = _T_6085 | _T_5831; // @[Mux.scala 27:72] + wire [21:0] _T_5832 = _T_4432 ? btb_bank0_rd_data_way1_out_136 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6087 = _T_6086 | _T_5832; // @[Mux.scala 27:72] + wire [21:0] _T_5833 = _T_4434 ? btb_bank0_rd_data_way1_out_137 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6088 = _T_6087 | _T_5833; // @[Mux.scala 27:72] + wire [21:0] _T_5834 = _T_4436 ? btb_bank0_rd_data_way1_out_138 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6089 = _T_6088 | _T_5834; // @[Mux.scala 27:72] + wire [21:0] _T_5835 = _T_4438 ? btb_bank0_rd_data_way1_out_139 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6090 = _T_6089 | _T_5835; // @[Mux.scala 27:72] + wire [21:0] _T_5836 = _T_4440 ? btb_bank0_rd_data_way1_out_140 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6091 = _T_6090 | _T_5836; // @[Mux.scala 27:72] + wire [21:0] _T_5837 = _T_4442 ? btb_bank0_rd_data_way1_out_141 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6092 = _T_6091 | _T_5837; // @[Mux.scala 27:72] + wire [21:0] _T_5838 = _T_4444 ? btb_bank0_rd_data_way1_out_142 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6093 = _T_6092 | _T_5838; // @[Mux.scala 27:72] + wire [21:0] _T_5839 = _T_4446 ? btb_bank0_rd_data_way1_out_143 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6094 = _T_6093 | _T_5839; // @[Mux.scala 27:72] + wire [21:0] _T_5840 = _T_4448 ? btb_bank0_rd_data_way1_out_144 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6095 = _T_6094 | _T_5840; // @[Mux.scala 27:72] + wire [21:0] _T_5841 = _T_4450 ? btb_bank0_rd_data_way1_out_145 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6096 = _T_6095 | _T_5841; // @[Mux.scala 27:72] + wire [21:0] _T_5842 = _T_4452 ? btb_bank0_rd_data_way1_out_146 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6097 = _T_6096 | _T_5842; // @[Mux.scala 27:72] + wire [21:0] _T_5843 = _T_4454 ? btb_bank0_rd_data_way1_out_147 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6098 = _T_6097 | _T_5843; // @[Mux.scala 27:72] + wire [21:0] _T_5844 = _T_4456 ? btb_bank0_rd_data_way1_out_148 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6099 = _T_6098 | _T_5844; // @[Mux.scala 27:72] + wire [21:0] _T_5845 = _T_4458 ? btb_bank0_rd_data_way1_out_149 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6100 = _T_6099 | _T_5845; // @[Mux.scala 27:72] + wire [21:0] _T_5846 = _T_4460 ? btb_bank0_rd_data_way1_out_150 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6101 = _T_6100 | _T_5846; // @[Mux.scala 27:72] + wire [21:0] _T_5847 = _T_4462 ? btb_bank0_rd_data_way1_out_151 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6102 = _T_6101 | _T_5847; // @[Mux.scala 27:72] + wire [21:0] _T_5848 = _T_4464 ? btb_bank0_rd_data_way1_out_152 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6103 = _T_6102 | _T_5848; // @[Mux.scala 27:72] + wire [21:0] _T_5849 = _T_4466 ? btb_bank0_rd_data_way1_out_153 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6104 = _T_6103 | _T_5849; // @[Mux.scala 27:72] + wire [21:0] _T_5850 = _T_4468 ? btb_bank0_rd_data_way1_out_154 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6105 = _T_6104 | _T_5850; // @[Mux.scala 27:72] + wire [21:0] _T_5851 = _T_4470 ? btb_bank0_rd_data_way1_out_155 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6106 = _T_6105 | _T_5851; // @[Mux.scala 27:72] + wire [21:0] _T_5852 = _T_4472 ? btb_bank0_rd_data_way1_out_156 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6107 = _T_6106 | _T_5852; // @[Mux.scala 27:72] + wire [21:0] _T_5853 = _T_4474 ? btb_bank0_rd_data_way1_out_157 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6108 = _T_6107 | _T_5853; // @[Mux.scala 27:72] + wire [21:0] _T_5854 = _T_4476 ? btb_bank0_rd_data_way1_out_158 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6109 = _T_6108 | _T_5854; // @[Mux.scala 27:72] + wire [21:0] _T_5855 = _T_4478 ? btb_bank0_rd_data_way1_out_159 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6110 = _T_6109 | _T_5855; // @[Mux.scala 27:72] + wire [21:0] _T_5856 = _T_4480 ? btb_bank0_rd_data_way1_out_160 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6111 = _T_6110 | _T_5856; // @[Mux.scala 27:72] + wire [21:0] _T_5857 = _T_4482 ? btb_bank0_rd_data_way1_out_161 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6112 = _T_6111 | _T_5857; // @[Mux.scala 27:72] + wire [21:0] _T_5858 = _T_4484 ? btb_bank0_rd_data_way1_out_162 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6113 = _T_6112 | _T_5858; // @[Mux.scala 27:72] + wire [21:0] _T_5859 = _T_4486 ? btb_bank0_rd_data_way1_out_163 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6114 = _T_6113 | _T_5859; // @[Mux.scala 27:72] + wire [21:0] _T_5860 = _T_4488 ? btb_bank0_rd_data_way1_out_164 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6115 = _T_6114 | _T_5860; // @[Mux.scala 27:72] + wire [21:0] _T_5861 = _T_4490 ? btb_bank0_rd_data_way1_out_165 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6116 = _T_6115 | _T_5861; // @[Mux.scala 27:72] + wire [21:0] _T_5862 = _T_4492 ? btb_bank0_rd_data_way1_out_166 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6117 = _T_6116 | _T_5862; // @[Mux.scala 27:72] + wire [21:0] _T_5863 = _T_4494 ? btb_bank0_rd_data_way1_out_167 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6118 = _T_6117 | _T_5863; // @[Mux.scala 27:72] + wire [21:0] _T_5864 = _T_4496 ? btb_bank0_rd_data_way1_out_168 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6119 = _T_6118 | _T_5864; // @[Mux.scala 27:72] + wire [21:0] _T_5865 = _T_4498 ? btb_bank0_rd_data_way1_out_169 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6120 = _T_6119 | _T_5865; // @[Mux.scala 27:72] + wire [21:0] _T_5866 = _T_4500 ? btb_bank0_rd_data_way1_out_170 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6121 = _T_6120 | _T_5866; // @[Mux.scala 27:72] + wire [21:0] _T_5867 = _T_4502 ? btb_bank0_rd_data_way1_out_171 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6122 = _T_6121 | _T_5867; // @[Mux.scala 27:72] + wire [21:0] _T_5868 = _T_4504 ? btb_bank0_rd_data_way1_out_172 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6123 = _T_6122 | _T_5868; // @[Mux.scala 27:72] + wire [21:0] _T_5869 = _T_4506 ? btb_bank0_rd_data_way1_out_173 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6124 = _T_6123 | _T_5869; // @[Mux.scala 27:72] + wire [21:0] _T_5870 = _T_4508 ? btb_bank0_rd_data_way1_out_174 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6125 = _T_6124 | _T_5870; // @[Mux.scala 27:72] + wire [21:0] _T_5871 = _T_4510 ? btb_bank0_rd_data_way1_out_175 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6126 = _T_6125 | _T_5871; // @[Mux.scala 27:72] + wire [21:0] _T_5872 = _T_4512 ? btb_bank0_rd_data_way1_out_176 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6127 = _T_6126 | _T_5872; // @[Mux.scala 27:72] + wire [21:0] _T_5873 = _T_4514 ? btb_bank0_rd_data_way1_out_177 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6128 = _T_6127 | _T_5873; // @[Mux.scala 27:72] + wire [21:0] _T_5874 = _T_4516 ? btb_bank0_rd_data_way1_out_178 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6129 = _T_6128 | _T_5874; // @[Mux.scala 27:72] + wire [21:0] _T_5875 = _T_4518 ? btb_bank0_rd_data_way1_out_179 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6130 = _T_6129 | _T_5875; // @[Mux.scala 27:72] + wire [21:0] _T_5876 = _T_4520 ? btb_bank0_rd_data_way1_out_180 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6131 = _T_6130 | _T_5876; // @[Mux.scala 27:72] + wire [21:0] _T_5877 = _T_4522 ? btb_bank0_rd_data_way1_out_181 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6132 = _T_6131 | _T_5877; // @[Mux.scala 27:72] + wire [21:0] _T_5878 = _T_4524 ? btb_bank0_rd_data_way1_out_182 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6133 = _T_6132 | _T_5878; // @[Mux.scala 27:72] + wire [21:0] _T_5879 = _T_4526 ? btb_bank0_rd_data_way1_out_183 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6134 = _T_6133 | _T_5879; // @[Mux.scala 27:72] + wire [21:0] _T_5880 = _T_4528 ? btb_bank0_rd_data_way1_out_184 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6135 = _T_6134 | _T_5880; // @[Mux.scala 27:72] + wire [21:0] _T_5881 = _T_4530 ? btb_bank0_rd_data_way1_out_185 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6136 = _T_6135 | _T_5881; // @[Mux.scala 27:72] + wire [21:0] _T_5882 = _T_4532 ? btb_bank0_rd_data_way1_out_186 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6137 = _T_6136 | _T_5882; // @[Mux.scala 27:72] + wire [21:0] _T_5883 = _T_4534 ? btb_bank0_rd_data_way1_out_187 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6138 = _T_6137 | _T_5883; // @[Mux.scala 27:72] + wire [21:0] _T_5884 = _T_4536 ? btb_bank0_rd_data_way1_out_188 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6139 = _T_6138 | _T_5884; // @[Mux.scala 27:72] + wire [21:0] _T_5885 = _T_4538 ? btb_bank0_rd_data_way1_out_189 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6140 = _T_6139 | _T_5885; // @[Mux.scala 27:72] + wire [21:0] _T_5886 = _T_4540 ? btb_bank0_rd_data_way1_out_190 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6141 = _T_6140 | _T_5886; // @[Mux.scala 27:72] + wire [21:0] _T_5887 = _T_4542 ? btb_bank0_rd_data_way1_out_191 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6142 = _T_6141 | _T_5887; // @[Mux.scala 27:72] + wire [21:0] _T_5888 = _T_4544 ? btb_bank0_rd_data_way1_out_192 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6143 = _T_6142 | _T_5888; // @[Mux.scala 27:72] + wire [21:0] _T_5889 = _T_4546 ? btb_bank0_rd_data_way1_out_193 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6144 = _T_6143 | _T_5889; // @[Mux.scala 27:72] + wire [21:0] _T_5890 = _T_4548 ? btb_bank0_rd_data_way1_out_194 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6145 = _T_6144 | _T_5890; // @[Mux.scala 27:72] + wire [21:0] _T_5891 = _T_4550 ? btb_bank0_rd_data_way1_out_195 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6146 = _T_6145 | _T_5891; // @[Mux.scala 27:72] + wire [21:0] _T_5892 = _T_4552 ? btb_bank0_rd_data_way1_out_196 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6147 = _T_6146 | _T_5892; // @[Mux.scala 27:72] + wire [21:0] _T_5893 = _T_4554 ? btb_bank0_rd_data_way1_out_197 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6148 = _T_6147 | _T_5893; // @[Mux.scala 27:72] + wire [21:0] _T_5894 = _T_4556 ? btb_bank0_rd_data_way1_out_198 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6149 = _T_6148 | _T_5894; // @[Mux.scala 27:72] + wire [21:0] _T_5895 = _T_4558 ? btb_bank0_rd_data_way1_out_199 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6150 = _T_6149 | _T_5895; // @[Mux.scala 27:72] + wire [21:0] _T_5896 = _T_4560 ? btb_bank0_rd_data_way1_out_200 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6151 = _T_6150 | _T_5896; // @[Mux.scala 27:72] + wire [21:0] _T_5897 = _T_4562 ? btb_bank0_rd_data_way1_out_201 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6152 = _T_6151 | _T_5897; // @[Mux.scala 27:72] + wire [21:0] _T_5898 = _T_4564 ? btb_bank0_rd_data_way1_out_202 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6153 = _T_6152 | _T_5898; // @[Mux.scala 27:72] + wire [21:0] _T_5899 = _T_4566 ? btb_bank0_rd_data_way1_out_203 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6154 = _T_6153 | _T_5899; // @[Mux.scala 27:72] + wire [21:0] _T_5900 = _T_4568 ? btb_bank0_rd_data_way1_out_204 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6155 = _T_6154 | _T_5900; // @[Mux.scala 27:72] + wire [21:0] _T_5901 = _T_4570 ? btb_bank0_rd_data_way1_out_205 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6156 = _T_6155 | _T_5901; // @[Mux.scala 27:72] + wire [21:0] _T_5902 = _T_4572 ? btb_bank0_rd_data_way1_out_206 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6157 = _T_6156 | _T_5902; // @[Mux.scala 27:72] + wire [21:0] _T_5903 = _T_4574 ? btb_bank0_rd_data_way1_out_207 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6158 = _T_6157 | _T_5903; // @[Mux.scala 27:72] + wire [21:0] _T_5904 = _T_4576 ? btb_bank0_rd_data_way1_out_208 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6159 = _T_6158 | _T_5904; // @[Mux.scala 27:72] + wire [21:0] _T_5905 = _T_4578 ? btb_bank0_rd_data_way1_out_209 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6160 = _T_6159 | _T_5905; // @[Mux.scala 27:72] + wire [21:0] _T_5906 = _T_4580 ? btb_bank0_rd_data_way1_out_210 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6161 = _T_6160 | _T_5906; // @[Mux.scala 27:72] + wire [21:0] _T_5907 = _T_4582 ? btb_bank0_rd_data_way1_out_211 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6162 = _T_6161 | _T_5907; // @[Mux.scala 27:72] + wire [21:0] _T_5908 = _T_4584 ? btb_bank0_rd_data_way1_out_212 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6163 = _T_6162 | _T_5908; // @[Mux.scala 27:72] + wire [21:0] _T_5909 = _T_4586 ? btb_bank0_rd_data_way1_out_213 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6164 = _T_6163 | _T_5909; // @[Mux.scala 27:72] + wire [21:0] _T_5910 = _T_4588 ? btb_bank0_rd_data_way1_out_214 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6165 = _T_6164 | _T_5910; // @[Mux.scala 27:72] + wire [21:0] _T_5911 = _T_4590 ? btb_bank0_rd_data_way1_out_215 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6166 = _T_6165 | _T_5911; // @[Mux.scala 27:72] + wire [21:0] _T_5912 = _T_4592 ? btb_bank0_rd_data_way1_out_216 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6167 = _T_6166 | _T_5912; // @[Mux.scala 27:72] + wire [21:0] _T_5913 = _T_4594 ? btb_bank0_rd_data_way1_out_217 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6168 = _T_6167 | _T_5913; // @[Mux.scala 27:72] + wire [21:0] _T_5914 = _T_4596 ? btb_bank0_rd_data_way1_out_218 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6169 = _T_6168 | _T_5914; // @[Mux.scala 27:72] + wire [21:0] _T_5915 = _T_4598 ? btb_bank0_rd_data_way1_out_219 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6170 = _T_6169 | _T_5915; // @[Mux.scala 27:72] + wire [21:0] _T_5916 = _T_4600 ? btb_bank0_rd_data_way1_out_220 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6171 = _T_6170 | _T_5916; // @[Mux.scala 27:72] + wire [21:0] _T_5917 = _T_4602 ? btb_bank0_rd_data_way1_out_221 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6172 = _T_6171 | _T_5917; // @[Mux.scala 27:72] + wire [21:0] _T_5918 = _T_4604 ? btb_bank0_rd_data_way1_out_222 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6173 = _T_6172 | _T_5918; // @[Mux.scala 27:72] + wire [21:0] _T_5919 = _T_4606 ? btb_bank0_rd_data_way1_out_223 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6174 = _T_6173 | _T_5919; // @[Mux.scala 27:72] + wire [21:0] _T_5920 = _T_4608 ? btb_bank0_rd_data_way1_out_224 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6175 = _T_6174 | _T_5920; // @[Mux.scala 27:72] + wire [21:0] _T_5921 = _T_4610 ? btb_bank0_rd_data_way1_out_225 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6176 = _T_6175 | _T_5921; // @[Mux.scala 27:72] + wire [21:0] _T_5922 = _T_4612 ? btb_bank0_rd_data_way1_out_226 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6177 = _T_6176 | _T_5922; // @[Mux.scala 27:72] + wire [21:0] _T_5923 = _T_4614 ? btb_bank0_rd_data_way1_out_227 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6178 = _T_6177 | _T_5923; // @[Mux.scala 27:72] + wire [21:0] _T_5924 = _T_4616 ? btb_bank0_rd_data_way1_out_228 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6179 = _T_6178 | _T_5924; // @[Mux.scala 27:72] + wire [21:0] _T_5925 = _T_4618 ? btb_bank0_rd_data_way1_out_229 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6180 = _T_6179 | _T_5925; // @[Mux.scala 27:72] + wire [21:0] _T_5926 = _T_4620 ? btb_bank0_rd_data_way1_out_230 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6181 = _T_6180 | _T_5926; // @[Mux.scala 27:72] + wire [21:0] _T_5927 = _T_4622 ? btb_bank0_rd_data_way1_out_231 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6182 = _T_6181 | _T_5927; // @[Mux.scala 27:72] + wire [21:0] _T_5928 = _T_4624 ? btb_bank0_rd_data_way1_out_232 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6183 = _T_6182 | _T_5928; // @[Mux.scala 27:72] + wire [21:0] _T_5929 = _T_4626 ? btb_bank0_rd_data_way1_out_233 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6184 = _T_6183 | _T_5929; // @[Mux.scala 27:72] + wire [21:0] _T_5930 = _T_4628 ? btb_bank0_rd_data_way1_out_234 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6185 = _T_6184 | _T_5930; // @[Mux.scala 27:72] + wire [21:0] _T_5931 = _T_4630 ? btb_bank0_rd_data_way1_out_235 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6186 = _T_6185 | _T_5931; // @[Mux.scala 27:72] + wire [21:0] _T_5932 = _T_4632 ? btb_bank0_rd_data_way1_out_236 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6187 = _T_6186 | _T_5932; // @[Mux.scala 27:72] + wire [21:0] _T_5933 = _T_4634 ? btb_bank0_rd_data_way1_out_237 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6188 = _T_6187 | _T_5933; // @[Mux.scala 27:72] + wire [21:0] _T_5934 = _T_4636 ? btb_bank0_rd_data_way1_out_238 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6189 = _T_6188 | _T_5934; // @[Mux.scala 27:72] + wire [21:0] _T_5935 = _T_4638 ? btb_bank0_rd_data_way1_out_239 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6190 = _T_6189 | _T_5935; // @[Mux.scala 27:72] + wire [21:0] _T_5936 = _T_4640 ? btb_bank0_rd_data_way1_out_240 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6191 = _T_6190 | _T_5936; // @[Mux.scala 27:72] + wire [21:0] _T_5937 = _T_4642 ? btb_bank0_rd_data_way1_out_241 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6192 = _T_6191 | _T_5937; // @[Mux.scala 27:72] + wire [21:0] _T_5938 = _T_4644 ? btb_bank0_rd_data_way1_out_242 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6193 = _T_6192 | _T_5938; // @[Mux.scala 27:72] + wire [21:0] _T_5939 = _T_4646 ? btb_bank0_rd_data_way1_out_243 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6194 = _T_6193 | _T_5939; // @[Mux.scala 27:72] + wire [21:0] _T_5940 = _T_4648 ? btb_bank0_rd_data_way1_out_244 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6195 = _T_6194 | _T_5940; // @[Mux.scala 27:72] + wire [21:0] _T_5941 = _T_4650 ? btb_bank0_rd_data_way1_out_245 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6196 = _T_6195 | _T_5941; // @[Mux.scala 27:72] + wire [21:0] _T_5942 = _T_4652 ? btb_bank0_rd_data_way1_out_246 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6197 = _T_6196 | _T_5942; // @[Mux.scala 27:72] + wire [21:0] _T_5943 = _T_4654 ? btb_bank0_rd_data_way1_out_247 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6198 = _T_6197 | _T_5943; // @[Mux.scala 27:72] + wire [21:0] _T_5944 = _T_4656 ? btb_bank0_rd_data_way1_out_248 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6199 = _T_6198 | _T_5944; // @[Mux.scala 27:72] + wire [21:0] _T_5945 = _T_4658 ? btb_bank0_rd_data_way1_out_249 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6200 = _T_6199 | _T_5945; // @[Mux.scala 27:72] + wire [21:0] _T_5946 = _T_4660 ? btb_bank0_rd_data_way1_out_250 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6201 = _T_6200 | _T_5946; // @[Mux.scala 27:72] + wire [21:0] _T_5947 = _T_4662 ? btb_bank0_rd_data_way1_out_251 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6202 = _T_6201 | _T_5947; // @[Mux.scala 27:72] + wire [21:0] _T_5948 = _T_4664 ? btb_bank0_rd_data_way1_out_252 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6203 = _T_6202 | _T_5948; // @[Mux.scala 27:72] + wire [21:0] _T_5949 = _T_4666 ? btb_bank0_rd_data_way1_out_253 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6204 = _T_6203 | _T_5949; // @[Mux.scala 27:72] + wire [21:0] _T_5950 = _T_4668 ? btb_bank0_rd_data_way1_out_254 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_6205 = _T_6204 | _T_5950; // @[Mux.scala 27:72] + wire [21:0] _T_5951 = _T_4670 ? btb_bank0_rd_data_way1_out_255 : 22'h0; // @[Mux.scala 27:72] + wire [21:0] btb_bank0_rd_data_way1_p1_f = _T_6205 | _T_5951; // @[Mux.scala 27:72] + wire _T_73 = btb_bank0_rd_data_way1_p1_f[21:17] == fetch_rd_tag_p1_f; // @[ifu_bp_ctl.scala 138:106] + wire _T_74 = btb_bank0_rd_data_way1_p1_f[0] & _T_73; // @[ifu_bp_ctl.scala 138:61] + wire _T_77 = _T_74 & _T_67; // @[ifu_bp_ctl.scala 138:129] + wire _T_78 = _T_77 & io_ifc_fetch_req_f; // @[ifu_bp_ctl.scala 139:59] + wire tag_match_way1_p1_f = _T_78 & _T; // @[ifu_bp_ctl.scala 139:80] + wire _T_109 = btb_bank0_rd_data_way1_p1_f[3] ^ btb_bank0_rd_data_way1_p1_f[4]; // @[ifu_bp_ctl.scala 151:100] + wire _T_110 = tag_match_way1_p1_f & _T_109; // @[ifu_bp_ctl.scala 151:62] + wire _T_114 = ~_T_109; // @[ifu_bp_ctl.scala 152:64] + wire _T_115 = tag_match_way1_p1_f & _T_114; // @[ifu_bp_ctl.scala 152:62] + wire [1:0] tag_match_way1_expanded_p1_f = {_T_110,_T_115}; // @[Cat.scala 29:58] + wire [21:0] _T_135 = tag_match_way1_expanded_p1_f[0] ? btb_bank0_rd_data_way1_p1_f : 22'h0; // @[Mux.scala 27:72] + wire [21:0] btb_bank0e_rd_data_p1_f = _T_134 | _T_135; // @[Mux.scala 27:72] + wire [21:0] _T_147 = io_ifc_fetch_addr_f[0] ? btb_bank0e_rd_data_p1_f : 22'h0; // @[Mux.scala 27:72] + wire [21:0] btb_vbank1_rd_data_f = _T_146 | _T_147; // @[Mux.scala 27:72] + wire _T_243 = btb_vbank1_rd_data_f[2] | btb_vbank1_rd_data_f[1]; // @[ifu_bp_ctl.scala 264:59] + wire [21:0] _T_120 = tag_match_way0_expanded_f[0] ? btb_bank0_rd_data_way0_f : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_121 = tag_match_way1_expanded_f[0] ? btb_bank0_rd_data_way1_f : 22'h0; // @[Mux.scala 27:72] + wire [21:0] btb_bank0e_rd_data_f = _T_120 | _T_121; // @[Mux.scala 27:72] + wire [21:0] _T_140 = _T_144 ? btb_bank0e_rd_data_f : 22'h0; // @[Mux.scala 27:72] + wire [21:0] _T_141 = io_ifc_fetch_addr_f[0] ? btb_bank0o_rd_data_f : 22'h0; // @[Mux.scala 27:72] + wire [21:0] btb_vbank0_rd_data_f = _T_140 | _T_141; // @[Mux.scala 27:72] + wire _T_246 = btb_vbank0_rd_data_f[2] | btb_vbank0_rd_data_f[1]; // @[ifu_bp_ctl.scala 265:59] + wire [1:0] bht_force_taken_f = {_T_243,_T_246}; // @[Cat.scala 29:58] + wire [9:0] _T_570 = {btb_rd_addr_f,2'h0}; // @[Cat.scala 29:58] + reg [7:0] fghr; // @[ifu_bp_ctl.scala 323:44] + wire [7:0] bht_rd_addr_f = _T_570[9:2] ^ fghr; // @[lib.scala 56:35] + wire _T_21408 = bht_rd_addr_f == 8'h0; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_0; // @[Reg.scala 27:20] + wire [1:0] _T_21920 = _T_21408 ? bht_bank_rd_data_out_1_0 : 2'h0; // @[Mux.scala 27:72] + wire _T_21410 = bht_rd_addr_f == 8'h1; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_1; // @[Reg.scala 27:20] + wire [1:0] _T_21921 = _T_21410 ? bht_bank_rd_data_out_1_1 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22176 = _T_21920 | _T_21921; // @[Mux.scala 27:72] + wire _T_21412 = bht_rd_addr_f == 8'h2; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_2; // @[Reg.scala 27:20] + wire [1:0] _T_21922 = _T_21412 ? bht_bank_rd_data_out_1_2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22177 = _T_22176 | _T_21922; // @[Mux.scala 27:72] + wire _T_21414 = bht_rd_addr_f == 8'h3; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_3; // @[Reg.scala 27:20] + wire [1:0] _T_21923 = _T_21414 ? bht_bank_rd_data_out_1_3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22178 = _T_22177 | _T_21923; // @[Mux.scala 27:72] + wire _T_21416 = bht_rd_addr_f == 8'h4; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_4; // @[Reg.scala 27:20] + wire [1:0] _T_21924 = _T_21416 ? bht_bank_rd_data_out_1_4 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22179 = _T_22178 | _T_21924; // @[Mux.scala 27:72] + wire _T_21418 = bht_rd_addr_f == 8'h5; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_5; // @[Reg.scala 27:20] + wire [1:0] _T_21925 = _T_21418 ? bht_bank_rd_data_out_1_5 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22180 = _T_22179 | _T_21925; // @[Mux.scala 27:72] + wire _T_21420 = bht_rd_addr_f == 8'h6; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_6; // @[Reg.scala 27:20] + wire [1:0] _T_21926 = _T_21420 ? bht_bank_rd_data_out_1_6 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22181 = _T_22180 | _T_21926; // @[Mux.scala 27:72] + wire _T_21422 = bht_rd_addr_f == 8'h7; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_7; // @[Reg.scala 27:20] + wire [1:0] _T_21927 = _T_21422 ? bht_bank_rd_data_out_1_7 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22182 = _T_22181 | _T_21927; // @[Mux.scala 27:72] + wire _T_21424 = bht_rd_addr_f == 8'h8; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_8; // @[Reg.scala 27:20] + wire [1:0] _T_21928 = _T_21424 ? bht_bank_rd_data_out_1_8 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22183 = _T_22182 | _T_21928; // @[Mux.scala 27:72] + wire _T_21426 = bht_rd_addr_f == 8'h9; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_9; // @[Reg.scala 27:20] + wire [1:0] _T_21929 = _T_21426 ? bht_bank_rd_data_out_1_9 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22184 = _T_22183 | _T_21929; // @[Mux.scala 27:72] + wire _T_21428 = bht_rd_addr_f == 8'ha; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_10; // @[Reg.scala 27:20] + wire [1:0] _T_21930 = _T_21428 ? bht_bank_rd_data_out_1_10 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22185 = _T_22184 | _T_21930; // @[Mux.scala 27:72] + wire _T_21430 = bht_rd_addr_f == 8'hb; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_11; // @[Reg.scala 27:20] + wire [1:0] _T_21931 = _T_21430 ? bht_bank_rd_data_out_1_11 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22186 = _T_22185 | _T_21931; // @[Mux.scala 27:72] + wire _T_21432 = bht_rd_addr_f == 8'hc; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_12; // @[Reg.scala 27:20] + wire [1:0] _T_21932 = _T_21432 ? bht_bank_rd_data_out_1_12 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22187 = _T_22186 | _T_21932; // @[Mux.scala 27:72] + wire _T_21434 = bht_rd_addr_f == 8'hd; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_13; // @[Reg.scala 27:20] + wire [1:0] _T_21933 = _T_21434 ? bht_bank_rd_data_out_1_13 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22188 = _T_22187 | _T_21933; // @[Mux.scala 27:72] + wire _T_21436 = bht_rd_addr_f == 8'he; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_14; // @[Reg.scala 27:20] + wire [1:0] _T_21934 = _T_21436 ? bht_bank_rd_data_out_1_14 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22189 = _T_22188 | _T_21934; // @[Mux.scala 27:72] + wire _T_21438 = bht_rd_addr_f == 8'hf; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_15; // @[Reg.scala 27:20] + wire [1:0] _T_21935 = _T_21438 ? bht_bank_rd_data_out_1_15 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22190 = _T_22189 | _T_21935; // @[Mux.scala 27:72] + wire _T_21440 = bht_rd_addr_f == 8'h10; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_16; // @[Reg.scala 27:20] + wire [1:0] _T_21936 = _T_21440 ? bht_bank_rd_data_out_1_16 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22191 = _T_22190 | _T_21936; // @[Mux.scala 27:72] + wire _T_21442 = bht_rd_addr_f == 8'h11; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_17; // @[Reg.scala 27:20] + wire [1:0] _T_21937 = _T_21442 ? bht_bank_rd_data_out_1_17 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22192 = _T_22191 | _T_21937; // @[Mux.scala 27:72] + wire _T_21444 = bht_rd_addr_f == 8'h12; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_18; // @[Reg.scala 27:20] + wire [1:0] _T_21938 = _T_21444 ? bht_bank_rd_data_out_1_18 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22193 = _T_22192 | _T_21938; // @[Mux.scala 27:72] + wire _T_21446 = bht_rd_addr_f == 8'h13; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_19; // @[Reg.scala 27:20] + wire [1:0] _T_21939 = _T_21446 ? bht_bank_rd_data_out_1_19 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22194 = _T_22193 | _T_21939; // @[Mux.scala 27:72] + wire _T_21448 = bht_rd_addr_f == 8'h14; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_20; // @[Reg.scala 27:20] + wire [1:0] _T_21940 = _T_21448 ? bht_bank_rd_data_out_1_20 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22195 = _T_22194 | _T_21940; // @[Mux.scala 27:72] + wire _T_21450 = bht_rd_addr_f == 8'h15; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_21; // @[Reg.scala 27:20] + wire [1:0] _T_21941 = _T_21450 ? bht_bank_rd_data_out_1_21 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22196 = _T_22195 | _T_21941; // @[Mux.scala 27:72] + wire _T_21452 = bht_rd_addr_f == 8'h16; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_22; // @[Reg.scala 27:20] + wire [1:0] _T_21942 = _T_21452 ? bht_bank_rd_data_out_1_22 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22197 = _T_22196 | _T_21942; // @[Mux.scala 27:72] + wire _T_21454 = bht_rd_addr_f == 8'h17; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_23; // @[Reg.scala 27:20] + wire [1:0] _T_21943 = _T_21454 ? bht_bank_rd_data_out_1_23 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22198 = _T_22197 | _T_21943; // @[Mux.scala 27:72] + wire _T_21456 = bht_rd_addr_f == 8'h18; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_24; // @[Reg.scala 27:20] + wire [1:0] _T_21944 = _T_21456 ? bht_bank_rd_data_out_1_24 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22199 = _T_22198 | _T_21944; // @[Mux.scala 27:72] + wire _T_21458 = bht_rd_addr_f == 8'h19; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_25; // @[Reg.scala 27:20] + wire [1:0] _T_21945 = _T_21458 ? bht_bank_rd_data_out_1_25 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22200 = _T_22199 | _T_21945; // @[Mux.scala 27:72] + wire _T_21460 = bht_rd_addr_f == 8'h1a; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_26; // @[Reg.scala 27:20] + wire [1:0] _T_21946 = _T_21460 ? bht_bank_rd_data_out_1_26 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22201 = _T_22200 | _T_21946; // @[Mux.scala 27:72] + wire _T_21462 = bht_rd_addr_f == 8'h1b; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_27; // @[Reg.scala 27:20] + wire [1:0] _T_21947 = _T_21462 ? bht_bank_rd_data_out_1_27 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22202 = _T_22201 | _T_21947; // @[Mux.scala 27:72] + wire _T_21464 = bht_rd_addr_f == 8'h1c; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_28; // @[Reg.scala 27:20] + wire [1:0] _T_21948 = _T_21464 ? bht_bank_rd_data_out_1_28 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22203 = _T_22202 | _T_21948; // @[Mux.scala 27:72] + wire _T_21466 = bht_rd_addr_f == 8'h1d; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_29; // @[Reg.scala 27:20] + wire [1:0] _T_21949 = _T_21466 ? bht_bank_rd_data_out_1_29 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22204 = _T_22203 | _T_21949; // @[Mux.scala 27:72] + wire _T_21468 = bht_rd_addr_f == 8'h1e; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_30; // @[Reg.scala 27:20] + wire [1:0] _T_21950 = _T_21468 ? bht_bank_rd_data_out_1_30 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22205 = _T_22204 | _T_21950; // @[Mux.scala 27:72] + wire _T_21470 = bht_rd_addr_f == 8'h1f; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_31; // @[Reg.scala 27:20] + wire [1:0] _T_21951 = _T_21470 ? bht_bank_rd_data_out_1_31 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22206 = _T_22205 | _T_21951; // @[Mux.scala 27:72] + wire _T_21472 = bht_rd_addr_f == 8'h20; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_32; // @[Reg.scala 27:20] + wire [1:0] _T_21952 = _T_21472 ? bht_bank_rd_data_out_1_32 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22207 = _T_22206 | _T_21952; // @[Mux.scala 27:72] + wire _T_21474 = bht_rd_addr_f == 8'h21; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_33; // @[Reg.scala 27:20] + wire [1:0] _T_21953 = _T_21474 ? bht_bank_rd_data_out_1_33 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22208 = _T_22207 | _T_21953; // @[Mux.scala 27:72] + wire _T_21476 = bht_rd_addr_f == 8'h22; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_34; // @[Reg.scala 27:20] + wire [1:0] _T_21954 = _T_21476 ? bht_bank_rd_data_out_1_34 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22209 = _T_22208 | _T_21954; // @[Mux.scala 27:72] + wire _T_21478 = bht_rd_addr_f == 8'h23; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_35; // @[Reg.scala 27:20] + wire [1:0] _T_21955 = _T_21478 ? bht_bank_rd_data_out_1_35 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22210 = _T_22209 | _T_21955; // @[Mux.scala 27:72] + wire _T_21480 = bht_rd_addr_f == 8'h24; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_36; // @[Reg.scala 27:20] + wire [1:0] _T_21956 = _T_21480 ? bht_bank_rd_data_out_1_36 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22211 = _T_22210 | _T_21956; // @[Mux.scala 27:72] + wire _T_21482 = bht_rd_addr_f == 8'h25; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_37; // @[Reg.scala 27:20] + wire [1:0] _T_21957 = _T_21482 ? bht_bank_rd_data_out_1_37 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22212 = _T_22211 | _T_21957; // @[Mux.scala 27:72] + wire _T_21484 = bht_rd_addr_f == 8'h26; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_38; // @[Reg.scala 27:20] + wire [1:0] _T_21958 = _T_21484 ? bht_bank_rd_data_out_1_38 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22213 = _T_22212 | _T_21958; // @[Mux.scala 27:72] + wire _T_21486 = bht_rd_addr_f == 8'h27; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_39; // @[Reg.scala 27:20] + wire [1:0] _T_21959 = _T_21486 ? bht_bank_rd_data_out_1_39 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22214 = _T_22213 | _T_21959; // @[Mux.scala 27:72] + wire _T_21488 = bht_rd_addr_f == 8'h28; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_40; // @[Reg.scala 27:20] + wire [1:0] _T_21960 = _T_21488 ? bht_bank_rd_data_out_1_40 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22215 = _T_22214 | _T_21960; // @[Mux.scala 27:72] + wire _T_21490 = bht_rd_addr_f == 8'h29; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_41; // @[Reg.scala 27:20] + wire [1:0] _T_21961 = _T_21490 ? bht_bank_rd_data_out_1_41 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22216 = _T_22215 | _T_21961; // @[Mux.scala 27:72] + wire _T_21492 = bht_rd_addr_f == 8'h2a; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_42; // @[Reg.scala 27:20] + wire [1:0] _T_21962 = _T_21492 ? bht_bank_rd_data_out_1_42 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22217 = _T_22216 | _T_21962; // @[Mux.scala 27:72] + wire _T_21494 = bht_rd_addr_f == 8'h2b; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_43; // @[Reg.scala 27:20] + wire [1:0] _T_21963 = _T_21494 ? bht_bank_rd_data_out_1_43 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22218 = _T_22217 | _T_21963; // @[Mux.scala 27:72] + wire _T_21496 = bht_rd_addr_f == 8'h2c; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_44; // @[Reg.scala 27:20] + wire [1:0] _T_21964 = _T_21496 ? bht_bank_rd_data_out_1_44 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22219 = _T_22218 | _T_21964; // @[Mux.scala 27:72] + wire _T_21498 = bht_rd_addr_f == 8'h2d; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_45; // @[Reg.scala 27:20] + wire [1:0] _T_21965 = _T_21498 ? bht_bank_rd_data_out_1_45 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22220 = _T_22219 | _T_21965; // @[Mux.scala 27:72] + wire _T_21500 = bht_rd_addr_f == 8'h2e; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_46; // @[Reg.scala 27:20] + wire [1:0] _T_21966 = _T_21500 ? bht_bank_rd_data_out_1_46 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22221 = _T_22220 | _T_21966; // @[Mux.scala 27:72] + wire _T_21502 = bht_rd_addr_f == 8'h2f; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_47; // @[Reg.scala 27:20] + wire [1:0] _T_21967 = _T_21502 ? bht_bank_rd_data_out_1_47 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22222 = _T_22221 | _T_21967; // @[Mux.scala 27:72] + wire _T_21504 = bht_rd_addr_f == 8'h30; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_48; // @[Reg.scala 27:20] + wire [1:0] _T_21968 = _T_21504 ? bht_bank_rd_data_out_1_48 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22223 = _T_22222 | _T_21968; // @[Mux.scala 27:72] + wire _T_21506 = bht_rd_addr_f == 8'h31; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_49; // @[Reg.scala 27:20] + wire [1:0] _T_21969 = _T_21506 ? bht_bank_rd_data_out_1_49 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22224 = _T_22223 | _T_21969; // @[Mux.scala 27:72] + wire _T_21508 = bht_rd_addr_f == 8'h32; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_50; // @[Reg.scala 27:20] + wire [1:0] _T_21970 = _T_21508 ? bht_bank_rd_data_out_1_50 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22225 = _T_22224 | _T_21970; // @[Mux.scala 27:72] + wire _T_21510 = bht_rd_addr_f == 8'h33; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_51; // @[Reg.scala 27:20] + wire [1:0] _T_21971 = _T_21510 ? bht_bank_rd_data_out_1_51 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22226 = _T_22225 | _T_21971; // @[Mux.scala 27:72] + wire _T_21512 = bht_rd_addr_f == 8'h34; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_52; // @[Reg.scala 27:20] + wire [1:0] _T_21972 = _T_21512 ? bht_bank_rd_data_out_1_52 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22227 = _T_22226 | _T_21972; // @[Mux.scala 27:72] + wire _T_21514 = bht_rd_addr_f == 8'h35; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_53; // @[Reg.scala 27:20] + wire [1:0] _T_21973 = _T_21514 ? bht_bank_rd_data_out_1_53 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22228 = _T_22227 | _T_21973; // @[Mux.scala 27:72] + wire _T_21516 = bht_rd_addr_f == 8'h36; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_54; // @[Reg.scala 27:20] + wire [1:0] _T_21974 = _T_21516 ? bht_bank_rd_data_out_1_54 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22229 = _T_22228 | _T_21974; // @[Mux.scala 27:72] + wire _T_21518 = bht_rd_addr_f == 8'h37; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_55; // @[Reg.scala 27:20] + wire [1:0] _T_21975 = _T_21518 ? bht_bank_rd_data_out_1_55 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22230 = _T_22229 | _T_21975; // @[Mux.scala 27:72] + wire _T_21520 = bht_rd_addr_f == 8'h38; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_56; // @[Reg.scala 27:20] + wire [1:0] _T_21976 = _T_21520 ? bht_bank_rd_data_out_1_56 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22231 = _T_22230 | _T_21976; // @[Mux.scala 27:72] + wire _T_21522 = bht_rd_addr_f == 8'h39; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_57; // @[Reg.scala 27:20] + wire [1:0] _T_21977 = _T_21522 ? bht_bank_rd_data_out_1_57 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22232 = _T_22231 | _T_21977; // @[Mux.scala 27:72] + wire _T_21524 = bht_rd_addr_f == 8'h3a; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_58; // @[Reg.scala 27:20] + wire [1:0] _T_21978 = _T_21524 ? bht_bank_rd_data_out_1_58 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22233 = _T_22232 | _T_21978; // @[Mux.scala 27:72] + wire _T_21526 = bht_rd_addr_f == 8'h3b; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_59; // @[Reg.scala 27:20] + wire [1:0] _T_21979 = _T_21526 ? bht_bank_rd_data_out_1_59 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22234 = _T_22233 | _T_21979; // @[Mux.scala 27:72] + wire _T_21528 = bht_rd_addr_f == 8'h3c; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_60; // @[Reg.scala 27:20] + wire [1:0] _T_21980 = _T_21528 ? bht_bank_rd_data_out_1_60 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22235 = _T_22234 | _T_21980; // @[Mux.scala 27:72] + wire _T_21530 = bht_rd_addr_f == 8'h3d; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_61; // @[Reg.scala 27:20] + wire [1:0] _T_21981 = _T_21530 ? bht_bank_rd_data_out_1_61 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22236 = _T_22235 | _T_21981; // @[Mux.scala 27:72] + wire _T_21532 = bht_rd_addr_f == 8'h3e; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_62; // @[Reg.scala 27:20] + wire [1:0] _T_21982 = _T_21532 ? bht_bank_rd_data_out_1_62 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22237 = _T_22236 | _T_21982; // @[Mux.scala 27:72] + wire _T_21534 = bht_rd_addr_f == 8'h3f; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_63; // @[Reg.scala 27:20] + wire [1:0] _T_21983 = _T_21534 ? bht_bank_rd_data_out_1_63 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22238 = _T_22237 | _T_21983; // @[Mux.scala 27:72] + wire _T_21536 = bht_rd_addr_f == 8'h40; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_64; // @[Reg.scala 27:20] + wire [1:0] _T_21984 = _T_21536 ? bht_bank_rd_data_out_1_64 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22239 = _T_22238 | _T_21984; // @[Mux.scala 27:72] + wire _T_21538 = bht_rd_addr_f == 8'h41; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_65; // @[Reg.scala 27:20] + wire [1:0] _T_21985 = _T_21538 ? bht_bank_rd_data_out_1_65 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22240 = _T_22239 | _T_21985; // @[Mux.scala 27:72] + wire _T_21540 = bht_rd_addr_f == 8'h42; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_66; // @[Reg.scala 27:20] + wire [1:0] _T_21986 = _T_21540 ? bht_bank_rd_data_out_1_66 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22241 = _T_22240 | _T_21986; // @[Mux.scala 27:72] + wire _T_21542 = bht_rd_addr_f == 8'h43; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_67; // @[Reg.scala 27:20] + wire [1:0] _T_21987 = _T_21542 ? bht_bank_rd_data_out_1_67 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22242 = _T_22241 | _T_21987; // @[Mux.scala 27:72] + wire _T_21544 = bht_rd_addr_f == 8'h44; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_68; // @[Reg.scala 27:20] + wire [1:0] _T_21988 = _T_21544 ? bht_bank_rd_data_out_1_68 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22243 = _T_22242 | _T_21988; // @[Mux.scala 27:72] + wire _T_21546 = bht_rd_addr_f == 8'h45; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_69; // @[Reg.scala 27:20] + wire [1:0] _T_21989 = _T_21546 ? bht_bank_rd_data_out_1_69 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22244 = _T_22243 | _T_21989; // @[Mux.scala 27:72] + wire _T_21548 = bht_rd_addr_f == 8'h46; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_70; // @[Reg.scala 27:20] + wire [1:0] _T_21990 = _T_21548 ? bht_bank_rd_data_out_1_70 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22245 = _T_22244 | _T_21990; // @[Mux.scala 27:72] + wire _T_21550 = bht_rd_addr_f == 8'h47; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_71; // @[Reg.scala 27:20] + wire [1:0] _T_21991 = _T_21550 ? bht_bank_rd_data_out_1_71 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22246 = _T_22245 | _T_21991; // @[Mux.scala 27:72] + wire _T_21552 = bht_rd_addr_f == 8'h48; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_72; // @[Reg.scala 27:20] + wire [1:0] _T_21992 = _T_21552 ? bht_bank_rd_data_out_1_72 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22247 = _T_22246 | _T_21992; // @[Mux.scala 27:72] + wire _T_21554 = bht_rd_addr_f == 8'h49; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_73; // @[Reg.scala 27:20] + wire [1:0] _T_21993 = _T_21554 ? bht_bank_rd_data_out_1_73 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22248 = _T_22247 | _T_21993; // @[Mux.scala 27:72] + wire _T_21556 = bht_rd_addr_f == 8'h4a; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_74; // @[Reg.scala 27:20] + wire [1:0] _T_21994 = _T_21556 ? bht_bank_rd_data_out_1_74 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22249 = _T_22248 | _T_21994; // @[Mux.scala 27:72] + wire _T_21558 = bht_rd_addr_f == 8'h4b; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_75; // @[Reg.scala 27:20] + wire [1:0] _T_21995 = _T_21558 ? bht_bank_rd_data_out_1_75 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22250 = _T_22249 | _T_21995; // @[Mux.scala 27:72] + wire _T_21560 = bht_rd_addr_f == 8'h4c; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_76; // @[Reg.scala 27:20] + wire [1:0] _T_21996 = _T_21560 ? bht_bank_rd_data_out_1_76 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22251 = _T_22250 | _T_21996; // @[Mux.scala 27:72] + wire _T_21562 = bht_rd_addr_f == 8'h4d; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_77; // @[Reg.scala 27:20] + wire [1:0] _T_21997 = _T_21562 ? bht_bank_rd_data_out_1_77 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22252 = _T_22251 | _T_21997; // @[Mux.scala 27:72] + wire _T_21564 = bht_rd_addr_f == 8'h4e; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_78; // @[Reg.scala 27:20] + wire [1:0] _T_21998 = _T_21564 ? bht_bank_rd_data_out_1_78 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22253 = _T_22252 | _T_21998; // @[Mux.scala 27:72] + wire _T_21566 = bht_rd_addr_f == 8'h4f; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_79; // @[Reg.scala 27:20] + wire [1:0] _T_21999 = _T_21566 ? bht_bank_rd_data_out_1_79 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22254 = _T_22253 | _T_21999; // @[Mux.scala 27:72] + wire _T_21568 = bht_rd_addr_f == 8'h50; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_80; // @[Reg.scala 27:20] + wire [1:0] _T_22000 = _T_21568 ? bht_bank_rd_data_out_1_80 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22255 = _T_22254 | _T_22000; // @[Mux.scala 27:72] + wire _T_21570 = bht_rd_addr_f == 8'h51; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_81; // @[Reg.scala 27:20] + wire [1:0] _T_22001 = _T_21570 ? bht_bank_rd_data_out_1_81 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22256 = _T_22255 | _T_22001; // @[Mux.scala 27:72] + wire _T_21572 = bht_rd_addr_f == 8'h52; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_82; // @[Reg.scala 27:20] + wire [1:0] _T_22002 = _T_21572 ? bht_bank_rd_data_out_1_82 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22257 = _T_22256 | _T_22002; // @[Mux.scala 27:72] + wire _T_21574 = bht_rd_addr_f == 8'h53; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_83; // @[Reg.scala 27:20] + wire [1:0] _T_22003 = _T_21574 ? bht_bank_rd_data_out_1_83 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22258 = _T_22257 | _T_22003; // @[Mux.scala 27:72] + wire _T_21576 = bht_rd_addr_f == 8'h54; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_84; // @[Reg.scala 27:20] + wire [1:0] _T_22004 = _T_21576 ? bht_bank_rd_data_out_1_84 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22259 = _T_22258 | _T_22004; // @[Mux.scala 27:72] + wire _T_21578 = bht_rd_addr_f == 8'h55; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_85; // @[Reg.scala 27:20] + wire [1:0] _T_22005 = _T_21578 ? bht_bank_rd_data_out_1_85 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22260 = _T_22259 | _T_22005; // @[Mux.scala 27:72] + wire _T_21580 = bht_rd_addr_f == 8'h56; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_86; // @[Reg.scala 27:20] + wire [1:0] _T_22006 = _T_21580 ? bht_bank_rd_data_out_1_86 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22261 = _T_22260 | _T_22006; // @[Mux.scala 27:72] + wire _T_21582 = bht_rd_addr_f == 8'h57; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_87; // @[Reg.scala 27:20] + wire [1:0] _T_22007 = _T_21582 ? bht_bank_rd_data_out_1_87 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22262 = _T_22261 | _T_22007; // @[Mux.scala 27:72] + wire _T_21584 = bht_rd_addr_f == 8'h58; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_88; // @[Reg.scala 27:20] + wire [1:0] _T_22008 = _T_21584 ? bht_bank_rd_data_out_1_88 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22263 = _T_22262 | _T_22008; // @[Mux.scala 27:72] + wire _T_21586 = bht_rd_addr_f == 8'h59; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_89; // @[Reg.scala 27:20] + wire [1:0] _T_22009 = _T_21586 ? bht_bank_rd_data_out_1_89 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22264 = _T_22263 | _T_22009; // @[Mux.scala 27:72] + wire _T_21588 = bht_rd_addr_f == 8'h5a; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_90; // @[Reg.scala 27:20] + wire [1:0] _T_22010 = _T_21588 ? bht_bank_rd_data_out_1_90 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22265 = _T_22264 | _T_22010; // @[Mux.scala 27:72] + wire _T_21590 = bht_rd_addr_f == 8'h5b; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_91; // @[Reg.scala 27:20] + wire [1:0] _T_22011 = _T_21590 ? bht_bank_rd_data_out_1_91 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22266 = _T_22265 | _T_22011; // @[Mux.scala 27:72] + wire _T_21592 = bht_rd_addr_f == 8'h5c; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_92; // @[Reg.scala 27:20] + wire [1:0] _T_22012 = _T_21592 ? bht_bank_rd_data_out_1_92 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22267 = _T_22266 | _T_22012; // @[Mux.scala 27:72] + wire _T_21594 = bht_rd_addr_f == 8'h5d; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_93; // @[Reg.scala 27:20] + wire [1:0] _T_22013 = _T_21594 ? bht_bank_rd_data_out_1_93 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22268 = _T_22267 | _T_22013; // @[Mux.scala 27:72] + wire _T_21596 = bht_rd_addr_f == 8'h5e; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_94; // @[Reg.scala 27:20] + wire [1:0] _T_22014 = _T_21596 ? bht_bank_rd_data_out_1_94 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22269 = _T_22268 | _T_22014; // @[Mux.scala 27:72] + wire _T_21598 = bht_rd_addr_f == 8'h5f; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_95; // @[Reg.scala 27:20] + wire [1:0] _T_22015 = _T_21598 ? bht_bank_rd_data_out_1_95 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22270 = _T_22269 | _T_22015; // @[Mux.scala 27:72] + wire _T_21600 = bht_rd_addr_f == 8'h60; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_96; // @[Reg.scala 27:20] + wire [1:0] _T_22016 = _T_21600 ? bht_bank_rd_data_out_1_96 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22271 = _T_22270 | _T_22016; // @[Mux.scala 27:72] + wire _T_21602 = bht_rd_addr_f == 8'h61; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_97; // @[Reg.scala 27:20] + wire [1:0] _T_22017 = _T_21602 ? bht_bank_rd_data_out_1_97 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22272 = _T_22271 | _T_22017; // @[Mux.scala 27:72] + wire _T_21604 = bht_rd_addr_f == 8'h62; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_98; // @[Reg.scala 27:20] + wire [1:0] _T_22018 = _T_21604 ? bht_bank_rd_data_out_1_98 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22273 = _T_22272 | _T_22018; // @[Mux.scala 27:72] + wire _T_21606 = bht_rd_addr_f == 8'h63; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_99; // @[Reg.scala 27:20] + wire [1:0] _T_22019 = _T_21606 ? bht_bank_rd_data_out_1_99 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22274 = _T_22273 | _T_22019; // @[Mux.scala 27:72] + wire _T_21608 = bht_rd_addr_f == 8'h64; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_100; // @[Reg.scala 27:20] + wire [1:0] _T_22020 = _T_21608 ? bht_bank_rd_data_out_1_100 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22275 = _T_22274 | _T_22020; // @[Mux.scala 27:72] + wire _T_21610 = bht_rd_addr_f == 8'h65; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_101; // @[Reg.scala 27:20] + wire [1:0] _T_22021 = _T_21610 ? bht_bank_rd_data_out_1_101 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22276 = _T_22275 | _T_22021; // @[Mux.scala 27:72] + wire _T_21612 = bht_rd_addr_f == 8'h66; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_102; // @[Reg.scala 27:20] + wire [1:0] _T_22022 = _T_21612 ? bht_bank_rd_data_out_1_102 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22277 = _T_22276 | _T_22022; // @[Mux.scala 27:72] + wire _T_21614 = bht_rd_addr_f == 8'h67; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_103; // @[Reg.scala 27:20] + wire [1:0] _T_22023 = _T_21614 ? bht_bank_rd_data_out_1_103 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22278 = _T_22277 | _T_22023; // @[Mux.scala 27:72] + wire _T_21616 = bht_rd_addr_f == 8'h68; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_104; // @[Reg.scala 27:20] + wire [1:0] _T_22024 = _T_21616 ? bht_bank_rd_data_out_1_104 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22279 = _T_22278 | _T_22024; // @[Mux.scala 27:72] + wire _T_21618 = bht_rd_addr_f == 8'h69; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_105; // @[Reg.scala 27:20] + wire [1:0] _T_22025 = _T_21618 ? bht_bank_rd_data_out_1_105 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22280 = _T_22279 | _T_22025; // @[Mux.scala 27:72] + wire _T_21620 = bht_rd_addr_f == 8'h6a; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_106; // @[Reg.scala 27:20] + wire [1:0] _T_22026 = _T_21620 ? bht_bank_rd_data_out_1_106 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22281 = _T_22280 | _T_22026; // @[Mux.scala 27:72] + wire _T_21622 = bht_rd_addr_f == 8'h6b; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_107; // @[Reg.scala 27:20] + wire [1:0] _T_22027 = _T_21622 ? bht_bank_rd_data_out_1_107 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22282 = _T_22281 | _T_22027; // @[Mux.scala 27:72] + wire _T_21624 = bht_rd_addr_f == 8'h6c; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_108; // @[Reg.scala 27:20] + wire [1:0] _T_22028 = _T_21624 ? bht_bank_rd_data_out_1_108 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22283 = _T_22282 | _T_22028; // @[Mux.scala 27:72] + wire _T_21626 = bht_rd_addr_f == 8'h6d; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_109; // @[Reg.scala 27:20] + wire [1:0] _T_22029 = _T_21626 ? bht_bank_rd_data_out_1_109 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22284 = _T_22283 | _T_22029; // @[Mux.scala 27:72] + wire _T_21628 = bht_rd_addr_f == 8'h6e; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_110; // @[Reg.scala 27:20] + wire [1:0] _T_22030 = _T_21628 ? bht_bank_rd_data_out_1_110 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22285 = _T_22284 | _T_22030; // @[Mux.scala 27:72] + wire _T_21630 = bht_rd_addr_f == 8'h6f; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_111; // @[Reg.scala 27:20] + wire [1:0] _T_22031 = _T_21630 ? bht_bank_rd_data_out_1_111 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22286 = _T_22285 | _T_22031; // @[Mux.scala 27:72] + wire _T_21632 = bht_rd_addr_f == 8'h70; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_112; // @[Reg.scala 27:20] + wire [1:0] _T_22032 = _T_21632 ? bht_bank_rd_data_out_1_112 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22287 = _T_22286 | _T_22032; // @[Mux.scala 27:72] + wire _T_21634 = bht_rd_addr_f == 8'h71; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_113; // @[Reg.scala 27:20] + wire [1:0] _T_22033 = _T_21634 ? bht_bank_rd_data_out_1_113 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22288 = _T_22287 | _T_22033; // @[Mux.scala 27:72] + wire _T_21636 = bht_rd_addr_f == 8'h72; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_114; // @[Reg.scala 27:20] + wire [1:0] _T_22034 = _T_21636 ? bht_bank_rd_data_out_1_114 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22289 = _T_22288 | _T_22034; // @[Mux.scala 27:72] + wire _T_21638 = bht_rd_addr_f == 8'h73; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_115; // @[Reg.scala 27:20] + wire [1:0] _T_22035 = _T_21638 ? bht_bank_rd_data_out_1_115 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22290 = _T_22289 | _T_22035; // @[Mux.scala 27:72] + wire _T_21640 = bht_rd_addr_f == 8'h74; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_116; // @[Reg.scala 27:20] + wire [1:0] _T_22036 = _T_21640 ? bht_bank_rd_data_out_1_116 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22291 = _T_22290 | _T_22036; // @[Mux.scala 27:72] + wire _T_21642 = bht_rd_addr_f == 8'h75; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_117; // @[Reg.scala 27:20] + wire [1:0] _T_22037 = _T_21642 ? bht_bank_rd_data_out_1_117 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22292 = _T_22291 | _T_22037; // @[Mux.scala 27:72] + wire _T_21644 = bht_rd_addr_f == 8'h76; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_118; // @[Reg.scala 27:20] + wire [1:0] _T_22038 = _T_21644 ? bht_bank_rd_data_out_1_118 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22293 = _T_22292 | _T_22038; // @[Mux.scala 27:72] + wire _T_21646 = bht_rd_addr_f == 8'h77; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_119; // @[Reg.scala 27:20] + wire [1:0] _T_22039 = _T_21646 ? bht_bank_rd_data_out_1_119 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22294 = _T_22293 | _T_22039; // @[Mux.scala 27:72] + wire _T_21648 = bht_rd_addr_f == 8'h78; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_120; // @[Reg.scala 27:20] + wire [1:0] _T_22040 = _T_21648 ? bht_bank_rd_data_out_1_120 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22295 = _T_22294 | _T_22040; // @[Mux.scala 27:72] + wire _T_21650 = bht_rd_addr_f == 8'h79; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_121; // @[Reg.scala 27:20] + wire [1:0] _T_22041 = _T_21650 ? bht_bank_rd_data_out_1_121 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22296 = _T_22295 | _T_22041; // @[Mux.scala 27:72] + wire _T_21652 = bht_rd_addr_f == 8'h7a; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_122; // @[Reg.scala 27:20] + wire [1:0] _T_22042 = _T_21652 ? bht_bank_rd_data_out_1_122 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22297 = _T_22296 | _T_22042; // @[Mux.scala 27:72] + wire _T_21654 = bht_rd_addr_f == 8'h7b; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_123; // @[Reg.scala 27:20] + wire [1:0] _T_22043 = _T_21654 ? bht_bank_rd_data_out_1_123 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22298 = _T_22297 | _T_22043; // @[Mux.scala 27:72] + wire _T_21656 = bht_rd_addr_f == 8'h7c; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_124; // @[Reg.scala 27:20] + wire [1:0] _T_22044 = _T_21656 ? bht_bank_rd_data_out_1_124 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22299 = _T_22298 | _T_22044; // @[Mux.scala 27:72] + wire _T_21658 = bht_rd_addr_f == 8'h7d; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_125; // @[Reg.scala 27:20] + wire [1:0] _T_22045 = _T_21658 ? bht_bank_rd_data_out_1_125 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22300 = _T_22299 | _T_22045; // @[Mux.scala 27:72] + wire _T_21660 = bht_rd_addr_f == 8'h7e; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_126; // @[Reg.scala 27:20] + wire [1:0] _T_22046 = _T_21660 ? bht_bank_rd_data_out_1_126 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22301 = _T_22300 | _T_22046; // @[Mux.scala 27:72] + wire _T_21662 = bht_rd_addr_f == 8'h7f; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_127; // @[Reg.scala 27:20] + wire [1:0] _T_22047 = _T_21662 ? bht_bank_rd_data_out_1_127 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22302 = _T_22301 | _T_22047; // @[Mux.scala 27:72] + wire _T_21664 = bht_rd_addr_f == 8'h80; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_128; // @[Reg.scala 27:20] + wire [1:0] _T_22048 = _T_21664 ? bht_bank_rd_data_out_1_128 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22303 = _T_22302 | _T_22048; // @[Mux.scala 27:72] + wire _T_21666 = bht_rd_addr_f == 8'h81; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_129; // @[Reg.scala 27:20] + wire [1:0] _T_22049 = _T_21666 ? bht_bank_rd_data_out_1_129 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22304 = _T_22303 | _T_22049; // @[Mux.scala 27:72] + wire _T_21668 = bht_rd_addr_f == 8'h82; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_130; // @[Reg.scala 27:20] + wire [1:0] _T_22050 = _T_21668 ? bht_bank_rd_data_out_1_130 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22305 = _T_22304 | _T_22050; // @[Mux.scala 27:72] + wire _T_21670 = bht_rd_addr_f == 8'h83; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_131; // @[Reg.scala 27:20] + wire [1:0] _T_22051 = _T_21670 ? bht_bank_rd_data_out_1_131 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22306 = _T_22305 | _T_22051; // @[Mux.scala 27:72] + wire _T_21672 = bht_rd_addr_f == 8'h84; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_132; // @[Reg.scala 27:20] + wire [1:0] _T_22052 = _T_21672 ? bht_bank_rd_data_out_1_132 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22307 = _T_22306 | _T_22052; // @[Mux.scala 27:72] + wire _T_21674 = bht_rd_addr_f == 8'h85; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_133; // @[Reg.scala 27:20] + wire [1:0] _T_22053 = _T_21674 ? bht_bank_rd_data_out_1_133 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22308 = _T_22307 | _T_22053; // @[Mux.scala 27:72] + wire _T_21676 = bht_rd_addr_f == 8'h86; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_134; // @[Reg.scala 27:20] + wire [1:0] _T_22054 = _T_21676 ? bht_bank_rd_data_out_1_134 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22309 = _T_22308 | _T_22054; // @[Mux.scala 27:72] + wire _T_21678 = bht_rd_addr_f == 8'h87; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_135; // @[Reg.scala 27:20] + wire [1:0] _T_22055 = _T_21678 ? bht_bank_rd_data_out_1_135 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22310 = _T_22309 | _T_22055; // @[Mux.scala 27:72] + wire _T_21680 = bht_rd_addr_f == 8'h88; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_136; // @[Reg.scala 27:20] + wire [1:0] _T_22056 = _T_21680 ? bht_bank_rd_data_out_1_136 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22311 = _T_22310 | _T_22056; // @[Mux.scala 27:72] + wire _T_21682 = bht_rd_addr_f == 8'h89; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_137; // @[Reg.scala 27:20] + wire [1:0] _T_22057 = _T_21682 ? bht_bank_rd_data_out_1_137 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22312 = _T_22311 | _T_22057; // @[Mux.scala 27:72] + wire _T_21684 = bht_rd_addr_f == 8'h8a; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_138; // @[Reg.scala 27:20] + wire [1:0] _T_22058 = _T_21684 ? bht_bank_rd_data_out_1_138 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22313 = _T_22312 | _T_22058; // @[Mux.scala 27:72] + wire _T_21686 = bht_rd_addr_f == 8'h8b; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_139; // @[Reg.scala 27:20] + wire [1:0] _T_22059 = _T_21686 ? bht_bank_rd_data_out_1_139 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22314 = _T_22313 | _T_22059; // @[Mux.scala 27:72] + wire _T_21688 = bht_rd_addr_f == 8'h8c; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_140; // @[Reg.scala 27:20] + wire [1:0] _T_22060 = _T_21688 ? bht_bank_rd_data_out_1_140 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22315 = _T_22314 | _T_22060; // @[Mux.scala 27:72] + wire _T_21690 = bht_rd_addr_f == 8'h8d; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_141; // @[Reg.scala 27:20] + wire [1:0] _T_22061 = _T_21690 ? bht_bank_rd_data_out_1_141 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22316 = _T_22315 | _T_22061; // @[Mux.scala 27:72] + wire _T_21692 = bht_rd_addr_f == 8'h8e; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_142; // @[Reg.scala 27:20] + wire [1:0] _T_22062 = _T_21692 ? bht_bank_rd_data_out_1_142 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22317 = _T_22316 | _T_22062; // @[Mux.scala 27:72] + wire _T_21694 = bht_rd_addr_f == 8'h8f; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_143; // @[Reg.scala 27:20] + wire [1:0] _T_22063 = _T_21694 ? bht_bank_rd_data_out_1_143 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22318 = _T_22317 | _T_22063; // @[Mux.scala 27:72] + wire _T_21696 = bht_rd_addr_f == 8'h90; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_144; // @[Reg.scala 27:20] + wire [1:0] _T_22064 = _T_21696 ? bht_bank_rd_data_out_1_144 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22319 = _T_22318 | _T_22064; // @[Mux.scala 27:72] + wire _T_21698 = bht_rd_addr_f == 8'h91; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_145; // @[Reg.scala 27:20] + wire [1:0] _T_22065 = _T_21698 ? bht_bank_rd_data_out_1_145 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22320 = _T_22319 | _T_22065; // @[Mux.scala 27:72] + wire _T_21700 = bht_rd_addr_f == 8'h92; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_146; // @[Reg.scala 27:20] + wire [1:0] _T_22066 = _T_21700 ? bht_bank_rd_data_out_1_146 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22321 = _T_22320 | _T_22066; // @[Mux.scala 27:72] + wire _T_21702 = bht_rd_addr_f == 8'h93; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_147; // @[Reg.scala 27:20] + wire [1:0] _T_22067 = _T_21702 ? bht_bank_rd_data_out_1_147 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22322 = _T_22321 | _T_22067; // @[Mux.scala 27:72] + wire _T_21704 = bht_rd_addr_f == 8'h94; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_148; // @[Reg.scala 27:20] + wire [1:0] _T_22068 = _T_21704 ? bht_bank_rd_data_out_1_148 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22323 = _T_22322 | _T_22068; // @[Mux.scala 27:72] + wire _T_21706 = bht_rd_addr_f == 8'h95; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_149; // @[Reg.scala 27:20] + wire [1:0] _T_22069 = _T_21706 ? bht_bank_rd_data_out_1_149 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22324 = _T_22323 | _T_22069; // @[Mux.scala 27:72] + wire _T_21708 = bht_rd_addr_f == 8'h96; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_150; // @[Reg.scala 27:20] + wire [1:0] _T_22070 = _T_21708 ? bht_bank_rd_data_out_1_150 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22325 = _T_22324 | _T_22070; // @[Mux.scala 27:72] + wire _T_21710 = bht_rd_addr_f == 8'h97; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_151; // @[Reg.scala 27:20] + wire [1:0] _T_22071 = _T_21710 ? bht_bank_rd_data_out_1_151 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22326 = _T_22325 | _T_22071; // @[Mux.scala 27:72] + wire _T_21712 = bht_rd_addr_f == 8'h98; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_152; // @[Reg.scala 27:20] + wire [1:0] _T_22072 = _T_21712 ? bht_bank_rd_data_out_1_152 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22327 = _T_22326 | _T_22072; // @[Mux.scala 27:72] + wire _T_21714 = bht_rd_addr_f == 8'h99; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_153; // @[Reg.scala 27:20] + wire [1:0] _T_22073 = _T_21714 ? bht_bank_rd_data_out_1_153 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22328 = _T_22327 | _T_22073; // @[Mux.scala 27:72] + wire _T_21716 = bht_rd_addr_f == 8'h9a; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_154; // @[Reg.scala 27:20] + wire [1:0] _T_22074 = _T_21716 ? bht_bank_rd_data_out_1_154 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22329 = _T_22328 | _T_22074; // @[Mux.scala 27:72] + wire _T_21718 = bht_rd_addr_f == 8'h9b; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_155; // @[Reg.scala 27:20] + wire [1:0] _T_22075 = _T_21718 ? bht_bank_rd_data_out_1_155 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22330 = _T_22329 | _T_22075; // @[Mux.scala 27:72] + wire _T_21720 = bht_rd_addr_f == 8'h9c; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_156; // @[Reg.scala 27:20] + wire [1:0] _T_22076 = _T_21720 ? bht_bank_rd_data_out_1_156 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22331 = _T_22330 | _T_22076; // @[Mux.scala 27:72] + wire _T_21722 = bht_rd_addr_f == 8'h9d; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_157; // @[Reg.scala 27:20] + wire [1:0] _T_22077 = _T_21722 ? bht_bank_rd_data_out_1_157 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22332 = _T_22331 | _T_22077; // @[Mux.scala 27:72] + wire _T_21724 = bht_rd_addr_f == 8'h9e; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_158; // @[Reg.scala 27:20] + wire [1:0] _T_22078 = _T_21724 ? bht_bank_rd_data_out_1_158 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22333 = _T_22332 | _T_22078; // @[Mux.scala 27:72] + wire _T_21726 = bht_rd_addr_f == 8'h9f; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_159; // @[Reg.scala 27:20] + wire [1:0] _T_22079 = _T_21726 ? bht_bank_rd_data_out_1_159 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22334 = _T_22333 | _T_22079; // @[Mux.scala 27:72] + wire _T_21728 = bht_rd_addr_f == 8'ha0; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_160; // @[Reg.scala 27:20] + wire [1:0] _T_22080 = _T_21728 ? bht_bank_rd_data_out_1_160 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22335 = _T_22334 | _T_22080; // @[Mux.scala 27:72] + wire _T_21730 = bht_rd_addr_f == 8'ha1; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_161; // @[Reg.scala 27:20] + wire [1:0] _T_22081 = _T_21730 ? bht_bank_rd_data_out_1_161 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22336 = _T_22335 | _T_22081; // @[Mux.scala 27:72] + wire _T_21732 = bht_rd_addr_f == 8'ha2; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_162; // @[Reg.scala 27:20] + wire [1:0] _T_22082 = _T_21732 ? bht_bank_rd_data_out_1_162 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22337 = _T_22336 | _T_22082; // @[Mux.scala 27:72] + wire _T_21734 = bht_rd_addr_f == 8'ha3; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_163; // @[Reg.scala 27:20] + wire [1:0] _T_22083 = _T_21734 ? bht_bank_rd_data_out_1_163 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22338 = _T_22337 | _T_22083; // @[Mux.scala 27:72] + wire _T_21736 = bht_rd_addr_f == 8'ha4; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_164; // @[Reg.scala 27:20] + wire [1:0] _T_22084 = _T_21736 ? bht_bank_rd_data_out_1_164 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22339 = _T_22338 | _T_22084; // @[Mux.scala 27:72] + wire _T_21738 = bht_rd_addr_f == 8'ha5; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_165; // @[Reg.scala 27:20] + wire [1:0] _T_22085 = _T_21738 ? bht_bank_rd_data_out_1_165 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22340 = _T_22339 | _T_22085; // @[Mux.scala 27:72] + wire _T_21740 = bht_rd_addr_f == 8'ha6; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_166; // @[Reg.scala 27:20] + wire [1:0] _T_22086 = _T_21740 ? bht_bank_rd_data_out_1_166 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22341 = _T_22340 | _T_22086; // @[Mux.scala 27:72] + wire _T_21742 = bht_rd_addr_f == 8'ha7; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_167; // @[Reg.scala 27:20] + wire [1:0] _T_22087 = _T_21742 ? bht_bank_rd_data_out_1_167 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22342 = _T_22341 | _T_22087; // @[Mux.scala 27:72] + wire _T_21744 = bht_rd_addr_f == 8'ha8; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_168; // @[Reg.scala 27:20] + wire [1:0] _T_22088 = _T_21744 ? bht_bank_rd_data_out_1_168 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22343 = _T_22342 | _T_22088; // @[Mux.scala 27:72] + wire _T_21746 = bht_rd_addr_f == 8'ha9; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_169; // @[Reg.scala 27:20] + wire [1:0] _T_22089 = _T_21746 ? bht_bank_rd_data_out_1_169 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22344 = _T_22343 | _T_22089; // @[Mux.scala 27:72] + wire _T_21748 = bht_rd_addr_f == 8'haa; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_170; // @[Reg.scala 27:20] + wire [1:0] _T_22090 = _T_21748 ? bht_bank_rd_data_out_1_170 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22345 = _T_22344 | _T_22090; // @[Mux.scala 27:72] + wire _T_21750 = bht_rd_addr_f == 8'hab; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_171; // @[Reg.scala 27:20] + wire [1:0] _T_22091 = _T_21750 ? bht_bank_rd_data_out_1_171 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22346 = _T_22345 | _T_22091; // @[Mux.scala 27:72] + wire _T_21752 = bht_rd_addr_f == 8'hac; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_172; // @[Reg.scala 27:20] + wire [1:0] _T_22092 = _T_21752 ? bht_bank_rd_data_out_1_172 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22347 = _T_22346 | _T_22092; // @[Mux.scala 27:72] + wire _T_21754 = bht_rd_addr_f == 8'had; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_173; // @[Reg.scala 27:20] + wire [1:0] _T_22093 = _T_21754 ? bht_bank_rd_data_out_1_173 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22348 = _T_22347 | _T_22093; // @[Mux.scala 27:72] + wire _T_21756 = bht_rd_addr_f == 8'hae; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_174; // @[Reg.scala 27:20] + wire [1:0] _T_22094 = _T_21756 ? bht_bank_rd_data_out_1_174 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22349 = _T_22348 | _T_22094; // @[Mux.scala 27:72] + wire _T_21758 = bht_rd_addr_f == 8'haf; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_175; // @[Reg.scala 27:20] + wire [1:0] _T_22095 = _T_21758 ? bht_bank_rd_data_out_1_175 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22350 = _T_22349 | _T_22095; // @[Mux.scala 27:72] + wire _T_21760 = bht_rd_addr_f == 8'hb0; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_176; // @[Reg.scala 27:20] + wire [1:0] _T_22096 = _T_21760 ? bht_bank_rd_data_out_1_176 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22351 = _T_22350 | _T_22096; // @[Mux.scala 27:72] + wire _T_21762 = bht_rd_addr_f == 8'hb1; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_177; // @[Reg.scala 27:20] + wire [1:0] _T_22097 = _T_21762 ? bht_bank_rd_data_out_1_177 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22352 = _T_22351 | _T_22097; // @[Mux.scala 27:72] + wire _T_21764 = bht_rd_addr_f == 8'hb2; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_178; // @[Reg.scala 27:20] + wire [1:0] _T_22098 = _T_21764 ? bht_bank_rd_data_out_1_178 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22353 = _T_22352 | _T_22098; // @[Mux.scala 27:72] + wire _T_21766 = bht_rd_addr_f == 8'hb3; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_179; // @[Reg.scala 27:20] + wire [1:0] _T_22099 = _T_21766 ? bht_bank_rd_data_out_1_179 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22354 = _T_22353 | _T_22099; // @[Mux.scala 27:72] + wire _T_21768 = bht_rd_addr_f == 8'hb4; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_180; // @[Reg.scala 27:20] + wire [1:0] _T_22100 = _T_21768 ? bht_bank_rd_data_out_1_180 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22355 = _T_22354 | _T_22100; // @[Mux.scala 27:72] + wire _T_21770 = bht_rd_addr_f == 8'hb5; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_181; // @[Reg.scala 27:20] + wire [1:0] _T_22101 = _T_21770 ? bht_bank_rd_data_out_1_181 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22356 = _T_22355 | _T_22101; // @[Mux.scala 27:72] + wire _T_21772 = bht_rd_addr_f == 8'hb6; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_182; // @[Reg.scala 27:20] + wire [1:0] _T_22102 = _T_21772 ? bht_bank_rd_data_out_1_182 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22357 = _T_22356 | _T_22102; // @[Mux.scala 27:72] + wire _T_21774 = bht_rd_addr_f == 8'hb7; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_183; // @[Reg.scala 27:20] + wire [1:0] _T_22103 = _T_21774 ? bht_bank_rd_data_out_1_183 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22358 = _T_22357 | _T_22103; // @[Mux.scala 27:72] + wire _T_21776 = bht_rd_addr_f == 8'hb8; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_184; // @[Reg.scala 27:20] + wire [1:0] _T_22104 = _T_21776 ? bht_bank_rd_data_out_1_184 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22359 = _T_22358 | _T_22104; // @[Mux.scala 27:72] + wire _T_21778 = bht_rd_addr_f == 8'hb9; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_185; // @[Reg.scala 27:20] + wire [1:0] _T_22105 = _T_21778 ? bht_bank_rd_data_out_1_185 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22360 = _T_22359 | _T_22105; // @[Mux.scala 27:72] + wire _T_21780 = bht_rd_addr_f == 8'hba; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_186; // @[Reg.scala 27:20] + wire [1:0] _T_22106 = _T_21780 ? bht_bank_rd_data_out_1_186 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22361 = _T_22360 | _T_22106; // @[Mux.scala 27:72] + wire _T_21782 = bht_rd_addr_f == 8'hbb; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_187; // @[Reg.scala 27:20] + wire [1:0] _T_22107 = _T_21782 ? bht_bank_rd_data_out_1_187 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22362 = _T_22361 | _T_22107; // @[Mux.scala 27:72] + wire _T_21784 = bht_rd_addr_f == 8'hbc; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_188; // @[Reg.scala 27:20] + wire [1:0] _T_22108 = _T_21784 ? bht_bank_rd_data_out_1_188 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22363 = _T_22362 | _T_22108; // @[Mux.scala 27:72] + wire _T_21786 = bht_rd_addr_f == 8'hbd; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_189; // @[Reg.scala 27:20] + wire [1:0] _T_22109 = _T_21786 ? bht_bank_rd_data_out_1_189 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22364 = _T_22363 | _T_22109; // @[Mux.scala 27:72] + wire _T_21788 = bht_rd_addr_f == 8'hbe; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_190; // @[Reg.scala 27:20] + wire [1:0] _T_22110 = _T_21788 ? bht_bank_rd_data_out_1_190 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22365 = _T_22364 | _T_22110; // @[Mux.scala 27:72] + wire _T_21790 = bht_rd_addr_f == 8'hbf; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_191; // @[Reg.scala 27:20] + wire [1:0] _T_22111 = _T_21790 ? bht_bank_rd_data_out_1_191 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22366 = _T_22365 | _T_22111; // @[Mux.scala 27:72] + wire _T_21792 = bht_rd_addr_f == 8'hc0; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_192; // @[Reg.scala 27:20] + wire [1:0] _T_22112 = _T_21792 ? bht_bank_rd_data_out_1_192 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22367 = _T_22366 | _T_22112; // @[Mux.scala 27:72] + wire _T_21794 = bht_rd_addr_f == 8'hc1; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_193; // @[Reg.scala 27:20] + wire [1:0] _T_22113 = _T_21794 ? bht_bank_rd_data_out_1_193 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22368 = _T_22367 | _T_22113; // @[Mux.scala 27:72] + wire _T_21796 = bht_rd_addr_f == 8'hc2; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_194; // @[Reg.scala 27:20] + wire [1:0] _T_22114 = _T_21796 ? bht_bank_rd_data_out_1_194 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22369 = _T_22368 | _T_22114; // @[Mux.scala 27:72] + wire _T_21798 = bht_rd_addr_f == 8'hc3; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_195; // @[Reg.scala 27:20] + wire [1:0] _T_22115 = _T_21798 ? bht_bank_rd_data_out_1_195 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22370 = _T_22369 | _T_22115; // @[Mux.scala 27:72] + wire _T_21800 = bht_rd_addr_f == 8'hc4; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_196; // @[Reg.scala 27:20] + wire [1:0] _T_22116 = _T_21800 ? bht_bank_rd_data_out_1_196 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22371 = _T_22370 | _T_22116; // @[Mux.scala 27:72] + wire _T_21802 = bht_rd_addr_f == 8'hc5; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_197; // @[Reg.scala 27:20] + wire [1:0] _T_22117 = _T_21802 ? bht_bank_rd_data_out_1_197 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22372 = _T_22371 | _T_22117; // @[Mux.scala 27:72] + wire _T_21804 = bht_rd_addr_f == 8'hc6; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_198; // @[Reg.scala 27:20] + wire [1:0] _T_22118 = _T_21804 ? bht_bank_rd_data_out_1_198 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22373 = _T_22372 | _T_22118; // @[Mux.scala 27:72] + wire _T_21806 = bht_rd_addr_f == 8'hc7; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_199; // @[Reg.scala 27:20] + wire [1:0] _T_22119 = _T_21806 ? bht_bank_rd_data_out_1_199 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22374 = _T_22373 | _T_22119; // @[Mux.scala 27:72] + wire _T_21808 = bht_rd_addr_f == 8'hc8; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_200; // @[Reg.scala 27:20] + wire [1:0] _T_22120 = _T_21808 ? bht_bank_rd_data_out_1_200 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22375 = _T_22374 | _T_22120; // @[Mux.scala 27:72] + wire _T_21810 = bht_rd_addr_f == 8'hc9; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_201; // @[Reg.scala 27:20] + wire [1:0] _T_22121 = _T_21810 ? bht_bank_rd_data_out_1_201 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22376 = _T_22375 | _T_22121; // @[Mux.scala 27:72] + wire _T_21812 = bht_rd_addr_f == 8'hca; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_202; // @[Reg.scala 27:20] + wire [1:0] _T_22122 = _T_21812 ? bht_bank_rd_data_out_1_202 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22377 = _T_22376 | _T_22122; // @[Mux.scala 27:72] + wire _T_21814 = bht_rd_addr_f == 8'hcb; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_203; // @[Reg.scala 27:20] + wire [1:0] _T_22123 = _T_21814 ? bht_bank_rd_data_out_1_203 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22378 = _T_22377 | _T_22123; // @[Mux.scala 27:72] + wire _T_21816 = bht_rd_addr_f == 8'hcc; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_204; // @[Reg.scala 27:20] + wire [1:0] _T_22124 = _T_21816 ? bht_bank_rd_data_out_1_204 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22379 = _T_22378 | _T_22124; // @[Mux.scala 27:72] + wire _T_21818 = bht_rd_addr_f == 8'hcd; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_205; // @[Reg.scala 27:20] + wire [1:0] _T_22125 = _T_21818 ? bht_bank_rd_data_out_1_205 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22380 = _T_22379 | _T_22125; // @[Mux.scala 27:72] + wire _T_21820 = bht_rd_addr_f == 8'hce; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_206; // @[Reg.scala 27:20] + wire [1:0] _T_22126 = _T_21820 ? bht_bank_rd_data_out_1_206 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22381 = _T_22380 | _T_22126; // @[Mux.scala 27:72] + wire _T_21822 = bht_rd_addr_f == 8'hcf; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_207; // @[Reg.scala 27:20] + wire [1:0] _T_22127 = _T_21822 ? bht_bank_rd_data_out_1_207 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22382 = _T_22381 | _T_22127; // @[Mux.scala 27:72] + wire _T_21824 = bht_rd_addr_f == 8'hd0; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_208; // @[Reg.scala 27:20] + wire [1:0] _T_22128 = _T_21824 ? bht_bank_rd_data_out_1_208 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22383 = _T_22382 | _T_22128; // @[Mux.scala 27:72] + wire _T_21826 = bht_rd_addr_f == 8'hd1; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_209; // @[Reg.scala 27:20] + wire [1:0] _T_22129 = _T_21826 ? bht_bank_rd_data_out_1_209 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22384 = _T_22383 | _T_22129; // @[Mux.scala 27:72] + wire _T_21828 = bht_rd_addr_f == 8'hd2; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_210; // @[Reg.scala 27:20] + wire [1:0] _T_22130 = _T_21828 ? bht_bank_rd_data_out_1_210 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22385 = _T_22384 | _T_22130; // @[Mux.scala 27:72] + wire _T_21830 = bht_rd_addr_f == 8'hd3; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_211; // @[Reg.scala 27:20] + wire [1:0] _T_22131 = _T_21830 ? bht_bank_rd_data_out_1_211 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22386 = _T_22385 | _T_22131; // @[Mux.scala 27:72] + wire _T_21832 = bht_rd_addr_f == 8'hd4; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_212; // @[Reg.scala 27:20] + wire [1:0] _T_22132 = _T_21832 ? bht_bank_rd_data_out_1_212 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22387 = _T_22386 | _T_22132; // @[Mux.scala 27:72] + wire _T_21834 = bht_rd_addr_f == 8'hd5; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_213; // @[Reg.scala 27:20] + wire [1:0] _T_22133 = _T_21834 ? bht_bank_rd_data_out_1_213 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22388 = _T_22387 | _T_22133; // @[Mux.scala 27:72] + wire _T_21836 = bht_rd_addr_f == 8'hd6; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_214; // @[Reg.scala 27:20] + wire [1:0] _T_22134 = _T_21836 ? bht_bank_rd_data_out_1_214 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22389 = _T_22388 | _T_22134; // @[Mux.scala 27:72] + wire _T_21838 = bht_rd_addr_f == 8'hd7; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_215; // @[Reg.scala 27:20] + wire [1:0] _T_22135 = _T_21838 ? bht_bank_rd_data_out_1_215 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22390 = _T_22389 | _T_22135; // @[Mux.scala 27:72] + wire _T_21840 = bht_rd_addr_f == 8'hd8; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_216; // @[Reg.scala 27:20] + wire [1:0] _T_22136 = _T_21840 ? bht_bank_rd_data_out_1_216 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22391 = _T_22390 | _T_22136; // @[Mux.scala 27:72] + wire _T_21842 = bht_rd_addr_f == 8'hd9; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_217; // @[Reg.scala 27:20] + wire [1:0] _T_22137 = _T_21842 ? bht_bank_rd_data_out_1_217 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22392 = _T_22391 | _T_22137; // @[Mux.scala 27:72] + wire _T_21844 = bht_rd_addr_f == 8'hda; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_218; // @[Reg.scala 27:20] + wire [1:0] _T_22138 = _T_21844 ? bht_bank_rd_data_out_1_218 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22393 = _T_22392 | _T_22138; // @[Mux.scala 27:72] + wire _T_21846 = bht_rd_addr_f == 8'hdb; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_219; // @[Reg.scala 27:20] + wire [1:0] _T_22139 = _T_21846 ? bht_bank_rd_data_out_1_219 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22394 = _T_22393 | _T_22139; // @[Mux.scala 27:72] + wire _T_21848 = bht_rd_addr_f == 8'hdc; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_220; // @[Reg.scala 27:20] + wire [1:0] _T_22140 = _T_21848 ? bht_bank_rd_data_out_1_220 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22395 = _T_22394 | _T_22140; // @[Mux.scala 27:72] + wire _T_21850 = bht_rd_addr_f == 8'hdd; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_221; // @[Reg.scala 27:20] + wire [1:0] _T_22141 = _T_21850 ? bht_bank_rd_data_out_1_221 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22396 = _T_22395 | _T_22141; // @[Mux.scala 27:72] + wire _T_21852 = bht_rd_addr_f == 8'hde; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_222; // @[Reg.scala 27:20] + wire [1:0] _T_22142 = _T_21852 ? bht_bank_rd_data_out_1_222 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22397 = _T_22396 | _T_22142; // @[Mux.scala 27:72] + wire _T_21854 = bht_rd_addr_f == 8'hdf; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_223; // @[Reg.scala 27:20] + wire [1:0] _T_22143 = _T_21854 ? bht_bank_rd_data_out_1_223 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22398 = _T_22397 | _T_22143; // @[Mux.scala 27:72] + wire _T_21856 = bht_rd_addr_f == 8'he0; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_224; // @[Reg.scala 27:20] + wire [1:0] _T_22144 = _T_21856 ? bht_bank_rd_data_out_1_224 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22399 = _T_22398 | _T_22144; // @[Mux.scala 27:72] + wire _T_21858 = bht_rd_addr_f == 8'he1; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_225; // @[Reg.scala 27:20] + wire [1:0] _T_22145 = _T_21858 ? bht_bank_rd_data_out_1_225 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22400 = _T_22399 | _T_22145; // @[Mux.scala 27:72] + wire _T_21860 = bht_rd_addr_f == 8'he2; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_226; // @[Reg.scala 27:20] + wire [1:0] _T_22146 = _T_21860 ? bht_bank_rd_data_out_1_226 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22401 = _T_22400 | _T_22146; // @[Mux.scala 27:72] + wire _T_21862 = bht_rd_addr_f == 8'he3; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_227; // @[Reg.scala 27:20] + wire [1:0] _T_22147 = _T_21862 ? bht_bank_rd_data_out_1_227 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22402 = _T_22401 | _T_22147; // @[Mux.scala 27:72] + wire _T_21864 = bht_rd_addr_f == 8'he4; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_228; // @[Reg.scala 27:20] + wire [1:0] _T_22148 = _T_21864 ? bht_bank_rd_data_out_1_228 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22403 = _T_22402 | _T_22148; // @[Mux.scala 27:72] + wire _T_21866 = bht_rd_addr_f == 8'he5; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_229; // @[Reg.scala 27:20] + wire [1:0] _T_22149 = _T_21866 ? bht_bank_rd_data_out_1_229 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22404 = _T_22403 | _T_22149; // @[Mux.scala 27:72] + wire _T_21868 = bht_rd_addr_f == 8'he6; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_230; // @[Reg.scala 27:20] + wire [1:0] _T_22150 = _T_21868 ? bht_bank_rd_data_out_1_230 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22405 = _T_22404 | _T_22150; // @[Mux.scala 27:72] + wire _T_21870 = bht_rd_addr_f == 8'he7; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_231; // @[Reg.scala 27:20] + wire [1:0] _T_22151 = _T_21870 ? bht_bank_rd_data_out_1_231 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22406 = _T_22405 | _T_22151; // @[Mux.scala 27:72] + wire _T_21872 = bht_rd_addr_f == 8'he8; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_232; // @[Reg.scala 27:20] + wire [1:0] _T_22152 = _T_21872 ? bht_bank_rd_data_out_1_232 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22407 = _T_22406 | _T_22152; // @[Mux.scala 27:72] + wire _T_21874 = bht_rd_addr_f == 8'he9; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_233; // @[Reg.scala 27:20] + wire [1:0] _T_22153 = _T_21874 ? bht_bank_rd_data_out_1_233 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22408 = _T_22407 | _T_22153; // @[Mux.scala 27:72] + wire _T_21876 = bht_rd_addr_f == 8'hea; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_234; // @[Reg.scala 27:20] + wire [1:0] _T_22154 = _T_21876 ? bht_bank_rd_data_out_1_234 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22409 = _T_22408 | _T_22154; // @[Mux.scala 27:72] + wire _T_21878 = bht_rd_addr_f == 8'heb; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_235; // @[Reg.scala 27:20] + wire [1:0] _T_22155 = _T_21878 ? bht_bank_rd_data_out_1_235 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22410 = _T_22409 | _T_22155; // @[Mux.scala 27:72] + wire _T_21880 = bht_rd_addr_f == 8'hec; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_236; // @[Reg.scala 27:20] + wire [1:0] _T_22156 = _T_21880 ? bht_bank_rd_data_out_1_236 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22411 = _T_22410 | _T_22156; // @[Mux.scala 27:72] + wire _T_21882 = bht_rd_addr_f == 8'hed; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_237; // @[Reg.scala 27:20] + wire [1:0] _T_22157 = _T_21882 ? bht_bank_rd_data_out_1_237 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22412 = _T_22411 | _T_22157; // @[Mux.scala 27:72] + wire _T_21884 = bht_rd_addr_f == 8'hee; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_238; // @[Reg.scala 27:20] + wire [1:0] _T_22158 = _T_21884 ? bht_bank_rd_data_out_1_238 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22413 = _T_22412 | _T_22158; // @[Mux.scala 27:72] + wire _T_21886 = bht_rd_addr_f == 8'hef; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_239; // @[Reg.scala 27:20] + wire [1:0] _T_22159 = _T_21886 ? bht_bank_rd_data_out_1_239 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22414 = _T_22413 | _T_22159; // @[Mux.scala 27:72] + wire _T_21888 = bht_rd_addr_f == 8'hf0; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_240; // @[Reg.scala 27:20] + wire [1:0] _T_22160 = _T_21888 ? bht_bank_rd_data_out_1_240 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22415 = _T_22414 | _T_22160; // @[Mux.scala 27:72] + wire _T_21890 = bht_rd_addr_f == 8'hf1; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_241; // @[Reg.scala 27:20] + wire [1:0] _T_22161 = _T_21890 ? bht_bank_rd_data_out_1_241 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22416 = _T_22415 | _T_22161; // @[Mux.scala 27:72] + wire _T_21892 = bht_rd_addr_f == 8'hf2; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_242; // @[Reg.scala 27:20] + wire [1:0] _T_22162 = _T_21892 ? bht_bank_rd_data_out_1_242 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22417 = _T_22416 | _T_22162; // @[Mux.scala 27:72] + wire _T_21894 = bht_rd_addr_f == 8'hf3; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_243; // @[Reg.scala 27:20] + wire [1:0] _T_22163 = _T_21894 ? bht_bank_rd_data_out_1_243 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22418 = _T_22417 | _T_22163; // @[Mux.scala 27:72] + wire _T_21896 = bht_rd_addr_f == 8'hf4; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_244; // @[Reg.scala 27:20] + wire [1:0] _T_22164 = _T_21896 ? bht_bank_rd_data_out_1_244 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22419 = _T_22418 | _T_22164; // @[Mux.scala 27:72] + wire _T_21898 = bht_rd_addr_f == 8'hf5; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_245; // @[Reg.scala 27:20] + wire [1:0] _T_22165 = _T_21898 ? bht_bank_rd_data_out_1_245 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22420 = _T_22419 | _T_22165; // @[Mux.scala 27:72] + wire _T_21900 = bht_rd_addr_f == 8'hf6; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_246; // @[Reg.scala 27:20] + wire [1:0] _T_22166 = _T_21900 ? bht_bank_rd_data_out_1_246 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22421 = _T_22420 | _T_22166; // @[Mux.scala 27:72] + wire _T_21902 = bht_rd_addr_f == 8'hf7; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_247; // @[Reg.scala 27:20] + wire [1:0] _T_22167 = _T_21902 ? bht_bank_rd_data_out_1_247 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22422 = _T_22421 | _T_22167; // @[Mux.scala 27:72] + wire _T_21904 = bht_rd_addr_f == 8'hf8; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_248; // @[Reg.scala 27:20] + wire [1:0] _T_22168 = _T_21904 ? bht_bank_rd_data_out_1_248 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22423 = _T_22422 | _T_22168; // @[Mux.scala 27:72] + wire _T_21906 = bht_rd_addr_f == 8'hf9; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_249; // @[Reg.scala 27:20] + wire [1:0] _T_22169 = _T_21906 ? bht_bank_rd_data_out_1_249 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22424 = _T_22423 | _T_22169; // @[Mux.scala 27:72] + wire _T_21908 = bht_rd_addr_f == 8'hfa; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_250; // @[Reg.scala 27:20] + wire [1:0] _T_22170 = _T_21908 ? bht_bank_rd_data_out_1_250 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22425 = _T_22424 | _T_22170; // @[Mux.scala 27:72] + wire _T_21910 = bht_rd_addr_f == 8'hfb; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_251; // @[Reg.scala 27:20] + wire [1:0] _T_22171 = _T_21910 ? bht_bank_rd_data_out_1_251 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22426 = _T_22425 | _T_22171; // @[Mux.scala 27:72] + wire _T_21912 = bht_rd_addr_f == 8'hfc; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_252; // @[Reg.scala 27:20] + wire [1:0] _T_22172 = _T_21912 ? bht_bank_rd_data_out_1_252 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22427 = _T_22426 | _T_22172; // @[Mux.scala 27:72] + wire _T_21914 = bht_rd_addr_f == 8'hfd; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_253; // @[Reg.scala 27:20] + wire [1:0] _T_22173 = _T_21914 ? bht_bank_rd_data_out_1_253 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22428 = _T_22427 | _T_22173; // @[Mux.scala 27:72] + wire _T_21916 = bht_rd_addr_f == 8'hfe; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_254; // @[Reg.scala 27:20] + wire [1:0] _T_22174 = _T_21916 ? bht_bank_rd_data_out_1_254 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_22429 = _T_22428 | _T_22174; // @[Mux.scala 27:72] + wire _T_21918 = bht_rd_addr_f == 8'hff; // @[ifu_bp_ctl.scala 455:79] + reg [1:0] bht_bank_rd_data_out_1_255; // @[Reg.scala 27:20] + wire [1:0] _T_22175 = _T_21918 ? bht_bank_rd_data_out_1_255 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] bht_bank1_rd_data_f = _T_22429 | _T_22175; // @[Mux.scala 27:72] + wire [1:0] _T_260 = _T_144 ? bht_bank1_rd_data_f : 2'h0; // @[Mux.scala 27:72] + wire [9:0] _T_573 = {btb_rd_addr_p1_f,2'h0}; // @[Cat.scala 29:58] + wire [7:0] bht_rd_addr_hashed_p1_f = _T_573[9:2] ^ fghr; // @[lib.scala 56:35] + wire _T_22432 = bht_rd_addr_hashed_p1_f == 8'h0; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_0; // @[Reg.scala 27:20] + wire [1:0] _T_22944 = _T_22432 ? bht_bank_rd_data_out_0_0 : 2'h0; // @[Mux.scala 27:72] + wire _T_22434 = bht_rd_addr_hashed_p1_f == 8'h1; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_1; // @[Reg.scala 27:20] + wire [1:0] _T_22945 = _T_22434 ? bht_bank_rd_data_out_0_1 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23200 = _T_22944 | _T_22945; // @[Mux.scala 27:72] + wire _T_22436 = bht_rd_addr_hashed_p1_f == 8'h2; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_2; // @[Reg.scala 27:20] + wire [1:0] _T_22946 = _T_22436 ? bht_bank_rd_data_out_0_2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23201 = _T_23200 | _T_22946; // @[Mux.scala 27:72] + wire _T_22438 = bht_rd_addr_hashed_p1_f == 8'h3; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_3; // @[Reg.scala 27:20] + wire [1:0] _T_22947 = _T_22438 ? bht_bank_rd_data_out_0_3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23202 = _T_23201 | _T_22947; // @[Mux.scala 27:72] + wire _T_22440 = bht_rd_addr_hashed_p1_f == 8'h4; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_4; // @[Reg.scala 27:20] + wire [1:0] _T_22948 = _T_22440 ? bht_bank_rd_data_out_0_4 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23203 = _T_23202 | _T_22948; // @[Mux.scala 27:72] + wire _T_22442 = bht_rd_addr_hashed_p1_f == 8'h5; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_5; // @[Reg.scala 27:20] + wire [1:0] _T_22949 = _T_22442 ? bht_bank_rd_data_out_0_5 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23204 = _T_23203 | _T_22949; // @[Mux.scala 27:72] + wire _T_22444 = bht_rd_addr_hashed_p1_f == 8'h6; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_6; // @[Reg.scala 27:20] + wire [1:0] _T_22950 = _T_22444 ? bht_bank_rd_data_out_0_6 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23205 = _T_23204 | _T_22950; // @[Mux.scala 27:72] + wire _T_22446 = bht_rd_addr_hashed_p1_f == 8'h7; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_7; // @[Reg.scala 27:20] + wire [1:0] _T_22951 = _T_22446 ? bht_bank_rd_data_out_0_7 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23206 = _T_23205 | _T_22951; // @[Mux.scala 27:72] + wire _T_22448 = bht_rd_addr_hashed_p1_f == 8'h8; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_8; // @[Reg.scala 27:20] + wire [1:0] _T_22952 = _T_22448 ? bht_bank_rd_data_out_0_8 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23207 = _T_23206 | _T_22952; // @[Mux.scala 27:72] + wire _T_22450 = bht_rd_addr_hashed_p1_f == 8'h9; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_9; // @[Reg.scala 27:20] + wire [1:0] _T_22953 = _T_22450 ? bht_bank_rd_data_out_0_9 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23208 = _T_23207 | _T_22953; // @[Mux.scala 27:72] + wire _T_22452 = bht_rd_addr_hashed_p1_f == 8'ha; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_10; // @[Reg.scala 27:20] + wire [1:0] _T_22954 = _T_22452 ? bht_bank_rd_data_out_0_10 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23209 = _T_23208 | _T_22954; // @[Mux.scala 27:72] + wire _T_22454 = bht_rd_addr_hashed_p1_f == 8'hb; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_11; // @[Reg.scala 27:20] + wire [1:0] _T_22955 = _T_22454 ? bht_bank_rd_data_out_0_11 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23210 = _T_23209 | _T_22955; // @[Mux.scala 27:72] + wire _T_22456 = bht_rd_addr_hashed_p1_f == 8'hc; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_12; // @[Reg.scala 27:20] + wire [1:0] _T_22956 = _T_22456 ? bht_bank_rd_data_out_0_12 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23211 = _T_23210 | _T_22956; // @[Mux.scala 27:72] + wire _T_22458 = bht_rd_addr_hashed_p1_f == 8'hd; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_13; // @[Reg.scala 27:20] + wire [1:0] _T_22957 = _T_22458 ? bht_bank_rd_data_out_0_13 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23212 = _T_23211 | _T_22957; // @[Mux.scala 27:72] + wire _T_22460 = bht_rd_addr_hashed_p1_f == 8'he; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_14; // @[Reg.scala 27:20] + wire [1:0] _T_22958 = _T_22460 ? bht_bank_rd_data_out_0_14 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23213 = _T_23212 | _T_22958; // @[Mux.scala 27:72] + wire _T_22462 = bht_rd_addr_hashed_p1_f == 8'hf; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_15; // @[Reg.scala 27:20] + wire [1:0] _T_22959 = _T_22462 ? bht_bank_rd_data_out_0_15 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23214 = _T_23213 | _T_22959; // @[Mux.scala 27:72] + wire _T_22464 = bht_rd_addr_hashed_p1_f == 8'h10; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_16; // @[Reg.scala 27:20] + wire [1:0] _T_22960 = _T_22464 ? bht_bank_rd_data_out_0_16 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23215 = _T_23214 | _T_22960; // @[Mux.scala 27:72] + wire _T_22466 = bht_rd_addr_hashed_p1_f == 8'h11; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_17; // @[Reg.scala 27:20] + wire [1:0] _T_22961 = _T_22466 ? bht_bank_rd_data_out_0_17 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23216 = _T_23215 | _T_22961; // @[Mux.scala 27:72] + wire _T_22468 = bht_rd_addr_hashed_p1_f == 8'h12; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_18; // @[Reg.scala 27:20] + wire [1:0] _T_22962 = _T_22468 ? bht_bank_rd_data_out_0_18 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23217 = _T_23216 | _T_22962; // @[Mux.scala 27:72] + wire _T_22470 = bht_rd_addr_hashed_p1_f == 8'h13; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_19; // @[Reg.scala 27:20] + wire [1:0] _T_22963 = _T_22470 ? bht_bank_rd_data_out_0_19 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23218 = _T_23217 | _T_22963; // @[Mux.scala 27:72] + wire _T_22472 = bht_rd_addr_hashed_p1_f == 8'h14; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_20; // @[Reg.scala 27:20] + wire [1:0] _T_22964 = _T_22472 ? bht_bank_rd_data_out_0_20 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23219 = _T_23218 | _T_22964; // @[Mux.scala 27:72] + wire _T_22474 = bht_rd_addr_hashed_p1_f == 8'h15; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_21; // @[Reg.scala 27:20] + wire [1:0] _T_22965 = _T_22474 ? bht_bank_rd_data_out_0_21 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23220 = _T_23219 | _T_22965; // @[Mux.scala 27:72] + wire _T_22476 = bht_rd_addr_hashed_p1_f == 8'h16; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_22; // @[Reg.scala 27:20] + wire [1:0] _T_22966 = _T_22476 ? bht_bank_rd_data_out_0_22 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23221 = _T_23220 | _T_22966; // @[Mux.scala 27:72] + wire _T_22478 = bht_rd_addr_hashed_p1_f == 8'h17; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_23; // @[Reg.scala 27:20] + wire [1:0] _T_22967 = _T_22478 ? bht_bank_rd_data_out_0_23 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23222 = _T_23221 | _T_22967; // @[Mux.scala 27:72] + wire _T_22480 = bht_rd_addr_hashed_p1_f == 8'h18; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_24; // @[Reg.scala 27:20] + wire [1:0] _T_22968 = _T_22480 ? bht_bank_rd_data_out_0_24 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23223 = _T_23222 | _T_22968; // @[Mux.scala 27:72] + wire _T_22482 = bht_rd_addr_hashed_p1_f == 8'h19; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_25; // @[Reg.scala 27:20] + wire [1:0] _T_22969 = _T_22482 ? bht_bank_rd_data_out_0_25 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23224 = _T_23223 | _T_22969; // @[Mux.scala 27:72] + wire _T_22484 = bht_rd_addr_hashed_p1_f == 8'h1a; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_26; // @[Reg.scala 27:20] + wire [1:0] _T_22970 = _T_22484 ? bht_bank_rd_data_out_0_26 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23225 = _T_23224 | _T_22970; // @[Mux.scala 27:72] + wire _T_22486 = bht_rd_addr_hashed_p1_f == 8'h1b; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_27; // @[Reg.scala 27:20] + wire [1:0] _T_22971 = _T_22486 ? bht_bank_rd_data_out_0_27 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23226 = _T_23225 | _T_22971; // @[Mux.scala 27:72] + wire _T_22488 = bht_rd_addr_hashed_p1_f == 8'h1c; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_28; // @[Reg.scala 27:20] + wire [1:0] _T_22972 = _T_22488 ? bht_bank_rd_data_out_0_28 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23227 = _T_23226 | _T_22972; // @[Mux.scala 27:72] + wire _T_22490 = bht_rd_addr_hashed_p1_f == 8'h1d; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_29; // @[Reg.scala 27:20] + wire [1:0] _T_22973 = _T_22490 ? bht_bank_rd_data_out_0_29 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23228 = _T_23227 | _T_22973; // @[Mux.scala 27:72] + wire _T_22492 = bht_rd_addr_hashed_p1_f == 8'h1e; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_30; // @[Reg.scala 27:20] + wire [1:0] _T_22974 = _T_22492 ? bht_bank_rd_data_out_0_30 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23229 = _T_23228 | _T_22974; // @[Mux.scala 27:72] + wire _T_22494 = bht_rd_addr_hashed_p1_f == 8'h1f; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_31; // @[Reg.scala 27:20] + wire [1:0] _T_22975 = _T_22494 ? bht_bank_rd_data_out_0_31 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23230 = _T_23229 | _T_22975; // @[Mux.scala 27:72] + wire _T_22496 = bht_rd_addr_hashed_p1_f == 8'h20; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_32; // @[Reg.scala 27:20] + wire [1:0] _T_22976 = _T_22496 ? bht_bank_rd_data_out_0_32 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23231 = _T_23230 | _T_22976; // @[Mux.scala 27:72] + wire _T_22498 = bht_rd_addr_hashed_p1_f == 8'h21; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_33; // @[Reg.scala 27:20] + wire [1:0] _T_22977 = _T_22498 ? bht_bank_rd_data_out_0_33 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23232 = _T_23231 | _T_22977; // @[Mux.scala 27:72] + wire _T_22500 = bht_rd_addr_hashed_p1_f == 8'h22; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_34; // @[Reg.scala 27:20] + wire [1:0] _T_22978 = _T_22500 ? bht_bank_rd_data_out_0_34 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23233 = _T_23232 | _T_22978; // @[Mux.scala 27:72] + wire _T_22502 = bht_rd_addr_hashed_p1_f == 8'h23; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_35; // @[Reg.scala 27:20] + wire [1:0] _T_22979 = _T_22502 ? bht_bank_rd_data_out_0_35 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23234 = _T_23233 | _T_22979; // @[Mux.scala 27:72] + wire _T_22504 = bht_rd_addr_hashed_p1_f == 8'h24; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_36; // @[Reg.scala 27:20] + wire [1:0] _T_22980 = _T_22504 ? bht_bank_rd_data_out_0_36 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23235 = _T_23234 | _T_22980; // @[Mux.scala 27:72] + wire _T_22506 = bht_rd_addr_hashed_p1_f == 8'h25; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_37; // @[Reg.scala 27:20] + wire [1:0] _T_22981 = _T_22506 ? bht_bank_rd_data_out_0_37 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23236 = _T_23235 | _T_22981; // @[Mux.scala 27:72] + wire _T_22508 = bht_rd_addr_hashed_p1_f == 8'h26; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_38; // @[Reg.scala 27:20] + wire [1:0] _T_22982 = _T_22508 ? bht_bank_rd_data_out_0_38 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23237 = _T_23236 | _T_22982; // @[Mux.scala 27:72] + wire _T_22510 = bht_rd_addr_hashed_p1_f == 8'h27; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_39; // @[Reg.scala 27:20] + wire [1:0] _T_22983 = _T_22510 ? bht_bank_rd_data_out_0_39 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23238 = _T_23237 | _T_22983; // @[Mux.scala 27:72] + wire _T_22512 = bht_rd_addr_hashed_p1_f == 8'h28; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_40; // @[Reg.scala 27:20] + wire [1:0] _T_22984 = _T_22512 ? bht_bank_rd_data_out_0_40 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23239 = _T_23238 | _T_22984; // @[Mux.scala 27:72] + wire _T_22514 = bht_rd_addr_hashed_p1_f == 8'h29; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_41; // @[Reg.scala 27:20] + wire [1:0] _T_22985 = _T_22514 ? bht_bank_rd_data_out_0_41 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23240 = _T_23239 | _T_22985; // @[Mux.scala 27:72] + wire _T_22516 = bht_rd_addr_hashed_p1_f == 8'h2a; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_42; // @[Reg.scala 27:20] + wire [1:0] _T_22986 = _T_22516 ? bht_bank_rd_data_out_0_42 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23241 = _T_23240 | _T_22986; // @[Mux.scala 27:72] + wire _T_22518 = bht_rd_addr_hashed_p1_f == 8'h2b; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_43; // @[Reg.scala 27:20] + wire [1:0] _T_22987 = _T_22518 ? bht_bank_rd_data_out_0_43 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23242 = _T_23241 | _T_22987; // @[Mux.scala 27:72] + wire _T_22520 = bht_rd_addr_hashed_p1_f == 8'h2c; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_44; // @[Reg.scala 27:20] + wire [1:0] _T_22988 = _T_22520 ? bht_bank_rd_data_out_0_44 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23243 = _T_23242 | _T_22988; // @[Mux.scala 27:72] + wire _T_22522 = bht_rd_addr_hashed_p1_f == 8'h2d; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_45; // @[Reg.scala 27:20] + wire [1:0] _T_22989 = _T_22522 ? bht_bank_rd_data_out_0_45 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23244 = _T_23243 | _T_22989; // @[Mux.scala 27:72] + wire _T_22524 = bht_rd_addr_hashed_p1_f == 8'h2e; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_46; // @[Reg.scala 27:20] + wire [1:0] _T_22990 = _T_22524 ? bht_bank_rd_data_out_0_46 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23245 = _T_23244 | _T_22990; // @[Mux.scala 27:72] + wire _T_22526 = bht_rd_addr_hashed_p1_f == 8'h2f; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_47; // @[Reg.scala 27:20] + wire [1:0] _T_22991 = _T_22526 ? bht_bank_rd_data_out_0_47 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23246 = _T_23245 | _T_22991; // @[Mux.scala 27:72] + wire _T_22528 = bht_rd_addr_hashed_p1_f == 8'h30; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_48; // @[Reg.scala 27:20] + wire [1:0] _T_22992 = _T_22528 ? bht_bank_rd_data_out_0_48 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23247 = _T_23246 | _T_22992; // @[Mux.scala 27:72] + wire _T_22530 = bht_rd_addr_hashed_p1_f == 8'h31; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_49; // @[Reg.scala 27:20] + wire [1:0] _T_22993 = _T_22530 ? bht_bank_rd_data_out_0_49 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23248 = _T_23247 | _T_22993; // @[Mux.scala 27:72] + wire _T_22532 = bht_rd_addr_hashed_p1_f == 8'h32; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_50; // @[Reg.scala 27:20] + wire [1:0] _T_22994 = _T_22532 ? bht_bank_rd_data_out_0_50 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23249 = _T_23248 | _T_22994; // @[Mux.scala 27:72] + wire _T_22534 = bht_rd_addr_hashed_p1_f == 8'h33; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_51; // @[Reg.scala 27:20] + wire [1:0] _T_22995 = _T_22534 ? bht_bank_rd_data_out_0_51 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23250 = _T_23249 | _T_22995; // @[Mux.scala 27:72] + wire _T_22536 = bht_rd_addr_hashed_p1_f == 8'h34; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_52; // @[Reg.scala 27:20] + wire [1:0] _T_22996 = _T_22536 ? bht_bank_rd_data_out_0_52 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23251 = _T_23250 | _T_22996; // @[Mux.scala 27:72] + wire _T_22538 = bht_rd_addr_hashed_p1_f == 8'h35; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_53; // @[Reg.scala 27:20] + wire [1:0] _T_22997 = _T_22538 ? bht_bank_rd_data_out_0_53 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23252 = _T_23251 | _T_22997; // @[Mux.scala 27:72] + wire _T_22540 = bht_rd_addr_hashed_p1_f == 8'h36; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_54; // @[Reg.scala 27:20] + wire [1:0] _T_22998 = _T_22540 ? bht_bank_rd_data_out_0_54 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23253 = _T_23252 | _T_22998; // @[Mux.scala 27:72] + wire _T_22542 = bht_rd_addr_hashed_p1_f == 8'h37; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_55; // @[Reg.scala 27:20] + wire [1:0] _T_22999 = _T_22542 ? bht_bank_rd_data_out_0_55 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23254 = _T_23253 | _T_22999; // @[Mux.scala 27:72] + wire _T_22544 = bht_rd_addr_hashed_p1_f == 8'h38; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_56; // @[Reg.scala 27:20] + wire [1:0] _T_23000 = _T_22544 ? bht_bank_rd_data_out_0_56 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23255 = _T_23254 | _T_23000; // @[Mux.scala 27:72] + wire _T_22546 = bht_rd_addr_hashed_p1_f == 8'h39; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_57; // @[Reg.scala 27:20] + wire [1:0] _T_23001 = _T_22546 ? bht_bank_rd_data_out_0_57 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23256 = _T_23255 | _T_23001; // @[Mux.scala 27:72] + wire _T_22548 = bht_rd_addr_hashed_p1_f == 8'h3a; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_58; // @[Reg.scala 27:20] + wire [1:0] _T_23002 = _T_22548 ? bht_bank_rd_data_out_0_58 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23257 = _T_23256 | _T_23002; // @[Mux.scala 27:72] + wire _T_22550 = bht_rd_addr_hashed_p1_f == 8'h3b; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_59; // @[Reg.scala 27:20] + wire [1:0] _T_23003 = _T_22550 ? bht_bank_rd_data_out_0_59 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23258 = _T_23257 | _T_23003; // @[Mux.scala 27:72] + wire _T_22552 = bht_rd_addr_hashed_p1_f == 8'h3c; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_60; // @[Reg.scala 27:20] + wire [1:0] _T_23004 = _T_22552 ? bht_bank_rd_data_out_0_60 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23259 = _T_23258 | _T_23004; // @[Mux.scala 27:72] + wire _T_22554 = bht_rd_addr_hashed_p1_f == 8'h3d; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_61; // @[Reg.scala 27:20] + wire [1:0] _T_23005 = _T_22554 ? bht_bank_rd_data_out_0_61 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23260 = _T_23259 | _T_23005; // @[Mux.scala 27:72] + wire _T_22556 = bht_rd_addr_hashed_p1_f == 8'h3e; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_62; // @[Reg.scala 27:20] + wire [1:0] _T_23006 = _T_22556 ? bht_bank_rd_data_out_0_62 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23261 = _T_23260 | _T_23006; // @[Mux.scala 27:72] + wire _T_22558 = bht_rd_addr_hashed_p1_f == 8'h3f; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_63; // @[Reg.scala 27:20] + wire [1:0] _T_23007 = _T_22558 ? bht_bank_rd_data_out_0_63 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23262 = _T_23261 | _T_23007; // @[Mux.scala 27:72] + wire _T_22560 = bht_rd_addr_hashed_p1_f == 8'h40; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_64; // @[Reg.scala 27:20] + wire [1:0] _T_23008 = _T_22560 ? bht_bank_rd_data_out_0_64 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23263 = _T_23262 | _T_23008; // @[Mux.scala 27:72] + wire _T_22562 = bht_rd_addr_hashed_p1_f == 8'h41; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_65; // @[Reg.scala 27:20] + wire [1:0] _T_23009 = _T_22562 ? bht_bank_rd_data_out_0_65 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23264 = _T_23263 | _T_23009; // @[Mux.scala 27:72] + wire _T_22564 = bht_rd_addr_hashed_p1_f == 8'h42; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_66; // @[Reg.scala 27:20] + wire [1:0] _T_23010 = _T_22564 ? bht_bank_rd_data_out_0_66 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23265 = _T_23264 | _T_23010; // @[Mux.scala 27:72] + wire _T_22566 = bht_rd_addr_hashed_p1_f == 8'h43; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_67; // @[Reg.scala 27:20] + wire [1:0] _T_23011 = _T_22566 ? bht_bank_rd_data_out_0_67 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23266 = _T_23265 | _T_23011; // @[Mux.scala 27:72] + wire _T_22568 = bht_rd_addr_hashed_p1_f == 8'h44; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_68; // @[Reg.scala 27:20] + wire [1:0] _T_23012 = _T_22568 ? bht_bank_rd_data_out_0_68 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23267 = _T_23266 | _T_23012; // @[Mux.scala 27:72] + wire _T_22570 = bht_rd_addr_hashed_p1_f == 8'h45; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_69; // @[Reg.scala 27:20] + wire [1:0] _T_23013 = _T_22570 ? bht_bank_rd_data_out_0_69 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23268 = _T_23267 | _T_23013; // @[Mux.scala 27:72] + wire _T_22572 = bht_rd_addr_hashed_p1_f == 8'h46; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_70; // @[Reg.scala 27:20] + wire [1:0] _T_23014 = _T_22572 ? bht_bank_rd_data_out_0_70 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23269 = _T_23268 | _T_23014; // @[Mux.scala 27:72] + wire _T_22574 = bht_rd_addr_hashed_p1_f == 8'h47; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_71; // @[Reg.scala 27:20] + wire [1:0] _T_23015 = _T_22574 ? bht_bank_rd_data_out_0_71 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23270 = _T_23269 | _T_23015; // @[Mux.scala 27:72] + wire _T_22576 = bht_rd_addr_hashed_p1_f == 8'h48; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_72; // @[Reg.scala 27:20] + wire [1:0] _T_23016 = _T_22576 ? bht_bank_rd_data_out_0_72 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23271 = _T_23270 | _T_23016; // @[Mux.scala 27:72] + wire _T_22578 = bht_rd_addr_hashed_p1_f == 8'h49; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_73; // @[Reg.scala 27:20] + wire [1:0] _T_23017 = _T_22578 ? bht_bank_rd_data_out_0_73 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23272 = _T_23271 | _T_23017; // @[Mux.scala 27:72] + wire _T_22580 = bht_rd_addr_hashed_p1_f == 8'h4a; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_74; // @[Reg.scala 27:20] + wire [1:0] _T_23018 = _T_22580 ? bht_bank_rd_data_out_0_74 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23273 = _T_23272 | _T_23018; // @[Mux.scala 27:72] + wire _T_22582 = bht_rd_addr_hashed_p1_f == 8'h4b; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_75; // @[Reg.scala 27:20] + wire [1:0] _T_23019 = _T_22582 ? bht_bank_rd_data_out_0_75 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23274 = _T_23273 | _T_23019; // @[Mux.scala 27:72] + wire _T_22584 = bht_rd_addr_hashed_p1_f == 8'h4c; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_76; // @[Reg.scala 27:20] + wire [1:0] _T_23020 = _T_22584 ? bht_bank_rd_data_out_0_76 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23275 = _T_23274 | _T_23020; // @[Mux.scala 27:72] + wire _T_22586 = bht_rd_addr_hashed_p1_f == 8'h4d; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_77; // @[Reg.scala 27:20] + wire [1:0] _T_23021 = _T_22586 ? bht_bank_rd_data_out_0_77 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23276 = _T_23275 | _T_23021; // @[Mux.scala 27:72] + wire _T_22588 = bht_rd_addr_hashed_p1_f == 8'h4e; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_78; // @[Reg.scala 27:20] + wire [1:0] _T_23022 = _T_22588 ? bht_bank_rd_data_out_0_78 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23277 = _T_23276 | _T_23022; // @[Mux.scala 27:72] + wire _T_22590 = bht_rd_addr_hashed_p1_f == 8'h4f; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_79; // @[Reg.scala 27:20] + wire [1:0] _T_23023 = _T_22590 ? bht_bank_rd_data_out_0_79 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23278 = _T_23277 | _T_23023; // @[Mux.scala 27:72] + wire _T_22592 = bht_rd_addr_hashed_p1_f == 8'h50; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_80; // @[Reg.scala 27:20] + wire [1:0] _T_23024 = _T_22592 ? bht_bank_rd_data_out_0_80 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23279 = _T_23278 | _T_23024; // @[Mux.scala 27:72] + wire _T_22594 = bht_rd_addr_hashed_p1_f == 8'h51; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_81; // @[Reg.scala 27:20] + wire [1:0] _T_23025 = _T_22594 ? bht_bank_rd_data_out_0_81 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23280 = _T_23279 | _T_23025; // @[Mux.scala 27:72] + wire _T_22596 = bht_rd_addr_hashed_p1_f == 8'h52; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_82; // @[Reg.scala 27:20] + wire [1:0] _T_23026 = _T_22596 ? bht_bank_rd_data_out_0_82 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23281 = _T_23280 | _T_23026; // @[Mux.scala 27:72] + wire _T_22598 = bht_rd_addr_hashed_p1_f == 8'h53; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_83; // @[Reg.scala 27:20] + wire [1:0] _T_23027 = _T_22598 ? bht_bank_rd_data_out_0_83 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23282 = _T_23281 | _T_23027; // @[Mux.scala 27:72] + wire _T_22600 = bht_rd_addr_hashed_p1_f == 8'h54; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_84; // @[Reg.scala 27:20] + wire [1:0] _T_23028 = _T_22600 ? bht_bank_rd_data_out_0_84 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23283 = _T_23282 | _T_23028; // @[Mux.scala 27:72] + wire _T_22602 = bht_rd_addr_hashed_p1_f == 8'h55; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_85; // @[Reg.scala 27:20] + wire [1:0] _T_23029 = _T_22602 ? bht_bank_rd_data_out_0_85 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23284 = _T_23283 | _T_23029; // @[Mux.scala 27:72] + wire _T_22604 = bht_rd_addr_hashed_p1_f == 8'h56; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_86; // @[Reg.scala 27:20] + wire [1:0] _T_23030 = _T_22604 ? bht_bank_rd_data_out_0_86 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23285 = _T_23284 | _T_23030; // @[Mux.scala 27:72] + wire _T_22606 = bht_rd_addr_hashed_p1_f == 8'h57; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_87; // @[Reg.scala 27:20] + wire [1:0] _T_23031 = _T_22606 ? bht_bank_rd_data_out_0_87 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23286 = _T_23285 | _T_23031; // @[Mux.scala 27:72] + wire _T_22608 = bht_rd_addr_hashed_p1_f == 8'h58; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_88; // @[Reg.scala 27:20] + wire [1:0] _T_23032 = _T_22608 ? bht_bank_rd_data_out_0_88 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23287 = _T_23286 | _T_23032; // @[Mux.scala 27:72] + wire _T_22610 = bht_rd_addr_hashed_p1_f == 8'h59; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_89; // @[Reg.scala 27:20] + wire [1:0] _T_23033 = _T_22610 ? bht_bank_rd_data_out_0_89 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23288 = _T_23287 | _T_23033; // @[Mux.scala 27:72] + wire _T_22612 = bht_rd_addr_hashed_p1_f == 8'h5a; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_90; // @[Reg.scala 27:20] + wire [1:0] _T_23034 = _T_22612 ? bht_bank_rd_data_out_0_90 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23289 = _T_23288 | _T_23034; // @[Mux.scala 27:72] + wire _T_22614 = bht_rd_addr_hashed_p1_f == 8'h5b; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_91; // @[Reg.scala 27:20] + wire [1:0] _T_23035 = _T_22614 ? bht_bank_rd_data_out_0_91 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23290 = _T_23289 | _T_23035; // @[Mux.scala 27:72] + wire _T_22616 = bht_rd_addr_hashed_p1_f == 8'h5c; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_92; // @[Reg.scala 27:20] + wire [1:0] _T_23036 = _T_22616 ? bht_bank_rd_data_out_0_92 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23291 = _T_23290 | _T_23036; // @[Mux.scala 27:72] + wire _T_22618 = bht_rd_addr_hashed_p1_f == 8'h5d; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_93; // @[Reg.scala 27:20] + wire [1:0] _T_23037 = _T_22618 ? bht_bank_rd_data_out_0_93 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23292 = _T_23291 | _T_23037; // @[Mux.scala 27:72] + wire _T_22620 = bht_rd_addr_hashed_p1_f == 8'h5e; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_94; // @[Reg.scala 27:20] + wire [1:0] _T_23038 = _T_22620 ? bht_bank_rd_data_out_0_94 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23293 = _T_23292 | _T_23038; // @[Mux.scala 27:72] + wire _T_22622 = bht_rd_addr_hashed_p1_f == 8'h5f; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_95; // @[Reg.scala 27:20] + wire [1:0] _T_23039 = _T_22622 ? bht_bank_rd_data_out_0_95 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23294 = _T_23293 | _T_23039; // @[Mux.scala 27:72] + wire _T_22624 = bht_rd_addr_hashed_p1_f == 8'h60; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_96; // @[Reg.scala 27:20] + wire [1:0] _T_23040 = _T_22624 ? bht_bank_rd_data_out_0_96 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23295 = _T_23294 | _T_23040; // @[Mux.scala 27:72] + wire _T_22626 = bht_rd_addr_hashed_p1_f == 8'h61; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_97; // @[Reg.scala 27:20] + wire [1:0] _T_23041 = _T_22626 ? bht_bank_rd_data_out_0_97 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23296 = _T_23295 | _T_23041; // @[Mux.scala 27:72] + wire _T_22628 = bht_rd_addr_hashed_p1_f == 8'h62; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_98; // @[Reg.scala 27:20] + wire [1:0] _T_23042 = _T_22628 ? bht_bank_rd_data_out_0_98 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23297 = _T_23296 | _T_23042; // @[Mux.scala 27:72] + wire _T_22630 = bht_rd_addr_hashed_p1_f == 8'h63; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_99; // @[Reg.scala 27:20] + wire [1:0] _T_23043 = _T_22630 ? bht_bank_rd_data_out_0_99 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23298 = _T_23297 | _T_23043; // @[Mux.scala 27:72] + wire _T_22632 = bht_rd_addr_hashed_p1_f == 8'h64; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_100; // @[Reg.scala 27:20] + wire [1:0] _T_23044 = _T_22632 ? bht_bank_rd_data_out_0_100 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23299 = _T_23298 | _T_23044; // @[Mux.scala 27:72] + wire _T_22634 = bht_rd_addr_hashed_p1_f == 8'h65; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_101; // @[Reg.scala 27:20] + wire [1:0] _T_23045 = _T_22634 ? bht_bank_rd_data_out_0_101 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23300 = _T_23299 | _T_23045; // @[Mux.scala 27:72] + wire _T_22636 = bht_rd_addr_hashed_p1_f == 8'h66; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_102; // @[Reg.scala 27:20] + wire [1:0] _T_23046 = _T_22636 ? bht_bank_rd_data_out_0_102 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23301 = _T_23300 | _T_23046; // @[Mux.scala 27:72] + wire _T_22638 = bht_rd_addr_hashed_p1_f == 8'h67; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_103; // @[Reg.scala 27:20] + wire [1:0] _T_23047 = _T_22638 ? bht_bank_rd_data_out_0_103 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23302 = _T_23301 | _T_23047; // @[Mux.scala 27:72] + wire _T_22640 = bht_rd_addr_hashed_p1_f == 8'h68; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_104; // @[Reg.scala 27:20] + wire [1:0] _T_23048 = _T_22640 ? bht_bank_rd_data_out_0_104 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23303 = _T_23302 | _T_23048; // @[Mux.scala 27:72] + wire _T_22642 = bht_rd_addr_hashed_p1_f == 8'h69; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_105; // @[Reg.scala 27:20] + wire [1:0] _T_23049 = _T_22642 ? bht_bank_rd_data_out_0_105 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23304 = _T_23303 | _T_23049; // @[Mux.scala 27:72] + wire _T_22644 = bht_rd_addr_hashed_p1_f == 8'h6a; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_106; // @[Reg.scala 27:20] + wire [1:0] _T_23050 = _T_22644 ? bht_bank_rd_data_out_0_106 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23305 = _T_23304 | _T_23050; // @[Mux.scala 27:72] + wire _T_22646 = bht_rd_addr_hashed_p1_f == 8'h6b; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_107; // @[Reg.scala 27:20] + wire [1:0] _T_23051 = _T_22646 ? bht_bank_rd_data_out_0_107 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23306 = _T_23305 | _T_23051; // @[Mux.scala 27:72] + wire _T_22648 = bht_rd_addr_hashed_p1_f == 8'h6c; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_108; // @[Reg.scala 27:20] + wire [1:0] _T_23052 = _T_22648 ? bht_bank_rd_data_out_0_108 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23307 = _T_23306 | _T_23052; // @[Mux.scala 27:72] + wire _T_22650 = bht_rd_addr_hashed_p1_f == 8'h6d; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_109; // @[Reg.scala 27:20] + wire [1:0] _T_23053 = _T_22650 ? bht_bank_rd_data_out_0_109 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23308 = _T_23307 | _T_23053; // @[Mux.scala 27:72] + wire _T_22652 = bht_rd_addr_hashed_p1_f == 8'h6e; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_110; // @[Reg.scala 27:20] + wire [1:0] _T_23054 = _T_22652 ? bht_bank_rd_data_out_0_110 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23309 = _T_23308 | _T_23054; // @[Mux.scala 27:72] + wire _T_22654 = bht_rd_addr_hashed_p1_f == 8'h6f; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_111; // @[Reg.scala 27:20] + wire [1:0] _T_23055 = _T_22654 ? bht_bank_rd_data_out_0_111 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23310 = _T_23309 | _T_23055; // @[Mux.scala 27:72] + wire _T_22656 = bht_rd_addr_hashed_p1_f == 8'h70; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_112; // @[Reg.scala 27:20] + wire [1:0] _T_23056 = _T_22656 ? bht_bank_rd_data_out_0_112 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23311 = _T_23310 | _T_23056; // @[Mux.scala 27:72] + wire _T_22658 = bht_rd_addr_hashed_p1_f == 8'h71; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_113; // @[Reg.scala 27:20] + wire [1:0] _T_23057 = _T_22658 ? bht_bank_rd_data_out_0_113 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23312 = _T_23311 | _T_23057; // @[Mux.scala 27:72] + wire _T_22660 = bht_rd_addr_hashed_p1_f == 8'h72; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_114; // @[Reg.scala 27:20] + wire [1:0] _T_23058 = _T_22660 ? bht_bank_rd_data_out_0_114 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23313 = _T_23312 | _T_23058; // @[Mux.scala 27:72] + wire _T_22662 = bht_rd_addr_hashed_p1_f == 8'h73; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_115; // @[Reg.scala 27:20] + wire [1:0] _T_23059 = _T_22662 ? bht_bank_rd_data_out_0_115 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23314 = _T_23313 | _T_23059; // @[Mux.scala 27:72] + wire _T_22664 = bht_rd_addr_hashed_p1_f == 8'h74; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_116; // @[Reg.scala 27:20] + wire [1:0] _T_23060 = _T_22664 ? bht_bank_rd_data_out_0_116 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23315 = _T_23314 | _T_23060; // @[Mux.scala 27:72] + wire _T_22666 = bht_rd_addr_hashed_p1_f == 8'h75; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_117; // @[Reg.scala 27:20] + wire [1:0] _T_23061 = _T_22666 ? bht_bank_rd_data_out_0_117 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23316 = _T_23315 | _T_23061; // @[Mux.scala 27:72] + wire _T_22668 = bht_rd_addr_hashed_p1_f == 8'h76; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_118; // @[Reg.scala 27:20] + wire [1:0] _T_23062 = _T_22668 ? bht_bank_rd_data_out_0_118 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23317 = _T_23316 | _T_23062; // @[Mux.scala 27:72] + wire _T_22670 = bht_rd_addr_hashed_p1_f == 8'h77; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_119; // @[Reg.scala 27:20] + wire [1:0] _T_23063 = _T_22670 ? bht_bank_rd_data_out_0_119 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23318 = _T_23317 | _T_23063; // @[Mux.scala 27:72] + wire _T_22672 = bht_rd_addr_hashed_p1_f == 8'h78; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_120; // @[Reg.scala 27:20] + wire [1:0] _T_23064 = _T_22672 ? bht_bank_rd_data_out_0_120 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23319 = _T_23318 | _T_23064; // @[Mux.scala 27:72] + wire _T_22674 = bht_rd_addr_hashed_p1_f == 8'h79; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_121; // @[Reg.scala 27:20] + wire [1:0] _T_23065 = _T_22674 ? bht_bank_rd_data_out_0_121 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23320 = _T_23319 | _T_23065; // @[Mux.scala 27:72] + wire _T_22676 = bht_rd_addr_hashed_p1_f == 8'h7a; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_122; // @[Reg.scala 27:20] + wire [1:0] _T_23066 = _T_22676 ? bht_bank_rd_data_out_0_122 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23321 = _T_23320 | _T_23066; // @[Mux.scala 27:72] + wire _T_22678 = bht_rd_addr_hashed_p1_f == 8'h7b; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_123; // @[Reg.scala 27:20] + wire [1:0] _T_23067 = _T_22678 ? bht_bank_rd_data_out_0_123 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23322 = _T_23321 | _T_23067; // @[Mux.scala 27:72] + wire _T_22680 = bht_rd_addr_hashed_p1_f == 8'h7c; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_124; // @[Reg.scala 27:20] + wire [1:0] _T_23068 = _T_22680 ? bht_bank_rd_data_out_0_124 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23323 = _T_23322 | _T_23068; // @[Mux.scala 27:72] + wire _T_22682 = bht_rd_addr_hashed_p1_f == 8'h7d; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_125; // @[Reg.scala 27:20] + wire [1:0] _T_23069 = _T_22682 ? bht_bank_rd_data_out_0_125 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23324 = _T_23323 | _T_23069; // @[Mux.scala 27:72] + wire _T_22684 = bht_rd_addr_hashed_p1_f == 8'h7e; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_126; // @[Reg.scala 27:20] + wire [1:0] _T_23070 = _T_22684 ? bht_bank_rd_data_out_0_126 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23325 = _T_23324 | _T_23070; // @[Mux.scala 27:72] + wire _T_22686 = bht_rd_addr_hashed_p1_f == 8'h7f; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_127; // @[Reg.scala 27:20] + wire [1:0] _T_23071 = _T_22686 ? bht_bank_rd_data_out_0_127 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23326 = _T_23325 | _T_23071; // @[Mux.scala 27:72] + wire _T_22688 = bht_rd_addr_hashed_p1_f == 8'h80; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_128; // @[Reg.scala 27:20] + wire [1:0] _T_23072 = _T_22688 ? bht_bank_rd_data_out_0_128 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23327 = _T_23326 | _T_23072; // @[Mux.scala 27:72] + wire _T_22690 = bht_rd_addr_hashed_p1_f == 8'h81; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_129; // @[Reg.scala 27:20] + wire [1:0] _T_23073 = _T_22690 ? bht_bank_rd_data_out_0_129 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23328 = _T_23327 | _T_23073; // @[Mux.scala 27:72] + wire _T_22692 = bht_rd_addr_hashed_p1_f == 8'h82; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_130; // @[Reg.scala 27:20] + wire [1:0] _T_23074 = _T_22692 ? bht_bank_rd_data_out_0_130 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23329 = _T_23328 | _T_23074; // @[Mux.scala 27:72] + wire _T_22694 = bht_rd_addr_hashed_p1_f == 8'h83; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_131; // @[Reg.scala 27:20] + wire [1:0] _T_23075 = _T_22694 ? bht_bank_rd_data_out_0_131 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23330 = _T_23329 | _T_23075; // @[Mux.scala 27:72] + wire _T_22696 = bht_rd_addr_hashed_p1_f == 8'h84; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_132; // @[Reg.scala 27:20] + wire [1:0] _T_23076 = _T_22696 ? bht_bank_rd_data_out_0_132 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23331 = _T_23330 | _T_23076; // @[Mux.scala 27:72] + wire _T_22698 = bht_rd_addr_hashed_p1_f == 8'h85; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_133; // @[Reg.scala 27:20] + wire [1:0] _T_23077 = _T_22698 ? bht_bank_rd_data_out_0_133 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23332 = _T_23331 | _T_23077; // @[Mux.scala 27:72] + wire _T_22700 = bht_rd_addr_hashed_p1_f == 8'h86; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_134; // @[Reg.scala 27:20] + wire [1:0] _T_23078 = _T_22700 ? bht_bank_rd_data_out_0_134 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23333 = _T_23332 | _T_23078; // @[Mux.scala 27:72] + wire _T_22702 = bht_rd_addr_hashed_p1_f == 8'h87; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_135; // @[Reg.scala 27:20] + wire [1:0] _T_23079 = _T_22702 ? bht_bank_rd_data_out_0_135 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23334 = _T_23333 | _T_23079; // @[Mux.scala 27:72] + wire _T_22704 = bht_rd_addr_hashed_p1_f == 8'h88; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_136; // @[Reg.scala 27:20] + wire [1:0] _T_23080 = _T_22704 ? bht_bank_rd_data_out_0_136 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23335 = _T_23334 | _T_23080; // @[Mux.scala 27:72] + wire _T_22706 = bht_rd_addr_hashed_p1_f == 8'h89; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_137; // @[Reg.scala 27:20] + wire [1:0] _T_23081 = _T_22706 ? bht_bank_rd_data_out_0_137 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23336 = _T_23335 | _T_23081; // @[Mux.scala 27:72] + wire _T_22708 = bht_rd_addr_hashed_p1_f == 8'h8a; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_138; // @[Reg.scala 27:20] + wire [1:0] _T_23082 = _T_22708 ? bht_bank_rd_data_out_0_138 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23337 = _T_23336 | _T_23082; // @[Mux.scala 27:72] + wire _T_22710 = bht_rd_addr_hashed_p1_f == 8'h8b; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_139; // @[Reg.scala 27:20] + wire [1:0] _T_23083 = _T_22710 ? bht_bank_rd_data_out_0_139 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23338 = _T_23337 | _T_23083; // @[Mux.scala 27:72] + wire _T_22712 = bht_rd_addr_hashed_p1_f == 8'h8c; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_140; // @[Reg.scala 27:20] + wire [1:0] _T_23084 = _T_22712 ? bht_bank_rd_data_out_0_140 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23339 = _T_23338 | _T_23084; // @[Mux.scala 27:72] + wire _T_22714 = bht_rd_addr_hashed_p1_f == 8'h8d; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_141; // @[Reg.scala 27:20] + wire [1:0] _T_23085 = _T_22714 ? bht_bank_rd_data_out_0_141 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23340 = _T_23339 | _T_23085; // @[Mux.scala 27:72] + wire _T_22716 = bht_rd_addr_hashed_p1_f == 8'h8e; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_142; // @[Reg.scala 27:20] + wire [1:0] _T_23086 = _T_22716 ? bht_bank_rd_data_out_0_142 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23341 = _T_23340 | _T_23086; // @[Mux.scala 27:72] + wire _T_22718 = bht_rd_addr_hashed_p1_f == 8'h8f; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_143; // @[Reg.scala 27:20] + wire [1:0] _T_23087 = _T_22718 ? bht_bank_rd_data_out_0_143 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23342 = _T_23341 | _T_23087; // @[Mux.scala 27:72] + wire _T_22720 = bht_rd_addr_hashed_p1_f == 8'h90; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_144; // @[Reg.scala 27:20] + wire [1:0] _T_23088 = _T_22720 ? bht_bank_rd_data_out_0_144 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23343 = _T_23342 | _T_23088; // @[Mux.scala 27:72] + wire _T_22722 = bht_rd_addr_hashed_p1_f == 8'h91; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_145; // @[Reg.scala 27:20] + wire [1:0] _T_23089 = _T_22722 ? bht_bank_rd_data_out_0_145 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23344 = _T_23343 | _T_23089; // @[Mux.scala 27:72] + wire _T_22724 = bht_rd_addr_hashed_p1_f == 8'h92; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_146; // @[Reg.scala 27:20] + wire [1:0] _T_23090 = _T_22724 ? bht_bank_rd_data_out_0_146 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23345 = _T_23344 | _T_23090; // @[Mux.scala 27:72] + wire _T_22726 = bht_rd_addr_hashed_p1_f == 8'h93; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_147; // @[Reg.scala 27:20] + wire [1:0] _T_23091 = _T_22726 ? bht_bank_rd_data_out_0_147 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23346 = _T_23345 | _T_23091; // @[Mux.scala 27:72] + wire _T_22728 = bht_rd_addr_hashed_p1_f == 8'h94; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_148; // @[Reg.scala 27:20] + wire [1:0] _T_23092 = _T_22728 ? bht_bank_rd_data_out_0_148 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23347 = _T_23346 | _T_23092; // @[Mux.scala 27:72] + wire _T_22730 = bht_rd_addr_hashed_p1_f == 8'h95; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_149; // @[Reg.scala 27:20] + wire [1:0] _T_23093 = _T_22730 ? bht_bank_rd_data_out_0_149 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23348 = _T_23347 | _T_23093; // @[Mux.scala 27:72] + wire _T_22732 = bht_rd_addr_hashed_p1_f == 8'h96; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_150; // @[Reg.scala 27:20] + wire [1:0] _T_23094 = _T_22732 ? bht_bank_rd_data_out_0_150 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23349 = _T_23348 | _T_23094; // @[Mux.scala 27:72] + wire _T_22734 = bht_rd_addr_hashed_p1_f == 8'h97; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_151; // @[Reg.scala 27:20] + wire [1:0] _T_23095 = _T_22734 ? bht_bank_rd_data_out_0_151 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23350 = _T_23349 | _T_23095; // @[Mux.scala 27:72] + wire _T_22736 = bht_rd_addr_hashed_p1_f == 8'h98; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_152; // @[Reg.scala 27:20] + wire [1:0] _T_23096 = _T_22736 ? bht_bank_rd_data_out_0_152 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23351 = _T_23350 | _T_23096; // @[Mux.scala 27:72] + wire _T_22738 = bht_rd_addr_hashed_p1_f == 8'h99; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_153; // @[Reg.scala 27:20] + wire [1:0] _T_23097 = _T_22738 ? bht_bank_rd_data_out_0_153 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23352 = _T_23351 | _T_23097; // @[Mux.scala 27:72] + wire _T_22740 = bht_rd_addr_hashed_p1_f == 8'h9a; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_154; // @[Reg.scala 27:20] + wire [1:0] _T_23098 = _T_22740 ? bht_bank_rd_data_out_0_154 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23353 = _T_23352 | _T_23098; // @[Mux.scala 27:72] + wire _T_22742 = bht_rd_addr_hashed_p1_f == 8'h9b; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_155; // @[Reg.scala 27:20] + wire [1:0] _T_23099 = _T_22742 ? bht_bank_rd_data_out_0_155 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23354 = _T_23353 | _T_23099; // @[Mux.scala 27:72] + wire _T_22744 = bht_rd_addr_hashed_p1_f == 8'h9c; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_156; // @[Reg.scala 27:20] + wire [1:0] _T_23100 = _T_22744 ? bht_bank_rd_data_out_0_156 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23355 = _T_23354 | _T_23100; // @[Mux.scala 27:72] + wire _T_22746 = bht_rd_addr_hashed_p1_f == 8'h9d; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_157; // @[Reg.scala 27:20] + wire [1:0] _T_23101 = _T_22746 ? bht_bank_rd_data_out_0_157 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23356 = _T_23355 | _T_23101; // @[Mux.scala 27:72] + wire _T_22748 = bht_rd_addr_hashed_p1_f == 8'h9e; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_158; // @[Reg.scala 27:20] + wire [1:0] _T_23102 = _T_22748 ? bht_bank_rd_data_out_0_158 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23357 = _T_23356 | _T_23102; // @[Mux.scala 27:72] + wire _T_22750 = bht_rd_addr_hashed_p1_f == 8'h9f; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_159; // @[Reg.scala 27:20] + wire [1:0] _T_23103 = _T_22750 ? bht_bank_rd_data_out_0_159 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23358 = _T_23357 | _T_23103; // @[Mux.scala 27:72] + wire _T_22752 = bht_rd_addr_hashed_p1_f == 8'ha0; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_160; // @[Reg.scala 27:20] + wire [1:0] _T_23104 = _T_22752 ? bht_bank_rd_data_out_0_160 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23359 = _T_23358 | _T_23104; // @[Mux.scala 27:72] + wire _T_22754 = bht_rd_addr_hashed_p1_f == 8'ha1; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_161; // @[Reg.scala 27:20] + wire [1:0] _T_23105 = _T_22754 ? bht_bank_rd_data_out_0_161 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23360 = _T_23359 | _T_23105; // @[Mux.scala 27:72] + wire _T_22756 = bht_rd_addr_hashed_p1_f == 8'ha2; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_162; // @[Reg.scala 27:20] + wire [1:0] _T_23106 = _T_22756 ? bht_bank_rd_data_out_0_162 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23361 = _T_23360 | _T_23106; // @[Mux.scala 27:72] + wire _T_22758 = bht_rd_addr_hashed_p1_f == 8'ha3; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_163; // @[Reg.scala 27:20] + wire [1:0] _T_23107 = _T_22758 ? bht_bank_rd_data_out_0_163 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23362 = _T_23361 | _T_23107; // @[Mux.scala 27:72] + wire _T_22760 = bht_rd_addr_hashed_p1_f == 8'ha4; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_164; // @[Reg.scala 27:20] + wire [1:0] _T_23108 = _T_22760 ? bht_bank_rd_data_out_0_164 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23363 = _T_23362 | _T_23108; // @[Mux.scala 27:72] + wire _T_22762 = bht_rd_addr_hashed_p1_f == 8'ha5; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_165; // @[Reg.scala 27:20] + wire [1:0] _T_23109 = _T_22762 ? bht_bank_rd_data_out_0_165 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23364 = _T_23363 | _T_23109; // @[Mux.scala 27:72] + wire _T_22764 = bht_rd_addr_hashed_p1_f == 8'ha6; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_166; // @[Reg.scala 27:20] + wire [1:0] _T_23110 = _T_22764 ? bht_bank_rd_data_out_0_166 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23365 = _T_23364 | _T_23110; // @[Mux.scala 27:72] + wire _T_22766 = bht_rd_addr_hashed_p1_f == 8'ha7; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_167; // @[Reg.scala 27:20] + wire [1:0] _T_23111 = _T_22766 ? bht_bank_rd_data_out_0_167 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23366 = _T_23365 | _T_23111; // @[Mux.scala 27:72] + wire _T_22768 = bht_rd_addr_hashed_p1_f == 8'ha8; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_168; // @[Reg.scala 27:20] + wire [1:0] _T_23112 = _T_22768 ? bht_bank_rd_data_out_0_168 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23367 = _T_23366 | _T_23112; // @[Mux.scala 27:72] + wire _T_22770 = bht_rd_addr_hashed_p1_f == 8'ha9; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_169; // @[Reg.scala 27:20] + wire [1:0] _T_23113 = _T_22770 ? bht_bank_rd_data_out_0_169 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23368 = _T_23367 | _T_23113; // @[Mux.scala 27:72] + wire _T_22772 = bht_rd_addr_hashed_p1_f == 8'haa; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_170; // @[Reg.scala 27:20] + wire [1:0] _T_23114 = _T_22772 ? bht_bank_rd_data_out_0_170 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23369 = _T_23368 | _T_23114; // @[Mux.scala 27:72] + wire _T_22774 = bht_rd_addr_hashed_p1_f == 8'hab; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_171; // @[Reg.scala 27:20] + wire [1:0] _T_23115 = _T_22774 ? bht_bank_rd_data_out_0_171 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23370 = _T_23369 | _T_23115; // @[Mux.scala 27:72] + wire _T_22776 = bht_rd_addr_hashed_p1_f == 8'hac; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_172; // @[Reg.scala 27:20] + wire [1:0] _T_23116 = _T_22776 ? bht_bank_rd_data_out_0_172 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23371 = _T_23370 | _T_23116; // @[Mux.scala 27:72] + wire _T_22778 = bht_rd_addr_hashed_p1_f == 8'had; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_173; // @[Reg.scala 27:20] + wire [1:0] _T_23117 = _T_22778 ? bht_bank_rd_data_out_0_173 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23372 = _T_23371 | _T_23117; // @[Mux.scala 27:72] + wire _T_22780 = bht_rd_addr_hashed_p1_f == 8'hae; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_174; // @[Reg.scala 27:20] + wire [1:0] _T_23118 = _T_22780 ? bht_bank_rd_data_out_0_174 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23373 = _T_23372 | _T_23118; // @[Mux.scala 27:72] + wire _T_22782 = bht_rd_addr_hashed_p1_f == 8'haf; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_175; // @[Reg.scala 27:20] + wire [1:0] _T_23119 = _T_22782 ? bht_bank_rd_data_out_0_175 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23374 = _T_23373 | _T_23119; // @[Mux.scala 27:72] + wire _T_22784 = bht_rd_addr_hashed_p1_f == 8'hb0; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_176; // @[Reg.scala 27:20] + wire [1:0] _T_23120 = _T_22784 ? bht_bank_rd_data_out_0_176 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23375 = _T_23374 | _T_23120; // @[Mux.scala 27:72] + wire _T_22786 = bht_rd_addr_hashed_p1_f == 8'hb1; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_177; // @[Reg.scala 27:20] + wire [1:0] _T_23121 = _T_22786 ? bht_bank_rd_data_out_0_177 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23376 = _T_23375 | _T_23121; // @[Mux.scala 27:72] + wire _T_22788 = bht_rd_addr_hashed_p1_f == 8'hb2; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_178; // @[Reg.scala 27:20] + wire [1:0] _T_23122 = _T_22788 ? bht_bank_rd_data_out_0_178 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23377 = _T_23376 | _T_23122; // @[Mux.scala 27:72] + wire _T_22790 = bht_rd_addr_hashed_p1_f == 8'hb3; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_179; // @[Reg.scala 27:20] + wire [1:0] _T_23123 = _T_22790 ? bht_bank_rd_data_out_0_179 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23378 = _T_23377 | _T_23123; // @[Mux.scala 27:72] + wire _T_22792 = bht_rd_addr_hashed_p1_f == 8'hb4; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_180; // @[Reg.scala 27:20] + wire [1:0] _T_23124 = _T_22792 ? bht_bank_rd_data_out_0_180 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23379 = _T_23378 | _T_23124; // @[Mux.scala 27:72] + wire _T_22794 = bht_rd_addr_hashed_p1_f == 8'hb5; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_181; // @[Reg.scala 27:20] + wire [1:0] _T_23125 = _T_22794 ? bht_bank_rd_data_out_0_181 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23380 = _T_23379 | _T_23125; // @[Mux.scala 27:72] + wire _T_22796 = bht_rd_addr_hashed_p1_f == 8'hb6; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_182; // @[Reg.scala 27:20] + wire [1:0] _T_23126 = _T_22796 ? bht_bank_rd_data_out_0_182 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23381 = _T_23380 | _T_23126; // @[Mux.scala 27:72] + wire _T_22798 = bht_rd_addr_hashed_p1_f == 8'hb7; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_183; // @[Reg.scala 27:20] + wire [1:0] _T_23127 = _T_22798 ? bht_bank_rd_data_out_0_183 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23382 = _T_23381 | _T_23127; // @[Mux.scala 27:72] + wire _T_22800 = bht_rd_addr_hashed_p1_f == 8'hb8; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_184; // @[Reg.scala 27:20] + wire [1:0] _T_23128 = _T_22800 ? bht_bank_rd_data_out_0_184 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23383 = _T_23382 | _T_23128; // @[Mux.scala 27:72] + wire _T_22802 = bht_rd_addr_hashed_p1_f == 8'hb9; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_185; // @[Reg.scala 27:20] + wire [1:0] _T_23129 = _T_22802 ? bht_bank_rd_data_out_0_185 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23384 = _T_23383 | _T_23129; // @[Mux.scala 27:72] + wire _T_22804 = bht_rd_addr_hashed_p1_f == 8'hba; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_186; // @[Reg.scala 27:20] + wire [1:0] _T_23130 = _T_22804 ? bht_bank_rd_data_out_0_186 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23385 = _T_23384 | _T_23130; // @[Mux.scala 27:72] + wire _T_22806 = bht_rd_addr_hashed_p1_f == 8'hbb; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_187; // @[Reg.scala 27:20] + wire [1:0] _T_23131 = _T_22806 ? bht_bank_rd_data_out_0_187 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23386 = _T_23385 | _T_23131; // @[Mux.scala 27:72] + wire _T_22808 = bht_rd_addr_hashed_p1_f == 8'hbc; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_188; // @[Reg.scala 27:20] + wire [1:0] _T_23132 = _T_22808 ? bht_bank_rd_data_out_0_188 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23387 = _T_23386 | _T_23132; // @[Mux.scala 27:72] + wire _T_22810 = bht_rd_addr_hashed_p1_f == 8'hbd; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_189; // @[Reg.scala 27:20] + wire [1:0] _T_23133 = _T_22810 ? bht_bank_rd_data_out_0_189 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23388 = _T_23387 | _T_23133; // @[Mux.scala 27:72] + wire _T_22812 = bht_rd_addr_hashed_p1_f == 8'hbe; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_190; // @[Reg.scala 27:20] + wire [1:0] _T_23134 = _T_22812 ? bht_bank_rd_data_out_0_190 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23389 = _T_23388 | _T_23134; // @[Mux.scala 27:72] + wire _T_22814 = bht_rd_addr_hashed_p1_f == 8'hbf; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_191; // @[Reg.scala 27:20] + wire [1:0] _T_23135 = _T_22814 ? bht_bank_rd_data_out_0_191 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23390 = _T_23389 | _T_23135; // @[Mux.scala 27:72] + wire _T_22816 = bht_rd_addr_hashed_p1_f == 8'hc0; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_192; // @[Reg.scala 27:20] + wire [1:0] _T_23136 = _T_22816 ? bht_bank_rd_data_out_0_192 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23391 = _T_23390 | _T_23136; // @[Mux.scala 27:72] + wire _T_22818 = bht_rd_addr_hashed_p1_f == 8'hc1; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_193; // @[Reg.scala 27:20] + wire [1:0] _T_23137 = _T_22818 ? bht_bank_rd_data_out_0_193 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23392 = _T_23391 | _T_23137; // @[Mux.scala 27:72] + wire _T_22820 = bht_rd_addr_hashed_p1_f == 8'hc2; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_194; // @[Reg.scala 27:20] + wire [1:0] _T_23138 = _T_22820 ? bht_bank_rd_data_out_0_194 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23393 = _T_23392 | _T_23138; // @[Mux.scala 27:72] + wire _T_22822 = bht_rd_addr_hashed_p1_f == 8'hc3; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_195; // @[Reg.scala 27:20] + wire [1:0] _T_23139 = _T_22822 ? bht_bank_rd_data_out_0_195 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23394 = _T_23393 | _T_23139; // @[Mux.scala 27:72] + wire _T_22824 = bht_rd_addr_hashed_p1_f == 8'hc4; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_196; // @[Reg.scala 27:20] + wire [1:0] _T_23140 = _T_22824 ? bht_bank_rd_data_out_0_196 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23395 = _T_23394 | _T_23140; // @[Mux.scala 27:72] + wire _T_22826 = bht_rd_addr_hashed_p1_f == 8'hc5; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_197; // @[Reg.scala 27:20] + wire [1:0] _T_23141 = _T_22826 ? bht_bank_rd_data_out_0_197 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23396 = _T_23395 | _T_23141; // @[Mux.scala 27:72] + wire _T_22828 = bht_rd_addr_hashed_p1_f == 8'hc6; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_198; // @[Reg.scala 27:20] + wire [1:0] _T_23142 = _T_22828 ? bht_bank_rd_data_out_0_198 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23397 = _T_23396 | _T_23142; // @[Mux.scala 27:72] + wire _T_22830 = bht_rd_addr_hashed_p1_f == 8'hc7; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_199; // @[Reg.scala 27:20] + wire [1:0] _T_23143 = _T_22830 ? bht_bank_rd_data_out_0_199 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23398 = _T_23397 | _T_23143; // @[Mux.scala 27:72] + wire _T_22832 = bht_rd_addr_hashed_p1_f == 8'hc8; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_200; // @[Reg.scala 27:20] + wire [1:0] _T_23144 = _T_22832 ? bht_bank_rd_data_out_0_200 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23399 = _T_23398 | _T_23144; // @[Mux.scala 27:72] + wire _T_22834 = bht_rd_addr_hashed_p1_f == 8'hc9; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_201; // @[Reg.scala 27:20] + wire [1:0] _T_23145 = _T_22834 ? bht_bank_rd_data_out_0_201 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23400 = _T_23399 | _T_23145; // @[Mux.scala 27:72] + wire _T_22836 = bht_rd_addr_hashed_p1_f == 8'hca; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_202; // @[Reg.scala 27:20] + wire [1:0] _T_23146 = _T_22836 ? bht_bank_rd_data_out_0_202 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23401 = _T_23400 | _T_23146; // @[Mux.scala 27:72] + wire _T_22838 = bht_rd_addr_hashed_p1_f == 8'hcb; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_203; // @[Reg.scala 27:20] + wire [1:0] _T_23147 = _T_22838 ? bht_bank_rd_data_out_0_203 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23402 = _T_23401 | _T_23147; // @[Mux.scala 27:72] + wire _T_22840 = bht_rd_addr_hashed_p1_f == 8'hcc; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_204; // @[Reg.scala 27:20] + wire [1:0] _T_23148 = _T_22840 ? bht_bank_rd_data_out_0_204 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23403 = _T_23402 | _T_23148; // @[Mux.scala 27:72] + wire _T_22842 = bht_rd_addr_hashed_p1_f == 8'hcd; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_205; // @[Reg.scala 27:20] + wire [1:0] _T_23149 = _T_22842 ? bht_bank_rd_data_out_0_205 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23404 = _T_23403 | _T_23149; // @[Mux.scala 27:72] + wire _T_22844 = bht_rd_addr_hashed_p1_f == 8'hce; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_206; // @[Reg.scala 27:20] + wire [1:0] _T_23150 = _T_22844 ? bht_bank_rd_data_out_0_206 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23405 = _T_23404 | _T_23150; // @[Mux.scala 27:72] + wire _T_22846 = bht_rd_addr_hashed_p1_f == 8'hcf; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_207; // @[Reg.scala 27:20] + wire [1:0] _T_23151 = _T_22846 ? bht_bank_rd_data_out_0_207 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23406 = _T_23405 | _T_23151; // @[Mux.scala 27:72] + wire _T_22848 = bht_rd_addr_hashed_p1_f == 8'hd0; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_208; // @[Reg.scala 27:20] + wire [1:0] _T_23152 = _T_22848 ? bht_bank_rd_data_out_0_208 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23407 = _T_23406 | _T_23152; // @[Mux.scala 27:72] + wire _T_22850 = bht_rd_addr_hashed_p1_f == 8'hd1; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_209; // @[Reg.scala 27:20] + wire [1:0] _T_23153 = _T_22850 ? bht_bank_rd_data_out_0_209 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23408 = _T_23407 | _T_23153; // @[Mux.scala 27:72] + wire _T_22852 = bht_rd_addr_hashed_p1_f == 8'hd2; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_210; // @[Reg.scala 27:20] + wire [1:0] _T_23154 = _T_22852 ? bht_bank_rd_data_out_0_210 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23409 = _T_23408 | _T_23154; // @[Mux.scala 27:72] + wire _T_22854 = bht_rd_addr_hashed_p1_f == 8'hd3; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_211; // @[Reg.scala 27:20] + wire [1:0] _T_23155 = _T_22854 ? bht_bank_rd_data_out_0_211 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23410 = _T_23409 | _T_23155; // @[Mux.scala 27:72] + wire _T_22856 = bht_rd_addr_hashed_p1_f == 8'hd4; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_212; // @[Reg.scala 27:20] + wire [1:0] _T_23156 = _T_22856 ? bht_bank_rd_data_out_0_212 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23411 = _T_23410 | _T_23156; // @[Mux.scala 27:72] + wire _T_22858 = bht_rd_addr_hashed_p1_f == 8'hd5; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_213; // @[Reg.scala 27:20] + wire [1:0] _T_23157 = _T_22858 ? bht_bank_rd_data_out_0_213 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23412 = _T_23411 | _T_23157; // @[Mux.scala 27:72] + wire _T_22860 = bht_rd_addr_hashed_p1_f == 8'hd6; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_214; // @[Reg.scala 27:20] + wire [1:0] _T_23158 = _T_22860 ? bht_bank_rd_data_out_0_214 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23413 = _T_23412 | _T_23158; // @[Mux.scala 27:72] + wire _T_22862 = bht_rd_addr_hashed_p1_f == 8'hd7; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_215; // @[Reg.scala 27:20] + wire [1:0] _T_23159 = _T_22862 ? bht_bank_rd_data_out_0_215 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23414 = _T_23413 | _T_23159; // @[Mux.scala 27:72] + wire _T_22864 = bht_rd_addr_hashed_p1_f == 8'hd8; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_216; // @[Reg.scala 27:20] + wire [1:0] _T_23160 = _T_22864 ? bht_bank_rd_data_out_0_216 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23415 = _T_23414 | _T_23160; // @[Mux.scala 27:72] + wire _T_22866 = bht_rd_addr_hashed_p1_f == 8'hd9; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_217; // @[Reg.scala 27:20] + wire [1:0] _T_23161 = _T_22866 ? bht_bank_rd_data_out_0_217 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23416 = _T_23415 | _T_23161; // @[Mux.scala 27:72] + wire _T_22868 = bht_rd_addr_hashed_p1_f == 8'hda; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_218; // @[Reg.scala 27:20] + wire [1:0] _T_23162 = _T_22868 ? bht_bank_rd_data_out_0_218 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23417 = _T_23416 | _T_23162; // @[Mux.scala 27:72] + wire _T_22870 = bht_rd_addr_hashed_p1_f == 8'hdb; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_219; // @[Reg.scala 27:20] + wire [1:0] _T_23163 = _T_22870 ? bht_bank_rd_data_out_0_219 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23418 = _T_23417 | _T_23163; // @[Mux.scala 27:72] + wire _T_22872 = bht_rd_addr_hashed_p1_f == 8'hdc; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_220; // @[Reg.scala 27:20] + wire [1:0] _T_23164 = _T_22872 ? bht_bank_rd_data_out_0_220 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23419 = _T_23418 | _T_23164; // @[Mux.scala 27:72] + wire _T_22874 = bht_rd_addr_hashed_p1_f == 8'hdd; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_221; // @[Reg.scala 27:20] + wire [1:0] _T_23165 = _T_22874 ? bht_bank_rd_data_out_0_221 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23420 = _T_23419 | _T_23165; // @[Mux.scala 27:72] + wire _T_22876 = bht_rd_addr_hashed_p1_f == 8'hde; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_222; // @[Reg.scala 27:20] + wire [1:0] _T_23166 = _T_22876 ? bht_bank_rd_data_out_0_222 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23421 = _T_23420 | _T_23166; // @[Mux.scala 27:72] + wire _T_22878 = bht_rd_addr_hashed_p1_f == 8'hdf; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_223; // @[Reg.scala 27:20] + wire [1:0] _T_23167 = _T_22878 ? bht_bank_rd_data_out_0_223 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23422 = _T_23421 | _T_23167; // @[Mux.scala 27:72] + wire _T_22880 = bht_rd_addr_hashed_p1_f == 8'he0; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_224; // @[Reg.scala 27:20] + wire [1:0] _T_23168 = _T_22880 ? bht_bank_rd_data_out_0_224 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23423 = _T_23422 | _T_23168; // @[Mux.scala 27:72] + wire _T_22882 = bht_rd_addr_hashed_p1_f == 8'he1; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_225; // @[Reg.scala 27:20] + wire [1:0] _T_23169 = _T_22882 ? bht_bank_rd_data_out_0_225 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23424 = _T_23423 | _T_23169; // @[Mux.scala 27:72] + wire _T_22884 = bht_rd_addr_hashed_p1_f == 8'he2; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_226; // @[Reg.scala 27:20] + wire [1:0] _T_23170 = _T_22884 ? bht_bank_rd_data_out_0_226 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23425 = _T_23424 | _T_23170; // @[Mux.scala 27:72] + wire _T_22886 = bht_rd_addr_hashed_p1_f == 8'he3; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_227; // @[Reg.scala 27:20] + wire [1:0] _T_23171 = _T_22886 ? bht_bank_rd_data_out_0_227 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23426 = _T_23425 | _T_23171; // @[Mux.scala 27:72] + wire _T_22888 = bht_rd_addr_hashed_p1_f == 8'he4; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_228; // @[Reg.scala 27:20] + wire [1:0] _T_23172 = _T_22888 ? bht_bank_rd_data_out_0_228 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23427 = _T_23426 | _T_23172; // @[Mux.scala 27:72] + wire _T_22890 = bht_rd_addr_hashed_p1_f == 8'he5; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_229; // @[Reg.scala 27:20] + wire [1:0] _T_23173 = _T_22890 ? bht_bank_rd_data_out_0_229 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23428 = _T_23427 | _T_23173; // @[Mux.scala 27:72] + wire _T_22892 = bht_rd_addr_hashed_p1_f == 8'he6; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_230; // @[Reg.scala 27:20] + wire [1:0] _T_23174 = _T_22892 ? bht_bank_rd_data_out_0_230 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23429 = _T_23428 | _T_23174; // @[Mux.scala 27:72] + wire _T_22894 = bht_rd_addr_hashed_p1_f == 8'he7; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_231; // @[Reg.scala 27:20] + wire [1:0] _T_23175 = _T_22894 ? bht_bank_rd_data_out_0_231 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23430 = _T_23429 | _T_23175; // @[Mux.scala 27:72] + wire _T_22896 = bht_rd_addr_hashed_p1_f == 8'he8; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_232; // @[Reg.scala 27:20] + wire [1:0] _T_23176 = _T_22896 ? bht_bank_rd_data_out_0_232 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23431 = _T_23430 | _T_23176; // @[Mux.scala 27:72] + wire _T_22898 = bht_rd_addr_hashed_p1_f == 8'he9; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_233; // @[Reg.scala 27:20] + wire [1:0] _T_23177 = _T_22898 ? bht_bank_rd_data_out_0_233 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23432 = _T_23431 | _T_23177; // @[Mux.scala 27:72] + wire _T_22900 = bht_rd_addr_hashed_p1_f == 8'hea; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_234; // @[Reg.scala 27:20] + wire [1:0] _T_23178 = _T_22900 ? bht_bank_rd_data_out_0_234 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23433 = _T_23432 | _T_23178; // @[Mux.scala 27:72] + wire _T_22902 = bht_rd_addr_hashed_p1_f == 8'heb; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_235; // @[Reg.scala 27:20] + wire [1:0] _T_23179 = _T_22902 ? bht_bank_rd_data_out_0_235 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23434 = _T_23433 | _T_23179; // @[Mux.scala 27:72] + wire _T_22904 = bht_rd_addr_hashed_p1_f == 8'hec; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_236; // @[Reg.scala 27:20] + wire [1:0] _T_23180 = _T_22904 ? bht_bank_rd_data_out_0_236 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23435 = _T_23434 | _T_23180; // @[Mux.scala 27:72] + wire _T_22906 = bht_rd_addr_hashed_p1_f == 8'hed; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_237; // @[Reg.scala 27:20] + wire [1:0] _T_23181 = _T_22906 ? bht_bank_rd_data_out_0_237 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23436 = _T_23435 | _T_23181; // @[Mux.scala 27:72] + wire _T_22908 = bht_rd_addr_hashed_p1_f == 8'hee; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_238; // @[Reg.scala 27:20] + wire [1:0] _T_23182 = _T_22908 ? bht_bank_rd_data_out_0_238 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23437 = _T_23436 | _T_23182; // @[Mux.scala 27:72] + wire _T_22910 = bht_rd_addr_hashed_p1_f == 8'hef; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_239; // @[Reg.scala 27:20] + wire [1:0] _T_23183 = _T_22910 ? bht_bank_rd_data_out_0_239 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23438 = _T_23437 | _T_23183; // @[Mux.scala 27:72] + wire _T_22912 = bht_rd_addr_hashed_p1_f == 8'hf0; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_240; // @[Reg.scala 27:20] + wire [1:0] _T_23184 = _T_22912 ? bht_bank_rd_data_out_0_240 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23439 = _T_23438 | _T_23184; // @[Mux.scala 27:72] + wire _T_22914 = bht_rd_addr_hashed_p1_f == 8'hf1; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_241; // @[Reg.scala 27:20] + wire [1:0] _T_23185 = _T_22914 ? bht_bank_rd_data_out_0_241 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23440 = _T_23439 | _T_23185; // @[Mux.scala 27:72] + wire _T_22916 = bht_rd_addr_hashed_p1_f == 8'hf2; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_242; // @[Reg.scala 27:20] + wire [1:0] _T_23186 = _T_22916 ? bht_bank_rd_data_out_0_242 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23441 = _T_23440 | _T_23186; // @[Mux.scala 27:72] + wire _T_22918 = bht_rd_addr_hashed_p1_f == 8'hf3; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_243; // @[Reg.scala 27:20] + wire [1:0] _T_23187 = _T_22918 ? bht_bank_rd_data_out_0_243 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23442 = _T_23441 | _T_23187; // @[Mux.scala 27:72] + wire _T_22920 = bht_rd_addr_hashed_p1_f == 8'hf4; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_244; // @[Reg.scala 27:20] + wire [1:0] _T_23188 = _T_22920 ? bht_bank_rd_data_out_0_244 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23443 = _T_23442 | _T_23188; // @[Mux.scala 27:72] + wire _T_22922 = bht_rd_addr_hashed_p1_f == 8'hf5; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_245; // @[Reg.scala 27:20] + wire [1:0] _T_23189 = _T_22922 ? bht_bank_rd_data_out_0_245 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23444 = _T_23443 | _T_23189; // @[Mux.scala 27:72] + wire _T_22924 = bht_rd_addr_hashed_p1_f == 8'hf6; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_246; // @[Reg.scala 27:20] + wire [1:0] _T_23190 = _T_22924 ? bht_bank_rd_data_out_0_246 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23445 = _T_23444 | _T_23190; // @[Mux.scala 27:72] + wire _T_22926 = bht_rd_addr_hashed_p1_f == 8'hf7; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_247; // @[Reg.scala 27:20] + wire [1:0] _T_23191 = _T_22926 ? bht_bank_rd_data_out_0_247 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23446 = _T_23445 | _T_23191; // @[Mux.scala 27:72] + wire _T_22928 = bht_rd_addr_hashed_p1_f == 8'hf8; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_248; // @[Reg.scala 27:20] + wire [1:0] _T_23192 = _T_22928 ? bht_bank_rd_data_out_0_248 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23447 = _T_23446 | _T_23192; // @[Mux.scala 27:72] + wire _T_22930 = bht_rd_addr_hashed_p1_f == 8'hf9; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_249; // @[Reg.scala 27:20] + wire [1:0] _T_23193 = _T_22930 ? bht_bank_rd_data_out_0_249 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23448 = _T_23447 | _T_23193; // @[Mux.scala 27:72] + wire _T_22932 = bht_rd_addr_hashed_p1_f == 8'hfa; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_250; // @[Reg.scala 27:20] + wire [1:0] _T_23194 = _T_22932 ? bht_bank_rd_data_out_0_250 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23449 = _T_23448 | _T_23194; // @[Mux.scala 27:72] + wire _T_22934 = bht_rd_addr_hashed_p1_f == 8'hfb; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_251; // @[Reg.scala 27:20] + wire [1:0] _T_23195 = _T_22934 ? bht_bank_rd_data_out_0_251 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23450 = _T_23449 | _T_23195; // @[Mux.scala 27:72] + wire _T_22936 = bht_rd_addr_hashed_p1_f == 8'hfc; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_252; // @[Reg.scala 27:20] + wire [1:0] _T_23196 = _T_22936 ? bht_bank_rd_data_out_0_252 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23451 = _T_23450 | _T_23196; // @[Mux.scala 27:72] + wire _T_22938 = bht_rd_addr_hashed_p1_f == 8'hfd; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_253; // @[Reg.scala 27:20] + wire [1:0] _T_23197 = _T_22938 ? bht_bank_rd_data_out_0_253 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23452 = _T_23451 | _T_23197; // @[Mux.scala 27:72] + wire _T_22940 = bht_rd_addr_hashed_p1_f == 8'hfe; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_254; // @[Reg.scala 27:20] + wire [1:0] _T_23198 = _T_22940 ? bht_bank_rd_data_out_0_254 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_23453 = _T_23452 | _T_23198; // @[Mux.scala 27:72] + wire _T_22942 = bht_rd_addr_hashed_p1_f == 8'hff; // @[ifu_bp_ctl.scala 456:85] + reg [1:0] bht_bank_rd_data_out_0_255; // @[Reg.scala 27:20] + wire [1:0] _T_23199 = _T_22942 ? bht_bank_rd_data_out_0_255 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] bht_bank0_rd_data_p1_f = _T_23453 | _T_23199; // @[Mux.scala 27:72] + wire [1:0] _T_261 = io_ifc_fetch_addr_f[0] ? bht_bank0_rd_data_p1_f : 2'h0; // @[Mux.scala 27:72] + wire [1:0] bht_vbank1_rd_data_f = _T_260 | _T_261; // @[Mux.scala 27:72] + wire _T_265 = bht_force_taken_f[1] | bht_vbank1_rd_data_f[1]; // @[ifu_bp_ctl.scala 281:42] + wire [1:0] wayhit_f = tag_match_way0_expanded_f | tag_match_way1_expanded_f; // @[ifu_bp_ctl.scala 155:44] + wire [1:0] _T_159 = _T_144 ? wayhit_f : 2'h0; // @[Mux.scala 27:72] + wire [1:0] wayhit_p1_f = tag_match_way0_expanded_p1_f | tag_match_way1_expanded_p1_f; // @[ifu_bp_ctl.scala 157:50] + wire [1:0] _T_158 = {wayhit_p1_f[0],wayhit_f[1]}; // @[Cat.scala 29:58] + wire [1:0] _T_160 = io_ifc_fetch_addr_f[0] ? _T_158 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_161 = _T_159 | _T_160; // @[Mux.scala 27:72] + wire eoc_near = &io_ifc_fetch_addr_f[4:2]; // @[ifu_bp_ctl.scala 241:64] + wire _T_219 = ~eoc_near; // @[ifu_bp_ctl.scala 244:15] + wire [1:0] _T_221 = ~io_ifc_fetch_addr_f[1:0]; // @[ifu_bp_ctl.scala 244:28] + wire _T_222 = |_T_221; // @[ifu_bp_ctl.scala 244:58] + wire eoc_mask = _T_219 | _T_222; // @[ifu_bp_ctl.scala 244:25] + wire [1:0] _T_163 = {eoc_mask,1'h1}; // @[Cat.scala 29:58] + wire [1:0] bht_valid_f = _T_161 & _T_163; // @[ifu_bp_ctl.scala 203:71] + wire _T_267 = _T_265 & bht_valid_f[1]; // @[ifu_bp_ctl.scala 281:69] + wire [1:0] _T_20896 = _T_21408 ? bht_bank_rd_data_out_0_0 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_20897 = _T_21410 ? bht_bank_rd_data_out_0_1 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21152 = _T_20896 | _T_20897; // @[Mux.scala 27:72] + wire [1:0] _T_20898 = _T_21412 ? bht_bank_rd_data_out_0_2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21153 = _T_21152 | _T_20898; // @[Mux.scala 27:72] + wire [1:0] _T_20899 = _T_21414 ? bht_bank_rd_data_out_0_3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21154 = _T_21153 | _T_20899; // @[Mux.scala 27:72] + wire [1:0] _T_20900 = _T_21416 ? bht_bank_rd_data_out_0_4 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21155 = _T_21154 | _T_20900; // @[Mux.scala 27:72] + wire [1:0] _T_20901 = _T_21418 ? bht_bank_rd_data_out_0_5 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21156 = _T_21155 | _T_20901; // @[Mux.scala 27:72] + wire [1:0] _T_20902 = _T_21420 ? bht_bank_rd_data_out_0_6 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21157 = _T_21156 | _T_20902; // @[Mux.scala 27:72] + wire [1:0] _T_20903 = _T_21422 ? bht_bank_rd_data_out_0_7 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21158 = _T_21157 | _T_20903; // @[Mux.scala 27:72] + wire [1:0] _T_20904 = _T_21424 ? bht_bank_rd_data_out_0_8 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21159 = _T_21158 | _T_20904; // @[Mux.scala 27:72] + wire [1:0] _T_20905 = _T_21426 ? bht_bank_rd_data_out_0_9 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21160 = _T_21159 | _T_20905; // @[Mux.scala 27:72] + wire [1:0] _T_20906 = _T_21428 ? bht_bank_rd_data_out_0_10 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21161 = _T_21160 | _T_20906; // @[Mux.scala 27:72] + wire [1:0] _T_20907 = _T_21430 ? bht_bank_rd_data_out_0_11 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21162 = _T_21161 | _T_20907; // @[Mux.scala 27:72] + wire [1:0] _T_20908 = _T_21432 ? bht_bank_rd_data_out_0_12 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21163 = _T_21162 | _T_20908; // @[Mux.scala 27:72] + wire [1:0] _T_20909 = _T_21434 ? bht_bank_rd_data_out_0_13 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21164 = _T_21163 | _T_20909; // @[Mux.scala 27:72] + wire [1:0] _T_20910 = _T_21436 ? bht_bank_rd_data_out_0_14 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21165 = _T_21164 | _T_20910; // @[Mux.scala 27:72] + wire [1:0] _T_20911 = _T_21438 ? bht_bank_rd_data_out_0_15 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21166 = _T_21165 | _T_20911; // @[Mux.scala 27:72] + wire [1:0] _T_20912 = _T_21440 ? bht_bank_rd_data_out_0_16 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21167 = _T_21166 | _T_20912; // @[Mux.scala 27:72] + wire [1:0] _T_20913 = _T_21442 ? bht_bank_rd_data_out_0_17 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21168 = _T_21167 | _T_20913; // @[Mux.scala 27:72] + wire [1:0] _T_20914 = _T_21444 ? bht_bank_rd_data_out_0_18 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21169 = _T_21168 | _T_20914; // @[Mux.scala 27:72] + wire [1:0] _T_20915 = _T_21446 ? bht_bank_rd_data_out_0_19 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21170 = _T_21169 | _T_20915; // @[Mux.scala 27:72] + wire [1:0] _T_20916 = _T_21448 ? bht_bank_rd_data_out_0_20 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21171 = _T_21170 | _T_20916; // @[Mux.scala 27:72] + wire [1:0] _T_20917 = _T_21450 ? bht_bank_rd_data_out_0_21 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21172 = _T_21171 | _T_20917; // @[Mux.scala 27:72] + wire [1:0] _T_20918 = _T_21452 ? bht_bank_rd_data_out_0_22 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21173 = _T_21172 | _T_20918; // @[Mux.scala 27:72] + wire [1:0] _T_20919 = _T_21454 ? bht_bank_rd_data_out_0_23 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21174 = _T_21173 | _T_20919; // @[Mux.scala 27:72] + wire [1:0] _T_20920 = _T_21456 ? bht_bank_rd_data_out_0_24 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21175 = _T_21174 | _T_20920; // @[Mux.scala 27:72] + wire [1:0] _T_20921 = _T_21458 ? bht_bank_rd_data_out_0_25 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21176 = _T_21175 | _T_20921; // @[Mux.scala 27:72] + wire [1:0] _T_20922 = _T_21460 ? bht_bank_rd_data_out_0_26 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21177 = _T_21176 | _T_20922; // @[Mux.scala 27:72] + wire [1:0] _T_20923 = _T_21462 ? bht_bank_rd_data_out_0_27 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21178 = _T_21177 | _T_20923; // @[Mux.scala 27:72] + wire [1:0] _T_20924 = _T_21464 ? bht_bank_rd_data_out_0_28 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21179 = _T_21178 | _T_20924; // @[Mux.scala 27:72] + wire [1:0] _T_20925 = _T_21466 ? bht_bank_rd_data_out_0_29 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21180 = _T_21179 | _T_20925; // @[Mux.scala 27:72] + wire [1:0] _T_20926 = _T_21468 ? bht_bank_rd_data_out_0_30 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21181 = _T_21180 | _T_20926; // @[Mux.scala 27:72] + wire [1:0] _T_20927 = _T_21470 ? bht_bank_rd_data_out_0_31 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21182 = _T_21181 | _T_20927; // @[Mux.scala 27:72] + wire [1:0] _T_20928 = _T_21472 ? bht_bank_rd_data_out_0_32 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21183 = _T_21182 | _T_20928; // @[Mux.scala 27:72] + wire [1:0] _T_20929 = _T_21474 ? bht_bank_rd_data_out_0_33 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21184 = _T_21183 | _T_20929; // @[Mux.scala 27:72] + wire [1:0] _T_20930 = _T_21476 ? bht_bank_rd_data_out_0_34 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21185 = _T_21184 | _T_20930; // @[Mux.scala 27:72] + wire [1:0] _T_20931 = _T_21478 ? bht_bank_rd_data_out_0_35 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21186 = _T_21185 | _T_20931; // @[Mux.scala 27:72] + wire [1:0] _T_20932 = _T_21480 ? bht_bank_rd_data_out_0_36 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21187 = _T_21186 | _T_20932; // @[Mux.scala 27:72] + wire [1:0] _T_20933 = _T_21482 ? bht_bank_rd_data_out_0_37 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21188 = _T_21187 | _T_20933; // @[Mux.scala 27:72] + wire [1:0] _T_20934 = _T_21484 ? bht_bank_rd_data_out_0_38 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21189 = _T_21188 | _T_20934; // @[Mux.scala 27:72] + wire [1:0] _T_20935 = _T_21486 ? bht_bank_rd_data_out_0_39 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21190 = _T_21189 | _T_20935; // @[Mux.scala 27:72] + wire [1:0] _T_20936 = _T_21488 ? bht_bank_rd_data_out_0_40 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21191 = _T_21190 | _T_20936; // @[Mux.scala 27:72] + wire [1:0] _T_20937 = _T_21490 ? bht_bank_rd_data_out_0_41 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21192 = _T_21191 | _T_20937; // @[Mux.scala 27:72] + wire [1:0] _T_20938 = _T_21492 ? bht_bank_rd_data_out_0_42 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21193 = _T_21192 | _T_20938; // @[Mux.scala 27:72] + wire [1:0] _T_20939 = _T_21494 ? bht_bank_rd_data_out_0_43 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21194 = _T_21193 | _T_20939; // @[Mux.scala 27:72] + wire [1:0] _T_20940 = _T_21496 ? bht_bank_rd_data_out_0_44 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21195 = _T_21194 | _T_20940; // @[Mux.scala 27:72] + wire [1:0] _T_20941 = _T_21498 ? bht_bank_rd_data_out_0_45 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21196 = _T_21195 | _T_20941; // @[Mux.scala 27:72] + wire [1:0] _T_20942 = _T_21500 ? bht_bank_rd_data_out_0_46 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21197 = _T_21196 | _T_20942; // @[Mux.scala 27:72] + wire [1:0] _T_20943 = _T_21502 ? bht_bank_rd_data_out_0_47 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21198 = _T_21197 | _T_20943; // @[Mux.scala 27:72] + wire [1:0] _T_20944 = _T_21504 ? bht_bank_rd_data_out_0_48 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21199 = _T_21198 | _T_20944; // @[Mux.scala 27:72] + wire [1:0] _T_20945 = _T_21506 ? bht_bank_rd_data_out_0_49 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21200 = _T_21199 | _T_20945; // @[Mux.scala 27:72] + wire [1:0] _T_20946 = _T_21508 ? bht_bank_rd_data_out_0_50 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21201 = _T_21200 | _T_20946; // @[Mux.scala 27:72] + wire [1:0] _T_20947 = _T_21510 ? bht_bank_rd_data_out_0_51 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21202 = _T_21201 | _T_20947; // @[Mux.scala 27:72] + wire [1:0] _T_20948 = _T_21512 ? bht_bank_rd_data_out_0_52 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21203 = _T_21202 | _T_20948; // @[Mux.scala 27:72] + wire [1:0] _T_20949 = _T_21514 ? bht_bank_rd_data_out_0_53 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21204 = _T_21203 | _T_20949; // @[Mux.scala 27:72] + wire [1:0] _T_20950 = _T_21516 ? bht_bank_rd_data_out_0_54 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21205 = _T_21204 | _T_20950; // @[Mux.scala 27:72] + wire [1:0] _T_20951 = _T_21518 ? bht_bank_rd_data_out_0_55 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21206 = _T_21205 | _T_20951; // @[Mux.scala 27:72] + wire [1:0] _T_20952 = _T_21520 ? bht_bank_rd_data_out_0_56 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21207 = _T_21206 | _T_20952; // @[Mux.scala 27:72] + wire [1:0] _T_20953 = _T_21522 ? bht_bank_rd_data_out_0_57 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21208 = _T_21207 | _T_20953; // @[Mux.scala 27:72] + wire [1:0] _T_20954 = _T_21524 ? bht_bank_rd_data_out_0_58 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21209 = _T_21208 | _T_20954; // @[Mux.scala 27:72] + wire [1:0] _T_20955 = _T_21526 ? bht_bank_rd_data_out_0_59 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21210 = _T_21209 | _T_20955; // @[Mux.scala 27:72] + wire [1:0] _T_20956 = _T_21528 ? bht_bank_rd_data_out_0_60 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21211 = _T_21210 | _T_20956; // @[Mux.scala 27:72] + wire [1:0] _T_20957 = _T_21530 ? bht_bank_rd_data_out_0_61 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21212 = _T_21211 | _T_20957; // @[Mux.scala 27:72] + wire [1:0] _T_20958 = _T_21532 ? bht_bank_rd_data_out_0_62 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21213 = _T_21212 | _T_20958; // @[Mux.scala 27:72] + wire [1:0] _T_20959 = _T_21534 ? bht_bank_rd_data_out_0_63 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21214 = _T_21213 | _T_20959; // @[Mux.scala 27:72] + wire [1:0] _T_20960 = _T_21536 ? bht_bank_rd_data_out_0_64 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21215 = _T_21214 | _T_20960; // @[Mux.scala 27:72] + wire [1:0] _T_20961 = _T_21538 ? bht_bank_rd_data_out_0_65 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21216 = _T_21215 | _T_20961; // @[Mux.scala 27:72] + wire [1:0] _T_20962 = _T_21540 ? bht_bank_rd_data_out_0_66 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21217 = _T_21216 | _T_20962; // @[Mux.scala 27:72] + wire [1:0] _T_20963 = _T_21542 ? bht_bank_rd_data_out_0_67 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21218 = _T_21217 | _T_20963; // @[Mux.scala 27:72] + wire [1:0] _T_20964 = _T_21544 ? bht_bank_rd_data_out_0_68 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21219 = _T_21218 | _T_20964; // @[Mux.scala 27:72] + wire [1:0] _T_20965 = _T_21546 ? bht_bank_rd_data_out_0_69 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21220 = _T_21219 | _T_20965; // @[Mux.scala 27:72] + wire [1:0] _T_20966 = _T_21548 ? bht_bank_rd_data_out_0_70 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21221 = _T_21220 | _T_20966; // @[Mux.scala 27:72] + wire [1:0] _T_20967 = _T_21550 ? bht_bank_rd_data_out_0_71 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21222 = _T_21221 | _T_20967; // @[Mux.scala 27:72] + wire [1:0] _T_20968 = _T_21552 ? bht_bank_rd_data_out_0_72 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21223 = _T_21222 | _T_20968; // @[Mux.scala 27:72] + wire [1:0] _T_20969 = _T_21554 ? bht_bank_rd_data_out_0_73 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21224 = _T_21223 | _T_20969; // @[Mux.scala 27:72] + wire [1:0] _T_20970 = _T_21556 ? bht_bank_rd_data_out_0_74 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21225 = _T_21224 | _T_20970; // @[Mux.scala 27:72] + wire [1:0] _T_20971 = _T_21558 ? bht_bank_rd_data_out_0_75 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21226 = _T_21225 | _T_20971; // @[Mux.scala 27:72] + wire [1:0] _T_20972 = _T_21560 ? bht_bank_rd_data_out_0_76 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21227 = _T_21226 | _T_20972; // @[Mux.scala 27:72] + wire [1:0] _T_20973 = _T_21562 ? bht_bank_rd_data_out_0_77 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21228 = _T_21227 | _T_20973; // @[Mux.scala 27:72] + wire [1:0] _T_20974 = _T_21564 ? bht_bank_rd_data_out_0_78 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21229 = _T_21228 | _T_20974; // @[Mux.scala 27:72] + wire [1:0] _T_20975 = _T_21566 ? bht_bank_rd_data_out_0_79 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21230 = _T_21229 | _T_20975; // @[Mux.scala 27:72] + wire [1:0] _T_20976 = _T_21568 ? bht_bank_rd_data_out_0_80 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21231 = _T_21230 | _T_20976; // @[Mux.scala 27:72] + wire [1:0] _T_20977 = _T_21570 ? bht_bank_rd_data_out_0_81 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21232 = _T_21231 | _T_20977; // @[Mux.scala 27:72] + wire [1:0] _T_20978 = _T_21572 ? bht_bank_rd_data_out_0_82 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21233 = _T_21232 | _T_20978; // @[Mux.scala 27:72] + wire [1:0] _T_20979 = _T_21574 ? bht_bank_rd_data_out_0_83 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21234 = _T_21233 | _T_20979; // @[Mux.scala 27:72] + wire [1:0] _T_20980 = _T_21576 ? bht_bank_rd_data_out_0_84 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21235 = _T_21234 | _T_20980; // @[Mux.scala 27:72] + wire [1:0] _T_20981 = _T_21578 ? bht_bank_rd_data_out_0_85 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21236 = _T_21235 | _T_20981; // @[Mux.scala 27:72] + wire [1:0] _T_20982 = _T_21580 ? bht_bank_rd_data_out_0_86 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21237 = _T_21236 | _T_20982; // @[Mux.scala 27:72] + wire [1:0] _T_20983 = _T_21582 ? bht_bank_rd_data_out_0_87 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21238 = _T_21237 | _T_20983; // @[Mux.scala 27:72] + wire [1:0] _T_20984 = _T_21584 ? bht_bank_rd_data_out_0_88 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21239 = _T_21238 | _T_20984; // @[Mux.scala 27:72] + wire [1:0] _T_20985 = _T_21586 ? bht_bank_rd_data_out_0_89 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21240 = _T_21239 | _T_20985; // @[Mux.scala 27:72] + wire [1:0] _T_20986 = _T_21588 ? bht_bank_rd_data_out_0_90 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21241 = _T_21240 | _T_20986; // @[Mux.scala 27:72] + wire [1:0] _T_20987 = _T_21590 ? bht_bank_rd_data_out_0_91 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21242 = _T_21241 | _T_20987; // @[Mux.scala 27:72] + wire [1:0] _T_20988 = _T_21592 ? bht_bank_rd_data_out_0_92 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21243 = _T_21242 | _T_20988; // @[Mux.scala 27:72] + wire [1:0] _T_20989 = _T_21594 ? bht_bank_rd_data_out_0_93 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21244 = _T_21243 | _T_20989; // @[Mux.scala 27:72] + wire [1:0] _T_20990 = _T_21596 ? bht_bank_rd_data_out_0_94 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21245 = _T_21244 | _T_20990; // @[Mux.scala 27:72] + wire [1:0] _T_20991 = _T_21598 ? bht_bank_rd_data_out_0_95 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21246 = _T_21245 | _T_20991; // @[Mux.scala 27:72] + wire [1:0] _T_20992 = _T_21600 ? bht_bank_rd_data_out_0_96 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21247 = _T_21246 | _T_20992; // @[Mux.scala 27:72] + wire [1:0] _T_20993 = _T_21602 ? bht_bank_rd_data_out_0_97 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21248 = _T_21247 | _T_20993; // @[Mux.scala 27:72] + wire [1:0] _T_20994 = _T_21604 ? bht_bank_rd_data_out_0_98 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21249 = _T_21248 | _T_20994; // @[Mux.scala 27:72] + wire [1:0] _T_20995 = _T_21606 ? bht_bank_rd_data_out_0_99 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21250 = _T_21249 | _T_20995; // @[Mux.scala 27:72] + wire [1:0] _T_20996 = _T_21608 ? bht_bank_rd_data_out_0_100 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21251 = _T_21250 | _T_20996; // @[Mux.scala 27:72] + wire [1:0] _T_20997 = _T_21610 ? bht_bank_rd_data_out_0_101 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21252 = _T_21251 | _T_20997; // @[Mux.scala 27:72] + wire [1:0] _T_20998 = _T_21612 ? bht_bank_rd_data_out_0_102 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21253 = _T_21252 | _T_20998; // @[Mux.scala 27:72] + wire [1:0] _T_20999 = _T_21614 ? bht_bank_rd_data_out_0_103 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21254 = _T_21253 | _T_20999; // @[Mux.scala 27:72] + wire [1:0] _T_21000 = _T_21616 ? bht_bank_rd_data_out_0_104 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21255 = _T_21254 | _T_21000; // @[Mux.scala 27:72] + wire [1:0] _T_21001 = _T_21618 ? bht_bank_rd_data_out_0_105 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21256 = _T_21255 | _T_21001; // @[Mux.scala 27:72] + wire [1:0] _T_21002 = _T_21620 ? bht_bank_rd_data_out_0_106 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21257 = _T_21256 | _T_21002; // @[Mux.scala 27:72] + wire [1:0] _T_21003 = _T_21622 ? bht_bank_rd_data_out_0_107 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21258 = _T_21257 | _T_21003; // @[Mux.scala 27:72] + wire [1:0] _T_21004 = _T_21624 ? bht_bank_rd_data_out_0_108 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21259 = _T_21258 | _T_21004; // @[Mux.scala 27:72] + wire [1:0] _T_21005 = _T_21626 ? bht_bank_rd_data_out_0_109 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21260 = _T_21259 | _T_21005; // @[Mux.scala 27:72] + wire [1:0] _T_21006 = _T_21628 ? bht_bank_rd_data_out_0_110 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21261 = _T_21260 | _T_21006; // @[Mux.scala 27:72] + wire [1:0] _T_21007 = _T_21630 ? bht_bank_rd_data_out_0_111 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21262 = _T_21261 | _T_21007; // @[Mux.scala 27:72] + wire [1:0] _T_21008 = _T_21632 ? bht_bank_rd_data_out_0_112 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21263 = _T_21262 | _T_21008; // @[Mux.scala 27:72] + wire [1:0] _T_21009 = _T_21634 ? bht_bank_rd_data_out_0_113 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21264 = _T_21263 | _T_21009; // @[Mux.scala 27:72] + wire [1:0] _T_21010 = _T_21636 ? bht_bank_rd_data_out_0_114 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21265 = _T_21264 | _T_21010; // @[Mux.scala 27:72] + wire [1:0] _T_21011 = _T_21638 ? bht_bank_rd_data_out_0_115 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21266 = _T_21265 | _T_21011; // @[Mux.scala 27:72] + wire [1:0] _T_21012 = _T_21640 ? bht_bank_rd_data_out_0_116 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21267 = _T_21266 | _T_21012; // @[Mux.scala 27:72] + wire [1:0] _T_21013 = _T_21642 ? bht_bank_rd_data_out_0_117 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21268 = _T_21267 | _T_21013; // @[Mux.scala 27:72] + wire [1:0] _T_21014 = _T_21644 ? bht_bank_rd_data_out_0_118 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21269 = _T_21268 | _T_21014; // @[Mux.scala 27:72] + wire [1:0] _T_21015 = _T_21646 ? bht_bank_rd_data_out_0_119 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21270 = _T_21269 | _T_21015; // @[Mux.scala 27:72] + wire [1:0] _T_21016 = _T_21648 ? bht_bank_rd_data_out_0_120 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21271 = _T_21270 | _T_21016; // @[Mux.scala 27:72] + wire [1:0] _T_21017 = _T_21650 ? bht_bank_rd_data_out_0_121 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21272 = _T_21271 | _T_21017; // @[Mux.scala 27:72] + wire [1:0] _T_21018 = _T_21652 ? bht_bank_rd_data_out_0_122 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21273 = _T_21272 | _T_21018; // @[Mux.scala 27:72] + wire [1:0] _T_21019 = _T_21654 ? bht_bank_rd_data_out_0_123 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21274 = _T_21273 | _T_21019; // @[Mux.scala 27:72] + wire [1:0] _T_21020 = _T_21656 ? bht_bank_rd_data_out_0_124 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21275 = _T_21274 | _T_21020; // @[Mux.scala 27:72] + wire [1:0] _T_21021 = _T_21658 ? bht_bank_rd_data_out_0_125 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21276 = _T_21275 | _T_21021; // @[Mux.scala 27:72] + wire [1:0] _T_21022 = _T_21660 ? bht_bank_rd_data_out_0_126 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21277 = _T_21276 | _T_21022; // @[Mux.scala 27:72] + wire [1:0] _T_21023 = _T_21662 ? bht_bank_rd_data_out_0_127 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21278 = _T_21277 | _T_21023; // @[Mux.scala 27:72] + wire [1:0] _T_21024 = _T_21664 ? bht_bank_rd_data_out_0_128 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21279 = _T_21278 | _T_21024; // @[Mux.scala 27:72] + wire [1:0] _T_21025 = _T_21666 ? bht_bank_rd_data_out_0_129 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21280 = _T_21279 | _T_21025; // @[Mux.scala 27:72] + wire [1:0] _T_21026 = _T_21668 ? bht_bank_rd_data_out_0_130 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21281 = _T_21280 | _T_21026; // @[Mux.scala 27:72] + wire [1:0] _T_21027 = _T_21670 ? bht_bank_rd_data_out_0_131 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21282 = _T_21281 | _T_21027; // @[Mux.scala 27:72] + wire [1:0] _T_21028 = _T_21672 ? bht_bank_rd_data_out_0_132 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21283 = _T_21282 | _T_21028; // @[Mux.scala 27:72] + wire [1:0] _T_21029 = _T_21674 ? bht_bank_rd_data_out_0_133 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21284 = _T_21283 | _T_21029; // @[Mux.scala 27:72] + wire [1:0] _T_21030 = _T_21676 ? bht_bank_rd_data_out_0_134 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21285 = _T_21284 | _T_21030; // @[Mux.scala 27:72] + wire [1:0] _T_21031 = _T_21678 ? bht_bank_rd_data_out_0_135 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21286 = _T_21285 | _T_21031; // @[Mux.scala 27:72] + wire [1:0] _T_21032 = _T_21680 ? bht_bank_rd_data_out_0_136 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21287 = _T_21286 | _T_21032; // @[Mux.scala 27:72] + wire [1:0] _T_21033 = _T_21682 ? bht_bank_rd_data_out_0_137 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21288 = _T_21287 | _T_21033; // @[Mux.scala 27:72] + wire [1:0] _T_21034 = _T_21684 ? bht_bank_rd_data_out_0_138 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21289 = _T_21288 | _T_21034; // @[Mux.scala 27:72] + wire [1:0] _T_21035 = _T_21686 ? bht_bank_rd_data_out_0_139 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21290 = _T_21289 | _T_21035; // @[Mux.scala 27:72] + wire [1:0] _T_21036 = _T_21688 ? bht_bank_rd_data_out_0_140 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21291 = _T_21290 | _T_21036; // @[Mux.scala 27:72] + wire [1:0] _T_21037 = _T_21690 ? bht_bank_rd_data_out_0_141 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21292 = _T_21291 | _T_21037; // @[Mux.scala 27:72] + wire [1:0] _T_21038 = _T_21692 ? bht_bank_rd_data_out_0_142 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21293 = _T_21292 | _T_21038; // @[Mux.scala 27:72] + wire [1:0] _T_21039 = _T_21694 ? bht_bank_rd_data_out_0_143 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21294 = _T_21293 | _T_21039; // @[Mux.scala 27:72] + wire [1:0] _T_21040 = _T_21696 ? bht_bank_rd_data_out_0_144 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21295 = _T_21294 | _T_21040; // @[Mux.scala 27:72] + wire [1:0] _T_21041 = _T_21698 ? bht_bank_rd_data_out_0_145 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21296 = _T_21295 | _T_21041; // @[Mux.scala 27:72] + wire [1:0] _T_21042 = _T_21700 ? bht_bank_rd_data_out_0_146 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21297 = _T_21296 | _T_21042; // @[Mux.scala 27:72] + wire [1:0] _T_21043 = _T_21702 ? bht_bank_rd_data_out_0_147 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21298 = _T_21297 | _T_21043; // @[Mux.scala 27:72] + wire [1:0] _T_21044 = _T_21704 ? bht_bank_rd_data_out_0_148 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21299 = _T_21298 | _T_21044; // @[Mux.scala 27:72] + wire [1:0] _T_21045 = _T_21706 ? bht_bank_rd_data_out_0_149 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21300 = _T_21299 | _T_21045; // @[Mux.scala 27:72] + wire [1:0] _T_21046 = _T_21708 ? bht_bank_rd_data_out_0_150 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21301 = _T_21300 | _T_21046; // @[Mux.scala 27:72] + wire [1:0] _T_21047 = _T_21710 ? bht_bank_rd_data_out_0_151 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21302 = _T_21301 | _T_21047; // @[Mux.scala 27:72] + wire [1:0] _T_21048 = _T_21712 ? bht_bank_rd_data_out_0_152 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21303 = _T_21302 | _T_21048; // @[Mux.scala 27:72] + wire [1:0] _T_21049 = _T_21714 ? bht_bank_rd_data_out_0_153 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21304 = _T_21303 | _T_21049; // @[Mux.scala 27:72] + wire [1:0] _T_21050 = _T_21716 ? bht_bank_rd_data_out_0_154 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21305 = _T_21304 | _T_21050; // @[Mux.scala 27:72] + wire [1:0] _T_21051 = _T_21718 ? bht_bank_rd_data_out_0_155 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21306 = _T_21305 | _T_21051; // @[Mux.scala 27:72] + wire [1:0] _T_21052 = _T_21720 ? bht_bank_rd_data_out_0_156 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21307 = _T_21306 | _T_21052; // @[Mux.scala 27:72] + wire [1:0] _T_21053 = _T_21722 ? bht_bank_rd_data_out_0_157 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21308 = _T_21307 | _T_21053; // @[Mux.scala 27:72] + wire [1:0] _T_21054 = _T_21724 ? bht_bank_rd_data_out_0_158 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21309 = _T_21308 | _T_21054; // @[Mux.scala 27:72] + wire [1:0] _T_21055 = _T_21726 ? bht_bank_rd_data_out_0_159 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21310 = _T_21309 | _T_21055; // @[Mux.scala 27:72] + wire [1:0] _T_21056 = _T_21728 ? bht_bank_rd_data_out_0_160 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21311 = _T_21310 | _T_21056; // @[Mux.scala 27:72] + wire [1:0] _T_21057 = _T_21730 ? bht_bank_rd_data_out_0_161 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21312 = _T_21311 | _T_21057; // @[Mux.scala 27:72] + wire [1:0] _T_21058 = _T_21732 ? bht_bank_rd_data_out_0_162 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21313 = _T_21312 | _T_21058; // @[Mux.scala 27:72] + wire [1:0] _T_21059 = _T_21734 ? bht_bank_rd_data_out_0_163 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21314 = _T_21313 | _T_21059; // @[Mux.scala 27:72] + wire [1:0] _T_21060 = _T_21736 ? bht_bank_rd_data_out_0_164 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21315 = _T_21314 | _T_21060; // @[Mux.scala 27:72] + wire [1:0] _T_21061 = _T_21738 ? bht_bank_rd_data_out_0_165 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21316 = _T_21315 | _T_21061; // @[Mux.scala 27:72] + wire [1:0] _T_21062 = _T_21740 ? bht_bank_rd_data_out_0_166 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21317 = _T_21316 | _T_21062; // @[Mux.scala 27:72] + wire [1:0] _T_21063 = _T_21742 ? bht_bank_rd_data_out_0_167 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21318 = _T_21317 | _T_21063; // @[Mux.scala 27:72] + wire [1:0] _T_21064 = _T_21744 ? bht_bank_rd_data_out_0_168 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21319 = _T_21318 | _T_21064; // @[Mux.scala 27:72] + wire [1:0] _T_21065 = _T_21746 ? bht_bank_rd_data_out_0_169 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21320 = _T_21319 | _T_21065; // @[Mux.scala 27:72] + wire [1:0] _T_21066 = _T_21748 ? bht_bank_rd_data_out_0_170 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21321 = _T_21320 | _T_21066; // @[Mux.scala 27:72] + wire [1:0] _T_21067 = _T_21750 ? bht_bank_rd_data_out_0_171 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21322 = _T_21321 | _T_21067; // @[Mux.scala 27:72] + wire [1:0] _T_21068 = _T_21752 ? bht_bank_rd_data_out_0_172 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21323 = _T_21322 | _T_21068; // @[Mux.scala 27:72] + wire [1:0] _T_21069 = _T_21754 ? bht_bank_rd_data_out_0_173 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21324 = _T_21323 | _T_21069; // @[Mux.scala 27:72] + wire [1:0] _T_21070 = _T_21756 ? bht_bank_rd_data_out_0_174 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21325 = _T_21324 | _T_21070; // @[Mux.scala 27:72] + wire [1:0] _T_21071 = _T_21758 ? bht_bank_rd_data_out_0_175 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21326 = _T_21325 | _T_21071; // @[Mux.scala 27:72] + wire [1:0] _T_21072 = _T_21760 ? bht_bank_rd_data_out_0_176 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21327 = _T_21326 | _T_21072; // @[Mux.scala 27:72] + wire [1:0] _T_21073 = _T_21762 ? bht_bank_rd_data_out_0_177 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21328 = _T_21327 | _T_21073; // @[Mux.scala 27:72] + wire [1:0] _T_21074 = _T_21764 ? bht_bank_rd_data_out_0_178 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21329 = _T_21328 | _T_21074; // @[Mux.scala 27:72] + wire [1:0] _T_21075 = _T_21766 ? bht_bank_rd_data_out_0_179 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21330 = _T_21329 | _T_21075; // @[Mux.scala 27:72] + wire [1:0] _T_21076 = _T_21768 ? bht_bank_rd_data_out_0_180 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21331 = _T_21330 | _T_21076; // @[Mux.scala 27:72] + wire [1:0] _T_21077 = _T_21770 ? bht_bank_rd_data_out_0_181 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21332 = _T_21331 | _T_21077; // @[Mux.scala 27:72] + wire [1:0] _T_21078 = _T_21772 ? bht_bank_rd_data_out_0_182 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21333 = _T_21332 | _T_21078; // @[Mux.scala 27:72] + wire [1:0] _T_21079 = _T_21774 ? bht_bank_rd_data_out_0_183 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21334 = _T_21333 | _T_21079; // @[Mux.scala 27:72] + wire [1:0] _T_21080 = _T_21776 ? bht_bank_rd_data_out_0_184 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21335 = _T_21334 | _T_21080; // @[Mux.scala 27:72] + wire [1:0] _T_21081 = _T_21778 ? bht_bank_rd_data_out_0_185 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21336 = _T_21335 | _T_21081; // @[Mux.scala 27:72] + wire [1:0] _T_21082 = _T_21780 ? bht_bank_rd_data_out_0_186 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21337 = _T_21336 | _T_21082; // @[Mux.scala 27:72] + wire [1:0] _T_21083 = _T_21782 ? bht_bank_rd_data_out_0_187 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21338 = _T_21337 | _T_21083; // @[Mux.scala 27:72] + wire [1:0] _T_21084 = _T_21784 ? bht_bank_rd_data_out_0_188 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21339 = _T_21338 | _T_21084; // @[Mux.scala 27:72] + wire [1:0] _T_21085 = _T_21786 ? bht_bank_rd_data_out_0_189 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21340 = _T_21339 | _T_21085; // @[Mux.scala 27:72] + wire [1:0] _T_21086 = _T_21788 ? bht_bank_rd_data_out_0_190 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21341 = _T_21340 | _T_21086; // @[Mux.scala 27:72] + wire [1:0] _T_21087 = _T_21790 ? bht_bank_rd_data_out_0_191 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21342 = _T_21341 | _T_21087; // @[Mux.scala 27:72] + wire [1:0] _T_21088 = _T_21792 ? bht_bank_rd_data_out_0_192 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21343 = _T_21342 | _T_21088; // @[Mux.scala 27:72] + wire [1:0] _T_21089 = _T_21794 ? bht_bank_rd_data_out_0_193 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21344 = _T_21343 | _T_21089; // @[Mux.scala 27:72] + wire [1:0] _T_21090 = _T_21796 ? bht_bank_rd_data_out_0_194 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21345 = _T_21344 | _T_21090; // @[Mux.scala 27:72] + wire [1:0] _T_21091 = _T_21798 ? bht_bank_rd_data_out_0_195 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21346 = _T_21345 | _T_21091; // @[Mux.scala 27:72] + wire [1:0] _T_21092 = _T_21800 ? bht_bank_rd_data_out_0_196 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21347 = _T_21346 | _T_21092; // @[Mux.scala 27:72] + wire [1:0] _T_21093 = _T_21802 ? bht_bank_rd_data_out_0_197 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21348 = _T_21347 | _T_21093; // @[Mux.scala 27:72] + wire [1:0] _T_21094 = _T_21804 ? bht_bank_rd_data_out_0_198 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21349 = _T_21348 | _T_21094; // @[Mux.scala 27:72] + wire [1:0] _T_21095 = _T_21806 ? bht_bank_rd_data_out_0_199 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21350 = _T_21349 | _T_21095; // @[Mux.scala 27:72] + wire [1:0] _T_21096 = _T_21808 ? bht_bank_rd_data_out_0_200 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21351 = _T_21350 | _T_21096; // @[Mux.scala 27:72] + wire [1:0] _T_21097 = _T_21810 ? bht_bank_rd_data_out_0_201 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21352 = _T_21351 | _T_21097; // @[Mux.scala 27:72] + wire [1:0] _T_21098 = _T_21812 ? bht_bank_rd_data_out_0_202 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21353 = _T_21352 | _T_21098; // @[Mux.scala 27:72] + wire [1:0] _T_21099 = _T_21814 ? bht_bank_rd_data_out_0_203 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21354 = _T_21353 | _T_21099; // @[Mux.scala 27:72] + wire [1:0] _T_21100 = _T_21816 ? bht_bank_rd_data_out_0_204 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21355 = _T_21354 | _T_21100; // @[Mux.scala 27:72] + wire [1:0] _T_21101 = _T_21818 ? bht_bank_rd_data_out_0_205 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21356 = _T_21355 | _T_21101; // @[Mux.scala 27:72] + wire [1:0] _T_21102 = _T_21820 ? bht_bank_rd_data_out_0_206 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21357 = _T_21356 | _T_21102; // @[Mux.scala 27:72] + wire [1:0] _T_21103 = _T_21822 ? bht_bank_rd_data_out_0_207 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21358 = _T_21357 | _T_21103; // @[Mux.scala 27:72] + wire [1:0] _T_21104 = _T_21824 ? bht_bank_rd_data_out_0_208 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21359 = _T_21358 | _T_21104; // @[Mux.scala 27:72] + wire [1:0] _T_21105 = _T_21826 ? bht_bank_rd_data_out_0_209 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21360 = _T_21359 | _T_21105; // @[Mux.scala 27:72] + wire [1:0] _T_21106 = _T_21828 ? bht_bank_rd_data_out_0_210 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21361 = _T_21360 | _T_21106; // @[Mux.scala 27:72] + wire [1:0] _T_21107 = _T_21830 ? bht_bank_rd_data_out_0_211 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21362 = _T_21361 | _T_21107; // @[Mux.scala 27:72] + wire [1:0] _T_21108 = _T_21832 ? bht_bank_rd_data_out_0_212 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21363 = _T_21362 | _T_21108; // @[Mux.scala 27:72] + wire [1:0] _T_21109 = _T_21834 ? bht_bank_rd_data_out_0_213 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21364 = _T_21363 | _T_21109; // @[Mux.scala 27:72] + wire [1:0] _T_21110 = _T_21836 ? bht_bank_rd_data_out_0_214 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21365 = _T_21364 | _T_21110; // @[Mux.scala 27:72] + wire [1:0] _T_21111 = _T_21838 ? bht_bank_rd_data_out_0_215 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21366 = _T_21365 | _T_21111; // @[Mux.scala 27:72] + wire [1:0] _T_21112 = _T_21840 ? bht_bank_rd_data_out_0_216 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21367 = _T_21366 | _T_21112; // @[Mux.scala 27:72] + wire [1:0] _T_21113 = _T_21842 ? bht_bank_rd_data_out_0_217 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21368 = _T_21367 | _T_21113; // @[Mux.scala 27:72] + wire [1:0] _T_21114 = _T_21844 ? bht_bank_rd_data_out_0_218 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21369 = _T_21368 | _T_21114; // @[Mux.scala 27:72] + wire [1:0] _T_21115 = _T_21846 ? bht_bank_rd_data_out_0_219 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21370 = _T_21369 | _T_21115; // @[Mux.scala 27:72] + wire [1:0] _T_21116 = _T_21848 ? bht_bank_rd_data_out_0_220 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21371 = _T_21370 | _T_21116; // @[Mux.scala 27:72] + wire [1:0] _T_21117 = _T_21850 ? bht_bank_rd_data_out_0_221 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21372 = _T_21371 | _T_21117; // @[Mux.scala 27:72] + wire [1:0] _T_21118 = _T_21852 ? bht_bank_rd_data_out_0_222 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21373 = _T_21372 | _T_21118; // @[Mux.scala 27:72] + wire [1:0] _T_21119 = _T_21854 ? bht_bank_rd_data_out_0_223 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21374 = _T_21373 | _T_21119; // @[Mux.scala 27:72] + wire [1:0] _T_21120 = _T_21856 ? bht_bank_rd_data_out_0_224 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21375 = _T_21374 | _T_21120; // @[Mux.scala 27:72] + wire [1:0] _T_21121 = _T_21858 ? bht_bank_rd_data_out_0_225 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21376 = _T_21375 | _T_21121; // @[Mux.scala 27:72] + wire [1:0] _T_21122 = _T_21860 ? bht_bank_rd_data_out_0_226 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21377 = _T_21376 | _T_21122; // @[Mux.scala 27:72] + wire [1:0] _T_21123 = _T_21862 ? bht_bank_rd_data_out_0_227 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21378 = _T_21377 | _T_21123; // @[Mux.scala 27:72] + wire [1:0] _T_21124 = _T_21864 ? bht_bank_rd_data_out_0_228 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21379 = _T_21378 | _T_21124; // @[Mux.scala 27:72] + wire [1:0] _T_21125 = _T_21866 ? bht_bank_rd_data_out_0_229 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21380 = _T_21379 | _T_21125; // @[Mux.scala 27:72] + wire [1:0] _T_21126 = _T_21868 ? bht_bank_rd_data_out_0_230 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21381 = _T_21380 | _T_21126; // @[Mux.scala 27:72] + wire [1:0] _T_21127 = _T_21870 ? bht_bank_rd_data_out_0_231 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21382 = _T_21381 | _T_21127; // @[Mux.scala 27:72] + wire [1:0] _T_21128 = _T_21872 ? bht_bank_rd_data_out_0_232 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21383 = _T_21382 | _T_21128; // @[Mux.scala 27:72] + wire [1:0] _T_21129 = _T_21874 ? bht_bank_rd_data_out_0_233 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21384 = _T_21383 | _T_21129; // @[Mux.scala 27:72] + wire [1:0] _T_21130 = _T_21876 ? bht_bank_rd_data_out_0_234 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21385 = _T_21384 | _T_21130; // @[Mux.scala 27:72] + wire [1:0] _T_21131 = _T_21878 ? bht_bank_rd_data_out_0_235 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21386 = _T_21385 | _T_21131; // @[Mux.scala 27:72] + wire [1:0] _T_21132 = _T_21880 ? bht_bank_rd_data_out_0_236 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21387 = _T_21386 | _T_21132; // @[Mux.scala 27:72] + wire [1:0] _T_21133 = _T_21882 ? bht_bank_rd_data_out_0_237 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21388 = _T_21387 | _T_21133; // @[Mux.scala 27:72] + wire [1:0] _T_21134 = _T_21884 ? bht_bank_rd_data_out_0_238 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21389 = _T_21388 | _T_21134; // @[Mux.scala 27:72] + wire [1:0] _T_21135 = _T_21886 ? bht_bank_rd_data_out_0_239 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21390 = _T_21389 | _T_21135; // @[Mux.scala 27:72] + wire [1:0] _T_21136 = _T_21888 ? bht_bank_rd_data_out_0_240 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21391 = _T_21390 | _T_21136; // @[Mux.scala 27:72] + wire [1:0] _T_21137 = _T_21890 ? bht_bank_rd_data_out_0_241 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21392 = _T_21391 | _T_21137; // @[Mux.scala 27:72] + wire [1:0] _T_21138 = _T_21892 ? bht_bank_rd_data_out_0_242 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21393 = _T_21392 | _T_21138; // @[Mux.scala 27:72] + wire [1:0] _T_21139 = _T_21894 ? bht_bank_rd_data_out_0_243 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21394 = _T_21393 | _T_21139; // @[Mux.scala 27:72] + wire [1:0] _T_21140 = _T_21896 ? bht_bank_rd_data_out_0_244 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21395 = _T_21394 | _T_21140; // @[Mux.scala 27:72] + wire [1:0] _T_21141 = _T_21898 ? bht_bank_rd_data_out_0_245 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21396 = _T_21395 | _T_21141; // @[Mux.scala 27:72] + wire [1:0] _T_21142 = _T_21900 ? bht_bank_rd_data_out_0_246 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21397 = _T_21396 | _T_21142; // @[Mux.scala 27:72] + wire [1:0] _T_21143 = _T_21902 ? bht_bank_rd_data_out_0_247 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21398 = _T_21397 | _T_21143; // @[Mux.scala 27:72] + wire [1:0] _T_21144 = _T_21904 ? bht_bank_rd_data_out_0_248 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21399 = _T_21398 | _T_21144; // @[Mux.scala 27:72] + wire [1:0] _T_21145 = _T_21906 ? bht_bank_rd_data_out_0_249 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21400 = _T_21399 | _T_21145; // @[Mux.scala 27:72] + wire [1:0] _T_21146 = _T_21908 ? bht_bank_rd_data_out_0_250 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21401 = _T_21400 | _T_21146; // @[Mux.scala 27:72] + wire [1:0] _T_21147 = _T_21910 ? bht_bank_rd_data_out_0_251 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21402 = _T_21401 | _T_21147; // @[Mux.scala 27:72] + wire [1:0] _T_21148 = _T_21912 ? bht_bank_rd_data_out_0_252 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21403 = _T_21402 | _T_21148; // @[Mux.scala 27:72] + wire [1:0] _T_21149 = _T_21914 ? bht_bank_rd_data_out_0_253 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21404 = _T_21403 | _T_21149; // @[Mux.scala 27:72] + wire [1:0] _T_21150 = _T_21916 ? bht_bank_rd_data_out_0_254 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_21405 = _T_21404 | _T_21150; // @[Mux.scala 27:72] + wire [1:0] _T_21151 = _T_21918 ? bht_bank_rd_data_out_0_255 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] bht_bank0_rd_data_f = _T_21405 | _T_21151; // @[Mux.scala 27:72] + wire [1:0] _T_252 = _T_144 ? bht_bank0_rd_data_f : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_253 = io_ifc_fetch_addr_f[0] ? bht_bank1_rd_data_f : 2'h0; // @[Mux.scala 27:72] + wire [1:0] bht_vbank0_rd_data_f = _T_252 | _T_253; // @[Mux.scala 27:72] + wire _T_270 = bht_force_taken_f[0] | bht_vbank0_rd_data_f[1]; // @[ifu_bp_ctl.scala 282:45] + wire _T_272 = _T_270 & bht_valid_f[0]; // @[ifu_bp_ctl.scala 282:72] + wire [1:0] bht_dir_f = {_T_267,_T_272}; // @[Cat.scala 29:58] + wire _T_14 = ~bht_dir_f[0]; // @[ifu_bp_ctl.scala 96:23] + wire [1:0] btb_sel_f = {_T_14,bht_dir_f[0]}; // @[Cat.scala 29:58] + wire [1:0] fetch_start_f = {io_ifc_fetch_addr_f[0],_T_144}; // @[Cat.scala 29:58] + wire _T_32 = io_exu_bp_exu_mp_btag == fetch_rd_tag_f; // @[ifu_bp_ctl.scala 114:53] + wire _T_33 = _T_32 & exu_mp_valid; // @[ifu_bp_ctl.scala 114:73] + wire _T_34 = _T_33 & io_ifc_fetch_req_f; // @[ifu_bp_ctl.scala 114:88] + wire _T_35 = io_exu_bp_exu_mp_index == btb_rd_addr_f; // @[ifu_bp_ctl.scala 114:124] + wire fetch_mp_collision_f = _T_34 & _T_35; // @[ifu_bp_ctl.scala 114:109] + wire _T_36 = io_exu_bp_exu_mp_btag == fetch_rd_tag_p1_f; // @[ifu_bp_ctl.scala 115:56] + wire _T_37 = _T_36 & exu_mp_valid; // @[ifu_bp_ctl.scala 115:79] + wire _T_38 = _T_37 & io_ifc_fetch_req_f; // @[ifu_bp_ctl.scala 115:94] + wire _T_39 = io_exu_bp_exu_mp_index == btb_rd_addr_p1_f; // @[ifu_bp_ctl.scala 115:130] + wire fetch_mp_collision_p1_f = _T_38 & _T_39; // @[ifu_bp_ctl.scala 115:115] + reg exu_mp_way_f; // @[ifu_bp_ctl.scala 119:55] + reg exu_flush_final_d1; // @[ifu_bp_ctl.scala 120:61] + wire [255:0] mp_wrindex_dec = 256'h1 << io_exu_bp_exu_mp_index; // @[ifu_bp_ctl.scala 191:28] + wire [255:0] fetch_wrindex_dec = 256'h1 << btb_rd_addr_f; // @[ifu_bp_ctl.scala 194:31] + wire [255:0] fetch_wrindex_p1_dec = 256'h1 << btb_rd_addr_p1_f; // @[ifu_bp_ctl.scala 197:34] + wire [255:0] _T_150 = exu_mp_valid ? 256'hffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff : 256'h0; // @[Bitwise.scala 72:12] + wire [255:0] mp_wrlru_b0 = mp_wrindex_dec & _T_150; // @[ifu_bp_ctl.scala 200:36] + wire _T_166 = bht_valid_f[0] | bht_valid_f[1]; // @[ifu_bp_ctl.scala 206:42] + wire _T_167 = _T_166 & io_ifc_fetch_req_f; // @[ifu_bp_ctl.scala 206:58] + wire lru_update_valid_f = _T_167 & _T; // @[ifu_bp_ctl.scala 206:79] + wire [255:0] _T_170 = lru_update_valid_f ? 256'hffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff : 256'h0; // @[Bitwise.scala 72:12] + wire [255:0] fetch_wrlru_b0 = fetch_wrindex_dec & _T_170; // @[ifu_bp_ctl.scala 208:42] + wire [255:0] fetch_wrlru_p1_b0 = fetch_wrindex_p1_dec & _T_170; // @[ifu_bp_ctl.scala 209:48] + wire [255:0] _T_173 = ~mp_wrlru_b0; // @[ifu_bp_ctl.scala 211:25] + wire [255:0] _T_174 = ~fetch_wrlru_b0; // @[ifu_bp_ctl.scala 211:40] + wire [255:0] btb_lru_b0_hold = _T_173 & _T_174; // @[ifu_bp_ctl.scala 211:38] + wire _T_176 = ~io_exu_bp_exu_mp_pkt_bits_way; // @[ifu_bp_ctl.scala 218:40] + wire [255:0] _T_179 = _T_176 ? mp_wrlru_b0 : 256'h0; // @[Mux.scala 27:72] + wire [255:0] _T_180 = tag_match_way0_f ? fetch_wrlru_b0 : 256'h0; // @[Mux.scala 27:72] + wire [255:0] _T_181 = tag_match_way0_p1_f ? fetch_wrlru_p1_b0 : 256'h0; // @[Mux.scala 27:72] + wire [255:0] _T_182 = _T_179 | _T_180; // @[Mux.scala 27:72] + wire [255:0] _T_183 = _T_182 | _T_181; // @[Mux.scala 27:72] + reg [255:0] btb_lru_b0_f; // @[lib.scala 374:16] + wire [255:0] _T_185 = btb_lru_b0_hold & btb_lru_b0_f; // @[ifu_bp_ctl.scala 220:102] + wire [255:0] _T_187 = fetch_wrindex_dec & btb_lru_b0_f; // @[ifu_bp_ctl.scala 223:78] + wire _T_188 = |_T_187; // @[ifu_bp_ctl.scala 223:94] + wire btb_lru_rd_f = fetch_mp_collision_f ? exu_mp_way_f : _T_188; // @[ifu_bp_ctl.scala 223:25] + wire [255:0] _T_190 = fetch_wrindex_p1_dec & btb_lru_b0_f; // @[ifu_bp_ctl.scala 225:87] + wire _T_191 = |_T_190; // @[ifu_bp_ctl.scala 225:103] + wire btb_lru_rd_p1_f = fetch_mp_collision_p1_f ? exu_mp_way_f : _T_191; // @[ifu_bp_ctl.scala 225:28] + wire [1:0] _T_194 = {btb_lru_rd_f,btb_lru_rd_f}; // @[Cat.scala 29:58] + wire [1:0] _T_197 = {btb_lru_rd_p1_f,btb_lru_rd_f}; // @[Cat.scala 29:58] + wire [1:0] _T_198 = _T_144 ? _T_194 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_199 = io_ifc_fetch_addr_f[0] ? _T_197 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] btb_vlru_rd_f = _T_198 | _T_199; // @[Mux.scala 27:72] + wire [1:0] _T_208 = {tag_match_way1_expanded_p1_f[0],tag_match_way1_expanded_f[1]}; // @[Cat.scala 29:58] + wire [1:0] _T_209 = _T_144 ? tag_match_way1_expanded_f : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_210 = io_ifc_fetch_addr_f[0] ? _T_208 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] tag_match_vway1_expanded_f = _T_209 | _T_210; // @[Mux.scala 27:72] + wire [1:0] _T_212 = ~bht_valid_f; // @[ifu_bp_ctl.scala 235:52] + wire [1:0] _T_213 = _T_212 & btb_vlru_rd_f; // @[ifu_bp_ctl.scala 235:63] + wire [15:0] _T_230 = btb_sel_f[1] ? btb_vbank1_rd_data_f[16:1] : 16'h0; // @[Mux.scala 27:72] + wire [15:0] _T_231 = btb_sel_f[0] ? btb_vbank0_rd_data_f[16:1] : 16'h0; // @[Mux.scala 27:72] + wire [15:0] btb_sel_data_f = _T_230 | _T_231; // @[Mux.scala 27:72] + wire [11:0] btb_rd_tgt_f = btb_sel_data_f[15:4]; // @[ifu_bp_ctl.scala 251:36] + wire btb_rd_pc4_f = btb_sel_data_f[3]; // @[ifu_bp_ctl.scala 252:36] + wire btb_rd_call_f = btb_sel_data_f[1]; // @[ifu_bp_ctl.scala 253:37] + wire btb_rd_ret_f = btb_sel_data_f[0]; // @[ifu_bp_ctl.scala 254:36] + wire [1:0] _T_280 = {bht_vbank1_rd_data_f[1],bht_vbank0_rd_data_f[1]}; // @[Cat.scala 29:58] + wire [1:0] hist1_raw = bht_force_taken_f | _T_280; // @[ifu_bp_ctl.scala 288:34] + wire [1:0] _T_234 = bht_valid_f & hist1_raw; // @[ifu_bp_ctl.scala 261:39] + wire _T_235 = |_T_234; // @[ifu_bp_ctl.scala 261:52] + wire _T_236 = _T_235 & io_ifc_fetch_req_f; // @[ifu_bp_ctl.scala 261:56] + wire _T_237 = ~leak_one_f_d1; // @[ifu_bp_ctl.scala 261:79] + wire _T_238 = _T_236 & _T_237; // @[ifu_bp_ctl.scala 261:77] + wire _T_239 = ~io_dec_bp_dec_tlu_bpred_disable; // @[ifu_bp_ctl.scala 261:96] + wire _T_275 = io_ifu_bp_hit_taken_f & btb_sel_f[1]; // @[ifu_bp_ctl.scala 285:51] + wire _T_276 = ~io_ifu_bp_hit_taken_f; // @[ifu_bp_ctl.scala 285:69] + wire _T_286 = bht_valid_f[1] & btb_vbank1_rd_data_f[4]; // @[ifu_bp_ctl.scala 294:34] + wire _T_289 = bht_valid_f[0] & btb_vbank0_rd_data_f[4]; // @[ifu_bp_ctl.scala 295:34] + wire _T_292 = ~btb_vbank1_rd_data_f[2]; // @[ifu_bp_ctl.scala 298:37] + wire _T_293 = bht_valid_f[1] & _T_292; // @[ifu_bp_ctl.scala 298:35] + wire _T_295 = _T_293 & btb_vbank1_rd_data_f[1]; // @[ifu_bp_ctl.scala 298:65] + wire _T_298 = ~btb_vbank0_rd_data_f[2]; // @[ifu_bp_ctl.scala 299:37] + wire _T_299 = bht_valid_f[0] & _T_298; // @[ifu_bp_ctl.scala 299:35] + wire _T_301 = _T_299 & btb_vbank0_rd_data_f[1]; // @[ifu_bp_ctl.scala 299:65] + wire [1:0] num_valids = bht_valid_f[1] + bht_valid_f[0]; // @[ifu_bp_ctl.scala 302:35] + wire [1:0] _T_304 = btb_sel_f & bht_dir_f; // @[ifu_bp_ctl.scala 305:28] + wire final_h = |_T_304; // @[ifu_bp_ctl.scala 305:41] + wire _T_305 = num_valids == 2'h2; // @[ifu_bp_ctl.scala 309:41] + wire [7:0] _T_309 = {fghr[5:0],1'h0,final_h}; // @[Cat.scala 29:58] + wire _T_310 = num_valids == 2'h1; // @[ifu_bp_ctl.scala 310:41] + wire [7:0] _T_313 = {fghr[6:0],final_h}; // @[Cat.scala 29:58] + wire _T_314 = num_valids == 2'h0; // @[ifu_bp_ctl.scala 311:41] + wire [7:0] _T_317 = _T_305 ? _T_309 : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_318 = _T_310 ? _T_313 : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_319 = _T_314 ? fghr : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_320 = _T_317 | _T_318; // @[Mux.scala 27:72] + wire [7:0] merged_ghr = _T_320 | _T_319; // @[Mux.scala 27:72] + wire _T_323 = ~exu_flush_final_d1; // @[ifu_bp_ctl.scala 320:27] + wire _T_324 = _T_323 & io_ifc_fetch_req_f; // @[ifu_bp_ctl.scala 320:47] + wire _T_325 = _T_324 & io_ic_hit_f; // @[ifu_bp_ctl.scala 320:70] + wire _T_327 = _T_325 & _T_237; // @[ifu_bp_ctl.scala 320:84] + wire _T_330 = io_ifc_fetch_req_f & io_ic_hit_f; // @[ifu_bp_ctl.scala 321:70] + wire _T_332 = _T_330 & _T_237; // @[ifu_bp_ctl.scala 321:84] + wire _T_333 = ~_T_332; // @[ifu_bp_ctl.scala 321:49] + wire _T_334 = _T_323 & _T_333; // @[ifu_bp_ctl.scala 321:47] + wire [7:0] _T_336 = exu_flush_final_d1 ? io_exu_bp_exu_mp_fghr : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_337 = _T_327 ? merged_ghr : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_338 = _T_334 ? fghr : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_339 = _T_336 | _T_337; // @[Mux.scala 27:72] + wire [1:0] _T_344 = io_dec_bp_dec_tlu_bpred_disable ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_345 = ~_T_344; // @[ifu_bp_ctl.scala 330:36] + wire _T_349 = ~fetch_start_f[0]; // @[ifu_bp_ctl.scala 334:36] + wire _T_350 = bht_dir_f[0] & _T_349; // @[ifu_bp_ctl.scala 334:34] + wire _T_354 = _T_14 & fetch_start_f[0]; // @[ifu_bp_ctl.scala 334:72] + wire _T_355 = _T_350 | _T_354; // @[ifu_bp_ctl.scala 334:55] + wire _T_358 = bht_dir_f[0] & fetch_start_f[0]; // @[ifu_bp_ctl.scala 335:34] + wire _T_363 = _T_14 & _T_349; // @[ifu_bp_ctl.scala 335:71] + wire _T_364 = _T_358 | _T_363; // @[ifu_bp_ctl.scala 335:54] + wire [1:0] bloc_f = {_T_355,_T_364}; // @[Cat.scala 29:58] + wire _T_368 = _T_14 & io_ifc_fetch_addr_f[0]; // @[ifu_bp_ctl.scala 337:35] + wire _T_369 = ~btb_rd_pc4_f; // @[ifu_bp_ctl.scala 337:62] + wire use_fa_plus = _T_368 & _T_369; // @[ifu_bp_ctl.scala 337:60] + wire _T_372 = fetch_start_f[0] & btb_sel_f[0]; // @[ifu_bp_ctl.scala 339:44] + wire btb_fg_crossing_f = _T_372 & btb_rd_pc4_f; // @[ifu_bp_ctl.scala 339:59] + wire bp_total_branch_offset_f = bloc_f[1] ^ btb_rd_pc4_f; // @[ifu_bp_ctl.scala 340:43] + wire _T_376 = io_ifc_fetch_req_f & _T_276; // @[ifu_bp_ctl.scala 342:85] + reg [29:0] ifc_fetch_adder_prior; // @[lib.scala 374:16] + wire _T_381 = ~btb_fg_crossing_f; // @[ifu_bp_ctl.scala 348:32] + wire _T_382 = ~use_fa_plus; // @[ifu_bp_ctl.scala 348:53] + wire _T_383 = _T_381 & _T_382; // @[ifu_bp_ctl.scala 348:51] + wire [29:0] _T_386 = use_fa_plus ? fetch_addr_p1_f : 30'h0; // @[Mux.scala 27:72] + wire [29:0] _T_387 = btb_fg_crossing_f ? ifc_fetch_adder_prior : 30'h0; // @[Mux.scala 27:72] + wire [29:0] _T_388 = _T_383 ? io_ifc_fetch_addr_f[30:1] : 30'h0; // @[Mux.scala 27:72] + wire [29:0] _T_389 = _T_386 | _T_387; // @[Mux.scala 27:72] + wire [29:0] adder_pc_in_f = _T_389 | _T_388; // @[Mux.scala 27:72] + wire [31:0] _T_393 = {adder_pc_in_f,bp_total_branch_offset_f,1'h0}; // @[Cat.scala 29:58] + wire [12:0] _T_394 = {btb_rd_tgt_f,1'h0}; // @[Cat.scala 29:58] + wire [12:0] _T_397 = _T_393[12:1] + _T_394[12:1]; // @[lib.scala 68:31] + wire [18:0] _T_400 = _T_393[31:13] + 19'h1; // @[lib.scala 69:27] + wire [18:0] _T_403 = _T_393[31:13] - 19'h1; // @[lib.scala 70:27] + wire _T_406 = ~_T_397[12]; // @[lib.scala 72:28] + wire _T_407 = _T_394[12] ^ _T_406; // @[lib.scala 72:26] + wire _T_410 = ~_T_394[12]; // @[lib.scala 73:20] + wire _T_412 = _T_410 & _T_397[12]; // @[lib.scala 73:26] + wire _T_416 = _T_394[12] & _T_406; // @[lib.scala 74:26] + wire [18:0] _T_418 = _T_407 ? _T_393[31:13] : 19'h0; // @[Mux.scala 27:72] + wire [18:0] _T_419 = _T_412 ? _T_400 : 19'h0; // @[Mux.scala 27:72] + wire [18:0] _T_420 = _T_416 ? _T_403 : 19'h0; // @[Mux.scala 27:72] + wire [18:0] _T_421 = _T_418 | _T_419; // @[Mux.scala 27:72] + wire [18:0] _T_422 = _T_421 | _T_420; // @[Mux.scala 27:72] + wire [31:0] bp_btb_target_adder_f = {_T_422,_T_397[11:0],1'h0}; // @[Cat.scala 29:58] + wire _T_426 = ~btb_rd_call_f; // @[ifu_bp_ctl.scala 357:49] + wire _T_427 = btb_rd_ret_f & _T_426; // @[ifu_bp_ctl.scala 357:47] + reg [31:0] rets_out_0; // @[lib.scala 374:16] + wire _T_429 = _T_427 & rets_out_0[0]; // @[ifu_bp_ctl.scala 357:64] + wire [12:0] _T_440 = {11'h0,_T_369,1'h0}; // @[Cat.scala 29:58] + wire [12:0] _T_443 = _T_393[12:1] + _T_440[12:1]; // @[lib.scala 68:31] + wire _T_452 = ~_T_443[12]; // @[lib.scala 72:28] + wire _T_453 = _T_440[12] ^ _T_452; // @[lib.scala 72:26] + wire _T_456 = ~_T_440[12]; // @[lib.scala 73:20] + wire _T_458 = _T_456 & _T_443[12]; // @[lib.scala 73:26] + wire _T_462 = _T_440[12] & _T_452; // @[lib.scala 74:26] + wire [18:0] _T_464 = _T_453 ? _T_393[31:13] : 19'h0; // @[Mux.scala 27:72] + wire [18:0] _T_465 = _T_458 ? _T_400 : 19'h0; // @[Mux.scala 27:72] + wire [18:0] _T_466 = _T_462 ? _T_403 : 19'h0; // @[Mux.scala 27:72] + wire [18:0] _T_467 = _T_464 | _T_465; // @[Mux.scala 27:72] + wire [18:0] _T_468 = _T_467 | _T_466; // @[Mux.scala 27:72] + wire [31:0] bp_rs_call_target_f = {_T_468,_T_443[11:0],1'h0}; // @[Cat.scala 29:58] + wire _T_472 = ~btb_rd_ret_f; // @[ifu_bp_ctl.scala 363:33] + wire _T_473 = btb_rd_call_f & _T_472; // @[ifu_bp_ctl.scala 363:31] + wire rs_push = _T_473 & io_ifu_bp_hit_taken_f; // @[ifu_bp_ctl.scala 363:47] + wire rs_pop = _T_427 & io_ifu_bp_hit_taken_f; // @[ifu_bp_ctl.scala 364:46] + wire _T_476 = ~rs_push; // @[ifu_bp_ctl.scala 365:17] + wire _T_477 = ~rs_pop; // @[ifu_bp_ctl.scala 365:28] + wire rs_hold = _T_476 & _T_477; // @[ifu_bp_ctl.scala 365:26] + wire [31:0] _T_480 = {bp_rs_call_target_f[31:1],1'h1}; // @[Cat.scala 29:58] + wire [31:0] _T_482 = rs_push ? _T_480 : 32'h0; // @[Mux.scala 27:72] + reg [31:0] rets_out_1; // @[lib.scala 374:16] + wire [31:0] _T_483 = rs_pop ? rets_out_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_487 = rs_push ? rets_out_0 : 32'h0; // @[Mux.scala 27:72] + reg [31:0] rets_out_2; // @[lib.scala 374:16] + wire [31:0] _T_488 = rs_pop ? rets_out_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_492 = rs_push ? rets_out_1 : 32'h0; // @[Mux.scala 27:72] + reg [31:0] rets_out_3; // @[lib.scala 374:16] + wire [31:0] _T_493 = rs_pop ? rets_out_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_497 = rs_push ? rets_out_2 : 32'h0; // @[Mux.scala 27:72] + reg [31:0] rets_out_4; // @[lib.scala 374:16] + wire [31:0] _T_498 = rs_pop ? rets_out_4 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_502 = rs_push ? rets_out_3 : 32'h0; // @[Mux.scala 27:72] + reg [31:0] rets_out_5; // @[lib.scala 374:16] + wire [31:0] _T_503 = rs_pop ? rets_out_5 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_507 = rs_push ? rets_out_4 : 32'h0; // @[Mux.scala 27:72] + reg [31:0] rets_out_6; // @[lib.scala 374:16] + wire [31:0] _T_508 = rs_pop ? rets_out_6 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_512 = rs_push ? rets_out_5 : 32'h0; // @[Mux.scala 27:72] + reg [31:0] rets_out_7; // @[lib.scala 374:16] + wire [31:0] _T_513 = rs_pop ? rets_out_7 : 32'h0; // @[Mux.scala 27:72] + wire _T_531 = ~dec_tlu_error_wb; // @[ifu_bp_ctl.scala 380:35] + wire btb_valid = exu_mp_valid & _T_531; // @[ifu_bp_ctl.scala 380:32] + wire _T_532 = io_exu_bp_exu_mp_pkt_bits_pcall | io_exu_bp_exu_mp_pkt_bits_pja; // @[ifu_bp_ctl.scala 384:89] + wire _T_533 = io_exu_bp_exu_mp_pkt_bits_pret | io_exu_bp_exu_mp_pkt_bits_pja; // @[ifu_bp_ctl.scala 384:113] + wire [2:0] _T_535 = {_T_532,_T_533,btb_valid}; // @[Cat.scala 29:58] + wire [18:0] _T_538 = {io_exu_bp_exu_mp_btag,io_exu_bp_exu_mp_pkt_bits_toffset,io_exu_bp_exu_mp_pkt_bits_pc4,io_exu_bp_exu_mp_pkt_bits_boffset}; // @[Cat.scala 29:58] + wire exu_mp_valid_write = exu_mp_valid & io_exu_bp_exu_mp_pkt_bits_ataken; // @[ifu_bp_ctl.scala 385:41] + wire _T_540 = _T_176 & exu_mp_valid_write; // @[ifu_bp_ctl.scala 388:39] + wire _T_542 = _T_540 & _T_531; // @[ifu_bp_ctl.scala 388:60] + wire _T_543 = ~io_dec_bp_dec_tlu_br0_r_pkt_bits_way; // @[ifu_bp_ctl.scala 388:87] + wire _T_544 = _T_543 & dec_tlu_error_wb; // @[ifu_bp_ctl.scala 388:104] + wire btb_wr_en_way0 = _T_542 | _T_544; // @[ifu_bp_ctl.scala 388:83] + wire _T_545 = io_exu_bp_exu_mp_pkt_bits_way & exu_mp_valid_write; // @[ifu_bp_ctl.scala 389:36] + wire _T_547 = _T_545 & _T_531; // @[ifu_bp_ctl.scala 389:57] + wire _T_548 = io_dec_bp_dec_tlu_br0_r_pkt_bits_way & dec_tlu_error_wb; // @[ifu_bp_ctl.scala 389:98] + wire btb_wr_en_way1 = _T_547 | _T_548; // @[ifu_bp_ctl.scala 389:80] + wire [7:0] btb_wr_addr = dec_tlu_error_wb ? io_exu_bp_exu_i0_br_index_r : io_exu_bp_exu_mp_index; // @[ifu_bp_ctl.scala 392:24] + wire middle_of_bank = io_exu_bp_exu_mp_pkt_bits_pc4 ^ io_exu_bp_exu_mp_pkt_bits_boffset; // @[ifu_bp_ctl.scala 393:35] + wire _T_550 = ~io_exu_bp_exu_mp_pkt_bits_pcall; // @[ifu_bp_ctl.scala 396:43] + wire _T_551 = exu_mp_valid & _T_550; // @[ifu_bp_ctl.scala 396:41] + wire _T_552 = ~io_exu_bp_exu_mp_pkt_bits_pret; // @[ifu_bp_ctl.scala 396:58] + wire _T_553 = _T_551 & _T_552; // @[ifu_bp_ctl.scala 396:56] + wire _T_554 = ~io_exu_bp_exu_mp_pkt_bits_pja; // @[ifu_bp_ctl.scala 396:72] + wire _T_555 = _T_553 & _T_554; // @[ifu_bp_ctl.scala 396:70] + wire [1:0] _T_557 = _T_555 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire _T_558 = ~middle_of_bank; // @[ifu_bp_ctl.scala 396:106] + wire [1:0] _T_559 = {middle_of_bank,_T_558}; // @[Cat.scala 29:58] + wire [1:0] bht_wr_en0 = _T_557 & _T_559; // @[ifu_bp_ctl.scala 396:84] + wire [1:0] _T_561 = io_dec_bp_dec_tlu_br0_r_pkt_valid ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire _T_562 = ~io_dec_bp_dec_tlu_br0_r_pkt_bits_middle; // @[ifu_bp_ctl.scala 397:75] + wire [1:0] _T_563 = {io_dec_bp_dec_tlu_br0_r_pkt_bits_middle,_T_562}; // @[Cat.scala 29:58] + wire [1:0] bht_wr_en2 = _T_561 & _T_563; // @[ifu_bp_ctl.scala 397:46] + wire [9:0] _T_564 = {io_exu_bp_exu_mp_index,2'h0}; // @[Cat.scala 29:58] + wire [7:0] bht_wr_addr0 = _T_564[9:2] ^ io_exu_bp_exu_mp_eghr; // @[lib.scala 56:35] + wire [9:0] _T_567 = {io_exu_bp_exu_i0_br_index_r,2'h0}; // @[Cat.scala 29:58] + wire [7:0] bht_wr_addr2 = _T_567[9:2] ^ io_exu_bp_exu_i0_br_fghr_r; // @[lib.scala 56:35] + wire _T_576 = btb_wr_addr == 8'h0; // @[ifu_bp_ctl.scala 415:95] + wire _T_579 = btb_wr_addr == 8'h1; // @[ifu_bp_ctl.scala 415:95] + wire _T_582 = btb_wr_addr == 8'h2; // @[ifu_bp_ctl.scala 415:95] + wire _T_585 = btb_wr_addr == 8'h3; // @[ifu_bp_ctl.scala 415:95] + wire _T_588 = btb_wr_addr == 8'h4; // @[ifu_bp_ctl.scala 415:95] + wire _T_591 = btb_wr_addr == 8'h5; // @[ifu_bp_ctl.scala 415:95] + wire _T_594 = btb_wr_addr == 8'h6; // @[ifu_bp_ctl.scala 415:95] + wire _T_597 = btb_wr_addr == 8'h7; // @[ifu_bp_ctl.scala 415:95] + wire _T_600 = btb_wr_addr == 8'h8; // @[ifu_bp_ctl.scala 415:95] + wire _T_603 = btb_wr_addr == 8'h9; // @[ifu_bp_ctl.scala 415:95] + wire _T_606 = btb_wr_addr == 8'ha; // @[ifu_bp_ctl.scala 415:95] + wire _T_609 = btb_wr_addr == 8'hb; // @[ifu_bp_ctl.scala 415:95] + wire _T_612 = btb_wr_addr == 8'hc; // @[ifu_bp_ctl.scala 415:95] + wire _T_615 = btb_wr_addr == 8'hd; // @[ifu_bp_ctl.scala 415:95] + wire _T_618 = btb_wr_addr == 8'he; // @[ifu_bp_ctl.scala 415:95] + wire _T_621 = btb_wr_addr == 8'hf; // @[ifu_bp_ctl.scala 415:95] + wire _T_624 = btb_wr_addr == 8'h10; // @[ifu_bp_ctl.scala 415:95] + wire _T_627 = btb_wr_addr == 8'h11; // @[ifu_bp_ctl.scala 415:95] + wire _T_630 = btb_wr_addr == 8'h12; // @[ifu_bp_ctl.scala 415:95] + wire _T_633 = btb_wr_addr == 8'h13; // @[ifu_bp_ctl.scala 415:95] + wire _T_636 = btb_wr_addr == 8'h14; // @[ifu_bp_ctl.scala 415:95] + wire _T_639 = btb_wr_addr == 8'h15; // @[ifu_bp_ctl.scala 415:95] + wire _T_642 = btb_wr_addr == 8'h16; // @[ifu_bp_ctl.scala 415:95] + wire _T_645 = btb_wr_addr == 8'h17; // @[ifu_bp_ctl.scala 415:95] + wire _T_648 = btb_wr_addr == 8'h18; // @[ifu_bp_ctl.scala 415:95] + wire _T_651 = btb_wr_addr == 8'h19; // @[ifu_bp_ctl.scala 415:95] + wire _T_654 = btb_wr_addr == 8'h1a; // @[ifu_bp_ctl.scala 415:95] + wire _T_657 = btb_wr_addr == 8'h1b; // @[ifu_bp_ctl.scala 415:95] + wire _T_660 = btb_wr_addr == 8'h1c; // @[ifu_bp_ctl.scala 415:95] + wire _T_663 = btb_wr_addr == 8'h1d; // @[ifu_bp_ctl.scala 415:95] + wire _T_666 = btb_wr_addr == 8'h1e; // @[ifu_bp_ctl.scala 415:95] + wire _T_669 = btb_wr_addr == 8'h1f; // @[ifu_bp_ctl.scala 415:95] + wire _T_672 = btb_wr_addr == 8'h20; // @[ifu_bp_ctl.scala 415:95] + wire _T_675 = btb_wr_addr == 8'h21; // @[ifu_bp_ctl.scala 415:95] + wire _T_678 = btb_wr_addr == 8'h22; // @[ifu_bp_ctl.scala 415:95] + wire _T_681 = btb_wr_addr == 8'h23; // @[ifu_bp_ctl.scala 415:95] + wire _T_684 = btb_wr_addr == 8'h24; // @[ifu_bp_ctl.scala 415:95] + wire _T_687 = btb_wr_addr == 8'h25; // @[ifu_bp_ctl.scala 415:95] + wire _T_690 = btb_wr_addr == 8'h26; // @[ifu_bp_ctl.scala 415:95] + wire _T_693 = btb_wr_addr == 8'h27; // @[ifu_bp_ctl.scala 415:95] + wire _T_696 = btb_wr_addr == 8'h28; // @[ifu_bp_ctl.scala 415:95] + wire _T_699 = btb_wr_addr == 8'h29; // @[ifu_bp_ctl.scala 415:95] + wire _T_702 = btb_wr_addr == 8'h2a; // @[ifu_bp_ctl.scala 415:95] + wire _T_705 = btb_wr_addr == 8'h2b; // @[ifu_bp_ctl.scala 415:95] + wire _T_708 = btb_wr_addr == 8'h2c; // @[ifu_bp_ctl.scala 415:95] + wire _T_711 = btb_wr_addr == 8'h2d; // @[ifu_bp_ctl.scala 415:95] + wire _T_714 = btb_wr_addr == 8'h2e; // @[ifu_bp_ctl.scala 415:95] + wire _T_717 = btb_wr_addr == 8'h2f; // @[ifu_bp_ctl.scala 415:95] + wire _T_720 = btb_wr_addr == 8'h30; // @[ifu_bp_ctl.scala 415:95] + wire _T_723 = btb_wr_addr == 8'h31; // @[ifu_bp_ctl.scala 415:95] + wire _T_726 = btb_wr_addr == 8'h32; // @[ifu_bp_ctl.scala 415:95] + wire _T_729 = btb_wr_addr == 8'h33; // @[ifu_bp_ctl.scala 415:95] + wire _T_732 = btb_wr_addr == 8'h34; // @[ifu_bp_ctl.scala 415:95] + wire _T_735 = btb_wr_addr == 8'h35; // @[ifu_bp_ctl.scala 415:95] + wire _T_738 = btb_wr_addr == 8'h36; // @[ifu_bp_ctl.scala 415:95] + wire _T_741 = btb_wr_addr == 8'h37; // @[ifu_bp_ctl.scala 415:95] + wire _T_744 = btb_wr_addr == 8'h38; // @[ifu_bp_ctl.scala 415:95] + wire _T_747 = btb_wr_addr == 8'h39; // @[ifu_bp_ctl.scala 415:95] + wire _T_750 = btb_wr_addr == 8'h3a; // @[ifu_bp_ctl.scala 415:95] + wire _T_753 = btb_wr_addr == 8'h3b; // @[ifu_bp_ctl.scala 415:95] + wire _T_756 = btb_wr_addr == 8'h3c; // @[ifu_bp_ctl.scala 415:95] + wire _T_759 = btb_wr_addr == 8'h3d; // @[ifu_bp_ctl.scala 415:95] + wire _T_762 = btb_wr_addr == 8'h3e; // @[ifu_bp_ctl.scala 415:95] + wire _T_765 = btb_wr_addr == 8'h3f; // @[ifu_bp_ctl.scala 415:95] + wire _T_768 = btb_wr_addr == 8'h40; // @[ifu_bp_ctl.scala 415:95] + wire _T_771 = btb_wr_addr == 8'h41; // @[ifu_bp_ctl.scala 415:95] + wire _T_774 = btb_wr_addr == 8'h42; // @[ifu_bp_ctl.scala 415:95] + wire _T_777 = btb_wr_addr == 8'h43; // @[ifu_bp_ctl.scala 415:95] + wire _T_780 = btb_wr_addr == 8'h44; // @[ifu_bp_ctl.scala 415:95] + wire _T_783 = btb_wr_addr == 8'h45; // @[ifu_bp_ctl.scala 415:95] + wire _T_786 = btb_wr_addr == 8'h46; // @[ifu_bp_ctl.scala 415:95] + wire _T_789 = btb_wr_addr == 8'h47; // @[ifu_bp_ctl.scala 415:95] + wire _T_792 = btb_wr_addr == 8'h48; // @[ifu_bp_ctl.scala 415:95] + wire _T_795 = btb_wr_addr == 8'h49; // @[ifu_bp_ctl.scala 415:95] + wire _T_798 = btb_wr_addr == 8'h4a; // @[ifu_bp_ctl.scala 415:95] + wire _T_801 = btb_wr_addr == 8'h4b; // @[ifu_bp_ctl.scala 415:95] + wire _T_804 = btb_wr_addr == 8'h4c; // @[ifu_bp_ctl.scala 415:95] + wire _T_807 = btb_wr_addr == 8'h4d; // @[ifu_bp_ctl.scala 415:95] + wire _T_810 = btb_wr_addr == 8'h4e; // @[ifu_bp_ctl.scala 415:95] + wire _T_813 = btb_wr_addr == 8'h4f; // @[ifu_bp_ctl.scala 415:95] + wire _T_816 = btb_wr_addr == 8'h50; // @[ifu_bp_ctl.scala 415:95] + wire _T_819 = btb_wr_addr == 8'h51; // @[ifu_bp_ctl.scala 415:95] + wire _T_822 = btb_wr_addr == 8'h52; // @[ifu_bp_ctl.scala 415:95] + wire _T_825 = btb_wr_addr == 8'h53; // @[ifu_bp_ctl.scala 415:95] + wire _T_828 = btb_wr_addr == 8'h54; // @[ifu_bp_ctl.scala 415:95] + wire _T_831 = btb_wr_addr == 8'h55; // @[ifu_bp_ctl.scala 415:95] + wire _T_834 = btb_wr_addr == 8'h56; // @[ifu_bp_ctl.scala 415:95] + wire _T_837 = btb_wr_addr == 8'h57; // @[ifu_bp_ctl.scala 415:95] + wire _T_840 = btb_wr_addr == 8'h58; // @[ifu_bp_ctl.scala 415:95] + wire _T_843 = btb_wr_addr == 8'h59; // @[ifu_bp_ctl.scala 415:95] + wire _T_846 = btb_wr_addr == 8'h5a; // @[ifu_bp_ctl.scala 415:95] + wire _T_849 = btb_wr_addr == 8'h5b; // @[ifu_bp_ctl.scala 415:95] + wire _T_852 = btb_wr_addr == 8'h5c; // @[ifu_bp_ctl.scala 415:95] + wire _T_855 = btb_wr_addr == 8'h5d; // @[ifu_bp_ctl.scala 415:95] + wire _T_858 = btb_wr_addr == 8'h5e; // @[ifu_bp_ctl.scala 415:95] + wire _T_861 = btb_wr_addr == 8'h5f; // @[ifu_bp_ctl.scala 415:95] + wire _T_864 = btb_wr_addr == 8'h60; // @[ifu_bp_ctl.scala 415:95] + wire _T_867 = btb_wr_addr == 8'h61; // @[ifu_bp_ctl.scala 415:95] + wire _T_870 = btb_wr_addr == 8'h62; // @[ifu_bp_ctl.scala 415:95] + wire _T_873 = btb_wr_addr == 8'h63; // @[ifu_bp_ctl.scala 415:95] + wire _T_876 = btb_wr_addr == 8'h64; // @[ifu_bp_ctl.scala 415:95] + wire _T_879 = btb_wr_addr == 8'h65; // @[ifu_bp_ctl.scala 415:95] + wire _T_882 = btb_wr_addr == 8'h66; // @[ifu_bp_ctl.scala 415:95] + wire _T_885 = btb_wr_addr == 8'h67; // @[ifu_bp_ctl.scala 415:95] + wire _T_888 = btb_wr_addr == 8'h68; // @[ifu_bp_ctl.scala 415:95] + wire _T_891 = btb_wr_addr == 8'h69; // @[ifu_bp_ctl.scala 415:95] + wire _T_894 = btb_wr_addr == 8'h6a; // @[ifu_bp_ctl.scala 415:95] + wire _T_897 = btb_wr_addr == 8'h6b; // @[ifu_bp_ctl.scala 415:95] + wire _T_900 = btb_wr_addr == 8'h6c; // @[ifu_bp_ctl.scala 415:95] + wire _T_903 = btb_wr_addr == 8'h6d; // @[ifu_bp_ctl.scala 415:95] + wire _T_906 = btb_wr_addr == 8'h6e; // @[ifu_bp_ctl.scala 415:95] + wire _T_909 = btb_wr_addr == 8'h6f; // @[ifu_bp_ctl.scala 415:95] + wire _T_912 = btb_wr_addr == 8'h70; // @[ifu_bp_ctl.scala 415:95] + wire _T_915 = btb_wr_addr == 8'h71; // @[ifu_bp_ctl.scala 415:95] + wire _T_918 = btb_wr_addr == 8'h72; // @[ifu_bp_ctl.scala 415:95] + wire _T_921 = btb_wr_addr == 8'h73; // @[ifu_bp_ctl.scala 415:95] + wire _T_924 = btb_wr_addr == 8'h74; // @[ifu_bp_ctl.scala 415:95] + wire _T_927 = btb_wr_addr == 8'h75; // @[ifu_bp_ctl.scala 415:95] + wire _T_930 = btb_wr_addr == 8'h76; // @[ifu_bp_ctl.scala 415:95] + wire _T_933 = btb_wr_addr == 8'h77; // @[ifu_bp_ctl.scala 415:95] + wire _T_936 = btb_wr_addr == 8'h78; // @[ifu_bp_ctl.scala 415:95] + wire _T_939 = btb_wr_addr == 8'h79; // @[ifu_bp_ctl.scala 415:95] + wire _T_942 = btb_wr_addr == 8'h7a; // @[ifu_bp_ctl.scala 415:95] + wire _T_945 = btb_wr_addr == 8'h7b; // @[ifu_bp_ctl.scala 415:95] + wire _T_948 = btb_wr_addr == 8'h7c; // @[ifu_bp_ctl.scala 415:95] + wire _T_951 = btb_wr_addr == 8'h7d; // @[ifu_bp_ctl.scala 415:95] + wire _T_954 = btb_wr_addr == 8'h7e; // @[ifu_bp_ctl.scala 415:95] + wire _T_957 = btb_wr_addr == 8'h7f; // @[ifu_bp_ctl.scala 415:95] + wire _T_960 = btb_wr_addr == 8'h80; // @[ifu_bp_ctl.scala 415:95] + wire _T_963 = btb_wr_addr == 8'h81; // @[ifu_bp_ctl.scala 415:95] + wire _T_966 = btb_wr_addr == 8'h82; // @[ifu_bp_ctl.scala 415:95] + wire _T_969 = btb_wr_addr == 8'h83; // @[ifu_bp_ctl.scala 415:95] + wire _T_972 = btb_wr_addr == 8'h84; // @[ifu_bp_ctl.scala 415:95] + wire _T_975 = btb_wr_addr == 8'h85; // @[ifu_bp_ctl.scala 415:95] + wire _T_978 = btb_wr_addr == 8'h86; // @[ifu_bp_ctl.scala 415:95] + wire _T_981 = btb_wr_addr == 8'h87; // @[ifu_bp_ctl.scala 415:95] + wire _T_984 = btb_wr_addr == 8'h88; // @[ifu_bp_ctl.scala 415:95] + wire _T_987 = btb_wr_addr == 8'h89; // @[ifu_bp_ctl.scala 415:95] + wire _T_990 = btb_wr_addr == 8'h8a; // @[ifu_bp_ctl.scala 415:95] + wire _T_993 = btb_wr_addr == 8'h8b; // @[ifu_bp_ctl.scala 415:95] + wire _T_996 = btb_wr_addr == 8'h8c; // @[ifu_bp_ctl.scala 415:95] + wire _T_999 = btb_wr_addr == 8'h8d; // @[ifu_bp_ctl.scala 415:95] + wire _T_1002 = btb_wr_addr == 8'h8e; // @[ifu_bp_ctl.scala 415:95] + wire _T_1005 = btb_wr_addr == 8'h8f; // @[ifu_bp_ctl.scala 415:95] + wire _T_1008 = btb_wr_addr == 8'h90; // @[ifu_bp_ctl.scala 415:95] + wire _T_1011 = btb_wr_addr == 8'h91; // @[ifu_bp_ctl.scala 415:95] + wire _T_1014 = btb_wr_addr == 8'h92; // @[ifu_bp_ctl.scala 415:95] + wire _T_1017 = btb_wr_addr == 8'h93; // @[ifu_bp_ctl.scala 415:95] + wire _T_1020 = btb_wr_addr == 8'h94; // @[ifu_bp_ctl.scala 415:95] + wire _T_1023 = btb_wr_addr == 8'h95; // @[ifu_bp_ctl.scala 415:95] + wire _T_1026 = btb_wr_addr == 8'h96; // @[ifu_bp_ctl.scala 415:95] + wire _T_1029 = btb_wr_addr == 8'h97; // @[ifu_bp_ctl.scala 415:95] + wire _T_1032 = btb_wr_addr == 8'h98; // @[ifu_bp_ctl.scala 415:95] + wire _T_1035 = btb_wr_addr == 8'h99; // @[ifu_bp_ctl.scala 415:95] + wire _T_1038 = btb_wr_addr == 8'h9a; // @[ifu_bp_ctl.scala 415:95] + wire _T_1041 = btb_wr_addr == 8'h9b; // @[ifu_bp_ctl.scala 415:95] + wire _T_1044 = btb_wr_addr == 8'h9c; // @[ifu_bp_ctl.scala 415:95] + wire _T_1047 = btb_wr_addr == 8'h9d; // @[ifu_bp_ctl.scala 415:95] + wire _T_1050 = btb_wr_addr == 8'h9e; // @[ifu_bp_ctl.scala 415:95] + wire _T_1053 = btb_wr_addr == 8'h9f; // @[ifu_bp_ctl.scala 415:95] + wire _T_1056 = btb_wr_addr == 8'ha0; // @[ifu_bp_ctl.scala 415:95] + wire _T_1059 = btb_wr_addr == 8'ha1; // @[ifu_bp_ctl.scala 415:95] + wire _T_1062 = btb_wr_addr == 8'ha2; // @[ifu_bp_ctl.scala 415:95] + wire _T_1065 = btb_wr_addr == 8'ha3; // @[ifu_bp_ctl.scala 415:95] + wire _T_1068 = btb_wr_addr == 8'ha4; // @[ifu_bp_ctl.scala 415:95] + wire _T_1071 = btb_wr_addr == 8'ha5; // @[ifu_bp_ctl.scala 415:95] + wire _T_1074 = btb_wr_addr == 8'ha6; // @[ifu_bp_ctl.scala 415:95] + wire _T_1077 = btb_wr_addr == 8'ha7; // @[ifu_bp_ctl.scala 415:95] + wire _T_1080 = btb_wr_addr == 8'ha8; // @[ifu_bp_ctl.scala 415:95] + wire _T_1083 = btb_wr_addr == 8'ha9; // @[ifu_bp_ctl.scala 415:95] + wire _T_1086 = btb_wr_addr == 8'haa; // @[ifu_bp_ctl.scala 415:95] + wire _T_1089 = btb_wr_addr == 8'hab; // @[ifu_bp_ctl.scala 415:95] + wire _T_1092 = btb_wr_addr == 8'hac; // @[ifu_bp_ctl.scala 415:95] + wire _T_1095 = btb_wr_addr == 8'had; // @[ifu_bp_ctl.scala 415:95] + wire _T_1098 = btb_wr_addr == 8'hae; // @[ifu_bp_ctl.scala 415:95] + wire _T_1101 = btb_wr_addr == 8'haf; // @[ifu_bp_ctl.scala 415:95] + wire _T_1104 = btb_wr_addr == 8'hb0; // @[ifu_bp_ctl.scala 415:95] + wire _T_1107 = btb_wr_addr == 8'hb1; // @[ifu_bp_ctl.scala 415:95] + wire _T_1110 = btb_wr_addr == 8'hb2; // @[ifu_bp_ctl.scala 415:95] + wire _T_1113 = btb_wr_addr == 8'hb3; // @[ifu_bp_ctl.scala 415:95] + wire _T_1116 = btb_wr_addr == 8'hb4; // @[ifu_bp_ctl.scala 415:95] + wire _T_1119 = btb_wr_addr == 8'hb5; // @[ifu_bp_ctl.scala 415:95] + wire _T_1122 = btb_wr_addr == 8'hb6; // @[ifu_bp_ctl.scala 415:95] + wire _T_1125 = btb_wr_addr == 8'hb7; // @[ifu_bp_ctl.scala 415:95] + wire _T_1128 = btb_wr_addr == 8'hb8; // @[ifu_bp_ctl.scala 415:95] + wire _T_1131 = btb_wr_addr == 8'hb9; // @[ifu_bp_ctl.scala 415:95] + wire _T_1134 = btb_wr_addr == 8'hba; // @[ifu_bp_ctl.scala 415:95] + wire _T_1137 = btb_wr_addr == 8'hbb; // @[ifu_bp_ctl.scala 415:95] + wire _T_1140 = btb_wr_addr == 8'hbc; // @[ifu_bp_ctl.scala 415:95] + wire _T_1143 = btb_wr_addr == 8'hbd; // @[ifu_bp_ctl.scala 415:95] + wire _T_1146 = btb_wr_addr == 8'hbe; // @[ifu_bp_ctl.scala 415:95] + wire _T_1149 = btb_wr_addr == 8'hbf; // @[ifu_bp_ctl.scala 415:95] + wire _T_1152 = btb_wr_addr == 8'hc0; // @[ifu_bp_ctl.scala 415:95] + wire _T_1155 = btb_wr_addr == 8'hc1; // @[ifu_bp_ctl.scala 415:95] + wire _T_1158 = btb_wr_addr == 8'hc2; // @[ifu_bp_ctl.scala 415:95] + wire _T_1161 = btb_wr_addr == 8'hc3; // @[ifu_bp_ctl.scala 415:95] + wire _T_1164 = btb_wr_addr == 8'hc4; // @[ifu_bp_ctl.scala 415:95] + wire _T_1167 = btb_wr_addr == 8'hc5; // @[ifu_bp_ctl.scala 415:95] + wire _T_1170 = btb_wr_addr == 8'hc6; // @[ifu_bp_ctl.scala 415:95] + wire _T_1173 = btb_wr_addr == 8'hc7; // @[ifu_bp_ctl.scala 415:95] + wire _T_1176 = btb_wr_addr == 8'hc8; // @[ifu_bp_ctl.scala 415:95] + wire _T_1179 = btb_wr_addr == 8'hc9; // @[ifu_bp_ctl.scala 415:95] + wire _T_1182 = btb_wr_addr == 8'hca; // @[ifu_bp_ctl.scala 415:95] + wire _T_1185 = btb_wr_addr == 8'hcb; // @[ifu_bp_ctl.scala 415:95] + wire _T_1188 = btb_wr_addr == 8'hcc; // @[ifu_bp_ctl.scala 415:95] + wire _T_1191 = btb_wr_addr == 8'hcd; // @[ifu_bp_ctl.scala 415:95] + wire _T_1194 = btb_wr_addr == 8'hce; // @[ifu_bp_ctl.scala 415:95] + wire _T_1197 = btb_wr_addr == 8'hcf; // @[ifu_bp_ctl.scala 415:95] + wire _T_1200 = btb_wr_addr == 8'hd0; // @[ifu_bp_ctl.scala 415:95] + wire _T_1203 = btb_wr_addr == 8'hd1; // @[ifu_bp_ctl.scala 415:95] + wire _T_1206 = btb_wr_addr == 8'hd2; // @[ifu_bp_ctl.scala 415:95] + wire _T_1209 = btb_wr_addr == 8'hd3; // @[ifu_bp_ctl.scala 415:95] + wire _T_1212 = btb_wr_addr == 8'hd4; // @[ifu_bp_ctl.scala 415:95] + wire _T_1215 = btb_wr_addr == 8'hd5; // @[ifu_bp_ctl.scala 415:95] + wire _T_1218 = btb_wr_addr == 8'hd6; // @[ifu_bp_ctl.scala 415:95] + wire _T_1221 = btb_wr_addr == 8'hd7; // @[ifu_bp_ctl.scala 415:95] + wire _T_1224 = btb_wr_addr == 8'hd8; // @[ifu_bp_ctl.scala 415:95] + wire _T_1227 = btb_wr_addr == 8'hd9; // @[ifu_bp_ctl.scala 415:95] + wire _T_1230 = btb_wr_addr == 8'hda; // @[ifu_bp_ctl.scala 415:95] + wire _T_1233 = btb_wr_addr == 8'hdb; // @[ifu_bp_ctl.scala 415:95] + wire _T_1236 = btb_wr_addr == 8'hdc; // @[ifu_bp_ctl.scala 415:95] + wire _T_1239 = btb_wr_addr == 8'hdd; // @[ifu_bp_ctl.scala 415:95] + wire _T_1242 = btb_wr_addr == 8'hde; // @[ifu_bp_ctl.scala 415:95] + wire _T_1245 = btb_wr_addr == 8'hdf; // @[ifu_bp_ctl.scala 415:95] + wire _T_1248 = btb_wr_addr == 8'he0; // @[ifu_bp_ctl.scala 415:95] + wire _T_1251 = btb_wr_addr == 8'he1; // @[ifu_bp_ctl.scala 415:95] + wire _T_1254 = btb_wr_addr == 8'he2; // @[ifu_bp_ctl.scala 415:95] + wire _T_1257 = btb_wr_addr == 8'he3; // @[ifu_bp_ctl.scala 415:95] + wire _T_1260 = btb_wr_addr == 8'he4; // @[ifu_bp_ctl.scala 415:95] + wire _T_1263 = btb_wr_addr == 8'he5; // @[ifu_bp_ctl.scala 415:95] + wire _T_1266 = btb_wr_addr == 8'he6; // @[ifu_bp_ctl.scala 415:95] + wire _T_1269 = btb_wr_addr == 8'he7; // @[ifu_bp_ctl.scala 415:95] + wire _T_1272 = btb_wr_addr == 8'he8; // @[ifu_bp_ctl.scala 415:95] + wire _T_1275 = btb_wr_addr == 8'he9; // @[ifu_bp_ctl.scala 415:95] + wire _T_1278 = btb_wr_addr == 8'hea; // @[ifu_bp_ctl.scala 415:95] + wire _T_1281 = btb_wr_addr == 8'heb; // @[ifu_bp_ctl.scala 415:95] + wire _T_1284 = btb_wr_addr == 8'hec; // @[ifu_bp_ctl.scala 415:95] + wire _T_1287 = btb_wr_addr == 8'hed; // @[ifu_bp_ctl.scala 415:95] + wire _T_1290 = btb_wr_addr == 8'hee; // @[ifu_bp_ctl.scala 415:95] + wire _T_1293 = btb_wr_addr == 8'hef; // @[ifu_bp_ctl.scala 415:95] + wire _T_1296 = btb_wr_addr == 8'hf0; // @[ifu_bp_ctl.scala 415:95] + wire _T_1299 = btb_wr_addr == 8'hf1; // @[ifu_bp_ctl.scala 415:95] + wire _T_1302 = btb_wr_addr == 8'hf2; // @[ifu_bp_ctl.scala 415:95] + wire _T_1305 = btb_wr_addr == 8'hf3; // @[ifu_bp_ctl.scala 415:95] + wire _T_1308 = btb_wr_addr == 8'hf4; // @[ifu_bp_ctl.scala 415:95] + wire _T_1311 = btb_wr_addr == 8'hf5; // @[ifu_bp_ctl.scala 415:95] + wire _T_1314 = btb_wr_addr == 8'hf6; // @[ifu_bp_ctl.scala 415:95] + wire _T_1317 = btb_wr_addr == 8'hf7; // @[ifu_bp_ctl.scala 415:95] + wire _T_1320 = btb_wr_addr == 8'hf8; // @[ifu_bp_ctl.scala 415:95] + wire _T_1323 = btb_wr_addr == 8'hf9; // @[ifu_bp_ctl.scala 415:95] + wire _T_1326 = btb_wr_addr == 8'hfa; // @[ifu_bp_ctl.scala 415:95] + wire _T_1329 = btb_wr_addr == 8'hfb; // @[ifu_bp_ctl.scala 415:95] + wire _T_1332 = btb_wr_addr == 8'hfc; // @[ifu_bp_ctl.scala 415:95] + wire _T_1335 = btb_wr_addr == 8'hfd; // @[ifu_bp_ctl.scala 415:95] + wire _T_1338 = btb_wr_addr == 8'hfe; // @[ifu_bp_ctl.scala 415:95] + wire _T_1341 = btb_wr_addr == 8'hff; // @[ifu_bp_ctl.scala 415:95] + wire _T_6210 = bht_wr_addr0[7:4] == 4'h0; // @[ifu_bp_ctl.scala 429:109] + wire _T_6212 = bht_wr_en0[0] & _T_6210; // @[ifu_bp_ctl.scala 429:44] + wire _T_6215 = bht_wr_addr2[7:4] == 4'h0; // @[ifu_bp_ctl.scala 430:109] + wire _T_6217 = bht_wr_en2[0] & _T_6215; // @[ifu_bp_ctl.scala 430:44] + wire _T_6221 = bht_wr_addr0[7:4] == 4'h1; // @[ifu_bp_ctl.scala 429:109] + wire _T_6223 = bht_wr_en0[0] & _T_6221; // @[ifu_bp_ctl.scala 429:44] + wire _T_6226 = bht_wr_addr2[7:4] == 4'h1; // @[ifu_bp_ctl.scala 430:109] + wire _T_6228 = bht_wr_en2[0] & _T_6226; // @[ifu_bp_ctl.scala 430:44] + wire _T_6232 = bht_wr_addr0[7:4] == 4'h2; // @[ifu_bp_ctl.scala 429:109] + wire _T_6234 = bht_wr_en0[0] & _T_6232; // @[ifu_bp_ctl.scala 429:44] + wire _T_6237 = bht_wr_addr2[7:4] == 4'h2; // @[ifu_bp_ctl.scala 430:109] + wire _T_6239 = bht_wr_en2[0] & _T_6237; // @[ifu_bp_ctl.scala 430:44] + wire _T_6243 = bht_wr_addr0[7:4] == 4'h3; // @[ifu_bp_ctl.scala 429:109] + wire _T_6245 = bht_wr_en0[0] & _T_6243; // @[ifu_bp_ctl.scala 429:44] + wire _T_6248 = bht_wr_addr2[7:4] == 4'h3; // @[ifu_bp_ctl.scala 430:109] + wire _T_6250 = bht_wr_en2[0] & _T_6248; // @[ifu_bp_ctl.scala 430:44] + wire _T_6254 = bht_wr_addr0[7:4] == 4'h4; // @[ifu_bp_ctl.scala 429:109] + wire _T_6256 = bht_wr_en0[0] & _T_6254; // @[ifu_bp_ctl.scala 429:44] + wire _T_6259 = bht_wr_addr2[7:4] == 4'h4; // @[ifu_bp_ctl.scala 430:109] + wire _T_6261 = bht_wr_en2[0] & _T_6259; // @[ifu_bp_ctl.scala 430:44] + wire _T_6265 = bht_wr_addr0[7:4] == 4'h5; // @[ifu_bp_ctl.scala 429:109] + wire _T_6267 = bht_wr_en0[0] & _T_6265; // @[ifu_bp_ctl.scala 429:44] + wire _T_6270 = bht_wr_addr2[7:4] == 4'h5; // @[ifu_bp_ctl.scala 430:109] + wire _T_6272 = bht_wr_en2[0] & _T_6270; // @[ifu_bp_ctl.scala 430:44] + wire _T_6276 = bht_wr_addr0[7:4] == 4'h6; // @[ifu_bp_ctl.scala 429:109] + wire _T_6278 = bht_wr_en0[0] & _T_6276; // @[ifu_bp_ctl.scala 429:44] + wire _T_6281 = bht_wr_addr2[7:4] == 4'h6; // @[ifu_bp_ctl.scala 430:109] + wire _T_6283 = bht_wr_en2[0] & _T_6281; // @[ifu_bp_ctl.scala 430:44] + wire _T_6287 = bht_wr_addr0[7:4] == 4'h7; // @[ifu_bp_ctl.scala 429:109] + wire _T_6289 = bht_wr_en0[0] & _T_6287; // @[ifu_bp_ctl.scala 429:44] + wire _T_6292 = bht_wr_addr2[7:4] == 4'h7; // @[ifu_bp_ctl.scala 430:109] + wire _T_6294 = bht_wr_en2[0] & _T_6292; // @[ifu_bp_ctl.scala 430:44] + wire _T_6298 = bht_wr_addr0[7:4] == 4'h8; // @[ifu_bp_ctl.scala 429:109] + wire _T_6300 = bht_wr_en0[0] & _T_6298; // @[ifu_bp_ctl.scala 429:44] + wire _T_6303 = bht_wr_addr2[7:4] == 4'h8; // @[ifu_bp_ctl.scala 430:109] + wire _T_6305 = bht_wr_en2[0] & _T_6303; // @[ifu_bp_ctl.scala 430:44] + wire _T_6309 = bht_wr_addr0[7:4] == 4'h9; // @[ifu_bp_ctl.scala 429:109] + wire _T_6311 = bht_wr_en0[0] & _T_6309; // @[ifu_bp_ctl.scala 429:44] + wire _T_6314 = bht_wr_addr2[7:4] == 4'h9; // @[ifu_bp_ctl.scala 430:109] + wire _T_6316 = bht_wr_en2[0] & _T_6314; // @[ifu_bp_ctl.scala 430:44] + wire _T_6320 = bht_wr_addr0[7:4] == 4'ha; // @[ifu_bp_ctl.scala 429:109] + wire _T_6322 = bht_wr_en0[0] & _T_6320; // @[ifu_bp_ctl.scala 429:44] + wire _T_6325 = bht_wr_addr2[7:4] == 4'ha; // @[ifu_bp_ctl.scala 430:109] + wire _T_6327 = bht_wr_en2[0] & _T_6325; // @[ifu_bp_ctl.scala 430:44] + wire _T_6331 = bht_wr_addr0[7:4] == 4'hb; // @[ifu_bp_ctl.scala 429:109] + wire _T_6333 = bht_wr_en0[0] & _T_6331; // @[ifu_bp_ctl.scala 429:44] + wire _T_6336 = bht_wr_addr2[7:4] == 4'hb; // @[ifu_bp_ctl.scala 430:109] + wire _T_6338 = bht_wr_en2[0] & _T_6336; // @[ifu_bp_ctl.scala 430:44] + wire _T_6342 = bht_wr_addr0[7:4] == 4'hc; // @[ifu_bp_ctl.scala 429:109] + wire _T_6344 = bht_wr_en0[0] & _T_6342; // @[ifu_bp_ctl.scala 429:44] + wire _T_6347 = bht_wr_addr2[7:4] == 4'hc; // @[ifu_bp_ctl.scala 430:109] + wire _T_6349 = bht_wr_en2[0] & _T_6347; // @[ifu_bp_ctl.scala 430:44] + wire _T_6353 = bht_wr_addr0[7:4] == 4'hd; // @[ifu_bp_ctl.scala 429:109] + wire _T_6355 = bht_wr_en0[0] & _T_6353; // @[ifu_bp_ctl.scala 429:44] + wire _T_6358 = bht_wr_addr2[7:4] == 4'hd; // @[ifu_bp_ctl.scala 430:109] + wire _T_6360 = bht_wr_en2[0] & _T_6358; // @[ifu_bp_ctl.scala 430:44] + wire _T_6364 = bht_wr_addr0[7:4] == 4'he; // @[ifu_bp_ctl.scala 429:109] + wire _T_6366 = bht_wr_en0[0] & _T_6364; // @[ifu_bp_ctl.scala 429:44] + wire _T_6369 = bht_wr_addr2[7:4] == 4'he; // @[ifu_bp_ctl.scala 430:109] + wire _T_6371 = bht_wr_en2[0] & _T_6369; // @[ifu_bp_ctl.scala 430:44] + wire _T_6375 = bht_wr_addr0[7:4] == 4'hf; // @[ifu_bp_ctl.scala 429:109] + wire _T_6377 = bht_wr_en0[0] & _T_6375; // @[ifu_bp_ctl.scala 429:44] + wire _T_6380 = bht_wr_addr2[7:4] == 4'hf; // @[ifu_bp_ctl.scala 430:109] + wire _T_6382 = bht_wr_en2[0] & _T_6380; // @[ifu_bp_ctl.scala 430:44] + wire _T_6388 = bht_wr_en0[1] & _T_6210; // @[ifu_bp_ctl.scala 429:44] + wire _T_6393 = bht_wr_en2[1] & _T_6215; // @[ifu_bp_ctl.scala 430:44] + wire _T_6399 = bht_wr_en0[1] & _T_6221; // @[ifu_bp_ctl.scala 429:44] + wire _T_6404 = bht_wr_en2[1] & _T_6226; // @[ifu_bp_ctl.scala 430:44] + wire _T_6410 = bht_wr_en0[1] & _T_6232; // @[ifu_bp_ctl.scala 429:44] + wire _T_6415 = bht_wr_en2[1] & _T_6237; // @[ifu_bp_ctl.scala 430:44] + wire _T_6421 = bht_wr_en0[1] & _T_6243; // @[ifu_bp_ctl.scala 429:44] + wire _T_6426 = bht_wr_en2[1] & _T_6248; // @[ifu_bp_ctl.scala 430:44] + wire _T_6432 = bht_wr_en0[1] & _T_6254; // @[ifu_bp_ctl.scala 429:44] + wire _T_6437 = bht_wr_en2[1] & _T_6259; // @[ifu_bp_ctl.scala 430:44] + wire _T_6443 = bht_wr_en0[1] & _T_6265; // @[ifu_bp_ctl.scala 429:44] + wire _T_6448 = bht_wr_en2[1] & _T_6270; // @[ifu_bp_ctl.scala 430:44] + wire _T_6454 = bht_wr_en0[1] & _T_6276; // @[ifu_bp_ctl.scala 429:44] + wire _T_6459 = bht_wr_en2[1] & _T_6281; // @[ifu_bp_ctl.scala 430:44] + wire _T_6465 = bht_wr_en0[1] & _T_6287; // @[ifu_bp_ctl.scala 429:44] + wire _T_6470 = bht_wr_en2[1] & _T_6292; // @[ifu_bp_ctl.scala 430:44] + wire _T_6476 = bht_wr_en0[1] & _T_6298; // @[ifu_bp_ctl.scala 429:44] + wire _T_6481 = bht_wr_en2[1] & _T_6303; // @[ifu_bp_ctl.scala 430:44] + wire _T_6487 = bht_wr_en0[1] & _T_6309; // @[ifu_bp_ctl.scala 429:44] + wire _T_6492 = bht_wr_en2[1] & _T_6314; // @[ifu_bp_ctl.scala 430:44] + wire _T_6498 = bht_wr_en0[1] & _T_6320; // @[ifu_bp_ctl.scala 429:44] + wire _T_6503 = bht_wr_en2[1] & _T_6325; // @[ifu_bp_ctl.scala 430:44] + wire _T_6509 = bht_wr_en0[1] & _T_6331; // @[ifu_bp_ctl.scala 429:44] + wire _T_6514 = bht_wr_en2[1] & _T_6336; // @[ifu_bp_ctl.scala 430:44] + wire _T_6520 = bht_wr_en0[1] & _T_6342; // @[ifu_bp_ctl.scala 429:44] + wire _T_6525 = bht_wr_en2[1] & _T_6347; // @[ifu_bp_ctl.scala 430:44] + wire _T_6531 = bht_wr_en0[1] & _T_6353; // @[ifu_bp_ctl.scala 429:44] + wire _T_6536 = bht_wr_en2[1] & _T_6358; // @[ifu_bp_ctl.scala 430:44] + wire _T_6542 = bht_wr_en0[1] & _T_6364; // @[ifu_bp_ctl.scala 429:44] + wire _T_6547 = bht_wr_en2[1] & _T_6369; // @[ifu_bp_ctl.scala 430:44] + wire _T_6553 = bht_wr_en0[1] & _T_6375; // @[ifu_bp_ctl.scala 429:44] + wire _T_6558 = bht_wr_en2[1] & _T_6380; // @[ifu_bp_ctl.scala 430:44] + wire _T_6562 = bht_wr_addr2[3:0] == 4'h0; // @[ifu_bp_ctl.scala 435:74] + wire _T_6563 = bht_wr_en2[0] & _T_6562; // @[ifu_bp_ctl.scala 435:23] + wire _T_6566 = _T_6563 & _T_6215; // @[ifu_bp_ctl.scala 435:81] + wire _T_6571 = bht_wr_addr2[3:0] == 4'h1; // @[ifu_bp_ctl.scala 435:74] + wire _T_6572 = bht_wr_en2[0] & _T_6571; // @[ifu_bp_ctl.scala 435:23] + wire _T_6575 = _T_6572 & _T_6215; // @[ifu_bp_ctl.scala 435:81] + wire _T_6580 = bht_wr_addr2[3:0] == 4'h2; // @[ifu_bp_ctl.scala 435:74] + wire _T_6581 = bht_wr_en2[0] & _T_6580; // @[ifu_bp_ctl.scala 435:23] + wire _T_6584 = _T_6581 & _T_6215; // @[ifu_bp_ctl.scala 435:81] + wire _T_6589 = bht_wr_addr2[3:0] == 4'h3; // @[ifu_bp_ctl.scala 435:74] + wire _T_6590 = bht_wr_en2[0] & _T_6589; // @[ifu_bp_ctl.scala 435:23] + wire _T_6593 = _T_6590 & _T_6215; // @[ifu_bp_ctl.scala 435:81] + wire _T_6598 = bht_wr_addr2[3:0] == 4'h4; // @[ifu_bp_ctl.scala 435:74] + wire _T_6599 = bht_wr_en2[0] & _T_6598; // @[ifu_bp_ctl.scala 435:23] + wire _T_6602 = _T_6599 & _T_6215; // @[ifu_bp_ctl.scala 435:81] + wire _T_6607 = bht_wr_addr2[3:0] == 4'h5; // @[ifu_bp_ctl.scala 435:74] + wire _T_6608 = bht_wr_en2[0] & _T_6607; // @[ifu_bp_ctl.scala 435:23] + wire _T_6611 = _T_6608 & _T_6215; // @[ifu_bp_ctl.scala 435:81] + wire _T_6616 = bht_wr_addr2[3:0] == 4'h6; // @[ifu_bp_ctl.scala 435:74] + wire _T_6617 = bht_wr_en2[0] & _T_6616; // @[ifu_bp_ctl.scala 435:23] + wire _T_6620 = _T_6617 & _T_6215; // @[ifu_bp_ctl.scala 435:81] + wire _T_6625 = bht_wr_addr2[3:0] == 4'h7; // @[ifu_bp_ctl.scala 435:74] + wire _T_6626 = bht_wr_en2[0] & _T_6625; // @[ifu_bp_ctl.scala 435:23] + wire _T_6629 = _T_6626 & _T_6215; // @[ifu_bp_ctl.scala 435:81] + wire _T_6634 = bht_wr_addr2[3:0] == 4'h8; // @[ifu_bp_ctl.scala 435:74] + wire _T_6635 = bht_wr_en2[0] & _T_6634; // @[ifu_bp_ctl.scala 435:23] + wire _T_6638 = _T_6635 & _T_6215; // @[ifu_bp_ctl.scala 435:81] + wire _T_6643 = bht_wr_addr2[3:0] == 4'h9; // @[ifu_bp_ctl.scala 435:74] + wire _T_6644 = bht_wr_en2[0] & _T_6643; // @[ifu_bp_ctl.scala 435:23] + wire _T_6647 = _T_6644 & _T_6215; // @[ifu_bp_ctl.scala 435:81] + wire _T_6652 = bht_wr_addr2[3:0] == 4'ha; // @[ifu_bp_ctl.scala 435:74] + wire _T_6653 = bht_wr_en2[0] & _T_6652; // @[ifu_bp_ctl.scala 435:23] + wire _T_6656 = _T_6653 & _T_6215; // @[ifu_bp_ctl.scala 435:81] + wire _T_6661 = bht_wr_addr2[3:0] == 4'hb; // @[ifu_bp_ctl.scala 435:74] + wire _T_6662 = bht_wr_en2[0] & _T_6661; // @[ifu_bp_ctl.scala 435:23] + wire _T_6665 = _T_6662 & _T_6215; // @[ifu_bp_ctl.scala 435:81] + wire _T_6670 = bht_wr_addr2[3:0] == 4'hc; // @[ifu_bp_ctl.scala 435:74] + wire _T_6671 = bht_wr_en2[0] & _T_6670; // @[ifu_bp_ctl.scala 435:23] + wire _T_6674 = _T_6671 & _T_6215; // @[ifu_bp_ctl.scala 435:81] + wire _T_6679 = bht_wr_addr2[3:0] == 4'hd; // @[ifu_bp_ctl.scala 435:74] + wire _T_6680 = bht_wr_en2[0] & _T_6679; // @[ifu_bp_ctl.scala 435:23] + wire _T_6683 = _T_6680 & _T_6215; // @[ifu_bp_ctl.scala 435:81] + wire _T_6688 = bht_wr_addr2[3:0] == 4'he; // @[ifu_bp_ctl.scala 435:74] + wire _T_6689 = bht_wr_en2[0] & _T_6688; // @[ifu_bp_ctl.scala 435:23] + wire _T_6692 = _T_6689 & _T_6215; // @[ifu_bp_ctl.scala 435:81] + wire _T_6697 = bht_wr_addr2[3:0] == 4'hf; // @[ifu_bp_ctl.scala 435:74] + wire _T_6698 = bht_wr_en2[0] & _T_6697; // @[ifu_bp_ctl.scala 435:23] + wire _T_6701 = _T_6698 & _T_6215; // @[ifu_bp_ctl.scala 435:81] + wire _T_6710 = _T_6563 & _T_6226; // @[ifu_bp_ctl.scala 435:81] + wire _T_6719 = _T_6572 & _T_6226; // @[ifu_bp_ctl.scala 435:81] + wire _T_6728 = _T_6581 & _T_6226; // @[ifu_bp_ctl.scala 435:81] + wire _T_6737 = _T_6590 & _T_6226; // @[ifu_bp_ctl.scala 435:81] + wire _T_6746 = _T_6599 & _T_6226; // @[ifu_bp_ctl.scala 435:81] + wire _T_6755 = _T_6608 & _T_6226; // @[ifu_bp_ctl.scala 435:81] + wire _T_6764 = _T_6617 & _T_6226; // @[ifu_bp_ctl.scala 435:81] + wire _T_6773 = _T_6626 & _T_6226; // @[ifu_bp_ctl.scala 435:81] + wire _T_6782 = _T_6635 & _T_6226; // @[ifu_bp_ctl.scala 435:81] + wire _T_6791 = _T_6644 & _T_6226; // @[ifu_bp_ctl.scala 435:81] + wire _T_6800 = _T_6653 & _T_6226; // @[ifu_bp_ctl.scala 435:81] + wire _T_6809 = _T_6662 & _T_6226; // @[ifu_bp_ctl.scala 435:81] + wire _T_6818 = _T_6671 & _T_6226; // @[ifu_bp_ctl.scala 435:81] + wire _T_6827 = _T_6680 & _T_6226; // @[ifu_bp_ctl.scala 435:81] + wire _T_6836 = _T_6689 & _T_6226; // @[ifu_bp_ctl.scala 435:81] + wire _T_6845 = _T_6698 & _T_6226; // @[ifu_bp_ctl.scala 435:81] + wire _T_6854 = _T_6563 & _T_6237; // @[ifu_bp_ctl.scala 435:81] + wire _T_6863 = _T_6572 & _T_6237; // @[ifu_bp_ctl.scala 435:81] + wire _T_6872 = _T_6581 & _T_6237; // @[ifu_bp_ctl.scala 435:81] + wire _T_6881 = _T_6590 & _T_6237; // @[ifu_bp_ctl.scala 435:81] + wire _T_6890 = _T_6599 & _T_6237; // @[ifu_bp_ctl.scala 435:81] + wire _T_6899 = _T_6608 & _T_6237; // @[ifu_bp_ctl.scala 435:81] + wire _T_6908 = _T_6617 & _T_6237; // @[ifu_bp_ctl.scala 435:81] + wire _T_6917 = _T_6626 & _T_6237; // @[ifu_bp_ctl.scala 435:81] + wire _T_6926 = _T_6635 & _T_6237; // @[ifu_bp_ctl.scala 435:81] + wire _T_6935 = _T_6644 & _T_6237; // @[ifu_bp_ctl.scala 435:81] + wire _T_6944 = _T_6653 & _T_6237; // @[ifu_bp_ctl.scala 435:81] + wire _T_6953 = _T_6662 & _T_6237; // @[ifu_bp_ctl.scala 435:81] + wire _T_6962 = _T_6671 & _T_6237; // @[ifu_bp_ctl.scala 435:81] + wire _T_6971 = _T_6680 & _T_6237; // @[ifu_bp_ctl.scala 435:81] + wire _T_6980 = _T_6689 & _T_6237; // @[ifu_bp_ctl.scala 435:81] + wire _T_6989 = _T_6698 & _T_6237; // @[ifu_bp_ctl.scala 435:81] + wire _T_6998 = _T_6563 & _T_6248; // @[ifu_bp_ctl.scala 435:81] + wire _T_7007 = _T_6572 & _T_6248; // @[ifu_bp_ctl.scala 435:81] + wire _T_7016 = _T_6581 & _T_6248; // @[ifu_bp_ctl.scala 435:81] + wire _T_7025 = _T_6590 & _T_6248; // @[ifu_bp_ctl.scala 435:81] + wire _T_7034 = _T_6599 & _T_6248; // @[ifu_bp_ctl.scala 435:81] + wire _T_7043 = _T_6608 & _T_6248; // @[ifu_bp_ctl.scala 435:81] + wire _T_7052 = _T_6617 & _T_6248; // @[ifu_bp_ctl.scala 435:81] + wire _T_7061 = _T_6626 & _T_6248; // @[ifu_bp_ctl.scala 435:81] + wire _T_7070 = _T_6635 & _T_6248; // @[ifu_bp_ctl.scala 435:81] + wire _T_7079 = _T_6644 & _T_6248; // @[ifu_bp_ctl.scala 435:81] + wire _T_7088 = _T_6653 & _T_6248; // @[ifu_bp_ctl.scala 435:81] + wire _T_7097 = _T_6662 & _T_6248; // @[ifu_bp_ctl.scala 435:81] + wire _T_7106 = _T_6671 & _T_6248; // @[ifu_bp_ctl.scala 435:81] + wire _T_7115 = _T_6680 & _T_6248; // @[ifu_bp_ctl.scala 435:81] + wire _T_7124 = _T_6689 & _T_6248; // @[ifu_bp_ctl.scala 435:81] + wire _T_7133 = _T_6698 & _T_6248; // @[ifu_bp_ctl.scala 435:81] + wire _T_7142 = _T_6563 & _T_6259; // @[ifu_bp_ctl.scala 435:81] + wire _T_7151 = _T_6572 & _T_6259; // @[ifu_bp_ctl.scala 435:81] + wire _T_7160 = _T_6581 & _T_6259; // @[ifu_bp_ctl.scala 435:81] + wire _T_7169 = _T_6590 & _T_6259; // @[ifu_bp_ctl.scala 435:81] + wire _T_7178 = _T_6599 & _T_6259; // @[ifu_bp_ctl.scala 435:81] + wire _T_7187 = _T_6608 & _T_6259; // @[ifu_bp_ctl.scala 435:81] + wire _T_7196 = _T_6617 & _T_6259; // @[ifu_bp_ctl.scala 435:81] + wire _T_7205 = _T_6626 & _T_6259; // @[ifu_bp_ctl.scala 435:81] + wire _T_7214 = _T_6635 & _T_6259; // @[ifu_bp_ctl.scala 435:81] + wire _T_7223 = _T_6644 & _T_6259; // @[ifu_bp_ctl.scala 435:81] + wire _T_7232 = _T_6653 & _T_6259; // @[ifu_bp_ctl.scala 435:81] + wire _T_7241 = _T_6662 & _T_6259; // @[ifu_bp_ctl.scala 435:81] + wire _T_7250 = _T_6671 & _T_6259; // @[ifu_bp_ctl.scala 435:81] + wire _T_7259 = _T_6680 & _T_6259; // @[ifu_bp_ctl.scala 435:81] + wire _T_7268 = _T_6689 & _T_6259; // @[ifu_bp_ctl.scala 435:81] + wire _T_7277 = _T_6698 & _T_6259; // @[ifu_bp_ctl.scala 435:81] + wire _T_7286 = _T_6563 & _T_6270; // @[ifu_bp_ctl.scala 435:81] + wire _T_7295 = _T_6572 & _T_6270; // @[ifu_bp_ctl.scala 435:81] + wire _T_7304 = _T_6581 & _T_6270; // @[ifu_bp_ctl.scala 435:81] + wire _T_7313 = _T_6590 & _T_6270; // @[ifu_bp_ctl.scala 435:81] + wire _T_7322 = _T_6599 & _T_6270; // @[ifu_bp_ctl.scala 435:81] + wire _T_7331 = _T_6608 & _T_6270; // @[ifu_bp_ctl.scala 435:81] + wire _T_7340 = _T_6617 & _T_6270; // @[ifu_bp_ctl.scala 435:81] + wire _T_7349 = _T_6626 & _T_6270; // @[ifu_bp_ctl.scala 435:81] + wire _T_7358 = _T_6635 & _T_6270; // @[ifu_bp_ctl.scala 435:81] + wire _T_7367 = _T_6644 & _T_6270; // @[ifu_bp_ctl.scala 435:81] + wire _T_7376 = _T_6653 & _T_6270; // @[ifu_bp_ctl.scala 435:81] + wire _T_7385 = _T_6662 & _T_6270; // @[ifu_bp_ctl.scala 435:81] + wire _T_7394 = _T_6671 & _T_6270; // @[ifu_bp_ctl.scala 435:81] + wire _T_7403 = _T_6680 & _T_6270; // @[ifu_bp_ctl.scala 435:81] + wire _T_7412 = _T_6689 & _T_6270; // @[ifu_bp_ctl.scala 435:81] + wire _T_7421 = _T_6698 & _T_6270; // @[ifu_bp_ctl.scala 435:81] + wire _T_7430 = _T_6563 & _T_6281; // @[ifu_bp_ctl.scala 435:81] + wire _T_7439 = _T_6572 & _T_6281; // @[ifu_bp_ctl.scala 435:81] + wire _T_7448 = _T_6581 & _T_6281; // @[ifu_bp_ctl.scala 435:81] + wire _T_7457 = _T_6590 & _T_6281; // @[ifu_bp_ctl.scala 435:81] + wire _T_7466 = _T_6599 & _T_6281; // @[ifu_bp_ctl.scala 435:81] + wire _T_7475 = _T_6608 & _T_6281; // @[ifu_bp_ctl.scala 435:81] + wire _T_7484 = _T_6617 & _T_6281; // @[ifu_bp_ctl.scala 435:81] + wire _T_7493 = _T_6626 & _T_6281; // @[ifu_bp_ctl.scala 435:81] + wire _T_7502 = _T_6635 & _T_6281; // @[ifu_bp_ctl.scala 435:81] + wire _T_7511 = _T_6644 & _T_6281; // @[ifu_bp_ctl.scala 435:81] + wire _T_7520 = _T_6653 & _T_6281; // @[ifu_bp_ctl.scala 435:81] + wire _T_7529 = _T_6662 & _T_6281; // @[ifu_bp_ctl.scala 435:81] + wire _T_7538 = _T_6671 & _T_6281; // @[ifu_bp_ctl.scala 435:81] + wire _T_7547 = _T_6680 & _T_6281; // @[ifu_bp_ctl.scala 435:81] + wire _T_7556 = _T_6689 & _T_6281; // @[ifu_bp_ctl.scala 435:81] + wire _T_7565 = _T_6698 & _T_6281; // @[ifu_bp_ctl.scala 435:81] + wire _T_7574 = _T_6563 & _T_6292; // @[ifu_bp_ctl.scala 435:81] + wire _T_7583 = _T_6572 & _T_6292; // @[ifu_bp_ctl.scala 435:81] + wire _T_7592 = _T_6581 & _T_6292; // @[ifu_bp_ctl.scala 435:81] + wire _T_7601 = _T_6590 & _T_6292; // @[ifu_bp_ctl.scala 435:81] + wire _T_7610 = _T_6599 & _T_6292; // @[ifu_bp_ctl.scala 435:81] + wire _T_7619 = _T_6608 & _T_6292; // @[ifu_bp_ctl.scala 435:81] + wire _T_7628 = _T_6617 & _T_6292; // @[ifu_bp_ctl.scala 435:81] + wire _T_7637 = _T_6626 & _T_6292; // @[ifu_bp_ctl.scala 435:81] + wire _T_7646 = _T_6635 & _T_6292; // @[ifu_bp_ctl.scala 435:81] + wire _T_7655 = _T_6644 & _T_6292; // @[ifu_bp_ctl.scala 435:81] + wire _T_7664 = _T_6653 & _T_6292; // @[ifu_bp_ctl.scala 435:81] + wire _T_7673 = _T_6662 & _T_6292; // @[ifu_bp_ctl.scala 435:81] + wire _T_7682 = _T_6671 & _T_6292; // @[ifu_bp_ctl.scala 435:81] + wire _T_7691 = _T_6680 & _T_6292; // @[ifu_bp_ctl.scala 435:81] + wire _T_7700 = _T_6689 & _T_6292; // @[ifu_bp_ctl.scala 435:81] + wire _T_7709 = _T_6698 & _T_6292; // @[ifu_bp_ctl.scala 435:81] + wire _T_7718 = _T_6563 & _T_6303; // @[ifu_bp_ctl.scala 435:81] + wire _T_7727 = _T_6572 & _T_6303; // @[ifu_bp_ctl.scala 435:81] + wire _T_7736 = _T_6581 & _T_6303; // @[ifu_bp_ctl.scala 435:81] + wire _T_7745 = _T_6590 & _T_6303; // @[ifu_bp_ctl.scala 435:81] + wire _T_7754 = _T_6599 & _T_6303; // @[ifu_bp_ctl.scala 435:81] + wire _T_7763 = _T_6608 & _T_6303; // @[ifu_bp_ctl.scala 435:81] + wire _T_7772 = _T_6617 & _T_6303; // @[ifu_bp_ctl.scala 435:81] + wire _T_7781 = _T_6626 & _T_6303; // @[ifu_bp_ctl.scala 435:81] + wire _T_7790 = _T_6635 & _T_6303; // @[ifu_bp_ctl.scala 435:81] + wire _T_7799 = _T_6644 & _T_6303; // @[ifu_bp_ctl.scala 435:81] + wire _T_7808 = _T_6653 & _T_6303; // @[ifu_bp_ctl.scala 435:81] + wire _T_7817 = _T_6662 & _T_6303; // @[ifu_bp_ctl.scala 435:81] + wire _T_7826 = _T_6671 & _T_6303; // @[ifu_bp_ctl.scala 435:81] + wire _T_7835 = _T_6680 & _T_6303; // @[ifu_bp_ctl.scala 435:81] + wire _T_7844 = _T_6689 & _T_6303; // @[ifu_bp_ctl.scala 435:81] + wire _T_7853 = _T_6698 & _T_6303; // @[ifu_bp_ctl.scala 435:81] + wire _T_7862 = _T_6563 & _T_6314; // @[ifu_bp_ctl.scala 435:81] + wire _T_7871 = _T_6572 & _T_6314; // @[ifu_bp_ctl.scala 435:81] + wire _T_7880 = _T_6581 & _T_6314; // @[ifu_bp_ctl.scala 435:81] + wire _T_7889 = _T_6590 & _T_6314; // @[ifu_bp_ctl.scala 435:81] + wire _T_7898 = _T_6599 & _T_6314; // @[ifu_bp_ctl.scala 435:81] + wire _T_7907 = _T_6608 & _T_6314; // @[ifu_bp_ctl.scala 435:81] + wire _T_7916 = _T_6617 & _T_6314; // @[ifu_bp_ctl.scala 435:81] + wire _T_7925 = _T_6626 & _T_6314; // @[ifu_bp_ctl.scala 435:81] + wire _T_7934 = _T_6635 & _T_6314; // @[ifu_bp_ctl.scala 435:81] + wire _T_7943 = _T_6644 & _T_6314; // @[ifu_bp_ctl.scala 435:81] + wire _T_7952 = _T_6653 & _T_6314; // @[ifu_bp_ctl.scala 435:81] + wire _T_7961 = _T_6662 & _T_6314; // @[ifu_bp_ctl.scala 435:81] + wire _T_7970 = _T_6671 & _T_6314; // @[ifu_bp_ctl.scala 435:81] + wire _T_7979 = _T_6680 & _T_6314; // @[ifu_bp_ctl.scala 435:81] + wire _T_7988 = _T_6689 & _T_6314; // @[ifu_bp_ctl.scala 435:81] + wire _T_7997 = _T_6698 & _T_6314; // @[ifu_bp_ctl.scala 435:81] + wire _T_8006 = _T_6563 & _T_6325; // @[ifu_bp_ctl.scala 435:81] + wire _T_8015 = _T_6572 & _T_6325; // @[ifu_bp_ctl.scala 435:81] + wire _T_8024 = _T_6581 & _T_6325; // @[ifu_bp_ctl.scala 435:81] + wire _T_8033 = _T_6590 & _T_6325; // @[ifu_bp_ctl.scala 435:81] + wire _T_8042 = _T_6599 & _T_6325; // @[ifu_bp_ctl.scala 435:81] + wire _T_8051 = _T_6608 & _T_6325; // @[ifu_bp_ctl.scala 435:81] + wire _T_8060 = _T_6617 & _T_6325; // @[ifu_bp_ctl.scala 435:81] + wire _T_8069 = _T_6626 & _T_6325; // @[ifu_bp_ctl.scala 435:81] + wire _T_8078 = _T_6635 & _T_6325; // @[ifu_bp_ctl.scala 435:81] + wire _T_8087 = _T_6644 & _T_6325; // @[ifu_bp_ctl.scala 435:81] + wire _T_8096 = _T_6653 & _T_6325; // @[ifu_bp_ctl.scala 435:81] + wire _T_8105 = _T_6662 & _T_6325; // @[ifu_bp_ctl.scala 435:81] + wire _T_8114 = _T_6671 & _T_6325; // @[ifu_bp_ctl.scala 435:81] + wire _T_8123 = _T_6680 & _T_6325; // @[ifu_bp_ctl.scala 435:81] + wire _T_8132 = _T_6689 & _T_6325; // @[ifu_bp_ctl.scala 435:81] + wire _T_8141 = _T_6698 & _T_6325; // @[ifu_bp_ctl.scala 435:81] + wire _T_8150 = _T_6563 & _T_6336; // @[ifu_bp_ctl.scala 435:81] + wire _T_8159 = _T_6572 & _T_6336; // @[ifu_bp_ctl.scala 435:81] + wire _T_8168 = _T_6581 & _T_6336; // @[ifu_bp_ctl.scala 435:81] + wire _T_8177 = _T_6590 & _T_6336; // @[ifu_bp_ctl.scala 435:81] + wire _T_8186 = _T_6599 & _T_6336; // @[ifu_bp_ctl.scala 435:81] + wire _T_8195 = _T_6608 & _T_6336; // @[ifu_bp_ctl.scala 435:81] + wire _T_8204 = _T_6617 & _T_6336; // @[ifu_bp_ctl.scala 435:81] + wire _T_8213 = _T_6626 & _T_6336; // @[ifu_bp_ctl.scala 435:81] + wire _T_8222 = _T_6635 & _T_6336; // @[ifu_bp_ctl.scala 435:81] + wire _T_8231 = _T_6644 & _T_6336; // @[ifu_bp_ctl.scala 435:81] + wire _T_8240 = _T_6653 & _T_6336; // @[ifu_bp_ctl.scala 435:81] + wire _T_8249 = _T_6662 & _T_6336; // @[ifu_bp_ctl.scala 435:81] + wire _T_8258 = _T_6671 & _T_6336; // @[ifu_bp_ctl.scala 435:81] + wire _T_8267 = _T_6680 & _T_6336; // @[ifu_bp_ctl.scala 435:81] + wire _T_8276 = _T_6689 & _T_6336; // @[ifu_bp_ctl.scala 435:81] + wire _T_8285 = _T_6698 & _T_6336; // @[ifu_bp_ctl.scala 435:81] + wire _T_8294 = _T_6563 & _T_6347; // @[ifu_bp_ctl.scala 435:81] + wire _T_8303 = _T_6572 & _T_6347; // @[ifu_bp_ctl.scala 435:81] + wire _T_8312 = _T_6581 & _T_6347; // @[ifu_bp_ctl.scala 435:81] + wire _T_8321 = _T_6590 & _T_6347; // @[ifu_bp_ctl.scala 435:81] + wire _T_8330 = _T_6599 & _T_6347; // @[ifu_bp_ctl.scala 435:81] + wire _T_8339 = _T_6608 & _T_6347; // @[ifu_bp_ctl.scala 435:81] + wire _T_8348 = _T_6617 & _T_6347; // @[ifu_bp_ctl.scala 435:81] + wire _T_8357 = _T_6626 & _T_6347; // @[ifu_bp_ctl.scala 435:81] + wire _T_8366 = _T_6635 & _T_6347; // @[ifu_bp_ctl.scala 435:81] + wire _T_8375 = _T_6644 & _T_6347; // @[ifu_bp_ctl.scala 435:81] + wire _T_8384 = _T_6653 & _T_6347; // @[ifu_bp_ctl.scala 435:81] + wire _T_8393 = _T_6662 & _T_6347; // @[ifu_bp_ctl.scala 435:81] + wire _T_8402 = _T_6671 & _T_6347; // @[ifu_bp_ctl.scala 435:81] + wire _T_8411 = _T_6680 & _T_6347; // @[ifu_bp_ctl.scala 435:81] + wire _T_8420 = _T_6689 & _T_6347; // @[ifu_bp_ctl.scala 435:81] + wire _T_8429 = _T_6698 & _T_6347; // @[ifu_bp_ctl.scala 435:81] + wire _T_8438 = _T_6563 & _T_6358; // @[ifu_bp_ctl.scala 435:81] + wire _T_8447 = _T_6572 & _T_6358; // @[ifu_bp_ctl.scala 435:81] + wire _T_8456 = _T_6581 & _T_6358; // @[ifu_bp_ctl.scala 435:81] + wire _T_8465 = _T_6590 & _T_6358; // @[ifu_bp_ctl.scala 435:81] + wire _T_8474 = _T_6599 & _T_6358; // @[ifu_bp_ctl.scala 435:81] + wire _T_8483 = _T_6608 & _T_6358; // @[ifu_bp_ctl.scala 435:81] + wire _T_8492 = _T_6617 & _T_6358; // @[ifu_bp_ctl.scala 435:81] + wire _T_8501 = _T_6626 & _T_6358; // @[ifu_bp_ctl.scala 435:81] + wire _T_8510 = _T_6635 & _T_6358; // @[ifu_bp_ctl.scala 435:81] + wire _T_8519 = _T_6644 & _T_6358; // @[ifu_bp_ctl.scala 435:81] + wire _T_8528 = _T_6653 & _T_6358; // @[ifu_bp_ctl.scala 435:81] + wire _T_8537 = _T_6662 & _T_6358; // @[ifu_bp_ctl.scala 435:81] + wire _T_8546 = _T_6671 & _T_6358; // @[ifu_bp_ctl.scala 435:81] + wire _T_8555 = _T_6680 & _T_6358; // @[ifu_bp_ctl.scala 435:81] + wire _T_8564 = _T_6689 & _T_6358; // @[ifu_bp_ctl.scala 435:81] + wire _T_8573 = _T_6698 & _T_6358; // @[ifu_bp_ctl.scala 435:81] + wire _T_8582 = _T_6563 & _T_6369; // @[ifu_bp_ctl.scala 435:81] + wire _T_8591 = _T_6572 & _T_6369; // @[ifu_bp_ctl.scala 435:81] + wire _T_8600 = _T_6581 & _T_6369; // @[ifu_bp_ctl.scala 435:81] + wire _T_8609 = _T_6590 & _T_6369; // @[ifu_bp_ctl.scala 435:81] + wire _T_8618 = _T_6599 & _T_6369; // @[ifu_bp_ctl.scala 435:81] + wire _T_8627 = _T_6608 & _T_6369; // @[ifu_bp_ctl.scala 435:81] + wire _T_8636 = _T_6617 & _T_6369; // @[ifu_bp_ctl.scala 435:81] + wire _T_8645 = _T_6626 & _T_6369; // @[ifu_bp_ctl.scala 435:81] + wire _T_8654 = _T_6635 & _T_6369; // @[ifu_bp_ctl.scala 435:81] + wire _T_8663 = _T_6644 & _T_6369; // @[ifu_bp_ctl.scala 435:81] + wire _T_8672 = _T_6653 & _T_6369; // @[ifu_bp_ctl.scala 435:81] + wire _T_8681 = _T_6662 & _T_6369; // @[ifu_bp_ctl.scala 435:81] + wire _T_8690 = _T_6671 & _T_6369; // @[ifu_bp_ctl.scala 435:81] + wire _T_8699 = _T_6680 & _T_6369; // @[ifu_bp_ctl.scala 435:81] + wire _T_8708 = _T_6689 & _T_6369; // @[ifu_bp_ctl.scala 435:81] + wire _T_8717 = _T_6698 & _T_6369; // @[ifu_bp_ctl.scala 435:81] + wire _T_8726 = _T_6563 & _T_6380; // @[ifu_bp_ctl.scala 435:81] + wire _T_8735 = _T_6572 & _T_6380; // @[ifu_bp_ctl.scala 435:81] + wire _T_8744 = _T_6581 & _T_6380; // @[ifu_bp_ctl.scala 435:81] + wire _T_8753 = _T_6590 & _T_6380; // @[ifu_bp_ctl.scala 435:81] + wire _T_8762 = _T_6599 & _T_6380; // @[ifu_bp_ctl.scala 435:81] + wire _T_8771 = _T_6608 & _T_6380; // @[ifu_bp_ctl.scala 435:81] + wire _T_8780 = _T_6617 & _T_6380; // @[ifu_bp_ctl.scala 435:81] + wire _T_8789 = _T_6626 & _T_6380; // @[ifu_bp_ctl.scala 435:81] + wire _T_8798 = _T_6635 & _T_6380; // @[ifu_bp_ctl.scala 435:81] + wire _T_8807 = _T_6644 & _T_6380; // @[ifu_bp_ctl.scala 435:81] + wire _T_8816 = _T_6653 & _T_6380; // @[ifu_bp_ctl.scala 435:81] + wire _T_8825 = _T_6662 & _T_6380; // @[ifu_bp_ctl.scala 435:81] + wire _T_8834 = _T_6671 & _T_6380; // @[ifu_bp_ctl.scala 435:81] + wire _T_8843 = _T_6680 & _T_6380; // @[ifu_bp_ctl.scala 435:81] + wire _T_8852 = _T_6689 & _T_6380; // @[ifu_bp_ctl.scala 435:81] + wire _T_8861 = _T_6698 & _T_6380; // @[ifu_bp_ctl.scala 435:81] + wire _T_8867 = bht_wr_en2[1] & _T_6562; // @[ifu_bp_ctl.scala 435:23] + wire _T_8870 = _T_8867 & _T_6215; // @[ifu_bp_ctl.scala 435:81] + wire _T_8876 = bht_wr_en2[1] & _T_6571; // @[ifu_bp_ctl.scala 435:23] + wire _T_8879 = _T_8876 & _T_6215; // @[ifu_bp_ctl.scala 435:81] + wire _T_8885 = bht_wr_en2[1] & _T_6580; // @[ifu_bp_ctl.scala 435:23] + wire _T_8888 = _T_8885 & _T_6215; // @[ifu_bp_ctl.scala 435:81] + wire _T_8894 = bht_wr_en2[1] & _T_6589; // @[ifu_bp_ctl.scala 435:23] + wire _T_8897 = _T_8894 & _T_6215; // @[ifu_bp_ctl.scala 435:81] + wire _T_8903 = bht_wr_en2[1] & _T_6598; // @[ifu_bp_ctl.scala 435:23] + wire _T_8906 = _T_8903 & _T_6215; // @[ifu_bp_ctl.scala 435:81] + wire _T_8912 = bht_wr_en2[1] & _T_6607; // @[ifu_bp_ctl.scala 435:23] + wire _T_8915 = _T_8912 & _T_6215; // @[ifu_bp_ctl.scala 435:81] + wire _T_8921 = bht_wr_en2[1] & _T_6616; // @[ifu_bp_ctl.scala 435:23] + wire _T_8924 = _T_8921 & _T_6215; // @[ifu_bp_ctl.scala 435:81] + wire _T_8930 = bht_wr_en2[1] & _T_6625; // @[ifu_bp_ctl.scala 435:23] + wire _T_8933 = _T_8930 & _T_6215; // @[ifu_bp_ctl.scala 435:81] + wire _T_8939 = bht_wr_en2[1] & _T_6634; // @[ifu_bp_ctl.scala 435:23] + wire _T_8942 = _T_8939 & _T_6215; // @[ifu_bp_ctl.scala 435:81] + wire _T_8948 = bht_wr_en2[1] & _T_6643; // @[ifu_bp_ctl.scala 435:23] + wire _T_8951 = _T_8948 & _T_6215; // @[ifu_bp_ctl.scala 435:81] + wire _T_8957 = bht_wr_en2[1] & _T_6652; // @[ifu_bp_ctl.scala 435:23] + wire _T_8960 = _T_8957 & _T_6215; // @[ifu_bp_ctl.scala 435:81] + wire _T_8966 = bht_wr_en2[1] & _T_6661; // @[ifu_bp_ctl.scala 435:23] + wire _T_8969 = _T_8966 & _T_6215; // @[ifu_bp_ctl.scala 435:81] + wire _T_8975 = bht_wr_en2[1] & _T_6670; // @[ifu_bp_ctl.scala 435:23] + wire _T_8978 = _T_8975 & _T_6215; // @[ifu_bp_ctl.scala 435:81] + wire _T_8984 = bht_wr_en2[1] & _T_6679; // @[ifu_bp_ctl.scala 435:23] + wire _T_8987 = _T_8984 & _T_6215; // @[ifu_bp_ctl.scala 435:81] + wire _T_8993 = bht_wr_en2[1] & _T_6688; // @[ifu_bp_ctl.scala 435:23] + wire _T_8996 = _T_8993 & _T_6215; // @[ifu_bp_ctl.scala 435:81] + wire _T_9002 = bht_wr_en2[1] & _T_6697; // @[ifu_bp_ctl.scala 435:23] + wire _T_9005 = _T_9002 & _T_6215; // @[ifu_bp_ctl.scala 435:81] + wire _T_9014 = _T_8867 & _T_6226; // @[ifu_bp_ctl.scala 435:81] + wire _T_9023 = _T_8876 & _T_6226; // @[ifu_bp_ctl.scala 435:81] + wire _T_9032 = _T_8885 & _T_6226; // @[ifu_bp_ctl.scala 435:81] + wire _T_9041 = _T_8894 & _T_6226; // @[ifu_bp_ctl.scala 435:81] + wire _T_9050 = _T_8903 & _T_6226; // @[ifu_bp_ctl.scala 435:81] + wire _T_9059 = _T_8912 & _T_6226; // @[ifu_bp_ctl.scala 435:81] + wire _T_9068 = _T_8921 & _T_6226; // @[ifu_bp_ctl.scala 435:81] + wire _T_9077 = _T_8930 & _T_6226; // @[ifu_bp_ctl.scala 435:81] + wire _T_9086 = _T_8939 & _T_6226; // @[ifu_bp_ctl.scala 435:81] + wire _T_9095 = _T_8948 & _T_6226; // @[ifu_bp_ctl.scala 435:81] + wire _T_9104 = _T_8957 & _T_6226; // @[ifu_bp_ctl.scala 435:81] + wire _T_9113 = _T_8966 & _T_6226; // @[ifu_bp_ctl.scala 435:81] + wire _T_9122 = _T_8975 & _T_6226; // @[ifu_bp_ctl.scala 435:81] + wire _T_9131 = _T_8984 & _T_6226; // @[ifu_bp_ctl.scala 435:81] + wire _T_9140 = _T_8993 & _T_6226; // @[ifu_bp_ctl.scala 435:81] + wire _T_9149 = _T_9002 & _T_6226; // @[ifu_bp_ctl.scala 435:81] + wire _T_9158 = _T_8867 & _T_6237; // @[ifu_bp_ctl.scala 435:81] + wire _T_9167 = _T_8876 & _T_6237; // @[ifu_bp_ctl.scala 435:81] + wire _T_9176 = _T_8885 & _T_6237; // @[ifu_bp_ctl.scala 435:81] + wire _T_9185 = _T_8894 & _T_6237; // @[ifu_bp_ctl.scala 435:81] + wire _T_9194 = _T_8903 & _T_6237; // @[ifu_bp_ctl.scala 435:81] + wire _T_9203 = _T_8912 & _T_6237; // @[ifu_bp_ctl.scala 435:81] + wire _T_9212 = _T_8921 & _T_6237; // @[ifu_bp_ctl.scala 435:81] + wire _T_9221 = _T_8930 & _T_6237; // @[ifu_bp_ctl.scala 435:81] + wire _T_9230 = _T_8939 & _T_6237; // @[ifu_bp_ctl.scala 435:81] + wire _T_9239 = _T_8948 & _T_6237; // @[ifu_bp_ctl.scala 435:81] + wire _T_9248 = _T_8957 & _T_6237; // @[ifu_bp_ctl.scala 435:81] + wire _T_9257 = _T_8966 & _T_6237; // @[ifu_bp_ctl.scala 435:81] + wire _T_9266 = _T_8975 & _T_6237; // @[ifu_bp_ctl.scala 435:81] + wire _T_9275 = _T_8984 & _T_6237; // @[ifu_bp_ctl.scala 435:81] + wire _T_9284 = _T_8993 & _T_6237; // @[ifu_bp_ctl.scala 435:81] + wire _T_9293 = _T_9002 & _T_6237; // @[ifu_bp_ctl.scala 435:81] + wire _T_9302 = _T_8867 & _T_6248; // @[ifu_bp_ctl.scala 435:81] + wire _T_9311 = _T_8876 & _T_6248; // @[ifu_bp_ctl.scala 435:81] + wire _T_9320 = _T_8885 & _T_6248; // @[ifu_bp_ctl.scala 435:81] + wire _T_9329 = _T_8894 & _T_6248; // @[ifu_bp_ctl.scala 435:81] + wire _T_9338 = _T_8903 & _T_6248; // @[ifu_bp_ctl.scala 435:81] + wire _T_9347 = _T_8912 & _T_6248; // @[ifu_bp_ctl.scala 435:81] + wire _T_9356 = _T_8921 & _T_6248; // @[ifu_bp_ctl.scala 435:81] + wire _T_9365 = _T_8930 & _T_6248; // @[ifu_bp_ctl.scala 435:81] + wire _T_9374 = _T_8939 & _T_6248; // @[ifu_bp_ctl.scala 435:81] + wire _T_9383 = _T_8948 & _T_6248; // @[ifu_bp_ctl.scala 435:81] + wire _T_9392 = _T_8957 & _T_6248; // @[ifu_bp_ctl.scala 435:81] + wire _T_9401 = _T_8966 & _T_6248; // @[ifu_bp_ctl.scala 435:81] + wire _T_9410 = _T_8975 & _T_6248; // @[ifu_bp_ctl.scala 435:81] + wire _T_9419 = _T_8984 & _T_6248; // @[ifu_bp_ctl.scala 435:81] + wire _T_9428 = _T_8993 & _T_6248; // @[ifu_bp_ctl.scala 435:81] + wire _T_9437 = _T_9002 & _T_6248; // @[ifu_bp_ctl.scala 435:81] + wire _T_9446 = _T_8867 & _T_6259; // @[ifu_bp_ctl.scala 435:81] + wire _T_9455 = _T_8876 & _T_6259; // @[ifu_bp_ctl.scala 435:81] + wire _T_9464 = _T_8885 & _T_6259; // @[ifu_bp_ctl.scala 435:81] + wire _T_9473 = _T_8894 & _T_6259; // @[ifu_bp_ctl.scala 435:81] + wire _T_9482 = _T_8903 & _T_6259; // @[ifu_bp_ctl.scala 435:81] + wire _T_9491 = _T_8912 & _T_6259; // @[ifu_bp_ctl.scala 435:81] + wire _T_9500 = _T_8921 & _T_6259; // @[ifu_bp_ctl.scala 435:81] + wire _T_9509 = _T_8930 & _T_6259; // @[ifu_bp_ctl.scala 435:81] + wire _T_9518 = _T_8939 & _T_6259; // @[ifu_bp_ctl.scala 435:81] + wire _T_9527 = _T_8948 & _T_6259; // @[ifu_bp_ctl.scala 435:81] + wire _T_9536 = _T_8957 & _T_6259; // @[ifu_bp_ctl.scala 435:81] + wire _T_9545 = _T_8966 & _T_6259; // @[ifu_bp_ctl.scala 435:81] + wire _T_9554 = _T_8975 & _T_6259; // @[ifu_bp_ctl.scala 435:81] + wire _T_9563 = _T_8984 & _T_6259; // @[ifu_bp_ctl.scala 435:81] + wire _T_9572 = _T_8993 & _T_6259; // @[ifu_bp_ctl.scala 435:81] + wire _T_9581 = _T_9002 & _T_6259; // @[ifu_bp_ctl.scala 435:81] + wire _T_9590 = _T_8867 & _T_6270; // @[ifu_bp_ctl.scala 435:81] + wire _T_9599 = _T_8876 & _T_6270; // @[ifu_bp_ctl.scala 435:81] + wire _T_9608 = _T_8885 & _T_6270; // @[ifu_bp_ctl.scala 435:81] + wire _T_9617 = _T_8894 & _T_6270; // @[ifu_bp_ctl.scala 435:81] + wire _T_9626 = _T_8903 & _T_6270; // @[ifu_bp_ctl.scala 435:81] + wire _T_9635 = _T_8912 & _T_6270; // @[ifu_bp_ctl.scala 435:81] + wire _T_9644 = _T_8921 & _T_6270; // @[ifu_bp_ctl.scala 435:81] + wire _T_9653 = _T_8930 & _T_6270; // @[ifu_bp_ctl.scala 435:81] + wire _T_9662 = _T_8939 & _T_6270; // @[ifu_bp_ctl.scala 435:81] + wire _T_9671 = _T_8948 & _T_6270; // @[ifu_bp_ctl.scala 435:81] + wire _T_9680 = _T_8957 & _T_6270; // @[ifu_bp_ctl.scala 435:81] + wire _T_9689 = _T_8966 & _T_6270; // @[ifu_bp_ctl.scala 435:81] + wire _T_9698 = _T_8975 & _T_6270; // @[ifu_bp_ctl.scala 435:81] + wire _T_9707 = _T_8984 & _T_6270; // @[ifu_bp_ctl.scala 435:81] + wire _T_9716 = _T_8993 & _T_6270; // @[ifu_bp_ctl.scala 435:81] + wire _T_9725 = _T_9002 & _T_6270; // @[ifu_bp_ctl.scala 435:81] + wire _T_9734 = _T_8867 & _T_6281; // @[ifu_bp_ctl.scala 435:81] + wire _T_9743 = _T_8876 & _T_6281; // @[ifu_bp_ctl.scala 435:81] + wire _T_9752 = _T_8885 & _T_6281; // @[ifu_bp_ctl.scala 435:81] + wire _T_9761 = _T_8894 & _T_6281; // @[ifu_bp_ctl.scala 435:81] + wire _T_9770 = _T_8903 & _T_6281; // @[ifu_bp_ctl.scala 435:81] + wire _T_9779 = _T_8912 & _T_6281; // @[ifu_bp_ctl.scala 435:81] + wire _T_9788 = _T_8921 & _T_6281; // @[ifu_bp_ctl.scala 435:81] + wire _T_9797 = _T_8930 & _T_6281; // @[ifu_bp_ctl.scala 435:81] + wire _T_9806 = _T_8939 & _T_6281; // @[ifu_bp_ctl.scala 435:81] + wire _T_9815 = _T_8948 & _T_6281; // @[ifu_bp_ctl.scala 435:81] + wire _T_9824 = _T_8957 & _T_6281; // @[ifu_bp_ctl.scala 435:81] + wire _T_9833 = _T_8966 & _T_6281; // @[ifu_bp_ctl.scala 435:81] + wire _T_9842 = _T_8975 & _T_6281; // @[ifu_bp_ctl.scala 435:81] + wire _T_9851 = _T_8984 & _T_6281; // @[ifu_bp_ctl.scala 435:81] + wire _T_9860 = _T_8993 & _T_6281; // @[ifu_bp_ctl.scala 435:81] + wire _T_9869 = _T_9002 & _T_6281; // @[ifu_bp_ctl.scala 435:81] + wire _T_9878 = _T_8867 & _T_6292; // @[ifu_bp_ctl.scala 435:81] + wire _T_9887 = _T_8876 & _T_6292; // @[ifu_bp_ctl.scala 435:81] + wire _T_9896 = _T_8885 & _T_6292; // @[ifu_bp_ctl.scala 435:81] + wire _T_9905 = _T_8894 & _T_6292; // @[ifu_bp_ctl.scala 435:81] + wire _T_9914 = _T_8903 & _T_6292; // @[ifu_bp_ctl.scala 435:81] + wire _T_9923 = _T_8912 & _T_6292; // @[ifu_bp_ctl.scala 435:81] + wire _T_9932 = _T_8921 & _T_6292; // @[ifu_bp_ctl.scala 435:81] + wire _T_9941 = _T_8930 & _T_6292; // @[ifu_bp_ctl.scala 435:81] + wire _T_9950 = _T_8939 & _T_6292; // @[ifu_bp_ctl.scala 435:81] + wire _T_9959 = _T_8948 & _T_6292; // @[ifu_bp_ctl.scala 435:81] + wire _T_9968 = _T_8957 & _T_6292; // @[ifu_bp_ctl.scala 435:81] + wire _T_9977 = _T_8966 & _T_6292; // @[ifu_bp_ctl.scala 435:81] + wire _T_9986 = _T_8975 & _T_6292; // @[ifu_bp_ctl.scala 435:81] + wire _T_9995 = _T_8984 & _T_6292; // @[ifu_bp_ctl.scala 435:81] + wire _T_10004 = _T_8993 & _T_6292; // @[ifu_bp_ctl.scala 435:81] + wire _T_10013 = _T_9002 & _T_6292; // @[ifu_bp_ctl.scala 435:81] + wire _T_10022 = _T_8867 & _T_6303; // @[ifu_bp_ctl.scala 435:81] + wire _T_10031 = _T_8876 & _T_6303; // @[ifu_bp_ctl.scala 435:81] + wire _T_10040 = _T_8885 & _T_6303; // @[ifu_bp_ctl.scala 435:81] + wire _T_10049 = _T_8894 & _T_6303; // @[ifu_bp_ctl.scala 435:81] + wire _T_10058 = _T_8903 & _T_6303; // @[ifu_bp_ctl.scala 435:81] + wire _T_10067 = _T_8912 & _T_6303; // @[ifu_bp_ctl.scala 435:81] + wire _T_10076 = _T_8921 & _T_6303; // @[ifu_bp_ctl.scala 435:81] + wire _T_10085 = _T_8930 & _T_6303; // @[ifu_bp_ctl.scala 435:81] + wire _T_10094 = _T_8939 & _T_6303; // @[ifu_bp_ctl.scala 435:81] + wire _T_10103 = _T_8948 & _T_6303; // @[ifu_bp_ctl.scala 435:81] + wire _T_10112 = _T_8957 & _T_6303; // @[ifu_bp_ctl.scala 435:81] + wire _T_10121 = _T_8966 & _T_6303; // @[ifu_bp_ctl.scala 435:81] + wire _T_10130 = _T_8975 & _T_6303; // @[ifu_bp_ctl.scala 435:81] + wire _T_10139 = _T_8984 & _T_6303; // @[ifu_bp_ctl.scala 435:81] + wire _T_10148 = _T_8993 & _T_6303; // @[ifu_bp_ctl.scala 435:81] + wire _T_10157 = _T_9002 & _T_6303; // @[ifu_bp_ctl.scala 435:81] + wire _T_10166 = _T_8867 & _T_6314; // @[ifu_bp_ctl.scala 435:81] + wire _T_10175 = _T_8876 & _T_6314; // @[ifu_bp_ctl.scala 435:81] + wire _T_10184 = _T_8885 & _T_6314; // @[ifu_bp_ctl.scala 435:81] + wire _T_10193 = _T_8894 & _T_6314; // @[ifu_bp_ctl.scala 435:81] + wire _T_10202 = _T_8903 & _T_6314; // @[ifu_bp_ctl.scala 435:81] + wire _T_10211 = _T_8912 & _T_6314; // @[ifu_bp_ctl.scala 435:81] + wire _T_10220 = _T_8921 & _T_6314; // @[ifu_bp_ctl.scala 435:81] + wire _T_10229 = _T_8930 & _T_6314; // @[ifu_bp_ctl.scala 435:81] + wire _T_10238 = _T_8939 & _T_6314; // @[ifu_bp_ctl.scala 435:81] + wire _T_10247 = _T_8948 & _T_6314; // @[ifu_bp_ctl.scala 435:81] + wire _T_10256 = _T_8957 & _T_6314; // @[ifu_bp_ctl.scala 435:81] + wire _T_10265 = _T_8966 & _T_6314; // @[ifu_bp_ctl.scala 435:81] + wire _T_10274 = _T_8975 & _T_6314; // @[ifu_bp_ctl.scala 435:81] + wire _T_10283 = _T_8984 & _T_6314; // @[ifu_bp_ctl.scala 435:81] + wire _T_10292 = _T_8993 & _T_6314; // @[ifu_bp_ctl.scala 435:81] + wire _T_10301 = _T_9002 & _T_6314; // @[ifu_bp_ctl.scala 435:81] + wire _T_10310 = _T_8867 & _T_6325; // @[ifu_bp_ctl.scala 435:81] + wire _T_10319 = _T_8876 & _T_6325; // @[ifu_bp_ctl.scala 435:81] + wire _T_10328 = _T_8885 & _T_6325; // @[ifu_bp_ctl.scala 435:81] + wire _T_10337 = _T_8894 & _T_6325; // @[ifu_bp_ctl.scala 435:81] + wire _T_10346 = _T_8903 & _T_6325; // @[ifu_bp_ctl.scala 435:81] + wire _T_10355 = _T_8912 & _T_6325; // @[ifu_bp_ctl.scala 435:81] + wire _T_10364 = _T_8921 & _T_6325; // @[ifu_bp_ctl.scala 435:81] + wire _T_10373 = _T_8930 & _T_6325; // @[ifu_bp_ctl.scala 435:81] + wire _T_10382 = _T_8939 & _T_6325; // @[ifu_bp_ctl.scala 435:81] + wire _T_10391 = _T_8948 & _T_6325; // @[ifu_bp_ctl.scala 435:81] + wire _T_10400 = _T_8957 & _T_6325; // @[ifu_bp_ctl.scala 435:81] + wire _T_10409 = _T_8966 & _T_6325; // @[ifu_bp_ctl.scala 435:81] + wire _T_10418 = _T_8975 & _T_6325; // @[ifu_bp_ctl.scala 435:81] + wire _T_10427 = _T_8984 & _T_6325; // @[ifu_bp_ctl.scala 435:81] + wire _T_10436 = _T_8993 & _T_6325; // @[ifu_bp_ctl.scala 435:81] + wire _T_10445 = _T_9002 & _T_6325; // @[ifu_bp_ctl.scala 435:81] + wire _T_10454 = _T_8867 & _T_6336; // @[ifu_bp_ctl.scala 435:81] + wire _T_10463 = _T_8876 & _T_6336; // @[ifu_bp_ctl.scala 435:81] + wire _T_10472 = _T_8885 & _T_6336; // @[ifu_bp_ctl.scala 435:81] + wire _T_10481 = _T_8894 & _T_6336; // @[ifu_bp_ctl.scala 435:81] + wire _T_10490 = _T_8903 & _T_6336; // @[ifu_bp_ctl.scala 435:81] + wire _T_10499 = _T_8912 & _T_6336; // @[ifu_bp_ctl.scala 435:81] + wire _T_10508 = _T_8921 & _T_6336; // @[ifu_bp_ctl.scala 435:81] + wire _T_10517 = _T_8930 & _T_6336; // @[ifu_bp_ctl.scala 435:81] + wire _T_10526 = _T_8939 & _T_6336; // @[ifu_bp_ctl.scala 435:81] + wire _T_10535 = _T_8948 & _T_6336; // @[ifu_bp_ctl.scala 435:81] + wire _T_10544 = _T_8957 & _T_6336; // @[ifu_bp_ctl.scala 435:81] + wire _T_10553 = _T_8966 & _T_6336; // @[ifu_bp_ctl.scala 435:81] + wire _T_10562 = _T_8975 & _T_6336; // @[ifu_bp_ctl.scala 435:81] + wire _T_10571 = _T_8984 & _T_6336; // @[ifu_bp_ctl.scala 435:81] + wire _T_10580 = _T_8993 & _T_6336; // @[ifu_bp_ctl.scala 435:81] + wire _T_10589 = _T_9002 & _T_6336; // @[ifu_bp_ctl.scala 435:81] + wire _T_10598 = _T_8867 & _T_6347; // @[ifu_bp_ctl.scala 435:81] + wire _T_10607 = _T_8876 & _T_6347; // @[ifu_bp_ctl.scala 435:81] + wire _T_10616 = _T_8885 & _T_6347; // @[ifu_bp_ctl.scala 435:81] + wire _T_10625 = _T_8894 & _T_6347; // @[ifu_bp_ctl.scala 435:81] + wire _T_10634 = _T_8903 & _T_6347; // @[ifu_bp_ctl.scala 435:81] + wire _T_10643 = _T_8912 & _T_6347; // @[ifu_bp_ctl.scala 435:81] + wire _T_10652 = _T_8921 & _T_6347; // @[ifu_bp_ctl.scala 435:81] + wire _T_10661 = _T_8930 & _T_6347; // @[ifu_bp_ctl.scala 435:81] + wire _T_10670 = _T_8939 & _T_6347; // @[ifu_bp_ctl.scala 435:81] + wire _T_10679 = _T_8948 & _T_6347; // @[ifu_bp_ctl.scala 435:81] + wire _T_10688 = _T_8957 & _T_6347; // @[ifu_bp_ctl.scala 435:81] + wire _T_10697 = _T_8966 & _T_6347; // @[ifu_bp_ctl.scala 435:81] + wire _T_10706 = _T_8975 & _T_6347; // @[ifu_bp_ctl.scala 435:81] + wire _T_10715 = _T_8984 & _T_6347; // @[ifu_bp_ctl.scala 435:81] + wire _T_10724 = _T_8993 & _T_6347; // @[ifu_bp_ctl.scala 435:81] + wire _T_10733 = _T_9002 & _T_6347; // @[ifu_bp_ctl.scala 435:81] + wire _T_10742 = _T_8867 & _T_6358; // @[ifu_bp_ctl.scala 435:81] + wire _T_10751 = _T_8876 & _T_6358; // @[ifu_bp_ctl.scala 435:81] + wire _T_10760 = _T_8885 & _T_6358; // @[ifu_bp_ctl.scala 435:81] + wire _T_10769 = _T_8894 & _T_6358; // @[ifu_bp_ctl.scala 435:81] + wire _T_10778 = _T_8903 & _T_6358; // @[ifu_bp_ctl.scala 435:81] + wire _T_10787 = _T_8912 & _T_6358; // @[ifu_bp_ctl.scala 435:81] + wire _T_10796 = _T_8921 & _T_6358; // @[ifu_bp_ctl.scala 435:81] + wire _T_10805 = _T_8930 & _T_6358; // @[ifu_bp_ctl.scala 435:81] + wire _T_10814 = _T_8939 & _T_6358; // @[ifu_bp_ctl.scala 435:81] + wire _T_10823 = _T_8948 & _T_6358; // @[ifu_bp_ctl.scala 435:81] + wire _T_10832 = _T_8957 & _T_6358; // @[ifu_bp_ctl.scala 435:81] + wire _T_10841 = _T_8966 & _T_6358; // @[ifu_bp_ctl.scala 435:81] + wire _T_10850 = _T_8975 & _T_6358; // @[ifu_bp_ctl.scala 435:81] + wire _T_10859 = _T_8984 & _T_6358; // @[ifu_bp_ctl.scala 435:81] + wire _T_10868 = _T_8993 & _T_6358; // @[ifu_bp_ctl.scala 435:81] + wire _T_10877 = _T_9002 & _T_6358; // @[ifu_bp_ctl.scala 435:81] + wire _T_10886 = _T_8867 & _T_6369; // @[ifu_bp_ctl.scala 435:81] + wire _T_10895 = _T_8876 & _T_6369; // @[ifu_bp_ctl.scala 435:81] + wire _T_10904 = _T_8885 & _T_6369; // @[ifu_bp_ctl.scala 435:81] + wire _T_10913 = _T_8894 & _T_6369; // @[ifu_bp_ctl.scala 435:81] + wire _T_10922 = _T_8903 & _T_6369; // @[ifu_bp_ctl.scala 435:81] + wire _T_10931 = _T_8912 & _T_6369; // @[ifu_bp_ctl.scala 435:81] + wire _T_10940 = _T_8921 & _T_6369; // @[ifu_bp_ctl.scala 435:81] + wire _T_10949 = _T_8930 & _T_6369; // @[ifu_bp_ctl.scala 435:81] + wire _T_10958 = _T_8939 & _T_6369; // @[ifu_bp_ctl.scala 435:81] + wire _T_10967 = _T_8948 & _T_6369; // @[ifu_bp_ctl.scala 435:81] + wire _T_10976 = _T_8957 & _T_6369; // @[ifu_bp_ctl.scala 435:81] + wire _T_10985 = _T_8966 & _T_6369; // @[ifu_bp_ctl.scala 435:81] + wire _T_10994 = _T_8975 & _T_6369; // @[ifu_bp_ctl.scala 435:81] + wire _T_11003 = _T_8984 & _T_6369; // @[ifu_bp_ctl.scala 435:81] + wire _T_11012 = _T_8993 & _T_6369; // @[ifu_bp_ctl.scala 435:81] + wire _T_11021 = _T_9002 & _T_6369; // @[ifu_bp_ctl.scala 435:81] + wire _T_11030 = _T_8867 & _T_6380; // @[ifu_bp_ctl.scala 435:81] + wire _T_11039 = _T_8876 & _T_6380; // @[ifu_bp_ctl.scala 435:81] + wire _T_11048 = _T_8885 & _T_6380; // @[ifu_bp_ctl.scala 435:81] + wire _T_11057 = _T_8894 & _T_6380; // @[ifu_bp_ctl.scala 435:81] + wire _T_11066 = _T_8903 & _T_6380; // @[ifu_bp_ctl.scala 435:81] + wire _T_11075 = _T_8912 & _T_6380; // @[ifu_bp_ctl.scala 435:81] + wire _T_11084 = _T_8921 & _T_6380; // @[ifu_bp_ctl.scala 435:81] + wire _T_11093 = _T_8930 & _T_6380; // @[ifu_bp_ctl.scala 435:81] + wire _T_11102 = _T_8939 & _T_6380; // @[ifu_bp_ctl.scala 435:81] + wire _T_11111 = _T_8948 & _T_6380; // @[ifu_bp_ctl.scala 435:81] + wire _T_11120 = _T_8957 & _T_6380; // @[ifu_bp_ctl.scala 435:81] + wire _T_11129 = _T_8966 & _T_6380; // @[ifu_bp_ctl.scala 435:81] + wire _T_11138 = _T_8975 & _T_6380; // @[ifu_bp_ctl.scala 435:81] + wire _T_11147 = _T_8984 & _T_6380; // @[ifu_bp_ctl.scala 435:81] + wire _T_11156 = _T_8993 & _T_6380; // @[ifu_bp_ctl.scala 435:81] + wire _T_11165 = _T_9002 & _T_6380; // @[ifu_bp_ctl.scala 435:81] + wire _T_11170 = bht_wr_addr0[3:0] == 4'h0; // @[ifu_bp_ctl.scala 443:97] + wire _T_11171 = bht_wr_en0[0] & _T_11170; // @[ifu_bp_ctl.scala 443:45] + wire _T_11175 = _T_11171 & _T_6210; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_0_0 = _T_11175 | _T_6566; // @[ifu_bp_ctl.scala 443:223] + wire _T_11187 = bht_wr_addr0[3:0] == 4'h1; // @[ifu_bp_ctl.scala 443:97] + wire _T_11188 = bht_wr_en0[0] & _T_11187; // @[ifu_bp_ctl.scala 443:45] + wire _T_11192 = _T_11188 & _T_6210; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_0_1 = _T_11192 | _T_6575; // @[ifu_bp_ctl.scala 443:223] + wire _T_11204 = bht_wr_addr0[3:0] == 4'h2; // @[ifu_bp_ctl.scala 443:97] + wire _T_11205 = bht_wr_en0[0] & _T_11204; // @[ifu_bp_ctl.scala 443:45] + wire _T_11209 = _T_11205 & _T_6210; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_0_2 = _T_11209 | _T_6584; // @[ifu_bp_ctl.scala 443:223] + wire _T_11221 = bht_wr_addr0[3:0] == 4'h3; // @[ifu_bp_ctl.scala 443:97] + wire _T_11222 = bht_wr_en0[0] & _T_11221; // @[ifu_bp_ctl.scala 443:45] + wire _T_11226 = _T_11222 & _T_6210; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_0_3 = _T_11226 | _T_6593; // @[ifu_bp_ctl.scala 443:223] + wire _T_11238 = bht_wr_addr0[3:0] == 4'h4; // @[ifu_bp_ctl.scala 443:97] + wire _T_11239 = bht_wr_en0[0] & _T_11238; // @[ifu_bp_ctl.scala 443:45] + wire _T_11243 = _T_11239 & _T_6210; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_0_4 = _T_11243 | _T_6602; // @[ifu_bp_ctl.scala 443:223] + wire _T_11255 = bht_wr_addr0[3:0] == 4'h5; // @[ifu_bp_ctl.scala 443:97] + wire _T_11256 = bht_wr_en0[0] & _T_11255; // @[ifu_bp_ctl.scala 443:45] + wire _T_11260 = _T_11256 & _T_6210; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_0_5 = _T_11260 | _T_6611; // @[ifu_bp_ctl.scala 443:223] + wire _T_11272 = bht_wr_addr0[3:0] == 4'h6; // @[ifu_bp_ctl.scala 443:97] + wire _T_11273 = bht_wr_en0[0] & _T_11272; // @[ifu_bp_ctl.scala 443:45] + wire _T_11277 = _T_11273 & _T_6210; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_0_6 = _T_11277 | _T_6620; // @[ifu_bp_ctl.scala 443:223] + wire _T_11289 = bht_wr_addr0[3:0] == 4'h7; // @[ifu_bp_ctl.scala 443:97] + wire _T_11290 = bht_wr_en0[0] & _T_11289; // @[ifu_bp_ctl.scala 443:45] + wire _T_11294 = _T_11290 & _T_6210; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_0_7 = _T_11294 | _T_6629; // @[ifu_bp_ctl.scala 443:223] + wire _T_11306 = bht_wr_addr0[3:0] == 4'h8; // @[ifu_bp_ctl.scala 443:97] + wire _T_11307 = bht_wr_en0[0] & _T_11306; // @[ifu_bp_ctl.scala 443:45] + wire _T_11311 = _T_11307 & _T_6210; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_0_8 = _T_11311 | _T_6638; // @[ifu_bp_ctl.scala 443:223] + wire _T_11323 = bht_wr_addr0[3:0] == 4'h9; // @[ifu_bp_ctl.scala 443:97] + wire _T_11324 = bht_wr_en0[0] & _T_11323; // @[ifu_bp_ctl.scala 443:45] + wire _T_11328 = _T_11324 & _T_6210; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_0_9 = _T_11328 | _T_6647; // @[ifu_bp_ctl.scala 443:223] + wire _T_11340 = bht_wr_addr0[3:0] == 4'ha; // @[ifu_bp_ctl.scala 443:97] + wire _T_11341 = bht_wr_en0[0] & _T_11340; // @[ifu_bp_ctl.scala 443:45] + wire _T_11345 = _T_11341 & _T_6210; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_0_10 = _T_11345 | _T_6656; // @[ifu_bp_ctl.scala 443:223] + wire _T_11357 = bht_wr_addr0[3:0] == 4'hb; // @[ifu_bp_ctl.scala 443:97] + wire _T_11358 = bht_wr_en0[0] & _T_11357; // @[ifu_bp_ctl.scala 443:45] + wire _T_11362 = _T_11358 & _T_6210; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_0_11 = _T_11362 | _T_6665; // @[ifu_bp_ctl.scala 443:223] + wire _T_11374 = bht_wr_addr0[3:0] == 4'hc; // @[ifu_bp_ctl.scala 443:97] + wire _T_11375 = bht_wr_en0[0] & _T_11374; // @[ifu_bp_ctl.scala 443:45] + wire _T_11379 = _T_11375 & _T_6210; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_0_12 = _T_11379 | _T_6674; // @[ifu_bp_ctl.scala 443:223] + wire _T_11391 = bht_wr_addr0[3:0] == 4'hd; // @[ifu_bp_ctl.scala 443:97] + wire _T_11392 = bht_wr_en0[0] & _T_11391; // @[ifu_bp_ctl.scala 443:45] + wire _T_11396 = _T_11392 & _T_6210; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_0_13 = _T_11396 | _T_6683; // @[ifu_bp_ctl.scala 443:223] + wire _T_11408 = bht_wr_addr0[3:0] == 4'he; // @[ifu_bp_ctl.scala 443:97] + wire _T_11409 = bht_wr_en0[0] & _T_11408; // @[ifu_bp_ctl.scala 443:45] + wire _T_11413 = _T_11409 & _T_6210; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_0_14 = _T_11413 | _T_6692; // @[ifu_bp_ctl.scala 443:223] + wire _T_11425 = bht_wr_addr0[3:0] == 4'hf; // @[ifu_bp_ctl.scala 443:97] + wire _T_11426 = bht_wr_en0[0] & _T_11425; // @[ifu_bp_ctl.scala 443:45] + wire _T_11430 = _T_11426 & _T_6210; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_0_15 = _T_11430 | _T_6701; // @[ifu_bp_ctl.scala 443:223] + wire _T_11447 = _T_11171 & _T_6221; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_1_0 = _T_11447 | _T_6710; // @[ifu_bp_ctl.scala 443:223] + wire _T_11464 = _T_11188 & _T_6221; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_1_1 = _T_11464 | _T_6719; // @[ifu_bp_ctl.scala 443:223] + wire _T_11481 = _T_11205 & _T_6221; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_1_2 = _T_11481 | _T_6728; // @[ifu_bp_ctl.scala 443:223] + wire _T_11498 = _T_11222 & _T_6221; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_1_3 = _T_11498 | _T_6737; // @[ifu_bp_ctl.scala 443:223] + wire _T_11515 = _T_11239 & _T_6221; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_1_4 = _T_11515 | _T_6746; // @[ifu_bp_ctl.scala 443:223] + wire _T_11532 = _T_11256 & _T_6221; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_1_5 = _T_11532 | _T_6755; // @[ifu_bp_ctl.scala 443:223] + wire _T_11549 = _T_11273 & _T_6221; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_1_6 = _T_11549 | _T_6764; // @[ifu_bp_ctl.scala 443:223] + wire _T_11566 = _T_11290 & _T_6221; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_1_7 = _T_11566 | _T_6773; // @[ifu_bp_ctl.scala 443:223] + wire _T_11583 = _T_11307 & _T_6221; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_1_8 = _T_11583 | _T_6782; // @[ifu_bp_ctl.scala 443:223] + wire _T_11600 = _T_11324 & _T_6221; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_1_9 = _T_11600 | _T_6791; // @[ifu_bp_ctl.scala 443:223] + wire _T_11617 = _T_11341 & _T_6221; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_1_10 = _T_11617 | _T_6800; // @[ifu_bp_ctl.scala 443:223] + wire _T_11634 = _T_11358 & _T_6221; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_1_11 = _T_11634 | _T_6809; // @[ifu_bp_ctl.scala 443:223] + wire _T_11651 = _T_11375 & _T_6221; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_1_12 = _T_11651 | _T_6818; // @[ifu_bp_ctl.scala 443:223] + wire _T_11668 = _T_11392 & _T_6221; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_1_13 = _T_11668 | _T_6827; // @[ifu_bp_ctl.scala 443:223] + wire _T_11685 = _T_11409 & _T_6221; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_1_14 = _T_11685 | _T_6836; // @[ifu_bp_ctl.scala 443:223] + wire _T_11702 = _T_11426 & _T_6221; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_1_15 = _T_11702 | _T_6845; // @[ifu_bp_ctl.scala 443:223] + wire _T_11719 = _T_11171 & _T_6232; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_2_0 = _T_11719 | _T_6854; // @[ifu_bp_ctl.scala 443:223] + wire _T_11736 = _T_11188 & _T_6232; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_2_1 = _T_11736 | _T_6863; // @[ifu_bp_ctl.scala 443:223] + wire _T_11753 = _T_11205 & _T_6232; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_2_2 = _T_11753 | _T_6872; // @[ifu_bp_ctl.scala 443:223] + wire _T_11770 = _T_11222 & _T_6232; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_2_3 = _T_11770 | _T_6881; // @[ifu_bp_ctl.scala 443:223] + wire _T_11787 = _T_11239 & _T_6232; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_2_4 = _T_11787 | _T_6890; // @[ifu_bp_ctl.scala 443:223] + wire _T_11804 = _T_11256 & _T_6232; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_2_5 = _T_11804 | _T_6899; // @[ifu_bp_ctl.scala 443:223] + wire _T_11821 = _T_11273 & _T_6232; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_2_6 = _T_11821 | _T_6908; // @[ifu_bp_ctl.scala 443:223] + wire _T_11838 = _T_11290 & _T_6232; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_2_7 = _T_11838 | _T_6917; // @[ifu_bp_ctl.scala 443:223] + wire _T_11855 = _T_11307 & _T_6232; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_2_8 = _T_11855 | _T_6926; // @[ifu_bp_ctl.scala 443:223] + wire _T_11872 = _T_11324 & _T_6232; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_2_9 = _T_11872 | _T_6935; // @[ifu_bp_ctl.scala 443:223] + wire _T_11889 = _T_11341 & _T_6232; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_2_10 = _T_11889 | _T_6944; // @[ifu_bp_ctl.scala 443:223] + wire _T_11906 = _T_11358 & _T_6232; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_2_11 = _T_11906 | _T_6953; // @[ifu_bp_ctl.scala 443:223] + wire _T_11923 = _T_11375 & _T_6232; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_2_12 = _T_11923 | _T_6962; // @[ifu_bp_ctl.scala 443:223] + wire _T_11940 = _T_11392 & _T_6232; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_2_13 = _T_11940 | _T_6971; // @[ifu_bp_ctl.scala 443:223] + wire _T_11957 = _T_11409 & _T_6232; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_2_14 = _T_11957 | _T_6980; // @[ifu_bp_ctl.scala 443:223] + wire _T_11974 = _T_11426 & _T_6232; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_2_15 = _T_11974 | _T_6989; // @[ifu_bp_ctl.scala 443:223] + wire _T_11991 = _T_11171 & _T_6243; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_3_0 = _T_11991 | _T_6998; // @[ifu_bp_ctl.scala 443:223] + wire _T_12008 = _T_11188 & _T_6243; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_3_1 = _T_12008 | _T_7007; // @[ifu_bp_ctl.scala 443:223] + wire _T_12025 = _T_11205 & _T_6243; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_3_2 = _T_12025 | _T_7016; // @[ifu_bp_ctl.scala 443:223] + wire _T_12042 = _T_11222 & _T_6243; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_3_3 = _T_12042 | _T_7025; // @[ifu_bp_ctl.scala 443:223] + wire _T_12059 = _T_11239 & _T_6243; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_3_4 = _T_12059 | _T_7034; // @[ifu_bp_ctl.scala 443:223] + wire _T_12076 = _T_11256 & _T_6243; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_3_5 = _T_12076 | _T_7043; // @[ifu_bp_ctl.scala 443:223] + wire _T_12093 = _T_11273 & _T_6243; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_3_6 = _T_12093 | _T_7052; // @[ifu_bp_ctl.scala 443:223] + wire _T_12110 = _T_11290 & _T_6243; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_3_7 = _T_12110 | _T_7061; // @[ifu_bp_ctl.scala 443:223] + wire _T_12127 = _T_11307 & _T_6243; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_3_8 = _T_12127 | _T_7070; // @[ifu_bp_ctl.scala 443:223] + wire _T_12144 = _T_11324 & _T_6243; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_3_9 = _T_12144 | _T_7079; // @[ifu_bp_ctl.scala 443:223] + wire _T_12161 = _T_11341 & _T_6243; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_3_10 = _T_12161 | _T_7088; // @[ifu_bp_ctl.scala 443:223] + wire _T_12178 = _T_11358 & _T_6243; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_3_11 = _T_12178 | _T_7097; // @[ifu_bp_ctl.scala 443:223] + wire _T_12195 = _T_11375 & _T_6243; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_3_12 = _T_12195 | _T_7106; // @[ifu_bp_ctl.scala 443:223] + wire _T_12212 = _T_11392 & _T_6243; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_3_13 = _T_12212 | _T_7115; // @[ifu_bp_ctl.scala 443:223] + wire _T_12229 = _T_11409 & _T_6243; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_3_14 = _T_12229 | _T_7124; // @[ifu_bp_ctl.scala 443:223] + wire _T_12246 = _T_11426 & _T_6243; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_3_15 = _T_12246 | _T_7133; // @[ifu_bp_ctl.scala 443:223] + wire _T_12263 = _T_11171 & _T_6254; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_4_0 = _T_12263 | _T_7142; // @[ifu_bp_ctl.scala 443:223] + wire _T_12280 = _T_11188 & _T_6254; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_4_1 = _T_12280 | _T_7151; // @[ifu_bp_ctl.scala 443:223] + wire _T_12297 = _T_11205 & _T_6254; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_4_2 = _T_12297 | _T_7160; // @[ifu_bp_ctl.scala 443:223] + wire _T_12314 = _T_11222 & _T_6254; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_4_3 = _T_12314 | _T_7169; // @[ifu_bp_ctl.scala 443:223] + wire _T_12331 = _T_11239 & _T_6254; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_4_4 = _T_12331 | _T_7178; // @[ifu_bp_ctl.scala 443:223] + wire _T_12348 = _T_11256 & _T_6254; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_4_5 = _T_12348 | _T_7187; // @[ifu_bp_ctl.scala 443:223] + wire _T_12365 = _T_11273 & _T_6254; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_4_6 = _T_12365 | _T_7196; // @[ifu_bp_ctl.scala 443:223] + wire _T_12382 = _T_11290 & _T_6254; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_4_7 = _T_12382 | _T_7205; // @[ifu_bp_ctl.scala 443:223] + wire _T_12399 = _T_11307 & _T_6254; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_4_8 = _T_12399 | _T_7214; // @[ifu_bp_ctl.scala 443:223] + wire _T_12416 = _T_11324 & _T_6254; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_4_9 = _T_12416 | _T_7223; // @[ifu_bp_ctl.scala 443:223] + wire _T_12433 = _T_11341 & _T_6254; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_4_10 = _T_12433 | _T_7232; // @[ifu_bp_ctl.scala 443:223] + wire _T_12450 = _T_11358 & _T_6254; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_4_11 = _T_12450 | _T_7241; // @[ifu_bp_ctl.scala 443:223] + wire _T_12467 = _T_11375 & _T_6254; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_4_12 = _T_12467 | _T_7250; // @[ifu_bp_ctl.scala 443:223] + wire _T_12484 = _T_11392 & _T_6254; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_4_13 = _T_12484 | _T_7259; // @[ifu_bp_ctl.scala 443:223] + wire _T_12501 = _T_11409 & _T_6254; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_4_14 = _T_12501 | _T_7268; // @[ifu_bp_ctl.scala 443:223] + wire _T_12518 = _T_11426 & _T_6254; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_4_15 = _T_12518 | _T_7277; // @[ifu_bp_ctl.scala 443:223] + wire _T_12535 = _T_11171 & _T_6265; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_5_0 = _T_12535 | _T_7286; // @[ifu_bp_ctl.scala 443:223] + wire _T_12552 = _T_11188 & _T_6265; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_5_1 = _T_12552 | _T_7295; // @[ifu_bp_ctl.scala 443:223] + wire _T_12569 = _T_11205 & _T_6265; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_5_2 = _T_12569 | _T_7304; // @[ifu_bp_ctl.scala 443:223] + wire _T_12586 = _T_11222 & _T_6265; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_5_3 = _T_12586 | _T_7313; // @[ifu_bp_ctl.scala 443:223] + wire _T_12603 = _T_11239 & _T_6265; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_5_4 = _T_12603 | _T_7322; // @[ifu_bp_ctl.scala 443:223] + wire _T_12620 = _T_11256 & _T_6265; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_5_5 = _T_12620 | _T_7331; // @[ifu_bp_ctl.scala 443:223] + wire _T_12637 = _T_11273 & _T_6265; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_5_6 = _T_12637 | _T_7340; // @[ifu_bp_ctl.scala 443:223] + wire _T_12654 = _T_11290 & _T_6265; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_5_7 = _T_12654 | _T_7349; // @[ifu_bp_ctl.scala 443:223] + wire _T_12671 = _T_11307 & _T_6265; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_5_8 = _T_12671 | _T_7358; // @[ifu_bp_ctl.scala 443:223] + wire _T_12688 = _T_11324 & _T_6265; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_5_9 = _T_12688 | _T_7367; // @[ifu_bp_ctl.scala 443:223] + wire _T_12705 = _T_11341 & _T_6265; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_5_10 = _T_12705 | _T_7376; // @[ifu_bp_ctl.scala 443:223] + wire _T_12722 = _T_11358 & _T_6265; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_5_11 = _T_12722 | _T_7385; // @[ifu_bp_ctl.scala 443:223] + wire _T_12739 = _T_11375 & _T_6265; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_5_12 = _T_12739 | _T_7394; // @[ifu_bp_ctl.scala 443:223] + wire _T_12756 = _T_11392 & _T_6265; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_5_13 = _T_12756 | _T_7403; // @[ifu_bp_ctl.scala 443:223] + wire _T_12773 = _T_11409 & _T_6265; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_5_14 = _T_12773 | _T_7412; // @[ifu_bp_ctl.scala 443:223] + wire _T_12790 = _T_11426 & _T_6265; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_5_15 = _T_12790 | _T_7421; // @[ifu_bp_ctl.scala 443:223] + wire _T_12807 = _T_11171 & _T_6276; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_6_0 = _T_12807 | _T_7430; // @[ifu_bp_ctl.scala 443:223] + wire _T_12824 = _T_11188 & _T_6276; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_6_1 = _T_12824 | _T_7439; // @[ifu_bp_ctl.scala 443:223] + wire _T_12841 = _T_11205 & _T_6276; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_6_2 = _T_12841 | _T_7448; // @[ifu_bp_ctl.scala 443:223] + wire _T_12858 = _T_11222 & _T_6276; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_6_3 = _T_12858 | _T_7457; // @[ifu_bp_ctl.scala 443:223] + wire _T_12875 = _T_11239 & _T_6276; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_6_4 = _T_12875 | _T_7466; // @[ifu_bp_ctl.scala 443:223] + wire _T_12892 = _T_11256 & _T_6276; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_6_5 = _T_12892 | _T_7475; // @[ifu_bp_ctl.scala 443:223] + wire _T_12909 = _T_11273 & _T_6276; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_6_6 = _T_12909 | _T_7484; // @[ifu_bp_ctl.scala 443:223] + wire _T_12926 = _T_11290 & _T_6276; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_6_7 = _T_12926 | _T_7493; // @[ifu_bp_ctl.scala 443:223] + wire _T_12943 = _T_11307 & _T_6276; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_6_8 = _T_12943 | _T_7502; // @[ifu_bp_ctl.scala 443:223] + wire _T_12960 = _T_11324 & _T_6276; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_6_9 = _T_12960 | _T_7511; // @[ifu_bp_ctl.scala 443:223] + wire _T_12977 = _T_11341 & _T_6276; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_6_10 = _T_12977 | _T_7520; // @[ifu_bp_ctl.scala 443:223] + wire _T_12994 = _T_11358 & _T_6276; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_6_11 = _T_12994 | _T_7529; // @[ifu_bp_ctl.scala 443:223] + wire _T_13011 = _T_11375 & _T_6276; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_6_12 = _T_13011 | _T_7538; // @[ifu_bp_ctl.scala 443:223] + wire _T_13028 = _T_11392 & _T_6276; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_6_13 = _T_13028 | _T_7547; // @[ifu_bp_ctl.scala 443:223] + wire _T_13045 = _T_11409 & _T_6276; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_6_14 = _T_13045 | _T_7556; // @[ifu_bp_ctl.scala 443:223] + wire _T_13062 = _T_11426 & _T_6276; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_6_15 = _T_13062 | _T_7565; // @[ifu_bp_ctl.scala 443:223] + wire _T_13079 = _T_11171 & _T_6287; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_7_0 = _T_13079 | _T_7574; // @[ifu_bp_ctl.scala 443:223] + wire _T_13096 = _T_11188 & _T_6287; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_7_1 = _T_13096 | _T_7583; // @[ifu_bp_ctl.scala 443:223] + wire _T_13113 = _T_11205 & _T_6287; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_7_2 = _T_13113 | _T_7592; // @[ifu_bp_ctl.scala 443:223] + wire _T_13130 = _T_11222 & _T_6287; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_7_3 = _T_13130 | _T_7601; // @[ifu_bp_ctl.scala 443:223] + wire _T_13147 = _T_11239 & _T_6287; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_7_4 = _T_13147 | _T_7610; // @[ifu_bp_ctl.scala 443:223] + wire _T_13164 = _T_11256 & _T_6287; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_7_5 = _T_13164 | _T_7619; // @[ifu_bp_ctl.scala 443:223] + wire _T_13181 = _T_11273 & _T_6287; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_7_6 = _T_13181 | _T_7628; // @[ifu_bp_ctl.scala 443:223] + wire _T_13198 = _T_11290 & _T_6287; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_7_7 = _T_13198 | _T_7637; // @[ifu_bp_ctl.scala 443:223] + wire _T_13215 = _T_11307 & _T_6287; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_7_8 = _T_13215 | _T_7646; // @[ifu_bp_ctl.scala 443:223] + wire _T_13232 = _T_11324 & _T_6287; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_7_9 = _T_13232 | _T_7655; // @[ifu_bp_ctl.scala 443:223] + wire _T_13249 = _T_11341 & _T_6287; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_7_10 = _T_13249 | _T_7664; // @[ifu_bp_ctl.scala 443:223] + wire _T_13266 = _T_11358 & _T_6287; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_7_11 = _T_13266 | _T_7673; // @[ifu_bp_ctl.scala 443:223] + wire _T_13283 = _T_11375 & _T_6287; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_7_12 = _T_13283 | _T_7682; // @[ifu_bp_ctl.scala 443:223] + wire _T_13300 = _T_11392 & _T_6287; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_7_13 = _T_13300 | _T_7691; // @[ifu_bp_ctl.scala 443:223] + wire _T_13317 = _T_11409 & _T_6287; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_7_14 = _T_13317 | _T_7700; // @[ifu_bp_ctl.scala 443:223] + wire _T_13334 = _T_11426 & _T_6287; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_7_15 = _T_13334 | _T_7709; // @[ifu_bp_ctl.scala 443:223] + wire _T_13351 = _T_11171 & _T_6298; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_8_0 = _T_13351 | _T_7718; // @[ifu_bp_ctl.scala 443:223] + wire _T_13368 = _T_11188 & _T_6298; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_8_1 = _T_13368 | _T_7727; // @[ifu_bp_ctl.scala 443:223] + wire _T_13385 = _T_11205 & _T_6298; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_8_2 = _T_13385 | _T_7736; // @[ifu_bp_ctl.scala 443:223] + wire _T_13402 = _T_11222 & _T_6298; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_8_3 = _T_13402 | _T_7745; // @[ifu_bp_ctl.scala 443:223] + wire _T_13419 = _T_11239 & _T_6298; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_8_4 = _T_13419 | _T_7754; // @[ifu_bp_ctl.scala 443:223] + wire _T_13436 = _T_11256 & _T_6298; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_8_5 = _T_13436 | _T_7763; // @[ifu_bp_ctl.scala 443:223] + wire _T_13453 = _T_11273 & _T_6298; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_8_6 = _T_13453 | _T_7772; // @[ifu_bp_ctl.scala 443:223] + wire _T_13470 = _T_11290 & _T_6298; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_8_7 = _T_13470 | _T_7781; // @[ifu_bp_ctl.scala 443:223] + wire _T_13487 = _T_11307 & _T_6298; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_8_8 = _T_13487 | _T_7790; // @[ifu_bp_ctl.scala 443:223] + wire _T_13504 = _T_11324 & _T_6298; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_8_9 = _T_13504 | _T_7799; // @[ifu_bp_ctl.scala 443:223] + wire _T_13521 = _T_11341 & _T_6298; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_8_10 = _T_13521 | _T_7808; // @[ifu_bp_ctl.scala 443:223] + wire _T_13538 = _T_11358 & _T_6298; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_8_11 = _T_13538 | _T_7817; // @[ifu_bp_ctl.scala 443:223] + wire _T_13555 = _T_11375 & _T_6298; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_8_12 = _T_13555 | _T_7826; // @[ifu_bp_ctl.scala 443:223] + wire _T_13572 = _T_11392 & _T_6298; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_8_13 = _T_13572 | _T_7835; // @[ifu_bp_ctl.scala 443:223] + wire _T_13589 = _T_11409 & _T_6298; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_8_14 = _T_13589 | _T_7844; // @[ifu_bp_ctl.scala 443:223] + wire _T_13606 = _T_11426 & _T_6298; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_8_15 = _T_13606 | _T_7853; // @[ifu_bp_ctl.scala 443:223] + wire _T_13623 = _T_11171 & _T_6309; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_9_0 = _T_13623 | _T_7862; // @[ifu_bp_ctl.scala 443:223] + wire _T_13640 = _T_11188 & _T_6309; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_9_1 = _T_13640 | _T_7871; // @[ifu_bp_ctl.scala 443:223] + wire _T_13657 = _T_11205 & _T_6309; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_9_2 = _T_13657 | _T_7880; // @[ifu_bp_ctl.scala 443:223] + wire _T_13674 = _T_11222 & _T_6309; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_9_3 = _T_13674 | _T_7889; // @[ifu_bp_ctl.scala 443:223] + wire _T_13691 = _T_11239 & _T_6309; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_9_4 = _T_13691 | _T_7898; // @[ifu_bp_ctl.scala 443:223] + wire _T_13708 = _T_11256 & _T_6309; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_9_5 = _T_13708 | _T_7907; // @[ifu_bp_ctl.scala 443:223] + wire _T_13725 = _T_11273 & _T_6309; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_9_6 = _T_13725 | _T_7916; // @[ifu_bp_ctl.scala 443:223] + wire _T_13742 = _T_11290 & _T_6309; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_9_7 = _T_13742 | _T_7925; // @[ifu_bp_ctl.scala 443:223] + wire _T_13759 = _T_11307 & _T_6309; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_9_8 = _T_13759 | _T_7934; // @[ifu_bp_ctl.scala 443:223] + wire _T_13776 = _T_11324 & _T_6309; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_9_9 = _T_13776 | _T_7943; // @[ifu_bp_ctl.scala 443:223] + wire _T_13793 = _T_11341 & _T_6309; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_9_10 = _T_13793 | _T_7952; // @[ifu_bp_ctl.scala 443:223] + wire _T_13810 = _T_11358 & _T_6309; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_9_11 = _T_13810 | _T_7961; // @[ifu_bp_ctl.scala 443:223] + wire _T_13827 = _T_11375 & _T_6309; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_9_12 = _T_13827 | _T_7970; // @[ifu_bp_ctl.scala 443:223] + wire _T_13844 = _T_11392 & _T_6309; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_9_13 = _T_13844 | _T_7979; // @[ifu_bp_ctl.scala 443:223] + wire _T_13861 = _T_11409 & _T_6309; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_9_14 = _T_13861 | _T_7988; // @[ifu_bp_ctl.scala 443:223] + wire _T_13878 = _T_11426 & _T_6309; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_9_15 = _T_13878 | _T_7997; // @[ifu_bp_ctl.scala 443:223] + wire _T_13895 = _T_11171 & _T_6320; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_10_0 = _T_13895 | _T_8006; // @[ifu_bp_ctl.scala 443:223] + wire _T_13912 = _T_11188 & _T_6320; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_10_1 = _T_13912 | _T_8015; // @[ifu_bp_ctl.scala 443:223] + wire _T_13929 = _T_11205 & _T_6320; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_10_2 = _T_13929 | _T_8024; // @[ifu_bp_ctl.scala 443:223] + wire _T_13946 = _T_11222 & _T_6320; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_10_3 = _T_13946 | _T_8033; // @[ifu_bp_ctl.scala 443:223] + wire _T_13963 = _T_11239 & _T_6320; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_10_4 = _T_13963 | _T_8042; // @[ifu_bp_ctl.scala 443:223] + wire _T_13980 = _T_11256 & _T_6320; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_10_5 = _T_13980 | _T_8051; // @[ifu_bp_ctl.scala 443:223] + wire _T_13997 = _T_11273 & _T_6320; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_10_6 = _T_13997 | _T_8060; // @[ifu_bp_ctl.scala 443:223] + wire _T_14014 = _T_11290 & _T_6320; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_10_7 = _T_14014 | _T_8069; // @[ifu_bp_ctl.scala 443:223] + wire _T_14031 = _T_11307 & _T_6320; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_10_8 = _T_14031 | _T_8078; // @[ifu_bp_ctl.scala 443:223] + wire _T_14048 = _T_11324 & _T_6320; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_10_9 = _T_14048 | _T_8087; // @[ifu_bp_ctl.scala 443:223] + wire _T_14065 = _T_11341 & _T_6320; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_10_10 = _T_14065 | _T_8096; // @[ifu_bp_ctl.scala 443:223] + wire _T_14082 = _T_11358 & _T_6320; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_10_11 = _T_14082 | _T_8105; // @[ifu_bp_ctl.scala 443:223] + wire _T_14099 = _T_11375 & _T_6320; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_10_12 = _T_14099 | _T_8114; // @[ifu_bp_ctl.scala 443:223] + wire _T_14116 = _T_11392 & _T_6320; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_10_13 = _T_14116 | _T_8123; // @[ifu_bp_ctl.scala 443:223] + wire _T_14133 = _T_11409 & _T_6320; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_10_14 = _T_14133 | _T_8132; // @[ifu_bp_ctl.scala 443:223] + wire _T_14150 = _T_11426 & _T_6320; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_10_15 = _T_14150 | _T_8141; // @[ifu_bp_ctl.scala 443:223] + wire _T_14167 = _T_11171 & _T_6331; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_11_0 = _T_14167 | _T_8150; // @[ifu_bp_ctl.scala 443:223] + wire _T_14184 = _T_11188 & _T_6331; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_11_1 = _T_14184 | _T_8159; // @[ifu_bp_ctl.scala 443:223] + wire _T_14201 = _T_11205 & _T_6331; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_11_2 = _T_14201 | _T_8168; // @[ifu_bp_ctl.scala 443:223] + wire _T_14218 = _T_11222 & _T_6331; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_11_3 = _T_14218 | _T_8177; // @[ifu_bp_ctl.scala 443:223] + wire _T_14235 = _T_11239 & _T_6331; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_11_4 = _T_14235 | _T_8186; // @[ifu_bp_ctl.scala 443:223] + wire _T_14252 = _T_11256 & _T_6331; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_11_5 = _T_14252 | _T_8195; // @[ifu_bp_ctl.scala 443:223] + wire _T_14269 = _T_11273 & _T_6331; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_11_6 = _T_14269 | _T_8204; // @[ifu_bp_ctl.scala 443:223] + wire _T_14286 = _T_11290 & _T_6331; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_11_7 = _T_14286 | _T_8213; // @[ifu_bp_ctl.scala 443:223] + wire _T_14303 = _T_11307 & _T_6331; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_11_8 = _T_14303 | _T_8222; // @[ifu_bp_ctl.scala 443:223] + wire _T_14320 = _T_11324 & _T_6331; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_11_9 = _T_14320 | _T_8231; // @[ifu_bp_ctl.scala 443:223] + wire _T_14337 = _T_11341 & _T_6331; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_11_10 = _T_14337 | _T_8240; // @[ifu_bp_ctl.scala 443:223] + wire _T_14354 = _T_11358 & _T_6331; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_11_11 = _T_14354 | _T_8249; // @[ifu_bp_ctl.scala 443:223] + wire _T_14371 = _T_11375 & _T_6331; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_11_12 = _T_14371 | _T_8258; // @[ifu_bp_ctl.scala 443:223] + wire _T_14388 = _T_11392 & _T_6331; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_11_13 = _T_14388 | _T_8267; // @[ifu_bp_ctl.scala 443:223] + wire _T_14405 = _T_11409 & _T_6331; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_11_14 = _T_14405 | _T_8276; // @[ifu_bp_ctl.scala 443:223] + wire _T_14422 = _T_11426 & _T_6331; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_11_15 = _T_14422 | _T_8285; // @[ifu_bp_ctl.scala 443:223] + wire _T_14439 = _T_11171 & _T_6342; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_12_0 = _T_14439 | _T_8294; // @[ifu_bp_ctl.scala 443:223] + wire _T_14456 = _T_11188 & _T_6342; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_12_1 = _T_14456 | _T_8303; // @[ifu_bp_ctl.scala 443:223] + wire _T_14473 = _T_11205 & _T_6342; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_12_2 = _T_14473 | _T_8312; // @[ifu_bp_ctl.scala 443:223] + wire _T_14490 = _T_11222 & _T_6342; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_12_3 = _T_14490 | _T_8321; // @[ifu_bp_ctl.scala 443:223] + wire _T_14507 = _T_11239 & _T_6342; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_12_4 = _T_14507 | _T_8330; // @[ifu_bp_ctl.scala 443:223] + wire _T_14524 = _T_11256 & _T_6342; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_12_5 = _T_14524 | _T_8339; // @[ifu_bp_ctl.scala 443:223] + wire _T_14541 = _T_11273 & _T_6342; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_12_6 = _T_14541 | _T_8348; // @[ifu_bp_ctl.scala 443:223] + wire _T_14558 = _T_11290 & _T_6342; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_12_7 = _T_14558 | _T_8357; // @[ifu_bp_ctl.scala 443:223] + wire _T_14575 = _T_11307 & _T_6342; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_12_8 = _T_14575 | _T_8366; // @[ifu_bp_ctl.scala 443:223] + wire _T_14592 = _T_11324 & _T_6342; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_12_9 = _T_14592 | _T_8375; // @[ifu_bp_ctl.scala 443:223] + wire _T_14609 = _T_11341 & _T_6342; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_12_10 = _T_14609 | _T_8384; // @[ifu_bp_ctl.scala 443:223] + wire _T_14626 = _T_11358 & _T_6342; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_12_11 = _T_14626 | _T_8393; // @[ifu_bp_ctl.scala 443:223] + wire _T_14643 = _T_11375 & _T_6342; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_12_12 = _T_14643 | _T_8402; // @[ifu_bp_ctl.scala 443:223] + wire _T_14660 = _T_11392 & _T_6342; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_12_13 = _T_14660 | _T_8411; // @[ifu_bp_ctl.scala 443:223] + wire _T_14677 = _T_11409 & _T_6342; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_12_14 = _T_14677 | _T_8420; // @[ifu_bp_ctl.scala 443:223] + wire _T_14694 = _T_11426 & _T_6342; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_12_15 = _T_14694 | _T_8429; // @[ifu_bp_ctl.scala 443:223] + wire _T_14711 = _T_11171 & _T_6353; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_13_0 = _T_14711 | _T_8438; // @[ifu_bp_ctl.scala 443:223] + wire _T_14728 = _T_11188 & _T_6353; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_13_1 = _T_14728 | _T_8447; // @[ifu_bp_ctl.scala 443:223] + wire _T_14745 = _T_11205 & _T_6353; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_13_2 = _T_14745 | _T_8456; // @[ifu_bp_ctl.scala 443:223] + wire _T_14762 = _T_11222 & _T_6353; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_13_3 = _T_14762 | _T_8465; // @[ifu_bp_ctl.scala 443:223] + wire _T_14779 = _T_11239 & _T_6353; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_13_4 = _T_14779 | _T_8474; // @[ifu_bp_ctl.scala 443:223] + wire _T_14796 = _T_11256 & _T_6353; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_13_5 = _T_14796 | _T_8483; // @[ifu_bp_ctl.scala 443:223] + wire _T_14813 = _T_11273 & _T_6353; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_13_6 = _T_14813 | _T_8492; // @[ifu_bp_ctl.scala 443:223] + wire _T_14830 = _T_11290 & _T_6353; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_13_7 = _T_14830 | _T_8501; // @[ifu_bp_ctl.scala 443:223] + wire _T_14847 = _T_11307 & _T_6353; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_13_8 = _T_14847 | _T_8510; // @[ifu_bp_ctl.scala 443:223] + wire _T_14864 = _T_11324 & _T_6353; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_13_9 = _T_14864 | _T_8519; // @[ifu_bp_ctl.scala 443:223] + wire _T_14881 = _T_11341 & _T_6353; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_13_10 = _T_14881 | _T_8528; // @[ifu_bp_ctl.scala 443:223] + wire _T_14898 = _T_11358 & _T_6353; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_13_11 = _T_14898 | _T_8537; // @[ifu_bp_ctl.scala 443:223] + wire _T_14915 = _T_11375 & _T_6353; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_13_12 = _T_14915 | _T_8546; // @[ifu_bp_ctl.scala 443:223] + wire _T_14932 = _T_11392 & _T_6353; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_13_13 = _T_14932 | _T_8555; // @[ifu_bp_ctl.scala 443:223] + wire _T_14949 = _T_11409 & _T_6353; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_13_14 = _T_14949 | _T_8564; // @[ifu_bp_ctl.scala 443:223] + wire _T_14966 = _T_11426 & _T_6353; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_13_15 = _T_14966 | _T_8573; // @[ifu_bp_ctl.scala 443:223] + wire _T_14983 = _T_11171 & _T_6364; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_14_0 = _T_14983 | _T_8582; // @[ifu_bp_ctl.scala 443:223] + wire _T_15000 = _T_11188 & _T_6364; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_14_1 = _T_15000 | _T_8591; // @[ifu_bp_ctl.scala 443:223] + wire _T_15017 = _T_11205 & _T_6364; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_14_2 = _T_15017 | _T_8600; // @[ifu_bp_ctl.scala 443:223] + wire _T_15034 = _T_11222 & _T_6364; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_14_3 = _T_15034 | _T_8609; // @[ifu_bp_ctl.scala 443:223] + wire _T_15051 = _T_11239 & _T_6364; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_14_4 = _T_15051 | _T_8618; // @[ifu_bp_ctl.scala 443:223] + wire _T_15068 = _T_11256 & _T_6364; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_14_5 = _T_15068 | _T_8627; // @[ifu_bp_ctl.scala 443:223] + wire _T_15085 = _T_11273 & _T_6364; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_14_6 = _T_15085 | _T_8636; // @[ifu_bp_ctl.scala 443:223] + wire _T_15102 = _T_11290 & _T_6364; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_14_7 = _T_15102 | _T_8645; // @[ifu_bp_ctl.scala 443:223] + wire _T_15119 = _T_11307 & _T_6364; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_14_8 = _T_15119 | _T_8654; // @[ifu_bp_ctl.scala 443:223] + wire _T_15136 = _T_11324 & _T_6364; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_14_9 = _T_15136 | _T_8663; // @[ifu_bp_ctl.scala 443:223] + wire _T_15153 = _T_11341 & _T_6364; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_14_10 = _T_15153 | _T_8672; // @[ifu_bp_ctl.scala 443:223] + wire _T_15170 = _T_11358 & _T_6364; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_14_11 = _T_15170 | _T_8681; // @[ifu_bp_ctl.scala 443:223] + wire _T_15187 = _T_11375 & _T_6364; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_14_12 = _T_15187 | _T_8690; // @[ifu_bp_ctl.scala 443:223] + wire _T_15204 = _T_11392 & _T_6364; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_14_13 = _T_15204 | _T_8699; // @[ifu_bp_ctl.scala 443:223] + wire _T_15221 = _T_11409 & _T_6364; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_14_14 = _T_15221 | _T_8708; // @[ifu_bp_ctl.scala 443:223] + wire _T_15238 = _T_11426 & _T_6364; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_14_15 = _T_15238 | _T_8717; // @[ifu_bp_ctl.scala 443:223] + wire _T_15255 = _T_11171 & _T_6375; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_15_0 = _T_15255 | _T_8726; // @[ifu_bp_ctl.scala 443:223] + wire _T_15272 = _T_11188 & _T_6375; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_15_1 = _T_15272 | _T_8735; // @[ifu_bp_ctl.scala 443:223] + wire _T_15289 = _T_11205 & _T_6375; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_15_2 = _T_15289 | _T_8744; // @[ifu_bp_ctl.scala 443:223] + wire _T_15306 = _T_11222 & _T_6375; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_15_3 = _T_15306 | _T_8753; // @[ifu_bp_ctl.scala 443:223] + wire _T_15323 = _T_11239 & _T_6375; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_15_4 = _T_15323 | _T_8762; // @[ifu_bp_ctl.scala 443:223] + wire _T_15340 = _T_11256 & _T_6375; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_15_5 = _T_15340 | _T_8771; // @[ifu_bp_ctl.scala 443:223] + wire _T_15357 = _T_11273 & _T_6375; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_15_6 = _T_15357 | _T_8780; // @[ifu_bp_ctl.scala 443:223] + wire _T_15374 = _T_11290 & _T_6375; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_15_7 = _T_15374 | _T_8789; // @[ifu_bp_ctl.scala 443:223] + wire _T_15391 = _T_11307 & _T_6375; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_15_8 = _T_15391 | _T_8798; // @[ifu_bp_ctl.scala 443:223] + wire _T_15408 = _T_11324 & _T_6375; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_15_9 = _T_15408 | _T_8807; // @[ifu_bp_ctl.scala 443:223] + wire _T_15425 = _T_11341 & _T_6375; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_15_10 = _T_15425 | _T_8816; // @[ifu_bp_ctl.scala 443:223] + wire _T_15442 = _T_11358 & _T_6375; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_15_11 = _T_15442 | _T_8825; // @[ifu_bp_ctl.scala 443:223] + wire _T_15459 = _T_11375 & _T_6375; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_15_12 = _T_15459 | _T_8834; // @[ifu_bp_ctl.scala 443:223] + wire _T_15476 = _T_11392 & _T_6375; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_15_13 = _T_15476 | _T_8843; // @[ifu_bp_ctl.scala 443:223] + wire _T_15493 = _T_11409 & _T_6375; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_15_14 = _T_15493 | _T_8852; // @[ifu_bp_ctl.scala 443:223] + wire _T_15510 = _T_11426 & _T_6375; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_0_15_15 = _T_15510 | _T_8861; // @[ifu_bp_ctl.scala 443:223] + wire _T_15523 = bht_wr_en0[1] & _T_11170; // @[ifu_bp_ctl.scala 443:45] + wire _T_15527 = _T_15523 & _T_6210; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_0_0 = _T_15527 | _T_8870; // @[ifu_bp_ctl.scala 443:223] + wire _T_15540 = bht_wr_en0[1] & _T_11187; // @[ifu_bp_ctl.scala 443:45] + wire _T_15544 = _T_15540 & _T_6210; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_0_1 = _T_15544 | _T_8879; // @[ifu_bp_ctl.scala 443:223] + wire _T_15557 = bht_wr_en0[1] & _T_11204; // @[ifu_bp_ctl.scala 443:45] + wire _T_15561 = _T_15557 & _T_6210; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_0_2 = _T_15561 | _T_8888; // @[ifu_bp_ctl.scala 443:223] + wire _T_15574 = bht_wr_en0[1] & _T_11221; // @[ifu_bp_ctl.scala 443:45] + wire _T_15578 = _T_15574 & _T_6210; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_0_3 = _T_15578 | _T_8897; // @[ifu_bp_ctl.scala 443:223] + wire _T_15591 = bht_wr_en0[1] & _T_11238; // @[ifu_bp_ctl.scala 443:45] + wire _T_15595 = _T_15591 & _T_6210; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_0_4 = _T_15595 | _T_8906; // @[ifu_bp_ctl.scala 443:223] + wire _T_15608 = bht_wr_en0[1] & _T_11255; // @[ifu_bp_ctl.scala 443:45] + wire _T_15612 = _T_15608 & _T_6210; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_0_5 = _T_15612 | _T_8915; // @[ifu_bp_ctl.scala 443:223] + wire _T_15625 = bht_wr_en0[1] & _T_11272; // @[ifu_bp_ctl.scala 443:45] + wire _T_15629 = _T_15625 & _T_6210; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_0_6 = _T_15629 | _T_8924; // @[ifu_bp_ctl.scala 443:223] + wire _T_15642 = bht_wr_en0[1] & _T_11289; // @[ifu_bp_ctl.scala 443:45] + wire _T_15646 = _T_15642 & _T_6210; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_0_7 = _T_15646 | _T_8933; // @[ifu_bp_ctl.scala 443:223] + wire _T_15659 = bht_wr_en0[1] & _T_11306; // @[ifu_bp_ctl.scala 443:45] + wire _T_15663 = _T_15659 & _T_6210; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_0_8 = _T_15663 | _T_8942; // @[ifu_bp_ctl.scala 443:223] + wire _T_15676 = bht_wr_en0[1] & _T_11323; // @[ifu_bp_ctl.scala 443:45] + wire _T_15680 = _T_15676 & _T_6210; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_0_9 = _T_15680 | _T_8951; // @[ifu_bp_ctl.scala 443:223] + wire _T_15693 = bht_wr_en0[1] & _T_11340; // @[ifu_bp_ctl.scala 443:45] + wire _T_15697 = _T_15693 & _T_6210; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_0_10 = _T_15697 | _T_8960; // @[ifu_bp_ctl.scala 443:223] + wire _T_15710 = bht_wr_en0[1] & _T_11357; // @[ifu_bp_ctl.scala 443:45] + wire _T_15714 = _T_15710 & _T_6210; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_0_11 = _T_15714 | _T_8969; // @[ifu_bp_ctl.scala 443:223] + wire _T_15727 = bht_wr_en0[1] & _T_11374; // @[ifu_bp_ctl.scala 443:45] + wire _T_15731 = _T_15727 & _T_6210; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_0_12 = _T_15731 | _T_8978; // @[ifu_bp_ctl.scala 443:223] + wire _T_15744 = bht_wr_en0[1] & _T_11391; // @[ifu_bp_ctl.scala 443:45] + wire _T_15748 = _T_15744 & _T_6210; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_0_13 = _T_15748 | _T_8987; // @[ifu_bp_ctl.scala 443:223] + wire _T_15761 = bht_wr_en0[1] & _T_11408; // @[ifu_bp_ctl.scala 443:45] + wire _T_15765 = _T_15761 & _T_6210; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_0_14 = _T_15765 | _T_8996; // @[ifu_bp_ctl.scala 443:223] + wire _T_15778 = bht_wr_en0[1] & _T_11425; // @[ifu_bp_ctl.scala 443:45] + wire _T_15782 = _T_15778 & _T_6210; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_0_15 = _T_15782 | _T_9005; // @[ifu_bp_ctl.scala 443:223] + wire _T_15799 = _T_15523 & _T_6221; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_1_0 = _T_15799 | _T_9014; // @[ifu_bp_ctl.scala 443:223] + wire _T_15816 = _T_15540 & _T_6221; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_1_1 = _T_15816 | _T_9023; // @[ifu_bp_ctl.scala 443:223] + wire _T_15833 = _T_15557 & _T_6221; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_1_2 = _T_15833 | _T_9032; // @[ifu_bp_ctl.scala 443:223] + wire _T_15850 = _T_15574 & _T_6221; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_1_3 = _T_15850 | _T_9041; // @[ifu_bp_ctl.scala 443:223] + wire _T_15867 = _T_15591 & _T_6221; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_1_4 = _T_15867 | _T_9050; // @[ifu_bp_ctl.scala 443:223] + wire _T_15884 = _T_15608 & _T_6221; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_1_5 = _T_15884 | _T_9059; // @[ifu_bp_ctl.scala 443:223] + wire _T_15901 = _T_15625 & _T_6221; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_1_6 = _T_15901 | _T_9068; // @[ifu_bp_ctl.scala 443:223] + wire _T_15918 = _T_15642 & _T_6221; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_1_7 = _T_15918 | _T_9077; // @[ifu_bp_ctl.scala 443:223] + wire _T_15935 = _T_15659 & _T_6221; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_1_8 = _T_15935 | _T_9086; // @[ifu_bp_ctl.scala 443:223] + wire _T_15952 = _T_15676 & _T_6221; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_1_9 = _T_15952 | _T_9095; // @[ifu_bp_ctl.scala 443:223] + wire _T_15969 = _T_15693 & _T_6221; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_1_10 = _T_15969 | _T_9104; // @[ifu_bp_ctl.scala 443:223] + wire _T_15986 = _T_15710 & _T_6221; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_1_11 = _T_15986 | _T_9113; // @[ifu_bp_ctl.scala 443:223] + wire _T_16003 = _T_15727 & _T_6221; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_1_12 = _T_16003 | _T_9122; // @[ifu_bp_ctl.scala 443:223] + wire _T_16020 = _T_15744 & _T_6221; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_1_13 = _T_16020 | _T_9131; // @[ifu_bp_ctl.scala 443:223] + wire _T_16037 = _T_15761 & _T_6221; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_1_14 = _T_16037 | _T_9140; // @[ifu_bp_ctl.scala 443:223] + wire _T_16054 = _T_15778 & _T_6221; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_1_15 = _T_16054 | _T_9149; // @[ifu_bp_ctl.scala 443:223] + wire _T_16071 = _T_15523 & _T_6232; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_2_0 = _T_16071 | _T_9158; // @[ifu_bp_ctl.scala 443:223] + wire _T_16088 = _T_15540 & _T_6232; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_2_1 = _T_16088 | _T_9167; // @[ifu_bp_ctl.scala 443:223] + wire _T_16105 = _T_15557 & _T_6232; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_2_2 = _T_16105 | _T_9176; // @[ifu_bp_ctl.scala 443:223] + wire _T_16122 = _T_15574 & _T_6232; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_2_3 = _T_16122 | _T_9185; // @[ifu_bp_ctl.scala 443:223] + wire _T_16139 = _T_15591 & _T_6232; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_2_4 = _T_16139 | _T_9194; // @[ifu_bp_ctl.scala 443:223] + wire _T_16156 = _T_15608 & _T_6232; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_2_5 = _T_16156 | _T_9203; // @[ifu_bp_ctl.scala 443:223] + wire _T_16173 = _T_15625 & _T_6232; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_2_6 = _T_16173 | _T_9212; // @[ifu_bp_ctl.scala 443:223] + wire _T_16190 = _T_15642 & _T_6232; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_2_7 = _T_16190 | _T_9221; // @[ifu_bp_ctl.scala 443:223] + wire _T_16207 = _T_15659 & _T_6232; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_2_8 = _T_16207 | _T_9230; // @[ifu_bp_ctl.scala 443:223] + wire _T_16224 = _T_15676 & _T_6232; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_2_9 = _T_16224 | _T_9239; // @[ifu_bp_ctl.scala 443:223] + wire _T_16241 = _T_15693 & _T_6232; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_2_10 = _T_16241 | _T_9248; // @[ifu_bp_ctl.scala 443:223] + wire _T_16258 = _T_15710 & _T_6232; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_2_11 = _T_16258 | _T_9257; // @[ifu_bp_ctl.scala 443:223] + wire _T_16275 = _T_15727 & _T_6232; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_2_12 = _T_16275 | _T_9266; // @[ifu_bp_ctl.scala 443:223] + wire _T_16292 = _T_15744 & _T_6232; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_2_13 = _T_16292 | _T_9275; // @[ifu_bp_ctl.scala 443:223] + wire _T_16309 = _T_15761 & _T_6232; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_2_14 = _T_16309 | _T_9284; // @[ifu_bp_ctl.scala 443:223] + wire _T_16326 = _T_15778 & _T_6232; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_2_15 = _T_16326 | _T_9293; // @[ifu_bp_ctl.scala 443:223] + wire _T_16343 = _T_15523 & _T_6243; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_3_0 = _T_16343 | _T_9302; // @[ifu_bp_ctl.scala 443:223] + wire _T_16360 = _T_15540 & _T_6243; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_3_1 = _T_16360 | _T_9311; // @[ifu_bp_ctl.scala 443:223] + wire _T_16377 = _T_15557 & _T_6243; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_3_2 = _T_16377 | _T_9320; // @[ifu_bp_ctl.scala 443:223] + wire _T_16394 = _T_15574 & _T_6243; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_3_3 = _T_16394 | _T_9329; // @[ifu_bp_ctl.scala 443:223] + wire _T_16411 = _T_15591 & _T_6243; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_3_4 = _T_16411 | _T_9338; // @[ifu_bp_ctl.scala 443:223] + wire _T_16428 = _T_15608 & _T_6243; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_3_5 = _T_16428 | _T_9347; // @[ifu_bp_ctl.scala 443:223] + wire _T_16445 = _T_15625 & _T_6243; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_3_6 = _T_16445 | _T_9356; // @[ifu_bp_ctl.scala 443:223] + wire _T_16462 = _T_15642 & _T_6243; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_3_7 = _T_16462 | _T_9365; // @[ifu_bp_ctl.scala 443:223] + wire _T_16479 = _T_15659 & _T_6243; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_3_8 = _T_16479 | _T_9374; // @[ifu_bp_ctl.scala 443:223] + wire _T_16496 = _T_15676 & _T_6243; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_3_9 = _T_16496 | _T_9383; // @[ifu_bp_ctl.scala 443:223] + wire _T_16513 = _T_15693 & _T_6243; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_3_10 = _T_16513 | _T_9392; // @[ifu_bp_ctl.scala 443:223] + wire _T_16530 = _T_15710 & _T_6243; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_3_11 = _T_16530 | _T_9401; // @[ifu_bp_ctl.scala 443:223] + wire _T_16547 = _T_15727 & _T_6243; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_3_12 = _T_16547 | _T_9410; // @[ifu_bp_ctl.scala 443:223] + wire _T_16564 = _T_15744 & _T_6243; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_3_13 = _T_16564 | _T_9419; // @[ifu_bp_ctl.scala 443:223] + wire _T_16581 = _T_15761 & _T_6243; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_3_14 = _T_16581 | _T_9428; // @[ifu_bp_ctl.scala 443:223] + wire _T_16598 = _T_15778 & _T_6243; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_3_15 = _T_16598 | _T_9437; // @[ifu_bp_ctl.scala 443:223] + wire _T_16615 = _T_15523 & _T_6254; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_4_0 = _T_16615 | _T_9446; // @[ifu_bp_ctl.scala 443:223] + wire _T_16632 = _T_15540 & _T_6254; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_4_1 = _T_16632 | _T_9455; // @[ifu_bp_ctl.scala 443:223] + wire _T_16649 = _T_15557 & _T_6254; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_4_2 = _T_16649 | _T_9464; // @[ifu_bp_ctl.scala 443:223] + wire _T_16666 = _T_15574 & _T_6254; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_4_3 = _T_16666 | _T_9473; // @[ifu_bp_ctl.scala 443:223] + wire _T_16683 = _T_15591 & _T_6254; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_4_4 = _T_16683 | _T_9482; // @[ifu_bp_ctl.scala 443:223] + wire _T_16700 = _T_15608 & _T_6254; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_4_5 = _T_16700 | _T_9491; // @[ifu_bp_ctl.scala 443:223] + wire _T_16717 = _T_15625 & _T_6254; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_4_6 = _T_16717 | _T_9500; // @[ifu_bp_ctl.scala 443:223] + wire _T_16734 = _T_15642 & _T_6254; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_4_7 = _T_16734 | _T_9509; // @[ifu_bp_ctl.scala 443:223] + wire _T_16751 = _T_15659 & _T_6254; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_4_8 = _T_16751 | _T_9518; // @[ifu_bp_ctl.scala 443:223] + wire _T_16768 = _T_15676 & _T_6254; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_4_9 = _T_16768 | _T_9527; // @[ifu_bp_ctl.scala 443:223] + wire _T_16785 = _T_15693 & _T_6254; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_4_10 = _T_16785 | _T_9536; // @[ifu_bp_ctl.scala 443:223] + wire _T_16802 = _T_15710 & _T_6254; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_4_11 = _T_16802 | _T_9545; // @[ifu_bp_ctl.scala 443:223] + wire _T_16819 = _T_15727 & _T_6254; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_4_12 = _T_16819 | _T_9554; // @[ifu_bp_ctl.scala 443:223] + wire _T_16836 = _T_15744 & _T_6254; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_4_13 = _T_16836 | _T_9563; // @[ifu_bp_ctl.scala 443:223] + wire _T_16853 = _T_15761 & _T_6254; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_4_14 = _T_16853 | _T_9572; // @[ifu_bp_ctl.scala 443:223] + wire _T_16870 = _T_15778 & _T_6254; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_4_15 = _T_16870 | _T_9581; // @[ifu_bp_ctl.scala 443:223] + wire _T_16887 = _T_15523 & _T_6265; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_5_0 = _T_16887 | _T_9590; // @[ifu_bp_ctl.scala 443:223] + wire _T_16904 = _T_15540 & _T_6265; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_5_1 = _T_16904 | _T_9599; // @[ifu_bp_ctl.scala 443:223] + wire _T_16921 = _T_15557 & _T_6265; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_5_2 = _T_16921 | _T_9608; // @[ifu_bp_ctl.scala 443:223] + wire _T_16938 = _T_15574 & _T_6265; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_5_3 = _T_16938 | _T_9617; // @[ifu_bp_ctl.scala 443:223] + wire _T_16955 = _T_15591 & _T_6265; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_5_4 = _T_16955 | _T_9626; // @[ifu_bp_ctl.scala 443:223] + wire _T_16972 = _T_15608 & _T_6265; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_5_5 = _T_16972 | _T_9635; // @[ifu_bp_ctl.scala 443:223] + wire _T_16989 = _T_15625 & _T_6265; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_5_6 = _T_16989 | _T_9644; // @[ifu_bp_ctl.scala 443:223] + wire _T_17006 = _T_15642 & _T_6265; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_5_7 = _T_17006 | _T_9653; // @[ifu_bp_ctl.scala 443:223] + wire _T_17023 = _T_15659 & _T_6265; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_5_8 = _T_17023 | _T_9662; // @[ifu_bp_ctl.scala 443:223] + wire _T_17040 = _T_15676 & _T_6265; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_5_9 = _T_17040 | _T_9671; // @[ifu_bp_ctl.scala 443:223] + wire _T_17057 = _T_15693 & _T_6265; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_5_10 = _T_17057 | _T_9680; // @[ifu_bp_ctl.scala 443:223] + wire _T_17074 = _T_15710 & _T_6265; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_5_11 = _T_17074 | _T_9689; // @[ifu_bp_ctl.scala 443:223] + wire _T_17091 = _T_15727 & _T_6265; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_5_12 = _T_17091 | _T_9698; // @[ifu_bp_ctl.scala 443:223] + wire _T_17108 = _T_15744 & _T_6265; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_5_13 = _T_17108 | _T_9707; // @[ifu_bp_ctl.scala 443:223] + wire _T_17125 = _T_15761 & _T_6265; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_5_14 = _T_17125 | _T_9716; // @[ifu_bp_ctl.scala 443:223] + wire _T_17142 = _T_15778 & _T_6265; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_5_15 = _T_17142 | _T_9725; // @[ifu_bp_ctl.scala 443:223] + wire _T_17159 = _T_15523 & _T_6276; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_6_0 = _T_17159 | _T_9734; // @[ifu_bp_ctl.scala 443:223] + wire _T_17176 = _T_15540 & _T_6276; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_6_1 = _T_17176 | _T_9743; // @[ifu_bp_ctl.scala 443:223] + wire _T_17193 = _T_15557 & _T_6276; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_6_2 = _T_17193 | _T_9752; // @[ifu_bp_ctl.scala 443:223] + wire _T_17210 = _T_15574 & _T_6276; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_6_3 = _T_17210 | _T_9761; // @[ifu_bp_ctl.scala 443:223] + wire _T_17227 = _T_15591 & _T_6276; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_6_4 = _T_17227 | _T_9770; // @[ifu_bp_ctl.scala 443:223] + wire _T_17244 = _T_15608 & _T_6276; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_6_5 = _T_17244 | _T_9779; // @[ifu_bp_ctl.scala 443:223] + wire _T_17261 = _T_15625 & _T_6276; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_6_6 = _T_17261 | _T_9788; // @[ifu_bp_ctl.scala 443:223] + wire _T_17278 = _T_15642 & _T_6276; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_6_7 = _T_17278 | _T_9797; // @[ifu_bp_ctl.scala 443:223] + wire _T_17295 = _T_15659 & _T_6276; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_6_8 = _T_17295 | _T_9806; // @[ifu_bp_ctl.scala 443:223] + wire _T_17312 = _T_15676 & _T_6276; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_6_9 = _T_17312 | _T_9815; // @[ifu_bp_ctl.scala 443:223] + wire _T_17329 = _T_15693 & _T_6276; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_6_10 = _T_17329 | _T_9824; // @[ifu_bp_ctl.scala 443:223] + wire _T_17346 = _T_15710 & _T_6276; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_6_11 = _T_17346 | _T_9833; // @[ifu_bp_ctl.scala 443:223] + wire _T_17363 = _T_15727 & _T_6276; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_6_12 = _T_17363 | _T_9842; // @[ifu_bp_ctl.scala 443:223] + wire _T_17380 = _T_15744 & _T_6276; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_6_13 = _T_17380 | _T_9851; // @[ifu_bp_ctl.scala 443:223] + wire _T_17397 = _T_15761 & _T_6276; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_6_14 = _T_17397 | _T_9860; // @[ifu_bp_ctl.scala 443:223] + wire _T_17414 = _T_15778 & _T_6276; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_6_15 = _T_17414 | _T_9869; // @[ifu_bp_ctl.scala 443:223] + wire _T_17431 = _T_15523 & _T_6287; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_7_0 = _T_17431 | _T_9878; // @[ifu_bp_ctl.scala 443:223] + wire _T_17448 = _T_15540 & _T_6287; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_7_1 = _T_17448 | _T_9887; // @[ifu_bp_ctl.scala 443:223] + wire _T_17465 = _T_15557 & _T_6287; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_7_2 = _T_17465 | _T_9896; // @[ifu_bp_ctl.scala 443:223] + wire _T_17482 = _T_15574 & _T_6287; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_7_3 = _T_17482 | _T_9905; // @[ifu_bp_ctl.scala 443:223] + wire _T_17499 = _T_15591 & _T_6287; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_7_4 = _T_17499 | _T_9914; // @[ifu_bp_ctl.scala 443:223] + wire _T_17516 = _T_15608 & _T_6287; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_7_5 = _T_17516 | _T_9923; // @[ifu_bp_ctl.scala 443:223] + wire _T_17533 = _T_15625 & _T_6287; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_7_6 = _T_17533 | _T_9932; // @[ifu_bp_ctl.scala 443:223] + wire _T_17550 = _T_15642 & _T_6287; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_7_7 = _T_17550 | _T_9941; // @[ifu_bp_ctl.scala 443:223] + wire _T_17567 = _T_15659 & _T_6287; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_7_8 = _T_17567 | _T_9950; // @[ifu_bp_ctl.scala 443:223] + wire _T_17584 = _T_15676 & _T_6287; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_7_9 = _T_17584 | _T_9959; // @[ifu_bp_ctl.scala 443:223] + wire _T_17601 = _T_15693 & _T_6287; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_7_10 = _T_17601 | _T_9968; // @[ifu_bp_ctl.scala 443:223] + wire _T_17618 = _T_15710 & _T_6287; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_7_11 = _T_17618 | _T_9977; // @[ifu_bp_ctl.scala 443:223] + wire _T_17635 = _T_15727 & _T_6287; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_7_12 = _T_17635 | _T_9986; // @[ifu_bp_ctl.scala 443:223] + wire _T_17652 = _T_15744 & _T_6287; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_7_13 = _T_17652 | _T_9995; // @[ifu_bp_ctl.scala 443:223] + wire _T_17669 = _T_15761 & _T_6287; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_7_14 = _T_17669 | _T_10004; // @[ifu_bp_ctl.scala 443:223] + wire _T_17686 = _T_15778 & _T_6287; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_7_15 = _T_17686 | _T_10013; // @[ifu_bp_ctl.scala 443:223] + wire _T_17703 = _T_15523 & _T_6298; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_8_0 = _T_17703 | _T_10022; // @[ifu_bp_ctl.scala 443:223] + wire _T_17720 = _T_15540 & _T_6298; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_8_1 = _T_17720 | _T_10031; // @[ifu_bp_ctl.scala 443:223] + wire _T_17737 = _T_15557 & _T_6298; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_8_2 = _T_17737 | _T_10040; // @[ifu_bp_ctl.scala 443:223] + wire _T_17754 = _T_15574 & _T_6298; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_8_3 = _T_17754 | _T_10049; // @[ifu_bp_ctl.scala 443:223] + wire _T_17771 = _T_15591 & _T_6298; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_8_4 = _T_17771 | _T_10058; // @[ifu_bp_ctl.scala 443:223] + wire _T_17788 = _T_15608 & _T_6298; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_8_5 = _T_17788 | _T_10067; // @[ifu_bp_ctl.scala 443:223] + wire _T_17805 = _T_15625 & _T_6298; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_8_6 = _T_17805 | _T_10076; // @[ifu_bp_ctl.scala 443:223] + wire _T_17822 = _T_15642 & _T_6298; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_8_7 = _T_17822 | _T_10085; // @[ifu_bp_ctl.scala 443:223] + wire _T_17839 = _T_15659 & _T_6298; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_8_8 = _T_17839 | _T_10094; // @[ifu_bp_ctl.scala 443:223] + wire _T_17856 = _T_15676 & _T_6298; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_8_9 = _T_17856 | _T_10103; // @[ifu_bp_ctl.scala 443:223] + wire _T_17873 = _T_15693 & _T_6298; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_8_10 = _T_17873 | _T_10112; // @[ifu_bp_ctl.scala 443:223] + wire _T_17890 = _T_15710 & _T_6298; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_8_11 = _T_17890 | _T_10121; // @[ifu_bp_ctl.scala 443:223] + wire _T_17907 = _T_15727 & _T_6298; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_8_12 = _T_17907 | _T_10130; // @[ifu_bp_ctl.scala 443:223] + wire _T_17924 = _T_15744 & _T_6298; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_8_13 = _T_17924 | _T_10139; // @[ifu_bp_ctl.scala 443:223] + wire _T_17941 = _T_15761 & _T_6298; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_8_14 = _T_17941 | _T_10148; // @[ifu_bp_ctl.scala 443:223] + wire _T_17958 = _T_15778 & _T_6298; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_8_15 = _T_17958 | _T_10157; // @[ifu_bp_ctl.scala 443:223] + wire _T_17975 = _T_15523 & _T_6309; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_9_0 = _T_17975 | _T_10166; // @[ifu_bp_ctl.scala 443:223] + wire _T_17992 = _T_15540 & _T_6309; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_9_1 = _T_17992 | _T_10175; // @[ifu_bp_ctl.scala 443:223] + wire _T_18009 = _T_15557 & _T_6309; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_9_2 = _T_18009 | _T_10184; // @[ifu_bp_ctl.scala 443:223] + wire _T_18026 = _T_15574 & _T_6309; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_9_3 = _T_18026 | _T_10193; // @[ifu_bp_ctl.scala 443:223] + wire _T_18043 = _T_15591 & _T_6309; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_9_4 = _T_18043 | _T_10202; // @[ifu_bp_ctl.scala 443:223] + wire _T_18060 = _T_15608 & _T_6309; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_9_5 = _T_18060 | _T_10211; // @[ifu_bp_ctl.scala 443:223] + wire _T_18077 = _T_15625 & _T_6309; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_9_6 = _T_18077 | _T_10220; // @[ifu_bp_ctl.scala 443:223] + wire _T_18094 = _T_15642 & _T_6309; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_9_7 = _T_18094 | _T_10229; // @[ifu_bp_ctl.scala 443:223] + wire _T_18111 = _T_15659 & _T_6309; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_9_8 = _T_18111 | _T_10238; // @[ifu_bp_ctl.scala 443:223] + wire _T_18128 = _T_15676 & _T_6309; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_9_9 = _T_18128 | _T_10247; // @[ifu_bp_ctl.scala 443:223] + wire _T_18145 = _T_15693 & _T_6309; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_9_10 = _T_18145 | _T_10256; // @[ifu_bp_ctl.scala 443:223] + wire _T_18162 = _T_15710 & _T_6309; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_9_11 = _T_18162 | _T_10265; // @[ifu_bp_ctl.scala 443:223] + wire _T_18179 = _T_15727 & _T_6309; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_9_12 = _T_18179 | _T_10274; // @[ifu_bp_ctl.scala 443:223] + wire _T_18196 = _T_15744 & _T_6309; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_9_13 = _T_18196 | _T_10283; // @[ifu_bp_ctl.scala 443:223] + wire _T_18213 = _T_15761 & _T_6309; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_9_14 = _T_18213 | _T_10292; // @[ifu_bp_ctl.scala 443:223] + wire _T_18230 = _T_15778 & _T_6309; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_9_15 = _T_18230 | _T_10301; // @[ifu_bp_ctl.scala 443:223] + wire _T_18247 = _T_15523 & _T_6320; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_10_0 = _T_18247 | _T_10310; // @[ifu_bp_ctl.scala 443:223] + wire _T_18264 = _T_15540 & _T_6320; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_10_1 = _T_18264 | _T_10319; // @[ifu_bp_ctl.scala 443:223] + wire _T_18281 = _T_15557 & _T_6320; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_10_2 = _T_18281 | _T_10328; // @[ifu_bp_ctl.scala 443:223] + wire _T_18298 = _T_15574 & _T_6320; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_10_3 = _T_18298 | _T_10337; // @[ifu_bp_ctl.scala 443:223] + wire _T_18315 = _T_15591 & _T_6320; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_10_4 = _T_18315 | _T_10346; // @[ifu_bp_ctl.scala 443:223] + wire _T_18332 = _T_15608 & _T_6320; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_10_5 = _T_18332 | _T_10355; // @[ifu_bp_ctl.scala 443:223] + wire _T_18349 = _T_15625 & _T_6320; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_10_6 = _T_18349 | _T_10364; // @[ifu_bp_ctl.scala 443:223] + wire _T_18366 = _T_15642 & _T_6320; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_10_7 = _T_18366 | _T_10373; // @[ifu_bp_ctl.scala 443:223] + wire _T_18383 = _T_15659 & _T_6320; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_10_8 = _T_18383 | _T_10382; // @[ifu_bp_ctl.scala 443:223] + wire _T_18400 = _T_15676 & _T_6320; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_10_9 = _T_18400 | _T_10391; // @[ifu_bp_ctl.scala 443:223] + wire _T_18417 = _T_15693 & _T_6320; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_10_10 = _T_18417 | _T_10400; // @[ifu_bp_ctl.scala 443:223] + wire _T_18434 = _T_15710 & _T_6320; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_10_11 = _T_18434 | _T_10409; // @[ifu_bp_ctl.scala 443:223] + wire _T_18451 = _T_15727 & _T_6320; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_10_12 = _T_18451 | _T_10418; // @[ifu_bp_ctl.scala 443:223] + wire _T_18468 = _T_15744 & _T_6320; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_10_13 = _T_18468 | _T_10427; // @[ifu_bp_ctl.scala 443:223] + wire _T_18485 = _T_15761 & _T_6320; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_10_14 = _T_18485 | _T_10436; // @[ifu_bp_ctl.scala 443:223] + wire _T_18502 = _T_15778 & _T_6320; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_10_15 = _T_18502 | _T_10445; // @[ifu_bp_ctl.scala 443:223] + wire _T_18519 = _T_15523 & _T_6331; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_11_0 = _T_18519 | _T_10454; // @[ifu_bp_ctl.scala 443:223] + wire _T_18536 = _T_15540 & _T_6331; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_11_1 = _T_18536 | _T_10463; // @[ifu_bp_ctl.scala 443:223] + wire _T_18553 = _T_15557 & _T_6331; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_11_2 = _T_18553 | _T_10472; // @[ifu_bp_ctl.scala 443:223] + wire _T_18570 = _T_15574 & _T_6331; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_11_3 = _T_18570 | _T_10481; // @[ifu_bp_ctl.scala 443:223] + wire _T_18587 = _T_15591 & _T_6331; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_11_4 = _T_18587 | _T_10490; // @[ifu_bp_ctl.scala 443:223] + wire _T_18604 = _T_15608 & _T_6331; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_11_5 = _T_18604 | _T_10499; // @[ifu_bp_ctl.scala 443:223] + wire _T_18621 = _T_15625 & _T_6331; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_11_6 = _T_18621 | _T_10508; // @[ifu_bp_ctl.scala 443:223] + wire _T_18638 = _T_15642 & _T_6331; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_11_7 = _T_18638 | _T_10517; // @[ifu_bp_ctl.scala 443:223] + wire _T_18655 = _T_15659 & _T_6331; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_11_8 = _T_18655 | _T_10526; // @[ifu_bp_ctl.scala 443:223] + wire _T_18672 = _T_15676 & _T_6331; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_11_9 = _T_18672 | _T_10535; // @[ifu_bp_ctl.scala 443:223] + wire _T_18689 = _T_15693 & _T_6331; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_11_10 = _T_18689 | _T_10544; // @[ifu_bp_ctl.scala 443:223] + wire _T_18706 = _T_15710 & _T_6331; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_11_11 = _T_18706 | _T_10553; // @[ifu_bp_ctl.scala 443:223] + wire _T_18723 = _T_15727 & _T_6331; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_11_12 = _T_18723 | _T_10562; // @[ifu_bp_ctl.scala 443:223] + wire _T_18740 = _T_15744 & _T_6331; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_11_13 = _T_18740 | _T_10571; // @[ifu_bp_ctl.scala 443:223] + wire _T_18757 = _T_15761 & _T_6331; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_11_14 = _T_18757 | _T_10580; // @[ifu_bp_ctl.scala 443:223] + wire _T_18774 = _T_15778 & _T_6331; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_11_15 = _T_18774 | _T_10589; // @[ifu_bp_ctl.scala 443:223] + wire _T_18791 = _T_15523 & _T_6342; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_12_0 = _T_18791 | _T_10598; // @[ifu_bp_ctl.scala 443:223] + wire _T_18808 = _T_15540 & _T_6342; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_12_1 = _T_18808 | _T_10607; // @[ifu_bp_ctl.scala 443:223] + wire _T_18825 = _T_15557 & _T_6342; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_12_2 = _T_18825 | _T_10616; // @[ifu_bp_ctl.scala 443:223] + wire _T_18842 = _T_15574 & _T_6342; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_12_3 = _T_18842 | _T_10625; // @[ifu_bp_ctl.scala 443:223] + wire _T_18859 = _T_15591 & _T_6342; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_12_4 = _T_18859 | _T_10634; // @[ifu_bp_ctl.scala 443:223] + wire _T_18876 = _T_15608 & _T_6342; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_12_5 = _T_18876 | _T_10643; // @[ifu_bp_ctl.scala 443:223] + wire _T_18893 = _T_15625 & _T_6342; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_12_6 = _T_18893 | _T_10652; // @[ifu_bp_ctl.scala 443:223] + wire _T_18910 = _T_15642 & _T_6342; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_12_7 = _T_18910 | _T_10661; // @[ifu_bp_ctl.scala 443:223] + wire _T_18927 = _T_15659 & _T_6342; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_12_8 = _T_18927 | _T_10670; // @[ifu_bp_ctl.scala 443:223] + wire _T_18944 = _T_15676 & _T_6342; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_12_9 = _T_18944 | _T_10679; // @[ifu_bp_ctl.scala 443:223] + wire _T_18961 = _T_15693 & _T_6342; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_12_10 = _T_18961 | _T_10688; // @[ifu_bp_ctl.scala 443:223] + wire _T_18978 = _T_15710 & _T_6342; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_12_11 = _T_18978 | _T_10697; // @[ifu_bp_ctl.scala 443:223] + wire _T_18995 = _T_15727 & _T_6342; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_12_12 = _T_18995 | _T_10706; // @[ifu_bp_ctl.scala 443:223] + wire _T_19012 = _T_15744 & _T_6342; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_12_13 = _T_19012 | _T_10715; // @[ifu_bp_ctl.scala 443:223] + wire _T_19029 = _T_15761 & _T_6342; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_12_14 = _T_19029 | _T_10724; // @[ifu_bp_ctl.scala 443:223] + wire _T_19046 = _T_15778 & _T_6342; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_12_15 = _T_19046 | _T_10733; // @[ifu_bp_ctl.scala 443:223] + wire _T_19063 = _T_15523 & _T_6353; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_13_0 = _T_19063 | _T_10742; // @[ifu_bp_ctl.scala 443:223] + wire _T_19080 = _T_15540 & _T_6353; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_13_1 = _T_19080 | _T_10751; // @[ifu_bp_ctl.scala 443:223] + wire _T_19097 = _T_15557 & _T_6353; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_13_2 = _T_19097 | _T_10760; // @[ifu_bp_ctl.scala 443:223] + wire _T_19114 = _T_15574 & _T_6353; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_13_3 = _T_19114 | _T_10769; // @[ifu_bp_ctl.scala 443:223] + wire _T_19131 = _T_15591 & _T_6353; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_13_4 = _T_19131 | _T_10778; // @[ifu_bp_ctl.scala 443:223] + wire _T_19148 = _T_15608 & _T_6353; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_13_5 = _T_19148 | _T_10787; // @[ifu_bp_ctl.scala 443:223] + wire _T_19165 = _T_15625 & _T_6353; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_13_6 = _T_19165 | _T_10796; // @[ifu_bp_ctl.scala 443:223] + wire _T_19182 = _T_15642 & _T_6353; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_13_7 = _T_19182 | _T_10805; // @[ifu_bp_ctl.scala 443:223] + wire _T_19199 = _T_15659 & _T_6353; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_13_8 = _T_19199 | _T_10814; // @[ifu_bp_ctl.scala 443:223] + wire _T_19216 = _T_15676 & _T_6353; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_13_9 = _T_19216 | _T_10823; // @[ifu_bp_ctl.scala 443:223] + wire _T_19233 = _T_15693 & _T_6353; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_13_10 = _T_19233 | _T_10832; // @[ifu_bp_ctl.scala 443:223] + wire _T_19250 = _T_15710 & _T_6353; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_13_11 = _T_19250 | _T_10841; // @[ifu_bp_ctl.scala 443:223] + wire _T_19267 = _T_15727 & _T_6353; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_13_12 = _T_19267 | _T_10850; // @[ifu_bp_ctl.scala 443:223] + wire _T_19284 = _T_15744 & _T_6353; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_13_13 = _T_19284 | _T_10859; // @[ifu_bp_ctl.scala 443:223] + wire _T_19301 = _T_15761 & _T_6353; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_13_14 = _T_19301 | _T_10868; // @[ifu_bp_ctl.scala 443:223] + wire _T_19318 = _T_15778 & _T_6353; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_13_15 = _T_19318 | _T_10877; // @[ifu_bp_ctl.scala 443:223] + wire _T_19335 = _T_15523 & _T_6364; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_14_0 = _T_19335 | _T_10886; // @[ifu_bp_ctl.scala 443:223] + wire _T_19352 = _T_15540 & _T_6364; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_14_1 = _T_19352 | _T_10895; // @[ifu_bp_ctl.scala 443:223] + wire _T_19369 = _T_15557 & _T_6364; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_14_2 = _T_19369 | _T_10904; // @[ifu_bp_ctl.scala 443:223] + wire _T_19386 = _T_15574 & _T_6364; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_14_3 = _T_19386 | _T_10913; // @[ifu_bp_ctl.scala 443:223] + wire _T_19403 = _T_15591 & _T_6364; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_14_4 = _T_19403 | _T_10922; // @[ifu_bp_ctl.scala 443:223] + wire _T_19420 = _T_15608 & _T_6364; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_14_5 = _T_19420 | _T_10931; // @[ifu_bp_ctl.scala 443:223] + wire _T_19437 = _T_15625 & _T_6364; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_14_6 = _T_19437 | _T_10940; // @[ifu_bp_ctl.scala 443:223] + wire _T_19454 = _T_15642 & _T_6364; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_14_7 = _T_19454 | _T_10949; // @[ifu_bp_ctl.scala 443:223] + wire _T_19471 = _T_15659 & _T_6364; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_14_8 = _T_19471 | _T_10958; // @[ifu_bp_ctl.scala 443:223] + wire _T_19488 = _T_15676 & _T_6364; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_14_9 = _T_19488 | _T_10967; // @[ifu_bp_ctl.scala 443:223] + wire _T_19505 = _T_15693 & _T_6364; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_14_10 = _T_19505 | _T_10976; // @[ifu_bp_ctl.scala 443:223] + wire _T_19522 = _T_15710 & _T_6364; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_14_11 = _T_19522 | _T_10985; // @[ifu_bp_ctl.scala 443:223] + wire _T_19539 = _T_15727 & _T_6364; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_14_12 = _T_19539 | _T_10994; // @[ifu_bp_ctl.scala 443:223] + wire _T_19556 = _T_15744 & _T_6364; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_14_13 = _T_19556 | _T_11003; // @[ifu_bp_ctl.scala 443:223] + wire _T_19573 = _T_15761 & _T_6364; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_14_14 = _T_19573 | _T_11012; // @[ifu_bp_ctl.scala 443:223] + wire _T_19590 = _T_15778 & _T_6364; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_14_15 = _T_19590 | _T_11021; // @[ifu_bp_ctl.scala 443:223] + wire _T_19607 = _T_15523 & _T_6375; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_15_0 = _T_19607 | _T_11030; // @[ifu_bp_ctl.scala 443:223] + wire _T_19624 = _T_15540 & _T_6375; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_15_1 = _T_19624 | _T_11039; // @[ifu_bp_ctl.scala 443:223] + wire _T_19641 = _T_15557 & _T_6375; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_15_2 = _T_19641 | _T_11048; // @[ifu_bp_ctl.scala 443:223] + wire _T_19658 = _T_15574 & _T_6375; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_15_3 = _T_19658 | _T_11057; // @[ifu_bp_ctl.scala 443:223] + wire _T_19675 = _T_15591 & _T_6375; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_15_4 = _T_19675 | _T_11066; // @[ifu_bp_ctl.scala 443:223] + wire _T_19692 = _T_15608 & _T_6375; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_15_5 = _T_19692 | _T_11075; // @[ifu_bp_ctl.scala 443:223] + wire _T_19709 = _T_15625 & _T_6375; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_15_6 = _T_19709 | _T_11084; // @[ifu_bp_ctl.scala 443:223] + wire _T_19726 = _T_15642 & _T_6375; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_15_7 = _T_19726 | _T_11093; // @[ifu_bp_ctl.scala 443:223] + wire _T_19743 = _T_15659 & _T_6375; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_15_8 = _T_19743 | _T_11102; // @[ifu_bp_ctl.scala 443:223] + wire _T_19760 = _T_15676 & _T_6375; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_15_9 = _T_19760 | _T_11111; // @[ifu_bp_ctl.scala 443:223] + wire _T_19777 = _T_15693 & _T_6375; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_15_10 = _T_19777 | _T_11120; // @[ifu_bp_ctl.scala 443:223] + wire _T_19794 = _T_15710 & _T_6375; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_15_11 = _T_19794 | _T_11129; // @[ifu_bp_ctl.scala 443:223] + wire _T_19811 = _T_15727 & _T_6375; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_15_12 = _T_19811 | _T_11138; // @[ifu_bp_ctl.scala 443:223] + wire _T_19828 = _T_15744 & _T_6375; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_15_13 = _T_19828 | _T_11147; // @[ifu_bp_ctl.scala 443:223] + wire _T_19845 = _T_15761 & _T_6375; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_15_14 = _T_19845 | _T_11156; // @[ifu_bp_ctl.scala 443:223] + wire _T_19862 = _T_15778 & _T_6375; // @[ifu_bp_ctl.scala 443:110] + wire bht_bank_sel_1_15_15 = _T_19862 | _T_11165; // @[ifu_bp_ctl.scala 443:223] + rvclkhdr rvclkhdr ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + rvclkhdr rvclkhdr_4 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_4_io_l1clk), + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en), + .io_scan_mode(rvclkhdr_4_io_scan_mode) + ); + rvclkhdr rvclkhdr_5 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_5_io_l1clk), + .io_clk(rvclkhdr_5_io_clk), + .io_en(rvclkhdr_5_io_en), + .io_scan_mode(rvclkhdr_5_io_scan_mode) + ); + rvclkhdr rvclkhdr_6 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_6_io_l1clk), + .io_clk(rvclkhdr_6_io_clk), + .io_en(rvclkhdr_6_io_en), + .io_scan_mode(rvclkhdr_6_io_scan_mode) + ); + rvclkhdr rvclkhdr_7 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_7_io_l1clk), + .io_clk(rvclkhdr_7_io_clk), + .io_en(rvclkhdr_7_io_en), + .io_scan_mode(rvclkhdr_7_io_scan_mode) + ); + rvclkhdr rvclkhdr_8 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_8_io_l1clk), + .io_clk(rvclkhdr_8_io_clk), + .io_en(rvclkhdr_8_io_en), + .io_scan_mode(rvclkhdr_8_io_scan_mode) + ); + rvclkhdr rvclkhdr_9 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_9_io_l1clk), + .io_clk(rvclkhdr_9_io_clk), + .io_en(rvclkhdr_9_io_en), + .io_scan_mode(rvclkhdr_9_io_scan_mode) + ); + rvclkhdr rvclkhdr_10 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_10_io_l1clk), + .io_clk(rvclkhdr_10_io_clk), + .io_en(rvclkhdr_10_io_en), + .io_scan_mode(rvclkhdr_10_io_scan_mode) + ); + rvclkhdr rvclkhdr_11 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_11_io_l1clk), + .io_clk(rvclkhdr_11_io_clk), + .io_en(rvclkhdr_11_io_en), + .io_scan_mode(rvclkhdr_11_io_scan_mode) + ); + rvclkhdr rvclkhdr_12 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_12_io_l1clk), + .io_clk(rvclkhdr_12_io_clk), + .io_en(rvclkhdr_12_io_en), + .io_scan_mode(rvclkhdr_12_io_scan_mode) + ); + rvclkhdr rvclkhdr_13 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_13_io_l1clk), + .io_clk(rvclkhdr_13_io_clk), + .io_en(rvclkhdr_13_io_en), + .io_scan_mode(rvclkhdr_13_io_scan_mode) + ); + rvclkhdr rvclkhdr_14 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_14_io_l1clk), + .io_clk(rvclkhdr_14_io_clk), + .io_en(rvclkhdr_14_io_en), + .io_scan_mode(rvclkhdr_14_io_scan_mode) + ); + rvclkhdr rvclkhdr_15 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_15_io_l1clk), + .io_clk(rvclkhdr_15_io_clk), + .io_en(rvclkhdr_15_io_en), + .io_scan_mode(rvclkhdr_15_io_scan_mode) + ); + rvclkhdr rvclkhdr_16 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_16_io_l1clk), + .io_clk(rvclkhdr_16_io_clk), + .io_en(rvclkhdr_16_io_en), + .io_scan_mode(rvclkhdr_16_io_scan_mode) + ); + rvclkhdr rvclkhdr_17 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_17_io_l1clk), + .io_clk(rvclkhdr_17_io_clk), + .io_en(rvclkhdr_17_io_en), + .io_scan_mode(rvclkhdr_17_io_scan_mode) + ); + rvclkhdr rvclkhdr_18 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_18_io_l1clk), + .io_clk(rvclkhdr_18_io_clk), + .io_en(rvclkhdr_18_io_en), + .io_scan_mode(rvclkhdr_18_io_scan_mode) + ); + rvclkhdr rvclkhdr_19 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_19_io_l1clk), + .io_clk(rvclkhdr_19_io_clk), + .io_en(rvclkhdr_19_io_en), + .io_scan_mode(rvclkhdr_19_io_scan_mode) + ); + rvclkhdr rvclkhdr_20 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_20_io_l1clk), + .io_clk(rvclkhdr_20_io_clk), + .io_en(rvclkhdr_20_io_en), + .io_scan_mode(rvclkhdr_20_io_scan_mode) + ); + rvclkhdr rvclkhdr_21 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_21_io_l1clk), + .io_clk(rvclkhdr_21_io_clk), + .io_en(rvclkhdr_21_io_en), + .io_scan_mode(rvclkhdr_21_io_scan_mode) + ); + rvclkhdr rvclkhdr_22 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_22_io_l1clk), + .io_clk(rvclkhdr_22_io_clk), + .io_en(rvclkhdr_22_io_en), + .io_scan_mode(rvclkhdr_22_io_scan_mode) + ); + rvclkhdr rvclkhdr_23 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_23_io_l1clk), + .io_clk(rvclkhdr_23_io_clk), + .io_en(rvclkhdr_23_io_en), + .io_scan_mode(rvclkhdr_23_io_scan_mode) + ); + rvclkhdr rvclkhdr_24 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_24_io_l1clk), + .io_clk(rvclkhdr_24_io_clk), + .io_en(rvclkhdr_24_io_en), + .io_scan_mode(rvclkhdr_24_io_scan_mode) + ); + rvclkhdr rvclkhdr_25 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_25_io_l1clk), + .io_clk(rvclkhdr_25_io_clk), + .io_en(rvclkhdr_25_io_en), + .io_scan_mode(rvclkhdr_25_io_scan_mode) + ); + rvclkhdr rvclkhdr_26 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_26_io_l1clk), + .io_clk(rvclkhdr_26_io_clk), + .io_en(rvclkhdr_26_io_en), + .io_scan_mode(rvclkhdr_26_io_scan_mode) + ); + rvclkhdr rvclkhdr_27 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_27_io_l1clk), + .io_clk(rvclkhdr_27_io_clk), + .io_en(rvclkhdr_27_io_en), + .io_scan_mode(rvclkhdr_27_io_scan_mode) + ); + rvclkhdr rvclkhdr_28 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_28_io_l1clk), + .io_clk(rvclkhdr_28_io_clk), + .io_en(rvclkhdr_28_io_en), + .io_scan_mode(rvclkhdr_28_io_scan_mode) + ); + rvclkhdr rvclkhdr_29 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_29_io_l1clk), + .io_clk(rvclkhdr_29_io_clk), + .io_en(rvclkhdr_29_io_en), + .io_scan_mode(rvclkhdr_29_io_scan_mode) + ); + rvclkhdr rvclkhdr_30 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_30_io_l1clk), + .io_clk(rvclkhdr_30_io_clk), + .io_en(rvclkhdr_30_io_en), + .io_scan_mode(rvclkhdr_30_io_scan_mode) + ); + rvclkhdr rvclkhdr_31 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_31_io_l1clk), + .io_clk(rvclkhdr_31_io_clk), + .io_en(rvclkhdr_31_io_en), + .io_scan_mode(rvclkhdr_31_io_scan_mode) + ); + rvclkhdr rvclkhdr_32 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_32_io_l1clk), + .io_clk(rvclkhdr_32_io_clk), + .io_en(rvclkhdr_32_io_en), + .io_scan_mode(rvclkhdr_32_io_scan_mode) + ); + rvclkhdr rvclkhdr_33 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_33_io_l1clk), + .io_clk(rvclkhdr_33_io_clk), + .io_en(rvclkhdr_33_io_en), + .io_scan_mode(rvclkhdr_33_io_scan_mode) + ); + rvclkhdr rvclkhdr_34 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_34_io_l1clk), + .io_clk(rvclkhdr_34_io_clk), + .io_en(rvclkhdr_34_io_en), + .io_scan_mode(rvclkhdr_34_io_scan_mode) + ); + rvclkhdr rvclkhdr_35 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_35_io_l1clk), + .io_clk(rvclkhdr_35_io_clk), + .io_en(rvclkhdr_35_io_en), + .io_scan_mode(rvclkhdr_35_io_scan_mode) + ); + rvclkhdr rvclkhdr_36 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_36_io_l1clk), + .io_clk(rvclkhdr_36_io_clk), + .io_en(rvclkhdr_36_io_en), + .io_scan_mode(rvclkhdr_36_io_scan_mode) + ); + rvclkhdr rvclkhdr_37 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_37_io_l1clk), + .io_clk(rvclkhdr_37_io_clk), + .io_en(rvclkhdr_37_io_en), + .io_scan_mode(rvclkhdr_37_io_scan_mode) + ); + rvclkhdr rvclkhdr_38 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_38_io_l1clk), + .io_clk(rvclkhdr_38_io_clk), + .io_en(rvclkhdr_38_io_en), + .io_scan_mode(rvclkhdr_38_io_scan_mode) + ); + rvclkhdr rvclkhdr_39 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_39_io_l1clk), + .io_clk(rvclkhdr_39_io_clk), + .io_en(rvclkhdr_39_io_en), + .io_scan_mode(rvclkhdr_39_io_scan_mode) + ); + rvclkhdr rvclkhdr_40 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_40_io_l1clk), + .io_clk(rvclkhdr_40_io_clk), + .io_en(rvclkhdr_40_io_en), + .io_scan_mode(rvclkhdr_40_io_scan_mode) + ); + rvclkhdr rvclkhdr_41 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_41_io_l1clk), + .io_clk(rvclkhdr_41_io_clk), + .io_en(rvclkhdr_41_io_en), + .io_scan_mode(rvclkhdr_41_io_scan_mode) + ); + rvclkhdr rvclkhdr_42 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_42_io_l1clk), + .io_clk(rvclkhdr_42_io_clk), + .io_en(rvclkhdr_42_io_en), + .io_scan_mode(rvclkhdr_42_io_scan_mode) + ); + rvclkhdr rvclkhdr_43 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_43_io_l1clk), + .io_clk(rvclkhdr_43_io_clk), + .io_en(rvclkhdr_43_io_en), + .io_scan_mode(rvclkhdr_43_io_scan_mode) + ); + rvclkhdr rvclkhdr_44 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_44_io_l1clk), + .io_clk(rvclkhdr_44_io_clk), + .io_en(rvclkhdr_44_io_en), + .io_scan_mode(rvclkhdr_44_io_scan_mode) + ); + rvclkhdr rvclkhdr_45 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_45_io_l1clk), + .io_clk(rvclkhdr_45_io_clk), + .io_en(rvclkhdr_45_io_en), + .io_scan_mode(rvclkhdr_45_io_scan_mode) + ); + rvclkhdr rvclkhdr_46 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_46_io_l1clk), + .io_clk(rvclkhdr_46_io_clk), + .io_en(rvclkhdr_46_io_en), + .io_scan_mode(rvclkhdr_46_io_scan_mode) + ); + rvclkhdr rvclkhdr_47 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_47_io_l1clk), + .io_clk(rvclkhdr_47_io_clk), + .io_en(rvclkhdr_47_io_en), + .io_scan_mode(rvclkhdr_47_io_scan_mode) + ); + rvclkhdr rvclkhdr_48 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_48_io_l1clk), + .io_clk(rvclkhdr_48_io_clk), + .io_en(rvclkhdr_48_io_en), + .io_scan_mode(rvclkhdr_48_io_scan_mode) + ); + rvclkhdr rvclkhdr_49 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_49_io_l1clk), + .io_clk(rvclkhdr_49_io_clk), + .io_en(rvclkhdr_49_io_en), + .io_scan_mode(rvclkhdr_49_io_scan_mode) + ); + rvclkhdr rvclkhdr_50 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_50_io_l1clk), + .io_clk(rvclkhdr_50_io_clk), + .io_en(rvclkhdr_50_io_en), + .io_scan_mode(rvclkhdr_50_io_scan_mode) + ); + rvclkhdr rvclkhdr_51 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_51_io_l1clk), + .io_clk(rvclkhdr_51_io_clk), + .io_en(rvclkhdr_51_io_en), + .io_scan_mode(rvclkhdr_51_io_scan_mode) + ); + rvclkhdr rvclkhdr_52 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_52_io_l1clk), + .io_clk(rvclkhdr_52_io_clk), + .io_en(rvclkhdr_52_io_en), + .io_scan_mode(rvclkhdr_52_io_scan_mode) + ); + rvclkhdr rvclkhdr_53 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_53_io_l1clk), + .io_clk(rvclkhdr_53_io_clk), + .io_en(rvclkhdr_53_io_en), + .io_scan_mode(rvclkhdr_53_io_scan_mode) + ); + rvclkhdr rvclkhdr_54 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_54_io_l1clk), + .io_clk(rvclkhdr_54_io_clk), + .io_en(rvclkhdr_54_io_en), + .io_scan_mode(rvclkhdr_54_io_scan_mode) + ); + rvclkhdr rvclkhdr_55 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_55_io_l1clk), + .io_clk(rvclkhdr_55_io_clk), + .io_en(rvclkhdr_55_io_en), + .io_scan_mode(rvclkhdr_55_io_scan_mode) + ); + rvclkhdr rvclkhdr_56 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_56_io_l1clk), + .io_clk(rvclkhdr_56_io_clk), + .io_en(rvclkhdr_56_io_en), + .io_scan_mode(rvclkhdr_56_io_scan_mode) + ); + rvclkhdr rvclkhdr_57 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_57_io_l1clk), + .io_clk(rvclkhdr_57_io_clk), + .io_en(rvclkhdr_57_io_en), + .io_scan_mode(rvclkhdr_57_io_scan_mode) + ); + rvclkhdr rvclkhdr_58 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_58_io_l1clk), + .io_clk(rvclkhdr_58_io_clk), + .io_en(rvclkhdr_58_io_en), + .io_scan_mode(rvclkhdr_58_io_scan_mode) + ); + rvclkhdr rvclkhdr_59 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_59_io_l1clk), + .io_clk(rvclkhdr_59_io_clk), + .io_en(rvclkhdr_59_io_en), + .io_scan_mode(rvclkhdr_59_io_scan_mode) + ); + rvclkhdr rvclkhdr_60 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_60_io_l1clk), + .io_clk(rvclkhdr_60_io_clk), + .io_en(rvclkhdr_60_io_en), + .io_scan_mode(rvclkhdr_60_io_scan_mode) + ); + rvclkhdr rvclkhdr_61 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_61_io_l1clk), + .io_clk(rvclkhdr_61_io_clk), + .io_en(rvclkhdr_61_io_en), + .io_scan_mode(rvclkhdr_61_io_scan_mode) + ); + rvclkhdr rvclkhdr_62 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_62_io_l1clk), + .io_clk(rvclkhdr_62_io_clk), + .io_en(rvclkhdr_62_io_en), + .io_scan_mode(rvclkhdr_62_io_scan_mode) + ); + rvclkhdr rvclkhdr_63 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_63_io_l1clk), + .io_clk(rvclkhdr_63_io_clk), + .io_en(rvclkhdr_63_io_en), + .io_scan_mode(rvclkhdr_63_io_scan_mode) + ); + rvclkhdr rvclkhdr_64 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_64_io_l1clk), + .io_clk(rvclkhdr_64_io_clk), + .io_en(rvclkhdr_64_io_en), + .io_scan_mode(rvclkhdr_64_io_scan_mode) + ); + rvclkhdr rvclkhdr_65 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_65_io_l1clk), + .io_clk(rvclkhdr_65_io_clk), + .io_en(rvclkhdr_65_io_en), + .io_scan_mode(rvclkhdr_65_io_scan_mode) + ); + rvclkhdr rvclkhdr_66 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_66_io_l1clk), + .io_clk(rvclkhdr_66_io_clk), + .io_en(rvclkhdr_66_io_en), + .io_scan_mode(rvclkhdr_66_io_scan_mode) + ); + rvclkhdr rvclkhdr_67 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_67_io_l1clk), + .io_clk(rvclkhdr_67_io_clk), + .io_en(rvclkhdr_67_io_en), + .io_scan_mode(rvclkhdr_67_io_scan_mode) + ); + rvclkhdr rvclkhdr_68 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_68_io_l1clk), + .io_clk(rvclkhdr_68_io_clk), + .io_en(rvclkhdr_68_io_en), + .io_scan_mode(rvclkhdr_68_io_scan_mode) + ); + rvclkhdr rvclkhdr_69 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_69_io_l1clk), + .io_clk(rvclkhdr_69_io_clk), + .io_en(rvclkhdr_69_io_en), + .io_scan_mode(rvclkhdr_69_io_scan_mode) + ); + rvclkhdr rvclkhdr_70 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_70_io_l1clk), + .io_clk(rvclkhdr_70_io_clk), + .io_en(rvclkhdr_70_io_en), + .io_scan_mode(rvclkhdr_70_io_scan_mode) + ); + rvclkhdr rvclkhdr_71 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_71_io_l1clk), + .io_clk(rvclkhdr_71_io_clk), + .io_en(rvclkhdr_71_io_en), + .io_scan_mode(rvclkhdr_71_io_scan_mode) + ); + rvclkhdr rvclkhdr_72 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_72_io_l1clk), + .io_clk(rvclkhdr_72_io_clk), + .io_en(rvclkhdr_72_io_en), + .io_scan_mode(rvclkhdr_72_io_scan_mode) + ); + rvclkhdr rvclkhdr_73 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_73_io_l1clk), + .io_clk(rvclkhdr_73_io_clk), + .io_en(rvclkhdr_73_io_en), + .io_scan_mode(rvclkhdr_73_io_scan_mode) + ); + rvclkhdr rvclkhdr_74 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_74_io_l1clk), + .io_clk(rvclkhdr_74_io_clk), + .io_en(rvclkhdr_74_io_en), + .io_scan_mode(rvclkhdr_74_io_scan_mode) + ); + rvclkhdr rvclkhdr_75 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_75_io_l1clk), + .io_clk(rvclkhdr_75_io_clk), + .io_en(rvclkhdr_75_io_en), + .io_scan_mode(rvclkhdr_75_io_scan_mode) + ); + rvclkhdr rvclkhdr_76 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_76_io_l1clk), + .io_clk(rvclkhdr_76_io_clk), + .io_en(rvclkhdr_76_io_en), + .io_scan_mode(rvclkhdr_76_io_scan_mode) + ); + rvclkhdr rvclkhdr_77 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_77_io_l1clk), + .io_clk(rvclkhdr_77_io_clk), + .io_en(rvclkhdr_77_io_en), + .io_scan_mode(rvclkhdr_77_io_scan_mode) + ); + rvclkhdr rvclkhdr_78 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_78_io_l1clk), + .io_clk(rvclkhdr_78_io_clk), + .io_en(rvclkhdr_78_io_en), + .io_scan_mode(rvclkhdr_78_io_scan_mode) + ); + rvclkhdr rvclkhdr_79 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_79_io_l1clk), + .io_clk(rvclkhdr_79_io_clk), + .io_en(rvclkhdr_79_io_en), + .io_scan_mode(rvclkhdr_79_io_scan_mode) + ); + rvclkhdr rvclkhdr_80 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_80_io_l1clk), + .io_clk(rvclkhdr_80_io_clk), + .io_en(rvclkhdr_80_io_en), + .io_scan_mode(rvclkhdr_80_io_scan_mode) + ); + rvclkhdr rvclkhdr_81 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_81_io_l1clk), + .io_clk(rvclkhdr_81_io_clk), + .io_en(rvclkhdr_81_io_en), + .io_scan_mode(rvclkhdr_81_io_scan_mode) + ); + rvclkhdr rvclkhdr_82 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_82_io_l1clk), + .io_clk(rvclkhdr_82_io_clk), + .io_en(rvclkhdr_82_io_en), + .io_scan_mode(rvclkhdr_82_io_scan_mode) + ); + rvclkhdr rvclkhdr_83 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_83_io_l1clk), + .io_clk(rvclkhdr_83_io_clk), + .io_en(rvclkhdr_83_io_en), + .io_scan_mode(rvclkhdr_83_io_scan_mode) + ); + rvclkhdr rvclkhdr_84 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_84_io_l1clk), + .io_clk(rvclkhdr_84_io_clk), + .io_en(rvclkhdr_84_io_en), + .io_scan_mode(rvclkhdr_84_io_scan_mode) + ); + rvclkhdr rvclkhdr_85 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_85_io_l1clk), + .io_clk(rvclkhdr_85_io_clk), + .io_en(rvclkhdr_85_io_en), + .io_scan_mode(rvclkhdr_85_io_scan_mode) + ); + rvclkhdr rvclkhdr_86 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_86_io_l1clk), + .io_clk(rvclkhdr_86_io_clk), + .io_en(rvclkhdr_86_io_en), + .io_scan_mode(rvclkhdr_86_io_scan_mode) + ); + rvclkhdr rvclkhdr_87 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_87_io_l1clk), + .io_clk(rvclkhdr_87_io_clk), + .io_en(rvclkhdr_87_io_en), + .io_scan_mode(rvclkhdr_87_io_scan_mode) + ); + rvclkhdr rvclkhdr_88 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_88_io_l1clk), + .io_clk(rvclkhdr_88_io_clk), + .io_en(rvclkhdr_88_io_en), + .io_scan_mode(rvclkhdr_88_io_scan_mode) + ); + rvclkhdr rvclkhdr_89 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_89_io_l1clk), + .io_clk(rvclkhdr_89_io_clk), + .io_en(rvclkhdr_89_io_en), + .io_scan_mode(rvclkhdr_89_io_scan_mode) + ); + rvclkhdr rvclkhdr_90 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_90_io_l1clk), + .io_clk(rvclkhdr_90_io_clk), + .io_en(rvclkhdr_90_io_en), + .io_scan_mode(rvclkhdr_90_io_scan_mode) + ); + rvclkhdr rvclkhdr_91 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_91_io_l1clk), + .io_clk(rvclkhdr_91_io_clk), + .io_en(rvclkhdr_91_io_en), + .io_scan_mode(rvclkhdr_91_io_scan_mode) + ); + rvclkhdr rvclkhdr_92 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_92_io_l1clk), + .io_clk(rvclkhdr_92_io_clk), + .io_en(rvclkhdr_92_io_en), + .io_scan_mode(rvclkhdr_92_io_scan_mode) + ); + rvclkhdr rvclkhdr_93 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_93_io_l1clk), + .io_clk(rvclkhdr_93_io_clk), + .io_en(rvclkhdr_93_io_en), + .io_scan_mode(rvclkhdr_93_io_scan_mode) + ); + rvclkhdr rvclkhdr_94 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_94_io_l1clk), + .io_clk(rvclkhdr_94_io_clk), + .io_en(rvclkhdr_94_io_en), + .io_scan_mode(rvclkhdr_94_io_scan_mode) + ); + rvclkhdr rvclkhdr_95 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_95_io_l1clk), + .io_clk(rvclkhdr_95_io_clk), + .io_en(rvclkhdr_95_io_en), + .io_scan_mode(rvclkhdr_95_io_scan_mode) + ); + rvclkhdr rvclkhdr_96 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_96_io_l1clk), + .io_clk(rvclkhdr_96_io_clk), + .io_en(rvclkhdr_96_io_en), + .io_scan_mode(rvclkhdr_96_io_scan_mode) + ); + rvclkhdr rvclkhdr_97 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_97_io_l1clk), + .io_clk(rvclkhdr_97_io_clk), + .io_en(rvclkhdr_97_io_en), + .io_scan_mode(rvclkhdr_97_io_scan_mode) + ); + rvclkhdr rvclkhdr_98 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_98_io_l1clk), + .io_clk(rvclkhdr_98_io_clk), + .io_en(rvclkhdr_98_io_en), + .io_scan_mode(rvclkhdr_98_io_scan_mode) + ); + rvclkhdr rvclkhdr_99 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_99_io_l1clk), + .io_clk(rvclkhdr_99_io_clk), + .io_en(rvclkhdr_99_io_en), + .io_scan_mode(rvclkhdr_99_io_scan_mode) + ); + rvclkhdr rvclkhdr_100 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_100_io_l1clk), + .io_clk(rvclkhdr_100_io_clk), + .io_en(rvclkhdr_100_io_en), + .io_scan_mode(rvclkhdr_100_io_scan_mode) + ); + rvclkhdr rvclkhdr_101 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_101_io_l1clk), + .io_clk(rvclkhdr_101_io_clk), + .io_en(rvclkhdr_101_io_en), + .io_scan_mode(rvclkhdr_101_io_scan_mode) + ); + rvclkhdr rvclkhdr_102 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_102_io_l1clk), + .io_clk(rvclkhdr_102_io_clk), + .io_en(rvclkhdr_102_io_en), + .io_scan_mode(rvclkhdr_102_io_scan_mode) + ); + rvclkhdr rvclkhdr_103 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_103_io_l1clk), + .io_clk(rvclkhdr_103_io_clk), + .io_en(rvclkhdr_103_io_en), + .io_scan_mode(rvclkhdr_103_io_scan_mode) + ); + rvclkhdr rvclkhdr_104 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_104_io_l1clk), + .io_clk(rvclkhdr_104_io_clk), + .io_en(rvclkhdr_104_io_en), + .io_scan_mode(rvclkhdr_104_io_scan_mode) + ); + rvclkhdr rvclkhdr_105 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_105_io_l1clk), + .io_clk(rvclkhdr_105_io_clk), + .io_en(rvclkhdr_105_io_en), + .io_scan_mode(rvclkhdr_105_io_scan_mode) + ); + rvclkhdr rvclkhdr_106 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_106_io_l1clk), + .io_clk(rvclkhdr_106_io_clk), + .io_en(rvclkhdr_106_io_en), + .io_scan_mode(rvclkhdr_106_io_scan_mode) + ); + rvclkhdr rvclkhdr_107 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_107_io_l1clk), + .io_clk(rvclkhdr_107_io_clk), + .io_en(rvclkhdr_107_io_en), + .io_scan_mode(rvclkhdr_107_io_scan_mode) + ); + rvclkhdr rvclkhdr_108 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_108_io_l1clk), + .io_clk(rvclkhdr_108_io_clk), + .io_en(rvclkhdr_108_io_en), + .io_scan_mode(rvclkhdr_108_io_scan_mode) + ); + rvclkhdr rvclkhdr_109 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_109_io_l1clk), + .io_clk(rvclkhdr_109_io_clk), + .io_en(rvclkhdr_109_io_en), + .io_scan_mode(rvclkhdr_109_io_scan_mode) + ); + rvclkhdr rvclkhdr_110 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_110_io_l1clk), + .io_clk(rvclkhdr_110_io_clk), + .io_en(rvclkhdr_110_io_en), + .io_scan_mode(rvclkhdr_110_io_scan_mode) + ); + rvclkhdr rvclkhdr_111 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_111_io_l1clk), + .io_clk(rvclkhdr_111_io_clk), + .io_en(rvclkhdr_111_io_en), + .io_scan_mode(rvclkhdr_111_io_scan_mode) + ); + rvclkhdr rvclkhdr_112 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_112_io_l1clk), + .io_clk(rvclkhdr_112_io_clk), + .io_en(rvclkhdr_112_io_en), + .io_scan_mode(rvclkhdr_112_io_scan_mode) + ); + rvclkhdr rvclkhdr_113 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_113_io_l1clk), + .io_clk(rvclkhdr_113_io_clk), + .io_en(rvclkhdr_113_io_en), + .io_scan_mode(rvclkhdr_113_io_scan_mode) + ); + rvclkhdr rvclkhdr_114 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_114_io_l1clk), + .io_clk(rvclkhdr_114_io_clk), + .io_en(rvclkhdr_114_io_en), + .io_scan_mode(rvclkhdr_114_io_scan_mode) + ); + rvclkhdr rvclkhdr_115 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_115_io_l1clk), + .io_clk(rvclkhdr_115_io_clk), + .io_en(rvclkhdr_115_io_en), + .io_scan_mode(rvclkhdr_115_io_scan_mode) + ); + rvclkhdr rvclkhdr_116 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_116_io_l1clk), + .io_clk(rvclkhdr_116_io_clk), + .io_en(rvclkhdr_116_io_en), + .io_scan_mode(rvclkhdr_116_io_scan_mode) + ); + rvclkhdr rvclkhdr_117 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_117_io_l1clk), + .io_clk(rvclkhdr_117_io_clk), + .io_en(rvclkhdr_117_io_en), + .io_scan_mode(rvclkhdr_117_io_scan_mode) + ); + rvclkhdr rvclkhdr_118 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_118_io_l1clk), + .io_clk(rvclkhdr_118_io_clk), + .io_en(rvclkhdr_118_io_en), + .io_scan_mode(rvclkhdr_118_io_scan_mode) + ); + rvclkhdr rvclkhdr_119 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_119_io_l1clk), + .io_clk(rvclkhdr_119_io_clk), + .io_en(rvclkhdr_119_io_en), + .io_scan_mode(rvclkhdr_119_io_scan_mode) + ); + rvclkhdr rvclkhdr_120 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_120_io_l1clk), + .io_clk(rvclkhdr_120_io_clk), + .io_en(rvclkhdr_120_io_en), + .io_scan_mode(rvclkhdr_120_io_scan_mode) + ); + rvclkhdr rvclkhdr_121 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_121_io_l1clk), + .io_clk(rvclkhdr_121_io_clk), + .io_en(rvclkhdr_121_io_en), + .io_scan_mode(rvclkhdr_121_io_scan_mode) + ); + rvclkhdr rvclkhdr_122 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_122_io_l1clk), + .io_clk(rvclkhdr_122_io_clk), + .io_en(rvclkhdr_122_io_en), + .io_scan_mode(rvclkhdr_122_io_scan_mode) + ); + rvclkhdr rvclkhdr_123 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_123_io_l1clk), + .io_clk(rvclkhdr_123_io_clk), + .io_en(rvclkhdr_123_io_en), + .io_scan_mode(rvclkhdr_123_io_scan_mode) + ); + rvclkhdr rvclkhdr_124 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_124_io_l1clk), + .io_clk(rvclkhdr_124_io_clk), + .io_en(rvclkhdr_124_io_en), + .io_scan_mode(rvclkhdr_124_io_scan_mode) + ); + rvclkhdr rvclkhdr_125 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_125_io_l1clk), + .io_clk(rvclkhdr_125_io_clk), + .io_en(rvclkhdr_125_io_en), + .io_scan_mode(rvclkhdr_125_io_scan_mode) + ); + rvclkhdr rvclkhdr_126 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_126_io_l1clk), + .io_clk(rvclkhdr_126_io_clk), + .io_en(rvclkhdr_126_io_en), + .io_scan_mode(rvclkhdr_126_io_scan_mode) + ); + rvclkhdr rvclkhdr_127 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_127_io_l1clk), + .io_clk(rvclkhdr_127_io_clk), + .io_en(rvclkhdr_127_io_en), + .io_scan_mode(rvclkhdr_127_io_scan_mode) + ); + rvclkhdr rvclkhdr_128 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_128_io_l1clk), + .io_clk(rvclkhdr_128_io_clk), + .io_en(rvclkhdr_128_io_en), + .io_scan_mode(rvclkhdr_128_io_scan_mode) + ); + rvclkhdr rvclkhdr_129 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_129_io_l1clk), + .io_clk(rvclkhdr_129_io_clk), + .io_en(rvclkhdr_129_io_en), + .io_scan_mode(rvclkhdr_129_io_scan_mode) + ); + rvclkhdr rvclkhdr_130 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_130_io_l1clk), + .io_clk(rvclkhdr_130_io_clk), + .io_en(rvclkhdr_130_io_en), + .io_scan_mode(rvclkhdr_130_io_scan_mode) + ); + rvclkhdr rvclkhdr_131 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_131_io_l1clk), + .io_clk(rvclkhdr_131_io_clk), + .io_en(rvclkhdr_131_io_en), + .io_scan_mode(rvclkhdr_131_io_scan_mode) + ); + rvclkhdr rvclkhdr_132 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_132_io_l1clk), + .io_clk(rvclkhdr_132_io_clk), + .io_en(rvclkhdr_132_io_en), + .io_scan_mode(rvclkhdr_132_io_scan_mode) + ); + rvclkhdr rvclkhdr_133 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_133_io_l1clk), + .io_clk(rvclkhdr_133_io_clk), + .io_en(rvclkhdr_133_io_en), + .io_scan_mode(rvclkhdr_133_io_scan_mode) + ); + rvclkhdr rvclkhdr_134 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_134_io_l1clk), + .io_clk(rvclkhdr_134_io_clk), + .io_en(rvclkhdr_134_io_en), + .io_scan_mode(rvclkhdr_134_io_scan_mode) + ); + rvclkhdr rvclkhdr_135 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_135_io_l1clk), + .io_clk(rvclkhdr_135_io_clk), + .io_en(rvclkhdr_135_io_en), + .io_scan_mode(rvclkhdr_135_io_scan_mode) + ); + rvclkhdr rvclkhdr_136 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_136_io_l1clk), + .io_clk(rvclkhdr_136_io_clk), + .io_en(rvclkhdr_136_io_en), + .io_scan_mode(rvclkhdr_136_io_scan_mode) + ); + rvclkhdr rvclkhdr_137 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_137_io_l1clk), + .io_clk(rvclkhdr_137_io_clk), + .io_en(rvclkhdr_137_io_en), + .io_scan_mode(rvclkhdr_137_io_scan_mode) + ); + rvclkhdr rvclkhdr_138 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_138_io_l1clk), + .io_clk(rvclkhdr_138_io_clk), + .io_en(rvclkhdr_138_io_en), + .io_scan_mode(rvclkhdr_138_io_scan_mode) + ); + rvclkhdr rvclkhdr_139 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_139_io_l1clk), + .io_clk(rvclkhdr_139_io_clk), + .io_en(rvclkhdr_139_io_en), + .io_scan_mode(rvclkhdr_139_io_scan_mode) + ); + rvclkhdr rvclkhdr_140 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_140_io_l1clk), + .io_clk(rvclkhdr_140_io_clk), + .io_en(rvclkhdr_140_io_en), + .io_scan_mode(rvclkhdr_140_io_scan_mode) + ); + rvclkhdr rvclkhdr_141 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_141_io_l1clk), + .io_clk(rvclkhdr_141_io_clk), + .io_en(rvclkhdr_141_io_en), + .io_scan_mode(rvclkhdr_141_io_scan_mode) + ); + rvclkhdr rvclkhdr_142 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_142_io_l1clk), + .io_clk(rvclkhdr_142_io_clk), + .io_en(rvclkhdr_142_io_en), + .io_scan_mode(rvclkhdr_142_io_scan_mode) + ); + rvclkhdr rvclkhdr_143 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_143_io_l1clk), + .io_clk(rvclkhdr_143_io_clk), + .io_en(rvclkhdr_143_io_en), + .io_scan_mode(rvclkhdr_143_io_scan_mode) + ); + rvclkhdr rvclkhdr_144 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_144_io_l1clk), + .io_clk(rvclkhdr_144_io_clk), + .io_en(rvclkhdr_144_io_en), + .io_scan_mode(rvclkhdr_144_io_scan_mode) + ); + rvclkhdr rvclkhdr_145 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_145_io_l1clk), + .io_clk(rvclkhdr_145_io_clk), + .io_en(rvclkhdr_145_io_en), + .io_scan_mode(rvclkhdr_145_io_scan_mode) + ); + rvclkhdr rvclkhdr_146 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_146_io_l1clk), + .io_clk(rvclkhdr_146_io_clk), + .io_en(rvclkhdr_146_io_en), + .io_scan_mode(rvclkhdr_146_io_scan_mode) + ); + rvclkhdr rvclkhdr_147 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_147_io_l1clk), + .io_clk(rvclkhdr_147_io_clk), + .io_en(rvclkhdr_147_io_en), + .io_scan_mode(rvclkhdr_147_io_scan_mode) + ); + rvclkhdr rvclkhdr_148 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_148_io_l1clk), + .io_clk(rvclkhdr_148_io_clk), + .io_en(rvclkhdr_148_io_en), + .io_scan_mode(rvclkhdr_148_io_scan_mode) + ); + rvclkhdr rvclkhdr_149 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_149_io_l1clk), + .io_clk(rvclkhdr_149_io_clk), + .io_en(rvclkhdr_149_io_en), + .io_scan_mode(rvclkhdr_149_io_scan_mode) + ); + rvclkhdr rvclkhdr_150 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_150_io_l1clk), + .io_clk(rvclkhdr_150_io_clk), + .io_en(rvclkhdr_150_io_en), + .io_scan_mode(rvclkhdr_150_io_scan_mode) + ); + rvclkhdr rvclkhdr_151 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_151_io_l1clk), + .io_clk(rvclkhdr_151_io_clk), + .io_en(rvclkhdr_151_io_en), + .io_scan_mode(rvclkhdr_151_io_scan_mode) + ); + rvclkhdr rvclkhdr_152 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_152_io_l1clk), + .io_clk(rvclkhdr_152_io_clk), + .io_en(rvclkhdr_152_io_en), + .io_scan_mode(rvclkhdr_152_io_scan_mode) + ); + rvclkhdr rvclkhdr_153 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_153_io_l1clk), + .io_clk(rvclkhdr_153_io_clk), + .io_en(rvclkhdr_153_io_en), + .io_scan_mode(rvclkhdr_153_io_scan_mode) + ); + rvclkhdr rvclkhdr_154 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_154_io_l1clk), + .io_clk(rvclkhdr_154_io_clk), + .io_en(rvclkhdr_154_io_en), + .io_scan_mode(rvclkhdr_154_io_scan_mode) + ); + rvclkhdr rvclkhdr_155 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_155_io_l1clk), + .io_clk(rvclkhdr_155_io_clk), + .io_en(rvclkhdr_155_io_en), + .io_scan_mode(rvclkhdr_155_io_scan_mode) + ); + rvclkhdr rvclkhdr_156 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_156_io_l1clk), + .io_clk(rvclkhdr_156_io_clk), + .io_en(rvclkhdr_156_io_en), + .io_scan_mode(rvclkhdr_156_io_scan_mode) + ); + rvclkhdr rvclkhdr_157 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_157_io_l1clk), + .io_clk(rvclkhdr_157_io_clk), + .io_en(rvclkhdr_157_io_en), + .io_scan_mode(rvclkhdr_157_io_scan_mode) + ); + rvclkhdr rvclkhdr_158 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_158_io_l1clk), + .io_clk(rvclkhdr_158_io_clk), + .io_en(rvclkhdr_158_io_en), + .io_scan_mode(rvclkhdr_158_io_scan_mode) + ); + rvclkhdr rvclkhdr_159 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_159_io_l1clk), + .io_clk(rvclkhdr_159_io_clk), + .io_en(rvclkhdr_159_io_en), + .io_scan_mode(rvclkhdr_159_io_scan_mode) + ); + rvclkhdr rvclkhdr_160 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_160_io_l1clk), + .io_clk(rvclkhdr_160_io_clk), + .io_en(rvclkhdr_160_io_en), + .io_scan_mode(rvclkhdr_160_io_scan_mode) + ); + rvclkhdr rvclkhdr_161 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_161_io_l1clk), + .io_clk(rvclkhdr_161_io_clk), + .io_en(rvclkhdr_161_io_en), + .io_scan_mode(rvclkhdr_161_io_scan_mode) + ); + rvclkhdr rvclkhdr_162 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_162_io_l1clk), + .io_clk(rvclkhdr_162_io_clk), + .io_en(rvclkhdr_162_io_en), + .io_scan_mode(rvclkhdr_162_io_scan_mode) + ); + rvclkhdr rvclkhdr_163 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_163_io_l1clk), + .io_clk(rvclkhdr_163_io_clk), + .io_en(rvclkhdr_163_io_en), + .io_scan_mode(rvclkhdr_163_io_scan_mode) + ); + rvclkhdr rvclkhdr_164 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_164_io_l1clk), + .io_clk(rvclkhdr_164_io_clk), + .io_en(rvclkhdr_164_io_en), + .io_scan_mode(rvclkhdr_164_io_scan_mode) + ); + rvclkhdr rvclkhdr_165 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_165_io_l1clk), + .io_clk(rvclkhdr_165_io_clk), + .io_en(rvclkhdr_165_io_en), + .io_scan_mode(rvclkhdr_165_io_scan_mode) + ); + rvclkhdr rvclkhdr_166 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_166_io_l1clk), + .io_clk(rvclkhdr_166_io_clk), + .io_en(rvclkhdr_166_io_en), + .io_scan_mode(rvclkhdr_166_io_scan_mode) + ); + rvclkhdr rvclkhdr_167 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_167_io_l1clk), + .io_clk(rvclkhdr_167_io_clk), + .io_en(rvclkhdr_167_io_en), + .io_scan_mode(rvclkhdr_167_io_scan_mode) + ); + rvclkhdr rvclkhdr_168 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_168_io_l1clk), + .io_clk(rvclkhdr_168_io_clk), + .io_en(rvclkhdr_168_io_en), + .io_scan_mode(rvclkhdr_168_io_scan_mode) + ); + rvclkhdr rvclkhdr_169 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_169_io_l1clk), + .io_clk(rvclkhdr_169_io_clk), + .io_en(rvclkhdr_169_io_en), + .io_scan_mode(rvclkhdr_169_io_scan_mode) + ); + rvclkhdr rvclkhdr_170 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_170_io_l1clk), + .io_clk(rvclkhdr_170_io_clk), + .io_en(rvclkhdr_170_io_en), + .io_scan_mode(rvclkhdr_170_io_scan_mode) + ); + rvclkhdr rvclkhdr_171 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_171_io_l1clk), + .io_clk(rvclkhdr_171_io_clk), + .io_en(rvclkhdr_171_io_en), + .io_scan_mode(rvclkhdr_171_io_scan_mode) + ); + rvclkhdr rvclkhdr_172 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_172_io_l1clk), + .io_clk(rvclkhdr_172_io_clk), + .io_en(rvclkhdr_172_io_en), + .io_scan_mode(rvclkhdr_172_io_scan_mode) + ); + rvclkhdr rvclkhdr_173 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_173_io_l1clk), + .io_clk(rvclkhdr_173_io_clk), + .io_en(rvclkhdr_173_io_en), + .io_scan_mode(rvclkhdr_173_io_scan_mode) + ); + rvclkhdr rvclkhdr_174 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_174_io_l1clk), + .io_clk(rvclkhdr_174_io_clk), + .io_en(rvclkhdr_174_io_en), + .io_scan_mode(rvclkhdr_174_io_scan_mode) + ); + rvclkhdr rvclkhdr_175 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_175_io_l1clk), + .io_clk(rvclkhdr_175_io_clk), + .io_en(rvclkhdr_175_io_en), + .io_scan_mode(rvclkhdr_175_io_scan_mode) + ); + rvclkhdr rvclkhdr_176 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_176_io_l1clk), + .io_clk(rvclkhdr_176_io_clk), + .io_en(rvclkhdr_176_io_en), + .io_scan_mode(rvclkhdr_176_io_scan_mode) + ); + rvclkhdr rvclkhdr_177 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_177_io_l1clk), + .io_clk(rvclkhdr_177_io_clk), + .io_en(rvclkhdr_177_io_en), + .io_scan_mode(rvclkhdr_177_io_scan_mode) + ); + rvclkhdr rvclkhdr_178 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_178_io_l1clk), + .io_clk(rvclkhdr_178_io_clk), + .io_en(rvclkhdr_178_io_en), + .io_scan_mode(rvclkhdr_178_io_scan_mode) + ); + rvclkhdr rvclkhdr_179 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_179_io_l1clk), + .io_clk(rvclkhdr_179_io_clk), + .io_en(rvclkhdr_179_io_en), + .io_scan_mode(rvclkhdr_179_io_scan_mode) + ); + rvclkhdr rvclkhdr_180 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_180_io_l1clk), + .io_clk(rvclkhdr_180_io_clk), + .io_en(rvclkhdr_180_io_en), + .io_scan_mode(rvclkhdr_180_io_scan_mode) + ); + rvclkhdr rvclkhdr_181 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_181_io_l1clk), + .io_clk(rvclkhdr_181_io_clk), + .io_en(rvclkhdr_181_io_en), + .io_scan_mode(rvclkhdr_181_io_scan_mode) + ); + rvclkhdr rvclkhdr_182 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_182_io_l1clk), + .io_clk(rvclkhdr_182_io_clk), + .io_en(rvclkhdr_182_io_en), + .io_scan_mode(rvclkhdr_182_io_scan_mode) + ); + rvclkhdr rvclkhdr_183 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_183_io_l1clk), + .io_clk(rvclkhdr_183_io_clk), + .io_en(rvclkhdr_183_io_en), + .io_scan_mode(rvclkhdr_183_io_scan_mode) + ); + rvclkhdr rvclkhdr_184 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_184_io_l1clk), + .io_clk(rvclkhdr_184_io_clk), + .io_en(rvclkhdr_184_io_en), + .io_scan_mode(rvclkhdr_184_io_scan_mode) + ); + rvclkhdr rvclkhdr_185 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_185_io_l1clk), + .io_clk(rvclkhdr_185_io_clk), + .io_en(rvclkhdr_185_io_en), + .io_scan_mode(rvclkhdr_185_io_scan_mode) + ); + rvclkhdr rvclkhdr_186 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_186_io_l1clk), + .io_clk(rvclkhdr_186_io_clk), + .io_en(rvclkhdr_186_io_en), + .io_scan_mode(rvclkhdr_186_io_scan_mode) + ); + rvclkhdr rvclkhdr_187 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_187_io_l1clk), + .io_clk(rvclkhdr_187_io_clk), + .io_en(rvclkhdr_187_io_en), + .io_scan_mode(rvclkhdr_187_io_scan_mode) + ); + rvclkhdr rvclkhdr_188 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_188_io_l1clk), + .io_clk(rvclkhdr_188_io_clk), + .io_en(rvclkhdr_188_io_en), + .io_scan_mode(rvclkhdr_188_io_scan_mode) + ); + rvclkhdr rvclkhdr_189 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_189_io_l1clk), + .io_clk(rvclkhdr_189_io_clk), + .io_en(rvclkhdr_189_io_en), + .io_scan_mode(rvclkhdr_189_io_scan_mode) + ); + rvclkhdr rvclkhdr_190 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_190_io_l1clk), + .io_clk(rvclkhdr_190_io_clk), + .io_en(rvclkhdr_190_io_en), + .io_scan_mode(rvclkhdr_190_io_scan_mode) + ); + rvclkhdr rvclkhdr_191 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_191_io_l1clk), + .io_clk(rvclkhdr_191_io_clk), + .io_en(rvclkhdr_191_io_en), + .io_scan_mode(rvclkhdr_191_io_scan_mode) + ); + rvclkhdr rvclkhdr_192 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_192_io_l1clk), + .io_clk(rvclkhdr_192_io_clk), + .io_en(rvclkhdr_192_io_en), + .io_scan_mode(rvclkhdr_192_io_scan_mode) + ); + rvclkhdr rvclkhdr_193 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_193_io_l1clk), + .io_clk(rvclkhdr_193_io_clk), + .io_en(rvclkhdr_193_io_en), + .io_scan_mode(rvclkhdr_193_io_scan_mode) + ); + rvclkhdr rvclkhdr_194 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_194_io_l1clk), + .io_clk(rvclkhdr_194_io_clk), + .io_en(rvclkhdr_194_io_en), + .io_scan_mode(rvclkhdr_194_io_scan_mode) + ); + rvclkhdr rvclkhdr_195 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_195_io_l1clk), + .io_clk(rvclkhdr_195_io_clk), + .io_en(rvclkhdr_195_io_en), + .io_scan_mode(rvclkhdr_195_io_scan_mode) + ); + rvclkhdr rvclkhdr_196 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_196_io_l1clk), + .io_clk(rvclkhdr_196_io_clk), + .io_en(rvclkhdr_196_io_en), + .io_scan_mode(rvclkhdr_196_io_scan_mode) + ); + rvclkhdr rvclkhdr_197 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_197_io_l1clk), + .io_clk(rvclkhdr_197_io_clk), + .io_en(rvclkhdr_197_io_en), + .io_scan_mode(rvclkhdr_197_io_scan_mode) + ); + rvclkhdr rvclkhdr_198 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_198_io_l1clk), + .io_clk(rvclkhdr_198_io_clk), + .io_en(rvclkhdr_198_io_en), + .io_scan_mode(rvclkhdr_198_io_scan_mode) + ); + rvclkhdr rvclkhdr_199 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_199_io_l1clk), + .io_clk(rvclkhdr_199_io_clk), + .io_en(rvclkhdr_199_io_en), + .io_scan_mode(rvclkhdr_199_io_scan_mode) + ); + rvclkhdr rvclkhdr_200 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_200_io_l1clk), + .io_clk(rvclkhdr_200_io_clk), + .io_en(rvclkhdr_200_io_en), + .io_scan_mode(rvclkhdr_200_io_scan_mode) + ); + rvclkhdr rvclkhdr_201 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_201_io_l1clk), + .io_clk(rvclkhdr_201_io_clk), + .io_en(rvclkhdr_201_io_en), + .io_scan_mode(rvclkhdr_201_io_scan_mode) + ); + rvclkhdr rvclkhdr_202 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_202_io_l1clk), + .io_clk(rvclkhdr_202_io_clk), + .io_en(rvclkhdr_202_io_en), + .io_scan_mode(rvclkhdr_202_io_scan_mode) + ); + rvclkhdr rvclkhdr_203 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_203_io_l1clk), + .io_clk(rvclkhdr_203_io_clk), + .io_en(rvclkhdr_203_io_en), + .io_scan_mode(rvclkhdr_203_io_scan_mode) + ); + rvclkhdr rvclkhdr_204 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_204_io_l1clk), + .io_clk(rvclkhdr_204_io_clk), + .io_en(rvclkhdr_204_io_en), + .io_scan_mode(rvclkhdr_204_io_scan_mode) + ); + rvclkhdr rvclkhdr_205 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_205_io_l1clk), + .io_clk(rvclkhdr_205_io_clk), + .io_en(rvclkhdr_205_io_en), + .io_scan_mode(rvclkhdr_205_io_scan_mode) + ); + rvclkhdr rvclkhdr_206 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_206_io_l1clk), + .io_clk(rvclkhdr_206_io_clk), + .io_en(rvclkhdr_206_io_en), + .io_scan_mode(rvclkhdr_206_io_scan_mode) + ); + rvclkhdr rvclkhdr_207 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_207_io_l1clk), + .io_clk(rvclkhdr_207_io_clk), + .io_en(rvclkhdr_207_io_en), + .io_scan_mode(rvclkhdr_207_io_scan_mode) + ); + rvclkhdr rvclkhdr_208 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_208_io_l1clk), + .io_clk(rvclkhdr_208_io_clk), + .io_en(rvclkhdr_208_io_en), + .io_scan_mode(rvclkhdr_208_io_scan_mode) + ); + rvclkhdr rvclkhdr_209 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_209_io_l1clk), + .io_clk(rvclkhdr_209_io_clk), + .io_en(rvclkhdr_209_io_en), + .io_scan_mode(rvclkhdr_209_io_scan_mode) + ); + rvclkhdr rvclkhdr_210 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_210_io_l1clk), + .io_clk(rvclkhdr_210_io_clk), + .io_en(rvclkhdr_210_io_en), + .io_scan_mode(rvclkhdr_210_io_scan_mode) + ); + rvclkhdr rvclkhdr_211 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_211_io_l1clk), + .io_clk(rvclkhdr_211_io_clk), + .io_en(rvclkhdr_211_io_en), + .io_scan_mode(rvclkhdr_211_io_scan_mode) + ); + rvclkhdr rvclkhdr_212 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_212_io_l1clk), + .io_clk(rvclkhdr_212_io_clk), + .io_en(rvclkhdr_212_io_en), + .io_scan_mode(rvclkhdr_212_io_scan_mode) + ); + rvclkhdr rvclkhdr_213 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_213_io_l1clk), + .io_clk(rvclkhdr_213_io_clk), + .io_en(rvclkhdr_213_io_en), + .io_scan_mode(rvclkhdr_213_io_scan_mode) + ); + rvclkhdr rvclkhdr_214 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_214_io_l1clk), + .io_clk(rvclkhdr_214_io_clk), + .io_en(rvclkhdr_214_io_en), + .io_scan_mode(rvclkhdr_214_io_scan_mode) + ); + rvclkhdr rvclkhdr_215 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_215_io_l1clk), + .io_clk(rvclkhdr_215_io_clk), + .io_en(rvclkhdr_215_io_en), + .io_scan_mode(rvclkhdr_215_io_scan_mode) + ); + rvclkhdr rvclkhdr_216 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_216_io_l1clk), + .io_clk(rvclkhdr_216_io_clk), + .io_en(rvclkhdr_216_io_en), + .io_scan_mode(rvclkhdr_216_io_scan_mode) + ); + rvclkhdr rvclkhdr_217 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_217_io_l1clk), + .io_clk(rvclkhdr_217_io_clk), + .io_en(rvclkhdr_217_io_en), + .io_scan_mode(rvclkhdr_217_io_scan_mode) + ); + rvclkhdr rvclkhdr_218 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_218_io_l1clk), + .io_clk(rvclkhdr_218_io_clk), + .io_en(rvclkhdr_218_io_en), + .io_scan_mode(rvclkhdr_218_io_scan_mode) + ); + rvclkhdr rvclkhdr_219 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_219_io_l1clk), + .io_clk(rvclkhdr_219_io_clk), + .io_en(rvclkhdr_219_io_en), + .io_scan_mode(rvclkhdr_219_io_scan_mode) + ); + rvclkhdr rvclkhdr_220 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_220_io_l1clk), + .io_clk(rvclkhdr_220_io_clk), + .io_en(rvclkhdr_220_io_en), + .io_scan_mode(rvclkhdr_220_io_scan_mode) + ); + rvclkhdr rvclkhdr_221 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_221_io_l1clk), + .io_clk(rvclkhdr_221_io_clk), + .io_en(rvclkhdr_221_io_en), + .io_scan_mode(rvclkhdr_221_io_scan_mode) + ); + rvclkhdr rvclkhdr_222 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_222_io_l1clk), + .io_clk(rvclkhdr_222_io_clk), + .io_en(rvclkhdr_222_io_en), + .io_scan_mode(rvclkhdr_222_io_scan_mode) + ); + rvclkhdr rvclkhdr_223 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_223_io_l1clk), + .io_clk(rvclkhdr_223_io_clk), + .io_en(rvclkhdr_223_io_en), + .io_scan_mode(rvclkhdr_223_io_scan_mode) + ); + rvclkhdr rvclkhdr_224 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_224_io_l1clk), + .io_clk(rvclkhdr_224_io_clk), + .io_en(rvclkhdr_224_io_en), + .io_scan_mode(rvclkhdr_224_io_scan_mode) + ); + rvclkhdr rvclkhdr_225 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_225_io_l1clk), + .io_clk(rvclkhdr_225_io_clk), + .io_en(rvclkhdr_225_io_en), + .io_scan_mode(rvclkhdr_225_io_scan_mode) + ); + rvclkhdr rvclkhdr_226 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_226_io_l1clk), + .io_clk(rvclkhdr_226_io_clk), + .io_en(rvclkhdr_226_io_en), + .io_scan_mode(rvclkhdr_226_io_scan_mode) + ); + rvclkhdr rvclkhdr_227 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_227_io_l1clk), + .io_clk(rvclkhdr_227_io_clk), + .io_en(rvclkhdr_227_io_en), + .io_scan_mode(rvclkhdr_227_io_scan_mode) + ); + rvclkhdr rvclkhdr_228 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_228_io_l1clk), + .io_clk(rvclkhdr_228_io_clk), + .io_en(rvclkhdr_228_io_en), + .io_scan_mode(rvclkhdr_228_io_scan_mode) + ); + rvclkhdr rvclkhdr_229 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_229_io_l1clk), + .io_clk(rvclkhdr_229_io_clk), + .io_en(rvclkhdr_229_io_en), + .io_scan_mode(rvclkhdr_229_io_scan_mode) + ); + rvclkhdr rvclkhdr_230 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_230_io_l1clk), + .io_clk(rvclkhdr_230_io_clk), + .io_en(rvclkhdr_230_io_en), + .io_scan_mode(rvclkhdr_230_io_scan_mode) + ); + rvclkhdr rvclkhdr_231 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_231_io_l1clk), + .io_clk(rvclkhdr_231_io_clk), + .io_en(rvclkhdr_231_io_en), + .io_scan_mode(rvclkhdr_231_io_scan_mode) + ); + rvclkhdr rvclkhdr_232 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_232_io_l1clk), + .io_clk(rvclkhdr_232_io_clk), + .io_en(rvclkhdr_232_io_en), + .io_scan_mode(rvclkhdr_232_io_scan_mode) + ); + rvclkhdr rvclkhdr_233 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_233_io_l1clk), + .io_clk(rvclkhdr_233_io_clk), + .io_en(rvclkhdr_233_io_en), + .io_scan_mode(rvclkhdr_233_io_scan_mode) + ); + rvclkhdr rvclkhdr_234 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_234_io_l1clk), + .io_clk(rvclkhdr_234_io_clk), + .io_en(rvclkhdr_234_io_en), + .io_scan_mode(rvclkhdr_234_io_scan_mode) + ); + rvclkhdr rvclkhdr_235 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_235_io_l1clk), + .io_clk(rvclkhdr_235_io_clk), + .io_en(rvclkhdr_235_io_en), + .io_scan_mode(rvclkhdr_235_io_scan_mode) + ); + rvclkhdr rvclkhdr_236 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_236_io_l1clk), + .io_clk(rvclkhdr_236_io_clk), + .io_en(rvclkhdr_236_io_en), + .io_scan_mode(rvclkhdr_236_io_scan_mode) + ); + rvclkhdr rvclkhdr_237 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_237_io_l1clk), + .io_clk(rvclkhdr_237_io_clk), + .io_en(rvclkhdr_237_io_en), + .io_scan_mode(rvclkhdr_237_io_scan_mode) + ); + rvclkhdr rvclkhdr_238 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_238_io_l1clk), + .io_clk(rvclkhdr_238_io_clk), + .io_en(rvclkhdr_238_io_en), + .io_scan_mode(rvclkhdr_238_io_scan_mode) + ); + rvclkhdr rvclkhdr_239 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_239_io_l1clk), + .io_clk(rvclkhdr_239_io_clk), + .io_en(rvclkhdr_239_io_en), + .io_scan_mode(rvclkhdr_239_io_scan_mode) + ); + rvclkhdr rvclkhdr_240 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_240_io_l1clk), + .io_clk(rvclkhdr_240_io_clk), + .io_en(rvclkhdr_240_io_en), + .io_scan_mode(rvclkhdr_240_io_scan_mode) + ); + rvclkhdr rvclkhdr_241 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_241_io_l1clk), + .io_clk(rvclkhdr_241_io_clk), + .io_en(rvclkhdr_241_io_en), + .io_scan_mode(rvclkhdr_241_io_scan_mode) + ); + rvclkhdr rvclkhdr_242 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_242_io_l1clk), + .io_clk(rvclkhdr_242_io_clk), + .io_en(rvclkhdr_242_io_en), + .io_scan_mode(rvclkhdr_242_io_scan_mode) + ); + rvclkhdr rvclkhdr_243 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_243_io_l1clk), + .io_clk(rvclkhdr_243_io_clk), + .io_en(rvclkhdr_243_io_en), + .io_scan_mode(rvclkhdr_243_io_scan_mode) + ); + rvclkhdr rvclkhdr_244 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_244_io_l1clk), + .io_clk(rvclkhdr_244_io_clk), + .io_en(rvclkhdr_244_io_en), + .io_scan_mode(rvclkhdr_244_io_scan_mode) + ); + rvclkhdr rvclkhdr_245 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_245_io_l1clk), + .io_clk(rvclkhdr_245_io_clk), + .io_en(rvclkhdr_245_io_en), + .io_scan_mode(rvclkhdr_245_io_scan_mode) + ); + rvclkhdr rvclkhdr_246 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_246_io_l1clk), + .io_clk(rvclkhdr_246_io_clk), + .io_en(rvclkhdr_246_io_en), + .io_scan_mode(rvclkhdr_246_io_scan_mode) + ); + rvclkhdr rvclkhdr_247 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_247_io_l1clk), + .io_clk(rvclkhdr_247_io_clk), + .io_en(rvclkhdr_247_io_en), + .io_scan_mode(rvclkhdr_247_io_scan_mode) + ); + rvclkhdr rvclkhdr_248 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_248_io_l1clk), + .io_clk(rvclkhdr_248_io_clk), + .io_en(rvclkhdr_248_io_en), + .io_scan_mode(rvclkhdr_248_io_scan_mode) + ); + rvclkhdr rvclkhdr_249 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_249_io_l1clk), + .io_clk(rvclkhdr_249_io_clk), + .io_en(rvclkhdr_249_io_en), + .io_scan_mode(rvclkhdr_249_io_scan_mode) + ); + rvclkhdr rvclkhdr_250 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_250_io_l1clk), + .io_clk(rvclkhdr_250_io_clk), + .io_en(rvclkhdr_250_io_en), + .io_scan_mode(rvclkhdr_250_io_scan_mode) + ); + rvclkhdr rvclkhdr_251 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_251_io_l1clk), + .io_clk(rvclkhdr_251_io_clk), + .io_en(rvclkhdr_251_io_en), + .io_scan_mode(rvclkhdr_251_io_scan_mode) + ); + rvclkhdr rvclkhdr_252 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_252_io_l1clk), + .io_clk(rvclkhdr_252_io_clk), + .io_en(rvclkhdr_252_io_en), + .io_scan_mode(rvclkhdr_252_io_scan_mode) + ); + rvclkhdr rvclkhdr_253 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_253_io_l1clk), + .io_clk(rvclkhdr_253_io_clk), + .io_en(rvclkhdr_253_io_en), + .io_scan_mode(rvclkhdr_253_io_scan_mode) + ); + rvclkhdr rvclkhdr_254 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_254_io_l1clk), + .io_clk(rvclkhdr_254_io_clk), + .io_en(rvclkhdr_254_io_en), + .io_scan_mode(rvclkhdr_254_io_scan_mode) + ); + rvclkhdr rvclkhdr_255 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_255_io_l1clk), + .io_clk(rvclkhdr_255_io_clk), + .io_en(rvclkhdr_255_io_en), + .io_scan_mode(rvclkhdr_255_io_scan_mode) + ); + rvclkhdr rvclkhdr_256 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_256_io_l1clk), + .io_clk(rvclkhdr_256_io_clk), + .io_en(rvclkhdr_256_io_en), + .io_scan_mode(rvclkhdr_256_io_scan_mode) + ); + rvclkhdr rvclkhdr_257 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_257_io_l1clk), + .io_clk(rvclkhdr_257_io_clk), + .io_en(rvclkhdr_257_io_en), + .io_scan_mode(rvclkhdr_257_io_scan_mode) + ); + rvclkhdr rvclkhdr_258 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_258_io_l1clk), + .io_clk(rvclkhdr_258_io_clk), + .io_en(rvclkhdr_258_io_en), + .io_scan_mode(rvclkhdr_258_io_scan_mode) + ); + rvclkhdr rvclkhdr_259 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_259_io_l1clk), + .io_clk(rvclkhdr_259_io_clk), + .io_en(rvclkhdr_259_io_en), + .io_scan_mode(rvclkhdr_259_io_scan_mode) + ); + rvclkhdr rvclkhdr_260 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_260_io_l1clk), + .io_clk(rvclkhdr_260_io_clk), + .io_en(rvclkhdr_260_io_en), + .io_scan_mode(rvclkhdr_260_io_scan_mode) + ); + rvclkhdr rvclkhdr_261 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_261_io_l1clk), + .io_clk(rvclkhdr_261_io_clk), + .io_en(rvclkhdr_261_io_en), + .io_scan_mode(rvclkhdr_261_io_scan_mode) + ); + rvclkhdr rvclkhdr_262 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_262_io_l1clk), + .io_clk(rvclkhdr_262_io_clk), + .io_en(rvclkhdr_262_io_en), + .io_scan_mode(rvclkhdr_262_io_scan_mode) + ); + rvclkhdr rvclkhdr_263 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_263_io_l1clk), + .io_clk(rvclkhdr_263_io_clk), + .io_en(rvclkhdr_263_io_en), + .io_scan_mode(rvclkhdr_263_io_scan_mode) + ); + rvclkhdr rvclkhdr_264 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_264_io_l1clk), + .io_clk(rvclkhdr_264_io_clk), + .io_en(rvclkhdr_264_io_en), + .io_scan_mode(rvclkhdr_264_io_scan_mode) + ); + rvclkhdr rvclkhdr_265 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_265_io_l1clk), + .io_clk(rvclkhdr_265_io_clk), + .io_en(rvclkhdr_265_io_en), + .io_scan_mode(rvclkhdr_265_io_scan_mode) + ); + rvclkhdr rvclkhdr_266 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_266_io_l1clk), + .io_clk(rvclkhdr_266_io_clk), + .io_en(rvclkhdr_266_io_en), + .io_scan_mode(rvclkhdr_266_io_scan_mode) + ); + rvclkhdr rvclkhdr_267 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_267_io_l1clk), + .io_clk(rvclkhdr_267_io_clk), + .io_en(rvclkhdr_267_io_en), + .io_scan_mode(rvclkhdr_267_io_scan_mode) + ); + rvclkhdr rvclkhdr_268 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_268_io_l1clk), + .io_clk(rvclkhdr_268_io_clk), + .io_en(rvclkhdr_268_io_en), + .io_scan_mode(rvclkhdr_268_io_scan_mode) + ); + rvclkhdr rvclkhdr_269 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_269_io_l1clk), + .io_clk(rvclkhdr_269_io_clk), + .io_en(rvclkhdr_269_io_en), + .io_scan_mode(rvclkhdr_269_io_scan_mode) + ); + rvclkhdr rvclkhdr_270 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_270_io_l1clk), + .io_clk(rvclkhdr_270_io_clk), + .io_en(rvclkhdr_270_io_en), + .io_scan_mode(rvclkhdr_270_io_scan_mode) + ); + rvclkhdr rvclkhdr_271 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_271_io_l1clk), + .io_clk(rvclkhdr_271_io_clk), + .io_en(rvclkhdr_271_io_en), + .io_scan_mode(rvclkhdr_271_io_scan_mode) + ); + rvclkhdr rvclkhdr_272 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_272_io_l1clk), + .io_clk(rvclkhdr_272_io_clk), + .io_en(rvclkhdr_272_io_en), + .io_scan_mode(rvclkhdr_272_io_scan_mode) + ); + rvclkhdr rvclkhdr_273 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_273_io_l1clk), + .io_clk(rvclkhdr_273_io_clk), + .io_en(rvclkhdr_273_io_en), + .io_scan_mode(rvclkhdr_273_io_scan_mode) + ); + rvclkhdr rvclkhdr_274 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_274_io_l1clk), + .io_clk(rvclkhdr_274_io_clk), + .io_en(rvclkhdr_274_io_en), + .io_scan_mode(rvclkhdr_274_io_scan_mode) + ); + rvclkhdr rvclkhdr_275 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_275_io_l1clk), + .io_clk(rvclkhdr_275_io_clk), + .io_en(rvclkhdr_275_io_en), + .io_scan_mode(rvclkhdr_275_io_scan_mode) + ); + rvclkhdr rvclkhdr_276 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_276_io_l1clk), + .io_clk(rvclkhdr_276_io_clk), + .io_en(rvclkhdr_276_io_en), + .io_scan_mode(rvclkhdr_276_io_scan_mode) + ); + rvclkhdr rvclkhdr_277 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_277_io_l1clk), + .io_clk(rvclkhdr_277_io_clk), + .io_en(rvclkhdr_277_io_en), + .io_scan_mode(rvclkhdr_277_io_scan_mode) + ); + rvclkhdr rvclkhdr_278 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_278_io_l1clk), + .io_clk(rvclkhdr_278_io_clk), + .io_en(rvclkhdr_278_io_en), + .io_scan_mode(rvclkhdr_278_io_scan_mode) + ); + rvclkhdr rvclkhdr_279 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_279_io_l1clk), + .io_clk(rvclkhdr_279_io_clk), + .io_en(rvclkhdr_279_io_en), + .io_scan_mode(rvclkhdr_279_io_scan_mode) + ); + rvclkhdr rvclkhdr_280 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_280_io_l1clk), + .io_clk(rvclkhdr_280_io_clk), + .io_en(rvclkhdr_280_io_en), + .io_scan_mode(rvclkhdr_280_io_scan_mode) + ); + rvclkhdr rvclkhdr_281 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_281_io_l1clk), + .io_clk(rvclkhdr_281_io_clk), + .io_en(rvclkhdr_281_io_en), + .io_scan_mode(rvclkhdr_281_io_scan_mode) + ); + rvclkhdr rvclkhdr_282 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_282_io_l1clk), + .io_clk(rvclkhdr_282_io_clk), + .io_en(rvclkhdr_282_io_en), + .io_scan_mode(rvclkhdr_282_io_scan_mode) + ); + rvclkhdr rvclkhdr_283 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_283_io_l1clk), + .io_clk(rvclkhdr_283_io_clk), + .io_en(rvclkhdr_283_io_en), + .io_scan_mode(rvclkhdr_283_io_scan_mode) + ); + rvclkhdr rvclkhdr_284 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_284_io_l1clk), + .io_clk(rvclkhdr_284_io_clk), + .io_en(rvclkhdr_284_io_en), + .io_scan_mode(rvclkhdr_284_io_scan_mode) + ); + rvclkhdr rvclkhdr_285 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_285_io_l1clk), + .io_clk(rvclkhdr_285_io_clk), + .io_en(rvclkhdr_285_io_en), + .io_scan_mode(rvclkhdr_285_io_scan_mode) + ); + rvclkhdr rvclkhdr_286 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_286_io_l1clk), + .io_clk(rvclkhdr_286_io_clk), + .io_en(rvclkhdr_286_io_en), + .io_scan_mode(rvclkhdr_286_io_scan_mode) + ); + rvclkhdr rvclkhdr_287 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_287_io_l1clk), + .io_clk(rvclkhdr_287_io_clk), + .io_en(rvclkhdr_287_io_en), + .io_scan_mode(rvclkhdr_287_io_scan_mode) + ); + rvclkhdr rvclkhdr_288 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_288_io_l1clk), + .io_clk(rvclkhdr_288_io_clk), + .io_en(rvclkhdr_288_io_en), + .io_scan_mode(rvclkhdr_288_io_scan_mode) + ); + rvclkhdr rvclkhdr_289 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_289_io_l1clk), + .io_clk(rvclkhdr_289_io_clk), + .io_en(rvclkhdr_289_io_en), + .io_scan_mode(rvclkhdr_289_io_scan_mode) + ); + rvclkhdr rvclkhdr_290 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_290_io_l1clk), + .io_clk(rvclkhdr_290_io_clk), + .io_en(rvclkhdr_290_io_en), + .io_scan_mode(rvclkhdr_290_io_scan_mode) + ); + rvclkhdr rvclkhdr_291 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_291_io_l1clk), + .io_clk(rvclkhdr_291_io_clk), + .io_en(rvclkhdr_291_io_en), + .io_scan_mode(rvclkhdr_291_io_scan_mode) + ); + rvclkhdr rvclkhdr_292 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_292_io_l1clk), + .io_clk(rvclkhdr_292_io_clk), + .io_en(rvclkhdr_292_io_en), + .io_scan_mode(rvclkhdr_292_io_scan_mode) + ); + rvclkhdr rvclkhdr_293 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_293_io_l1clk), + .io_clk(rvclkhdr_293_io_clk), + .io_en(rvclkhdr_293_io_en), + .io_scan_mode(rvclkhdr_293_io_scan_mode) + ); + rvclkhdr rvclkhdr_294 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_294_io_l1clk), + .io_clk(rvclkhdr_294_io_clk), + .io_en(rvclkhdr_294_io_en), + .io_scan_mode(rvclkhdr_294_io_scan_mode) + ); + rvclkhdr rvclkhdr_295 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_295_io_l1clk), + .io_clk(rvclkhdr_295_io_clk), + .io_en(rvclkhdr_295_io_en), + .io_scan_mode(rvclkhdr_295_io_scan_mode) + ); + rvclkhdr rvclkhdr_296 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_296_io_l1clk), + .io_clk(rvclkhdr_296_io_clk), + .io_en(rvclkhdr_296_io_en), + .io_scan_mode(rvclkhdr_296_io_scan_mode) + ); + rvclkhdr rvclkhdr_297 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_297_io_l1clk), + .io_clk(rvclkhdr_297_io_clk), + .io_en(rvclkhdr_297_io_en), + .io_scan_mode(rvclkhdr_297_io_scan_mode) + ); + rvclkhdr rvclkhdr_298 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_298_io_l1clk), + .io_clk(rvclkhdr_298_io_clk), + .io_en(rvclkhdr_298_io_en), + .io_scan_mode(rvclkhdr_298_io_scan_mode) + ); + rvclkhdr rvclkhdr_299 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_299_io_l1clk), + .io_clk(rvclkhdr_299_io_clk), + .io_en(rvclkhdr_299_io_en), + .io_scan_mode(rvclkhdr_299_io_scan_mode) + ); + rvclkhdr rvclkhdr_300 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_300_io_l1clk), + .io_clk(rvclkhdr_300_io_clk), + .io_en(rvclkhdr_300_io_en), + .io_scan_mode(rvclkhdr_300_io_scan_mode) + ); + rvclkhdr rvclkhdr_301 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_301_io_l1clk), + .io_clk(rvclkhdr_301_io_clk), + .io_en(rvclkhdr_301_io_en), + .io_scan_mode(rvclkhdr_301_io_scan_mode) + ); + rvclkhdr rvclkhdr_302 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_302_io_l1clk), + .io_clk(rvclkhdr_302_io_clk), + .io_en(rvclkhdr_302_io_en), + .io_scan_mode(rvclkhdr_302_io_scan_mode) + ); + rvclkhdr rvclkhdr_303 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_303_io_l1clk), + .io_clk(rvclkhdr_303_io_clk), + .io_en(rvclkhdr_303_io_en), + .io_scan_mode(rvclkhdr_303_io_scan_mode) + ); + rvclkhdr rvclkhdr_304 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_304_io_l1clk), + .io_clk(rvclkhdr_304_io_clk), + .io_en(rvclkhdr_304_io_en), + .io_scan_mode(rvclkhdr_304_io_scan_mode) + ); + rvclkhdr rvclkhdr_305 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_305_io_l1clk), + .io_clk(rvclkhdr_305_io_clk), + .io_en(rvclkhdr_305_io_en), + .io_scan_mode(rvclkhdr_305_io_scan_mode) + ); + rvclkhdr rvclkhdr_306 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_306_io_l1clk), + .io_clk(rvclkhdr_306_io_clk), + .io_en(rvclkhdr_306_io_en), + .io_scan_mode(rvclkhdr_306_io_scan_mode) + ); + rvclkhdr rvclkhdr_307 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_307_io_l1clk), + .io_clk(rvclkhdr_307_io_clk), + .io_en(rvclkhdr_307_io_en), + .io_scan_mode(rvclkhdr_307_io_scan_mode) + ); + rvclkhdr rvclkhdr_308 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_308_io_l1clk), + .io_clk(rvclkhdr_308_io_clk), + .io_en(rvclkhdr_308_io_en), + .io_scan_mode(rvclkhdr_308_io_scan_mode) + ); + rvclkhdr rvclkhdr_309 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_309_io_l1clk), + .io_clk(rvclkhdr_309_io_clk), + .io_en(rvclkhdr_309_io_en), + .io_scan_mode(rvclkhdr_309_io_scan_mode) + ); + rvclkhdr rvclkhdr_310 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_310_io_l1clk), + .io_clk(rvclkhdr_310_io_clk), + .io_en(rvclkhdr_310_io_en), + .io_scan_mode(rvclkhdr_310_io_scan_mode) + ); + rvclkhdr rvclkhdr_311 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_311_io_l1clk), + .io_clk(rvclkhdr_311_io_clk), + .io_en(rvclkhdr_311_io_en), + .io_scan_mode(rvclkhdr_311_io_scan_mode) + ); + rvclkhdr rvclkhdr_312 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_312_io_l1clk), + .io_clk(rvclkhdr_312_io_clk), + .io_en(rvclkhdr_312_io_en), + .io_scan_mode(rvclkhdr_312_io_scan_mode) + ); + rvclkhdr rvclkhdr_313 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_313_io_l1clk), + .io_clk(rvclkhdr_313_io_clk), + .io_en(rvclkhdr_313_io_en), + .io_scan_mode(rvclkhdr_313_io_scan_mode) + ); + rvclkhdr rvclkhdr_314 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_314_io_l1clk), + .io_clk(rvclkhdr_314_io_clk), + .io_en(rvclkhdr_314_io_en), + .io_scan_mode(rvclkhdr_314_io_scan_mode) + ); + rvclkhdr rvclkhdr_315 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_315_io_l1clk), + .io_clk(rvclkhdr_315_io_clk), + .io_en(rvclkhdr_315_io_en), + .io_scan_mode(rvclkhdr_315_io_scan_mode) + ); + rvclkhdr rvclkhdr_316 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_316_io_l1clk), + .io_clk(rvclkhdr_316_io_clk), + .io_en(rvclkhdr_316_io_en), + .io_scan_mode(rvclkhdr_316_io_scan_mode) + ); + rvclkhdr rvclkhdr_317 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_317_io_l1clk), + .io_clk(rvclkhdr_317_io_clk), + .io_en(rvclkhdr_317_io_en), + .io_scan_mode(rvclkhdr_317_io_scan_mode) + ); + rvclkhdr rvclkhdr_318 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_318_io_l1clk), + .io_clk(rvclkhdr_318_io_clk), + .io_en(rvclkhdr_318_io_en), + .io_scan_mode(rvclkhdr_318_io_scan_mode) + ); + rvclkhdr rvclkhdr_319 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_319_io_l1clk), + .io_clk(rvclkhdr_319_io_clk), + .io_en(rvclkhdr_319_io_en), + .io_scan_mode(rvclkhdr_319_io_scan_mode) + ); + rvclkhdr rvclkhdr_320 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_320_io_l1clk), + .io_clk(rvclkhdr_320_io_clk), + .io_en(rvclkhdr_320_io_en), + .io_scan_mode(rvclkhdr_320_io_scan_mode) + ); + rvclkhdr rvclkhdr_321 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_321_io_l1clk), + .io_clk(rvclkhdr_321_io_clk), + .io_en(rvclkhdr_321_io_en), + .io_scan_mode(rvclkhdr_321_io_scan_mode) + ); + rvclkhdr rvclkhdr_322 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_322_io_l1clk), + .io_clk(rvclkhdr_322_io_clk), + .io_en(rvclkhdr_322_io_en), + .io_scan_mode(rvclkhdr_322_io_scan_mode) + ); + rvclkhdr rvclkhdr_323 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_323_io_l1clk), + .io_clk(rvclkhdr_323_io_clk), + .io_en(rvclkhdr_323_io_en), + .io_scan_mode(rvclkhdr_323_io_scan_mode) + ); + rvclkhdr rvclkhdr_324 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_324_io_l1clk), + .io_clk(rvclkhdr_324_io_clk), + .io_en(rvclkhdr_324_io_en), + .io_scan_mode(rvclkhdr_324_io_scan_mode) + ); + rvclkhdr rvclkhdr_325 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_325_io_l1clk), + .io_clk(rvclkhdr_325_io_clk), + .io_en(rvclkhdr_325_io_en), + .io_scan_mode(rvclkhdr_325_io_scan_mode) + ); + rvclkhdr rvclkhdr_326 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_326_io_l1clk), + .io_clk(rvclkhdr_326_io_clk), + .io_en(rvclkhdr_326_io_en), + .io_scan_mode(rvclkhdr_326_io_scan_mode) + ); + rvclkhdr rvclkhdr_327 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_327_io_l1clk), + .io_clk(rvclkhdr_327_io_clk), + .io_en(rvclkhdr_327_io_en), + .io_scan_mode(rvclkhdr_327_io_scan_mode) + ); + rvclkhdr rvclkhdr_328 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_328_io_l1clk), + .io_clk(rvclkhdr_328_io_clk), + .io_en(rvclkhdr_328_io_en), + .io_scan_mode(rvclkhdr_328_io_scan_mode) + ); + rvclkhdr rvclkhdr_329 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_329_io_l1clk), + .io_clk(rvclkhdr_329_io_clk), + .io_en(rvclkhdr_329_io_en), + .io_scan_mode(rvclkhdr_329_io_scan_mode) + ); + rvclkhdr rvclkhdr_330 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_330_io_l1clk), + .io_clk(rvclkhdr_330_io_clk), + .io_en(rvclkhdr_330_io_en), + .io_scan_mode(rvclkhdr_330_io_scan_mode) + ); + rvclkhdr rvclkhdr_331 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_331_io_l1clk), + .io_clk(rvclkhdr_331_io_clk), + .io_en(rvclkhdr_331_io_en), + .io_scan_mode(rvclkhdr_331_io_scan_mode) + ); + rvclkhdr rvclkhdr_332 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_332_io_l1clk), + .io_clk(rvclkhdr_332_io_clk), + .io_en(rvclkhdr_332_io_en), + .io_scan_mode(rvclkhdr_332_io_scan_mode) + ); + rvclkhdr rvclkhdr_333 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_333_io_l1clk), + .io_clk(rvclkhdr_333_io_clk), + .io_en(rvclkhdr_333_io_en), + .io_scan_mode(rvclkhdr_333_io_scan_mode) + ); + rvclkhdr rvclkhdr_334 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_334_io_l1clk), + .io_clk(rvclkhdr_334_io_clk), + .io_en(rvclkhdr_334_io_en), + .io_scan_mode(rvclkhdr_334_io_scan_mode) + ); + rvclkhdr rvclkhdr_335 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_335_io_l1clk), + .io_clk(rvclkhdr_335_io_clk), + .io_en(rvclkhdr_335_io_en), + .io_scan_mode(rvclkhdr_335_io_scan_mode) + ); + rvclkhdr rvclkhdr_336 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_336_io_l1clk), + .io_clk(rvclkhdr_336_io_clk), + .io_en(rvclkhdr_336_io_en), + .io_scan_mode(rvclkhdr_336_io_scan_mode) + ); + rvclkhdr rvclkhdr_337 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_337_io_l1clk), + .io_clk(rvclkhdr_337_io_clk), + .io_en(rvclkhdr_337_io_en), + .io_scan_mode(rvclkhdr_337_io_scan_mode) + ); + rvclkhdr rvclkhdr_338 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_338_io_l1clk), + .io_clk(rvclkhdr_338_io_clk), + .io_en(rvclkhdr_338_io_en), + .io_scan_mode(rvclkhdr_338_io_scan_mode) + ); + rvclkhdr rvclkhdr_339 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_339_io_l1clk), + .io_clk(rvclkhdr_339_io_clk), + .io_en(rvclkhdr_339_io_en), + .io_scan_mode(rvclkhdr_339_io_scan_mode) + ); + rvclkhdr rvclkhdr_340 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_340_io_l1clk), + .io_clk(rvclkhdr_340_io_clk), + .io_en(rvclkhdr_340_io_en), + .io_scan_mode(rvclkhdr_340_io_scan_mode) + ); + rvclkhdr rvclkhdr_341 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_341_io_l1clk), + .io_clk(rvclkhdr_341_io_clk), + .io_en(rvclkhdr_341_io_en), + .io_scan_mode(rvclkhdr_341_io_scan_mode) + ); + rvclkhdr rvclkhdr_342 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_342_io_l1clk), + .io_clk(rvclkhdr_342_io_clk), + .io_en(rvclkhdr_342_io_en), + .io_scan_mode(rvclkhdr_342_io_scan_mode) + ); + rvclkhdr rvclkhdr_343 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_343_io_l1clk), + .io_clk(rvclkhdr_343_io_clk), + .io_en(rvclkhdr_343_io_en), + .io_scan_mode(rvclkhdr_343_io_scan_mode) + ); + rvclkhdr rvclkhdr_344 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_344_io_l1clk), + .io_clk(rvclkhdr_344_io_clk), + .io_en(rvclkhdr_344_io_en), + .io_scan_mode(rvclkhdr_344_io_scan_mode) + ); + rvclkhdr rvclkhdr_345 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_345_io_l1clk), + .io_clk(rvclkhdr_345_io_clk), + .io_en(rvclkhdr_345_io_en), + .io_scan_mode(rvclkhdr_345_io_scan_mode) + ); + rvclkhdr rvclkhdr_346 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_346_io_l1clk), + .io_clk(rvclkhdr_346_io_clk), + .io_en(rvclkhdr_346_io_en), + .io_scan_mode(rvclkhdr_346_io_scan_mode) + ); + rvclkhdr rvclkhdr_347 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_347_io_l1clk), + .io_clk(rvclkhdr_347_io_clk), + .io_en(rvclkhdr_347_io_en), + .io_scan_mode(rvclkhdr_347_io_scan_mode) + ); + rvclkhdr rvclkhdr_348 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_348_io_l1clk), + .io_clk(rvclkhdr_348_io_clk), + .io_en(rvclkhdr_348_io_en), + .io_scan_mode(rvclkhdr_348_io_scan_mode) + ); + rvclkhdr rvclkhdr_349 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_349_io_l1clk), + .io_clk(rvclkhdr_349_io_clk), + .io_en(rvclkhdr_349_io_en), + .io_scan_mode(rvclkhdr_349_io_scan_mode) + ); + rvclkhdr rvclkhdr_350 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_350_io_l1clk), + .io_clk(rvclkhdr_350_io_clk), + .io_en(rvclkhdr_350_io_en), + .io_scan_mode(rvclkhdr_350_io_scan_mode) + ); + rvclkhdr rvclkhdr_351 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_351_io_l1clk), + .io_clk(rvclkhdr_351_io_clk), + .io_en(rvclkhdr_351_io_en), + .io_scan_mode(rvclkhdr_351_io_scan_mode) + ); + rvclkhdr rvclkhdr_352 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_352_io_l1clk), + .io_clk(rvclkhdr_352_io_clk), + .io_en(rvclkhdr_352_io_en), + .io_scan_mode(rvclkhdr_352_io_scan_mode) + ); + rvclkhdr rvclkhdr_353 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_353_io_l1clk), + .io_clk(rvclkhdr_353_io_clk), + .io_en(rvclkhdr_353_io_en), + .io_scan_mode(rvclkhdr_353_io_scan_mode) + ); + rvclkhdr rvclkhdr_354 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_354_io_l1clk), + .io_clk(rvclkhdr_354_io_clk), + .io_en(rvclkhdr_354_io_en), + .io_scan_mode(rvclkhdr_354_io_scan_mode) + ); + rvclkhdr rvclkhdr_355 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_355_io_l1clk), + .io_clk(rvclkhdr_355_io_clk), + .io_en(rvclkhdr_355_io_en), + .io_scan_mode(rvclkhdr_355_io_scan_mode) + ); + rvclkhdr rvclkhdr_356 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_356_io_l1clk), + .io_clk(rvclkhdr_356_io_clk), + .io_en(rvclkhdr_356_io_en), + .io_scan_mode(rvclkhdr_356_io_scan_mode) + ); + rvclkhdr rvclkhdr_357 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_357_io_l1clk), + .io_clk(rvclkhdr_357_io_clk), + .io_en(rvclkhdr_357_io_en), + .io_scan_mode(rvclkhdr_357_io_scan_mode) + ); + rvclkhdr rvclkhdr_358 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_358_io_l1clk), + .io_clk(rvclkhdr_358_io_clk), + .io_en(rvclkhdr_358_io_en), + .io_scan_mode(rvclkhdr_358_io_scan_mode) + ); + rvclkhdr rvclkhdr_359 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_359_io_l1clk), + .io_clk(rvclkhdr_359_io_clk), + .io_en(rvclkhdr_359_io_en), + .io_scan_mode(rvclkhdr_359_io_scan_mode) + ); + rvclkhdr rvclkhdr_360 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_360_io_l1clk), + .io_clk(rvclkhdr_360_io_clk), + .io_en(rvclkhdr_360_io_en), + .io_scan_mode(rvclkhdr_360_io_scan_mode) + ); + rvclkhdr rvclkhdr_361 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_361_io_l1clk), + .io_clk(rvclkhdr_361_io_clk), + .io_en(rvclkhdr_361_io_en), + .io_scan_mode(rvclkhdr_361_io_scan_mode) + ); + rvclkhdr rvclkhdr_362 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_362_io_l1clk), + .io_clk(rvclkhdr_362_io_clk), + .io_en(rvclkhdr_362_io_en), + .io_scan_mode(rvclkhdr_362_io_scan_mode) + ); + rvclkhdr rvclkhdr_363 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_363_io_l1clk), + .io_clk(rvclkhdr_363_io_clk), + .io_en(rvclkhdr_363_io_en), + .io_scan_mode(rvclkhdr_363_io_scan_mode) + ); + rvclkhdr rvclkhdr_364 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_364_io_l1clk), + .io_clk(rvclkhdr_364_io_clk), + .io_en(rvclkhdr_364_io_en), + .io_scan_mode(rvclkhdr_364_io_scan_mode) + ); + rvclkhdr rvclkhdr_365 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_365_io_l1clk), + .io_clk(rvclkhdr_365_io_clk), + .io_en(rvclkhdr_365_io_en), + .io_scan_mode(rvclkhdr_365_io_scan_mode) + ); + rvclkhdr rvclkhdr_366 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_366_io_l1clk), + .io_clk(rvclkhdr_366_io_clk), + .io_en(rvclkhdr_366_io_en), + .io_scan_mode(rvclkhdr_366_io_scan_mode) + ); + rvclkhdr rvclkhdr_367 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_367_io_l1clk), + .io_clk(rvclkhdr_367_io_clk), + .io_en(rvclkhdr_367_io_en), + .io_scan_mode(rvclkhdr_367_io_scan_mode) + ); + rvclkhdr rvclkhdr_368 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_368_io_l1clk), + .io_clk(rvclkhdr_368_io_clk), + .io_en(rvclkhdr_368_io_en), + .io_scan_mode(rvclkhdr_368_io_scan_mode) + ); + rvclkhdr rvclkhdr_369 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_369_io_l1clk), + .io_clk(rvclkhdr_369_io_clk), + .io_en(rvclkhdr_369_io_en), + .io_scan_mode(rvclkhdr_369_io_scan_mode) + ); + rvclkhdr rvclkhdr_370 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_370_io_l1clk), + .io_clk(rvclkhdr_370_io_clk), + .io_en(rvclkhdr_370_io_en), + .io_scan_mode(rvclkhdr_370_io_scan_mode) + ); + rvclkhdr rvclkhdr_371 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_371_io_l1clk), + .io_clk(rvclkhdr_371_io_clk), + .io_en(rvclkhdr_371_io_en), + .io_scan_mode(rvclkhdr_371_io_scan_mode) + ); + rvclkhdr rvclkhdr_372 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_372_io_l1clk), + .io_clk(rvclkhdr_372_io_clk), + .io_en(rvclkhdr_372_io_en), + .io_scan_mode(rvclkhdr_372_io_scan_mode) + ); + rvclkhdr rvclkhdr_373 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_373_io_l1clk), + .io_clk(rvclkhdr_373_io_clk), + .io_en(rvclkhdr_373_io_en), + .io_scan_mode(rvclkhdr_373_io_scan_mode) + ); + rvclkhdr rvclkhdr_374 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_374_io_l1clk), + .io_clk(rvclkhdr_374_io_clk), + .io_en(rvclkhdr_374_io_en), + .io_scan_mode(rvclkhdr_374_io_scan_mode) + ); + rvclkhdr rvclkhdr_375 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_375_io_l1clk), + .io_clk(rvclkhdr_375_io_clk), + .io_en(rvclkhdr_375_io_en), + .io_scan_mode(rvclkhdr_375_io_scan_mode) + ); + rvclkhdr rvclkhdr_376 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_376_io_l1clk), + .io_clk(rvclkhdr_376_io_clk), + .io_en(rvclkhdr_376_io_en), + .io_scan_mode(rvclkhdr_376_io_scan_mode) + ); + rvclkhdr rvclkhdr_377 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_377_io_l1clk), + .io_clk(rvclkhdr_377_io_clk), + .io_en(rvclkhdr_377_io_en), + .io_scan_mode(rvclkhdr_377_io_scan_mode) + ); + rvclkhdr rvclkhdr_378 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_378_io_l1clk), + .io_clk(rvclkhdr_378_io_clk), + .io_en(rvclkhdr_378_io_en), + .io_scan_mode(rvclkhdr_378_io_scan_mode) + ); + rvclkhdr rvclkhdr_379 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_379_io_l1clk), + .io_clk(rvclkhdr_379_io_clk), + .io_en(rvclkhdr_379_io_en), + .io_scan_mode(rvclkhdr_379_io_scan_mode) + ); + rvclkhdr rvclkhdr_380 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_380_io_l1clk), + .io_clk(rvclkhdr_380_io_clk), + .io_en(rvclkhdr_380_io_en), + .io_scan_mode(rvclkhdr_380_io_scan_mode) + ); + rvclkhdr rvclkhdr_381 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_381_io_l1clk), + .io_clk(rvclkhdr_381_io_clk), + .io_en(rvclkhdr_381_io_en), + .io_scan_mode(rvclkhdr_381_io_scan_mode) + ); + rvclkhdr rvclkhdr_382 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_382_io_l1clk), + .io_clk(rvclkhdr_382_io_clk), + .io_en(rvclkhdr_382_io_en), + .io_scan_mode(rvclkhdr_382_io_scan_mode) + ); + rvclkhdr rvclkhdr_383 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_383_io_l1clk), + .io_clk(rvclkhdr_383_io_clk), + .io_en(rvclkhdr_383_io_en), + .io_scan_mode(rvclkhdr_383_io_scan_mode) + ); + rvclkhdr rvclkhdr_384 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_384_io_l1clk), + .io_clk(rvclkhdr_384_io_clk), + .io_en(rvclkhdr_384_io_en), + .io_scan_mode(rvclkhdr_384_io_scan_mode) + ); + rvclkhdr rvclkhdr_385 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_385_io_l1clk), + .io_clk(rvclkhdr_385_io_clk), + .io_en(rvclkhdr_385_io_en), + .io_scan_mode(rvclkhdr_385_io_scan_mode) + ); + rvclkhdr rvclkhdr_386 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_386_io_l1clk), + .io_clk(rvclkhdr_386_io_clk), + .io_en(rvclkhdr_386_io_en), + .io_scan_mode(rvclkhdr_386_io_scan_mode) + ); + rvclkhdr rvclkhdr_387 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_387_io_l1clk), + .io_clk(rvclkhdr_387_io_clk), + .io_en(rvclkhdr_387_io_en), + .io_scan_mode(rvclkhdr_387_io_scan_mode) + ); + rvclkhdr rvclkhdr_388 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_388_io_l1clk), + .io_clk(rvclkhdr_388_io_clk), + .io_en(rvclkhdr_388_io_en), + .io_scan_mode(rvclkhdr_388_io_scan_mode) + ); + rvclkhdr rvclkhdr_389 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_389_io_l1clk), + .io_clk(rvclkhdr_389_io_clk), + .io_en(rvclkhdr_389_io_en), + .io_scan_mode(rvclkhdr_389_io_scan_mode) + ); + rvclkhdr rvclkhdr_390 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_390_io_l1clk), + .io_clk(rvclkhdr_390_io_clk), + .io_en(rvclkhdr_390_io_en), + .io_scan_mode(rvclkhdr_390_io_scan_mode) + ); + rvclkhdr rvclkhdr_391 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_391_io_l1clk), + .io_clk(rvclkhdr_391_io_clk), + .io_en(rvclkhdr_391_io_en), + .io_scan_mode(rvclkhdr_391_io_scan_mode) + ); + rvclkhdr rvclkhdr_392 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_392_io_l1clk), + .io_clk(rvclkhdr_392_io_clk), + .io_en(rvclkhdr_392_io_en), + .io_scan_mode(rvclkhdr_392_io_scan_mode) + ); + rvclkhdr rvclkhdr_393 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_393_io_l1clk), + .io_clk(rvclkhdr_393_io_clk), + .io_en(rvclkhdr_393_io_en), + .io_scan_mode(rvclkhdr_393_io_scan_mode) + ); + rvclkhdr rvclkhdr_394 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_394_io_l1clk), + .io_clk(rvclkhdr_394_io_clk), + .io_en(rvclkhdr_394_io_en), + .io_scan_mode(rvclkhdr_394_io_scan_mode) + ); + rvclkhdr rvclkhdr_395 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_395_io_l1clk), + .io_clk(rvclkhdr_395_io_clk), + .io_en(rvclkhdr_395_io_en), + .io_scan_mode(rvclkhdr_395_io_scan_mode) + ); + rvclkhdr rvclkhdr_396 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_396_io_l1clk), + .io_clk(rvclkhdr_396_io_clk), + .io_en(rvclkhdr_396_io_en), + .io_scan_mode(rvclkhdr_396_io_scan_mode) + ); + rvclkhdr rvclkhdr_397 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_397_io_l1clk), + .io_clk(rvclkhdr_397_io_clk), + .io_en(rvclkhdr_397_io_en), + .io_scan_mode(rvclkhdr_397_io_scan_mode) + ); + rvclkhdr rvclkhdr_398 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_398_io_l1clk), + .io_clk(rvclkhdr_398_io_clk), + .io_en(rvclkhdr_398_io_en), + .io_scan_mode(rvclkhdr_398_io_scan_mode) + ); + rvclkhdr rvclkhdr_399 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_399_io_l1clk), + .io_clk(rvclkhdr_399_io_clk), + .io_en(rvclkhdr_399_io_en), + .io_scan_mode(rvclkhdr_399_io_scan_mode) + ); + rvclkhdr rvclkhdr_400 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_400_io_l1clk), + .io_clk(rvclkhdr_400_io_clk), + .io_en(rvclkhdr_400_io_en), + .io_scan_mode(rvclkhdr_400_io_scan_mode) + ); + rvclkhdr rvclkhdr_401 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_401_io_l1clk), + .io_clk(rvclkhdr_401_io_clk), + .io_en(rvclkhdr_401_io_en), + .io_scan_mode(rvclkhdr_401_io_scan_mode) + ); + rvclkhdr rvclkhdr_402 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_402_io_l1clk), + .io_clk(rvclkhdr_402_io_clk), + .io_en(rvclkhdr_402_io_en), + .io_scan_mode(rvclkhdr_402_io_scan_mode) + ); + rvclkhdr rvclkhdr_403 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_403_io_l1clk), + .io_clk(rvclkhdr_403_io_clk), + .io_en(rvclkhdr_403_io_en), + .io_scan_mode(rvclkhdr_403_io_scan_mode) + ); + rvclkhdr rvclkhdr_404 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_404_io_l1clk), + .io_clk(rvclkhdr_404_io_clk), + .io_en(rvclkhdr_404_io_en), + .io_scan_mode(rvclkhdr_404_io_scan_mode) + ); + rvclkhdr rvclkhdr_405 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_405_io_l1clk), + .io_clk(rvclkhdr_405_io_clk), + .io_en(rvclkhdr_405_io_en), + .io_scan_mode(rvclkhdr_405_io_scan_mode) + ); + rvclkhdr rvclkhdr_406 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_406_io_l1clk), + .io_clk(rvclkhdr_406_io_clk), + .io_en(rvclkhdr_406_io_en), + .io_scan_mode(rvclkhdr_406_io_scan_mode) + ); + rvclkhdr rvclkhdr_407 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_407_io_l1clk), + .io_clk(rvclkhdr_407_io_clk), + .io_en(rvclkhdr_407_io_en), + .io_scan_mode(rvclkhdr_407_io_scan_mode) + ); + rvclkhdr rvclkhdr_408 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_408_io_l1clk), + .io_clk(rvclkhdr_408_io_clk), + .io_en(rvclkhdr_408_io_en), + .io_scan_mode(rvclkhdr_408_io_scan_mode) + ); + rvclkhdr rvclkhdr_409 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_409_io_l1clk), + .io_clk(rvclkhdr_409_io_clk), + .io_en(rvclkhdr_409_io_en), + .io_scan_mode(rvclkhdr_409_io_scan_mode) + ); + rvclkhdr rvclkhdr_410 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_410_io_l1clk), + .io_clk(rvclkhdr_410_io_clk), + .io_en(rvclkhdr_410_io_en), + .io_scan_mode(rvclkhdr_410_io_scan_mode) + ); + rvclkhdr rvclkhdr_411 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_411_io_l1clk), + .io_clk(rvclkhdr_411_io_clk), + .io_en(rvclkhdr_411_io_en), + .io_scan_mode(rvclkhdr_411_io_scan_mode) + ); + rvclkhdr rvclkhdr_412 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_412_io_l1clk), + .io_clk(rvclkhdr_412_io_clk), + .io_en(rvclkhdr_412_io_en), + .io_scan_mode(rvclkhdr_412_io_scan_mode) + ); + rvclkhdr rvclkhdr_413 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_413_io_l1clk), + .io_clk(rvclkhdr_413_io_clk), + .io_en(rvclkhdr_413_io_en), + .io_scan_mode(rvclkhdr_413_io_scan_mode) + ); + rvclkhdr rvclkhdr_414 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_414_io_l1clk), + .io_clk(rvclkhdr_414_io_clk), + .io_en(rvclkhdr_414_io_en), + .io_scan_mode(rvclkhdr_414_io_scan_mode) + ); + rvclkhdr rvclkhdr_415 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_415_io_l1clk), + .io_clk(rvclkhdr_415_io_clk), + .io_en(rvclkhdr_415_io_en), + .io_scan_mode(rvclkhdr_415_io_scan_mode) + ); + rvclkhdr rvclkhdr_416 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_416_io_l1clk), + .io_clk(rvclkhdr_416_io_clk), + .io_en(rvclkhdr_416_io_en), + .io_scan_mode(rvclkhdr_416_io_scan_mode) + ); + rvclkhdr rvclkhdr_417 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_417_io_l1clk), + .io_clk(rvclkhdr_417_io_clk), + .io_en(rvclkhdr_417_io_en), + .io_scan_mode(rvclkhdr_417_io_scan_mode) + ); + rvclkhdr rvclkhdr_418 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_418_io_l1clk), + .io_clk(rvclkhdr_418_io_clk), + .io_en(rvclkhdr_418_io_en), + .io_scan_mode(rvclkhdr_418_io_scan_mode) + ); + rvclkhdr rvclkhdr_419 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_419_io_l1clk), + .io_clk(rvclkhdr_419_io_clk), + .io_en(rvclkhdr_419_io_en), + .io_scan_mode(rvclkhdr_419_io_scan_mode) + ); + rvclkhdr rvclkhdr_420 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_420_io_l1clk), + .io_clk(rvclkhdr_420_io_clk), + .io_en(rvclkhdr_420_io_en), + .io_scan_mode(rvclkhdr_420_io_scan_mode) + ); + rvclkhdr rvclkhdr_421 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_421_io_l1clk), + .io_clk(rvclkhdr_421_io_clk), + .io_en(rvclkhdr_421_io_en), + .io_scan_mode(rvclkhdr_421_io_scan_mode) + ); + rvclkhdr rvclkhdr_422 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_422_io_l1clk), + .io_clk(rvclkhdr_422_io_clk), + .io_en(rvclkhdr_422_io_en), + .io_scan_mode(rvclkhdr_422_io_scan_mode) + ); + rvclkhdr rvclkhdr_423 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_423_io_l1clk), + .io_clk(rvclkhdr_423_io_clk), + .io_en(rvclkhdr_423_io_en), + .io_scan_mode(rvclkhdr_423_io_scan_mode) + ); + rvclkhdr rvclkhdr_424 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_424_io_l1clk), + .io_clk(rvclkhdr_424_io_clk), + .io_en(rvclkhdr_424_io_en), + .io_scan_mode(rvclkhdr_424_io_scan_mode) + ); + rvclkhdr rvclkhdr_425 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_425_io_l1clk), + .io_clk(rvclkhdr_425_io_clk), + .io_en(rvclkhdr_425_io_en), + .io_scan_mode(rvclkhdr_425_io_scan_mode) + ); + rvclkhdr rvclkhdr_426 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_426_io_l1clk), + .io_clk(rvclkhdr_426_io_clk), + .io_en(rvclkhdr_426_io_en), + .io_scan_mode(rvclkhdr_426_io_scan_mode) + ); + rvclkhdr rvclkhdr_427 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_427_io_l1clk), + .io_clk(rvclkhdr_427_io_clk), + .io_en(rvclkhdr_427_io_en), + .io_scan_mode(rvclkhdr_427_io_scan_mode) + ); + rvclkhdr rvclkhdr_428 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_428_io_l1clk), + .io_clk(rvclkhdr_428_io_clk), + .io_en(rvclkhdr_428_io_en), + .io_scan_mode(rvclkhdr_428_io_scan_mode) + ); + rvclkhdr rvclkhdr_429 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_429_io_l1clk), + .io_clk(rvclkhdr_429_io_clk), + .io_en(rvclkhdr_429_io_en), + .io_scan_mode(rvclkhdr_429_io_scan_mode) + ); + rvclkhdr rvclkhdr_430 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_430_io_l1clk), + .io_clk(rvclkhdr_430_io_clk), + .io_en(rvclkhdr_430_io_en), + .io_scan_mode(rvclkhdr_430_io_scan_mode) + ); + rvclkhdr rvclkhdr_431 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_431_io_l1clk), + .io_clk(rvclkhdr_431_io_clk), + .io_en(rvclkhdr_431_io_en), + .io_scan_mode(rvclkhdr_431_io_scan_mode) + ); + rvclkhdr rvclkhdr_432 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_432_io_l1clk), + .io_clk(rvclkhdr_432_io_clk), + .io_en(rvclkhdr_432_io_en), + .io_scan_mode(rvclkhdr_432_io_scan_mode) + ); + rvclkhdr rvclkhdr_433 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_433_io_l1clk), + .io_clk(rvclkhdr_433_io_clk), + .io_en(rvclkhdr_433_io_en), + .io_scan_mode(rvclkhdr_433_io_scan_mode) + ); + rvclkhdr rvclkhdr_434 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_434_io_l1clk), + .io_clk(rvclkhdr_434_io_clk), + .io_en(rvclkhdr_434_io_en), + .io_scan_mode(rvclkhdr_434_io_scan_mode) + ); + rvclkhdr rvclkhdr_435 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_435_io_l1clk), + .io_clk(rvclkhdr_435_io_clk), + .io_en(rvclkhdr_435_io_en), + .io_scan_mode(rvclkhdr_435_io_scan_mode) + ); + rvclkhdr rvclkhdr_436 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_436_io_l1clk), + .io_clk(rvclkhdr_436_io_clk), + .io_en(rvclkhdr_436_io_en), + .io_scan_mode(rvclkhdr_436_io_scan_mode) + ); + rvclkhdr rvclkhdr_437 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_437_io_l1clk), + .io_clk(rvclkhdr_437_io_clk), + .io_en(rvclkhdr_437_io_en), + .io_scan_mode(rvclkhdr_437_io_scan_mode) + ); + rvclkhdr rvclkhdr_438 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_438_io_l1clk), + .io_clk(rvclkhdr_438_io_clk), + .io_en(rvclkhdr_438_io_en), + .io_scan_mode(rvclkhdr_438_io_scan_mode) + ); + rvclkhdr rvclkhdr_439 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_439_io_l1clk), + .io_clk(rvclkhdr_439_io_clk), + .io_en(rvclkhdr_439_io_en), + .io_scan_mode(rvclkhdr_439_io_scan_mode) + ); + rvclkhdr rvclkhdr_440 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_440_io_l1clk), + .io_clk(rvclkhdr_440_io_clk), + .io_en(rvclkhdr_440_io_en), + .io_scan_mode(rvclkhdr_440_io_scan_mode) + ); + rvclkhdr rvclkhdr_441 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_441_io_l1clk), + .io_clk(rvclkhdr_441_io_clk), + .io_en(rvclkhdr_441_io_en), + .io_scan_mode(rvclkhdr_441_io_scan_mode) + ); + rvclkhdr rvclkhdr_442 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_442_io_l1clk), + .io_clk(rvclkhdr_442_io_clk), + .io_en(rvclkhdr_442_io_en), + .io_scan_mode(rvclkhdr_442_io_scan_mode) + ); + rvclkhdr rvclkhdr_443 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_443_io_l1clk), + .io_clk(rvclkhdr_443_io_clk), + .io_en(rvclkhdr_443_io_en), + .io_scan_mode(rvclkhdr_443_io_scan_mode) + ); + rvclkhdr rvclkhdr_444 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_444_io_l1clk), + .io_clk(rvclkhdr_444_io_clk), + .io_en(rvclkhdr_444_io_en), + .io_scan_mode(rvclkhdr_444_io_scan_mode) + ); + rvclkhdr rvclkhdr_445 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_445_io_l1clk), + .io_clk(rvclkhdr_445_io_clk), + .io_en(rvclkhdr_445_io_en), + .io_scan_mode(rvclkhdr_445_io_scan_mode) + ); + rvclkhdr rvclkhdr_446 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_446_io_l1clk), + .io_clk(rvclkhdr_446_io_clk), + .io_en(rvclkhdr_446_io_en), + .io_scan_mode(rvclkhdr_446_io_scan_mode) + ); + rvclkhdr rvclkhdr_447 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_447_io_l1clk), + .io_clk(rvclkhdr_447_io_clk), + .io_en(rvclkhdr_447_io_en), + .io_scan_mode(rvclkhdr_447_io_scan_mode) + ); + rvclkhdr rvclkhdr_448 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_448_io_l1clk), + .io_clk(rvclkhdr_448_io_clk), + .io_en(rvclkhdr_448_io_en), + .io_scan_mode(rvclkhdr_448_io_scan_mode) + ); + rvclkhdr rvclkhdr_449 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_449_io_l1clk), + .io_clk(rvclkhdr_449_io_clk), + .io_en(rvclkhdr_449_io_en), + .io_scan_mode(rvclkhdr_449_io_scan_mode) + ); + rvclkhdr rvclkhdr_450 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_450_io_l1clk), + .io_clk(rvclkhdr_450_io_clk), + .io_en(rvclkhdr_450_io_en), + .io_scan_mode(rvclkhdr_450_io_scan_mode) + ); + rvclkhdr rvclkhdr_451 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_451_io_l1clk), + .io_clk(rvclkhdr_451_io_clk), + .io_en(rvclkhdr_451_io_en), + .io_scan_mode(rvclkhdr_451_io_scan_mode) + ); + rvclkhdr rvclkhdr_452 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_452_io_l1clk), + .io_clk(rvclkhdr_452_io_clk), + .io_en(rvclkhdr_452_io_en), + .io_scan_mode(rvclkhdr_452_io_scan_mode) + ); + rvclkhdr rvclkhdr_453 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_453_io_l1clk), + .io_clk(rvclkhdr_453_io_clk), + .io_en(rvclkhdr_453_io_en), + .io_scan_mode(rvclkhdr_453_io_scan_mode) + ); + rvclkhdr rvclkhdr_454 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_454_io_l1clk), + .io_clk(rvclkhdr_454_io_clk), + .io_en(rvclkhdr_454_io_en), + .io_scan_mode(rvclkhdr_454_io_scan_mode) + ); + rvclkhdr rvclkhdr_455 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_455_io_l1clk), + .io_clk(rvclkhdr_455_io_clk), + .io_en(rvclkhdr_455_io_en), + .io_scan_mode(rvclkhdr_455_io_scan_mode) + ); + rvclkhdr rvclkhdr_456 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_456_io_l1clk), + .io_clk(rvclkhdr_456_io_clk), + .io_en(rvclkhdr_456_io_en), + .io_scan_mode(rvclkhdr_456_io_scan_mode) + ); + rvclkhdr rvclkhdr_457 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_457_io_l1clk), + .io_clk(rvclkhdr_457_io_clk), + .io_en(rvclkhdr_457_io_en), + .io_scan_mode(rvclkhdr_457_io_scan_mode) + ); + rvclkhdr rvclkhdr_458 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_458_io_l1clk), + .io_clk(rvclkhdr_458_io_clk), + .io_en(rvclkhdr_458_io_en), + .io_scan_mode(rvclkhdr_458_io_scan_mode) + ); + rvclkhdr rvclkhdr_459 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_459_io_l1clk), + .io_clk(rvclkhdr_459_io_clk), + .io_en(rvclkhdr_459_io_en), + .io_scan_mode(rvclkhdr_459_io_scan_mode) + ); + rvclkhdr rvclkhdr_460 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_460_io_l1clk), + .io_clk(rvclkhdr_460_io_clk), + .io_en(rvclkhdr_460_io_en), + .io_scan_mode(rvclkhdr_460_io_scan_mode) + ); + rvclkhdr rvclkhdr_461 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_461_io_l1clk), + .io_clk(rvclkhdr_461_io_clk), + .io_en(rvclkhdr_461_io_en), + .io_scan_mode(rvclkhdr_461_io_scan_mode) + ); + rvclkhdr rvclkhdr_462 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_462_io_l1clk), + .io_clk(rvclkhdr_462_io_clk), + .io_en(rvclkhdr_462_io_en), + .io_scan_mode(rvclkhdr_462_io_scan_mode) + ); + rvclkhdr rvclkhdr_463 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_463_io_l1clk), + .io_clk(rvclkhdr_463_io_clk), + .io_en(rvclkhdr_463_io_en), + .io_scan_mode(rvclkhdr_463_io_scan_mode) + ); + rvclkhdr rvclkhdr_464 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_464_io_l1clk), + .io_clk(rvclkhdr_464_io_clk), + .io_en(rvclkhdr_464_io_en), + .io_scan_mode(rvclkhdr_464_io_scan_mode) + ); + rvclkhdr rvclkhdr_465 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_465_io_l1clk), + .io_clk(rvclkhdr_465_io_clk), + .io_en(rvclkhdr_465_io_en), + .io_scan_mode(rvclkhdr_465_io_scan_mode) + ); + rvclkhdr rvclkhdr_466 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_466_io_l1clk), + .io_clk(rvclkhdr_466_io_clk), + .io_en(rvclkhdr_466_io_en), + .io_scan_mode(rvclkhdr_466_io_scan_mode) + ); + rvclkhdr rvclkhdr_467 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_467_io_l1clk), + .io_clk(rvclkhdr_467_io_clk), + .io_en(rvclkhdr_467_io_en), + .io_scan_mode(rvclkhdr_467_io_scan_mode) + ); + rvclkhdr rvclkhdr_468 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_468_io_l1clk), + .io_clk(rvclkhdr_468_io_clk), + .io_en(rvclkhdr_468_io_en), + .io_scan_mode(rvclkhdr_468_io_scan_mode) + ); + rvclkhdr rvclkhdr_469 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_469_io_l1clk), + .io_clk(rvclkhdr_469_io_clk), + .io_en(rvclkhdr_469_io_en), + .io_scan_mode(rvclkhdr_469_io_scan_mode) + ); + rvclkhdr rvclkhdr_470 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_470_io_l1clk), + .io_clk(rvclkhdr_470_io_clk), + .io_en(rvclkhdr_470_io_en), + .io_scan_mode(rvclkhdr_470_io_scan_mode) + ); + rvclkhdr rvclkhdr_471 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_471_io_l1clk), + .io_clk(rvclkhdr_471_io_clk), + .io_en(rvclkhdr_471_io_en), + .io_scan_mode(rvclkhdr_471_io_scan_mode) + ); + rvclkhdr rvclkhdr_472 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_472_io_l1clk), + .io_clk(rvclkhdr_472_io_clk), + .io_en(rvclkhdr_472_io_en), + .io_scan_mode(rvclkhdr_472_io_scan_mode) + ); + rvclkhdr rvclkhdr_473 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_473_io_l1clk), + .io_clk(rvclkhdr_473_io_clk), + .io_en(rvclkhdr_473_io_en), + .io_scan_mode(rvclkhdr_473_io_scan_mode) + ); + rvclkhdr rvclkhdr_474 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_474_io_l1clk), + .io_clk(rvclkhdr_474_io_clk), + .io_en(rvclkhdr_474_io_en), + .io_scan_mode(rvclkhdr_474_io_scan_mode) + ); + rvclkhdr rvclkhdr_475 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_475_io_l1clk), + .io_clk(rvclkhdr_475_io_clk), + .io_en(rvclkhdr_475_io_en), + .io_scan_mode(rvclkhdr_475_io_scan_mode) + ); + rvclkhdr rvclkhdr_476 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_476_io_l1clk), + .io_clk(rvclkhdr_476_io_clk), + .io_en(rvclkhdr_476_io_en), + .io_scan_mode(rvclkhdr_476_io_scan_mode) + ); + rvclkhdr rvclkhdr_477 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_477_io_l1clk), + .io_clk(rvclkhdr_477_io_clk), + .io_en(rvclkhdr_477_io_en), + .io_scan_mode(rvclkhdr_477_io_scan_mode) + ); + rvclkhdr rvclkhdr_478 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_478_io_l1clk), + .io_clk(rvclkhdr_478_io_clk), + .io_en(rvclkhdr_478_io_en), + .io_scan_mode(rvclkhdr_478_io_scan_mode) + ); + rvclkhdr rvclkhdr_479 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_479_io_l1clk), + .io_clk(rvclkhdr_479_io_clk), + .io_en(rvclkhdr_479_io_en), + .io_scan_mode(rvclkhdr_479_io_scan_mode) + ); + rvclkhdr rvclkhdr_480 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_480_io_l1clk), + .io_clk(rvclkhdr_480_io_clk), + .io_en(rvclkhdr_480_io_en), + .io_scan_mode(rvclkhdr_480_io_scan_mode) + ); + rvclkhdr rvclkhdr_481 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_481_io_l1clk), + .io_clk(rvclkhdr_481_io_clk), + .io_en(rvclkhdr_481_io_en), + .io_scan_mode(rvclkhdr_481_io_scan_mode) + ); + rvclkhdr rvclkhdr_482 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_482_io_l1clk), + .io_clk(rvclkhdr_482_io_clk), + .io_en(rvclkhdr_482_io_en), + .io_scan_mode(rvclkhdr_482_io_scan_mode) + ); + rvclkhdr rvclkhdr_483 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_483_io_l1clk), + .io_clk(rvclkhdr_483_io_clk), + .io_en(rvclkhdr_483_io_en), + .io_scan_mode(rvclkhdr_483_io_scan_mode) + ); + rvclkhdr rvclkhdr_484 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_484_io_l1clk), + .io_clk(rvclkhdr_484_io_clk), + .io_en(rvclkhdr_484_io_en), + .io_scan_mode(rvclkhdr_484_io_scan_mode) + ); + rvclkhdr rvclkhdr_485 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_485_io_l1clk), + .io_clk(rvclkhdr_485_io_clk), + .io_en(rvclkhdr_485_io_en), + .io_scan_mode(rvclkhdr_485_io_scan_mode) + ); + rvclkhdr rvclkhdr_486 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_486_io_l1clk), + .io_clk(rvclkhdr_486_io_clk), + .io_en(rvclkhdr_486_io_en), + .io_scan_mode(rvclkhdr_486_io_scan_mode) + ); + rvclkhdr rvclkhdr_487 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_487_io_l1clk), + .io_clk(rvclkhdr_487_io_clk), + .io_en(rvclkhdr_487_io_en), + .io_scan_mode(rvclkhdr_487_io_scan_mode) + ); + rvclkhdr rvclkhdr_488 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_488_io_l1clk), + .io_clk(rvclkhdr_488_io_clk), + .io_en(rvclkhdr_488_io_en), + .io_scan_mode(rvclkhdr_488_io_scan_mode) + ); + rvclkhdr rvclkhdr_489 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_489_io_l1clk), + .io_clk(rvclkhdr_489_io_clk), + .io_en(rvclkhdr_489_io_en), + .io_scan_mode(rvclkhdr_489_io_scan_mode) + ); + rvclkhdr rvclkhdr_490 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_490_io_l1clk), + .io_clk(rvclkhdr_490_io_clk), + .io_en(rvclkhdr_490_io_en), + .io_scan_mode(rvclkhdr_490_io_scan_mode) + ); + rvclkhdr rvclkhdr_491 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_491_io_l1clk), + .io_clk(rvclkhdr_491_io_clk), + .io_en(rvclkhdr_491_io_en), + .io_scan_mode(rvclkhdr_491_io_scan_mode) + ); + rvclkhdr rvclkhdr_492 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_492_io_l1clk), + .io_clk(rvclkhdr_492_io_clk), + .io_en(rvclkhdr_492_io_en), + .io_scan_mode(rvclkhdr_492_io_scan_mode) + ); + rvclkhdr rvclkhdr_493 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_493_io_l1clk), + .io_clk(rvclkhdr_493_io_clk), + .io_en(rvclkhdr_493_io_en), + .io_scan_mode(rvclkhdr_493_io_scan_mode) + ); + rvclkhdr rvclkhdr_494 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_494_io_l1clk), + .io_clk(rvclkhdr_494_io_clk), + .io_en(rvclkhdr_494_io_en), + .io_scan_mode(rvclkhdr_494_io_scan_mode) + ); + rvclkhdr rvclkhdr_495 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_495_io_l1clk), + .io_clk(rvclkhdr_495_io_clk), + .io_en(rvclkhdr_495_io_en), + .io_scan_mode(rvclkhdr_495_io_scan_mode) + ); + rvclkhdr rvclkhdr_496 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_496_io_l1clk), + .io_clk(rvclkhdr_496_io_clk), + .io_en(rvclkhdr_496_io_en), + .io_scan_mode(rvclkhdr_496_io_scan_mode) + ); + rvclkhdr rvclkhdr_497 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_497_io_l1clk), + .io_clk(rvclkhdr_497_io_clk), + .io_en(rvclkhdr_497_io_en), + .io_scan_mode(rvclkhdr_497_io_scan_mode) + ); + rvclkhdr rvclkhdr_498 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_498_io_l1clk), + .io_clk(rvclkhdr_498_io_clk), + .io_en(rvclkhdr_498_io_en), + .io_scan_mode(rvclkhdr_498_io_scan_mode) + ); + rvclkhdr rvclkhdr_499 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_499_io_l1clk), + .io_clk(rvclkhdr_499_io_clk), + .io_en(rvclkhdr_499_io_en), + .io_scan_mode(rvclkhdr_499_io_scan_mode) + ); + rvclkhdr rvclkhdr_500 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_500_io_l1clk), + .io_clk(rvclkhdr_500_io_clk), + .io_en(rvclkhdr_500_io_en), + .io_scan_mode(rvclkhdr_500_io_scan_mode) + ); + rvclkhdr rvclkhdr_501 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_501_io_l1clk), + .io_clk(rvclkhdr_501_io_clk), + .io_en(rvclkhdr_501_io_en), + .io_scan_mode(rvclkhdr_501_io_scan_mode) + ); + rvclkhdr rvclkhdr_502 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_502_io_l1clk), + .io_clk(rvclkhdr_502_io_clk), + .io_en(rvclkhdr_502_io_en), + .io_scan_mode(rvclkhdr_502_io_scan_mode) + ); + rvclkhdr rvclkhdr_503 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_503_io_l1clk), + .io_clk(rvclkhdr_503_io_clk), + .io_en(rvclkhdr_503_io_en), + .io_scan_mode(rvclkhdr_503_io_scan_mode) + ); + rvclkhdr rvclkhdr_504 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_504_io_l1clk), + .io_clk(rvclkhdr_504_io_clk), + .io_en(rvclkhdr_504_io_en), + .io_scan_mode(rvclkhdr_504_io_scan_mode) + ); + rvclkhdr rvclkhdr_505 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_505_io_l1clk), + .io_clk(rvclkhdr_505_io_clk), + .io_en(rvclkhdr_505_io_en), + .io_scan_mode(rvclkhdr_505_io_scan_mode) + ); + rvclkhdr rvclkhdr_506 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_506_io_l1clk), + .io_clk(rvclkhdr_506_io_clk), + .io_en(rvclkhdr_506_io_en), + .io_scan_mode(rvclkhdr_506_io_scan_mode) + ); + rvclkhdr rvclkhdr_507 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_507_io_l1clk), + .io_clk(rvclkhdr_507_io_clk), + .io_en(rvclkhdr_507_io_en), + .io_scan_mode(rvclkhdr_507_io_scan_mode) + ); + rvclkhdr rvclkhdr_508 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_508_io_l1clk), + .io_clk(rvclkhdr_508_io_clk), + .io_en(rvclkhdr_508_io_en), + .io_scan_mode(rvclkhdr_508_io_scan_mode) + ); + rvclkhdr rvclkhdr_509 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_509_io_l1clk), + .io_clk(rvclkhdr_509_io_clk), + .io_en(rvclkhdr_509_io_en), + .io_scan_mode(rvclkhdr_509_io_scan_mode) + ); + rvclkhdr rvclkhdr_510 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_510_io_l1clk), + .io_clk(rvclkhdr_510_io_clk), + .io_en(rvclkhdr_510_io_en), + .io_scan_mode(rvclkhdr_510_io_scan_mode) + ); + rvclkhdr rvclkhdr_511 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_511_io_l1clk), + .io_clk(rvclkhdr_511_io_clk), + .io_en(rvclkhdr_511_io_en), + .io_scan_mode(rvclkhdr_511_io_scan_mode) + ); + rvclkhdr rvclkhdr_512 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_512_io_l1clk), + .io_clk(rvclkhdr_512_io_clk), + .io_en(rvclkhdr_512_io_en), + .io_scan_mode(rvclkhdr_512_io_scan_mode) + ); + rvclkhdr rvclkhdr_513 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_513_io_l1clk), + .io_clk(rvclkhdr_513_io_clk), + .io_en(rvclkhdr_513_io_en), + .io_scan_mode(rvclkhdr_513_io_scan_mode) + ); + rvclkhdr rvclkhdr_514 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_514_io_l1clk), + .io_clk(rvclkhdr_514_io_clk), + .io_en(rvclkhdr_514_io_en), + .io_scan_mode(rvclkhdr_514_io_scan_mode) + ); + rvclkhdr rvclkhdr_515 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_515_io_l1clk), + .io_clk(rvclkhdr_515_io_clk), + .io_en(rvclkhdr_515_io_en), + .io_scan_mode(rvclkhdr_515_io_scan_mode) + ); + rvclkhdr rvclkhdr_516 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_516_io_l1clk), + .io_clk(rvclkhdr_516_io_clk), + .io_en(rvclkhdr_516_io_en), + .io_scan_mode(rvclkhdr_516_io_scan_mode) + ); + rvclkhdr rvclkhdr_517 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_517_io_l1clk), + .io_clk(rvclkhdr_517_io_clk), + .io_en(rvclkhdr_517_io_en), + .io_scan_mode(rvclkhdr_517_io_scan_mode) + ); + rvclkhdr rvclkhdr_518 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_518_io_l1clk), + .io_clk(rvclkhdr_518_io_clk), + .io_en(rvclkhdr_518_io_en), + .io_scan_mode(rvclkhdr_518_io_scan_mode) + ); + rvclkhdr rvclkhdr_519 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_519_io_l1clk), + .io_clk(rvclkhdr_519_io_clk), + .io_en(rvclkhdr_519_io_en), + .io_scan_mode(rvclkhdr_519_io_scan_mode) + ); + rvclkhdr rvclkhdr_520 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_520_io_l1clk), + .io_clk(rvclkhdr_520_io_clk), + .io_en(rvclkhdr_520_io_en), + .io_scan_mode(rvclkhdr_520_io_scan_mode) + ); + rvclkhdr rvclkhdr_521 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_521_io_l1clk), + .io_clk(rvclkhdr_521_io_clk), + .io_en(rvclkhdr_521_io_en), + .io_scan_mode(rvclkhdr_521_io_scan_mode) + ); + rvclkhdr rvclkhdr_522 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_522_io_l1clk), + .io_clk(rvclkhdr_522_io_clk), + .io_en(rvclkhdr_522_io_en), + .io_scan_mode(rvclkhdr_522_io_scan_mode) + ); + rvclkhdr rvclkhdr_523 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_523_io_l1clk), + .io_clk(rvclkhdr_523_io_clk), + .io_en(rvclkhdr_523_io_en), + .io_scan_mode(rvclkhdr_523_io_scan_mode) + ); + rvclkhdr rvclkhdr_524 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_524_io_l1clk), + .io_clk(rvclkhdr_524_io_clk), + .io_en(rvclkhdr_524_io_en), + .io_scan_mode(rvclkhdr_524_io_scan_mode) + ); + rvclkhdr rvclkhdr_525 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_525_io_l1clk), + .io_clk(rvclkhdr_525_io_clk), + .io_en(rvclkhdr_525_io_en), + .io_scan_mode(rvclkhdr_525_io_scan_mode) + ); + rvclkhdr rvclkhdr_526 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_526_io_l1clk), + .io_clk(rvclkhdr_526_io_clk), + .io_en(rvclkhdr_526_io_en), + .io_scan_mode(rvclkhdr_526_io_scan_mode) + ); + rvclkhdr rvclkhdr_527 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_527_io_l1clk), + .io_clk(rvclkhdr_527_io_clk), + .io_en(rvclkhdr_527_io_en), + .io_scan_mode(rvclkhdr_527_io_scan_mode) + ); + rvclkhdr rvclkhdr_528 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_528_io_l1clk), + .io_clk(rvclkhdr_528_io_clk), + .io_en(rvclkhdr_528_io_en), + .io_scan_mode(rvclkhdr_528_io_scan_mode) + ); + rvclkhdr rvclkhdr_529 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_529_io_l1clk), + .io_clk(rvclkhdr_529_io_clk), + .io_en(rvclkhdr_529_io_en), + .io_scan_mode(rvclkhdr_529_io_scan_mode) + ); + rvclkhdr rvclkhdr_530 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_530_io_l1clk), + .io_clk(rvclkhdr_530_io_clk), + .io_en(rvclkhdr_530_io_en), + .io_scan_mode(rvclkhdr_530_io_scan_mode) + ); + rvclkhdr rvclkhdr_531 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_531_io_l1clk), + .io_clk(rvclkhdr_531_io_clk), + .io_en(rvclkhdr_531_io_en), + .io_scan_mode(rvclkhdr_531_io_scan_mode) + ); + rvclkhdr rvclkhdr_532 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_532_io_l1clk), + .io_clk(rvclkhdr_532_io_clk), + .io_en(rvclkhdr_532_io_en), + .io_scan_mode(rvclkhdr_532_io_scan_mode) + ); + rvclkhdr rvclkhdr_533 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_533_io_l1clk), + .io_clk(rvclkhdr_533_io_clk), + .io_en(rvclkhdr_533_io_en), + .io_scan_mode(rvclkhdr_533_io_scan_mode) + ); + rvclkhdr rvclkhdr_534 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_534_io_l1clk), + .io_clk(rvclkhdr_534_io_clk), + .io_en(rvclkhdr_534_io_en), + .io_scan_mode(rvclkhdr_534_io_scan_mode) + ); + rvclkhdr rvclkhdr_535 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_535_io_l1clk), + .io_clk(rvclkhdr_535_io_clk), + .io_en(rvclkhdr_535_io_en), + .io_scan_mode(rvclkhdr_535_io_scan_mode) + ); + rvclkhdr rvclkhdr_536 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_536_io_l1clk), + .io_clk(rvclkhdr_536_io_clk), + .io_en(rvclkhdr_536_io_en), + .io_scan_mode(rvclkhdr_536_io_scan_mode) + ); + rvclkhdr rvclkhdr_537 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_537_io_l1clk), + .io_clk(rvclkhdr_537_io_clk), + .io_en(rvclkhdr_537_io_en), + .io_scan_mode(rvclkhdr_537_io_scan_mode) + ); + rvclkhdr rvclkhdr_538 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_538_io_l1clk), + .io_clk(rvclkhdr_538_io_clk), + .io_en(rvclkhdr_538_io_en), + .io_scan_mode(rvclkhdr_538_io_scan_mode) + ); + rvclkhdr rvclkhdr_539 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_539_io_l1clk), + .io_clk(rvclkhdr_539_io_clk), + .io_en(rvclkhdr_539_io_en), + .io_scan_mode(rvclkhdr_539_io_scan_mode) + ); + rvclkhdr rvclkhdr_540 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_540_io_l1clk), + .io_clk(rvclkhdr_540_io_clk), + .io_en(rvclkhdr_540_io_en), + .io_scan_mode(rvclkhdr_540_io_scan_mode) + ); + rvclkhdr rvclkhdr_541 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_541_io_l1clk), + .io_clk(rvclkhdr_541_io_clk), + .io_en(rvclkhdr_541_io_en), + .io_scan_mode(rvclkhdr_541_io_scan_mode) + ); + rvclkhdr rvclkhdr_542 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_542_io_l1clk), + .io_clk(rvclkhdr_542_io_clk), + .io_en(rvclkhdr_542_io_en), + .io_scan_mode(rvclkhdr_542_io_scan_mode) + ); + rvclkhdr rvclkhdr_543 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_543_io_l1clk), + .io_clk(rvclkhdr_543_io_clk), + .io_en(rvclkhdr_543_io_en), + .io_scan_mode(rvclkhdr_543_io_scan_mode) + ); + rvclkhdr rvclkhdr_544 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_544_io_l1clk), + .io_clk(rvclkhdr_544_io_clk), + .io_en(rvclkhdr_544_io_en), + .io_scan_mode(rvclkhdr_544_io_scan_mode) + ); + rvclkhdr rvclkhdr_545 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_545_io_l1clk), + .io_clk(rvclkhdr_545_io_clk), + .io_en(rvclkhdr_545_io_en), + .io_scan_mode(rvclkhdr_545_io_scan_mode) + ); + rvclkhdr rvclkhdr_546 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_546_io_l1clk), + .io_clk(rvclkhdr_546_io_clk), + .io_en(rvclkhdr_546_io_en), + .io_scan_mode(rvclkhdr_546_io_scan_mode) + ); + rvclkhdr rvclkhdr_547 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_547_io_l1clk), + .io_clk(rvclkhdr_547_io_clk), + .io_en(rvclkhdr_547_io_en), + .io_scan_mode(rvclkhdr_547_io_scan_mode) + ); + rvclkhdr rvclkhdr_548 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_548_io_l1clk), + .io_clk(rvclkhdr_548_io_clk), + .io_en(rvclkhdr_548_io_en), + .io_scan_mode(rvclkhdr_548_io_scan_mode) + ); + rvclkhdr rvclkhdr_549 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_549_io_l1clk), + .io_clk(rvclkhdr_549_io_clk), + .io_en(rvclkhdr_549_io_en), + .io_scan_mode(rvclkhdr_549_io_scan_mode) + ); + rvclkhdr rvclkhdr_550 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_550_io_l1clk), + .io_clk(rvclkhdr_550_io_clk), + .io_en(rvclkhdr_550_io_en), + .io_scan_mode(rvclkhdr_550_io_scan_mode) + ); + rvclkhdr rvclkhdr_551 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_551_io_l1clk), + .io_clk(rvclkhdr_551_io_clk), + .io_en(rvclkhdr_551_io_en), + .io_scan_mode(rvclkhdr_551_io_scan_mode) + ); + rvclkhdr rvclkhdr_552 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_552_io_l1clk), + .io_clk(rvclkhdr_552_io_clk), + .io_en(rvclkhdr_552_io_en), + .io_scan_mode(rvclkhdr_552_io_scan_mode) + ); + rvclkhdr rvclkhdr_553 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_553_io_l1clk), + .io_clk(rvclkhdr_553_io_clk), + .io_en(rvclkhdr_553_io_en), + .io_scan_mode(rvclkhdr_553_io_scan_mode) + ); + assign io_ifu_bp_hit_taken_f = _T_238 & _T_239; // @[ifu_bp_ctl.scala 261:25] + assign io_ifu_bp_btb_target_f = _T_429 ? rets_out_0[31:1] : bp_btb_target_adder_f[31:1]; // @[ifu_bp_ctl.scala 357:26] + assign io_ifu_bp_inst_mask_f = _T_275 | _T_276; // @[ifu_bp_ctl.scala 285:25] + assign io_ifu_bp_fghr_f = fghr; // @[ifu_bp_ctl.scala 325:20] + assign io_ifu_bp_way_f = tag_match_vway1_expanded_f | _T_213; // @[ifu_bp_ctl.scala 235:19] + assign io_ifu_bp_ret_f = {_T_295,_T_301}; // @[ifu_bp_ctl.scala 331:19] + assign io_ifu_bp_hist1_f = bht_force_taken_f | _T_280; // @[ifu_bp_ctl.scala 326:21] + assign io_ifu_bp_hist0_f = {bht_vbank1_rd_data_f[0],bht_vbank0_rd_data_f[0]}; // @[ifu_bp_ctl.scala 327:21] + assign io_ifu_bp_pc4_f = {_T_286,_T_289}; // @[ifu_bp_ctl.scala 328:19] + assign io_ifu_bp_valid_f = bht_valid_f & _T_345; // @[ifu_bp_ctl.scala 330:21] + assign io_ifu_bp_poffset_f = btb_sel_data_f[15:4]; // @[ifu_bp_ctl.scala 344:23] + assign rvclkhdr_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_io_en = io_ifc_fetch_req_f | exu_mp_valid; // @[lib.scala 371:17] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_1_io_en = _T_376 & io_ic_hit_f; // @[lib.scala 371:17] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_2_io_en = ~rs_hold; // @[lib.scala 371:17] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_3_io_en = rs_push | rs_pop; // @[lib.scala 371:17] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_4_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_4_io_en = rs_push | rs_pop; // @[lib.scala 371:17] + assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_5_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_5_io_en = rs_push | rs_pop; // @[lib.scala 371:17] + assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_6_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_6_io_en = rs_push | rs_pop; // @[lib.scala 371:17] + assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_7_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_7_io_en = rs_push | rs_pop; // @[lib.scala 371:17] + assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_8_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_8_io_en = rs_push | rs_pop; // @[lib.scala 371:17] + assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_9_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_9_io_en = _T_473 & io_ifu_bp_hit_taken_f; // @[lib.scala 371:17] + assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_10_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_10_io_en = _T_576 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_11_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_11_io_en = _T_579 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_12_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_12_io_en = _T_582 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_12_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_13_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_13_io_en = _T_585 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_13_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_14_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_14_io_en = _T_588 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_14_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_15_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_15_io_en = _T_591 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_15_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_16_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_16_io_en = _T_594 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_16_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_17_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_17_io_en = _T_597 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_17_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_18_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_18_io_en = _T_600 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_18_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_19_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_19_io_en = _T_603 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_19_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_20_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_20_io_en = _T_606 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_20_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_21_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_21_io_en = _T_609 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_21_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_22_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_22_io_en = _T_612 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_22_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_23_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_23_io_en = _T_615 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_23_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_24_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_24_io_en = _T_618 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_24_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_25_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_25_io_en = _T_621 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_25_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_26_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_26_io_en = _T_624 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_26_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_27_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_27_io_en = _T_627 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_27_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_28_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_28_io_en = _T_630 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_28_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_29_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_29_io_en = _T_633 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_29_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_30_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_30_io_en = _T_636 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_30_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_31_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_31_io_en = _T_639 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_31_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_32_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_32_io_en = _T_642 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_32_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_33_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_33_io_en = _T_645 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_33_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_34_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_34_io_en = _T_648 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_34_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_35_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_35_io_en = _T_651 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_35_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_36_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_36_io_en = _T_654 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_36_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_37_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_37_io_en = _T_657 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_37_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_38_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_38_io_en = _T_660 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_38_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_39_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_39_io_en = _T_663 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_39_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_40_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_40_io_en = _T_666 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_40_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_41_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_41_io_en = _T_669 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_41_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_42_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_42_io_en = _T_672 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_42_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_43_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_43_io_en = _T_675 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_43_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_44_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_44_io_en = _T_678 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_44_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_45_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_45_io_en = _T_681 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_45_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_46_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_46_io_en = _T_684 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_46_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_47_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_47_io_en = _T_687 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_47_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_48_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_48_io_en = _T_690 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_48_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_49_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_49_io_en = _T_693 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_49_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_50_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_50_io_en = _T_696 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_50_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_51_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_51_io_en = _T_699 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_51_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_52_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_52_io_en = _T_702 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_52_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_53_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_53_io_en = _T_705 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_53_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_54_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_54_io_en = _T_708 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_54_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_55_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_55_io_en = _T_711 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_55_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_56_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_56_io_en = _T_714 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_56_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_57_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_57_io_en = _T_717 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_57_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_58_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_58_io_en = _T_720 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_58_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_59_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_59_io_en = _T_723 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_59_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_60_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_60_io_en = _T_726 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_60_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_61_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_61_io_en = _T_729 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_61_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_62_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_62_io_en = _T_732 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_62_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_63_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_63_io_en = _T_735 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_63_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_64_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_64_io_en = _T_738 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_64_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_65_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_65_io_en = _T_741 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_65_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_66_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_66_io_en = _T_744 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_66_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_67_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_67_io_en = _T_747 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_67_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_68_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_68_io_en = _T_750 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_68_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_69_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_69_io_en = _T_753 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_69_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_70_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_70_io_en = _T_756 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_70_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_71_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_71_io_en = _T_759 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_71_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_72_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_72_io_en = _T_762 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_72_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_73_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_73_io_en = _T_765 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_73_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_74_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_74_io_en = _T_768 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_74_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_75_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_75_io_en = _T_771 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_75_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_76_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_76_io_en = _T_774 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_76_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_77_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_77_io_en = _T_777 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_77_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_78_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_78_io_en = _T_780 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_78_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_79_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_79_io_en = _T_783 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_79_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_80_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_80_io_en = _T_786 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_80_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_81_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_81_io_en = _T_789 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_81_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_82_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_82_io_en = _T_792 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_82_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_83_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_83_io_en = _T_795 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_83_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_84_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_84_io_en = _T_798 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_84_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_85_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_85_io_en = _T_801 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_85_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_86_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_86_io_en = _T_804 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_86_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_87_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_87_io_en = _T_807 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_87_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_88_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_88_io_en = _T_810 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_88_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_89_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_89_io_en = _T_813 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_89_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_90_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_90_io_en = _T_816 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_90_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_91_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_91_io_en = _T_819 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_91_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_92_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_92_io_en = _T_822 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_92_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_93_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_93_io_en = _T_825 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_93_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_94_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_94_io_en = _T_828 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_94_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_95_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_95_io_en = _T_831 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_95_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_96_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_96_io_en = _T_834 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_96_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_97_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_97_io_en = _T_837 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_97_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_98_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_98_io_en = _T_840 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_98_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_99_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_99_io_en = _T_843 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_99_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_100_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_100_io_en = _T_846 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_100_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_101_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_101_io_en = _T_849 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_101_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_102_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_102_io_en = _T_852 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_102_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_103_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_103_io_en = _T_855 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_103_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_104_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_104_io_en = _T_858 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_104_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_105_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_105_io_en = _T_861 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_105_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_106_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_106_io_en = _T_864 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_106_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_107_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_107_io_en = _T_867 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_107_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_108_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_108_io_en = _T_870 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_108_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_109_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_109_io_en = _T_873 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_109_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_110_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_110_io_en = _T_876 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_110_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_111_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_111_io_en = _T_879 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_111_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_112_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_112_io_en = _T_882 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_112_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_113_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_113_io_en = _T_885 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_113_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_114_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_114_io_en = _T_888 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_114_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_115_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_115_io_en = _T_891 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_115_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_116_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_116_io_en = _T_894 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_116_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_117_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_117_io_en = _T_897 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_117_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_118_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_118_io_en = _T_900 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_118_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_119_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_119_io_en = _T_903 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_119_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_120_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_120_io_en = _T_906 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_120_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_121_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_121_io_en = _T_909 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_121_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_122_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_122_io_en = _T_912 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_122_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_123_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_123_io_en = _T_915 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_123_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_124_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_124_io_en = _T_918 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_124_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_125_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_125_io_en = _T_921 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_125_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_126_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_126_io_en = _T_924 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_126_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_127_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_127_io_en = _T_927 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_127_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_128_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_128_io_en = _T_930 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_128_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_129_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_129_io_en = _T_933 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_129_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_130_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_130_io_en = _T_936 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_130_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_131_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_131_io_en = _T_939 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_131_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_132_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_132_io_en = _T_942 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_132_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_133_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_133_io_en = _T_945 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_133_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_134_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_134_io_en = _T_948 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_134_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_135_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_135_io_en = _T_951 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_135_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_136_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_136_io_en = _T_954 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_136_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_137_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_137_io_en = _T_957 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_137_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_138_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_138_io_en = _T_960 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_138_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_139_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_139_io_en = _T_963 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_139_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_140_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_140_io_en = _T_966 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_140_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_141_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_141_io_en = _T_969 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_141_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_142_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_142_io_en = _T_972 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_142_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_143_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_143_io_en = _T_975 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_143_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_144_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_144_io_en = _T_978 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_144_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_145_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_145_io_en = _T_981 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_145_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_146_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_146_io_en = _T_984 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_146_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_147_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_147_io_en = _T_987 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_147_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_148_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_148_io_en = _T_990 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_148_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_149_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_149_io_en = _T_993 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_149_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_150_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_150_io_en = _T_996 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_150_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_151_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_151_io_en = _T_999 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_151_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_152_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_152_io_en = _T_1002 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_152_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_153_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_153_io_en = _T_1005 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_153_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_154_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_154_io_en = _T_1008 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_154_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_155_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_155_io_en = _T_1011 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_155_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_156_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_156_io_en = _T_1014 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_156_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_157_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_157_io_en = _T_1017 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_157_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_158_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_158_io_en = _T_1020 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_158_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_159_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_159_io_en = _T_1023 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_159_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_160_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_160_io_en = _T_1026 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_160_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_161_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_161_io_en = _T_1029 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_161_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_162_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_162_io_en = _T_1032 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_162_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_163_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_163_io_en = _T_1035 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_163_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_164_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_164_io_en = _T_1038 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_164_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_165_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_165_io_en = _T_1041 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_165_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_166_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_166_io_en = _T_1044 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_166_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_167_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_167_io_en = _T_1047 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_167_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_168_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_168_io_en = _T_1050 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_168_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_169_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_169_io_en = _T_1053 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_169_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_170_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_170_io_en = _T_1056 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_170_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_171_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_171_io_en = _T_1059 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_171_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_172_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_172_io_en = _T_1062 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_172_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_173_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_173_io_en = _T_1065 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_173_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_174_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_174_io_en = _T_1068 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_174_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_175_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_175_io_en = _T_1071 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_175_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_176_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_176_io_en = _T_1074 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_176_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_177_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_177_io_en = _T_1077 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_177_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_178_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_178_io_en = _T_1080 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_178_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_179_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_179_io_en = _T_1083 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_179_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_180_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_180_io_en = _T_1086 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_180_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_181_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_181_io_en = _T_1089 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_181_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_182_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_182_io_en = _T_1092 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_182_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_183_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_183_io_en = _T_1095 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_183_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_184_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_184_io_en = _T_1098 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_184_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_185_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_185_io_en = _T_1101 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_185_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_186_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_186_io_en = _T_1104 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_186_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_187_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_187_io_en = _T_1107 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_187_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_188_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_188_io_en = _T_1110 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_188_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_189_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_189_io_en = _T_1113 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_189_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_190_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_190_io_en = _T_1116 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_190_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_191_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_191_io_en = _T_1119 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_191_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_192_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_192_io_en = _T_1122 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_192_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_193_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_193_io_en = _T_1125 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_193_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_194_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_194_io_en = _T_1128 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_194_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_195_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_195_io_en = _T_1131 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_195_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_196_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_196_io_en = _T_1134 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_196_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_197_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_197_io_en = _T_1137 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_197_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_198_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_198_io_en = _T_1140 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_198_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_199_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_199_io_en = _T_1143 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_199_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_200_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_200_io_en = _T_1146 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_200_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_201_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_201_io_en = _T_1149 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_201_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_202_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_202_io_en = _T_1152 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_202_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_203_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_203_io_en = _T_1155 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_203_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_204_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_204_io_en = _T_1158 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_204_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_205_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_205_io_en = _T_1161 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_205_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_206_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_206_io_en = _T_1164 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_206_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_207_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_207_io_en = _T_1167 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_207_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_208_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_208_io_en = _T_1170 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_208_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_209_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_209_io_en = _T_1173 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_209_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_210_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_210_io_en = _T_1176 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_210_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_211_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_211_io_en = _T_1179 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_211_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_212_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_212_io_en = _T_1182 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_212_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_213_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_213_io_en = _T_1185 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_213_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_214_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_214_io_en = _T_1188 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_214_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_215_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_215_io_en = _T_1191 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_215_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_216_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_216_io_en = _T_1194 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_216_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_217_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_217_io_en = _T_1197 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_217_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_218_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_218_io_en = _T_1200 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_218_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_219_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_219_io_en = _T_1203 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_219_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_220_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_220_io_en = _T_1206 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_220_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_221_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_221_io_en = _T_1209 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_221_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_222_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_222_io_en = _T_1212 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_222_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_223_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_223_io_en = _T_1215 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_223_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_224_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_224_io_en = _T_1218 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_224_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_225_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_225_io_en = _T_1221 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_225_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_226_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_226_io_en = _T_1224 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_226_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_227_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_227_io_en = _T_1227 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_227_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_228_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_228_io_en = _T_1230 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_228_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_229_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_229_io_en = _T_1233 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_229_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_230_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_230_io_en = _T_1236 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_230_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_231_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_231_io_en = _T_1239 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_231_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_232_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_232_io_en = _T_1242 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_232_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_233_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_233_io_en = _T_1245 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_233_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_234_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_234_io_en = _T_1248 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_234_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_235_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_235_io_en = _T_1251 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_235_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_236_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_236_io_en = _T_1254 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_236_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_237_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_237_io_en = _T_1257 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_237_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_238_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_238_io_en = _T_1260 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_238_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_239_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_239_io_en = _T_1263 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_239_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_240_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_240_io_en = _T_1266 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_240_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_241_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_241_io_en = _T_1269 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_241_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_242_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_242_io_en = _T_1272 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_242_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_243_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_243_io_en = _T_1275 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_243_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_244_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_244_io_en = _T_1278 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_244_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_245_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_245_io_en = _T_1281 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_245_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_246_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_246_io_en = _T_1284 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_246_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_247_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_247_io_en = _T_1287 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_247_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_248_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_248_io_en = _T_1290 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_248_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_249_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_249_io_en = _T_1293 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_249_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_250_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_250_io_en = _T_1296 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_250_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_251_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_251_io_en = _T_1299 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_251_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_252_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_252_io_en = _T_1302 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_252_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_253_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_253_io_en = _T_1305 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_253_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_254_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_254_io_en = _T_1308 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_254_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_255_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_255_io_en = _T_1311 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_255_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_256_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_256_io_en = _T_1314 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_256_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_257_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_257_io_en = _T_1317 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_257_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_258_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_258_io_en = _T_1320 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_258_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_259_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_259_io_en = _T_1323 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_259_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_260_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_260_io_en = _T_1326 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_260_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_261_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_261_io_en = _T_1329 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_261_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_262_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_262_io_en = _T_1332 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_262_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_263_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_263_io_en = _T_1335 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_263_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_264_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_264_io_en = _T_1338 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_264_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_265_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_265_io_en = _T_1341 & btb_wr_en_way0; // @[lib.scala 371:17] + assign rvclkhdr_265_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_266_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_266_io_en = _T_576 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_266_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_267_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_267_io_en = _T_579 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_267_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_268_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_268_io_en = _T_582 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_268_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_269_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_269_io_en = _T_585 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_269_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_270_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_270_io_en = _T_588 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_270_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_271_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_271_io_en = _T_591 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_271_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_272_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_272_io_en = _T_594 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_272_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_273_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_273_io_en = _T_597 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_273_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_274_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_274_io_en = _T_600 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_274_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_275_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_275_io_en = _T_603 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_275_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_276_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_276_io_en = _T_606 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_276_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_277_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_277_io_en = _T_609 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_277_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_278_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_278_io_en = _T_612 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_278_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_279_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_279_io_en = _T_615 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_279_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_280_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_280_io_en = _T_618 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_280_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_281_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_281_io_en = _T_621 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_281_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_282_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_282_io_en = _T_624 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_282_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_283_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_283_io_en = _T_627 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_283_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_284_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_284_io_en = _T_630 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_284_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_285_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_285_io_en = _T_633 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_285_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_286_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_286_io_en = _T_636 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_286_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_287_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_287_io_en = _T_639 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_287_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_288_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_288_io_en = _T_642 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_288_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_289_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_289_io_en = _T_645 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_289_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_290_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_290_io_en = _T_648 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_290_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_291_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_291_io_en = _T_651 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_291_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_292_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_292_io_en = _T_654 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_292_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_293_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_293_io_en = _T_657 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_293_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_294_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_294_io_en = _T_660 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_294_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_295_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_295_io_en = _T_663 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_295_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_296_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_296_io_en = _T_666 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_296_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_297_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_297_io_en = _T_669 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_297_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_298_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_298_io_en = _T_672 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_298_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_299_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_299_io_en = _T_675 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_299_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_300_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_300_io_en = _T_678 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_300_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_301_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_301_io_en = _T_681 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_301_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_302_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_302_io_en = _T_684 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_302_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_303_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_303_io_en = _T_687 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_303_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_304_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_304_io_en = _T_690 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_304_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_305_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_305_io_en = _T_693 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_305_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_306_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_306_io_en = _T_696 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_306_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_307_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_307_io_en = _T_699 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_307_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_308_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_308_io_en = _T_702 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_308_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_309_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_309_io_en = _T_705 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_309_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_310_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_310_io_en = _T_708 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_310_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_311_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_311_io_en = _T_711 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_311_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_312_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_312_io_en = _T_714 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_312_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_313_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_313_io_en = _T_717 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_313_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_314_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_314_io_en = _T_720 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_314_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_315_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_315_io_en = _T_723 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_315_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_316_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_316_io_en = _T_726 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_316_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_317_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_317_io_en = _T_729 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_317_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_318_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_318_io_en = _T_732 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_318_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_319_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_319_io_en = _T_735 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_319_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_320_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_320_io_en = _T_738 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_320_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_321_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_321_io_en = _T_741 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_321_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_322_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_322_io_en = _T_744 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_322_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_323_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_323_io_en = _T_747 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_323_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_324_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_324_io_en = _T_750 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_324_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_325_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_325_io_en = _T_753 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_325_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_326_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_326_io_en = _T_756 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_326_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_327_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_327_io_en = _T_759 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_327_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_328_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_328_io_en = _T_762 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_328_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_329_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_329_io_en = _T_765 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_329_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_330_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_330_io_en = _T_768 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_330_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_331_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_331_io_en = _T_771 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_331_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_332_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_332_io_en = _T_774 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_332_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_333_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_333_io_en = _T_777 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_333_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_334_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_334_io_en = _T_780 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_334_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_335_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_335_io_en = _T_783 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_335_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_336_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_336_io_en = _T_786 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_336_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_337_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_337_io_en = _T_789 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_337_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_338_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_338_io_en = _T_792 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_338_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_339_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_339_io_en = _T_795 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_339_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_340_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_340_io_en = _T_798 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_340_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_341_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_341_io_en = _T_801 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_341_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_342_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_342_io_en = _T_804 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_342_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_343_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_343_io_en = _T_807 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_343_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_344_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_344_io_en = _T_810 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_344_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_345_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_345_io_en = _T_813 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_345_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_346_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_346_io_en = _T_816 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_346_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_347_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_347_io_en = _T_819 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_347_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_348_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_348_io_en = _T_822 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_348_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_349_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_349_io_en = _T_825 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_349_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_350_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_350_io_en = _T_828 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_350_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_351_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_351_io_en = _T_831 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_351_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_352_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_352_io_en = _T_834 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_352_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_353_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_353_io_en = _T_837 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_353_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_354_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_354_io_en = _T_840 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_354_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_355_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_355_io_en = _T_843 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_355_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_356_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_356_io_en = _T_846 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_356_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_357_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_357_io_en = _T_849 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_357_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_358_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_358_io_en = _T_852 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_358_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_359_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_359_io_en = _T_855 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_359_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_360_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_360_io_en = _T_858 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_360_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_361_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_361_io_en = _T_861 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_361_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_362_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_362_io_en = _T_864 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_362_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_363_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_363_io_en = _T_867 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_363_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_364_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_364_io_en = _T_870 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_364_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_365_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_365_io_en = _T_873 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_365_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_366_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_366_io_en = _T_876 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_366_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_367_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_367_io_en = _T_879 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_367_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_368_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_368_io_en = _T_882 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_368_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_369_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_369_io_en = _T_885 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_369_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_370_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_370_io_en = _T_888 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_370_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_371_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_371_io_en = _T_891 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_371_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_372_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_372_io_en = _T_894 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_372_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_373_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_373_io_en = _T_897 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_373_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_374_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_374_io_en = _T_900 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_374_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_375_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_375_io_en = _T_903 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_375_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_376_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_376_io_en = _T_906 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_376_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_377_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_377_io_en = _T_909 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_377_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_378_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_378_io_en = _T_912 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_378_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_379_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_379_io_en = _T_915 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_379_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_380_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_380_io_en = _T_918 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_380_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_381_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_381_io_en = _T_921 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_381_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_382_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_382_io_en = _T_924 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_382_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_383_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_383_io_en = _T_927 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_383_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_384_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_384_io_en = _T_930 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_384_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_385_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_385_io_en = _T_933 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_385_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_386_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_386_io_en = _T_936 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_386_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_387_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_387_io_en = _T_939 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_387_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_388_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_388_io_en = _T_942 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_388_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_389_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_389_io_en = _T_945 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_389_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_390_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_390_io_en = _T_948 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_390_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_391_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_391_io_en = _T_951 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_391_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_392_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_392_io_en = _T_954 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_392_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_393_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_393_io_en = _T_957 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_393_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_394_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_394_io_en = _T_960 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_394_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_395_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_395_io_en = _T_963 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_395_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_396_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_396_io_en = _T_966 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_396_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_397_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_397_io_en = _T_969 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_397_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_398_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_398_io_en = _T_972 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_398_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_399_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_399_io_en = _T_975 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_399_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_400_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_400_io_en = _T_978 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_400_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_401_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_401_io_en = _T_981 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_401_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_402_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_402_io_en = _T_984 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_402_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_403_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_403_io_en = _T_987 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_403_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_404_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_404_io_en = _T_990 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_404_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_405_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_405_io_en = _T_993 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_405_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_406_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_406_io_en = _T_996 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_406_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_407_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_407_io_en = _T_999 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_407_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_408_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_408_io_en = _T_1002 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_408_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_409_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_409_io_en = _T_1005 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_409_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_410_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_410_io_en = _T_1008 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_410_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_411_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_411_io_en = _T_1011 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_411_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_412_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_412_io_en = _T_1014 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_412_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_413_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_413_io_en = _T_1017 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_413_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_414_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_414_io_en = _T_1020 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_414_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_415_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_415_io_en = _T_1023 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_415_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_416_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_416_io_en = _T_1026 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_416_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_417_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_417_io_en = _T_1029 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_417_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_418_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_418_io_en = _T_1032 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_418_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_419_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_419_io_en = _T_1035 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_419_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_420_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_420_io_en = _T_1038 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_420_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_421_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_421_io_en = _T_1041 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_421_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_422_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_422_io_en = _T_1044 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_422_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_423_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_423_io_en = _T_1047 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_423_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_424_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_424_io_en = _T_1050 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_424_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_425_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_425_io_en = _T_1053 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_425_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_426_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_426_io_en = _T_1056 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_426_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_427_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_427_io_en = _T_1059 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_427_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_428_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_428_io_en = _T_1062 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_428_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_429_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_429_io_en = _T_1065 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_429_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_430_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_430_io_en = _T_1068 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_430_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_431_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_431_io_en = _T_1071 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_431_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_432_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_432_io_en = _T_1074 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_432_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_433_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_433_io_en = _T_1077 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_433_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_434_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_434_io_en = _T_1080 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_434_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_435_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_435_io_en = _T_1083 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_435_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_436_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_436_io_en = _T_1086 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_436_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_437_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_437_io_en = _T_1089 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_437_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_438_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_438_io_en = _T_1092 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_438_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_439_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_439_io_en = _T_1095 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_439_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_440_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_440_io_en = _T_1098 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_440_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_441_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_441_io_en = _T_1101 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_441_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_442_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_442_io_en = _T_1104 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_442_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_443_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_443_io_en = _T_1107 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_443_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_444_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_444_io_en = _T_1110 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_444_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_445_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_445_io_en = _T_1113 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_445_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_446_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_446_io_en = _T_1116 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_446_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_447_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_447_io_en = _T_1119 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_447_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_448_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_448_io_en = _T_1122 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_448_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_449_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_449_io_en = _T_1125 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_449_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_450_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_450_io_en = _T_1128 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_450_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_451_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_451_io_en = _T_1131 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_451_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_452_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_452_io_en = _T_1134 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_452_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_453_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_453_io_en = _T_1137 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_453_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_454_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_454_io_en = _T_1140 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_454_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_455_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_455_io_en = _T_1143 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_455_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_456_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_456_io_en = _T_1146 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_456_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_457_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_457_io_en = _T_1149 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_457_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_458_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_458_io_en = _T_1152 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_458_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_459_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_459_io_en = _T_1155 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_459_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_460_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_460_io_en = _T_1158 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_460_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_461_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_461_io_en = _T_1161 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_461_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_462_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_462_io_en = _T_1164 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_462_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_463_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_463_io_en = _T_1167 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_463_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_464_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_464_io_en = _T_1170 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_464_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_465_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_465_io_en = _T_1173 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_465_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_466_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_466_io_en = _T_1176 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_466_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_467_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_467_io_en = _T_1179 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_467_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_468_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_468_io_en = _T_1182 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_468_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_469_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_469_io_en = _T_1185 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_469_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_470_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_470_io_en = _T_1188 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_470_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_471_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_471_io_en = _T_1191 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_471_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_472_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_472_io_en = _T_1194 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_472_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_473_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_473_io_en = _T_1197 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_473_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_474_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_474_io_en = _T_1200 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_474_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_475_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_475_io_en = _T_1203 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_475_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_476_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_476_io_en = _T_1206 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_476_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_477_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_477_io_en = _T_1209 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_477_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_478_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_478_io_en = _T_1212 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_478_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_479_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_479_io_en = _T_1215 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_479_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_480_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_480_io_en = _T_1218 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_480_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_481_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_481_io_en = _T_1221 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_481_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_482_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_482_io_en = _T_1224 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_482_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_483_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_483_io_en = _T_1227 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_483_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_484_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_484_io_en = _T_1230 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_484_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_485_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_485_io_en = _T_1233 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_485_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_486_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_486_io_en = _T_1236 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_486_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_487_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_487_io_en = _T_1239 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_487_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_488_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_488_io_en = _T_1242 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_488_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_489_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_489_io_en = _T_1245 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_489_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_490_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_490_io_en = _T_1248 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_490_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_491_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_491_io_en = _T_1251 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_491_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_492_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_492_io_en = _T_1254 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_492_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_493_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_493_io_en = _T_1257 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_493_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_494_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_494_io_en = _T_1260 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_494_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_495_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_495_io_en = _T_1263 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_495_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_496_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_496_io_en = _T_1266 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_496_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_497_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_497_io_en = _T_1269 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_497_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_498_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_498_io_en = _T_1272 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_498_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_499_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_499_io_en = _T_1275 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_499_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_500_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_500_io_en = _T_1278 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_500_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_501_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_501_io_en = _T_1281 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_501_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_502_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_502_io_en = _T_1284 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_502_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_503_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_503_io_en = _T_1287 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_503_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_504_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_504_io_en = _T_1290 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_504_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_505_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_505_io_en = _T_1293 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_505_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_506_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_506_io_en = _T_1296 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_506_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_507_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_507_io_en = _T_1299 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_507_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_508_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_508_io_en = _T_1302 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_508_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_509_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_509_io_en = _T_1305 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_509_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_510_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_510_io_en = _T_1308 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_510_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_511_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_511_io_en = _T_1311 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_511_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_512_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_512_io_en = _T_1314 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_512_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_513_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_513_io_en = _T_1317 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_513_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_514_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_514_io_en = _T_1320 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_514_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_515_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_515_io_en = _T_1323 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_515_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_516_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_516_io_en = _T_1326 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_516_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_517_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_517_io_en = _T_1329 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_517_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_518_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_518_io_en = _T_1332 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_518_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_519_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_519_io_en = _T_1335 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_519_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_520_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_520_io_en = _T_1338 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_520_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_521_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_521_io_en = _T_1341 & btb_wr_en_way1; // @[lib.scala 371:17] + assign rvclkhdr_521_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_522_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_522_io_en = _T_6212 | _T_6217; // @[lib.scala 345:16] + assign rvclkhdr_522_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_523_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_523_io_en = _T_6223 | _T_6228; // @[lib.scala 345:16] + assign rvclkhdr_523_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_524_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_524_io_en = _T_6234 | _T_6239; // @[lib.scala 345:16] + assign rvclkhdr_524_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_525_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_525_io_en = _T_6245 | _T_6250; // @[lib.scala 345:16] + assign rvclkhdr_525_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_526_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_526_io_en = _T_6256 | _T_6261; // @[lib.scala 345:16] + assign rvclkhdr_526_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_527_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_527_io_en = _T_6267 | _T_6272; // @[lib.scala 345:16] + assign rvclkhdr_527_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_528_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_528_io_en = _T_6278 | _T_6283; // @[lib.scala 345:16] + assign rvclkhdr_528_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_529_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_529_io_en = _T_6289 | _T_6294; // @[lib.scala 345:16] + assign rvclkhdr_529_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_530_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_530_io_en = _T_6300 | _T_6305; // @[lib.scala 345:16] + assign rvclkhdr_530_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_531_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_531_io_en = _T_6311 | _T_6316; // @[lib.scala 345:16] + assign rvclkhdr_531_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_532_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_532_io_en = _T_6322 | _T_6327; // @[lib.scala 345:16] + assign rvclkhdr_532_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_533_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_533_io_en = _T_6333 | _T_6338; // @[lib.scala 345:16] + assign rvclkhdr_533_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_534_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_534_io_en = _T_6344 | _T_6349; // @[lib.scala 345:16] + assign rvclkhdr_534_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_535_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_535_io_en = _T_6355 | _T_6360; // @[lib.scala 345:16] + assign rvclkhdr_535_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_536_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_536_io_en = _T_6366 | _T_6371; // @[lib.scala 345:16] + assign rvclkhdr_536_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_537_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_537_io_en = _T_6377 | _T_6382; // @[lib.scala 345:16] + assign rvclkhdr_537_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_538_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_538_io_en = _T_6388 | _T_6393; // @[lib.scala 345:16] + assign rvclkhdr_538_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_539_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_539_io_en = _T_6399 | _T_6404; // @[lib.scala 345:16] + assign rvclkhdr_539_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_540_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_540_io_en = _T_6410 | _T_6415; // @[lib.scala 345:16] + assign rvclkhdr_540_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_541_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_541_io_en = _T_6421 | _T_6426; // @[lib.scala 345:16] + assign rvclkhdr_541_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_542_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_542_io_en = _T_6432 | _T_6437; // @[lib.scala 345:16] + assign rvclkhdr_542_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_543_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_543_io_en = _T_6443 | _T_6448; // @[lib.scala 345:16] + assign rvclkhdr_543_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_544_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_544_io_en = _T_6454 | _T_6459; // @[lib.scala 345:16] + assign rvclkhdr_544_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_545_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_545_io_en = _T_6465 | _T_6470; // @[lib.scala 345:16] + assign rvclkhdr_545_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_546_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_546_io_en = _T_6476 | _T_6481; // @[lib.scala 345:16] + assign rvclkhdr_546_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_547_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_547_io_en = _T_6487 | _T_6492; // @[lib.scala 345:16] + assign rvclkhdr_547_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_548_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_548_io_en = _T_6498 | _T_6503; // @[lib.scala 345:16] + assign rvclkhdr_548_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_549_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_549_io_en = _T_6509 | _T_6514; // @[lib.scala 345:16] + assign rvclkhdr_549_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_550_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_550_io_en = _T_6520 | _T_6525; // @[lib.scala 345:16] + assign rvclkhdr_550_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_551_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_551_io_en = _T_6531 | _T_6536; // @[lib.scala 345:16] + assign rvclkhdr_551_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_552_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_552_io_en = _T_6542 | _T_6547; // @[lib.scala 345:16] + assign rvclkhdr_552_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_553_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_553_io_en = _T_6553 | _T_6558; // @[lib.scala 345:16] + assign rvclkhdr_553_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + leak_one_f_d1 = _RAND_0[0:0]; + _RAND_1 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_0 = _RAND_1[21:0]; + _RAND_2 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_1 = _RAND_2[21:0]; + _RAND_3 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_2 = _RAND_3[21:0]; + _RAND_4 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_3 = _RAND_4[21:0]; + _RAND_5 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_4 = _RAND_5[21:0]; + _RAND_6 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_5 = _RAND_6[21:0]; + _RAND_7 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_6 = _RAND_7[21:0]; + _RAND_8 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_7 = _RAND_8[21:0]; + _RAND_9 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_8 = _RAND_9[21:0]; + _RAND_10 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_9 = _RAND_10[21:0]; + _RAND_11 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_10 = _RAND_11[21:0]; + _RAND_12 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_11 = _RAND_12[21:0]; + _RAND_13 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_12 = _RAND_13[21:0]; + _RAND_14 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_13 = _RAND_14[21:0]; + _RAND_15 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_14 = _RAND_15[21:0]; + _RAND_16 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_15 = _RAND_16[21:0]; + _RAND_17 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_16 = _RAND_17[21:0]; + _RAND_18 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_17 = _RAND_18[21:0]; + _RAND_19 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_18 = _RAND_19[21:0]; + _RAND_20 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_19 = _RAND_20[21:0]; + _RAND_21 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_20 = _RAND_21[21:0]; + _RAND_22 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_21 = _RAND_22[21:0]; + _RAND_23 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_22 = _RAND_23[21:0]; + _RAND_24 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_23 = _RAND_24[21:0]; + _RAND_25 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_24 = _RAND_25[21:0]; + _RAND_26 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_25 = _RAND_26[21:0]; + _RAND_27 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_26 = _RAND_27[21:0]; + _RAND_28 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_27 = _RAND_28[21:0]; + _RAND_29 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_28 = _RAND_29[21:0]; + _RAND_30 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_29 = _RAND_30[21:0]; + _RAND_31 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_30 = _RAND_31[21:0]; + _RAND_32 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_31 = _RAND_32[21:0]; + _RAND_33 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_32 = _RAND_33[21:0]; + _RAND_34 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_33 = _RAND_34[21:0]; + _RAND_35 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_34 = _RAND_35[21:0]; + _RAND_36 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_35 = _RAND_36[21:0]; + _RAND_37 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_36 = _RAND_37[21:0]; + _RAND_38 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_37 = _RAND_38[21:0]; + _RAND_39 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_38 = _RAND_39[21:0]; + _RAND_40 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_39 = _RAND_40[21:0]; + _RAND_41 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_40 = _RAND_41[21:0]; + _RAND_42 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_41 = _RAND_42[21:0]; + _RAND_43 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_42 = _RAND_43[21:0]; + _RAND_44 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_43 = _RAND_44[21:0]; + _RAND_45 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_44 = _RAND_45[21:0]; + _RAND_46 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_45 = _RAND_46[21:0]; + _RAND_47 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_46 = _RAND_47[21:0]; + _RAND_48 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_47 = _RAND_48[21:0]; + _RAND_49 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_48 = _RAND_49[21:0]; + _RAND_50 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_49 = _RAND_50[21:0]; + _RAND_51 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_50 = _RAND_51[21:0]; + _RAND_52 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_51 = _RAND_52[21:0]; + _RAND_53 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_52 = _RAND_53[21:0]; + _RAND_54 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_53 = _RAND_54[21:0]; + _RAND_55 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_54 = _RAND_55[21:0]; + _RAND_56 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_55 = _RAND_56[21:0]; + _RAND_57 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_56 = _RAND_57[21:0]; + _RAND_58 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_57 = _RAND_58[21:0]; + _RAND_59 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_58 = _RAND_59[21:0]; + _RAND_60 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_59 = _RAND_60[21:0]; + _RAND_61 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_60 = _RAND_61[21:0]; + _RAND_62 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_61 = _RAND_62[21:0]; + _RAND_63 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_62 = _RAND_63[21:0]; + _RAND_64 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_63 = _RAND_64[21:0]; + _RAND_65 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_64 = _RAND_65[21:0]; + _RAND_66 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_65 = _RAND_66[21:0]; + _RAND_67 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_66 = _RAND_67[21:0]; + _RAND_68 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_67 = _RAND_68[21:0]; + _RAND_69 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_68 = _RAND_69[21:0]; + _RAND_70 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_69 = _RAND_70[21:0]; + _RAND_71 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_70 = _RAND_71[21:0]; + _RAND_72 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_71 = _RAND_72[21:0]; + _RAND_73 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_72 = _RAND_73[21:0]; + _RAND_74 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_73 = _RAND_74[21:0]; + _RAND_75 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_74 = _RAND_75[21:0]; + _RAND_76 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_75 = _RAND_76[21:0]; + _RAND_77 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_76 = _RAND_77[21:0]; + _RAND_78 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_77 = _RAND_78[21:0]; + _RAND_79 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_78 = _RAND_79[21:0]; + _RAND_80 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_79 = _RAND_80[21:0]; + _RAND_81 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_80 = _RAND_81[21:0]; + _RAND_82 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_81 = _RAND_82[21:0]; + _RAND_83 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_82 = _RAND_83[21:0]; + _RAND_84 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_83 = _RAND_84[21:0]; + _RAND_85 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_84 = _RAND_85[21:0]; + _RAND_86 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_85 = _RAND_86[21:0]; + _RAND_87 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_86 = _RAND_87[21:0]; + _RAND_88 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_87 = _RAND_88[21:0]; + _RAND_89 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_88 = _RAND_89[21:0]; + _RAND_90 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_89 = _RAND_90[21:0]; + _RAND_91 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_90 = _RAND_91[21:0]; + _RAND_92 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_91 = _RAND_92[21:0]; + _RAND_93 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_92 = _RAND_93[21:0]; + _RAND_94 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_93 = _RAND_94[21:0]; + _RAND_95 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_94 = _RAND_95[21:0]; + _RAND_96 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_95 = _RAND_96[21:0]; + _RAND_97 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_96 = _RAND_97[21:0]; + _RAND_98 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_97 = _RAND_98[21:0]; + _RAND_99 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_98 = _RAND_99[21:0]; + _RAND_100 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_99 = _RAND_100[21:0]; + _RAND_101 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_100 = _RAND_101[21:0]; + _RAND_102 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_101 = _RAND_102[21:0]; + _RAND_103 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_102 = _RAND_103[21:0]; + _RAND_104 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_103 = _RAND_104[21:0]; + _RAND_105 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_104 = _RAND_105[21:0]; + _RAND_106 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_105 = _RAND_106[21:0]; + _RAND_107 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_106 = _RAND_107[21:0]; + _RAND_108 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_107 = _RAND_108[21:0]; + _RAND_109 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_108 = _RAND_109[21:0]; + _RAND_110 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_109 = _RAND_110[21:0]; + _RAND_111 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_110 = _RAND_111[21:0]; + _RAND_112 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_111 = _RAND_112[21:0]; + _RAND_113 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_112 = _RAND_113[21:0]; + _RAND_114 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_113 = _RAND_114[21:0]; + _RAND_115 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_114 = _RAND_115[21:0]; + _RAND_116 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_115 = _RAND_116[21:0]; + _RAND_117 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_116 = _RAND_117[21:0]; + _RAND_118 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_117 = _RAND_118[21:0]; + _RAND_119 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_118 = _RAND_119[21:0]; + _RAND_120 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_119 = _RAND_120[21:0]; + _RAND_121 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_120 = _RAND_121[21:0]; + _RAND_122 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_121 = _RAND_122[21:0]; + _RAND_123 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_122 = _RAND_123[21:0]; + _RAND_124 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_123 = _RAND_124[21:0]; + _RAND_125 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_124 = _RAND_125[21:0]; + _RAND_126 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_125 = _RAND_126[21:0]; + _RAND_127 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_126 = _RAND_127[21:0]; + _RAND_128 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_127 = _RAND_128[21:0]; + _RAND_129 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_128 = _RAND_129[21:0]; + _RAND_130 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_129 = _RAND_130[21:0]; + _RAND_131 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_130 = _RAND_131[21:0]; + _RAND_132 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_131 = _RAND_132[21:0]; + _RAND_133 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_132 = _RAND_133[21:0]; + _RAND_134 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_133 = _RAND_134[21:0]; + _RAND_135 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_134 = _RAND_135[21:0]; + _RAND_136 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_135 = _RAND_136[21:0]; + _RAND_137 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_136 = _RAND_137[21:0]; + _RAND_138 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_137 = _RAND_138[21:0]; + _RAND_139 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_138 = _RAND_139[21:0]; + _RAND_140 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_139 = _RAND_140[21:0]; + _RAND_141 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_140 = _RAND_141[21:0]; + _RAND_142 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_141 = _RAND_142[21:0]; + _RAND_143 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_142 = _RAND_143[21:0]; + _RAND_144 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_143 = _RAND_144[21:0]; + _RAND_145 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_144 = _RAND_145[21:0]; + _RAND_146 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_145 = _RAND_146[21:0]; + _RAND_147 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_146 = _RAND_147[21:0]; + _RAND_148 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_147 = _RAND_148[21:0]; + _RAND_149 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_148 = _RAND_149[21:0]; + _RAND_150 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_149 = _RAND_150[21:0]; + _RAND_151 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_150 = _RAND_151[21:0]; + _RAND_152 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_151 = _RAND_152[21:0]; + _RAND_153 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_152 = _RAND_153[21:0]; + _RAND_154 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_153 = _RAND_154[21:0]; + _RAND_155 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_154 = _RAND_155[21:0]; + _RAND_156 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_155 = _RAND_156[21:0]; + _RAND_157 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_156 = _RAND_157[21:0]; + _RAND_158 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_157 = _RAND_158[21:0]; + _RAND_159 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_158 = _RAND_159[21:0]; + _RAND_160 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_159 = _RAND_160[21:0]; + _RAND_161 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_160 = _RAND_161[21:0]; + _RAND_162 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_161 = _RAND_162[21:0]; + _RAND_163 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_162 = _RAND_163[21:0]; + _RAND_164 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_163 = _RAND_164[21:0]; + _RAND_165 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_164 = _RAND_165[21:0]; + _RAND_166 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_165 = _RAND_166[21:0]; + _RAND_167 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_166 = _RAND_167[21:0]; + _RAND_168 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_167 = _RAND_168[21:0]; + _RAND_169 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_168 = _RAND_169[21:0]; + _RAND_170 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_169 = _RAND_170[21:0]; + _RAND_171 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_170 = _RAND_171[21:0]; + _RAND_172 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_171 = _RAND_172[21:0]; + _RAND_173 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_172 = _RAND_173[21:0]; + _RAND_174 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_173 = _RAND_174[21:0]; + _RAND_175 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_174 = _RAND_175[21:0]; + _RAND_176 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_175 = _RAND_176[21:0]; + _RAND_177 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_176 = _RAND_177[21:0]; + _RAND_178 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_177 = _RAND_178[21:0]; + _RAND_179 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_178 = _RAND_179[21:0]; + _RAND_180 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_179 = _RAND_180[21:0]; + _RAND_181 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_180 = _RAND_181[21:0]; + _RAND_182 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_181 = _RAND_182[21:0]; + _RAND_183 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_182 = _RAND_183[21:0]; + _RAND_184 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_183 = _RAND_184[21:0]; + _RAND_185 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_184 = _RAND_185[21:0]; + _RAND_186 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_185 = _RAND_186[21:0]; + _RAND_187 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_186 = _RAND_187[21:0]; + _RAND_188 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_187 = _RAND_188[21:0]; + _RAND_189 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_188 = _RAND_189[21:0]; + _RAND_190 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_189 = _RAND_190[21:0]; + _RAND_191 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_190 = _RAND_191[21:0]; + _RAND_192 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_191 = _RAND_192[21:0]; + _RAND_193 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_192 = _RAND_193[21:0]; + _RAND_194 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_193 = _RAND_194[21:0]; + _RAND_195 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_194 = _RAND_195[21:0]; + _RAND_196 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_195 = _RAND_196[21:0]; + _RAND_197 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_196 = _RAND_197[21:0]; + _RAND_198 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_197 = _RAND_198[21:0]; + _RAND_199 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_198 = _RAND_199[21:0]; + _RAND_200 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_199 = _RAND_200[21:0]; + _RAND_201 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_200 = _RAND_201[21:0]; + _RAND_202 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_201 = _RAND_202[21:0]; + _RAND_203 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_202 = _RAND_203[21:0]; + _RAND_204 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_203 = _RAND_204[21:0]; + _RAND_205 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_204 = _RAND_205[21:0]; + _RAND_206 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_205 = _RAND_206[21:0]; + _RAND_207 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_206 = _RAND_207[21:0]; + _RAND_208 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_207 = _RAND_208[21:0]; + _RAND_209 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_208 = _RAND_209[21:0]; + _RAND_210 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_209 = _RAND_210[21:0]; + _RAND_211 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_210 = _RAND_211[21:0]; + _RAND_212 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_211 = _RAND_212[21:0]; + _RAND_213 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_212 = _RAND_213[21:0]; + _RAND_214 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_213 = _RAND_214[21:0]; + _RAND_215 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_214 = _RAND_215[21:0]; + _RAND_216 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_215 = _RAND_216[21:0]; + _RAND_217 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_216 = _RAND_217[21:0]; + _RAND_218 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_217 = _RAND_218[21:0]; + _RAND_219 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_218 = _RAND_219[21:0]; + _RAND_220 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_219 = _RAND_220[21:0]; + _RAND_221 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_220 = _RAND_221[21:0]; + _RAND_222 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_221 = _RAND_222[21:0]; + _RAND_223 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_222 = _RAND_223[21:0]; + _RAND_224 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_223 = _RAND_224[21:0]; + _RAND_225 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_224 = _RAND_225[21:0]; + _RAND_226 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_225 = _RAND_226[21:0]; + _RAND_227 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_226 = _RAND_227[21:0]; + _RAND_228 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_227 = _RAND_228[21:0]; + _RAND_229 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_228 = _RAND_229[21:0]; + _RAND_230 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_229 = _RAND_230[21:0]; + _RAND_231 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_230 = _RAND_231[21:0]; + _RAND_232 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_231 = _RAND_232[21:0]; + _RAND_233 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_232 = _RAND_233[21:0]; + _RAND_234 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_233 = _RAND_234[21:0]; + _RAND_235 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_234 = _RAND_235[21:0]; + _RAND_236 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_235 = _RAND_236[21:0]; + _RAND_237 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_236 = _RAND_237[21:0]; + _RAND_238 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_237 = _RAND_238[21:0]; + _RAND_239 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_238 = _RAND_239[21:0]; + _RAND_240 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_239 = _RAND_240[21:0]; + _RAND_241 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_240 = _RAND_241[21:0]; + _RAND_242 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_241 = _RAND_242[21:0]; + _RAND_243 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_242 = _RAND_243[21:0]; + _RAND_244 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_243 = _RAND_244[21:0]; + _RAND_245 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_244 = _RAND_245[21:0]; + _RAND_246 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_245 = _RAND_246[21:0]; + _RAND_247 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_246 = _RAND_247[21:0]; + _RAND_248 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_247 = _RAND_248[21:0]; + _RAND_249 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_248 = _RAND_249[21:0]; + _RAND_250 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_249 = _RAND_250[21:0]; + _RAND_251 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_250 = _RAND_251[21:0]; + _RAND_252 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_251 = _RAND_252[21:0]; + _RAND_253 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_252 = _RAND_253[21:0]; + _RAND_254 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_253 = _RAND_254[21:0]; + _RAND_255 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_254 = _RAND_255[21:0]; + _RAND_256 = {1{`RANDOM}}; + btb_bank0_rd_data_way0_out_255 = _RAND_256[21:0]; + _RAND_257 = {1{`RANDOM}}; + dec_tlu_way_wb_f = _RAND_257[0:0]; + _RAND_258 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_0 = _RAND_258[21:0]; + _RAND_259 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_1 = _RAND_259[21:0]; + _RAND_260 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_2 = _RAND_260[21:0]; + _RAND_261 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_3 = _RAND_261[21:0]; + _RAND_262 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_4 = _RAND_262[21:0]; + _RAND_263 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_5 = _RAND_263[21:0]; + _RAND_264 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_6 = _RAND_264[21:0]; + _RAND_265 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_7 = _RAND_265[21:0]; + _RAND_266 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_8 = _RAND_266[21:0]; + _RAND_267 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_9 = _RAND_267[21:0]; + _RAND_268 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_10 = _RAND_268[21:0]; + _RAND_269 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_11 = _RAND_269[21:0]; + _RAND_270 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_12 = _RAND_270[21:0]; + _RAND_271 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_13 = _RAND_271[21:0]; + _RAND_272 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_14 = _RAND_272[21:0]; + _RAND_273 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_15 = _RAND_273[21:0]; + _RAND_274 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_16 = _RAND_274[21:0]; + _RAND_275 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_17 = _RAND_275[21:0]; + _RAND_276 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_18 = _RAND_276[21:0]; + _RAND_277 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_19 = _RAND_277[21:0]; + _RAND_278 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_20 = _RAND_278[21:0]; + _RAND_279 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_21 = _RAND_279[21:0]; + _RAND_280 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_22 = _RAND_280[21:0]; + _RAND_281 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_23 = _RAND_281[21:0]; + _RAND_282 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_24 = _RAND_282[21:0]; + _RAND_283 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_25 = _RAND_283[21:0]; + _RAND_284 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_26 = _RAND_284[21:0]; + _RAND_285 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_27 = _RAND_285[21:0]; + _RAND_286 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_28 = _RAND_286[21:0]; + _RAND_287 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_29 = _RAND_287[21:0]; + _RAND_288 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_30 = _RAND_288[21:0]; + _RAND_289 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_31 = _RAND_289[21:0]; + _RAND_290 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_32 = _RAND_290[21:0]; + _RAND_291 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_33 = _RAND_291[21:0]; + _RAND_292 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_34 = _RAND_292[21:0]; + _RAND_293 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_35 = _RAND_293[21:0]; + _RAND_294 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_36 = _RAND_294[21:0]; + _RAND_295 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_37 = _RAND_295[21:0]; + _RAND_296 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_38 = _RAND_296[21:0]; + _RAND_297 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_39 = _RAND_297[21:0]; + _RAND_298 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_40 = _RAND_298[21:0]; + _RAND_299 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_41 = _RAND_299[21:0]; + _RAND_300 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_42 = _RAND_300[21:0]; + _RAND_301 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_43 = _RAND_301[21:0]; + _RAND_302 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_44 = _RAND_302[21:0]; + _RAND_303 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_45 = _RAND_303[21:0]; + _RAND_304 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_46 = _RAND_304[21:0]; + _RAND_305 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_47 = _RAND_305[21:0]; + _RAND_306 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_48 = _RAND_306[21:0]; + _RAND_307 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_49 = _RAND_307[21:0]; + _RAND_308 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_50 = _RAND_308[21:0]; + _RAND_309 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_51 = _RAND_309[21:0]; + _RAND_310 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_52 = _RAND_310[21:0]; + _RAND_311 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_53 = _RAND_311[21:0]; + _RAND_312 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_54 = _RAND_312[21:0]; + _RAND_313 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_55 = _RAND_313[21:0]; + _RAND_314 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_56 = _RAND_314[21:0]; + _RAND_315 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_57 = _RAND_315[21:0]; + _RAND_316 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_58 = _RAND_316[21:0]; + _RAND_317 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_59 = _RAND_317[21:0]; + _RAND_318 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_60 = _RAND_318[21:0]; + _RAND_319 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_61 = _RAND_319[21:0]; + _RAND_320 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_62 = _RAND_320[21:0]; + _RAND_321 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_63 = _RAND_321[21:0]; + _RAND_322 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_64 = _RAND_322[21:0]; + _RAND_323 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_65 = _RAND_323[21:0]; + _RAND_324 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_66 = _RAND_324[21:0]; + _RAND_325 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_67 = _RAND_325[21:0]; + _RAND_326 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_68 = _RAND_326[21:0]; + _RAND_327 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_69 = _RAND_327[21:0]; + _RAND_328 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_70 = _RAND_328[21:0]; + _RAND_329 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_71 = _RAND_329[21:0]; + _RAND_330 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_72 = _RAND_330[21:0]; + _RAND_331 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_73 = _RAND_331[21:0]; + _RAND_332 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_74 = _RAND_332[21:0]; + _RAND_333 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_75 = _RAND_333[21:0]; + _RAND_334 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_76 = _RAND_334[21:0]; + _RAND_335 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_77 = _RAND_335[21:0]; + _RAND_336 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_78 = _RAND_336[21:0]; + _RAND_337 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_79 = _RAND_337[21:0]; + _RAND_338 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_80 = _RAND_338[21:0]; + _RAND_339 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_81 = _RAND_339[21:0]; + _RAND_340 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_82 = _RAND_340[21:0]; + _RAND_341 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_83 = _RAND_341[21:0]; + _RAND_342 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_84 = _RAND_342[21:0]; + _RAND_343 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_85 = _RAND_343[21:0]; + _RAND_344 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_86 = _RAND_344[21:0]; + _RAND_345 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_87 = _RAND_345[21:0]; + _RAND_346 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_88 = _RAND_346[21:0]; + _RAND_347 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_89 = _RAND_347[21:0]; + _RAND_348 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_90 = _RAND_348[21:0]; + _RAND_349 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_91 = _RAND_349[21:0]; + _RAND_350 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_92 = _RAND_350[21:0]; + _RAND_351 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_93 = _RAND_351[21:0]; + _RAND_352 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_94 = _RAND_352[21:0]; + _RAND_353 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_95 = _RAND_353[21:0]; + _RAND_354 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_96 = _RAND_354[21:0]; + _RAND_355 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_97 = _RAND_355[21:0]; + _RAND_356 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_98 = _RAND_356[21:0]; + _RAND_357 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_99 = _RAND_357[21:0]; + _RAND_358 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_100 = _RAND_358[21:0]; + _RAND_359 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_101 = _RAND_359[21:0]; + _RAND_360 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_102 = _RAND_360[21:0]; + _RAND_361 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_103 = _RAND_361[21:0]; + _RAND_362 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_104 = _RAND_362[21:0]; + _RAND_363 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_105 = _RAND_363[21:0]; + _RAND_364 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_106 = _RAND_364[21:0]; + _RAND_365 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_107 = _RAND_365[21:0]; + _RAND_366 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_108 = _RAND_366[21:0]; + _RAND_367 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_109 = _RAND_367[21:0]; + _RAND_368 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_110 = _RAND_368[21:0]; + _RAND_369 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_111 = _RAND_369[21:0]; + _RAND_370 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_112 = _RAND_370[21:0]; + _RAND_371 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_113 = _RAND_371[21:0]; + _RAND_372 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_114 = _RAND_372[21:0]; + _RAND_373 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_115 = _RAND_373[21:0]; + _RAND_374 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_116 = _RAND_374[21:0]; + _RAND_375 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_117 = _RAND_375[21:0]; + _RAND_376 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_118 = _RAND_376[21:0]; + _RAND_377 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_119 = _RAND_377[21:0]; + _RAND_378 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_120 = _RAND_378[21:0]; + _RAND_379 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_121 = _RAND_379[21:0]; + _RAND_380 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_122 = _RAND_380[21:0]; + _RAND_381 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_123 = _RAND_381[21:0]; + _RAND_382 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_124 = _RAND_382[21:0]; + _RAND_383 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_125 = _RAND_383[21:0]; + _RAND_384 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_126 = _RAND_384[21:0]; + _RAND_385 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_127 = _RAND_385[21:0]; + _RAND_386 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_128 = _RAND_386[21:0]; + _RAND_387 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_129 = _RAND_387[21:0]; + _RAND_388 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_130 = _RAND_388[21:0]; + _RAND_389 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_131 = _RAND_389[21:0]; + _RAND_390 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_132 = _RAND_390[21:0]; + _RAND_391 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_133 = _RAND_391[21:0]; + _RAND_392 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_134 = _RAND_392[21:0]; + _RAND_393 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_135 = _RAND_393[21:0]; + _RAND_394 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_136 = _RAND_394[21:0]; + _RAND_395 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_137 = _RAND_395[21:0]; + _RAND_396 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_138 = _RAND_396[21:0]; + _RAND_397 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_139 = _RAND_397[21:0]; + _RAND_398 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_140 = _RAND_398[21:0]; + _RAND_399 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_141 = _RAND_399[21:0]; + _RAND_400 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_142 = _RAND_400[21:0]; + _RAND_401 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_143 = _RAND_401[21:0]; + _RAND_402 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_144 = _RAND_402[21:0]; + _RAND_403 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_145 = _RAND_403[21:0]; + _RAND_404 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_146 = _RAND_404[21:0]; + _RAND_405 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_147 = _RAND_405[21:0]; + _RAND_406 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_148 = _RAND_406[21:0]; + _RAND_407 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_149 = _RAND_407[21:0]; + _RAND_408 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_150 = _RAND_408[21:0]; + _RAND_409 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_151 = _RAND_409[21:0]; + _RAND_410 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_152 = _RAND_410[21:0]; + _RAND_411 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_153 = _RAND_411[21:0]; + _RAND_412 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_154 = _RAND_412[21:0]; + _RAND_413 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_155 = _RAND_413[21:0]; + _RAND_414 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_156 = _RAND_414[21:0]; + _RAND_415 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_157 = _RAND_415[21:0]; + _RAND_416 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_158 = _RAND_416[21:0]; + _RAND_417 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_159 = _RAND_417[21:0]; + _RAND_418 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_160 = _RAND_418[21:0]; + _RAND_419 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_161 = _RAND_419[21:0]; + _RAND_420 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_162 = _RAND_420[21:0]; + _RAND_421 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_163 = _RAND_421[21:0]; + _RAND_422 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_164 = _RAND_422[21:0]; + _RAND_423 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_165 = _RAND_423[21:0]; + _RAND_424 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_166 = _RAND_424[21:0]; + _RAND_425 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_167 = _RAND_425[21:0]; + _RAND_426 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_168 = _RAND_426[21:0]; + _RAND_427 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_169 = _RAND_427[21:0]; + _RAND_428 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_170 = _RAND_428[21:0]; + _RAND_429 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_171 = _RAND_429[21:0]; + _RAND_430 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_172 = _RAND_430[21:0]; + _RAND_431 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_173 = _RAND_431[21:0]; + _RAND_432 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_174 = _RAND_432[21:0]; + _RAND_433 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_175 = _RAND_433[21:0]; + _RAND_434 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_176 = _RAND_434[21:0]; + _RAND_435 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_177 = _RAND_435[21:0]; + _RAND_436 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_178 = _RAND_436[21:0]; + _RAND_437 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_179 = _RAND_437[21:0]; + _RAND_438 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_180 = _RAND_438[21:0]; + _RAND_439 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_181 = _RAND_439[21:0]; + _RAND_440 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_182 = _RAND_440[21:0]; + _RAND_441 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_183 = _RAND_441[21:0]; + _RAND_442 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_184 = _RAND_442[21:0]; + _RAND_443 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_185 = _RAND_443[21:0]; + _RAND_444 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_186 = _RAND_444[21:0]; + _RAND_445 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_187 = _RAND_445[21:0]; + _RAND_446 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_188 = _RAND_446[21:0]; + _RAND_447 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_189 = _RAND_447[21:0]; + _RAND_448 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_190 = _RAND_448[21:0]; + _RAND_449 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_191 = _RAND_449[21:0]; + _RAND_450 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_192 = _RAND_450[21:0]; + _RAND_451 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_193 = _RAND_451[21:0]; + _RAND_452 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_194 = _RAND_452[21:0]; + _RAND_453 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_195 = _RAND_453[21:0]; + _RAND_454 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_196 = _RAND_454[21:0]; + _RAND_455 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_197 = _RAND_455[21:0]; + _RAND_456 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_198 = _RAND_456[21:0]; + _RAND_457 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_199 = _RAND_457[21:0]; + _RAND_458 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_200 = _RAND_458[21:0]; + _RAND_459 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_201 = _RAND_459[21:0]; + _RAND_460 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_202 = _RAND_460[21:0]; + _RAND_461 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_203 = _RAND_461[21:0]; + _RAND_462 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_204 = _RAND_462[21:0]; + _RAND_463 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_205 = _RAND_463[21:0]; + _RAND_464 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_206 = _RAND_464[21:0]; + _RAND_465 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_207 = _RAND_465[21:0]; + _RAND_466 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_208 = _RAND_466[21:0]; + _RAND_467 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_209 = _RAND_467[21:0]; + _RAND_468 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_210 = _RAND_468[21:0]; + _RAND_469 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_211 = _RAND_469[21:0]; + _RAND_470 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_212 = _RAND_470[21:0]; + _RAND_471 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_213 = _RAND_471[21:0]; + _RAND_472 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_214 = _RAND_472[21:0]; + _RAND_473 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_215 = _RAND_473[21:0]; + _RAND_474 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_216 = _RAND_474[21:0]; + _RAND_475 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_217 = _RAND_475[21:0]; + _RAND_476 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_218 = _RAND_476[21:0]; + _RAND_477 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_219 = _RAND_477[21:0]; + _RAND_478 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_220 = _RAND_478[21:0]; + _RAND_479 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_221 = _RAND_479[21:0]; + _RAND_480 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_222 = _RAND_480[21:0]; + _RAND_481 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_223 = _RAND_481[21:0]; + _RAND_482 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_224 = _RAND_482[21:0]; + _RAND_483 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_225 = _RAND_483[21:0]; + _RAND_484 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_226 = _RAND_484[21:0]; + _RAND_485 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_227 = _RAND_485[21:0]; + _RAND_486 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_228 = _RAND_486[21:0]; + _RAND_487 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_229 = _RAND_487[21:0]; + _RAND_488 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_230 = _RAND_488[21:0]; + _RAND_489 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_231 = _RAND_489[21:0]; + _RAND_490 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_232 = _RAND_490[21:0]; + _RAND_491 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_233 = _RAND_491[21:0]; + _RAND_492 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_234 = _RAND_492[21:0]; + _RAND_493 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_235 = _RAND_493[21:0]; + _RAND_494 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_236 = _RAND_494[21:0]; + _RAND_495 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_237 = _RAND_495[21:0]; + _RAND_496 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_238 = _RAND_496[21:0]; + _RAND_497 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_239 = _RAND_497[21:0]; + _RAND_498 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_240 = _RAND_498[21:0]; + _RAND_499 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_241 = _RAND_499[21:0]; + _RAND_500 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_242 = _RAND_500[21:0]; + _RAND_501 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_243 = _RAND_501[21:0]; + _RAND_502 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_244 = _RAND_502[21:0]; + _RAND_503 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_245 = _RAND_503[21:0]; + _RAND_504 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_246 = _RAND_504[21:0]; + _RAND_505 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_247 = _RAND_505[21:0]; + _RAND_506 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_248 = _RAND_506[21:0]; + _RAND_507 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_249 = _RAND_507[21:0]; + _RAND_508 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_250 = _RAND_508[21:0]; + _RAND_509 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_251 = _RAND_509[21:0]; + _RAND_510 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_252 = _RAND_510[21:0]; + _RAND_511 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_253 = _RAND_511[21:0]; + _RAND_512 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_254 = _RAND_512[21:0]; + _RAND_513 = {1{`RANDOM}}; + btb_bank0_rd_data_way1_out_255 = _RAND_513[21:0]; + _RAND_514 = {1{`RANDOM}}; + fghr = _RAND_514[7:0]; + _RAND_515 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_0 = _RAND_515[1:0]; + _RAND_516 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_1 = _RAND_516[1:0]; + _RAND_517 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_2 = _RAND_517[1:0]; + _RAND_518 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_3 = _RAND_518[1:0]; + _RAND_519 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_4 = _RAND_519[1:0]; + _RAND_520 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_5 = _RAND_520[1:0]; + _RAND_521 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_6 = _RAND_521[1:0]; + _RAND_522 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_7 = _RAND_522[1:0]; + _RAND_523 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_8 = _RAND_523[1:0]; + _RAND_524 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_9 = _RAND_524[1:0]; + _RAND_525 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_10 = _RAND_525[1:0]; + _RAND_526 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_11 = _RAND_526[1:0]; + _RAND_527 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_12 = _RAND_527[1:0]; + _RAND_528 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_13 = _RAND_528[1:0]; + _RAND_529 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_14 = _RAND_529[1:0]; + _RAND_530 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_15 = _RAND_530[1:0]; + _RAND_531 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_16 = _RAND_531[1:0]; + _RAND_532 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_17 = _RAND_532[1:0]; + _RAND_533 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_18 = _RAND_533[1:0]; + _RAND_534 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_19 = _RAND_534[1:0]; + _RAND_535 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_20 = _RAND_535[1:0]; + _RAND_536 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_21 = _RAND_536[1:0]; + _RAND_537 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_22 = _RAND_537[1:0]; + _RAND_538 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_23 = _RAND_538[1:0]; + _RAND_539 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_24 = _RAND_539[1:0]; + _RAND_540 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_25 = _RAND_540[1:0]; + _RAND_541 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_26 = _RAND_541[1:0]; + _RAND_542 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_27 = _RAND_542[1:0]; + _RAND_543 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_28 = _RAND_543[1:0]; + _RAND_544 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_29 = _RAND_544[1:0]; + _RAND_545 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_30 = _RAND_545[1:0]; + _RAND_546 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_31 = _RAND_546[1:0]; + _RAND_547 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_32 = _RAND_547[1:0]; + _RAND_548 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_33 = _RAND_548[1:0]; + _RAND_549 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_34 = _RAND_549[1:0]; + _RAND_550 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_35 = _RAND_550[1:0]; + _RAND_551 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_36 = _RAND_551[1:0]; + _RAND_552 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_37 = _RAND_552[1:0]; + _RAND_553 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_38 = _RAND_553[1:0]; + _RAND_554 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_39 = _RAND_554[1:0]; + _RAND_555 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_40 = _RAND_555[1:0]; + _RAND_556 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_41 = _RAND_556[1:0]; + _RAND_557 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_42 = _RAND_557[1:0]; + _RAND_558 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_43 = _RAND_558[1:0]; + _RAND_559 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_44 = _RAND_559[1:0]; + _RAND_560 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_45 = _RAND_560[1:0]; + _RAND_561 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_46 = _RAND_561[1:0]; + _RAND_562 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_47 = _RAND_562[1:0]; + _RAND_563 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_48 = _RAND_563[1:0]; + _RAND_564 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_49 = _RAND_564[1:0]; + _RAND_565 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_50 = _RAND_565[1:0]; + _RAND_566 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_51 = _RAND_566[1:0]; + _RAND_567 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_52 = _RAND_567[1:0]; + _RAND_568 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_53 = _RAND_568[1:0]; + _RAND_569 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_54 = _RAND_569[1:0]; + _RAND_570 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_55 = _RAND_570[1:0]; + _RAND_571 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_56 = _RAND_571[1:0]; + _RAND_572 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_57 = _RAND_572[1:0]; + _RAND_573 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_58 = _RAND_573[1:0]; + _RAND_574 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_59 = _RAND_574[1:0]; + _RAND_575 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_60 = _RAND_575[1:0]; + _RAND_576 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_61 = _RAND_576[1:0]; + _RAND_577 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_62 = _RAND_577[1:0]; + _RAND_578 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_63 = _RAND_578[1:0]; + _RAND_579 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_64 = _RAND_579[1:0]; + _RAND_580 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_65 = _RAND_580[1:0]; + _RAND_581 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_66 = _RAND_581[1:0]; + _RAND_582 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_67 = _RAND_582[1:0]; + _RAND_583 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_68 = _RAND_583[1:0]; + _RAND_584 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_69 = _RAND_584[1:0]; + _RAND_585 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_70 = _RAND_585[1:0]; + _RAND_586 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_71 = _RAND_586[1:0]; + _RAND_587 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_72 = _RAND_587[1:0]; + _RAND_588 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_73 = _RAND_588[1:0]; + _RAND_589 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_74 = _RAND_589[1:0]; + _RAND_590 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_75 = _RAND_590[1:0]; + _RAND_591 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_76 = _RAND_591[1:0]; + _RAND_592 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_77 = _RAND_592[1:0]; + _RAND_593 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_78 = _RAND_593[1:0]; + _RAND_594 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_79 = _RAND_594[1:0]; + _RAND_595 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_80 = _RAND_595[1:0]; + _RAND_596 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_81 = _RAND_596[1:0]; + _RAND_597 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_82 = _RAND_597[1:0]; + _RAND_598 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_83 = _RAND_598[1:0]; + _RAND_599 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_84 = _RAND_599[1:0]; + _RAND_600 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_85 = _RAND_600[1:0]; + _RAND_601 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_86 = _RAND_601[1:0]; + _RAND_602 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_87 = _RAND_602[1:0]; + _RAND_603 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_88 = _RAND_603[1:0]; + _RAND_604 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_89 = _RAND_604[1:0]; + _RAND_605 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_90 = _RAND_605[1:0]; + _RAND_606 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_91 = _RAND_606[1:0]; + _RAND_607 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_92 = _RAND_607[1:0]; + _RAND_608 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_93 = _RAND_608[1:0]; + _RAND_609 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_94 = _RAND_609[1:0]; + _RAND_610 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_95 = _RAND_610[1:0]; + _RAND_611 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_96 = _RAND_611[1:0]; + _RAND_612 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_97 = _RAND_612[1:0]; + _RAND_613 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_98 = _RAND_613[1:0]; + _RAND_614 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_99 = _RAND_614[1:0]; + _RAND_615 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_100 = _RAND_615[1:0]; + _RAND_616 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_101 = _RAND_616[1:0]; + _RAND_617 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_102 = _RAND_617[1:0]; + _RAND_618 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_103 = _RAND_618[1:0]; + _RAND_619 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_104 = _RAND_619[1:0]; + _RAND_620 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_105 = _RAND_620[1:0]; + _RAND_621 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_106 = _RAND_621[1:0]; + _RAND_622 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_107 = _RAND_622[1:0]; + _RAND_623 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_108 = _RAND_623[1:0]; + _RAND_624 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_109 = _RAND_624[1:0]; + _RAND_625 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_110 = _RAND_625[1:0]; + _RAND_626 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_111 = _RAND_626[1:0]; + _RAND_627 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_112 = _RAND_627[1:0]; + _RAND_628 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_113 = _RAND_628[1:0]; + _RAND_629 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_114 = _RAND_629[1:0]; + _RAND_630 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_115 = _RAND_630[1:0]; + _RAND_631 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_116 = _RAND_631[1:0]; + _RAND_632 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_117 = _RAND_632[1:0]; + _RAND_633 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_118 = _RAND_633[1:0]; + _RAND_634 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_119 = _RAND_634[1:0]; + _RAND_635 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_120 = _RAND_635[1:0]; + _RAND_636 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_121 = _RAND_636[1:0]; + _RAND_637 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_122 = _RAND_637[1:0]; + _RAND_638 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_123 = _RAND_638[1:0]; + _RAND_639 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_124 = _RAND_639[1:0]; + _RAND_640 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_125 = _RAND_640[1:0]; + _RAND_641 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_126 = _RAND_641[1:0]; + _RAND_642 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_127 = _RAND_642[1:0]; + _RAND_643 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_128 = _RAND_643[1:0]; + _RAND_644 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_129 = _RAND_644[1:0]; + _RAND_645 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_130 = _RAND_645[1:0]; + _RAND_646 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_131 = _RAND_646[1:0]; + _RAND_647 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_132 = _RAND_647[1:0]; + _RAND_648 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_133 = _RAND_648[1:0]; + _RAND_649 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_134 = _RAND_649[1:0]; + _RAND_650 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_135 = _RAND_650[1:0]; + _RAND_651 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_136 = _RAND_651[1:0]; + _RAND_652 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_137 = _RAND_652[1:0]; + _RAND_653 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_138 = _RAND_653[1:0]; + _RAND_654 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_139 = _RAND_654[1:0]; + _RAND_655 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_140 = _RAND_655[1:0]; + _RAND_656 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_141 = _RAND_656[1:0]; + _RAND_657 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_142 = _RAND_657[1:0]; + _RAND_658 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_143 = _RAND_658[1:0]; + _RAND_659 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_144 = _RAND_659[1:0]; + _RAND_660 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_145 = _RAND_660[1:0]; + _RAND_661 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_146 = _RAND_661[1:0]; + _RAND_662 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_147 = _RAND_662[1:0]; + _RAND_663 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_148 = _RAND_663[1:0]; + _RAND_664 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_149 = _RAND_664[1:0]; + _RAND_665 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_150 = _RAND_665[1:0]; + _RAND_666 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_151 = _RAND_666[1:0]; + _RAND_667 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_152 = _RAND_667[1:0]; + _RAND_668 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_153 = _RAND_668[1:0]; + _RAND_669 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_154 = _RAND_669[1:0]; + _RAND_670 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_155 = _RAND_670[1:0]; + _RAND_671 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_156 = _RAND_671[1:0]; + _RAND_672 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_157 = _RAND_672[1:0]; + _RAND_673 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_158 = _RAND_673[1:0]; + _RAND_674 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_159 = _RAND_674[1:0]; + _RAND_675 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_160 = _RAND_675[1:0]; + _RAND_676 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_161 = _RAND_676[1:0]; + _RAND_677 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_162 = _RAND_677[1:0]; + _RAND_678 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_163 = _RAND_678[1:0]; + _RAND_679 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_164 = _RAND_679[1:0]; + _RAND_680 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_165 = _RAND_680[1:0]; + _RAND_681 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_166 = _RAND_681[1:0]; + _RAND_682 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_167 = _RAND_682[1:0]; + _RAND_683 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_168 = _RAND_683[1:0]; + _RAND_684 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_169 = _RAND_684[1:0]; + _RAND_685 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_170 = _RAND_685[1:0]; + _RAND_686 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_171 = _RAND_686[1:0]; + _RAND_687 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_172 = _RAND_687[1:0]; + _RAND_688 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_173 = _RAND_688[1:0]; + _RAND_689 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_174 = _RAND_689[1:0]; + _RAND_690 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_175 = _RAND_690[1:0]; + _RAND_691 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_176 = _RAND_691[1:0]; + _RAND_692 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_177 = _RAND_692[1:0]; + _RAND_693 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_178 = _RAND_693[1:0]; + _RAND_694 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_179 = _RAND_694[1:0]; + _RAND_695 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_180 = _RAND_695[1:0]; + _RAND_696 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_181 = _RAND_696[1:0]; + _RAND_697 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_182 = _RAND_697[1:0]; + _RAND_698 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_183 = _RAND_698[1:0]; + _RAND_699 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_184 = _RAND_699[1:0]; + _RAND_700 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_185 = _RAND_700[1:0]; + _RAND_701 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_186 = _RAND_701[1:0]; + _RAND_702 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_187 = _RAND_702[1:0]; + _RAND_703 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_188 = _RAND_703[1:0]; + _RAND_704 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_189 = _RAND_704[1:0]; + _RAND_705 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_190 = _RAND_705[1:0]; + _RAND_706 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_191 = _RAND_706[1:0]; + _RAND_707 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_192 = _RAND_707[1:0]; + _RAND_708 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_193 = _RAND_708[1:0]; + _RAND_709 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_194 = _RAND_709[1:0]; + _RAND_710 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_195 = _RAND_710[1:0]; + _RAND_711 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_196 = _RAND_711[1:0]; + _RAND_712 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_197 = _RAND_712[1:0]; + _RAND_713 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_198 = _RAND_713[1:0]; + _RAND_714 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_199 = _RAND_714[1:0]; + _RAND_715 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_200 = _RAND_715[1:0]; + _RAND_716 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_201 = _RAND_716[1:0]; + _RAND_717 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_202 = _RAND_717[1:0]; + _RAND_718 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_203 = _RAND_718[1:0]; + _RAND_719 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_204 = _RAND_719[1:0]; + _RAND_720 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_205 = _RAND_720[1:0]; + _RAND_721 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_206 = _RAND_721[1:0]; + _RAND_722 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_207 = _RAND_722[1:0]; + _RAND_723 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_208 = _RAND_723[1:0]; + _RAND_724 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_209 = _RAND_724[1:0]; + _RAND_725 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_210 = _RAND_725[1:0]; + _RAND_726 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_211 = _RAND_726[1:0]; + _RAND_727 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_212 = _RAND_727[1:0]; + _RAND_728 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_213 = _RAND_728[1:0]; + _RAND_729 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_214 = _RAND_729[1:0]; + _RAND_730 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_215 = _RAND_730[1:0]; + _RAND_731 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_216 = _RAND_731[1:0]; + _RAND_732 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_217 = _RAND_732[1:0]; + _RAND_733 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_218 = _RAND_733[1:0]; + _RAND_734 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_219 = _RAND_734[1:0]; + _RAND_735 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_220 = _RAND_735[1:0]; + _RAND_736 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_221 = _RAND_736[1:0]; + _RAND_737 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_222 = _RAND_737[1:0]; + _RAND_738 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_223 = _RAND_738[1:0]; + _RAND_739 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_224 = _RAND_739[1:0]; + _RAND_740 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_225 = _RAND_740[1:0]; + _RAND_741 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_226 = _RAND_741[1:0]; + _RAND_742 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_227 = _RAND_742[1:0]; + _RAND_743 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_228 = _RAND_743[1:0]; + _RAND_744 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_229 = _RAND_744[1:0]; + _RAND_745 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_230 = _RAND_745[1:0]; + _RAND_746 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_231 = _RAND_746[1:0]; + _RAND_747 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_232 = _RAND_747[1:0]; + _RAND_748 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_233 = _RAND_748[1:0]; + _RAND_749 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_234 = _RAND_749[1:0]; + _RAND_750 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_235 = _RAND_750[1:0]; + _RAND_751 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_236 = _RAND_751[1:0]; + _RAND_752 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_237 = _RAND_752[1:0]; + _RAND_753 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_238 = _RAND_753[1:0]; + _RAND_754 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_239 = _RAND_754[1:0]; + _RAND_755 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_240 = _RAND_755[1:0]; + _RAND_756 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_241 = _RAND_756[1:0]; + _RAND_757 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_242 = _RAND_757[1:0]; + _RAND_758 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_243 = _RAND_758[1:0]; + _RAND_759 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_244 = _RAND_759[1:0]; + _RAND_760 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_245 = _RAND_760[1:0]; + _RAND_761 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_246 = _RAND_761[1:0]; + _RAND_762 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_247 = _RAND_762[1:0]; + _RAND_763 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_248 = _RAND_763[1:0]; + _RAND_764 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_249 = _RAND_764[1:0]; + _RAND_765 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_250 = _RAND_765[1:0]; + _RAND_766 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_251 = _RAND_766[1:0]; + _RAND_767 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_252 = _RAND_767[1:0]; + _RAND_768 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_253 = _RAND_768[1:0]; + _RAND_769 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_254 = _RAND_769[1:0]; + _RAND_770 = {1{`RANDOM}}; + bht_bank_rd_data_out_1_255 = _RAND_770[1:0]; + _RAND_771 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_0 = _RAND_771[1:0]; + _RAND_772 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_1 = _RAND_772[1:0]; + _RAND_773 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_2 = _RAND_773[1:0]; + _RAND_774 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_3 = _RAND_774[1:0]; + _RAND_775 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_4 = _RAND_775[1:0]; + _RAND_776 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_5 = _RAND_776[1:0]; + _RAND_777 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_6 = _RAND_777[1:0]; + _RAND_778 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_7 = _RAND_778[1:0]; + _RAND_779 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_8 = _RAND_779[1:0]; + _RAND_780 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_9 = _RAND_780[1:0]; + _RAND_781 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_10 = _RAND_781[1:0]; + _RAND_782 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_11 = _RAND_782[1:0]; + _RAND_783 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_12 = _RAND_783[1:0]; + _RAND_784 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_13 = _RAND_784[1:0]; + _RAND_785 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_14 = _RAND_785[1:0]; + _RAND_786 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_15 = _RAND_786[1:0]; + _RAND_787 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_16 = _RAND_787[1:0]; + _RAND_788 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_17 = _RAND_788[1:0]; + _RAND_789 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_18 = _RAND_789[1:0]; + _RAND_790 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_19 = _RAND_790[1:0]; + _RAND_791 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_20 = _RAND_791[1:0]; + _RAND_792 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_21 = _RAND_792[1:0]; + _RAND_793 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_22 = _RAND_793[1:0]; + _RAND_794 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_23 = _RAND_794[1:0]; + _RAND_795 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_24 = _RAND_795[1:0]; + _RAND_796 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_25 = _RAND_796[1:0]; + _RAND_797 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_26 = _RAND_797[1:0]; + _RAND_798 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_27 = _RAND_798[1:0]; + _RAND_799 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_28 = _RAND_799[1:0]; + _RAND_800 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_29 = _RAND_800[1:0]; + _RAND_801 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_30 = _RAND_801[1:0]; + _RAND_802 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_31 = _RAND_802[1:0]; + _RAND_803 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_32 = _RAND_803[1:0]; + _RAND_804 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_33 = _RAND_804[1:0]; + _RAND_805 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_34 = _RAND_805[1:0]; + _RAND_806 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_35 = _RAND_806[1:0]; + _RAND_807 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_36 = _RAND_807[1:0]; + _RAND_808 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_37 = _RAND_808[1:0]; + _RAND_809 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_38 = _RAND_809[1:0]; + _RAND_810 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_39 = _RAND_810[1:0]; + _RAND_811 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_40 = _RAND_811[1:0]; + _RAND_812 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_41 = _RAND_812[1:0]; + _RAND_813 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_42 = _RAND_813[1:0]; + _RAND_814 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_43 = _RAND_814[1:0]; + _RAND_815 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_44 = _RAND_815[1:0]; + _RAND_816 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_45 = _RAND_816[1:0]; + _RAND_817 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_46 = _RAND_817[1:0]; + _RAND_818 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_47 = _RAND_818[1:0]; + _RAND_819 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_48 = _RAND_819[1:0]; + _RAND_820 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_49 = _RAND_820[1:0]; + _RAND_821 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_50 = _RAND_821[1:0]; + _RAND_822 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_51 = _RAND_822[1:0]; + _RAND_823 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_52 = _RAND_823[1:0]; + _RAND_824 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_53 = _RAND_824[1:0]; + _RAND_825 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_54 = _RAND_825[1:0]; + _RAND_826 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_55 = _RAND_826[1:0]; + _RAND_827 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_56 = _RAND_827[1:0]; + _RAND_828 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_57 = _RAND_828[1:0]; + _RAND_829 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_58 = _RAND_829[1:0]; + _RAND_830 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_59 = _RAND_830[1:0]; + _RAND_831 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_60 = _RAND_831[1:0]; + _RAND_832 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_61 = _RAND_832[1:0]; + _RAND_833 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_62 = _RAND_833[1:0]; + _RAND_834 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_63 = _RAND_834[1:0]; + _RAND_835 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_64 = _RAND_835[1:0]; + _RAND_836 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_65 = _RAND_836[1:0]; + _RAND_837 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_66 = _RAND_837[1:0]; + _RAND_838 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_67 = _RAND_838[1:0]; + _RAND_839 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_68 = _RAND_839[1:0]; + _RAND_840 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_69 = _RAND_840[1:0]; + _RAND_841 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_70 = _RAND_841[1:0]; + _RAND_842 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_71 = _RAND_842[1:0]; + _RAND_843 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_72 = _RAND_843[1:0]; + _RAND_844 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_73 = _RAND_844[1:0]; + _RAND_845 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_74 = _RAND_845[1:0]; + _RAND_846 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_75 = _RAND_846[1:0]; + _RAND_847 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_76 = _RAND_847[1:0]; + _RAND_848 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_77 = _RAND_848[1:0]; + _RAND_849 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_78 = _RAND_849[1:0]; + _RAND_850 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_79 = _RAND_850[1:0]; + _RAND_851 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_80 = _RAND_851[1:0]; + _RAND_852 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_81 = _RAND_852[1:0]; + _RAND_853 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_82 = _RAND_853[1:0]; + _RAND_854 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_83 = _RAND_854[1:0]; + _RAND_855 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_84 = _RAND_855[1:0]; + _RAND_856 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_85 = _RAND_856[1:0]; + _RAND_857 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_86 = _RAND_857[1:0]; + _RAND_858 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_87 = _RAND_858[1:0]; + _RAND_859 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_88 = _RAND_859[1:0]; + _RAND_860 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_89 = _RAND_860[1:0]; + _RAND_861 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_90 = _RAND_861[1:0]; + _RAND_862 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_91 = _RAND_862[1:0]; + _RAND_863 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_92 = _RAND_863[1:0]; + _RAND_864 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_93 = _RAND_864[1:0]; + _RAND_865 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_94 = _RAND_865[1:0]; + _RAND_866 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_95 = _RAND_866[1:0]; + _RAND_867 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_96 = _RAND_867[1:0]; + _RAND_868 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_97 = _RAND_868[1:0]; + _RAND_869 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_98 = _RAND_869[1:0]; + _RAND_870 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_99 = _RAND_870[1:0]; + _RAND_871 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_100 = _RAND_871[1:0]; + _RAND_872 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_101 = _RAND_872[1:0]; + _RAND_873 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_102 = _RAND_873[1:0]; + _RAND_874 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_103 = _RAND_874[1:0]; + _RAND_875 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_104 = _RAND_875[1:0]; + _RAND_876 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_105 = _RAND_876[1:0]; + _RAND_877 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_106 = _RAND_877[1:0]; + _RAND_878 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_107 = _RAND_878[1:0]; + _RAND_879 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_108 = _RAND_879[1:0]; + _RAND_880 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_109 = _RAND_880[1:0]; + _RAND_881 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_110 = _RAND_881[1:0]; + _RAND_882 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_111 = _RAND_882[1:0]; + _RAND_883 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_112 = _RAND_883[1:0]; + _RAND_884 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_113 = _RAND_884[1:0]; + _RAND_885 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_114 = _RAND_885[1:0]; + _RAND_886 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_115 = _RAND_886[1:0]; + _RAND_887 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_116 = _RAND_887[1:0]; + _RAND_888 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_117 = _RAND_888[1:0]; + _RAND_889 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_118 = _RAND_889[1:0]; + _RAND_890 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_119 = _RAND_890[1:0]; + _RAND_891 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_120 = _RAND_891[1:0]; + _RAND_892 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_121 = _RAND_892[1:0]; + _RAND_893 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_122 = _RAND_893[1:0]; + _RAND_894 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_123 = _RAND_894[1:0]; + _RAND_895 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_124 = _RAND_895[1:0]; + _RAND_896 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_125 = _RAND_896[1:0]; + _RAND_897 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_126 = _RAND_897[1:0]; + _RAND_898 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_127 = _RAND_898[1:0]; + _RAND_899 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_128 = _RAND_899[1:0]; + _RAND_900 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_129 = _RAND_900[1:0]; + _RAND_901 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_130 = _RAND_901[1:0]; + _RAND_902 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_131 = _RAND_902[1:0]; + _RAND_903 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_132 = _RAND_903[1:0]; + _RAND_904 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_133 = _RAND_904[1:0]; + _RAND_905 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_134 = _RAND_905[1:0]; + _RAND_906 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_135 = _RAND_906[1:0]; + _RAND_907 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_136 = _RAND_907[1:0]; + _RAND_908 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_137 = _RAND_908[1:0]; + _RAND_909 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_138 = _RAND_909[1:0]; + _RAND_910 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_139 = _RAND_910[1:0]; + _RAND_911 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_140 = _RAND_911[1:0]; + _RAND_912 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_141 = _RAND_912[1:0]; + _RAND_913 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_142 = _RAND_913[1:0]; + _RAND_914 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_143 = _RAND_914[1:0]; + _RAND_915 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_144 = _RAND_915[1:0]; + _RAND_916 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_145 = _RAND_916[1:0]; + _RAND_917 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_146 = _RAND_917[1:0]; + _RAND_918 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_147 = _RAND_918[1:0]; + _RAND_919 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_148 = _RAND_919[1:0]; + _RAND_920 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_149 = _RAND_920[1:0]; + _RAND_921 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_150 = _RAND_921[1:0]; + _RAND_922 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_151 = _RAND_922[1:0]; + _RAND_923 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_152 = _RAND_923[1:0]; + _RAND_924 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_153 = _RAND_924[1:0]; + _RAND_925 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_154 = _RAND_925[1:0]; + _RAND_926 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_155 = _RAND_926[1:0]; + _RAND_927 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_156 = _RAND_927[1:0]; + _RAND_928 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_157 = _RAND_928[1:0]; + _RAND_929 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_158 = _RAND_929[1:0]; + _RAND_930 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_159 = _RAND_930[1:0]; + _RAND_931 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_160 = _RAND_931[1:0]; + _RAND_932 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_161 = _RAND_932[1:0]; + _RAND_933 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_162 = _RAND_933[1:0]; + _RAND_934 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_163 = _RAND_934[1:0]; + _RAND_935 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_164 = _RAND_935[1:0]; + _RAND_936 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_165 = _RAND_936[1:0]; + _RAND_937 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_166 = _RAND_937[1:0]; + _RAND_938 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_167 = _RAND_938[1:0]; + _RAND_939 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_168 = _RAND_939[1:0]; + _RAND_940 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_169 = _RAND_940[1:0]; + _RAND_941 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_170 = _RAND_941[1:0]; + _RAND_942 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_171 = _RAND_942[1:0]; + _RAND_943 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_172 = _RAND_943[1:0]; + _RAND_944 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_173 = _RAND_944[1:0]; + _RAND_945 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_174 = _RAND_945[1:0]; + _RAND_946 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_175 = _RAND_946[1:0]; + _RAND_947 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_176 = _RAND_947[1:0]; + _RAND_948 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_177 = _RAND_948[1:0]; + _RAND_949 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_178 = _RAND_949[1:0]; + _RAND_950 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_179 = _RAND_950[1:0]; + _RAND_951 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_180 = _RAND_951[1:0]; + _RAND_952 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_181 = _RAND_952[1:0]; + _RAND_953 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_182 = _RAND_953[1:0]; + _RAND_954 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_183 = _RAND_954[1:0]; + _RAND_955 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_184 = _RAND_955[1:0]; + _RAND_956 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_185 = _RAND_956[1:0]; + _RAND_957 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_186 = _RAND_957[1:0]; + _RAND_958 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_187 = _RAND_958[1:0]; + _RAND_959 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_188 = _RAND_959[1:0]; + _RAND_960 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_189 = _RAND_960[1:0]; + _RAND_961 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_190 = _RAND_961[1:0]; + _RAND_962 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_191 = _RAND_962[1:0]; + _RAND_963 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_192 = _RAND_963[1:0]; + _RAND_964 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_193 = _RAND_964[1:0]; + _RAND_965 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_194 = _RAND_965[1:0]; + _RAND_966 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_195 = _RAND_966[1:0]; + _RAND_967 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_196 = _RAND_967[1:0]; + _RAND_968 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_197 = _RAND_968[1:0]; + _RAND_969 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_198 = _RAND_969[1:0]; + _RAND_970 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_199 = _RAND_970[1:0]; + _RAND_971 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_200 = _RAND_971[1:0]; + _RAND_972 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_201 = _RAND_972[1:0]; + _RAND_973 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_202 = _RAND_973[1:0]; + _RAND_974 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_203 = _RAND_974[1:0]; + _RAND_975 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_204 = _RAND_975[1:0]; + _RAND_976 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_205 = _RAND_976[1:0]; + _RAND_977 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_206 = _RAND_977[1:0]; + _RAND_978 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_207 = _RAND_978[1:0]; + _RAND_979 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_208 = _RAND_979[1:0]; + _RAND_980 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_209 = _RAND_980[1:0]; + _RAND_981 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_210 = _RAND_981[1:0]; + _RAND_982 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_211 = _RAND_982[1:0]; + _RAND_983 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_212 = _RAND_983[1:0]; + _RAND_984 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_213 = _RAND_984[1:0]; + _RAND_985 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_214 = _RAND_985[1:0]; + _RAND_986 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_215 = _RAND_986[1:0]; + _RAND_987 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_216 = _RAND_987[1:0]; + _RAND_988 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_217 = _RAND_988[1:0]; + _RAND_989 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_218 = _RAND_989[1:0]; + _RAND_990 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_219 = _RAND_990[1:0]; + _RAND_991 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_220 = _RAND_991[1:0]; + _RAND_992 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_221 = _RAND_992[1:0]; + _RAND_993 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_222 = _RAND_993[1:0]; + _RAND_994 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_223 = _RAND_994[1:0]; + _RAND_995 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_224 = _RAND_995[1:0]; + _RAND_996 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_225 = _RAND_996[1:0]; + _RAND_997 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_226 = _RAND_997[1:0]; + _RAND_998 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_227 = _RAND_998[1:0]; + _RAND_999 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_228 = _RAND_999[1:0]; + _RAND_1000 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_229 = _RAND_1000[1:0]; + _RAND_1001 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_230 = _RAND_1001[1:0]; + _RAND_1002 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_231 = _RAND_1002[1:0]; + _RAND_1003 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_232 = _RAND_1003[1:0]; + _RAND_1004 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_233 = _RAND_1004[1:0]; + _RAND_1005 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_234 = _RAND_1005[1:0]; + _RAND_1006 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_235 = _RAND_1006[1:0]; + _RAND_1007 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_236 = _RAND_1007[1:0]; + _RAND_1008 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_237 = _RAND_1008[1:0]; + _RAND_1009 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_238 = _RAND_1009[1:0]; + _RAND_1010 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_239 = _RAND_1010[1:0]; + _RAND_1011 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_240 = _RAND_1011[1:0]; + _RAND_1012 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_241 = _RAND_1012[1:0]; + _RAND_1013 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_242 = _RAND_1013[1:0]; + _RAND_1014 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_243 = _RAND_1014[1:0]; + _RAND_1015 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_244 = _RAND_1015[1:0]; + _RAND_1016 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_245 = _RAND_1016[1:0]; + _RAND_1017 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_246 = _RAND_1017[1:0]; + _RAND_1018 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_247 = _RAND_1018[1:0]; + _RAND_1019 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_248 = _RAND_1019[1:0]; + _RAND_1020 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_249 = _RAND_1020[1:0]; + _RAND_1021 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_250 = _RAND_1021[1:0]; + _RAND_1022 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_251 = _RAND_1022[1:0]; + _RAND_1023 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_252 = _RAND_1023[1:0]; + _RAND_1024 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_253 = _RAND_1024[1:0]; + _RAND_1025 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_254 = _RAND_1025[1:0]; + _RAND_1026 = {1{`RANDOM}}; + bht_bank_rd_data_out_0_255 = _RAND_1026[1:0]; + _RAND_1027 = {1{`RANDOM}}; + exu_mp_way_f = _RAND_1027[0:0]; + _RAND_1028 = {1{`RANDOM}}; + exu_flush_final_d1 = _RAND_1028[0:0]; + _RAND_1029 = {8{`RANDOM}}; + btb_lru_b0_f = _RAND_1029[255:0]; + _RAND_1030 = {1{`RANDOM}}; + ifc_fetch_adder_prior = _RAND_1030[29:0]; + _RAND_1031 = {1{`RANDOM}}; + rets_out_0 = _RAND_1031[31:0]; + _RAND_1032 = {1{`RANDOM}}; + rets_out_1 = _RAND_1032[31:0]; + _RAND_1033 = {1{`RANDOM}}; + rets_out_2 = _RAND_1033[31:0]; + _RAND_1034 = {1{`RANDOM}}; + rets_out_3 = _RAND_1034[31:0]; + _RAND_1035 = {1{`RANDOM}}; + rets_out_4 = _RAND_1035[31:0]; + _RAND_1036 = {1{`RANDOM}}; + rets_out_5 = _RAND_1036[31:0]; + _RAND_1037 = {1{`RANDOM}}; + rets_out_6 = _RAND_1037[31:0]; + _RAND_1038 = {1{`RANDOM}}; + rets_out_7 = _RAND_1038[31:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + leak_one_f_d1 = 1'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_0 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_1 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_2 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_3 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_4 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_5 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_6 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_7 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_8 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_9 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_10 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_11 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_12 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_13 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_14 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_15 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_16 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_17 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_18 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_19 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_20 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_21 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_22 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_23 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_24 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_25 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_26 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_27 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_28 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_29 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_30 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_31 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_32 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_33 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_34 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_35 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_36 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_37 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_38 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_39 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_40 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_41 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_42 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_43 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_44 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_45 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_46 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_47 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_48 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_49 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_50 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_51 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_52 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_53 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_54 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_55 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_56 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_57 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_58 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_59 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_60 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_61 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_62 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_63 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_64 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_65 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_66 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_67 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_68 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_69 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_70 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_71 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_72 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_73 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_74 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_75 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_76 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_77 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_78 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_79 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_80 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_81 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_82 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_83 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_84 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_85 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_86 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_87 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_88 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_89 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_90 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_91 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_92 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_93 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_94 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_95 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_96 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_97 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_98 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_99 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_100 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_101 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_102 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_103 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_104 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_105 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_106 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_107 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_108 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_109 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_110 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_111 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_112 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_113 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_114 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_115 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_116 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_117 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_118 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_119 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_120 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_121 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_122 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_123 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_124 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_125 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_126 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_127 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_128 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_129 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_130 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_131 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_132 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_133 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_134 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_135 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_136 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_137 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_138 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_139 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_140 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_141 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_142 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_143 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_144 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_145 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_146 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_147 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_148 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_149 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_150 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_151 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_152 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_153 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_154 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_155 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_156 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_157 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_158 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_159 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_160 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_161 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_162 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_163 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_164 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_165 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_166 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_167 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_168 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_169 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_170 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_171 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_172 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_173 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_174 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_175 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_176 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_177 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_178 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_179 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_180 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_181 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_182 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_183 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_184 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_185 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_186 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_187 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_188 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_189 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_190 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_191 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_192 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_193 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_194 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_195 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_196 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_197 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_198 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_199 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_200 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_201 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_202 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_203 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_204 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_205 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_206 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_207 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_208 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_209 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_210 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_211 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_212 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_213 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_214 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_215 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_216 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_217 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_218 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_219 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_220 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_221 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_222 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_223 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_224 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_225 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_226 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_227 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_228 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_229 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_230 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_231 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_232 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_233 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_234 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_235 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_236 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_237 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_238 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_239 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_240 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_241 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_242 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_243 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_244 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_245 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_246 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_247 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_248 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_249 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_250 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_251 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_252 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_253 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_254 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way0_out_255 = 22'h0; + end + if (reset) begin + dec_tlu_way_wb_f = 1'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_0 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_1 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_2 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_3 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_4 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_5 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_6 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_7 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_8 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_9 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_10 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_11 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_12 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_13 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_14 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_15 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_16 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_17 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_18 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_19 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_20 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_21 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_22 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_23 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_24 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_25 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_26 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_27 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_28 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_29 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_30 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_31 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_32 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_33 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_34 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_35 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_36 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_37 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_38 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_39 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_40 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_41 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_42 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_43 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_44 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_45 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_46 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_47 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_48 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_49 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_50 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_51 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_52 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_53 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_54 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_55 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_56 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_57 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_58 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_59 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_60 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_61 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_62 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_63 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_64 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_65 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_66 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_67 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_68 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_69 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_70 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_71 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_72 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_73 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_74 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_75 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_76 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_77 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_78 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_79 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_80 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_81 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_82 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_83 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_84 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_85 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_86 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_87 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_88 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_89 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_90 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_91 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_92 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_93 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_94 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_95 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_96 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_97 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_98 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_99 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_100 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_101 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_102 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_103 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_104 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_105 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_106 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_107 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_108 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_109 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_110 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_111 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_112 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_113 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_114 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_115 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_116 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_117 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_118 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_119 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_120 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_121 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_122 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_123 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_124 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_125 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_126 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_127 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_128 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_129 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_130 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_131 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_132 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_133 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_134 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_135 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_136 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_137 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_138 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_139 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_140 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_141 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_142 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_143 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_144 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_145 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_146 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_147 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_148 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_149 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_150 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_151 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_152 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_153 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_154 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_155 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_156 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_157 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_158 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_159 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_160 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_161 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_162 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_163 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_164 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_165 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_166 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_167 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_168 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_169 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_170 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_171 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_172 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_173 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_174 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_175 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_176 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_177 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_178 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_179 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_180 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_181 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_182 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_183 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_184 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_185 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_186 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_187 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_188 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_189 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_190 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_191 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_192 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_193 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_194 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_195 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_196 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_197 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_198 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_199 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_200 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_201 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_202 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_203 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_204 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_205 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_206 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_207 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_208 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_209 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_210 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_211 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_212 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_213 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_214 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_215 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_216 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_217 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_218 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_219 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_220 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_221 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_222 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_223 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_224 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_225 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_226 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_227 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_228 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_229 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_230 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_231 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_232 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_233 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_234 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_235 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_236 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_237 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_238 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_239 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_240 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_241 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_242 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_243 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_244 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_245 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_246 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_247 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_248 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_249 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_250 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_251 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_252 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_253 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_254 = 22'h0; + end + if (reset) begin + btb_bank0_rd_data_way1_out_255 = 22'h0; + end + if (reset) begin + fghr = 8'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_0 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_1 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_2 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_3 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_4 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_5 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_6 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_7 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_8 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_9 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_10 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_11 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_12 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_13 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_14 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_15 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_16 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_17 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_18 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_19 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_20 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_21 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_22 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_23 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_24 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_25 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_26 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_27 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_28 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_29 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_30 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_31 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_32 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_33 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_34 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_35 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_36 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_37 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_38 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_39 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_40 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_41 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_42 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_43 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_44 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_45 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_46 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_47 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_48 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_49 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_50 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_51 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_52 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_53 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_54 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_55 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_56 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_57 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_58 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_59 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_60 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_61 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_62 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_63 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_64 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_65 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_66 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_67 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_68 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_69 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_70 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_71 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_72 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_73 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_74 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_75 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_76 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_77 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_78 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_79 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_80 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_81 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_82 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_83 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_84 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_85 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_86 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_87 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_88 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_89 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_90 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_91 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_92 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_93 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_94 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_95 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_96 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_97 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_98 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_99 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_100 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_101 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_102 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_103 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_104 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_105 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_106 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_107 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_108 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_109 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_110 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_111 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_112 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_113 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_114 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_115 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_116 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_117 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_118 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_119 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_120 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_121 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_122 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_123 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_124 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_125 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_126 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_127 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_128 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_129 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_130 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_131 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_132 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_133 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_134 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_135 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_136 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_137 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_138 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_139 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_140 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_141 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_142 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_143 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_144 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_145 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_146 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_147 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_148 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_149 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_150 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_151 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_152 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_153 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_154 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_155 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_156 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_157 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_158 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_159 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_160 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_161 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_162 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_163 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_164 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_165 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_166 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_167 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_168 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_169 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_170 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_171 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_172 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_173 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_174 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_175 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_176 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_177 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_178 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_179 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_180 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_181 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_182 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_183 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_184 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_185 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_186 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_187 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_188 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_189 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_190 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_191 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_192 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_193 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_194 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_195 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_196 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_197 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_198 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_199 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_200 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_201 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_202 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_203 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_204 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_205 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_206 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_207 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_208 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_209 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_210 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_211 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_212 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_213 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_214 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_215 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_216 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_217 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_218 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_219 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_220 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_221 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_222 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_223 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_224 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_225 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_226 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_227 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_228 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_229 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_230 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_231 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_232 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_233 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_234 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_235 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_236 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_237 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_238 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_239 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_240 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_241 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_242 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_243 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_244 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_245 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_246 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_247 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_248 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_249 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_250 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_251 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_252 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_253 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_254 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_1_255 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_0 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_1 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_2 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_3 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_4 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_5 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_6 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_7 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_8 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_9 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_10 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_11 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_12 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_13 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_14 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_15 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_16 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_17 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_18 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_19 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_20 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_21 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_22 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_23 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_24 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_25 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_26 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_27 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_28 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_29 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_30 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_31 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_32 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_33 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_34 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_35 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_36 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_37 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_38 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_39 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_40 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_41 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_42 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_43 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_44 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_45 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_46 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_47 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_48 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_49 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_50 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_51 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_52 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_53 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_54 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_55 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_56 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_57 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_58 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_59 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_60 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_61 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_62 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_63 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_64 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_65 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_66 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_67 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_68 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_69 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_70 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_71 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_72 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_73 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_74 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_75 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_76 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_77 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_78 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_79 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_80 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_81 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_82 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_83 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_84 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_85 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_86 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_87 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_88 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_89 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_90 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_91 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_92 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_93 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_94 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_95 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_96 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_97 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_98 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_99 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_100 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_101 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_102 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_103 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_104 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_105 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_106 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_107 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_108 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_109 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_110 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_111 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_112 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_113 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_114 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_115 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_116 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_117 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_118 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_119 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_120 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_121 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_122 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_123 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_124 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_125 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_126 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_127 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_128 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_129 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_130 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_131 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_132 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_133 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_134 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_135 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_136 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_137 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_138 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_139 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_140 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_141 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_142 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_143 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_144 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_145 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_146 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_147 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_148 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_149 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_150 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_151 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_152 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_153 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_154 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_155 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_156 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_157 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_158 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_159 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_160 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_161 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_162 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_163 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_164 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_165 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_166 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_167 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_168 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_169 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_170 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_171 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_172 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_173 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_174 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_175 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_176 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_177 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_178 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_179 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_180 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_181 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_182 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_183 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_184 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_185 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_186 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_187 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_188 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_189 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_190 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_191 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_192 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_193 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_194 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_195 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_196 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_197 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_198 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_199 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_200 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_201 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_202 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_203 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_204 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_205 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_206 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_207 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_208 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_209 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_210 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_211 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_212 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_213 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_214 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_215 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_216 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_217 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_218 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_219 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_220 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_221 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_222 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_223 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_224 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_225 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_226 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_227 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_228 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_229 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_230 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_231 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_232 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_233 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_234 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_235 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_236 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_237 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_238 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_239 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_240 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_241 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_242 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_243 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_244 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_245 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_246 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_247 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_248 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_249 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_250 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_251 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_252 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_253 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_254 = 2'h0; + end + if (reset) begin + bht_bank_rd_data_out_0_255 = 2'h0; + end + if (reset) begin + exu_mp_way_f = 1'h0; + end + if (reset) begin + exu_flush_final_d1 = 1'h0; + end + if (reset) begin + btb_lru_b0_f = 256'h0; + end + if (reset) begin + ifc_fetch_adder_prior = 30'h0; + end + if (reset) begin + rets_out_0 = 32'h0; + end + if (reset) begin + rets_out_1 = 32'h0; + end + if (reset) begin + rets_out_2 = 32'h0; + end + if (reset) begin + rets_out_3 = 32'h0; + end + if (reset) begin + rets_out_4 = 32'h0; + end + if (reset) begin + rets_out_5 = 32'h0; + end + if (reset) begin + rets_out_6 = 32'h0; + end + if (reset) begin + rets_out_7 = 32'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + leak_one_f_d1 <= 1'h0; + end else begin + leak_one_f_d1 <= _T_40 | _T_42; + end + end + always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_0 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_0 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_11_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_1 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_1 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_12_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_2 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_2 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_13_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_3 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_3 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_14_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_4 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_4 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_15_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_5 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_5 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_16_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_6 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_6 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_17_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_7 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_7 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_18_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_8 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_8 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_19_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_9 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_9 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_20_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_10 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_10 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_21_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_11 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_11 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_22_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_12 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_12 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_23_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_13 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_13 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_24_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_14 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_14 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_25_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_15 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_15 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_26_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_16 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_16 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_27_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_17 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_17 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_28_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_18 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_18 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_29_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_19 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_19 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_30_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_20 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_20 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_31_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_21 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_21 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_32_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_22 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_22 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_33_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_23 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_23 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_34_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_24 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_24 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_35_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_25 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_25 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_36_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_26 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_26 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_37_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_27 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_27 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_38_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_28 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_28 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_39_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_29 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_29 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_40_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_30 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_30 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_41_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_31 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_31 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_42_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_32 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_32 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_43_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_33 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_33 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_44_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_34 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_34 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_45_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_35 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_35 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_46_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_36 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_36 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_47_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_37 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_37 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_48_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_38 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_38 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_49_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_39 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_39 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_50_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_40 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_40 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_51_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_41 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_41 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_52_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_42 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_42 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_53_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_43 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_43 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_54_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_44 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_44 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_55_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_45 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_45 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_56_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_46 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_46 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_57_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_47 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_47 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_58_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_48 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_48 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_59_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_49 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_49 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_60_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_50 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_50 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_61_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_51 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_51 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_62_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_52 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_52 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_63_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_53 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_53 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_64_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_54 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_54 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_65_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_55 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_55 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_66_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_56 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_56 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_67_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_57 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_57 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_68_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_58 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_58 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_69_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_59 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_59 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_70_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_60 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_60 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_71_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_61 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_61 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_72_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_62 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_62 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_73_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_63 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_63 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_74_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_64 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_64 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_75_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_65 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_65 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_76_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_66 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_66 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_77_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_67 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_67 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_78_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_68 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_68 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_79_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_69 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_69 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_80_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_70 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_70 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_81_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_71 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_71 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_82_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_72 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_72 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_83_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_73 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_73 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_84_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_74 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_74 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_85_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_75 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_75 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_86_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_76 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_76 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_87_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_77 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_77 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_88_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_78 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_78 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_89_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_79 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_79 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_90_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_80 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_80 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_91_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_81 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_81 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_92_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_82 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_82 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_93_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_83 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_83 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_94_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_84 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_84 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_95_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_85 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_85 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_96_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_86 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_86 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_97_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_87 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_87 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_98_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_88 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_88 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_99_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_89 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_89 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_100_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_90 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_90 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_101_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_91 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_91 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_102_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_92 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_92 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_103_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_93 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_93 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_104_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_94 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_94 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_105_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_95 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_95 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_106_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_96 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_96 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_107_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_97 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_97 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_108_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_98 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_98 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_109_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_99 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_99 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_110_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_100 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_100 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_111_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_101 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_101 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_112_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_102 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_102 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_113_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_103 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_103 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_114_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_104 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_104 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_115_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_105 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_105 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_116_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_106 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_106 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_117_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_107 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_107 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_118_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_108 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_108 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_119_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_109 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_109 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_120_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_110 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_110 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_121_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_111 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_111 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_122_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_112 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_112 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_123_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_113 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_113 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_124_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_114 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_114 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_125_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_115 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_115 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_126_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_116 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_116 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_127_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_117 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_117 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_128_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_118 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_118 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_129_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_119 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_119 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_130_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_120 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_120 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_131_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_121 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_121 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_132_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_122 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_122 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_133_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_123 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_123 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_134_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_124 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_124 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_135_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_125 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_125 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_136_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_126 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_126 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_137_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_127 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_127 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_138_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_128 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_128 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_139_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_129 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_129 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_140_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_130 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_130 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_141_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_131 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_131 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_142_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_132 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_132 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_143_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_133 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_133 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_144_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_134 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_134 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_145_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_135 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_135 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_146_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_136 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_136 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_147_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_137 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_137 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_148_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_138 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_138 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_149_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_139 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_139 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_150_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_140 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_140 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_151_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_141 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_141 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_152_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_142 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_142 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_153_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_143 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_143 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_154_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_144 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_144 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_155_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_145 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_145 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_156_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_146 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_146 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_157_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_147 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_147 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_158_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_148 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_148 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_159_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_149 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_149 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_160_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_150 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_150 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_161_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_151 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_151 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_162_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_152 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_152 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_163_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_153 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_153 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_164_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_154 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_154 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_165_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_155 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_155 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_166_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_156 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_156 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_167_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_157 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_157 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_168_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_158 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_158 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_169_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_159 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_159 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_170_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_160 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_160 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_171_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_161 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_161 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_172_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_162 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_162 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_173_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_163 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_163 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_174_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_164 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_164 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_175_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_165 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_165 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_176_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_166 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_166 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_177_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_167 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_167 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_178_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_168 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_168 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_179_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_169 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_169 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_180_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_170 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_170 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_181_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_171 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_171 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_182_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_172 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_172 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_183_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_173 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_173 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_184_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_174 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_174 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_185_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_175 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_175 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_186_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_176 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_176 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_187_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_177 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_177 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_188_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_178 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_178 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_189_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_179 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_179 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_190_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_180 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_180 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_191_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_181 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_181 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_192_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_182 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_182 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_193_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_183 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_183 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_194_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_184 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_184 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_195_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_185 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_185 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_196_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_186 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_186 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_197_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_187 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_187 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_198_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_188 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_188 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_199_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_189 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_189 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_200_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_190 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_190 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_201_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_191 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_191 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_202_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_192 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_192 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_203_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_193 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_193 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_204_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_194 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_194 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_205_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_195 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_195 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_206_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_196 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_196 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_207_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_197 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_197 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_208_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_198 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_198 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_209_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_199 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_199 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_210_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_200 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_200 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_211_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_201 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_201 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_212_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_202 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_202 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_213_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_203 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_203 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_214_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_204 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_204 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_215_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_205 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_205 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_216_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_206 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_206 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_217_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_207 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_207 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_218_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_208 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_208 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_219_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_209 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_209 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_220_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_210 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_210 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_221_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_211 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_211 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_222_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_212 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_212 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_223_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_213 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_213 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_224_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_214 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_214 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_225_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_215 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_215 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_226_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_216 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_216 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_227_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_217 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_217 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_228_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_218 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_218 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_229_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_219 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_219 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_230_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_220 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_220 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_231_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_221 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_221 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_232_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_222 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_222 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_233_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_223 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_223 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_234_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_224 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_224 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_235_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_225 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_225 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_236_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_226 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_226 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_237_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_227 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_227 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_238_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_228 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_228 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_239_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_229 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_229 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_240_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_230 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_230 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_241_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_231 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_231 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_242_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_232 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_232 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_243_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_233 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_233 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_244_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_234 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_234 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_245_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_235 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_235 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_246_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_236 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_236 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_247_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_237 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_237 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_248_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_238 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_238 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_249_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_239 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_239 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_250_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_240 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_240 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_251_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_241 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_241 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_252_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_242 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_242 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_253_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_243 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_243 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_254_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_244 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_244 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_255_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_245 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_245 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_256_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_246 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_246 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_257_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_247 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_247 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_258_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_248 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_248 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_259_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_249 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_249 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_260_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_250 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_250 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_261_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_251 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_251 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_262_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_252 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_252 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_263_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_253 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_253 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_264_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_254 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_254 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_265_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way0_out_255 <= 22'h0; + end else begin + btb_bank0_rd_data_way0_out_255 <= {_T_538,_T_535}; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + dec_tlu_way_wb_f <= 1'h0; + end else begin + dec_tlu_way_wb_f <= io_dec_bp_dec_tlu_br0_r_pkt_bits_way; + end + end + always @(posedge rvclkhdr_266_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_0 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_0 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_267_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_1 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_1 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_268_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_2 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_2 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_269_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_3 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_3 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_270_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_4 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_4 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_271_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_5 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_5 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_272_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_6 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_6 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_273_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_7 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_7 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_274_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_8 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_8 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_275_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_9 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_9 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_276_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_10 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_10 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_277_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_11 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_11 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_278_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_12 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_12 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_279_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_13 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_13 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_280_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_14 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_14 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_281_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_15 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_15 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_282_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_16 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_16 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_283_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_17 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_17 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_284_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_18 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_18 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_285_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_19 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_19 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_286_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_20 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_20 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_287_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_21 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_21 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_288_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_22 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_22 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_289_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_23 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_23 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_290_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_24 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_24 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_291_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_25 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_25 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_292_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_26 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_26 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_293_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_27 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_27 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_294_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_28 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_28 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_295_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_29 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_29 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_296_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_30 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_30 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_297_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_31 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_31 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_298_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_32 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_32 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_299_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_33 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_33 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_300_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_34 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_34 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_301_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_35 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_35 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_302_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_36 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_36 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_303_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_37 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_37 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_304_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_38 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_38 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_305_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_39 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_39 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_306_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_40 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_40 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_307_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_41 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_41 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_308_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_42 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_42 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_309_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_43 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_43 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_310_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_44 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_44 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_311_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_45 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_45 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_312_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_46 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_46 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_313_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_47 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_47 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_314_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_48 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_48 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_315_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_49 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_49 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_316_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_50 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_50 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_317_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_51 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_51 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_318_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_52 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_52 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_319_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_53 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_53 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_320_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_54 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_54 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_321_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_55 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_55 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_322_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_56 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_56 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_323_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_57 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_57 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_324_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_58 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_58 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_325_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_59 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_59 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_326_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_60 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_60 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_327_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_61 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_61 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_328_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_62 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_62 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_329_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_63 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_63 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_330_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_64 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_64 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_331_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_65 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_65 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_332_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_66 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_66 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_333_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_67 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_67 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_334_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_68 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_68 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_335_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_69 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_69 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_336_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_70 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_70 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_337_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_71 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_71 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_338_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_72 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_72 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_339_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_73 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_73 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_340_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_74 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_74 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_341_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_75 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_75 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_342_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_76 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_76 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_343_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_77 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_77 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_344_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_78 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_78 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_345_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_79 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_79 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_346_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_80 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_80 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_347_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_81 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_81 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_348_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_82 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_82 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_349_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_83 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_83 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_350_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_84 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_84 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_351_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_85 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_85 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_352_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_86 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_86 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_353_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_87 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_87 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_354_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_88 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_88 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_355_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_89 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_89 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_356_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_90 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_90 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_357_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_91 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_91 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_358_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_92 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_92 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_359_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_93 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_93 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_360_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_94 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_94 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_361_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_95 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_95 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_362_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_96 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_96 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_363_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_97 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_97 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_364_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_98 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_98 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_365_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_99 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_99 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_366_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_100 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_100 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_367_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_101 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_101 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_368_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_102 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_102 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_369_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_103 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_103 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_370_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_104 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_104 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_371_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_105 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_105 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_372_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_106 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_106 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_373_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_107 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_107 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_374_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_108 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_108 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_375_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_109 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_109 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_376_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_110 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_110 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_377_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_111 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_111 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_378_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_112 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_112 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_379_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_113 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_113 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_380_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_114 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_114 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_381_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_115 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_115 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_382_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_116 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_116 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_383_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_117 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_117 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_384_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_118 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_118 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_385_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_119 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_119 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_386_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_120 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_120 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_387_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_121 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_121 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_388_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_122 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_122 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_389_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_123 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_123 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_390_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_124 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_124 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_391_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_125 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_125 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_392_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_126 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_126 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_393_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_127 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_127 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_394_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_128 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_128 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_395_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_129 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_129 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_396_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_130 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_130 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_397_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_131 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_131 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_398_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_132 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_132 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_399_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_133 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_133 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_400_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_134 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_134 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_401_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_135 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_135 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_402_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_136 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_136 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_403_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_137 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_137 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_404_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_138 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_138 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_405_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_139 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_139 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_406_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_140 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_140 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_407_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_141 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_141 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_408_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_142 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_142 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_409_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_143 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_143 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_410_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_144 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_144 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_411_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_145 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_145 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_412_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_146 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_146 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_413_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_147 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_147 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_414_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_148 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_148 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_415_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_149 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_149 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_416_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_150 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_150 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_417_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_151 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_151 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_418_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_152 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_152 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_419_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_153 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_153 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_420_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_154 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_154 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_421_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_155 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_155 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_422_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_156 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_156 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_423_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_157 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_157 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_424_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_158 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_158 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_425_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_159 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_159 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_426_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_160 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_160 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_427_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_161 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_161 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_428_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_162 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_162 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_429_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_163 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_163 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_430_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_164 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_164 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_431_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_165 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_165 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_432_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_166 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_166 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_433_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_167 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_167 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_434_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_168 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_168 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_435_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_169 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_169 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_436_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_170 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_170 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_437_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_171 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_171 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_438_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_172 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_172 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_439_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_173 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_173 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_440_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_174 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_174 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_441_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_175 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_175 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_442_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_176 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_176 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_443_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_177 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_177 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_444_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_178 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_178 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_445_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_179 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_179 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_446_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_180 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_180 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_447_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_181 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_181 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_448_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_182 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_182 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_449_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_183 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_183 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_450_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_184 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_184 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_451_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_185 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_185 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_452_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_186 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_186 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_453_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_187 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_187 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_454_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_188 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_188 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_455_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_189 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_189 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_456_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_190 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_190 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_457_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_191 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_191 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_458_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_192 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_192 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_459_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_193 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_193 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_460_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_194 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_194 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_461_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_195 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_195 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_462_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_196 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_196 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_463_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_197 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_197 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_464_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_198 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_198 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_465_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_199 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_199 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_466_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_200 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_200 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_467_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_201 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_201 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_468_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_202 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_202 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_469_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_203 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_203 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_470_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_204 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_204 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_471_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_205 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_205 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_472_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_206 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_206 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_473_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_207 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_207 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_474_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_208 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_208 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_475_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_209 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_209 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_476_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_210 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_210 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_477_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_211 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_211 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_478_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_212 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_212 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_479_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_213 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_213 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_480_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_214 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_214 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_481_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_215 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_215 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_482_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_216 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_216 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_483_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_217 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_217 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_484_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_218 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_218 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_485_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_219 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_219 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_486_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_220 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_220 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_487_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_221 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_221 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_488_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_222 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_222 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_489_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_223 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_223 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_490_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_224 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_224 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_491_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_225 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_225 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_492_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_226 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_226 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_493_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_227 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_227 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_494_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_228 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_228 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_495_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_229 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_229 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_496_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_230 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_230 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_497_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_231 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_231 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_498_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_232 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_232 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_499_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_233 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_233 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_500_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_234 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_234 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_501_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_235 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_235 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_502_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_236 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_236 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_503_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_237 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_237 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_504_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_238 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_238 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_505_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_239 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_239 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_506_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_240 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_240 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_507_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_241 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_241 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_508_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_242 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_242 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_509_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_243 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_243 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_510_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_244 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_244 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_511_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_245 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_245 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_512_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_246 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_246 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_513_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_247 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_247 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_514_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_248 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_248 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_515_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_249 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_249 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_516_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_250 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_250 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_517_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_251 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_251 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_518_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_252 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_252 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_519_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_253 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_253 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_520_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_254 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_254 <= {_T_538,_T_535}; + end + end + always @(posedge rvclkhdr_521_io_l1clk or posedge reset) begin + if (reset) begin + btb_bank0_rd_data_way1_out_255 <= 22'h0; + end else begin + btb_bank0_rd_data_way1_out_255 <= {_T_538,_T_535}; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + fghr <= 8'h0; + end else begin + fghr <= _T_339 | _T_338; + end + end + always @(posedge rvclkhdr_538_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_0 <= 2'h0; + end else if (bht_bank_sel_1_0_0) begin + if (_T_8870) begin + bht_bank_rd_data_out_1_0 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_0 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_538_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_1 <= 2'h0; + end else if (bht_bank_sel_1_0_1) begin + if (_T_8879) begin + bht_bank_rd_data_out_1_1 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_1 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_538_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_2 <= 2'h0; + end else if (bht_bank_sel_1_0_2) begin + if (_T_8888) begin + bht_bank_rd_data_out_1_2 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_2 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_538_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_3 <= 2'h0; + end else if (bht_bank_sel_1_0_3) begin + if (_T_8897) begin + bht_bank_rd_data_out_1_3 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_3 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_538_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_4 <= 2'h0; + end else if (bht_bank_sel_1_0_4) begin + if (_T_8906) begin + bht_bank_rd_data_out_1_4 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_4 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_538_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_5 <= 2'h0; + end else if (bht_bank_sel_1_0_5) begin + if (_T_8915) begin + bht_bank_rd_data_out_1_5 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_5 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_538_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_6 <= 2'h0; + end else if (bht_bank_sel_1_0_6) begin + if (_T_8924) begin + bht_bank_rd_data_out_1_6 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_6 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_538_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_7 <= 2'h0; + end else if (bht_bank_sel_1_0_7) begin + if (_T_8933) begin + bht_bank_rd_data_out_1_7 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_7 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_538_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_8 <= 2'h0; + end else if (bht_bank_sel_1_0_8) begin + if (_T_8942) begin + bht_bank_rd_data_out_1_8 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_8 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_538_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_9 <= 2'h0; + end else if (bht_bank_sel_1_0_9) begin + if (_T_8951) begin + bht_bank_rd_data_out_1_9 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_9 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_538_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_10 <= 2'h0; + end else if (bht_bank_sel_1_0_10) begin + if (_T_8960) begin + bht_bank_rd_data_out_1_10 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_10 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_538_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_11 <= 2'h0; + end else if (bht_bank_sel_1_0_11) begin + if (_T_8969) begin + bht_bank_rd_data_out_1_11 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_11 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_538_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_12 <= 2'h0; + end else if (bht_bank_sel_1_0_12) begin + if (_T_8978) begin + bht_bank_rd_data_out_1_12 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_12 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_538_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_13 <= 2'h0; + end else if (bht_bank_sel_1_0_13) begin + if (_T_8987) begin + bht_bank_rd_data_out_1_13 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_13 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_538_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_14 <= 2'h0; + end else if (bht_bank_sel_1_0_14) begin + if (_T_8996) begin + bht_bank_rd_data_out_1_14 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_14 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_538_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_15 <= 2'h0; + end else if (bht_bank_sel_1_0_15) begin + if (_T_9005) begin + bht_bank_rd_data_out_1_15 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_15 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_539_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_16 <= 2'h0; + end else if (bht_bank_sel_1_1_0) begin + if (_T_9014) begin + bht_bank_rd_data_out_1_16 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_16 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_539_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_17 <= 2'h0; + end else if (bht_bank_sel_1_1_1) begin + if (_T_9023) begin + bht_bank_rd_data_out_1_17 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_17 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_539_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_18 <= 2'h0; + end else if (bht_bank_sel_1_1_2) begin + if (_T_9032) begin + bht_bank_rd_data_out_1_18 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_18 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_539_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_19 <= 2'h0; + end else if (bht_bank_sel_1_1_3) begin + if (_T_9041) begin + bht_bank_rd_data_out_1_19 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_19 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_539_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_20 <= 2'h0; + end else if (bht_bank_sel_1_1_4) begin + if (_T_9050) begin + bht_bank_rd_data_out_1_20 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_20 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_539_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_21 <= 2'h0; + end else if (bht_bank_sel_1_1_5) begin + if (_T_9059) begin + bht_bank_rd_data_out_1_21 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_21 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_539_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_22 <= 2'h0; + end else if (bht_bank_sel_1_1_6) begin + if (_T_9068) begin + bht_bank_rd_data_out_1_22 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_22 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_539_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_23 <= 2'h0; + end else if (bht_bank_sel_1_1_7) begin + if (_T_9077) begin + bht_bank_rd_data_out_1_23 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_23 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_539_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_24 <= 2'h0; + end else if (bht_bank_sel_1_1_8) begin + if (_T_9086) begin + bht_bank_rd_data_out_1_24 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_24 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_539_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_25 <= 2'h0; + end else if (bht_bank_sel_1_1_9) begin + if (_T_9095) begin + bht_bank_rd_data_out_1_25 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_25 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_539_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_26 <= 2'h0; + end else if (bht_bank_sel_1_1_10) begin + if (_T_9104) begin + bht_bank_rd_data_out_1_26 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_26 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_539_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_27 <= 2'h0; + end else if (bht_bank_sel_1_1_11) begin + if (_T_9113) begin + bht_bank_rd_data_out_1_27 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_27 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_539_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_28 <= 2'h0; + end else if (bht_bank_sel_1_1_12) begin + if (_T_9122) begin + bht_bank_rd_data_out_1_28 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_28 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_539_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_29 <= 2'h0; + end else if (bht_bank_sel_1_1_13) begin + if (_T_9131) begin + bht_bank_rd_data_out_1_29 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_29 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_539_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_30 <= 2'h0; + end else if (bht_bank_sel_1_1_14) begin + if (_T_9140) begin + bht_bank_rd_data_out_1_30 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_30 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_539_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_31 <= 2'h0; + end else if (bht_bank_sel_1_1_15) begin + if (_T_9149) begin + bht_bank_rd_data_out_1_31 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_31 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_540_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_32 <= 2'h0; + end else if (bht_bank_sel_1_2_0) begin + if (_T_9158) begin + bht_bank_rd_data_out_1_32 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_32 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_540_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_33 <= 2'h0; + end else if (bht_bank_sel_1_2_1) begin + if (_T_9167) begin + bht_bank_rd_data_out_1_33 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_33 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_540_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_34 <= 2'h0; + end else if (bht_bank_sel_1_2_2) begin + if (_T_9176) begin + bht_bank_rd_data_out_1_34 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_34 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_540_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_35 <= 2'h0; + end else if (bht_bank_sel_1_2_3) begin + if (_T_9185) begin + bht_bank_rd_data_out_1_35 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_35 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_540_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_36 <= 2'h0; + end else if (bht_bank_sel_1_2_4) begin + if (_T_9194) begin + bht_bank_rd_data_out_1_36 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_36 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_540_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_37 <= 2'h0; + end else if (bht_bank_sel_1_2_5) begin + if (_T_9203) begin + bht_bank_rd_data_out_1_37 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_37 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_540_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_38 <= 2'h0; + end else if (bht_bank_sel_1_2_6) begin + if (_T_9212) begin + bht_bank_rd_data_out_1_38 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_38 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_540_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_39 <= 2'h0; + end else if (bht_bank_sel_1_2_7) begin + if (_T_9221) begin + bht_bank_rd_data_out_1_39 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_39 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_540_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_40 <= 2'h0; + end else if (bht_bank_sel_1_2_8) begin + if (_T_9230) begin + bht_bank_rd_data_out_1_40 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_40 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_540_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_41 <= 2'h0; + end else if (bht_bank_sel_1_2_9) begin + if (_T_9239) begin + bht_bank_rd_data_out_1_41 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_41 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_540_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_42 <= 2'h0; + end else if (bht_bank_sel_1_2_10) begin + if (_T_9248) begin + bht_bank_rd_data_out_1_42 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_42 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_540_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_43 <= 2'h0; + end else if (bht_bank_sel_1_2_11) begin + if (_T_9257) begin + bht_bank_rd_data_out_1_43 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_43 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_540_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_44 <= 2'h0; + end else if (bht_bank_sel_1_2_12) begin + if (_T_9266) begin + bht_bank_rd_data_out_1_44 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_44 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_540_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_45 <= 2'h0; + end else if (bht_bank_sel_1_2_13) begin + if (_T_9275) begin + bht_bank_rd_data_out_1_45 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_45 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_540_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_46 <= 2'h0; + end else if (bht_bank_sel_1_2_14) begin + if (_T_9284) begin + bht_bank_rd_data_out_1_46 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_46 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_540_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_47 <= 2'h0; + end else if (bht_bank_sel_1_2_15) begin + if (_T_9293) begin + bht_bank_rd_data_out_1_47 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_47 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_541_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_48 <= 2'h0; + end else if (bht_bank_sel_1_3_0) begin + if (_T_9302) begin + bht_bank_rd_data_out_1_48 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_48 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_541_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_49 <= 2'h0; + end else if (bht_bank_sel_1_3_1) begin + if (_T_9311) begin + bht_bank_rd_data_out_1_49 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_49 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_541_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_50 <= 2'h0; + end else if (bht_bank_sel_1_3_2) begin + if (_T_9320) begin + bht_bank_rd_data_out_1_50 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_50 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_541_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_51 <= 2'h0; + end else if (bht_bank_sel_1_3_3) begin + if (_T_9329) begin + bht_bank_rd_data_out_1_51 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_51 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_541_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_52 <= 2'h0; + end else if (bht_bank_sel_1_3_4) begin + if (_T_9338) begin + bht_bank_rd_data_out_1_52 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_52 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_541_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_53 <= 2'h0; + end else if (bht_bank_sel_1_3_5) begin + if (_T_9347) begin + bht_bank_rd_data_out_1_53 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_53 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_541_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_54 <= 2'h0; + end else if (bht_bank_sel_1_3_6) begin + if (_T_9356) begin + bht_bank_rd_data_out_1_54 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_54 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_541_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_55 <= 2'h0; + end else if (bht_bank_sel_1_3_7) begin + if (_T_9365) begin + bht_bank_rd_data_out_1_55 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_55 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_541_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_56 <= 2'h0; + end else if (bht_bank_sel_1_3_8) begin + if (_T_9374) begin + bht_bank_rd_data_out_1_56 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_56 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_541_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_57 <= 2'h0; + end else if (bht_bank_sel_1_3_9) begin + if (_T_9383) begin + bht_bank_rd_data_out_1_57 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_57 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_541_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_58 <= 2'h0; + end else if (bht_bank_sel_1_3_10) begin + if (_T_9392) begin + bht_bank_rd_data_out_1_58 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_58 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_541_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_59 <= 2'h0; + end else if (bht_bank_sel_1_3_11) begin + if (_T_9401) begin + bht_bank_rd_data_out_1_59 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_59 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_541_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_60 <= 2'h0; + end else if (bht_bank_sel_1_3_12) begin + if (_T_9410) begin + bht_bank_rd_data_out_1_60 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_60 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_541_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_61 <= 2'h0; + end else if (bht_bank_sel_1_3_13) begin + if (_T_9419) begin + bht_bank_rd_data_out_1_61 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_61 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_541_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_62 <= 2'h0; + end else if (bht_bank_sel_1_3_14) begin + if (_T_9428) begin + bht_bank_rd_data_out_1_62 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_62 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_541_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_63 <= 2'h0; + end else if (bht_bank_sel_1_3_15) begin + if (_T_9437) begin + bht_bank_rd_data_out_1_63 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_63 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_542_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_64 <= 2'h0; + end else if (bht_bank_sel_1_4_0) begin + if (_T_9446) begin + bht_bank_rd_data_out_1_64 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_64 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_542_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_65 <= 2'h0; + end else if (bht_bank_sel_1_4_1) begin + if (_T_9455) begin + bht_bank_rd_data_out_1_65 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_65 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_542_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_66 <= 2'h0; + end else if (bht_bank_sel_1_4_2) begin + if (_T_9464) begin + bht_bank_rd_data_out_1_66 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_66 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_542_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_67 <= 2'h0; + end else if (bht_bank_sel_1_4_3) begin + if (_T_9473) begin + bht_bank_rd_data_out_1_67 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_67 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_542_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_68 <= 2'h0; + end else if (bht_bank_sel_1_4_4) begin + if (_T_9482) begin + bht_bank_rd_data_out_1_68 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_68 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_542_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_69 <= 2'h0; + end else if (bht_bank_sel_1_4_5) begin + if (_T_9491) begin + bht_bank_rd_data_out_1_69 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_69 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_542_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_70 <= 2'h0; + end else if (bht_bank_sel_1_4_6) begin + if (_T_9500) begin + bht_bank_rd_data_out_1_70 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_70 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_542_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_71 <= 2'h0; + end else if (bht_bank_sel_1_4_7) begin + if (_T_9509) begin + bht_bank_rd_data_out_1_71 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_71 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_542_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_72 <= 2'h0; + end else if (bht_bank_sel_1_4_8) begin + if (_T_9518) begin + bht_bank_rd_data_out_1_72 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_72 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_542_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_73 <= 2'h0; + end else if (bht_bank_sel_1_4_9) begin + if (_T_9527) begin + bht_bank_rd_data_out_1_73 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_73 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_542_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_74 <= 2'h0; + end else if (bht_bank_sel_1_4_10) begin + if (_T_9536) begin + bht_bank_rd_data_out_1_74 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_74 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_542_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_75 <= 2'h0; + end else if (bht_bank_sel_1_4_11) begin + if (_T_9545) begin + bht_bank_rd_data_out_1_75 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_75 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_542_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_76 <= 2'h0; + end else if (bht_bank_sel_1_4_12) begin + if (_T_9554) begin + bht_bank_rd_data_out_1_76 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_76 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_542_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_77 <= 2'h0; + end else if (bht_bank_sel_1_4_13) begin + if (_T_9563) begin + bht_bank_rd_data_out_1_77 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_77 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_542_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_78 <= 2'h0; + end else if (bht_bank_sel_1_4_14) begin + if (_T_9572) begin + bht_bank_rd_data_out_1_78 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_78 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_542_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_79 <= 2'h0; + end else if (bht_bank_sel_1_4_15) begin + if (_T_9581) begin + bht_bank_rd_data_out_1_79 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_79 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_543_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_80 <= 2'h0; + end else if (bht_bank_sel_1_5_0) begin + if (_T_9590) begin + bht_bank_rd_data_out_1_80 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_80 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_543_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_81 <= 2'h0; + end else if (bht_bank_sel_1_5_1) begin + if (_T_9599) begin + bht_bank_rd_data_out_1_81 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_81 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_543_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_82 <= 2'h0; + end else if (bht_bank_sel_1_5_2) begin + if (_T_9608) begin + bht_bank_rd_data_out_1_82 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_82 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_543_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_83 <= 2'h0; + end else if (bht_bank_sel_1_5_3) begin + if (_T_9617) begin + bht_bank_rd_data_out_1_83 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_83 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_543_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_84 <= 2'h0; + end else if (bht_bank_sel_1_5_4) begin + if (_T_9626) begin + bht_bank_rd_data_out_1_84 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_84 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_543_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_85 <= 2'h0; + end else if (bht_bank_sel_1_5_5) begin + if (_T_9635) begin + bht_bank_rd_data_out_1_85 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_85 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_543_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_86 <= 2'h0; + end else if (bht_bank_sel_1_5_6) begin + if (_T_9644) begin + bht_bank_rd_data_out_1_86 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_86 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_543_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_87 <= 2'h0; + end else if (bht_bank_sel_1_5_7) begin + if (_T_9653) begin + bht_bank_rd_data_out_1_87 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_87 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_543_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_88 <= 2'h0; + end else if (bht_bank_sel_1_5_8) begin + if (_T_9662) begin + bht_bank_rd_data_out_1_88 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_88 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_543_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_89 <= 2'h0; + end else if (bht_bank_sel_1_5_9) begin + if (_T_9671) begin + bht_bank_rd_data_out_1_89 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_89 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_543_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_90 <= 2'h0; + end else if (bht_bank_sel_1_5_10) begin + if (_T_9680) begin + bht_bank_rd_data_out_1_90 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_90 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_543_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_91 <= 2'h0; + end else if (bht_bank_sel_1_5_11) begin + if (_T_9689) begin + bht_bank_rd_data_out_1_91 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_91 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_543_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_92 <= 2'h0; + end else if (bht_bank_sel_1_5_12) begin + if (_T_9698) begin + bht_bank_rd_data_out_1_92 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_92 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_543_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_93 <= 2'h0; + end else if (bht_bank_sel_1_5_13) begin + if (_T_9707) begin + bht_bank_rd_data_out_1_93 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_93 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_543_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_94 <= 2'h0; + end else if (bht_bank_sel_1_5_14) begin + if (_T_9716) begin + bht_bank_rd_data_out_1_94 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_94 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_543_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_95 <= 2'h0; + end else if (bht_bank_sel_1_5_15) begin + if (_T_9725) begin + bht_bank_rd_data_out_1_95 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_95 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_544_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_96 <= 2'h0; + end else if (bht_bank_sel_1_6_0) begin + if (_T_9734) begin + bht_bank_rd_data_out_1_96 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_96 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_544_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_97 <= 2'h0; + end else if (bht_bank_sel_1_6_1) begin + if (_T_9743) begin + bht_bank_rd_data_out_1_97 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_97 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_544_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_98 <= 2'h0; + end else if (bht_bank_sel_1_6_2) begin + if (_T_9752) begin + bht_bank_rd_data_out_1_98 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_98 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_544_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_99 <= 2'h0; + end else if (bht_bank_sel_1_6_3) begin + if (_T_9761) begin + bht_bank_rd_data_out_1_99 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_99 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_544_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_100 <= 2'h0; + end else if (bht_bank_sel_1_6_4) begin + if (_T_9770) begin + bht_bank_rd_data_out_1_100 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_100 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_544_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_101 <= 2'h0; + end else if (bht_bank_sel_1_6_5) begin + if (_T_9779) begin + bht_bank_rd_data_out_1_101 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_101 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_544_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_102 <= 2'h0; + end else if (bht_bank_sel_1_6_6) begin + if (_T_9788) begin + bht_bank_rd_data_out_1_102 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_102 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_544_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_103 <= 2'h0; + end else if (bht_bank_sel_1_6_7) begin + if (_T_9797) begin + bht_bank_rd_data_out_1_103 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_103 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_544_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_104 <= 2'h0; + end else if (bht_bank_sel_1_6_8) begin + if (_T_9806) begin + bht_bank_rd_data_out_1_104 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_104 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_544_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_105 <= 2'h0; + end else if (bht_bank_sel_1_6_9) begin + if (_T_9815) begin + bht_bank_rd_data_out_1_105 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_105 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_544_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_106 <= 2'h0; + end else if (bht_bank_sel_1_6_10) begin + if (_T_9824) begin + bht_bank_rd_data_out_1_106 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_106 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_544_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_107 <= 2'h0; + end else if (bht_bank_sel_1_6_11) begin + if (_T_9833) begin + bht_bank_rd_data_out_1_107 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_107 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_544_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_108 <= 2'h0; + end else if (bht_bank_sel_1_6_12) begin + if (_T_9842) begin + bht_bank_rd_data_out_1_108 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_108 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_544_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_109 <= 2'h0; + end else if (bht_bank_sel_1_6_13) begin + if (_T_9851) begin + bht_bank_rd_data_out_1_109 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_109 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_544_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_110 <= 2'h0; + end else if (bht_bank_sel_1_6_14) begin + if (_T_9860) begin + bht_bank_rd_data_out_1_110 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_110 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_544_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_111 <= 2'h0; + end else if (bht_bank_sel_1_6_15) begin + if (_T_9869) begin + bht_bank_rd_data_out_1_111 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_111 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_545_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_112 <= 2'h0; + end else if (bht_bank_sel_1_7_0) begin + if (_T_9878) begin + bht_bank_rd_data_out_1_112 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_112 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_545_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_113 <= 2'h0; + end else if (bht_bank_sel_1_7_1) begin + if (_T_9887) begin + bht_bank_rd_data_out_1_113 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_113 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_545_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_114 <= 2'h0; + end else if (bht_bank_sel_1_7_2) begin + if (_T_9896) begin + bht_bank_rd_data_out_1_114 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_114 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_545_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_115 <= 2'h0; + end else if (bht_bank_sel_1_7_3) begin + if (_T_9905) begin + bht_bank_rd_data_out_1_115 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_115 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_545_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_116 <= 2'h0; + end else if (bht_bank_sel_1_7_4) begin + if (_T_9914) begin + bht_bank_rd_data_out_1_116 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_116 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_545_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_117 <= 2'h0; + end else if (bht_bank_sel_1_7_5) begin + if (_T_9923) begin + bht_bank_rd_data_out_1_117 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_117 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_545_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_118 <= 2'h0; + end else if (bht_bank_sel_1_7_6) begin + if (_T_9932) begin + bht_bank_rd_data_out_1_118 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_118 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_545_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_119 <= 2'h0; + end else if (bht_bank_sel_1_7_7) begin + if (_T_9941) begin + bht_bank_rd_data_out_1_119 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_119 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_545_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_120 <= 2'h0; + end else if (bht_bank_sel_1_7_8) begin + if (_T_9950) begin + bht_bank_rd_data_out_1_120 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_120 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_545_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_121 <= 2'h0; + end else if (bht_bank_sel_1_7_9) begin + if (_T_9959) begin + bht_bank_rd_data_out_1_121 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_121 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_545_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_122 <= 2'h0; + end else if (bht_bank_sel_1_7_10) begin + if (_T_9968) begin + bht_bank_rd_data_out_1_122 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_122 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_545_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_123 <= 2'h0; + end else if (bht_bank_sel_1_7_11) begin + if (_T_9977) begin + bht_bank_rd_data_out_1_123 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_123 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_545_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_124 <= 2'h0; + end else if (bht_bank_sel_1_7_12) begin + if (_T_9986) begin + bht_bank_rd_data_out_1_124 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_124 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_545_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_125 <= 2'h0; + end else if (bht_bank_sel_1_7_13) begin + if (_T_9995) begin + bht_bank_rd_data_out_1_125 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_125 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_545_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_126 <= 2'h0; + end else if (bht_bank_sel_1_7_14) begin + if (_T_10004) begin + bht_bank_rd_data_out_1_126 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_126 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_545_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_127 <= 2'h0; + end else if (bht_bank_sel_1_7_15) begin + if (_T_10013) begin + bht_bank_rd_data_out_1_127 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_127 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_546_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_128 <= 2'h0; + end else if (bht_bank_sel_1_8_0) begin + if (_T_10022) begin + bht_bank_rd_data_out_1_128 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_128 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_546_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_129 <= 2'h0; + end else if (bht_bank_sel_1_8_1) begin + if (_T_10031) begin + bht_bank_rd_data_out_1_129 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_129 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_546_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_130 <= 2'h0; + end else if (bht_bank_sel_1_8_2) begin + if (_T_10040) begin + bht_bank_rd_data_out_1_130 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_130 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_546_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_131 <= 2'h0; + end else if (bht_bank_sel_1_8_3) begin + if (_T_10049) begin + bht_bank_rd_data_out_1_131 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_131 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_546_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_132 <= 2'h0; + end else if (bht_bank_sel_1_8_4) begin + if (_T_10058) begin + bht_bank_rd_data_out_1_132 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_132 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_546_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_133 <= 2'h0; + end else if (bht_bank_sel_1_8_5) begin + if (_T_10067) begin + bht_bank_rd_data_out_1_133 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_133 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_546_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_134 <= 2'h0; + end else if (bht_bank_sel_1_8_6) begin + if (_T_10076) begin + bht_bank_rd_data_out_1_134 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_134 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_546_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_135 <= 2'h0; + end else if (bht_bank_sel_1_8_7) begin + if (_T_10085) begin + bht_bank_rd_data_out_1_135 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_135 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_546_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_136 <= 2'h0; + end else if (bht_bank_sel_1_8_8) begin + if (_T_10094) begin + bht_bank_rd_data_out_1_136 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_136 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_546_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_137 <= 2'h0; + end else if (bht_bank_sel_1_8_9) begin + if (_T_10103) begin + bht_bank_rd_data_out_1_137 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_137 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_546_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_138 <= 2'h0; + end else if (bht_bank_sel_1_8_10) begin + if (_T_10112) begin + bht_bank_rd_data_out_1_138 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_138 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_546_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_139 <= 2'h0; + end else if (bht_bank_sel_1_8_11) begin + if (_T_10121) begin + bht_bank_rd_data_out_1_139 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_139 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_546_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_140 <= 2'h0; + end else if (bht_bank_sel_1_8_12) begin + if (_T_10130) begin + bht_bank_rd_data_out_1_140 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_140 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_546_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_141 <= 2'h0; + end else if (bht_bank_sel_1_8_13) begin + if (_T_10139) begin + bht_bank_rd_data_out_1_141 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_141 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_546_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_142 <= 2'h0; + end else if (bht_bank_sel_1_8_14) begin + if (_T_10148) begin + bht_bank_rd_data_out_1_142 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_142 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_546_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_143 <= 2'h0; + end else if (bht_bank_sel_1_8_15) begin + if (_T_10157) begin + bht_bank_rd_data_out_1_143 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_143 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_547_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_144 <= 2'h0; + end else if (bht_bank_sel_1_9_0) begin + if (_T_10166) begin + bht_bank_rd_data_out_1_144 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_144 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_547_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_145 <= 2'h0; + end else if (bht_bank_sel_1_9_1) begin + if (_T_10175) begin + bht_bank_rd_data_out_1_145 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_145 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_547_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_146 <= 2'h0; + end else if (bht_bank_sel_1_9_2) begin + if (_T_10184) begin + bht_bank_rd_data_out_1_146 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_146 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_547_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_147 <= 2'h0; + end else if (bht_bank_sel_1_9_3) begin + if (_T_10193) begin + bht_bank_rd_data_out_1_147 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_147 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_547_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_148 <= 2'h0; + end else if (bht_bank_sel_1_9_4) begin + if (_T_10202) begin + bht_bank_rd_data_out_1_148 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_148 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_547_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_149 <= 2'h0; + end else if (bht_bank_sel_1_9_5) begin + if (_T_10211) begin + bht_bank_rd_data_out_1_149 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_149 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_547_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_150 <= 2'h0; + end else if (bht_bank_sel_1_9_6) begin + if (_T_10220) begin + bht_bank_rd_data_out_1_150 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_150 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_547_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_151 <= 2'h0; + end else if (bht_bank_sel_1_9_7) begin + if (_T_10229) begin + bht_bank_rd_data_out_1_151 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_151 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_547_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_152 <= 2'h0; + end else if (bht_bank_sel_1_9_8) begin + if (_T_10238) begin + bht_bank_rd_data_out_1_152 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_152 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_547_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_153 <= 2'h0; + end else if (bht_bank_sel_1_9_9) begin + if (_T_10247) begin + bht_bank_rd_data_out_1_153 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_153 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_547_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_154 <= 2'h0; + end else if (bht_bank_sel_1_9_10) begin + if (_T_10256) begin + bht_bank_rd_data_out_1_154 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_154 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_547_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_155 <= 2'h0; + end else if (bht_bank_sel_1_9_11) begin + if (_T_10265) begin + bht_bank_rd_data_out_1_155 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_155 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_547_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_156 <= 2'h0; + end else if (bht_bank_sel_1_9_12) begin + if (_T_10274) begin + bht_bank_rd_data_out_1_156 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_156 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_547_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_157 <= 2'h0; + end else if (bht_bank_sel_1_9_13) begin + if (_T_10283) begin + bht_bank_rd_data_out_1_157 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_157 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_547_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_158 <= 2'h0; + end else if (bht_bank_sel_1_9_14) begin + if (_T_10292) begin + bht_bank_rd_data_out_1_158 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_158 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_547_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_159 <= 2'h0; + end else if (bht_bank_sel_1_9_15) begin + if (_T_10301) begin + bht_bank_rd_data_out_1_159 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_159 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_548_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_160 <= 2'h0; + end else if (bht_bank_sel_1_10_0) begin + if (_T_10310) begin + bht_bank_rd_data_out_1_160 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_160 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_548_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_161 <= 2'h0; + end else if (bht_bank_sel_1_10_1) begin + if (_T_10319) begin + bht_bank_rd_data_out_1_161 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_161 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_548_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_162 <= 2'h0; + end else if (bht_bank_sel_1_10_2) begin + if (_T_10328) begin + bht_bank_rd_data_out_1_162 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_162 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_548_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_163 <= 2'h0; + end else if (bht_bank_sel_1_10_3) begin + if (_T_10337) begin + bht_bank_rd_data_out_1_163 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_163 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_548_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_164 <= 2'h0; + end else if (bht_bank_sel_1_10_4) begin + if (_T_10346) begin + bht_bank_rd_data_out_1_164 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_164 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_548_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_165 <= 2'h0; + end else if (bht_bank_sel_1_10_5) begin + if (_T_10355) begin + bht_bank_rd_data_out_1_165 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_165 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_548_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_166 <= 2'h0; + end else if (bht_bank_sel_1_10_6) begin + if (_T_10364) begin + bht_bank_rd_data_out_1_166 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_166 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_548_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_167 <= 2'h0; + end else if (bht_bank_sel_1_10_7) begin + if (_T_10373) begin + bht_bank_rd_data_out_1_167 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_167 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_548_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_168 <= 2'h0; + end else if (bht_bank_sel_1_10_8) begin + if (_T_10382) begin + bht_bank_rd_data_out_1_168 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_168 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_548_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_169 <= 2'h0; + end else if (bht_bank_sel_1_10_9) begin + if (_T_10391) begin + bht_bank_rd_data_out_1_169 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_169 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_548_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_170 <= 2'h0; + end else if (bht_bank_sel_1_10_10) begin + if (_T_10400) begin + bht_bank_rd_data_out_1_170 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_170 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_548_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_171 <= 2'h0; + end else if (bht_bank_sel_1_10_11) begin + if (_T_10409) begin + bht_bank_rd_data_out_1_171 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_171 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_548_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_172 <= 2'h0; + end else if (bht_bank_sel_1_10_12) begin + if (_T_10418) begin + bht_bank_rd_data_out_1_172 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_172 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_548_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_173 <= 2'h0; + end else if (bht_bank_sel_1_10_13) begin + if (_T_10427) begin + bht_bank_rd_data_out_1_173 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_173 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_548_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_174 <= 2'h0; + end else if (bht_bank_sel_1_10_14) begin + if (_T_10436) begin + bht_bank_rd_data_out_1_174 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_174 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_548_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_175 <= 2'h0; + end else if (bht_bank_sel_1_10_15) begin + if (_T_10445) begin + bht_bank_rd_data_out_1_175 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_175 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_549_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_176 <= 2'h0; + end else if (bht_bank_sel_1_11_0) begin + if (_T_10454) begin + bht_bank_rd_data_out_1_176 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_176 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_549_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_177 <= 2'h0; + end else if (bht_bank_sel_1_11_1) begin + if (_T_10463) begin + bht_bank_rd_data_out_1_177 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_177 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_549_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_178 <= 2'h0; + end else if (bht_bank_sel_1_11_2) begin + if (_T_10472) begin + bht_bank_rd_data_out_1_178 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_178 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_549_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_179 <= 2'h0; + end else if (bht_bank_sel_1_11_3) begin + if (_T_10481) begin + bht_bank_rd_data_out_1_179 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_179 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_549_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_180 <= 2'h0; + end else if (bht_bank_sel_1_11_4) begin + if (_T_10490) begin + bht_bank_rd_data_out_1_180 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_180 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_549_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_181 <= 2'h0; + end else if (bht_bank_sel_1_11_5) begin + if (_T_10499) begin + bht_bank_rd_data_out_1_181 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_181 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_549_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_182 <= 2'h0; + end else if (bht_bank_sel_1_11_6) begin + if (_T_10508) begin + bht_bank_rd_data_out_1_182 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_182 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_549_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_183 <= 2'h0; + end else if (bht_bank_sel_1_11_7) begin + if (_T_10517) begin + bht_bank_rd_data_out_1_183 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_183 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_549_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_184 <= 2'h0; + end else if (bht_bank_sel_1_11_8) begin + if (_T_10526) begin + bht_bank_rd_data_out_1_184 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_184 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_549_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_185 <= 2'h0; + end else if (bht_bank_sel_1_11_9) begin + if (_T_10535) begin + bht_bank_rd_data_out_1_185 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_185 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_549_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_186 <= 2'h0; + end else if (bht_bank_sel_1_11_10) begin + if (_T_10544) begin + bht_bank_rd_data_out_1_186 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_186 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_549_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_187 <= 2'h0; + end else if (bht_bank_sel_1_11_11) begin + if (_T_10553) begin + bht_bank_rd_data_out_1_187 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_187 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_549_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_188 <= 2'h0; + end else if (bht_bank_sel_1_11_12) begin + if (_T_10562) begin + bht_bank_rd_data_out_1_188 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_188 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_549_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_189 <= 2'h0; + end else if (bht_bank_sel_1_11_13) begin + if (_T_10571) begin + bht_bank_rd_data_out_1_189 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_189 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_549_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_190 <= 2'h0; + end else if (bht_bank_sel_1_11_14) begin + if (_T_10580) begin + bht_bank_rd_data_out_1_190 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_190 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_549_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_191 <= 2'h0; + end else if (bht_bank_sel_1_11_15) begin + if (_T_10589) begin + bht_bank_rd_data_out_1_191 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_191 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_550_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_192 <= 2'h0; + end else if (bht_bank_sel_1_12_0) begin + if (_T_10598) begin + bht_bank_rd_data_out_1_192 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_192 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_550_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_193 <= 2'h0; + end else if (bht_bank_sel_1_12_1) begin + if (_T_10607) begin + bht_bank_rd_data_out_1_193 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_193 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_550_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_194 <= 2'h0; + end else if (bht_bank_sel_1_12_2) begin + if (_T_10616) begin + bht_bank_rd_data_out_1_194 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_194 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_550_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_195 <= 2'h0; + end else if (bht_bank_sel_1_12_3) begin + if (_T_10625) begin + bht_bank_rd_data_out_1_195 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_195 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_550_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_196 <= 2'h0; + end else if (bht_bank_sel_1_12_4) begin + if (_T_10634) begin + bht_bank_rd_data_out_1_196 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_196 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_550_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_197 <= 2'h0; + end else if (bht_bank_sel_1_12_5) begin + if (_T_10643) begin + bht_bank_rd_data_out_1_197 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_197 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_550_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_198 <= 2'h0; + end else if (bht_bank_sel_1_12_6) begin + if (_T_10652) begin + bht_bank_rd_data_out_1_198 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_198 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_550_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_199 <= 2'h0; + end else if (bht_bank_sel_1_12_7) begin + if (_T_10661) begin + bht_bank_rd_data_out_1_199 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_199 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_550_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_200 <= 2'h0; + end else if (bht_bank_sel_1_12_8) begin + if (_T_10670) begin + bht_bank_rd_data_out_1_200 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_200 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_550_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_201 <= 2'h0; + end else if (bht_bank_sel_1_12_9) begin + if (_T_10679) begin + bht_bank_rd_data_out_1_201 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_201 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_550_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_202 <= 2'h0; + end else if (bht_bank_sel_1_12_10) begin + if (_T_10688) begin + bht_bank_rd_data_out_1_202 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_202 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_550_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_203 <= 2'h0; + end else if (bht_bank_sel_1_12_11) begin + if (_T_10697) begin + bht_bank_rd_data_out_1_203 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_203 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_550_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_204 <= 2'h0; + end else if (bht_bank_sel_1_12_12) begin + if (_T_10706) begin + bht_bank_rd_data_out_1_204 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_204 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_550_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_205 <= 2'h0; + end else if (bht_bank_sel_1_12_13) begin + if (_T_10715) begin + bht_bank_rd_data_out_1_205 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_205 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_550_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_206 <= 2'h0; + end else if (bht_bank_sel_1_12_14) begin + if (_T_10724) begin + bht_bank_rd_data_out_1_206 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_206 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_550_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_207 <= 2'h0; + end else if (bht_bank_sel_1_12_15) begin + if (_T_10733) begin + bht_bank_rd_data_out_1_207 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_207 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_551_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_208 <= 2'h0; + end else if (bht_bank_sel_1_13_0) begin + if (_T_10742) begin + bht_bank_rd_data_out_1_208 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_208 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_551_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_209 <= 2'h0; + end else if (bht_bank_sel_1_13_1) begin + if (_T_10751) begin + bht_bank_rd_data_out_1_209 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_209 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_551_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_210 <= 2'h0; + end else if (bht_bank_sel_1_13_2) begin + if (_T_10760) begin + bht_bank_rd_data_out_1_210 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_210 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_551_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_211 <= 2'h0; + end else if (bht_bank_sel_1_13_3) begin + if (_T_10769) begin + bht_bank_rd_data_out_1_211 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_211 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_551_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_212 <= 2'h0; + end else if (bht_bank_sel_1_13_4) begin + if (_T_10778) begin + bht_bank_rd_data_out_1_212 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_212 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_551_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_213 <= 2'h0; + end else if (bht_bank_sel_1_13_5) begin + if (_T_10787) begin + bht_bank_rd_data_out_1_213 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_213 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_551_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_214 <= 2'h0; + end else if (bht_bank_sel_1_13_6) begin + if (_T_10796) begin + bht_bank_rd_data_out_1_214 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_214 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_551_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_215 <= 2'h0; + end else if (bht_bank_sel_1_13_7) begin + if (_T_10805) begin + bht_bank_rd_data_out_1_215 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_215 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_551_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_216 <= 2'h0; + end else if (bht_bank_sel_1_13_8) begin + if (_T_10814) begin + bht_bank_rd_data_out_1_216 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_216 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_551_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_217 <= 2'h0; + end else if (bht_bank_sel_1_13_9) begin + if (_T_10823) begin + bht_bank_rd_data_out_1_217 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_217 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_551_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_218 <= 2'h0; + end else if (bht_bank_sel_1_13_10) begin + if (_T_10832) begin + bht_bank_rd_data_out_1_218 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_218 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_551_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_219 <= 2'h0; + end else if (bht_bank_sel_1_13_11) begin + if (_T_10841) begin + bht_bank_rd_data_out_1_219 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_219 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_551_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_220 <= 2'h0; + end else if (bht_bank_sel_1_13_12) begin + if (_T_10850) begin + bht_bank_rd_data_out_1_220 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_220 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_551_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_221 <= 2'h0; + end else if (bht_bank_sel_1_13_13) begin + if (_T_10859) begin + bht_bank_rd_data_out_1_221 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_221 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_551_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_222 <= 2'h0; + end else if (bht_bank_sel_1_13_14) begin + if (_T_10868) begin + bht_bank_rd_data_out_1_222 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_222 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_551_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_223 <= 2'h0; + end else if (bht_bank_sel_1_13_15) begin + if (_T_10877) begin + bht_bank_rd_data_out_1_223 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_223 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_552_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_224 <= 2'h0; + end else if (bht_bank_sel_1_14_0) begin + if (_T_10886) begin + bht_bank_rd_data_out_1_224 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_224 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_552_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_225 <= 2'h0; + end else if (bht_bank_sel_1_14_1) begin + if (_T_10895) begin + bht_bank_rd_data_out_1_225 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_225 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_552_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_226 <= 2'h0; + end else if (bht_bank_sel_1_14_2) begin + if (_T_10904) begin + bht_bank_rd_data_out_1_226 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_226 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_552_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_227 <= 2'h0; + end else if (bht_bank_sel_1_14_3) begin + if (_T_10913) begin + bht_bank_rd_data_out_1_227 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_227 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_552_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_228 <= 2'h0; + end else if (bht_bank_sel_1_14_4) begin + if (_T_10922) begin + bht_bank_rd_data_out_1_228 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_228 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_552_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_229 <= 2'h0; + end else if (bht_bank_sel_1_14_5) begin + if (_T_10931) begin + bht_bank_rd_data_out_1_229 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_229 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_552_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_230 <= 2'h0; + end else if (bht_bank_sel_1_14_6) begin + if (_T_10940) begin + bht_bank_rd_data_out_1_230 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_230 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_552_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_231 <= 2'h0; + end else if (bht_bank_sel_1_14_7) begin + if (_T_10949) begin + bht_bank_rd_data_out_1_231 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_231 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_552_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_232 <= 2'h0; + end else if (bht_bank_sel_1_14_8) begin + if (_T_10958) begin + bht_bank_rd_data_out_1_232 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_232 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_552_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_233 <= 2'h0; + end else if (bht_bank_sel_1_14_9) begin + if (_T_10967) begin + bht_bank_rd_data_out_1_233 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_233 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_552_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_234 <= 2'h0; + end else if (bht_bank_sel_1_14_10) begin + if (_T_10976) begin + bht_bank_rd_data_out_1_234 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_234 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_552_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_235 <= 2'h0; + end else if (bht_bank_sel_1_14_11) begin + if (_T_10985) begin + bht_bank_rd_data_out_1_235 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_235 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_552_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_236 <= 2'h0; + end else if (bht_bank_sel_1_14_12) begin + if (_T_10994) begin + bht_bank_rd_data_out_1_236 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_236 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_552_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_237 <= 2'h0; + end else if (bht_bank_sel_1_14_13) begin + if (_T_11003) begin + bht_bank_rd_data_out_1_237 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_237 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_552_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_238 <= 2'h0; + end else if (bht_bank_sel_1_14_14) begin + if (_T_11012) begin + bht_bank_rd_data_out_1_238 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_238 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_552_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_239 <= 2'h0; + end else if (bht_bank_sel_1_14_15) begin + if (_T_11021) begin + bht_bank_rd_data_out_1_239 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_239 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_553_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_240 <= 2'h0; + end else if (bht_bank_sel_1_15_0) begin + if (_T_11030) begin + bht_bank_rd_data_out_1_240 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_240 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_553_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_241 <= 2'h0; + end else if (bht_bank_sel_1_15_1) begin + if (_T_11039) begin + bht_bank_rd_data_out_1_241 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_241 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_553_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_242 <= 2'h0; + end else if (bht_bank_sel_1_15_2) begin + if (_T_11048) begin + bht_bank_rd_data_out_1_242 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_242 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_553_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_243 <= 2'h0; + end else if (bht_bank_sel_1_15_3) begin + if (_T_11057) begin + bht_bank_rd_data_out_1_243 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_243 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_553_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_244 <= 2'h0; + end else if (bht_bank_sel_1_15_4) begin + if (_T_11066) begin + bht_bank_rd_data_out_1_244 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_244 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_553_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_245 <= 2'h0; + end else if (bht_bank_sel_1_15_5) begin + if (_T_11075) begin + bht_bank_rd_data_out_1_245 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_245 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_553_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_246 <= 2'h0; + end else if (bht_bank_sel_1_15_6) begin + if (_T_11084) begin + bht_bank_rd_data_out_1_246 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_246 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_553_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_247 <= 2'h0; + end else if (bht_bank_sel_1_15_7) begin + if (_T_11093) begin + bht_bank_rd_data_out_1_247 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_247 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_553_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_248 <= 2'h0; + end else if (bht_bank_sel_1_15_8) begin + if (_T_11102) begin + bht_bank_rd_data_out_1_248 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_248 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_553_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_249 <= 2'h0; + end else if (bht_bank_sel_1_15_9) begin + if (_T_11111) begin + bht_bank_rd_data_out_1_249 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_249 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_553_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_250 <= 2'h0; + end else if (bht_bank_sel_1_15_10) begin + if (_T_11120) begin + bht_bank_rd_data_out_1_250 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_250 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_553_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_251 <= 2'h0; + end else if (bht_bank_sel_1_15_11) begin + if (_T_11129) begin + bht_bank_rd_data_out_1_251 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_251 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_553_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_252 <= 2'h0; + end else if (bht_bank_sel_1_15_12) begin + if (_T_11138) begin + bht_bank_rd_data_out_1_252 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_252 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_553_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_253 <= 2'h0; + end else if (bht_bank_sel_1_15_13) begin + if (_T_11147) begin + bht_bank_rd_data_out_1_253 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_253 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_553_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_254 <= 2'h0; + end else if (bht_bank_sel_1_15_14) begin + if (_T_11156) begin + bht_bank_rd_data_out_1_254 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_254 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_553_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_1_255 <= 2'h0; + end else if (bht_bank_sel_1_15_15) begin + if (_T_11165) begin + bht_bank_rd_data_out_1_255 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_1_255 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_522_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_0 <= 2'h0; + end else if (bht_bank_sel_0_0_0) begin + if (_T_6566) begin + bht_bank_rd_data_out_0_0 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_0 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_522_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_1 <= 2'h0; + end else if (bht_bank_sel_0_0_1) begin + if (_T_6575) begin + bht_bank_rd_data_out_0_1 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_1 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_522_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_2 <= 2'h0; + end else if (bht_bank_sel_0_0_2) begin + if (_T_6584) begin + bht_bank_rd_data_out_0_2 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_2 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_522_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_3 <= 2'h0; + end else if (bht_bank_sel_0_0_3) begin + if (_T_6593) begin + bht_bank_rd_data_out_0_3 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_3 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_522_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_4 <= 2'h0; + end else if (bht_bank_sel_0_0_4) begin + if (_T_6602) begin + bht_bank_rd_data_out_0_4 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_4 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_522_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_5 <= 2'h0; + end else if (bht_bank_sel_0_0_5) begin + if (_T_6611) begin + bht_bank_rd_data_out_0_5 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_5 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_522_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_6 <= 2'h0; + end else if (bht_bank_sel_0_0_6) begin + if (_T_6620) begin + bht_bank_rd_data_out_0_6 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_6 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_522_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_7 <= 2'h0; + end else if (bht_bank_sel_0_0_7) begin + if (_T_6629) begin + bht_bank_rd_data_out_0_7 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_7 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_522_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_8 <= 2'h0; + end else if (bht_bank_sel_0_0_8) begin + if (_T_6638) begin + bht_bank_rd_data_out_0_8 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_8 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_522_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_9 <= 2'h0; + end else if (bht_bank_sel_0_0_9) begin + if (_T_6647) begin + bht_bank_rd_data_out_0_9 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_9 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_522_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_10 <= 2'h0; + end else if (bht_bank_sel_0_0_10) begin + if (_T_6656) begin + bht_bank_rd_data_out_0_10 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_10 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_522_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_11 <= 2'h0; + end else if (bht_bank_sel_0_0_11) begin + if (_T_6665) begin + bht_bank_rd_data_out_0_11 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_11 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_522_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_12 <= 2'h0; + end else if (bht_bank_sel_0_0_12) begin + if (_T_6674) begin + bht_bank_rd_data_out_0_12 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_12 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_522_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_13 <= 2'h0; + end else if (bht_bank_sel_0_0_13) begin + if (_T_6683) begin + bht_bank_rd_data_out_0_13 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_13 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_522_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_14 <= 2'h0; + end else if (bht_bank_sel_0_0_14) begin + if (_T_6692) begin + bht_bank_rd_data_out_0_14 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_14 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_522_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_15 <= 2'h0; + end else if (bht_bank_sel_0_0_15) begin + if (_T_6701) begin + bht_bank_rd_data_out_0_15 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_15 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_523_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_16 <= 2'h0; + end else if (bht_bank_sel_0_1_0) begin + if (_T_6710) begin + bht_bank_rd_data_out_0_16 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_16 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_523_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_17 <= 2'h0; + end else if (bht_bank_sel_0_1_1) begin + if (_T_6719) begin + bht_bank_rd_data_out_0_17 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_17 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_523_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_18 <= 2'h0; + end else if (bht_bank_sel_0_1_2) begin + if (_T_6728) begin + bht_bank_rd_data_out_0_18 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_18 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_523_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_19 <= 2'h0; + end else if (bht_bank_sel_0_1_3) begin + if (_T_6737) begin + bht_bank_rd_data_out_0_19 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_19 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_523_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_20 <= 2'h0; + end else if (bht_bank_sel_0_1_4) begin + if (_T_6746) begin + bht_bank_rd_data_out_0_20 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_20 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_523_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_21 <= 2'h0; + end else if (bht_bank_sel_0_1_5) begin + if (_T_6755) begin + bht_bank_rd_data_out_0_21 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_21 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_523_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_22 <= 2'h0; + end else if (bht_bank_sel_0_1_6) begin + if (_T_6764) begin + bht_bank_rd_data_out_0_22 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_22 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_523_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_23 <= 2'h0; + end else if (bht_bank_sel_0_1_7) begin + if (_T_6773) begin + bht_bank_rd_data_out_0_23 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_23 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_523_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_24 <= 2'h0; + end else if (bht_bank_sel_0_1_8) begin + if (_T_6782) begin + bht_bank_rd_data_out_0_24 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_24 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_523_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_25 <= 2'h0; + end else if (bht_bank_sel_0_1_9) begin + if (_T_6791) begin + bht_bank_rd_data_out_0_25 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_25 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_523_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_26 <= 2'h0; + end else if (bht_bank_sel_0_1_10) begin + if (_T_6800) begin + bht_bank_rd_data_out_0_26 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_26 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_523_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_27 <= 2'h0; + end else if (bht_bank_sel_0_1_11) begin + if (_T_6809) begin + bht_bank_rd_data_out_0_27 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_27 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_523_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_28 <= 2'h0; + end else if (bht_bank_sel_0_1_12) begin + if (_T_6818) begin + bht_bank_rd_data_out_0_28 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_28 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_523_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_29 <= 2'h0; + end else if (bht_bank_sel_0_1_13) begin + if (_T_6827) begin + bht_bank_rd_data_out_0_29 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_29 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_523_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_30 <= 2'h0; + end else if (bht_bank_sel_0_1_14) begin + if (_T_6836) begin + bht_bank_rd_data_out_0_30 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_30 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_523_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_31 <= 2'h0; + end else if (bht_bank_sel_0_1_15) begin + if (_T_6845) begin + bht_bank_rd_data_out_0_31 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_31 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_524_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_32 <= 2'h0; + end else if (bht_bank_sel_0_2_0) begin + if (_T_6854) begin + bht_bank_rd_data_out_0_32 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_32 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_524_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_33 <= 2'h0; + end else if (bht_bank_sel_0_2_1) begin + if (_T_6863) begin + bht_bank_rd_data_out_0_33 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_33 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_524_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_34 <= 2'h0; + end else if (bht_bank_sel_0_2_2) begin + if (_T_6872) begin + bht_bank_rd_data_out_0_34 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_34 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_524_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_35 <= 2'h0; + end else if (bht_bank_sel_0_2_3) begin + if (_T_6881) begin + bht_bank_rd_data_out_0_35 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_35 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_524_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_36 <= 2'h0; + end else if (bht_bank_sel_0_2_4) begin + if (_T_6890) begin + bht_bank_rd_data_out_0_36 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_36 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_524_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_37 <= 2'h0; + end else if (bht_bank_sel_0_2_5) begin + if (_T_6899) begin + bht_bank_rd_data_out_0_37 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_37 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_524_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_38 <= 2'h0; + end else if (bht_bank_sel_0_2_6) begin + if (_T_6908) begin + bht_bank_rd_data_out_0_38 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_38 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_524_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_39 <= 2'h0; + end else if (bht_bank_sel_0_2_7) begin + if (_T_6917) begin + bht_bank_rd_data_out_0_39 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_39 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_524_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_40 <= 2'h0; + end else if (bht_bank_sel_0_2_8) begin + if (_T_6926) begin + bht_bank_rd_data_out_0_40 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_40 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_524_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_41 <= 2'h0; + end else if (bht_bank_sel_0_2_9) begin + if (_T_6935) begin + bht_bank_rd_data_out_0_41 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_41 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_524_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_42 <= 2'h0; + end else if (bht_bank_sel_0_2_10) begin + if (_T_6944) begin + bht_bank_rd_data_out_0_42 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_42 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_524_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_43 <= 2'h0; + end else if (bht_bank_sel_0_2_11) begin + if (_T_6953) begin + bht_bank_rd_data_out_0_43 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_43 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_524_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_44 <= 2'h0; + end else if (bht_bank_sel_0_2_12) begin + if (_T_6962) begin + bht_bank_rd_data_out_0_44 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_44 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_524_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_45 <= 2'h0; + end else if (bht_bank_sel_0_2_13) begin + if (_T_6971) begin + bht_bank_rd_data_out_0_45 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_45 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_524_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_46 <= 2'h0; + end else if (bht_bank_sel_0_2_14) begin + if (_T_6980) begin + bht_bank_rd_data_out_0_46 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_46 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_524_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_47 <= 2'h0; + end else if (bht_bank_sel_0_2_15) begin + if (_T_6989) begin + bht_bank_rd_data_out_0_47 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_47 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_525_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_48 <= 2'h0; + end else if (bht_bank_sel_0_3_0) begin + if (_T_6998) begin + bht_bank_rd_data_out_0_48 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_48 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_525_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_49 <= 2'h0; + end else if (bht_bank_sel_0_3_1) begin + if (_T_7007) begin + bht_bank_rd_data_out_0_49 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_49 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_525_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_50 <= 2'h0; + end else if (bht_bank_sel_0_3_2) begin + if (_T_7016) begin + bht_bank_rd_data_out_0_50 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_50 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_525_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_51 <= 2'h0; + end else if (bht_bank_sel_0_3_3) begin + if (_T_7025) begin + bht_bank_rd_data_out_0_51 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_51 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_525_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_52 <= 2'h0; + end else if (bht_bank_sel_0_3_4) begin + if (_T_7034) begin + bht_bank_rd_data_out_0_52 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_52 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_525_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_53 <= 2'h0; + end else if (bht_bank_sel_0_3_5) begin + if (_T_7043) begin + bht_bank_rd_data_out_0_53 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_53 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_525_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_54 <= 2'h0; + end else if (bht_bank_sel_0_3_6) begin + if (_T_7052) begin + bht_bank_rd_data_out_0_54 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_54 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_525_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_55 <= 2'h0; + end else if (bht_bank_sel_0_3_7) begin + if (_T_7061) begin + bht_bank_rd_data_out_0_55 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_55 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_525_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_56 <= 2'h0; + end else if (bht_bank_sel_0_3_8) begin + if (_T_7070) begin + bht_bank_rd_data_out_0_56 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_56 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_525_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_57 <= 2'h0; + end else if (bht_bank_sel_0_3_9) begin + if (_T_7079) begin + bht_bank_rd_data_out_0_57 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_57 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_525_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_58 <= 2'h0; + end else if (bht_bank_sel_0_3_10) begin + if (_T_7088) begin + bht_bank_rd_data_out_0_58 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_58 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_525_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_59 <= 2'h0; + end else if (bht_bank_sel_0_3_11) begin + if (_T_7097) begin + bht_bank_rd_data_out_0_59 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_59 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_525_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_60 <= 2'h0; + end else if (bht_bank_sel_0_3_12) begin + if (_T_7106) begin + bht_bank_rd_data_out_0_60 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_60 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_525_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_61 <= 2'h0; + end else if (bht_bank_sel_0_3_13) begin + if (_T_7115) begin + bht_bank_rd_data_out_0_61 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_61 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_525_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_62 <= 2'h0; + end else if (bht_bank_sel_0_3_14) begin + if (_T_7124) begin + bht_bank_rd_data_out_0_62 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_62 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_525_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_63 <= 2'h0; + end else if (bht_bank_sel_0_3_15) begin + if (_T_7133) begin + bht_bank_rd_data_out_0_63 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_63 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_526_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_64 <= 2'h0; + end else if (bht_bank_sel_0_4_0) begin + if (_T_7142) begin + bht_bank_rd_data_out_0_64 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_64 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_526_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_65 <= 2'h0; + end else if (bht_bank_sel_0_4_1) begin + if (_T_7151) begin + bht_bank_rd_data_out_0_65 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_65 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_526_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_66 <= 2'h0; + end else if (bht_bank_sel_0_4_2) begin + if (_T_7160) begin + bht_bank_rd_data_out_0_66 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_66 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_526_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_67 <= 2'h0; + end else if (bht_bank_sel_0_4_3) begin + if (_T_7169) begin + bht_bank_rd_data_out_0_67 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_67 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_526_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_68 <= 2'h0; + end else if (bht_bank_sel_0_4_4) begin + if (_T_7178) begin + bht_bank_rd_data_out_0_68 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_68 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_526_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_69 <= 2'h0; + end else if (bht_bank_sel_0_4_5) begin + if (_T_7187) begin + bht_bank_rd_data_out_0_69 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_69 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_526_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_70 <= 2'h0; + end else if (bht_bank_sel_0_4_6) begin + if (_T_7196) begin + bht_bank_rd_data_out_0_70 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_70 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_526_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_71 <= 2'h0; + end else if (bht_bank_sel_0_4_7) begin + if (_T_7205) begin + bht_bank_rd_data_out_0_71 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_71 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_526_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_72 <= 2'h0; + end else if (bht_bank_sel_0_4_8) begin + if (_T_7214) begin + bht_bank_rd_data_out_0_72 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_72 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_526_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_73 <= 2'h0; + end else if (bht_bank_sel_0_4_9) begin + if (_T_7223) begin + bht_bank_rd_data_out_0_73 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_73 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_526_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_74 <= 2'h0; + end else if (bht_bank_sel_0_4_10) begin + if (_T_7232) begin + bht_bank_rd_data_out_0_74 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_74 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_526_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_75 <= 2'h0; + end else if (bht_bank_sel_0_4_11) begin + if (_T_7241) begin + bht_bank_rd_data_out_0_75 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_75 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_526_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_76 <= 2'h0; + end else if (bht_bank_sel_0_4_12) begin + if (_T_7250) begin + bht_bank_rd_data_out_0_76 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_76 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_526_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_77 <= 2'h0; + end else if (bht_bank_sel_0_4_13) begin + if (_T_7259) begin + bht_bank_rd_data_out_0_77 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_77 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_526_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_78 <= 2'h0; + end else if (bht_bank_sel_0_4_14) begin + if (_T_7268) begin + bht_bank_rd_data_out_0_78 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_78 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_526_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_79 <= 2'h0; + end else if (bht_bank_sel_0_4_15) begin + if (_T_7277) begin + bht_bank_rd_data_out_0_79 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_79 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_527_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_80 <= 2'h0; + end else if (bht_bank_sel_0_5_0) begin + if (_T_7286) begin + bht_bank_rd_data_out_0_80 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_80 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_527_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_81 <= 2'h0; + end else if (bht_bank_sel_0_5_1) begin + if (_T_7295) begin + bht_bank_rd_data_out_0_81 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_81 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_527_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_82 <= 2'h0; + end else if (bht_bank_sel_0_5_2) begin + if (_T_7304) begin + bht_bank_rd_data_out_0_82 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_82 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_527_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_83 <= 2'h0; + end else if (bht_bank_sel_0_5_3) begin + if (_T_7313) begin + bht_bank_rd_data_out_0_83 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_83 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_527_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_84 <= 2'h0; + end else if (bht_bank_sel_0_5_4) begin + if (_T_7322) begin + bht_bank_rd_data_out_0_84 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_84 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_527_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_85 <= 2'h0; + end else if (bht_bank_sel_0_5_5) begin + if (_T_7331) begin + bht_bank_rd_data_out_0_85 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_85 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_527_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_86 <= 2'h0; + end else if (bht_bank_sel_0_5_6) begin + if (_T_7340) begin + bht_bank_rd_data_out_0_86 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_86 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_527_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_87 <= 2'h0; + end else if (bht_bank_sel_0_5_7) begin + if (_T_7349) begin + bht_bank_rd_data_out_0_87 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_87 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_527_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_88 <= 2'h0; + end else if (bht_bank_sel_0_5_8) begin + if (_T_7358) begin + bht_bank_rd_data_out_0_88 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_88 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_527_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_89 <= 2'h0; + end else if (bht_bank_sel_0_5_9) begin + if (_T_7367) begin + bht_bank_rd_data_out_0_89 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_89 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_527_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_90 <= 2'h0; + end else if (bht_bank_sel_0_5_10) begin + if (_T_7376) begin + bht_bank_rd_data_out_0_90 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_90 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_527_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_91 <= 2'h0; + end else if (bht_bank_sel_0_5_11) begin + if (_T_7385) begin + bht_bank_rd_data_out_0_91 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_91 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_527_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_92 <= 2'h0; + end else if (bht_bank_sel_0_5_12) begin + if (_T_7394) begin + bht_bank_rd_data_out_0_92 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_92 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_527_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_93 <= 2'h0; + end else if (bht_bank_sel_0_5_13) begin + if (_T_7403) begin + bht_bank_rd_data_out_0_93 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_93 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_527_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_94 <= 2'h0; + end else if (bht_bank_sel_0_5_14) begin + if (_T_7412) begin + bht_bank_rd_data_out_0_94 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_94 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_527_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_95 <= 2'h0; + end else if (bht_bank_sel_0_5_15) begin + if (_T_7421) begin + bht_bank_rd_data_out_0_95 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_95 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_528_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_96 <= 2'h0; + end else if (bht_bank_sel_0_6_0) begin + if (_T_7430) begin + bht_bank_rd_data_out_0_96 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_96 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_528_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_97 <= 2'h0; + end else if (bht_bank_sel_0_6_1) begin + if (_T_7439) begin + bht_bank_rd_data_out_0_97 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_97 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_528_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_98 <= 2'h0; + end else if (bht_bank_sel_0_6_2) begin + if (_T_7448) begin + bht_bank_rd_data_out_0_98 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_98 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_528_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_99 <= 2'h0; + end else if (bht_bank_sel_0_6_3) begin + if (_T_7457) begin + bht_bank_rd_data_out_0_99 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_99 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_528_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_100 <= 2'h0; + end else if (bht_bank_sel_0_6_4) begin + if (_T_7466) begin + bht_bank_rd_data_out_0_100 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_100 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_528_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_101 <= 2'h0; + end else if (bht_bank_sel_0_6_5) begin + if (_T_7475) begin + bht_bank_rd_data_out_0_101 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_101 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_528_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_102 <= 2'h0; + end else if (bht_bank_sel_0_6_6) begin + if (_T_7484) begin + bht_bank_rd_data_out_0_102 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_102 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_528_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_103 <= 2'h0; + end else if (bht_bank_sel_0_6_7) begin + if (_T_7493) begin + bht_bank_rd_data_out_0_103 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_103 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_528_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_104 <= 2'h0; + end else if (bht_bank_sel_0_6_8) begin + if (_T_7502) begin + bht_bank_rd_data_out_0_104 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_104 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_528_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_105 <= 2'h0; + end else if (bht_bank_sel_0_6_9) begin + if (_T_7511) begin + bht_bank_rd_data_out_0_105 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_105 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_528_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_106 <= 2'h0; + end else if (bht_bank_sel_0_6_10) begin + if (_T_7520) begin + bht_bank_rd_data_out_0_106 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_106 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_528_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_107 <= 2'h0; + end else if (bht_bank_sel_0_6_11) begin + if (_T_7529) begin + bht_bank_rd_data_out_0_107 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_107 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_528_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_108 <= 2'h0; + end else if (bht_bank_sel_0_6_12) begin + if (_T_7538) begin + bht_bank_rd_data_out_0_108 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_108 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_528_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_109 <= 2'h0; + end else if (bht_bank_sel_0_6_13) begin + if (_T_7547) begin + bht_bank_rd_data_out_0_109 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_109 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_528_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_110 <= 2'h0; + end else if (bht_bank_sel_0_6_14) begin + if (_T_7556) begin + bht_bank_rd_data_out_0_110 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_110 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_528_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_111 <= 2'h0; + end else if (bht_bank_sel_0_6_15) begin + if (_T_7565) begin + bht_bank_rd_data_out_0_111 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_111 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_529_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_112 <= 2'h0; + end else if (bht_bank_sel_0_7_0) begin + if (_T_7574) begin + bht_bank_rd_data_out_0_112 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_112 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_529_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_113 <= 2'h0; + end else if (bht_bank_sel_0_7_1) begin + if (_T_7583) begin + bht_bank_rd_data_out_0_113 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_113 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_529_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_114 <= 2'h0; + end else if (bht_bank_sel_0_7_2) begin + if (_T_7592) begin + bht_bank_rd_data_out_0_114 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_114 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_529_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_115 <= 2'h0; + end else if (bht_bank_sel_0_7_3) begin + if (_T_7601) begin + bht_bank_rd_data_out_0_115 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_115 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_529_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_116 <= 2'h0; + end else if (bht_bank_sel_0_7_4) begin + if (_T_7610) begin + bht_bank_rd_data_out_0_116 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_116 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_529_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_117 <= 2'h0; + end else if (bht_bank_sel_0_7_5) begin + if (_T_7619) begin + bht_bank_rd_data_out_0_117 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_117 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_529_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_118 <= 2'h0; + end else if (bht_bank_sel_0_7_6) begin + if (_T_7628) begin + bht_bank_rd_data_out_0_118 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_118 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_529_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_119 <= 2'h0; + end else if (bht_bank_sel_0_7_7) begin + if (_T_7637) begin + bht_bank_rd_data_out_0_119 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_119 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_529_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_120 <= 2'h0; + end else if (bht_bank_sel_0_7_8) begin + if (_T_7646) begin + bht_bank_rd_data_out_0_120 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_120 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_529_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_121 <= 2'h0; + end else if (bht_bank_sel_0_7_9) begin + if (_T_7655) begin + bht_bank_rd_data_out_0_121 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_121 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_529_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_122 <= 2'h0; + end else if (bht_bank_sel_0_7_10) begin + if (_T_7664) begin + bht_bank_rd_data_out_0_122 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_122 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_529_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_123 <= 2'h0; + end else if (bht_bank_sel_0_7_11) begin + if (_T_7673) begin + bht_bank_rd_data_out_0_123 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_123 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_529_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_124 <= 2'h0; + end else if (bht_bank_sel_0_7_12) begin + if (_T_7682) begin + bht_bank_rd_data_out_0_124 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_124 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_529_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_125 <= 2'h0; + end else if (bht_bank_sel_0_7_13) begin + if (_T_7691) begin + bht_bank_rd_data_out_0_125 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_125 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_529_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_126 <= 2'h0; + end else if (bht_bank_sel_0_7_14) begin + if (_T_7700) begin + bht_bank_rd_data_out_0_126 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_126 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_529_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_127 <= 2'h0; + end else if (bht_bank_sel_0_7_15) begin + if (_T_7709) begin + bht_bank_rd_data_out_0_127 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_127 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_530_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_128 <= 2'h0; + end else if (bht_bank_sel_0_8_0) begin + if (_T_7718) begin + bht_bank_rd_data_out_0_128 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_128 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_530_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_129 <= 2'h0; + end else if (bht_bank_sel_0_8_1) begin + if (_T_7727) begin + bht_bank_rd_data_out_0_129 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_129 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_530_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_130 <= 2'h0; + end else if (bht_bank_sel_0_8_2) begin + if (_T_7736) begin + bht_bank_rd_data_out_0_130 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_130 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_530_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_131 <= 2'h0; + end else if (bht_bank_sel_0_8_3) begin + if (_T_7745) begin + bht_bank_rd_data_out_0_131 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_131 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_530_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_132 <= 2'h0; + end else if (bht_bank_sel_0_8_4) begin + if (_T_7754) begin + bht_bank_rd_data_out_0_132 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_132 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_530_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_133 <= 2'h0; + end else if (bht_bank_sel_0_8_5) begin + if (_T_7763) begin + bht_bank_rd_data_out_0_133 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_133 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_530_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_134 <= 2'h0; + end else if (bht_bank_sel_0_8_6) begin + if (_T_7772) begin + bht_bank_rd_data_out_0_134 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_134 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_530_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_135 <= 2'h0; + end else if (bht_bank_sel_0_8_7) begin + if (_T_7781) begin + bht_bank_rd_data_out_0_135 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_135 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_530_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_136 <= 2'h0; + end else if (bht_bank_sel_0_8_8) begin + if (_T_7790) begin + bht_bank_rd_data_out_0_136 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_136 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_530_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_137 <= 2'h0; + end else if (bht_bank_sel_0_8_9) begin + if (_T_7799) begin + bht_bank_rd_data_out_0_137 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_137 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_530_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_138 <= 2'h0; + end else if (bht_bank_sel_0_8_10) begin + if (_T_7808) begin + bht_bank_rd_data_out_0_138 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_138 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_530_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_139 <= 2'h0; + end else if (bht_bank_sel_0_8_11) begin + if (_T_7817) begin + bht_bank_rd_data_out_0_139 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_139 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_530_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_140 <= 2'h0; + end else if (bht_bank_sel_0_8_12) begin + if (_T_7826) begin + bht_bank_rd_data_out_0_140 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_140 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_530_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_141 <= 2'h0; + end else if (bht_bank_sel_0_8_13) begin + if (_T_7835) begin + bht_bank_rd_data_out_0_141 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_141 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_530_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_142 <= 2'h0; + end else if (bht_bank_sel_0_8_14) begin + if (_T_7844) begin + bht_bank_rd_data_out_0_142 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_142 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_530_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_143 <= 2'h0; + end else if (bht_bank_sel_0_8_15) begin + if (_T_7853) begin + bht_bank_rd_data_out_0_143 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_143 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_531_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_144 <= 2'h0; + end else if (bht_bank_sel_0_9_0) begin + if (_T_7862) begin + bht_bank_rd_data_out_0_144 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_144 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_531_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_145 <= 2'h0; + end else if (bht_bank_sel_0_9_1) begin + if (_T_7871) begin + bht_bank_rd_data_out_0_145 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_145 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_531_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_146 <= 2'h0; + end else if (bht_bank_sel_0_9_2) begin + if (_T_7880) begin + bht_bank_rd_data_out_0_146 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_146 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_531_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_147 <= 2'h0; + end else if (bht_bank_sel_0_9_3) begin + if (_T_7889) begin + bht_bank_rd_data_out_0_147 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_147 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_531_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_148 <= 2'h0; + end else if (bht_bank_sel_0_9_4) begin + if (_T_7898) begin + bht_bank_rd_data_out_0_148 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_148 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_531_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_149 <= 2'h0; + end else if (bht_bank_sel_0_9_5) begin + if (_T_7907) begin + bht_bank_rd_data_out_0_149 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_149 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_531_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_150 <= 2'h0; + end else if (bht_bank_sel_0_9_6) begin + if (_T_7916) begin + bht_bank_rd_data_out_0_150 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_150 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_531_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_151 <= 2'h0; + end else if (bht_bank_sel_0_9_7) begin + if (_T_7925) begin + bht_bank_rd_data_out_0_151 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_151 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_531_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_152 <= 2'h0; + end else if (bht_bank_sel_0_9_8) begin + if (_T_7934) begin + bht_bank_rd_data_out_0_152 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_152 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_531_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_153 <= 2'h0; + end else if (bht_bank_sel_0_9_9) begin + if (_T_7943) begin + bht_bank_rd_data_out_0_153 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_153 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_531_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_154 <= 2'h0; + end else if (bht_bank_sel_0_9_10) begin + if (_T_7952) begin + bht_bank_rd_data_out_0_154 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_154 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_531_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_155 <= 2'h0; + end else if (bht_bank_sel_0_9_11) begin + if (_T_7961) begin + bht_bank_rd_data_out_0_155 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_155 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_531_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_156 <= 2'h0; + end else if (bht_bank_sel_0_9_12) begin + if (_T_7970) begin + bht_bank_rd_data_out_0_156 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_156 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_531_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_157 <= 2'h0; + end else if (bht_bank_sel_0_9_13) begin + if (_T_7979) begin + bht_bank_rd_data_out_0_157 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_157 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_531_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_158 <= 2'h0; + end else if (bht_bank_sel_0_9_14) begin + if (_T_7988) begin + bht_bank_rd_data_out_0_158 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_158 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_531_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_159 <= 2'h0; + end else if (bht_bank_sel_0_9_15) begin + if (_T_7997) begin + bht_bank_rd_data_out_0_159 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_159 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_532_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_160 <= 2'h0; + end else if (bht_bank_sel_0_10_0) begin + if (_T_8006) begin + bht_bank_rd_data_out_0_160 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_160 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_532_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_161 <= 2'h0; + end else if (bht_bank_sel_0_10_1) begin + if (_T_8015) begin + bht_bank_rd_data_out_0_161 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_161 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_532_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_162 <= 2'h0; + end else if (bht_bank_sel_0_10_2) begin + if (_T_8024) begin + bht_bank_rd_data_out_0_162 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_162 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_532_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_163 <= 2'h0; + end else if (bht_bank_sel_0_10_3) begin + if (_T_8033) begin + bht_bank_rd_data_out_0_163 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_163 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_532_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_164 <= 2'h0; + end else if (bht_bank_sel_0_10_4) begin + if (_T_8042) begin + bht_bank_rd_data_out_0_164 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_164 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_532_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_165 <= 2'h0; + end else if (bht_bank_sel_0_10_5) begin + if (_T_8051) begin + bht_bank_rd_data_out_0_165 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_165 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_532_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_166 <= 2'h0; + end else if (bht_bank_sel_0_10_6) begin + if (_T_8060) begin + bht_bank_rd_data_out_0_166 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_166 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_532_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_167 <= 2'h0; + end else if (bht_bank_sel_0_10_7) begin + if (_T_8069) begin + bht_bank_rd_data_out_0_167 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_167 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_532_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_168 <= 2'h0; + end else if (bht_bank_sel_0_10_8) begin + if (_T_8078) begin + bht_bank_rd_data_out_0_168 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_168 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_532_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_169 <= 2'h0; + end else if (bht_bank_sel_0_10_9) begin + if (_T_8087) begin + bht_bank_rd_data_out_0_169 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_169 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_532_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_170 <= 2'h0; + end else if (bht_bank_sel_0_10_10) begin + if (_T_8096) begin + bht_bank_rd_data_out_0_170 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_170 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_532_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_171 <= 2'h0; + end else if (bht_bank_sel_0_10_11) begin + if (_T_8105) begin + bht_bank_rd_data_out_0_171 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_171 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_532_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_172 <= 2'h0; + end else if (bht_bank_sel_0_10_12) begin + if (_T_8114) begin + bht_bank_rd_data_out_0_172 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_172 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_532_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_173 <= 2'h0; + end else if (bht_bank_sel_0_10_13) begin + if (_T_8123) begin + bht_bank_rd_data_out_0_173 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_173 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_532_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_174 <= 2'h0; + end else if (bht_bank_sel_0_10_14) begin + if (_T_8132) begin + bht_bank_rd_data_out_0_174 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_174 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_532_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_175 <= 2'h0; + end else if (bht_bank_sel_0_10_15) begin + if (_T_8141) begin + bht_bank_rd_data_out_0_175 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_175 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_533_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_176 <= 2'h0; + end else if (bht_bank_sel_0_11_0) begin + if (_T_8150) begin + bht_bank_rd_data_out_0_176 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_176 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_533_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_177 <= 2'h0; + end else if (bht_bank_sel_0_11_1) begin + if (_T_8159) begin + bht_bank_rd_data_out_0_177 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_177 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_533_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_178 <= 2'h0; + end else if (bht_bank_sel_0_11_2) begin + if (_T_8168) begin + bht_bank_rd_data_out_0_178 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_178 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_533_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_179 <= 2'h0; + end else if (bht_bank_sel_0_11_3) begin + if (_T_8177) begin + bht_bank_rd_data_out_0_179 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_179 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_533_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_180 <= 2'h0; + end else if (bht_bank_sel_0_11_4) begin + if (_T_8186) begin + bht_bank_rd_data_out_0_180 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_180 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_533_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_181 <= 2'h0; + end else if (bht_bank_sel_0_11_5) begin + if (_T_8195) begin + bht_bank_rd_data_out_0_181 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_181 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_533_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_182 <= 2'h0; + end else if (bht_bank_sel_0_11_6) begin + if (_T_8204) begin + bht_bank_rd_data_out_0_182 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_182 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_533_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_183 <= 2'h0; + end else if (bht_bank_sel_0_11_7) begin + if (_T_8213) begin + bht_bank_rd_data_out_0_183 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_183 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_533_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_184 <= 2'h0; + end else if (bht_bank_sel_0_11_8) begin + if (_T_8222) begin + bht_bank_rd_data_out_0_184 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_184 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_533_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_185 <= 2'h0; + end else if (bht_bank_sel_0_11_9) begin + if (_T_8231) begin + bht_bank_rd_data_out_0_185 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_185 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_533_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_186 <= 2'h0; + end else if (bht_bank_sel_0_11_10) begin + if (_T_8240) begin + bht_bank_rd_data_out_0_186 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_186 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_533_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_187 <= 2'h0; + end else if (bht_bank_sel_0_11_11) begin + if (_T_8249) begin + bht_bank_rd_data_out_0_187 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_187 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_533_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_188 <= 2'h0; + end else if (bht_bank_sel_0_11_12) begin + if (_T_8258) begin + bht_bank_rd_data_out_0_188 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_188 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_533_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_189 <= 2'h0; + end else if (bht_bank_sel_0_11_13) begin + if (_T_8267) begin + bht_bank_rd_data_out_0_189 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_189 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_533_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_190 <= 2'h0; + end else if (bht_bank_sel_0_11_14) begin + if (_T_8276) begin + bht_bank_rd_data_out_0_190 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_190 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_533_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_191 <= 2'h0; + end else if (bht_bank_sel_0_11_15) begin + if (_T_8285) begin + bht_bank_rd_data_out_0_191 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_191 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_534_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_192 <= 2'h0; + end else if (bht_bank_sel_0_12_0) begin + if (_T_8294) begin + bht_bank_rd_data_out_0_192 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_192 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_534_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_193 <= 2'h0; + end else if (bht_bank_sel_0_12_1) begin + if (_T_8303) begin + bht_bank_rd_data_out_0_193 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_193 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_534_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_194 <= 2'h0; + end else if (bht_bank_sel_0_12_2) begin + if (_T_8312) begin + bht_bank_rd_data_out_0_194 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_194 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_534_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_195 <= 2'h0; + end else if (bht_bank_sel_0_12_3) begin + if (_T_8321) begin + bht_bank_rd_data_out_0_195 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_195 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_534_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_196 <= 2'h0; + end else if (bht_bank_sel_0_12_4) begin + if (_T_8330) begin + bht_bank_rd_data_out_0_196 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_196 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_534_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_197 <= 2'h0; + end else if (bht_bank_sel_0_12_5) begin + if (_T_8339) begin + bht_bank_rd_data_out_0_197 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_197 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_534_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_198 <= 2'h0; + end else if (bht_bank_sel_0_12_6) begin + if (_T_8348) begin + bht_bank_rd_data_out_0_198 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_198 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_534_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_199 <= 2'h0; + end else if (bht_bank_sel_0_12_7) begin + if (_T_8357) begin + bht_bank_rd_data_out_0_199 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_199 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_534_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_200 <= 2'h0; + end else if (bht_bank_sel_0_12_8) begin + if (_T_8366) begin + bht_bank_rd_data_out_0_200 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_200 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_534_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_201 <= 2'h0; + end else if (bht_bank_sel_0_12_9) begin + if (_T_8375) begin + bht_bank_rd_data_out_0_201 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_201 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_534_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_202 <= 2'h0; + end else if (bht_bank_sel_0_12_10) begin + if (_T_8384) begin + bht_bank_rd_data_out_0_202 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_202 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_534_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_203 <= 2'h0; + end else if (bht_bank_sel_0_12_11) begin + if (_T_8393) begin + bht_bank_rd_data_out_0_203 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_203 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_534_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_204 <= 2'h0; + end else if (bht_bank_sel_0_12_12) begin + if (_T_8402) begin + bht_bank_rd_data_out_0_204 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_204 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_534_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_205 <= 2'h0; + end else if (bht_bank_sel_0_12_13) begin + if (_T_8411) begin + bht_bank_rd_data_out_0_205 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_205 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_534_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_206 <= 2'h0; + end else if (bht_bank_sel_0_12_14) begin + if (_T_8420) begin + bht_bank_rd_data_out_0_206 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_206 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_534_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_207 <= 2'h0; + end else if (bht_bank_sel_0_12_15) begin + if (_T_8429) begin + bht_bank_rd_data_out_0_207 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_207 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_535_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_208 <= 2'h0; + end else if (bht_bank_sel_0_13_0) begin + if (_T_8438) begin + bht_bank_rd_data_out_0_208 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_208 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_535_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_209 <= 2'h0; + end else if (bht_bank_sel_0_13_1) begin + if (_T_8447) begin + bht_bank_rd_data_out_0_209 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_209 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_535_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_210 <= 2'h0; + end else if (bht_bank_sel_0_13_2) begin + if (_T_8456) begin + bht_bank_rd_data_out_0_210 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_210 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_535_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_211 <= 2'h0; + end else if (bht_bank_sel_0_13_3) begin + if (_T_8465) begin + bht_bank_rd_data_out_0_211 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_211 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_535_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_212 <= 2'h0; + end else if (bht_bank_sel_0_13_4) begin + if (_T_8474) begin + bht_bank_rd_data_out_0_212 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_212 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_535_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_213 <= 2'h0; + end else if (bht_bank_sel_0_13_5) begin + if (_T_8483) begin + bht_bank_rd_data_out_0_213 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_213 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_535_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_214 <= 2'h0; + end else if (bht_bank_sel_0_13_6) begin + if (_T_8492) begin + bht_bank_rd_data_out_0_214 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_214 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_535_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_215 <= 2'h0; + end else if (bht_bank_sel_0_13_7) begin + if (_T_8501) begin + bht_bank_rd_data_out_0_215 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_215 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_535_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_216 <= 2'h0; + end else if (bht_bank_sel_0_13_8) begin + if (_T_8510) begin + bht_bank_rd_data_out_0_216 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_216 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_535_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_217 <= 2'h0; + end else if (bht_bank_sel_0_13_9) begin + if (_T_8519) begin + bht_bank_rd_data_out_0_217 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_217 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_535_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_218 <= 2'h0; + end else if (bht_bank_sel_0_13_10) begin + if (_T_8528) begin + bht_bank_rd_data_out_0_218 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_218 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_535_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_219 <= 2'h0; + end else if (bht_bank_sel_0_13_11) begin + if (_T_8537) begin + bht_bank_rd_data_out_0_219 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_219 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_535_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_220 <= 2'h0; + end else if (bht_bank_sel_0_13_12) begin + if (_T_8546) begin + bht_bank_rd_data_out_0_220 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_220 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_535_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_221 <= 2'h0; + end else if (bht_bank_sel_0_13_13) begin + if (_T_8555) begin + bht_bank_rd_data_out_0_221 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_221 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_535_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_222 <= 2'h0; + end else if (bht_bank_sel_0_13_14) begin + if (_T_8564) begin + bht_bank_rd_data_out_0_222 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_222 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_535_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_223 <= 2'h0; + end else if (bht_bank_sel_0_13_15) begin + if (_T_8573) begin + bht_bank_rd_data_out_0_223 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_223 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_536_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_224 <= 2'h0; + end else if (bht_bank_sel_0_14_0) begin + if (_T_8582) begin + bht_bank_rd_data_out_0_224 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_224 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_536_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_225 <= 2'h0; + end else if (bht_bank_sel_0_14_1) begin + if (_T_8591) begin + bht_bank_rd_data_out_0_225 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_225 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_536_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_226 <= 2'h0; + end else if (bht_bank_sel_0_14_2) begin + if (_T_8600) begin + bht_bank_rd_data_out_0_226 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_226 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_536_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_227 <= 2'h0; + end else if (bht_bank_sel_0_14_3) begin + if (_T_8609) begin + bht_bank_rd_data_out_0_227 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_227 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_536_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_228 <= 2'h0; + end else if (bht_bank_sel_0_14_4) begin + if (_T_8618) begin + bht_bank_rd_data_out_0_228 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_228 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_536_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_229 <= 2'h0; + end else if (bht_bank_sel_0_14_5) begin + if (_T_8627) begin + bht_bank_rd_data_out_0_229 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_229 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_536_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_230 <= 2'h0; + end else if (bht_bank_sel_0_14_6) begin + if (_T_8636) begin + bht_bank_rd_data_out_0_230 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_230 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_536_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_231 <= 2'h0; + end else if (bht_bank_sel_0_14_7) begin + if (_T_8645) begin + bht_bank_rd_data_out_0_231 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_231 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_536_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_232 <= 2'h0; + end else if (bht_bank_sel_0_14_8) begin + if (_T_8654) begin + bht_bank_rd_data_out_0_232 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_232 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_536_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_233 <= 2'h0; + end else if (bht_bank_sel_0_14_9) begin + if (_T_8663) begin + bht_bank_rd_data_out_0_233 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_233 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_536_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_234 <= 2'h0; + end else if (bht_bank_sel_0_14_10) begin + if (_T_8672) begin + bht_bank_rd_data_out_0_234 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_234 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_536_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_235 <= 2'h0; + end else if (bht_bank_sel_0_14_11) begin + if (_T_8681) begin + bht_bank_rd_data_out_0_235 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_235 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_536_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_236 <= 2'h0; + end else if (bht_bank_sel_0_14_12) begin + if (_T_8690) begin + bht_bank_rd_data_out_0_236 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_236 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_536_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_237 <= 2'h0; + end else if (bht_bank_sel_0_14_13) begin + if (_T_8699) begin + bht_bank_rd_data_out_0_237 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_237 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_536_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_238 <= 2'h0; + end else if (bht_bank_sel_0_14_14) begin + if (_T_8708) begin + bht_bank_rd_data_out_0_238 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_238 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_536_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_239 <= 2'h0; + end else if (bht_bank_sel_0_14_15) begin + if (_T_8717) begin + bht_bank_rd_data_out_0_239 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_239 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_537_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_240 <= 2'h0; + end else if (bht_bank_sel_0_15_0) begin + if (_T_8726) begin + bht_bank_rd_data_out_0_240 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_240 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_537_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_241 <= 2'h0; + end else if (bht_bank_sel_0_15_1) begin + if (_T_8735) begin + bht_bank_rd_data_out_0_241 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_241 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_537_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_242 <= 2'h0; + end else if (bht_bank_sel_0_15_2) begin + if (_T_8744) begin + bht_bank_rd_data_out_0_242 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_242 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_537_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_243 <= 2'h0; + end else if (bht_bank_sel_0_15_3) begin + if (_T_8753) begin + bht_bank_rd_data_out_0_243 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_243 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_537_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_244 <= 2'h0; + end else if (bht_bank_sel_0_15_4) begin + if (_T_8762) begin + bht_bank_rd_data_out_0_244 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_244 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_537_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_245 <= 2'h0; + end else if (bht_bank_sel_0_15_5) begin + if (_T_8771) begin + bht_bank_rd_data_out_0_245 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_245 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_537_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_246 <= 2'h0; + end else if (bht_bank_sel_0_15_6) begin + if (_T_8780) begin + bht_bank_rd_data_out_0_246 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_246 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_537_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_247 <= 2'h0; + end else if (bht_bank_sel_0_15_7) begin + if (_T_8789) begin + bht_bank_rd_data_out_0_247 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_247 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_537_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_248 <= 2'h0; + end else if (bht_bank_sel_0_15_8) begin + if (_T_8798) begin + bht_bank_rd_data_out_0_248 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_248 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_537_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_249 <= 2'h0; + end else if (bht_bank_sel_0_15_9) begin + if (_T_8807) begin + bht_bank_rd_data_out_0_249 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_249 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_537_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_250 <= 2'h0; + end else if (bht_bank_sel_0_15_10) begin + if (_T_8816) begin + bht_bank_rd_data_out_0_250 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_250 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_537_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_251 <= 2'h0; + end else if (bht_bank_sel_0_15_11) begin + if (_T_8825) begin + bht_bank_rd_data_out_0_251 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_251 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_537_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_252 <= 2'h0; + end else if (bht_bank_sel_0_15_12) begin + if (_T_8834) begin + bht_bank_rd_data_out_0_252 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_252 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_537_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_253 <= 2'h0; + end else if (bht_bank_sel_0_15_13) begin + if (_T_8843) begin + bht_bank_rd_data_out_0_253 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_253 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_537_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_254 <= 2'h0; + end else if (bht_bank_sel_0_15_14) begin + if (_T_8852) begin + bht_bank_rd_data_out_0_254 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_254 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge rvclkhdr_537_io_l1clk or posedge reset) begin + if (reset) begin + bht_bank_rd_data_out_0_255 <= 2'h0; + end else if (bht_bank_sel_0_15_15) begin + if (_T_8861) begin + bht_bank_rd_data_out_0_255 <= io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; + end else begin + bht_bank_rd_data_out_0_255 <= io_exu_bp_exu_mp_pkt_bits_hist; + end + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + exu_mp_way_f <= 1'h0; + end else begin + exu_mp_way_f <= io_exu_bp_exu_mp_pkt_bits_way; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + exu_flush_final_d1 <= 1'h0; + end else begin + exu_flush_final_d1 <= io_exu_flush_final; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + btb_lru_b0_f <= 256'h0; + end else begin + btb_lru_b0_f <= _T_183 | _T_185; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + ifc_fetch_adder_prior <= 30'h0; + end else begin + ifc_fetch_adder_prior <= io_ifc_fetch_addr_f[30:1]; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + rets_out_0 <= 32'h0; + end else begin + rets_out_0 <= _T_482 | _T_483; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + rets_out_1 <= 32'h0; + end else begin + rets_out_1 <= _T_487 | _T_488; + end + end + always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + if (reset) begin + rets_out_2 <= 32'h0; + end else begin + rets_out_2 <= _T_492 | _T_493; + end + end + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + if (reset) begin + rets_out_3 <= 32'h0; + end else begin + rets_out_3 <= _T_497 | _T_498; + end + end + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + if (reset) begin + rets_out_4 <= 32'h0; + end else begin + rets_out_4 <= _T_502 | _T_503; + end + end + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + if (reset) begin + rets_out_5 <= 32'h0; + end else begin + rets_out_5 <= _T_507 | _T_508; + end + end + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin + if (reset) begin + rets_out_6 <= 32'h0; + end else begin + rets_out_6 <= _T_512 | _T_513; + end + end + always @(posedge rvclkhdr_9_io_l1clk or posedge reset) begin + if (reset) begin + rets_out_7 <= 32'h0; + end else begin + rets_out_7 <= rets_out_6; + end + end +endmodule +module ifu_compress_ctl( + input [15:0] io_din, + output [31:0] io_dout +); + wire _T_2 = ~io_din[14]; // @[ifu_compress_ctl.scala 12:83] + wire _T_4 = ~io_din[13]; // @[ifu_compress_ctl.scala 12:83] + wire _T_7 = ~io_din[6]; // @[ifu_compress_ctl.scala 12:83] + wire _T_9 = ~io_din[5]; // @[ifu_compress_ctl.scala 12:83] + wire _T_11 = io_din[15] & _T_2; // @[ifu_compress_ctl.scala 12:110] + wire _T_12 = _T_11 & _T_4; // @[ifu_compress_ctl.scala 12:110] + wire _T_13 = _T_12 & io_din[10]; // @[ifu_compress_ctl.scala 12:110] + wire _T_14 = _T_13 & _T_7; // @[ifu_compress_ctl.scala 12:110] + wire _T_15 = _T_14 & _T_9; // @[ifu_compress_ctl.scala 12:110] + wire _T_16 = _T_15 & io_din[0]; // @[ifu_compress_ctl.scala 12:110] + wire _T_23 = ~io_din[11]; // @[ifu_compress_ctl.scala 12:83] + wire _T_28 = _T_12 & _T_23; // @[ifu_compress_ctl.scala 12:110] + wire _T_29 = _T_28 & io_din[10]; // @[ifu_compress_ctl.scala 12:110] + wire _T_30 = _T_29 & io_din[0]; // @[ifu_compress_ctl.scala 12:110] + wire out_30 = _T_16 | _T_30; // @[ifu_compress_ctl.scala 17:53] + wire _T_38 = ~io_din[10]; // @[ifu_compress_ctl.scala 12:83] + wire _T_40 = ~io_din[9]; // @[ifu_compress_ctl.scala 12:83] + wire _T_42 = ~io_din[8]; // @[ifu_compress_ctl.scala 12:83] + wire _T_44 = ~io_din[7]; // @[ifu_compress_ctl.scala 12:83] + wire _T_50 = ~io_din[4]; // @[ifu_compress_ctl.scala 12:83] + wire _T_52 = ~io_din[3]; // @[ifu_compress_ctl.scala 12:83] + wire _T_54 = ~io_din[2]; // @[ifu_compress_ctl.scala 12:83] + wire _T_56 = _T_2 & io_din[12]; // @[ifu_compress_ctl.scala 12:110] + wire _T_57 = _T_56 & _T_23; // @[ifu_compress_ctl.scala 12:110] + wire _T_58 = _T_57 & _T_38; // @[ifu_compress_ctl.scala 12:110] + wire _T_59 = _T_58 & _T_40; // @[ifu_compress_ctl.scala 12:110] + wire _T_60 = _T_59 & _T_42; // @[ifu_compress_ctl.scala 12:110] + wire _T_61 = _T_60 & _T_44; // @[ifu_compress_ctl.scala 12:110] + wire _T_62 = _T_61 & _T_7; // @[ifu_compress_ctl.scala 12:110] + wire _T_63 = _T_62 & _T_9; // @[ifu_compress_ctl.scala 12:110] + wire _T_64 = _T_63 & _T_50; // @[ifu_compress_ctl.scala 12:110] + wire _T_65 = _T_64 & _T_52; // @[ifu_compress_ctl.scala 12:110] + wire _T_66 = _T_65 & _T_54; // @[ifu_compress_ctl.scala 12:110] + wire out_20 = _T_66 & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire _T_79 = _T_28 & io_din[0]; // @[ifu_compress_ctl.scala 12:110] + wire _T_90 = _T_12 & _T_38; // @[ifu_compress_ctl.scala 12:110] + wire _T_91 = _T_90 & io_din[0]; // @[ifu_compress_ctl.scala 12:110] + wire _T_92 = _T_79 | _T_91; // @[ifu_compress_ctl.scala 21:46] + wire _T_102 = _T_12 & io_din[6]; // @[ifu_compress_ctl.scala 12:110] + wire _T_103 = _T_102 & io_din[0]; // @[ifu_compress_ctl.scala 12:110] + wire _T_104 = _T_92 | _T_103; // @[ifu_compress_ctl.scala 21:80] + wire _T_114 = _T_12 & io_din[5]; // @[ifu_compress_ctl.scala 12:110] + wire _T_115 = _T_114 & io_din[0]; // @[ifu_compress_ctl.scala 12:110] + wire out_14 = _T_104 | _T_115; // @[ifu_compress_ctl.scala 21:113] + wire _T_128 = _T_12 & io_din[11]; // @[ifu_compress_ctl.scala 12:110] + wire _T_129 = _T_128 & _T_38; // @[ifu_compress_ctl.scala 12:110] + wire _T_130 = _T_129 & io_din[0]; // @[ifu_compress_ctl.scala 12:110] + wire _T_142 = _T_128 & io_din[6]; // @[ifu_compress_ctl.scala 12:110] + wire _T_143 = _T_142 & io_din[0]; // @[ifu_compress_ctl.scala 12:110] + wire _T_144 = _T_130 | _T_143; // @[ifu_compress_ctl.scala 23:50] + wire _T_147 = ~io_din[0]; // @[ifu_compress_ctl.scala 23:101] + wire _T_148 = io_din[14] & _T_147; // @[ifu_compress_ctl.scala 23:99] + wire out_13 = _T_144 | _T_148; // @[ifu_compress_ctl.scala 23:86] + wire _T_161 = _T_102 & io_din[5]; // @[ifu_compress_ctl.scala 12:110] + wire _T_162 = _T_161 & io_din[0]; // @[ifu_compress_ctl.scala 12:110] + wire _T_175 = _T_162 | _T_79; // @[ifu_compress_ctl.scala 25:47] + wire _T_188 = _T_175 | _T_91; // @[ifu_compress_ctl.scala 25:81] + wire _T_190 = ~io_din[15]; // @[ifu_compress_ctl.scala 12:83] + wire _T_194 = _T_190 & _T_2; // @[ifu_compress_ctl.scala 12:110] + wire _T_195 = _T_194 & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire _T_196 = _T_188 | _T_195; // @[ifu_compress_ctl.scala 25:115] + wire _T_200 = io_din[15] & io_din[14]; // @[ifu_compress_ctl.scala 12:110] + wire _T_201 = _T_200 & io_din[13]; // @[ifu_compress_ctl.scala 12:110] + wire out_12 = _T_196 | _T_201; // @[ifu_compress_ctl.scala 26:26] + wire _T_217 = _T_11 & _T_7; // @[ifu_compress_ctl.scala 12:110] + wire _T_218 = _T_217 & _T_9; // @[ifu_compress_ctl.scala 12:110] + wire _T_219 = _T_218 & _T_50; // @[ifu_compress_ctl.scala 12:110] + wire _T_220 = _T_219 & _T_52; // @[ifu_compress_ctl.scala 12:110] + wire _T_221 = _T_220 & _T_54; // @[ifu_compress_ctl.scala 12:110] + wire _T_224 = _T_221 & _T_147; // @[ifu_compress_ctl.scala 28:53] + wire _T_228 = _T_2 & io_din[13]; // @[ifu_compress_ctl.scala 12:110] + wire _T_229 = _T_224 | _T_228; // @[ifu_compress_ctl.scala 28:67] + wire _T_234 = _T_200 & io_din[0]; // @[ifu_compress_ctl.scala 12:110] + wire out_6 = _T_229 | _T_234; // @[ifu_compress_ctl.scala 28:88] + wire _T_239 = io_din[15] & _T_147; // @[ifu_compress_ctl.scala 30:24] + wire _T_243 = io_din[15] & io_din[11]; // @[ifu_compress_ctl.scala 12:110] + wire _T_244 = _T_243 & io_din[10]; // @[ifu_compress_ctl.scala 12:110] + wire _T_245 = _T_239 | _T_244; // @[ifu_compress_ctl.scala 30:39] + wire _T_249 = io_din[13] & _T_42; // @[ifu_compress_ctl.scala 12:110] + wire _T_250 = _T_245 | _T_249; // @[ifu_compress_ctl.scala 30:63] + wire _T_253 = io_din[13] & io_din[7]; // @[ifu_compress_ctl.scala 12:110] + wire _T_254 = _T_250 | _T_253; // @[ifu_compress_ctl.scala 30:83] + wire _T_257 = io_din[13] & io_din[9]; // @[ifu_compress_ctl.scala 12:110] + wire _T_258 = _T_254 | _T_257; // @[ifu_compress_ctl.scala 30:102] + wire _T_261 = io_din[13] & io_din[10]; // @[ifu_compress_ctl.scala 12:110] + wire _T_262 = _T_258 | _T_261; // @[ifu_compress_ctl.scala 31:22] + wire _T_265 = io_din[13] & io_din[11]; // @[ifu_compress_ctl.scala 12:110] + wire _T_266 = _T_262 | _T_265; // @[ifu_compress_ctl.scala 31:42] + wire _T_271 = _T_266 | _T_228; // @[ifu_compress_ctl.scala 31:62] + wire out_5 = _T_271 | _T_200; // @[ifu_compress_ctl.scala 31:83] + wire _T_288 = _T_2 & _T_23; // @[ifu_compress_ctl.scala 12:110] + wire _T_289 = _T_288 & _T_38; // @[ifu_compress_ctl.scala 12:110] + wire _T_290 = _T_289 & _T_40; // @[ifu_compress_ctl.scala 12:110] + wire _T_291 = _T_290 & _T_42; // @[ifu_compress_ctl.scala 12:110] + wire _T_292 = _T_291 & _T_44; // @[ifu_compress_ctl.scala 12:110] + wire _T_295 = _T_292 & _T_147; // @[ifu_compress_ctl.scala 33:50] + wire _T_303 = _T_194 & _T_147; // @[ifu_compress_ctl.scala 33:87] + wire _T_304 = _T_295 | _T_303; // @[ifu_compress_ctl.scala 33:65] + wire _T_308 = _T_2 & io_din[6]; // @[ifu_compress_ctl.scala 12:110] + wire _T_311 = _T_308 & _T_147; // @[ifu_compress_ctl.scala 34:23] + wire _T_312 = _T_304 | _T_311; // @[ifu_compress_ctl.scala 33:102] + wire _T_317 = _T_190 & io_din[14]; // @[ifu_compress_ctl.scala 12:110] + wire _T_318 = _T_317 & io_din[0]; // @[ifu_compress_ctl.scala 12:110] + wire _T_319 = _T_312 | _T_318; // @[ifu_compress_ctl.scala 34:38] + wire _T_323 = _T_2 & io_din[5]; // @[ifu_compress_ctl.scala 12:110] + wire _T_326 = _T_323 & _T_147; // @[ifu_compress_ctl.scala 34:82] + wire _T_327 = _T_319 | _T_326; // @[ifu_compress_ctl.scala 34:62] + wire _T_331 = _T_2 & io_din[4]; // @[ifu_compress_ctl.scala 12:110] + wire _T_334 = _T_331 & _T_147; // @[ifu_compress_ctl.scala 35:23] + wire _T_335 = _T_327 | _T_334; // @[ifu_compress_ctl.scala 34:97] + wire _T_339 = _T_2 & io_din[3]; // @[ifu_compress_ctl.scala 12:110] + wire _T_342 = _T_339 & _T_147; // @[ifu_compress_ctl.scala 35:58] + wire _T_343 = _T_335 | _T_342; // @[ifu_compress_ctl.scala 35:38] + wire _T_347 = _T_2 & io_din[2]; // @[ifu_compress_ctl.scala 12:110] + wire _T_350 = _T_347 & _T_147; // @[ifu_compress_ctl.scala 35:93] + wire _T_351 = _T_343 | _T_350; // @[ifu_compress_ctl.scala 35:73] + wire _T_357 = _T_2 & _T_4; // @[ifu_compress_ctl.scala 12:110] + wire _T_358 = _T_357 & io_din[0]; // @[ifu_compress_ctl.scala 12:110] + wire out_4 = _T_351 | _T_358; // @[ifu_compress_ctl.scala 35:108] + wire _T_380 = _T_56 & io_din[11]; // @[ifu_compress_ctl.scala 12:110] + wire _T_381 = _T_380 & _T_7; // @[ifu_compress_ctl.scala 12:110] + wire _T_382 = _T_381 & _T_9; // @[ifu_compress_ctl.scala 12:110] + wire _T_383 = _T_382 & _T_50; // @[ifu_compress_ctl.scala 12:110] + wire _T_384 = _T_383 & _T_52; // @[ifu_compress_ctl.scala 12:110] + wire _T_385 = _T_384 & _T_54; // @[ifu_compress_ctl.scala 12:110] + wire _T_386 = _T_385 & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire _T_403 = _T_56 & io_din[10]; // @[ifu_compress_ctl.scala 12:110] + wire _T_404 = _T_403 & _T_7; // @[ifu_compress_ctl.scala 12:110] + wire _T_405 = _T_404 & _T_9; // @[ifu_compress_ctl.scala 12:110] + wire _T_406 = _T_405 & _T_50; // @[ifu_compress_ctl.scala 12:110] + wire _T_407 = _T_406 & _T_52; // @[ifu_compress_ctl.scala 12:110] + wire _T_408 = _T_407 & _T_54; // @[ifu_compress_ctl.scala 12:110] + wire _T_409 = _T_408 & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire _T_410 = _T_386 | _T_409; // @[ifu_compress_ctl.scala 40:59] + wire _T_427 = _T_56 & io_din[9]; // @[ifu_compress_ctl.scala 12:110] + wire _T_428 = _T_427 & _T_7; // @[ifu_compress_ctl.scala 12:110] + wire _T_429 = _T_428 & _T_9; // @[ifu_compress_ctl.scala 12:110] + wire _T_430 = _T_429 & _T_50; // @[ifu_compress_ctl.scala 12:110] + wire _T_431 = _T_430 & _T_52; // @[ifu_compress_ctl.scala 12:110] + wire _T_432 = _T_431 & _T_54; // @[ifu_compress_ctl.scala 12:110] + wire _T_433 = _T_432 & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire _T_434 = _T_410 | _T_433; // @[ifu_compress_ctl.scala 40:107] + wire _T_451 = _T_56 & io_din[8]; // @[ifu_compress_ctl.scala 12:110] + wire _T_452 = _T_451 & _T_7; // @[ifu_compress_ctl.scala 12:110] + wire _T_453 = _T_452 & _T_9; // @[ifu_compress_ctl.scala 12:110] + wire _T_454 = _T_453 & _T_50; // @[ifu_compress_ctl.scala 12:110] + wire _T_455 = _T_454 & _T_52; // @[ifu_compress_ctl.scala 12:110] + wire _T_456 = _T_455 & _T_54; // @[ifu_compress_ctl.scala 12:110] + wire _T_457 = _T_456 & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire _T_458 = _T_434 | _T_457; // @[ifu_compress_ctl.scala 41:50] + wire _T_475 = _T_56 & io_din[7]; // @[ifu_compress_ctl.scala 12:110] + wire _T_476 = _T_475 & _T_7; // @[ifu_compress_ctl.scala 12:110] + wire _T_477 = _T_476 & _T_9; // @[ifu_compress_ctl.scala 12:110] + wire _T_478 = _T_477 & _T_50; // @[ifu_compress_ctl.scala 12:110] + wire _T_479 = _T_478 & _T_52; // @[ifu_compress_ctl.scala 12:110] + wire _T_480 = _T_479 & _T_54; // @[ifu_compress_ctl.scala 12:110] + wire _T_481 = _T_480 & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire _T_482 = _T_458 | _T_481; // @[ifu_compress_ctl.scala 41:94] + wire _T_487 = ~io_din[12]; // @[ifu_compress_ctl.scala 12:83] + wire _T_499 = _T_11 & _T_487; // @[ifu_compress_ctl.scala 12:110] + wire _T_500 = _T_499 & _T_7; // @[ifu_compress_ctl.scala 12:110] + wire _T_501 = _T_500 & _T_9; // @[ifu_compress_ctl.scala 12:110] + wire _T_502 = _T_501 & _T_50; // @[ifu_compress_ctl.scala 12:110] + wire _T_503 = _T_502 & _T_52; // @[ifu_compress_ctl.scala 12:110] + wire _T_504 = _T_503 & _T_54; // @[ifu_compress_ctl.scala 12:110] + wire _T_507 = _T_504 & _T_147; // @[ifu_compress_ctl.scala 42:94] + wire _T_508 = _T_482 | _T_507; // @[ifu_compress_ctl.scala 42:49] + wire _T_514 = _T_190 & io_din[13]; // @[ifu_compress_ctl.scala 12:110] + wire _T_515 = _T_514 & _T_42; // @[ifu_compress_ctl.scala 12:110] + wire _T_516 = _T_508 | _T_515; // @[ifu_compress_ctl.scala 42:109] + wire _T_522 = _T_514 & io_din[7]; // @[ifu_compress_ctl.scala 12:110] + wire _T_523 = _T_516 | _T_522; // @[ifu_compress_ctl.scala 43:26] + wire _T_529 = _T_514 & io_din[9]; // @[ifu_compress_ctl.scala 12:110] + wire _T_530 = _T_523 | _T_529; // @[ifu_compress_ctl.scala 43:48] + wire _T_536 = _T_514 & io_din[10]; // @[ifu_compress_ctl.scala 12:110] + wire _T_537 = _T_530 | _T_536; // @[ifu_compress_ctl.scala 43:70] + wire _T_543 = _T_514 & io_din[11]; // @[ifu_compress_ctl.scala 12:110] + wire _T_544 = _T_537 | _T_543; // @[ifu_compress_ctl.scala 43:93] + wire out_2 = _T_544 | _T_228; // @[ifu_compress_ctl.scala 44:26] + wire [4:0] rs2d = io_din[6:2]; // @[ifu_compress_ctl.scala 50:20] + wire [4:0] rdd = io_din[11:7]; // @[ifu_compress_ctl.scala 51:19] + wire [4:0] rdpd = {2'h1,io_din[9:7]}; // @[Cat.scala 29:58] + wire [4:0] rs2pd = {2'h1,io_din[4:2]}; // @[Cat.scala 29:58] + wire _T_557 = _T_308 & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire _T_564 = _T_317 & io_din[11]; // @[ifu_compress_ctl.scala 12:110] + wire _T_565 = _T_564 & io_din[0]; // @[ifu_compress_ctl.scala 12:110] + wire _T_566 = _T_557 | _T_565; // @[ifu_compress_ctl.scala 55:33] + wire _T_572 = _T_323 & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire _T_573 = _T_566 | _T_572; // @[ifu_compress_ctl.scala 55:58] + wire _T_580 = _T_317 & io_din[10]; // @[ifu_compress_ctl.scala 12:110] + wire _T_581 = _T_580 & io_din[0]; // @[ifu_compress_ctl.scala 12:110] + wire _T_582 = _T_573 | _T_581; // @[ifu_compress_ctl.scala 55:79] + wire _T_588 = _T_331 & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire _T_589 = _T_582 | _T_588; // @[ifu_compress_ctl.scala 55:104] + wire _T_596 = _T_317 & io_din[9]; // @[ifu_compress_ctl.scala 12:110] + wire _T_597 = _T_596 & io_din[0]; // @[ifu_compress_ctl.scala 12:110] + wire _T_598 = _T_589 | _T_597; // @[ifu_compress_ctl.scala 56:24] + wire _T_604 = _T_339 & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire _T_605 = _T_598 | _T_604; // @[ifu_compress_ctl.scala 56:48] + wire _T_613 = _T_317 & _T_42; // @[ifu_compress_ctl.scala 12:110] + wire _T_614 = _T_613 & io_din[0]; // @[ifu_compress_ctl.scala 12:110] + wire _T_615 = _T_605 | _T_614; // @[ifu_compress_ctl.scala 56:69] + wire _T_621 = _T_347 & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire _T_622 = _T_615 | _T_621; // @[ifu_compress_ctl.scala 56:94] + wire _T_629 = _T_317 & io_din[7]; // @[ifu_compress_ctl.scala 12:110] + wire _T_630 = _T_629 & io_din[0]; // @[ifu_compress_ctl.scala 12:110] + wire _T_631 = _T_622 | _T_630; // @[ifu_compress_ctl.scala 57:22] + wire _T_635 = _T_190 & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire _T_636 = _T_631 | _T_635; // @[ifu_compress_ctl.scala 57:46] + wire _T_642 = _T_190 & _T_4; // @[ifu_compress_ctl.scala 12:110] + wire _T_643 = _T_642 & io_din[0]; // @[ifu_compress_ctl.scala 12:110] + wire rdrd = _T_636 | _T_643; // @[ifu_compress_ctl.scala 57:65] + wire _T_651 = _T_380 & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire _T_659 = _T_403 & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire _T_660 = _T_651 | _T_659; // @[ifu_compress_ctl.scala 59:38] + wire _T_668 = _T_427 & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire _T_669 = _T_660 | _T_668; // @[ifu_compress_ctl.scala 59:63] + wire _T_677 = _T_451 & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire _T_678 = _T_669 | _T_677; // @[ifu_compress_ctl.scala 59:87] + wire _T_686 = _T_475 & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire _T_687 = _T_678 | _T_686; // @[ifu_compress_ctl.scala 60:27] + wire _T_703 = _T_2 & _T_487; // @[ifu_compress_ctl.scala 12:110] + wire _T_704 = _T_703 & _T_7; // @[ifu_compress_ctl.scala 12:110] + wire _T_705 = _T_704 & _T_9; // @[ifu_compress_ctl.scala 12:110] + wire _T_706 = _T_705 & _T_50; // @[ifu_compress_ctl.scala 12:110] + wire _T_707 = _T_706 & _T_52; // @[ifu_compress_ctl.scala 12:110] + wire _T_708 = _T_707 & _T_54; // @[ifu_compress_ctl.scala 12:110] + wire _T_709 = _T_708 & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire _T_710 = _T_687 | _T_709; // @[ifu_compress_ctl.scala 60:51] + wire _T_717 = _T_56 & io_din[6]; // @[ifu_compress_ctl.scala 12:110] + wire _T_718 = _T_717 & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire _T_719 = _T_710 | _T_718; // @[ifu_compress_ctl.scala 60:89] + wire _T_726 = _T_56 & io_din[5]; // @[ifu_compress_ctl.scala 12:110] + wire _T_727 = _T_726 & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire _T_728 = _T_719 | _T_727; // @[ifu_compress_ctl.scala 61:27] + wire _T_735 = _T_56 & io_din[4]; // @[ifu_compress_ctl.scala 12:110] + wire _T_736 = _T_735 & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire _T_737 = _T_728 | _T_736; // @[ifu_compress_ctl.scala 61:51] + wire _T_744 = _T_56 & io_din[3]; // @[ifu_compress_ctl.scala 12:110] + wire _T_745 = _T_744 & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire _T_746 = _T_737 | _T_745; // @[ifu_compress_ctl.scala 61:75] + wire _T_753 = _T_56 & io_din[2]; // @[ifu_compress_ctl.scala 12:110] + wire _T_754 = _T_753 & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire _T_755 = _T_746 | _T_754; // @[ifu_compress_ctl.scala 61:99] + wire _T_764 = _T_194 & _T_4; // @[ifu_compress_ctl.scala 12:110] + wire _T_765 = _T_764 & io_din[0]; // @[ifu_compress_ctl.scala 12:110] + wire _T_766 = _T_755 | _T_765; // @[ifu_compress_ctl.scala 62:27] + wire rdrs1 = _T_766 | _T_195; // @[ifu_compress_ctl.scala 62:54] + wire _T_777 = io_din[15] & io_din[6]; // @[ifu_compress_ctl.scala 12:110] + wire _T_778 = _T_777 & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire _T_782 = io_din[15] & io_din[5]; // @[ifu_compress_ctl.scala 12:110] + wire _T_783 = _T_782 & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire _T_784 = _T_778 | _T_783; // @[ifu_compress_ctl.scala 64:34] + wire _T_788 = io_din[15] & io_din[4]; // @[ifu_compress_ctl.scala 12:110] + wire _T_789 = _T_788 & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire _T_790 = _T_784 | _T_789; // @[ifu_compress_ctl.scala 64:54] + wire _T_794 = io_din[15] & io_din[3]; // @[ifu_compress_ctl.scala 12:110] + wire _T_795 = _T_794 & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire _T_796 = _T_790 | _T_795; // @[ifu_compress_ctl.scala 64:74] + wire _T_800 = io_din[15] & io_din[2]; // @[ifu_compress_ctl.scala 12:110] + wire _T_801 = _T_800 & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire _T_802 = _T_796 | _T_801; // @[ifu_compress_ctl.scala 64:94] + wire _T_807 = _T_200 & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire rs2rs2 = _T_802 | _T_807; // @[ifu_compress_ctl.scala 64:114] + wire rdprd = _T_12 & io_din[0]; // @[ifu_compress_ctl.scala 12:110] + wire _T_820 = io_din[15] & _T_4; // @[ifu_compress_ctl.scala 12:110] + wire _T_821 = _T_820 & io_din[0]; // @[ifu_compress_ctl.scala 12:110] + wire _T_827 = _T_821 | _T_234; // @[ifu_compress_ctl.scala 68:36] + wire _T_830 = ~io_din[1]; // @[ifu_compress_ctl.scala 12:83] + wire _T_831 = io_din[14] & _T_830; // @[ifu_compress_ctl.scala 12:110] + wire _T_834 = _T_831 & _T_147; // @[ifu_compress_ctl.scala 68:76] + wire rdprs1 = _T_827 | _T_834; // @[ifu_compress_ctl.scala 68:57] + wire _T_846 = _T_128 & io_din[10]; // @[ifu_compress_ctl.scala 12:110] + wire _T_847 = _T_846 & io_din[0]; // @[ifu_compress_ctl.scala 12:110] + wire _T_851 = io_din[15] & _T_830; // @[ifu_compress_ctl.scala 12:110] + wire _T_854 = _T_851 & _T_147; // @[ifu_compress_ctl.scala 70:66] + wire rs2prs2 = _T_847 | _T_854; // @[ifu_compress_ctl.scala 70:47] + wire _T_859 = _T_190 & _T_830; // @[ifu_compress_ctl.scala 12:110] + wire rs2prd = _T_859 & _T_147; // @[ifu_compress_ctl.scala 72:33] + wire _T_866 = _T_2 & _T_830; // @[ifu_compress_ctl.scala 12:110] + wire uimm9_2 = _T_866 & _T_147; // @[ifu_compress_ctl.scala 74:34] + wire _T_875 = _T_317 & _T_830; // @[ifu_compress_ctl.scala 12:110] + wire ulwimm6_2 = _T_875 & _T_147; // @[ifu_compress_ctl.scala 76:39] + wire ulwspimm7_2 = _T_317 & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire _T_897 = _T_317 & io_din[13]; // @[ifu_compress_ctl.scala 12:110] + wire _T_898 = _T_897 & _T_23; // @[ifu_compress_ctl.scala 12:110] + wire _T_899 = _T_898 & _T_38; // @[ifu_compress_ctl.scala 12:110] + wire _T_900 = _T_899 & _T_40; // @[ifu_compress_ctl.scala 12:110] + wire _T_901 = _T_900 & io_din[8]; // @[ifu_compress_ctl.scala 12:110] + wire rdeq2 = _T_901 & _T_44; // @[ifu_compress_ctl.scala 12:110] + wire _T_1027 = _T_194 & io_din[13]; // @[ifu_compress_ctl.scala 12:110] + wire rdeq1 = _T_482 | _T_1027; // @[ifu_compress_ctl.scala 84:42] + wire _T_1050 = io_din[14] & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1051 = rdeq2 | _T_1050; // @[ifu_compress_ctl.scala 86:53] + wire rs1eq2 = _T_1051 | uimm9_2; // @[ifu_compress_ctl.scala 86:71] + wire _T_1092 = _T_357 & io_din[11]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1093 = _T_1092 & _T_38; // @[ifu_compress_ctl.scala 12:110] + wire _T_1094 = _T_1093 & io_din[0]; // @[ifu_compress_ctl.scala 12:110] + wire simm5_0 = _T_1094 | _T_643; // @[ifu_compress_ctl.scala 92:45] + wire _T_1112 = _T_897 & io_din[7]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1121 = _T_897 & _T_42; // @[ifu_compress_ctl.scala 12:110] + wire _T_1122 = _T_1112 | _T_1121; // @[ifu_compress_ctl.scala 96:44] + wire _T_1130 = _T_897 & io_din[9]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1131 = _T_1122 | _T_1130; // @[ifu_compress_ctl.scala 96:70] + wire _T_1139 = _T_897 & io_din[10]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1140 = _T_1131 | _T_1139; // @[ifu_compress_ctl.scala 96:95] + wire _T_1148 = _T_897 & io_din[11]; // @[ifu_compress_ctl.scala 12:110] + wire sluimm17_12 = _T_1140 | _T_1148; // @[ifu_compress_ctl.scala 96:121] + wire uimm5_0 = _T_79 | _T_195; // @[ifu_compress_ctl.scala 98:45] + wire [6:0] l1_6 = {out_6,out_5,out_4,_T_228,out_2,1'h1,1'h1}; // @[Cat.scala 29:58] + wire [4:0] _T_1192 = rdrd ? rdd : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1193 = rdprd ? rdpd : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1194 = rs2prd ? rs2pd : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1195 = rdeq1 ? 5'h1 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1196 = rdeq2 ? 5'h2 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1197 = _T_1192 | _T_1193; // @[Mux.scala 27:72] + wire [4:0] _T_1198 = _T_1197 | _T_1194; // @[Mux.scala 27:72] + wire [4:0] _T_1199 = _T_1198 | _T_1195; // @[Mux.scala 27:72] + wire [4:0] l1_11 = _T_1199 | _T_1196; // @[Mux.scala 27:72] + wire [4:0] _T_1210 = rdrs1 ? rdd : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1211 = rdprs1 ? rdpd : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1212 = rs1eq2 ? 5'h2 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1213 = _T_1210 | _T_1211; // @[Mux.scala 27:72] + wire [4:0] l1_19 = _T_1213 | _T_1212; // @[Mux.scala 27:72] + wire [4:0] _T_1219 = {3'h0,1'h0,out_20}; // @[Cat.scala 29:58] + wire [4:0] _T_1222 = rs2rs2 ? rs2d : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1223 = rs2prs2 ? rs2pd : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1224 = _T_1222 | _T_1223; // @[Mux.scala 27:72] + wire [4:0] l1_24 = _T_1219 | _T_1224; // @[ifu_compress_ctl.scala 114:67] + wire [14:0] _T_1232 = {out_14,out_13,out_12,l1_11,l1_6}; // @[Cat.scala 29:58] + wire [31:0] l1 = {1'h0,out_30,2'h0,3'h0,l1_24,l1_19,_T_1232}; // @[Cat.scala 29:58] + wire [5:0] simm5d = {io_din[12],rs2d}; // @[Cat.scala 29:58] + wire [5:0] simm9d = {io_din[12],io_din[4:3],io_din[5],io_din[2],io_din[6]}; // @[Cat.scala 29:58] + wire [10:0] sjald_1 = {io_din[12],io_din[8],io_din[10:9],io_din[6],io_din[7],io_din[2],io_din[11],io_din[5:4],io_din[3]}; // @[Cat.scala 29:58] + wire [19:0] sjald = {io_din[12],io_din[12],io_din[12],io_din[12],io_din[12],io_din[12],io_din[12],io_din[12],io_din[12],sjald_1}; // @[Cat.scala 29:58] + wire [9:0] _T_1296 = {io_din[12],io_din[12],io_din[12],io_din[12],io_din[12],io_din[12],io_din[12],io_din[12],io_din[12],io_din[12]}; // @[Cat.scala 29:58] + wire [19:0] sluimmd = {_T_1296,io_din[12],io_din[12],io_din[12],io_din[12],io_din[12],rs2d}; // @[Cat.scala 29:58] + wire [11:0] _T_1314 = {simm5d[5],simm5d[5],simm5d[5],simm5d[5],simm5d[5],simm5d[5],simm5d[5],simm5d[4:0]}; // @[Cat.scala 29:58] + wire [11:0] _T_1317 = {2'h0,io_din[10:7],io_din[12:11],io_din[5],io_din[6],2'h0}; // @[Cat.scala 29:58] + wire [11:0] _T_1325 = {simm9d[5],simm9d[5],simm9d[5],simm9d[4:0],4'h0}; // @[Cat.scala 29:58] + wire [11:0] _T_1328 = {5'h0,io_din[5],io_din[12:10],io_din[6],2'h0}; // @[Cat.scala 29:58] + wire [11:0] _T_1331 = {4'h0,io_din[3:2],io_din[12],io_din[6:4],2'h0}; // @[Cat.scala 29:58] + wire [11:0] _T_1333 = {6'h0,io_din[12],rs2d}; // @[Cat.scala 29:58] + wire [11:0] _T_1339 = {sjald[19],sjald[9:0],sjald[10]}; // @[Cat.scala 29:58] + wire [11:0] _T_1342 = simm5_0 ? _T_1314 : 12'h0; // @[Mux.scala 27:72] + wire [11:0] _T_1343 = uimm9_2 ? _T_1317 : 12'h0; // @[Mux.scala 27:72] + wire [11:0] _T_1344 = rdeq2 ? _T_1325 : 12'h0; // @[Mux.scala 27:72] + wire [11:0] _T_1345 = ulwimm6_2 ? _T_1328 : 12'h0; // @[Mux.scala 27:72] + wire [11:0] _T_1346 = ulwspimm7_2 ? _T_1331 : 12'h0; // @[Mux.scala 27:72] + wire [11:0] _T_1347 = uimm5_0 ? _T_1333 : 12'h0; // @[Mux.scala 27:72] + wire [11:0] _T_1348 = _T_228 ? _T_1339 : 12'h0; // @[Mux.scala 27:72] + wire [11:0] _T_1349 = sluimm17_12 ? sluimmd[19:8] : 12'h0; // @[Mux.scala 27:72] + wire [11:0] _T_1350 = _T_1342 | _T_1343; // @[Mux.scala 27:72] + wire [11:0] _T_1351 = _T_1350 | _T_1344; // @[Mux.scala 27:72] + wire [11:0] _T_1352 = _T_1351 | _T_1345; // @[Mux.scala 27:72] + wire [11:0] _T_1353 = _T_1352 | _T_1346; // @[Mux.scala 27:72] + wire [11:0] _T_1354 = _T_1353 | _T_1347; // @[Mux.scala 27:72] + wire [11:0] _T_1355 = _T_1354 | _T_1348; // @[Mux.scala 27:72] + wire [11:0] _T_1356 = _T_1355 | _T_1349; // @[Mux.scala 27:72] + wire [11:0] l2_31 = l1[31:20] | _T_1356; // @[ifu_compress_ctl.scala 133:25] + wire [7:0] _T_1363 = _T_228 ? sjald[19:12] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_1364 = sluimm17_12 ? sluimmd[7:0] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_1365 = _T_1363 | _T_1364; // @[Mux.scala 27:72] + wire [7:0] l2_19 = l1[19:12] | _T_1365; // @[ifu_compress_ctl.scala 143:25] + wire [31:0] l2 = {l2_31,l2_19,l1[11:0]}; // @[Cat.scala 29:58] + wire [8:0] sbr8d = {io_din[12],io_din[6],io_din[5],io_din[2],io_din[11],io_din[10],io_din[4],io_din[3],1'h0}; // @[Cat.scala 29:58] + wire [6:0] uswimm6d = {io_din[5],io_din[12:10],io_din[6],2'h0}; // @[Cat.scala 29:58] + wire [7:0] uswspimm7d = {io_din[8:7],io_din[12:9],2'h0}; // @[Cat.scala 29:58] + wire [6:0] _T_1400 = {sbr8d[8],sbr8d[8],sbr8d[8],sbr8d[8],sbr8d[7:5]}; // @[Cat.scala 29:58] + wire [6:0] _T_1403 = {5'h0,uswimm6d[6:5]}; // @[Cat.scala 29:58] + wire [6:0] _T_1406 = {4'h0,uswspimm7d[7:5]}; // @[Cat.scala 29:58] + wire [6:0] _T_1407 = _T_234 ? _T_1400 : 7'h0; // @[Mux.scala 27:72] + wire [6:0] _T_1408 = _T_854 ? _T_1403 : 7'h0; // @[Mux.scala 27:72] + wire [6:0] _T_1409 = _T_807 ? _T_1406 : 7'h0; // @[Mux.scala 27:72] + wire [6:0] _T_1410 = _T_1407 | _T_1408; // @[Mux.scala 27:72] + wire [6:0] _T_1411 = _T_1410 | _T_1409; // @[Mux.scala 27:72] + wire [6:0] l3_31 = l2[31:25] | _T_1411; // @[ifu_compress_ctl.scala 151:25] + wire [12:0] l3_24 = l2[24:12]; // @[ifu_compress_ctl.scala 154:17] + wire [4:0] _T_1417 = {sbr8d[4:1],sbr8d[8]}; // @[Cat.scala 29:58] + wire [4:0] _T_1422 = _T_234 ? _T_1417 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1423 = _T_854 ? uswimm6d[4:0] : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1424 = _T_807 ? uswspimm7d[4:0] : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1425 = _T_1422 | _T_1423; // @[Mux.scala 27:72] + wire [4:0] _T_1426 = _T_1425 | _T_1424; // @[Mux.scala 27:72] + wire [4:0] l3_11 = l2[11:7] | _T_1426; // @[ifu_compress_ctl.scala 156:24] + wire [31:0] l3 = {l3_31,l3_24,l3_11,l2[6:0]}; // @[Cat.scala 29:58] + wire _T_1437 = _T_4 & _T_487; // @[ifu_compress_ctl.scala 12:110] + wire _T_1438 = _T_1437 & io_din[11]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1439 = _T_1438 & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1442 = _T_1439 & _T_147; // @[ifu_compress_ctl.scala 162:39] + wire _T_1450 = _T_1437 & io_din[6]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1451 = _T_1450 & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1454 = _T_1451 & _T_147; // @[ifu_compress_ctl.scala 162:79] + wire _T_1455 = _T_1442 | _T_1454; // @[ifu_compress_ctl.scala 162:54] + wire _T_1464 = _T_642 & io_din[11]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1465 = _T_1464 & _T_830; // @[ifu_compress_ctl.scala 12:110] + wire _T_1466 = _T_1455 | _T_1465; // @[ifu_compress_ctl.scala 162:94] + wire _T_1474 = _T_1437 & io_din[5]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1475 = _T_1474 & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1478 = _T_1475 & _T_147; // @[ifu_compress_ctl.scala 163:55] + wire _T_1479 = _T_1466 | _T_1478; // @[ifu_compress_ctl.scala 163:30] + wire _T_1487 = _T_1437 & io_din[10]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1488 = _T_1487 & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1491 = _T_1488 & _T_147; // @[ifu_compress_ctl.scala 163:96] + wire _T_1492 = _T_1479 | _T_1491; // @[ifu_compress_ctl.scala 163:70] + wire _T_1501 = _T_642 & io_din[6]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1502 = _T_1501 & _T_830; // @[ifu_compress_ctl.scala 12:110] + wire _T_1503 = _T_1492 | _T_1502; // @[ifu_compress_ctl.scala 163:111] + wire _T_1510 = io_din[15] & _T_487; // @[ifu_compress_ctl.scala 12:110] + wire _T_1511 = _T_1510 & _T_830; // @[ifu_compress_ctl.scala 12:110] + wire _T_1512 = _T_1511 & io_din[0]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1513 = _T_1503 | _T_1512; // @[ifu_compress_ctl.scala 164:29] + wire _T_1521 = _T_1437 & io_din[9]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1522 = _T_1521 & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1525 = _T_1522 & _T_147; // @[ifu_compress_ctl.scala 164:79] + wire _T_1526 = _T_1513 | _T_1525; // @[ifu_compress_ctl.scala 164:54] + wire _T_1533 = _T_487 & io_din[6]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1534 = _T_1533 & _T_830; // @[ifu_compress_ctl.scala 12:110] + wire _T_1535 = _T_1534 & io_din[0]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1536 = _T_1526 | _T_1535; // @[ifu_compress_ctl.scala 164:94] + wire _T_1545 = _T_642 & io_din[5]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1546 = _T_1545 & _T_830; // @[ifu_compress_ctl.scala 12:110] + wire _T_1547 = _T_1536 | _T_1546; // @[ifu_compress_ctl.scala 164:118] + wire _T_1555 = _T_1437 & io_din[8]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1556 = _T_1555 & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1559 = _T_1556 & _T_147; // @[ifu_compress_ctl.scala 165:28] + wire _T_1560 = _T_1547 | _T_1559; // @[ifu_compress_ctl.scala 164:144] + wire _T_1567 = _T_487 & io_din[5]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1568 = _T_1567 & _T_830; // @[ifu_compress_ctl.scala 12:110] + wire _T_1569 = _T_1568 & io_din[0]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1570 = _T_1560 | _T_1569; // @[ifu_compress_ctl.scala 165:43] + wire _T_1579 = _T_642 & io_din[10]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1580 = _T_1579 & _T_830; // @[ifu_compress_ctl.scala 12:110] + wire _T_1581 = _T_1570 | _T_1580; // @[ifu_compress_ctl.scala 165:67] + wire _T_1589 = _T_1437 & io_din[7]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1590 = _T_1589 & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1593 = _T_1590 & _T_147; // @[ifu_compress_ctl.scala 166:28] + wire _T_1594 = _T_1581 | _T_1593; // @[ifu_compress_ctl.scala 165:94] + wire _T_1602 = io_din[12] & io_din[11]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1603 = _T_1602 & _T_38; // @[ifu_compress_ctl.scala 12:110] + wire _T_1604 = _T_1603 & _T_830; // @[ifu_compress_ctl.scala 12:110] + wire _T_1605 = _T_1604 & io_din[0]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1606 = _T_1594 | _T_1605; // @[ifu_compress_ctl.scala 166:43] + wire _T_1615 = _T_642 & io_din[9]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1616 = _T_1615 & _T_830; // @[ifu_compress_ctl.scala 12:110] + wire _T_1617 = _T_1606 | _T_1616; // @[ifu_compress_ctl.scala 166:71] + wire _T_1625 = _T_1437 & io_din[4]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1626 = _T_1625 & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1629 = _T_1626 & _T_147; // @[ifu_compress_ctl.scala 167:28] + wire _T_1630 = _T_1617 | _T_1629; // @[ifu_compress_ctl.scala 166:97] + wire _T_1636 = io_din[13] & io_din[12]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1637 = _T_1636 & _T_830; // @[ifu_compress_ctl.scala 12:110] + wire _T_1638 = _T_1637 & io_din[0]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1639 = _T_1630 | _T_1638; // @[ifu_compress_ctl.scala 167:43] + wire _T_1648 = _T_642 & io_din[8]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1649 = _T_1648 & _T_830; // @[ifu_compress_ctl.scala 12:110] + wire _T_1650 = _T_1639 | _T_1649; // @[ifu_compress_ctl.scala 167:67] + wire _T_1658 = _T_1437 & io_din[3]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1659 = _T_1658 & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1662 = _T_1659 & _T_147; // @[ifu_compress_ctl.scala 168:28] + wire _T_1663 = _T_1650 | _T_1662; // @[ifu_compress_ctl.scala 167:93] + wire _T_1669 = io_din[13] & io_din[4]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1670 = _T_1669 & _T_830; // @[ifu_compress_ctl.scala 12:110] + wire _T_1671 = _T_1670 & io_din[0]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1672 = _T_1663 | _T_1671; // @[ifu_compress_ctl.scala 168:43] + wire _T_1680 = _T_1437 & io_din[2]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1681 = _T_1680 & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1684 = _T_1681 & _T_147; // @[ifu_compress_ctl.scala 168:91] + wire _T_1685 = _T_1672 | _T_1684; // @[ifu_compress_ctl.scala 168:66] + wire _T_1694 = _T_642 & io_din[7]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1695 = _T_1694 & _T_830; // @[ifu_compress_ctl.scala 12:110] + wire _T_1696 = _T_1685 | _T_1695; // @[ifu_compress_ctl.scala 168:106] + wire _T_1702 = io_din[13] & io_din[3]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1703 = _T_1702 & _T_830; // @[ifu_compress_ctl.scala 12:110] + wire _T_1704 = _T_1703 & io_din[0]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1705 = _T_1696 | _T_1704; // @[ifu_compress_ctl.scala 169:29] + wire _T_1711 = io_din[13] & io_din[2]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1712 = _T_1711 & _T_830; // @[ifu_compress_ctl.scala 12:110] + wire _T_1713 = _T_1712 & io_din[0]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1714 = _T_1705 | _T_1713; // @[ifu_compress_ctl.scala 169:52] + wire _T_1720 = io_din[14] & _T_4; // @[ifu_compress_ctl.scala 12:110] + wire _T_1721 = _T_1720 & _T_830; // @[ifu_compress_ctl.scala 12:110] + wire _T_1722 = _T_1714 | _T_1721; // @[ifu_compress_ctl.scala 169:75] + wire _T_1731 = _T_703 & _T_830; // @[ifu_compress_ctl.scala 12:110] + wire _T_1732 = _T_1731 & io_din[0]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1733 = _T_1722 | _T_1732; // @[ifu_compress_ctl.scala 169:98] + wire _T_1740 = _T_820 & io_din[12]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1741 = _T_1740 & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1744 = _T_1741 & _T_147; // @[ifu_compress_ctl.scala 170:54] + wire _T_1745 = _T_1733 | _T_1744; // @[ifu_compress_ctl.scala 170:29] + wire _T_1754 = _T_642 & _T_487; // @[ifu_compress_ctl.scala 12:110] + wire _T_1755 = _T_1754 & io_din[1]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1758 = _T_1755 & _T_147; // @[ifu_compress_ctl.scala 170:96] + wire _T_1759 = _T_1745 | _T_1758; // @[ifu_compress_ctl.scala 170:69] + wire _T_1768 = _T_642 & io_din[12]; // @[ifu_compress_ctl.scala 12:110] + wire _T_1769 = _T_1768 & _T_830; // @[ifu_compress_ctl.scala 12:110] + wire _T_1770 = _T_1759 | _T_1769; // @[ifu_compress_ctl.scala 170:111] + wire _T_1777 = _T_1720 & _T_147; // @[ifu_compress_ctl.scala 171:50] + wire legal = _T_1770 | _T_1777; // @[ifu_compress_ctl.scala 171:30] + wire [9:0] _T_1787 = {legal,legal,legal,legal,legal,legal,legal,legal,legal,legal}; // @[Cat.scala 29:58] + wire [18:0] _T_1796 = {_T_1787,legal,legal,legal,legal,legal,legal,legal,legal,legal}; // @[Cat.scala 29:58] + wire [27:0] _T_1805 = {_T_1796,legal,legal,legal,legal,legal,legal,legal,legal,legal}; // @[Cat.scala 29:58] + wire [31:0] _T_1809 = {_T_1805,legal,legal,legal,legal}; // @[Cat.scala 29:58] + assign io_dout = l3 & _T_1809; // @[ifu_compress_ctl.scala 173:10] +endmodule +module ifu_aln_ctl( + input clock, + input reset, + input io_scan_mode, + input io_active_clk, + input io_ifu_async_error_start, + input io_iccm_rd_ecc_double_err, + input io_ic_access_fault_f, + input [1:0] io_ic_access_fault_type_f, + input [7:0] io_ifu_bp_fghr_f, + input [30:0] io_ifu_bp_btb_target_f, + input [11:0] io_ifu_bp_poffset_f, + input [1:0] io_ifu_bp_hist0_f, + input [1:0] io_ifu_bp_hist1_f, + input [1:0] io_ifu_bp_pc4_f, + input [1:0] io_ifu_bp_way_f, + input [1:0] io_ifu_bp_valid_f, + input [1:0] io_ifu_bp_ret_f, + input io_exu_flush_final, + input io_dec_aln_aln_dec_dec_i0_decode_d, + output [15:0] io_dec_aln_aln_dec_ifu_i0_cinst, + output io_dec_aln_aln_ib_ifu_i0_icaf, + output [1:0] io_dec_aln_aln_ib_ifu_i0_icaf_type, + output io_dec_aln_aln_ib_ifu_i0_icaf_f1, + output io_dec_aln_aln_ib_ifu_i0_dbecc, + output [7:0] io_dec_aln_aln_ib_ifu_i0_bp_index, + output [7:0] io_dec_aln_aln_ib_ifu_i0_bp_fghr, + output [4:0] io_dec_aln_aln_ib_ifu_i0_bp_btag, + output io_dec_aln_aln_ib_ifu_i0_valid, + output [31:0] io_dec_aln_aln_ib_ifu_i0_instr, + output [30:0] io_dec_aln_aln_ib_ifu_i0_pc, + output io_dec_aln_aln_ib_ifu_i0_pc4, + output io_dec_aln_aln_ib_i0_brp_valid, + output [11:0] io_dec_aln_aln_ib_i0_brp_bits_toffset, + output [1:0] io_dec_aln_aln_ib_i0_brp_bits_hist, + output io_dec_aln_aln_ib_i0_brp_bits_br_error, + output io_dec_aln_aln_ib_i0_brp_bits_br_start_error, + output io_dec_aln_aln_ib_i0_brp_bits_bank, + output [30:0] io_dec_aln_aln_ib_i0_brp_bits_prett, + output io_dec_aln_aln_ib_i0_brp_bits_way, + output io_dec_aln_aln_ib_i0_brp_bits_ret, + output io_dec_aln_ifu_pmu_instr_aligned, + input [31:0] io_ifu_fetch_data_f, + input [1:0] io_ifu_fetch_val, + input [30:0] io_ifu_fetch_pc, + output io_ifu_fb_consume1, + output io_ifu_fb_consume2 +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; + reg [31:0] _RAND_11; + reg [31:0] _RAND_12; + reg [31:0] _RAND_13; + reg [31:0] _RAND_14; + reg [31:0] _RAND_15; + reg [31:0] _RAND_16; + reg [31:0] _RAND_17; + reg [63:0] _RAND_18; + reg [63:0] _RAND_19; + reg [63:0] _RAND_20; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_io_en; // @[lib.scala 368:23] + wire rvclkhdr_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_en; // @[lib.scala 368:23] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_en; // @[lib.scala 368:23] + wire rvclkhdr_2_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_en; // @[lib.scala 368:23] + wire rvclkhdr_3_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_4_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_en; // @[lib.scala 368:23] + wire rvclkhdr_4_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_5_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_en; // @[lib.scala 368:23] + wire rvclkhdr_5_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_6_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_6_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_6_io_en; // @[lib.scala 368:23] + wire rvclkhdr_6_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_7_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_7_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_7_io_en; // @[lib.scala 368:23] + wire rvclkhdr_7_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_8_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_8_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_8_io_en; // @[lib.scala 368:23] + wire rvclkhdr_8_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_9_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_9_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_9_io_en; // @[lib.scala 368:23] + wire rvclkhdr_9_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_10_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_10_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_10_io_en; // @[lib.scala 368:23] + wire rvclkhdr_10_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_11_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_11_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_11_io_en; // @[lib.scala 368:23] + wire rvclkhdr_11_io_scan_mode; // @[lib.scala 368:23] + wire [15:0] decompressed_io_din; // @[ifu_aln_ctl.scala 352:28] + wire [31:0] decompressed_io_dout; // @[ifu_aln_ctl.scala 352:28] + reg error_stall; // @[ifu_aln_ctl.scala 102:51] + wire _T = error_stall | io_ifu_async_error_start; // @[ifu_aln_ctl.scala 99:34] + wire _T_1 = ~io_exu_flush_final; // @[ifu_aln_ctl.scala 99:64] + reg [1:0] wrptr; // @[ifu_aln_ctl.scala 104:48] + reg [1:0] rdptr; // @[ifu_aln_ctl.scala 106:48] + reg [1:0] f2val; // @[ifu_aln_ctl.scala 108:48] + reg [1:0] f1val; // @[ifu_aln_ctl.scala 109:48] + reg [1:0] f0val; // @[ifu_aln_ctl.scala 110:48] + reg q2off; // @[ifu_aln_ctl.scala 112:48] + reg q1off; // @[ifu_aln_ctl.scala 113:48] + reg q0off; // @[ifu_aln_ctl.scala 114:48] + wire _T_785 = ~error_stall; // @[ifu_aln_ctl.scala 395:55] + wire i0_shift = io_dec_aln_aln_dec_dec_i0_decode_d & _T_785; // @[ifu_aln_ctl.scala 395:53] + wire _T_186 = rdptr == 2'h0; // @[ifu_aln_ctl.scala 169:31] + wire _T_189 = _T_186 & q0off; // @[Mux.scala 27:72] + wire _T_187 = rdptr == 2'h1; // @[ifu_aln_ctl.scala 170:11] + wire _T_190 = _T_187 & q1off; // @[Mux.scala 27:72] + wire _T_192 = _T_189 | _T_190; // @[Mux.scala 27:72] + wire _T_188 = rdptr == 2'h2; // @[ifu_aln_ctl.scala 171:11] + wire _T_191 = _T_188 & q2off; // @[Mux.scala 27:72] + wire q0ptr = _T_192 | _T_191; // @[Mux.scala 27:72] + wire _T_202 = ~q0ptr; // @[ifu_aln_ctl.scala 175:26] + wire [1:0] q0sel = {q0ptr,_T_202}; // @[Cat.scala 29:58] + wire [2:0] qren = {_T_188,_T_187,_T_186}; // @[Cat.scala 29:58] + reg [31:0] q1; // @[lib.scala 374:16] + reg [31:0] q0; // @[lib.scala 374:16] + wire [63:0] _T_479 = {q1,q0}; // @[Cat.scala 29:58] + wire [63:0] _T_486 = qren[0] ? _T_479 : 64'h0; // @[Mux.scala 27:72] + reg [31:0] q2; // @[lib.scala 374:16] + wire [63:0] _T_482 = {q2,q1}; // @[Cat.scala 29:58] + wire [63:0] _T_487 = qren[1] ? _T_482 : 64'h0; // @[Mux.scala 27:72] + wire [63:0] _T_489 = _T_486 | _T_487; // @[Mux.scala 27:72] + wire [63:0] _T_485 = {q0,q2}; // @[Cat.scala 29:58] + wire [63:0] _T_488 = qren[2] ? _T_485 : 64'h0; // @[Mux.scala 27:72] + wire [63:0] qeff = _T_489 | _T_488; // @[Mux.scala 27:72] + wire [31:0] q0eff = qeff[31:0]; // @[ifu_aln_ctl.scala 294:42] + wire [31:0] _T_496 = q0sel[0] ? q0eff : 32'h0; // @[Mux.scala 27:72] + wire [15:0] _T_497 = q0sel[1] ? q0eff[31:16] : 16'h0; // @[Mux.scala 27:72] + wire [31:0] _GEN_0 = {{16'd0}, _T_497}; // @[Mux.scala 27:72] + wire [31:0] q0final = _T_496 | _GEN_0; // @[Mux.scala 27:72] + wire [31:0] _T_520 = f0val[1] ? q0final : 32'h0; // @[Mux.scala 27:72] + wire _T_513 = ~f0val[1]; // @[ifu_aln_ctl.scala 301:58] + wire _T_515 = _T_513 & f0val[0]; // @[ifu_aln_ctl.scala 301:68] + wire _T_197 = _T_186 & q1off; // @[Mux.scala 27:72] + wire _T_198 = _T_187 & q2off; // @[Mux.scala 27:72] + wire _T_200 = _T_197 | _T_198; // @[Mux.scala 27:72] + wire _T_199 = _T_188 & q0off; // @[Mux.scala 27:72] + wire q1ptr = _T_200 | _T_199; // @[Mux.scala 27:72] + wire _T_203 = ~q1ptr; // @[ifu_aln_ctl.scala 177:26] + wire [1:0] q1sel = {q1ptr,_T_203}; // @[Cat.scala 29:58] + wire [31:0] q1eff = qeff[63:32]; // @[ifu_aln_ctl.scala 294:29] + wire [15:0] _T_506 = q1sel[0] ? q1eff[15:0] : 16'h0; // @[Mux.scala 27:72] + wire [15:0] _T_507 = q1sel[1] ? q1eff[31:16] : 16'h0; // @[Mux.scala 27:72] + wire [15:0] q1final = _T_506 | _T_507; // @[Mux.scala 27:72] + wire [31:0] _T_519 = {q1final,q0final[15:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_521 = _T_515 ? _T_519 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] aligndata = _T_520 | _T_521; // @[Mux.scala 27:72] + wire first4B = aligndata[1:0] == 2'h3; // @[ifu_aln_ctl.scala 334:29] + wire first2B = ~first4B; // @[ifu_aln_ctl.scala 336:17] + wire shift_2B = i0_shift & first2B; // @[ifu_aln_ctl.scala 399:24] + wire [1:0] _T_443 = {1'h0,f0val[1]}; // @[Cat.scala 29:58] + wire [1:0] _T_448 = shift_2B ? _T_443 : 2'h0; // @[Mux.scala 27:72] + wire _T_444 = ~shift_2B; // @[ifu_aln_ctl.scala 284:18] + wire shift_4B = i0_shift & first4B; // @[ifu_aln_ctl.scala 400:24] + wire _T_445 = ~shift_4B; // @[ifu_aln_ctl.scala 284:30] + wire _T_446 = _T_444 & _T_445; // @[ifu_aln_ctl.scala 284:28] + wire [1:0] _T_449 = _T_446 ? f0val : 2'h0; // @[Mux.scala 27:72] + wire [1:0] sf0val = _T_448 | _T_449; // @[Mux.scala 27:72] + wire sf0_valid = sf0val[0]; // @[ifu_aln_ctl.scala 235:22] + wire _T_351 = ~sf0_valid; // @[ifu_aln_ctl.scala 256:26] + wire _T_802 = f0val[0] & _T_513; // @[ifu_aln_ctl.scala 403:28] + wire f1_shift_2B = _T_802 & shift_4B; // @[ifu_aln_ctl.scala 403:40] + wire _T_417 = f1_shift_2B & f1val[1]; // @[Mux.scala 27:72] + wire _T_416 = ~f1_shift_2B; // @[ifu_aln_ctl.scala 277:53] + wire [1:0] _T_418 = _T_416 ? f1val : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_1 = {{1'd0}, _T_417}; // @[Mux.scala 27:72] + wire [1:0] sf1val = _GEN_1 | _T_418; // @[Mux.scala 27:72] + wire sf1_valid = sf1val[0]; // @[ifu_aln_ctl.scala 234:22] + wire _T_352 = _T_351 & sf1_valid; // @[ifu_aln_ctl.scala 256:37] + wire f2_valid = f2val[0]; // @[ifu_aln_ctl.scala 233:20] + wire _T_353 = _T_352 & f2_valid; // @[ifu_aln_ctl.scala 256:50] + wire ifvalid = io_ifu_fetch_val[0]; // @[ifu_aln_ctl.scala 244:30] + wire _T_354 = _T_353 & ifvalid; // @[ifu_aln_ctl.scala 256:62] + wire _T_355 = sf0_valid & sf1_valid; // @[ifu_aln_ctl.scala 257:37] + wire _T_356 = ~f2_valid; // @[ifu_aln_ctl.scala 257:52] + wire _T_357 = _T_355 & _T_356; // @[ifu_aln_ctl.scala 257:50] + wire _T_358 = _T_357 & ifvalid; // @[ifu_aln_ctl.scala 257:62] + wire fetch_to_f2 = _T_354 | _T_358; // @[ifu_aln_ctl.scala 256:74] + reg [30:0] f2pc; // @[lib.scala 374:16] + wire _T_335 = ~sf1_valid; // @[ifu_aln_ctl.scala 252:39] + wire _T_336 = _T_351 & _T_335; // @[ifu_aln_ctl.scala 252:37] + wire _T_337 = _T_336 & f2_valid; // @[ifu_aln_ctl.scala 252:50] + wire _T_338 = _T_337 & ifvalid; // @[ifu_aln_ctl.scala 252:62] + wire _T_342 = _T_352 & _T_356; // @[ifu_aln_ctl.scala 253:50] + wire _T_343 = _T_342 & ifvalid; // @[ifu_aln_ctl.scala 253:62] + wire _T_344 = _T_338 | _T_343; // @[ifu_aln_ctl.scala 252:74] + wire _T_346 = sf0_valid & _T_335; // @[ifu_aln_ctl.scala 254:37] + wire _T_348 = _T_346 & _T_356; // @[ifu_aln_ctl.scala 254:50] + wire _T_349 = _T_348 & ifvalid; // @[ifu_aln_ctl.scala 254:62] + wire fetch_to_f1 = _T_344 | _T_349; // @[ifu_aln_ctl.scala 253:74] + wire _T_25 = fetch_to_f1 | _T_353; // @[ifu_aln_ctl.scala 134:33] + reg [30:0] f1pc; // @[lib.scala 374:16] + wire _T_332 = _T_336 & _T_356; // @[ifu_aln_ctl.scala 251:50] + wire fetch_to_f0 = _T_332 & ifvalid; // @[ifu_aln_ctl.scala 251:62] + wire _T_27 = fetch_to_f0 | _T_337; // @[ifu_aln_ctl.scala 135:33] + wire _T_28 = _T_27 | _T_352; // @[ifu_aln_ctl.scala 135:47] + wire _T_29 = _T_28 | shift_2B; // @[ifu_aln_ctl.scala 135:61] + reg [30:0] f0pc; // @[lib.scala 374:16] + wire _T_35 = wrptr == 2'h2; // @[ifu_aln_ctl.scala 139:21] + wire _T_36 = _T_35 & ifvalid; // @[ifu_aln_ctl.scala 139:29] + wire _T_37 = wrptr == 2'h1; // @[ifu_aln_ctl.scala 139:46] + wire _T_38 = _T_37 & ifvalid; // @[ifu_aln_ctl.scala 139:54] + wire _T_39 = wrptr == 2'h0; // @[ifu_aln_ctl.scala 139:71] + wire _T_40 = _T_39 & ifvalid; // @[ifu_aln_ctl.scala 139:79] + wire [2:0] qwen = {_T_36,_T_38,_T_40}; // @[Cat.scala 29:58] + reg [11:0] brdata2; // @[lib.scala 374:16] + reg [11:0] brdata1; // @[lib.scala 374:16] + reg [11:0] brdata0; // @[lib.scala 374:16] + reg [54:0] misc2; // @[lib.scala 374:16] + reg [54:0] misc1; // @[lib.scala 374:16] + reg [54:0] misc0; // @[lib.scala 374:16] + wire _T_44 = qren[0] & io_ifu_fb_consume1; // @[ifu_aln_ctl.scala 143:34] + wire _T_46 = _T_44 & _T_1; // @[ifu_aln_ctl.scala 143:55] + wire _T_49 = qren[1] & io_ifu_fb_consume1; // @[ifu_aln_ctl.scala 144:14] + wire _T_51 = _T_49 & _T_1; // @[ifu_aln_ctl.scala 144:35] + wire _T_59 = qren[0] & io_ifu_fb_consume2; // @[ifu_aln_ctl.scala 146:14] + wire _T_61 = _T_59 & _T_1; // @[ifu_aln_ctl.scala 146:35] + wire _T_69 = qren[2] & io_ifu_fb_consume2; // @[ifu_aln_ctl.scala 148:14] + wire _T_71 = _T_69 & _T_1; // @[ifu_aln_ctl.scala 148:35] + wire _T_73 = ~io_ifu_fb_consume1; // @[ifu_aln_ctl.scala 149:6] + wire _T_74 = ~io_ifu_fb_consume2; // @[ifu_aln_ctl.scala 149:28] + wire _T_75 = _T_73 & _T_74; // @[ifu_aln_ctl.scala 149:26] + wire _T_77 = _T_75 & _T_1; // @[ifu_aln_ctl.scala 149:48] + wire [1:0] _T_80 = _T_51 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_82 = _T_61 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_85 = _T_77 ? rdptr : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_2 = {{1'd0}, _T_46}; // @[Mux.scala 27:72] + wire [1:0] _T_86 = _GEN_2 | _T_80; // @[Mux.scala 27:72] + wire [1:0] _T_88 = _T_86 | _T_82; // @[Mux.scala 27:72] + wire [1:0] _GEN_3 = {{1'd0}, _T_71}; // @[Mux.scala 27:72] + wire [1:0] _T_90 = _T_88 | _GEN_3; // @[Mux.scala 27:72] + wire _T_95 = qwen[0] & _T_1; // @[ifu_aln_ctl.scala 152:34] + wire _T_99 = qwen[1] & _T_1; // @[ifu_aln_ctl.scala 153:14] + wire _T_105 = ~ifvalid; // @[ifu_aln_ctl.scala 155:6] + wire _T_107 = _T_105 & _T_1; // @[ifu_aln_ctl.scala 155:15] + wire [1:0] _T_110 = _T_99 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_112 = _T_107 ? wrptr : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_4 = {{1'd0}, _T_95}; // @[Mux.scala 27:72] + wire [1:0] _T_113 = _GEN_4 | _T_110; // @[Mux.scala 27:72] + wire _T_118 = ~qwen[2]; // @[ifu_aln_ctl.scala 157:26] + wire _T_120 = _T_118 & _T_188; // @[ifu_aln_ctl.scala 157:35] + wire _T_795 = shift_2B & f0val[0]; // @[Mux.scala 27:72] + wire _T_796 = shift_4B & _T_802; // @[Mux.scala 27:72] + wire f0_shift_2B = _T_795 | _T_796; // @[Mux.scala 27:72] + wire _T_122 = q2off | f0_shift_2B; // @[ifu_aln_ctl.scala 157:74] + wire _T_126 = _T_118 & _T_187; // @[ifu_aln_ctl.scala 158:15] + wire _T_128 = q2off | f1_shift_2B; // @[ifu_aln_ctl.scala 158:54] + wire _T_132 = _T_118 & _T_186; // @[ifu_aln_ctl.scala 159:15] + wire _T_134 = _T_120 & _T_122; // @[Mux.scala 27:72] + wire _T_135 = _T_126 & _T_128; // @[Mux.scala 27:72] + wire _T_136 = _T_132 & q2off; // @[Mux.scala 27:72] + wire _T_137 = _T_134 | _T_135; // @[Mux.scala 27:72] + wire _T_141 = ~qwen[1]; // @[ifu_aln_ctl.scala 161:26] + wire _T_143 = _T_141 & _T_187; // @[ifu_aln_ctl.scala 161:35] + wire _T_145 = q1off | f0_shift_2B; // @[ifu_aln_ctl.scala 161:74] + wire _T_149 = _T_141 & _T_186; // @[ifu_aln_ctl.scala 162:15] + wire _T_151 = q1off | f1_shift_2B; // @[ifu_aln_ctl.scala 162:54] + wire _T_155 = _T_141 & _T_188; // @[ifu_aln_ctl.scala 163:15] + wire _T_157 = _T_143 & _T_145; // @[Mux.scala 27:72] + wire _T_158 = _T_149 & _T_151; // @[Mux.scala 27:72] + wire _T_159 = _T_155 & q1off; // @[Mux.scala 27:72] + wire _T_160 = _T_157 | _T_158; // @[Mux.scala 27:72] + wire _T_164 = ~qwen[0]; // @[ifu_aln_ctl.scala 165:26] + wire _T_166 = _T_164 & _T_186; // @[ifu_aln_ctl.scala 165:35] + wire _T_168 = q0off | f0_shift_2B; // @[ifu_aln_ctl.scala 165:76] + wire _T_172 = _T_164 & _T_188; // @[ifu_aln_ctl.scala 166:35] + wire _T_174 = q0off | f1_shift_2B; // @[ifu_aln_ctl.scala 166:76] + wire _T_178 = _T_164 & _T_187; // @[ifu_aln_ctl.scala 167:35] + wire _T_180 = _T_166 & _T_168; // @[Mux.scala 27:72] + wire _T_181 = _T_172 & _T_174; // @[Mux.scala 27:72] + wire _T_182 = _T_178 & q0off; // @[Mux.scala 27:72] + wire _T_183 = _T_180 | _T_181; // @[Mux.scala 27:72] + wire [50:0] _T_205 = {io_ifu_bp_btb_target_f,io_ifu_bp_poffset_f,io_ifu_bp_fghr_f}; // @[Cat.scala 29:58] + wire [3:0] _T_207 = {io_iccm_rd_ecc_double_err,io_ic_access_fault_f,io_ic_access_fault_type_f}; // @[Cat.scala 29:58] + wire [109:0] _T_211 = {misc1,misc0}; // @[Cat.scala 29:58] + wire [109:0] _T_214 = {misc2,misc1}; // @[Cat.scala 29:58] + wire [109:0] _T_217 = {misc0,misc2}; // @[Cat.scala 29:58] + wire [109:0] _T_218 = qren[0] ? _T_211 : 110'h0; // @[Mux.scala 27:72] + wire [109:0] _T_219 = qren[1] ? _T_214 : 110'h0; // @[Mux.scala 27:72] + wire [109:0] _T_220 = qren[2] ? _T_217 : 110'h0; // @[Mux.scala 27:72] + wire [109:0] _T_221 = _T_218 | _T_219; // @[Mux.scala 27:72] + wire [109:0] misceff = _T_221 | _T_220; // @[Mux.scala 27:72] + wire [54:0] misc1eff = misceff[109:55]; // @[ifu_aln_ctl.scala 186:25] + wire [54:0] misc0eff = misceff[54:0]; // @[ifu_aln_ctl.scala 187:25] + wire f1dbecc = misc1eff[54]; // @[ifu_aln_ctl.scala 190:25] + wire f1icaf = misc1eff[53]; // @[ifu_aln_ctl.scala 191:21] + wire [1:0] f1ictype = misc1eff[52:51]; // @[ifu_aln_ctl.scala 192:26] + wire [30:0] f1prett = misc1eff[50:20]; // @[ifu_aln_ctl.scala 193:25] + wire [11:0] f1poffset = misc1eff[19:8]; // @[ifu_aln_ctl.scala 194:27] + wire [7:0] f1fghr = misc1eff[7:0]; // @[ifu_aln_ctl.scala 195:24] + wire f0dbecc = misc0eff[54]; // @[ifu_aln_ctl.scala 197:25] + wire f0icaf = misc0eff[53]; // @[ifu_aln_ctl.scala 198:21] + wire [1:0] f0ictype = misc0eff[52:51]; // @[ifu_aln_ctl.scala 199:26] + wire [30:0] f0prett = misc0eff[50:20]; // @[ifu_aln_ctl.scala 200:25] + wire [11:0] f0poffset = misc0eff[19:8]; // @[ifu_aln_ctl.scala 201:27] + wire [7:0] f0fghr = misc0eff[7:0]; // @[ifu_aln_ctl.scala 202:24] + wire [5:0] _T_241 = {io_ifu_bp_hist1_f[0],io_ifu_bp_hist0_f[0],io_ifu_bp_pc4_f[0],io_ifu_bp_way_f[0],io_ifu_bp_valid_f[0],io_ifu_bp_ret_f[0]}; // @[Cat.scala 29:58] + wire [5:0] _T_246 = {io_ifu_bp_hist1_f[1],io_ifu_bp_hist0_f[1],io_ifu_bp_pc4_f[1],io_ifu_bp_way_f[1],io_ifu_bp_valid_f[1],io_ifu_bp_ret_f[1]}; // @[Cat.scala 29:58] + wire [23:0] _T_250 = {brdata1,brdata0}; // @[Cat.scala 29:58] + wire [23:0] _T_253 = {brdata2,brdata1}; // @[Cat.scala 29:58] + wire [23:0] _T_256 = {brdata0,brdata2}; // @[Cat.scala 29:58] + wire [23:0] _T_257 = qren[0] ? _T_250 : 24'h0; // @[Mux.scala 27:72] + wire [23:0] _T_258 = qren[1] ? _T_253 : 24'h0; // @[Mux.scala 27:72] + wire [23:0] _T_259 = qren[2] ? _T_256 : 24'h0; // @[Mux.scala 27:72] + wire [23:0] _T_260 = _T_257 | _T_258; // @[Mux.scala 27:72] + wire [23:0] brdataeff = _T_260 | _T_259; // @[Mux.scala 27:72] + wire [11:0] brdata0eff = brdataeff[11:0]; // @[ifu_aln_ctl.scala 213:43] + wire [11:0] brdata1eff = brdataeff[23:12]; // @[ifu_aln_ctl.scala 213:61] + wire [11:0] _T_267 = q0sel[0] ? brdata0eff : 12'h0; // @[Mux.scala 27:72] + wire [5:0] _T_268 = q0sel[1] ? brdata0eff[11:6] : 6'h0; // @[Mux.scala 27:72] + wire [11:0] _GEN_5 = {{6'd0}, _T_268}; // @[Mux.scala 27:72] + wire [11:0] brdata0final = _T_267 | _GEN_5; // @[Mux.scala 27:72] + wire [11:0] _T_275 = q1sel[0] ? brdata1eff : 12'h0; // @[Mux.scala 27:72] + wire [5:0] _T_276 = q1sel[1] ? brdata1eff[11:6] : 6'h0; // @[Mux.scala 27:72] + wire [11:0] _GEN_6 = {{6'd0}, _T_276}; // @[Mux.scala 27:72] + wire [11:0] brdata1final = _T_275 | _GEN_6; // @[Mux.scala 27:72] + wire [1:0] f0ret = {brdata0final[6],brdata0final[0]}; // @[Cat.scala 29:58] + wire [1:0] f0brend = {brdata0final[7],brdata0final[1]}; // @[Cat.scala 29:58] + wire [1:0] f0way = {brdata0final[8],brdata0final[2]}; // @[Cat.scala 29:58] + wire [1:0] f0pc4 = {brdata0final[9],brdata0final[3]}; // @[Cat.scala 29:58] + wire [1:0] f0hist0 = {brdata0final[10],brdata0final[4]}; // @[Cat.scala 29:58] + wire [1:0] f0hist1 = {brdata0final[11],brdata0final[5]}; // @[Cat.scala 29:58] + wire [1:0] f1ret = {brdata1final[6],brdata1final[0]}; // @[Cat.scala 29:58] + wire [1:0] f1brend = {brdata1final[7],brdata1final[1]}; // @[Cat.scala 29:58] + wire [1:0] f1way = {brdata1final[8],brdata1final[2]}; // @[Cat.scala 29:58] + wire [1:0] f1pc4 = {brdata1final[9],brdata1final[3]}; // @[Cat.scala 29:58] + wire [1:0] f1hist0 = {brdata1final[10],brdata1final[4]}; // @[Cat.scala 29:58] + wire [1:0] f1hist1 = {brdata1final[11],brdata1final[5]}; // @[Cat.scala 29:58] + wire consume_fb0 = _T_351 & f0val[0]; // @[ifu_aln_ctl.scala 237:32] + wire consume_fb1 = _T_335 & f1val[0]; // @[ifu_aln_ctl.scala 238:32] + wire _T_311 = ~consume_fb1; // @[ifu_aln_ctl.scala 241:39] + wire _T_312 = consume_fb0 & _T_311; // @[ifu_aln_ctl.scala 241:37] + wire _T_315 = consume_fb0 & consume_fb1; // @[ifu_aln_ctl.scala 242:37] + wire [30:0] f0pc_plus1 = f0pc + 31'h1; // @[ifu_aln_ctl.scala 259:25] + wire [30:0] f1pc_plus1 = f1pc + 31'h1; // @[ifu_aln_ctl.scala 261:25] + wire [30:0] _T_363 = f1_shift_2B ? 31'h7fffffff : 31'h0; // @[Bitwise.scala 72:12] + wire [30:0] _T_364 = _T_363 & f1pc_plus1; // @[ifu_aln_ctl.scala 263:38] + wire [30:0] _T_367 = _T_416 ? 31'h7fffffff : 31'h0; // @[Bitwise.scala 72:12] + wire [30:0] _T_368 = _T_367 & f1pc; // @[ifu_aln_ctl.scala 263:78] + wire [30:0] sf1pc = _T_364 | _T_368; // @[ifu_aln_ctl.scala 263:52] + wire _T_371 = ~fetch_to_f1; // @[ifu_aln_ctl.scala 267:6] + wire _T_372 = ~_T_353; // @[ifu_aln_ctl.scala 267:21] + wire _T_373 = _T_371 & _T_372; // @[ifu_aln_ctl.scala 267:19] + wire [30:0] _T_375 = fetch_to_f1 ? io_ifu_fetch_pc : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_376 = _T_353 ? f2pc : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_377 = _T_373 ? sf1pc : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_378 = _T_375 | _T_376; // @[Mux.scala 27:72] + wire _T_384 = ~fetch_to_f0; // @[ifu_aln_ctl.scala 272:24] + wire _T_385 = ~_T_337; // @[ifu_aln_ctl.scala 272:39] + wire _T_386 = _T_384 & _T_385; // @[ifu_aln_ctl.scala 272:37] + wire _T_387 = ~_T_352; // @[ifu_aln_ctl.scala 272:54] + wire _T_388 = _T_386 & _T_387; // @[ifu_aln_ctl.scala 272:52] + wire [30:0] _T_390 = fetch_to_f0 ? io_ifu_fetch_pc : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_391 = _T_337 ? f2pc : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_392 = _T_352 ? sf1pc : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_393 = _T_388 ? f0pc_plus1 : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_394 = _T_390 | _T_391; // @[Mux.scala 27:72] + wire [30:0] _T_395 = _T_394 | _T_392; // @[Mux.scala 27:72] + wire _T_399 = fetch_to_f2 & _T_1; // @[ifu_aln_ctl.scala 274:38] + wire _T_401 = ~fetch_to_f2; // @[ifu_aln_ctl.scala 275:25] + wire _T_403 = _T_401 & _T_372; // @[ifu_aln_ctl.scala 275:38] + wire _T_405 = _T_403 & _T_385; // @[ifu_aln_ctl.scala 275:53] + wire _T_407 = _T_405 & _T_1; // @[ifu_aln_ctl.scala 275:68] + wire [1:0] _T_409 = _T_399 ? io_ifu_fetch_val : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_410 = _T_407 ? f2val : 2'h0; // @[Mux.scala 27:72] + wire _T_422 = fetch_to_f1 & _T_1; // @[ifu_aln_ctl.scala 279:39] + wire _T_425 = _T_353 & _T_1; // @[ifu_aln_ctl.scala 280:54] + wire _T_431 = _T_373 & _T_387; // @[ifu_aln_ctl.scala 281:54] + wire _T_433 = _T_431 & _T_1; // @[ifu_aln_ctl.scala 281:69] + wire [1:0] _T_435 = _T_422 ? io_ifu_fetch_val : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_436 = _T_425 ? f2val : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_437 = _T_433 ? sf1val : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_438 = _T_435 | _T_436; // @[Mux.scala 27:72] + wire _T_453 = fetch_to_f0 & _T_1; // @[ifu_aln_ctl.scala 286:38] + wire _T_456 = _T_337 & _T_1; // @[ifu_aln_ctl.scala 287:54] + wire _T_459 = _T_352 & _T_1; // @[ifu_aln_ctl.scala 288:69] + wire _T_467 = _T_388 & _T_1; // @[ifu_aln_ctl.scala 289:69] + wire [1:0] _T_469 = _T_453 ? io_ifu_fetch_val : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_470 = _T_456 ? f2val : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_471 = _T_459 ? sf1val : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_472 = _T_467 ? sf0val : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_473 = _T_469 | _T_470; // @[Mux.scala 27:72] + wire [1:0] _T_474 = _T_473 | _T_471; // @[Mux.scala 27:72] + wire [1:0] _T_530 = {f1val[0],1'h1}; // @[Cat.scala 29:58] + wire [1:0] _T_531 = f0val[1] ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_532 = _T_515 ? _T_530 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] alignval = _T_531 | _T_532; // @[Mux.scala 27:72] + wire [1:0] _T_542 = {f1icaf,f0icaf}; // @[Cat.scala 29:58] + wire _T_543 = f0val[1] & f0icaf; // @[Mux.scala 27:72] + wire [1:0] _T_544 = _T_515 ? _T_542 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_7 = {{1'd0}, _T_543}; // @[Mux.scala 27:72] + wire [1:0] alignicaf = _GEN_7 | _T_544; // @[Mux.scala 27:72] + wire [1:0] _T_549 = f0dbecc ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_555 = {f1dbecc,f0dbecc}; // @[Cat.scala 29:58] + wire [1:0] _T_556 = f0val[1] ? _T_549 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_557 = _T_515 ? _T_555 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] aligndbecc = _T_556 | _T_557; // @[Mux.scala 27:72] + wire [1:0] _T_568 = {f1brend[0],f0brend[0]}; // @[Cat.scala 29:58] + wire [1:0] _T_569 = f0val[1] ? f0brend : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_570 = _T_515 ? _T_568 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] alignbrend = _T_569 | _T_570; // @[Mux.scala 27:72] + wire [1:0] _T_581 = {f1pc4[0],f0pc4[0]}; // @[Cat.scala 29:58] + wire [1:0] _T_582 = f0val[1] ? f0pc4 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_583 = _T_515 ? _T_581 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] alignpc4 = _T_582 | _T_583; // @[Mux.scala 27:72] + wire [1:0] _T_594 = {f1ret[0],f0ret[0]}; // @[Cat.scala 29:58] + wire [1:0] _T_595 = f0val[1] ? f0ret : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_596 = _T_515 ? _T_594 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] alignret = _T_595 | _T_596; // @[Mux.scala 27:72] + wire [1:0] _T_607 = {f1way[0],f0way[0]}; // @[Cat.scala 29:58] + wire [1:0] _T_608 = f0val[1] ? f0way : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_609 = _T_515 ? _T_607 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] alignway = _T_608 | _T_609; // @[Mux.scala 27:72] + wire [1:0] _T_620 = {f1hist1[0],f0hist1[0]}; // @[Cat.scala 29:58] + wire [1:0] _T_621 = f0val[1] ? f0hist1 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_622 = _T_515 ? _T_620 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] alignhist1 = _T_621 | _T_622; // @[Mux.scala 27:72] + wire [1:0] _T_633 = {f1hist0[0],f0hist0[0]}; // @[Cat.scala 29:58] + wire [1:0] _T_634 = f0val[1] ? f0hist0 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_635 = _T_515 ? _T_633 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] alignhist0 = _T_634 | _T_635; // @[Mux.scala 27:72] + wire [30:0] _T_647 = f0val[1] ? f0pc_plus1 : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_648 = _T_515 ? f1pc : 31'h0; // @[Mux.scala 27:72] + wire [30:0] secondpc = _T_647 | _T_648; // @[Mux.scala 27:72] + wire _T_657 = first4B & alignval[1]; // @[Mux.scala 27:72] + wire _T_658 = first2B & alignval[0]; // @[Mux.scala 27:72] + wire _T_662 = |alignicaf; // @[ifu_aln_ctl.scala 340:74] + wire _T_665 = first4B & _T_662; // @[Mux.scala 27:72] + wire _T_666 = first2B & alignicaf[0]; // @[Mux.scala 27:72] + wire _T_671 = first4B & _T_513; // @[ifu_aln_ctl.scala 342:54] + wire _T_673 = _T_671 & f0val[0]; // @[ifu_aln_ctl.scala 342:66] + wire _T_675 = ~alignicaf[0]; // @[ifu_aln_ctl.scala 342:79] + wire _T_676 = _T_673 & _T_675; // @[ifu_aln_ctl.scala 342:77] + wire _T_678 = ~aligndbecc[0]; // @[ifu_aln_ctl.scala 342:95] + wire _T_679 = _T_676 & _T_678; // @[ifu_aln_ctl.scala 342:93] + wire icaf_eff = alignicaf[1] | aligndbecc[1]; // @[ifu_aln_ctl.scala 344:31] + wire _T_684 = first4B & icaf_eff; // @[ifu_aln_ctl.scala 346:47] + wire _T_687 = |aligndbecc; // @[ifu_aln_ctl.scala 348:74] + wire _T_690 = first4B & _T_687; // @[Mux.scala 27:72] + wire _T_691 = first2B & aligndbecc[0]; // @[Mux.scala 27:72] + wire [31:0] _T_696 = first4B ? aligndata : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_697 = first2B ? decompressed_io_dout : 32'h0; // @[Mux.scala 27:72] + wire [7:0] _T_702 = f0pc[8:1] ^ f0pc[16:9]; // @[lib.scala 51:47] + wire [7:0] firstpc_hash = _T_702 ^ f0pc[24:17]; // @[lib.scala 51:85] + wire [7:0] _T_706 = secondpc[8:1] ^ secondpc[16:9]; // @[lib.scala 51:47] + wire [7:0] secondpc_hash = _T_706 ^ secondpc[24:17]; // @[lib.scala 51:85] + wire [4:0] _T_712 = f0pc[13:9] ^ f0pc[18:14]; // @[lib.scala 42:111] + wire [4:0] firstbrtag_hash = _T_712 ^ f0pc[23:19]; // @[lib.scala 42:111] + wire [4:0] _T_717 = secondpc[13:9] ^ secondpc[18:14]; // @[lib.scala 42:111] + wire [4:0] secondbrtag_hash = _T_717 ^ secondpc[23:19]; // @[lib.scala 42:111] + wire _T_719 = first2B & alignbrend[0]; // @[ifu_aln_ctl.scala 365:45] + wire _T_721 = first4B & alignbrend[1]; // @[ifu_aln_ctl.scala 365:73] + wire _T_722 = _T_719 | _T_721; // @[ifu_aln_ctl.scala 365:62] + wire _T_726 = _T_657 & alignbrend[0]; // @[ifu_aln_ctl.scala 365:115] + wire _T_729 = first2B & alignret[0]; // @[ifu_aln_ctl.scala 367:49] + wire _T_731 = first4B & alignret[1]; // @[ifu_aln_ctl.scala 367:75] + wire _T_734 = first2B & alignpc4[0]; // @[ifu_aln_ctl.scala 369:29] + wire _T_736 = first4B & alignpc4[1]; // @[ifu_aln_ctl.scala 369:55] + wire i0_brp_pc4 = _T_734 | _T_736; // @[ifu_aln_ctl.scala 369:44] + wire _T_738 = first2B | alignbrend[0]; // @[ifu_aln_ctl.scala 371:53] + wire _T_744 = first2B & alignhist1[0]; // @[ifu_aln_ctl.scala 373:54] + wire _T_746 = first4B & alignhist1[1]; // @[ifu_aln_ctl.scala 373:82] + wire _T_747 = _T_744 | _T_746; // @[ifu_aln_ctl.scala 373:71] + wire _T_749 = first2B & alignhist0[0]; // @[ifu_aln_ctl.scala 374:14] + wire _T_751 = first4B & alignhist0[1]; // @[ifu_aln_ctl.scala 374:42] + wire _T_752 = _T_749 | _T_751; // @[ifu_aln_ctl.scala 374:31] + wire i0_ends_f1 = first4B & _T_515; // @[ifu_aln_ctl.scala 376:28] + wire _T_768 = io_dec_aln_aln_ib_i0_brp_valid & i0_brp_pc4; // @[ifu_aln_ctl.scala 385:77] + wire _T_769 = _T_768 & first2B; // @[ifu_aln_ctl.scala 385:91] + wire _T_770 = ~i0_brp_pc4; // @[ifu_aln_ctl.scala 385:139] + wire _T_771 = io_dec_aln_aln_ib_i0_brp_valid & _T_770; // @[ifu_aln_ctl.scala 385:137] + wire _T_772 = _T_771 & first4B; // @[ifu_aln_ctl.scala 385:151] + rvclkhdr rvclkhdr ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + rvclkhdr rvclkhdr_4 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_4_io_l1clk), + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en), + .io_scan_mode(rvclkhdr_4_io_scan_mode) + ); + rvclkhdr rvclkhdr_5 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_5_io_l1clk), + .io_clk(rvclkhdr_5_io_clk), + .io_en(rvclkhdr_5_io_en), + .io_scan_mode(rvclkhdr_5_io_scan_mode) + ); + rvclkhdr rvclkhdr_6 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_6_io_l1clk), + .io_clk(rvclkhdr_6_io_clk), + .io_en(rvclkhdr_6_io_en), + .io_scan_mode(rvclkhdr_6_io_scan_mode) + ); + rvclkhdr rvclkhdr_7 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_7_io_l1clk), + .io_clk(rvclkhdr_7_io_clk), + .io_en(rvclkhdr_7_io_en), + .io_scan_mode(rvclkhdr_7_io_scan_mode) + ); + rvclkhdr rvclkhdr_8 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_8_io_l1clk), + .io_clk(rvclkhdr_8_io_clk), + .io_en(rvclkhdr_8_io_en), + .io_scan_mode(rvclkhdr_8_io_scan_mode) + ); + rvclkhdr rvclkhdr_9 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_9_io_l1clk), + .io_clk(rvclkhdr_9_io_clk), + .io_en(rvclkhdr_9_io_en), + .io_scan_mode(rvclkhdr_9_io_scan_mode) + ); + rvclkhdr rvclkhdr_10 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_10_io_l1clk), + .io_clk(rvclkhdr_10_io_clk), + .io_en(rvclkhdr_10_io_en), + .io_scan_mode(rvclkhdr_10_io_scan_mode) + ); + rvclkhdr rvclkhdr_11 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_11_io_l1clk), + .io_clk(rvclkhdr_11_io_clk), + .io_en(rvclkhdr_11_io_en), + .io_scan_mode(rvclkhdr_11_io_scan_mode) + ); + ifu_compress_ctl decompressed ( // @[ifu_aln_ctl.scala 352:28] + .io_din(decompressed_io_din), + .io_dout(decompressed_io_dout) + ); + assign io_dec_aln_aln_dec_ifu_i0_cinst = aligndata[15:0]; // @[ifu_aln_ctl.scala 331:35] + assign io_dec_aln_aln_ib_ifu_i0_icaf = _T_665 | _T_666; // @[ifu_aln_ctl.scala 340:33] + assign io_dec_aln_aln_ib_ifu_i0_icaf_type = _T_679 ? f1ictype : f0ictype; // @[ifu_aln_ctl.scala 342:38] + assign io_dec_aln_aln_ib_ifu_i0_icaf_f1 = _T_684 & _T_515; // @[ifu_aln_ctl.scala 346:36] + assign io_dec_aln_aln_ib_ifu_i0_dbecc = _T_690 | _T_691; // @[ifu_aln_ctl.scala 348:34] + assign io_dec_aln_aln_ib_ifu_i0_bp_index = _T_738 ? firstpc_hash : secondpc_hash; // @[ifu_aln_ctl.scala 387:37] + assign io_dec_aln_aln_ib_ifu_i0_bp_fghr = i0_ends_f1 ? f1fghr : f0fghr; // @[ifu_aln_ctl.scala 389:36] + assign io_dec_aln_aln_ib_ifu_i0_bp_btag = _T_738 ? firstbrtag_hash : secondbrtag_hash; // @[ifu_aln_ctl.scala 391:36] + assign io_dec_aln_aln_ib_ifu_i0_valid = _T_657 | _T_658; // @[ifu_aln_ctl.scala 338:34] + assign io_dec_aln_aln_ib_ifu_i0_instr = _T_696 | _T_697; // @[ifu_aln_ctl.scala 354:34] + assign io_dec_aln_aln_ib_ifu_i0_pc = f0pc; // @[ifu_aln_ctl.scala 325:31] + assign io_dec_aln_aln_ib_ifu_i0_pc4 = aligndata[1:0] == 2'h3; // @[ifu_aln_ctl.scala 329:32] + assign io_dec_aln_aln_ib_i0_brp_valid = _T_722 | _T_726; // @[ifu_aln_ctl.scala 365:34] + assign io_dec_aln_aln_ib_i0_brp_bits_toffset = i0_ends_f1 ? f1poffset : f0poffset; // @[ifu_aln_ctl.scala 377:41] + assign io_dec_aln_aln_ib_i0_brp_bits_hist = {_T_747,_T_752}; // @[ifu_aln_ctl.scala 373:38] + assign io_dec_aln_aln_ib_i0_brp_bits_br_error = _T_769 | _T_772; // @[ifu_aln_ctl.scala 385:42] + assign io_dec_aln_aln_ib_i0_brp_bits_br_start_error = _T_657 & alignbrend[0]; // @[ifu_aln_ctl.scala 381:49] + assign io_dec_aln_aln_ib_i0_brp_bits_bank = _T_738 ? f0pc[0] : secondpc[0]; // @[ifu_aln_ctl.scala 383:49] + assign io_dec_aln_aln_ib_i0_brp_bits_prett = i0_ends_f1 ? f1prett : f0prett; // @[ifu_aln_ctl.scala 379:39] + assign io_dec_aln_aln_ib_i0_brp_bits_way = _T_738 ? alignway[0] : alignway[1]; // @[ifu_aln_ctl.scala 371:37] + assign io_dec_aln_aln_ib_i0_brp_bits_ret = _T_729 | _T_731; // @[ifu_aln_ctl.scala 367:37] + assign io_dec_aln_ifu_pmu_instr_aligned = io_dec_aln_aln_dec_dec_i0_decode_d & _T_785; // @[ifu_aln_ctl.scala 397:36] + assign io_ifu_fb_consume1 = _T_312 & _T_1; // @[ifu_aln_ctl.scala 241:22] + assign io_ifu_fb_consume2 = _T_315 & _T_1; // @[ifu_aln_ctl.scala 242:22] + assign rvclkhdr_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_io_en = _T_354 | _T_358; // @[lib.scala 371:17] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_1_io_en = _T_25 | f1_shift_2B; // @[lib.scala 371:17] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_2_io_en = _T_29 | shift_4B; // @[lib.scala 371:17] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_3_io_en = qwen[2]; // @[lib.scala 371:17] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_4_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_4_io_en = qwen[1]; // @[lib.scala 371:17] + assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_5_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_5_io_en = qwen[0]; // @[lib.scala 371:17] + assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_6_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_6_io_en = qwen[2]; // @[lib.scala 371:17] + assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_7_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_7_io_en = qwen[1]; // @[lib.scala 371:17] + assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_8_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_8_io_en = qwen[0]; // @[lib.scala 371:17] + assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_9_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_9_io_en = qwen[2]; // @[lib.scala 371:17] + assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_10_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_10_io_en = qwen[1]; // @[lib.scala 371:17] + assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_11_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_11_io_en = qwen[0]; // @[lib.scala 371:17] + assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign decompressed_io_din = aligndata[15:0]; // @[ifu_aln_ctl.scala 393:23] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + error_stall = _RAND_0[0:0]; + _RAND_1 = {1{`RANDOM}}; + wrptr = _RAND_1[1:0]; + _RAND_2 = {1{`RANDOM}}; + rdptr = _RAND_2[1:0]; + _RAND_3 = {1{`RANDOM}}; + f2val = _RAND_3[1:0]; + _RAND_4 = {1{`RANDOM}}; + f1val = _RAND_4[1:0]; + _RAND_5 = {1{`RANDOM}}; + f0val = _RAND_5[1:0]; + _RAND_6 = {1{`RANDOM}}; + q2off = _RAND_6[0:0]; + _RAND_7 = {1{`RANDOM}}; + q1off = _RAND_7[0:0]; + _RAND_8 = {1{`RANDOM}}; + q0off = _RAND_8[0:0]; + _RAND_9 = {1{`RANDOM}}; + q1 = _RAND_9[31:0]; + _RAND_10 = {1{`RANDOM}}; + q0 = _RAND_10[31:0]; + _RAND_11 = {1{`RANDOM}}; + q2 = _RAND_11[31:0]; + _RAND_12 = {1{`RANDOM}}; + f2pc = _RAND_12[30:0]; + _RAND_13 = {1{`RANDOM}}; + f1pc = _RAND_13[30:0]; + _RAND_14 = {1{`RANDOM}}; + f0pc = _RAND_14[30:0]; + _RAND_15 = {1{`RANDOM}}; + brdata2 = _RAND_15[11:0]; + _RAND_16 = {1{`RANDOM}}; + brdata1 = _RAND_16[11:0]; + _RAND_17 = {1{`RANDOM}}; + brdata0 = _RAND_17[11:0]; + _RAND_18 = {2{`RANDOM}}; + misc2 = _RAND_18[54:0]; + _RAND_19 = {2{`RANDOM}}; + misc1 = _RAND_19[54:0]; + _RAND_20 = {2{`RANDOM}}; + misc0 = _RAND_20[54:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + error_stall = 1'h0; + end + if (reset) begin + wrptr = 2'h0; + end + if (reset) begin + rdptr = 2'h0; + end + if (reset) begin + f2val = 2'h0; + end + if (reset) begin + f1val = 2'h0; + end + if (reset) begin + f0val = 2'h0; + end + if (reset) begin + q2off = 1'h0; + end + if (reset) begin + q1off = 1'h0; + end + if (reset) begin + q0off = 1'h0; + end + if (reset) begin + q1 = 32'h0; + end + if (reset) begin + q0 = 32'h0; + end + if (reset) begin + q2 = 32'h0; + end + if (reset) begin + f2pc = 31'h0; + end + if (reset) begin + f1pc = 31'h0; + end + if (reset) begin + f0pc = 31'h0; + end + if (reset) begin + brdata2 = 12'h0; + end + if (reset) begin + brdata1 = 12'h0; + end + if (reset) begin + brdata0 = 12'h0; + end + if (reset) begin + misc2 = 55'h0; + end + if (reset) begin + misc1 = 55'h0; + end + if (reset) begin + misc0 = 55'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + error_stall <= 1'h0; + end else begin + error_stall <= _T & _T_1; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + wrptr <= 2'h0; + end else begin + wrptr <= _T_113 | _T_112; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + rdptr <= 2'h0; + end else begin + rdptr <= _T_90 | _T_85; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + f2val <= 2'h0; + end else begin + f2val <= _T_409 | _T_410; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + f1val <= 2'h0; + end else begin + f1val <= _T_438 | _T_437; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + f0val <= 2'h0; + end else begin + f0val <= _T_474 | _T_472; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + q2off <= 1'h0; + end else begin + q2off <= _T_137 | _T_136; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + q1off <= 1'h0; + end else begin + q1off <= _T_160 | _T_159; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + q0off <= 1'h0; + end else begin + q0off <= _T_183 | _T_182; + end + end + always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin + if (reset) begin + q1 <= 32'h0; + end else begin + q1 <= io_ifu_fetch_data_f; + end + end + always @(posedge rvclkhdr_11_io_l1clk or posedge reset) begin + if (reset) begin + q0 <= 32'h0; + end else begin + q0 <= io_ifu_fetch_data_f; + end + end + always @(posedge rvclkhdr_9_io_l1clk or posedge reset) begin + if (reset) begin + q2 <= 32'h0; + end else begin + q2 <= io_ifu_fetch_data_f; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + f2pc <= 31'h0; + end else begin + f2pc <= io_ifu_fetch_pc; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + f1pc <= 31'h0; + end else begin + f1pc <= _T_378 | _T_377; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + f0pc <= 31'h0; + end else begin + f0pc <= _T_395 | _T_393; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + brdata2 <= 12'h0; + end else begin + brdata2 <= {_T_246,_T_241}; + end + end + always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + if (reset) begin + brdata1 <= 12'h0; + end else begin + brdata1 <= {_T_246,_T_241}; + end + end + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + if (reset) begin + brdata0 <= 12'h0; + end else begin + brdata0 <= {_T_246,_T_241}; + end + end + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + if (reset) begin + misc2 <= 55'h0; + end else begin + misc2 <= {_T_207,_T_205}; + end + end + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + if (reset) begin + misc1 <= 55'h0; + end else begin + misc1 <= {_T_207,_T_205}; + end + end + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin + if (reset) begin + misc0 <= 55'h0; + end else begin + misc0 <= {_T_207,_T_205}; + end + end +endmodule +module ifu_ifc_ctl( + input clock, + input reset, + input io_exu_flush_final, + input [30:0] io_exu_flush_path_final, + input io_free_clk, + input io_active_clk, + input io_scan_mode, + input io_ic_hit_f, + input io_ifu_ic_mb_empty, + input io_ifu_fb_consume1, + input io_ifu_fb_consume2, + input io_ifu_bp_hit_taken_f, + input [30:0] io_ifu_bp_btb_target_f, + input io_ic_dma_active, + input io_ic_write_stall, + input io_dec_ifc_dec_tlu_flush_noredir_wb, + input [31:0] io_dec_ifc_dec_tlu_mrac_ff, + output io_dec_ifc_ifu_pmu_fetch_stall, + input io_dma_ifc_dma_iccm_stall_any, + output [30:0] io_ifc_fetch_addr_f, + output [30:0] io_ifc_fetch_addr_bf, + output io_ifc_fetch_req_f, + output io_ifc_fetch_uncacheable_bf, + output io_ifc_fetch_req_bf, + output io_ifc_fetch_req_bf_raw, + output io_ifc_iccm_access_bf, + output io_ifc_region_acc_fault_bf, + output io_ifc_dma_access_ok +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_io_en; // @[lib.scala 368:23] + wire rvclkhdr_io_scan_mode; // @[lib.scala 368:23] + reg dma_iccm_stall_any_f; // @[ifu_ifc_ctl.scala 63:58] + wire dma_stall = io_ic_dma_active | dma_iccm_stall_any_f; // @[ifu_ifc_ctl.scala 62:36] + reg miss_a; // @[ifu_ifc_ctl.scala 65:44] + wire _T_2 = ~io_exu_flush_final; // @[ifu_ifc_ctl.scala 67:26] + wire _T_3 = ~io_ifc_fetch_req_f; // @[ifu_ifc_ctl.scala 67:49] + wire _T_4 = ~io_ic_hit_f; // @[ifu_ifc_ctl.scala 67:71] + wire _T_5 = _T_3 | _T_4; // @[ifu_ifc_ctl.scala 67:69] + wire sel_last_addr_bf = _T_2 & _T_5; // @[ifu_ifc_ctl.scala 67:46] + wire _T_7 = _T_2 & io_ifc_fetch_req_f; // @[ifu_ifc_ctl.scala 68:46] + wire _T_8 = _T_7 & io_ifu_bp_hit_taken_f; // @[ifu_ifc_ctl.scala 68:67] + wire sel_btb_addr_bf = _T_8 & io_ic_hit_f; // @[ifu_ifc_ctl.scala 68:92] + wire _T_11 = ~io_ifu_bp_hit_taken_f; // @[ifu_ifc_ctl.scala 69:69] + wire _T_12 = _T_7 & _T_11; // @[ifu_ifc_ctl.scala 69:67] + wire sel_next_addr_bf = _T_12 & io_ic_hit_f; // @[ifu_ifc_ctl.scala 69:92] + wire [30:0] _T_17 = io_exu_flush_final ? io_exu_flush_path_final : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_18 = sel_last_addr_bf ? io_ifc_fetch_addr_f : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_19 = sel_btb_addr_bf ? io_ifu_bp_btb_target_f : 31'h0; // @[Mux.scala 27:72] + wire [29:0] address_upper = io_ifc_fetch_addr_f[30:1] + 30'h1; // @[ifu_ifc_ctl.scala 78:48] + wire _T_29 = address_upper[4] ^ io_ifc_fetch_addr_f[5]; // @[ifu_ifc_ctl.scala 79:63] + wire _T_30 = ~_T_29; // @[ifu_ifc_ctl.scala 79:24] + wire fetch_addr_next_0 = _T_30 & io_ifc_fetch_addr_f[0]; // @[ifu_ifc_ctl.scala 79:109] + wire [30:0] fetch_addr_next = {address_upper,fetch_addr_next_0}; // @[Cat.scala 29:58] + wire [30:0] _T_20 = sel_next_addr_bf ? fetch_addr_next : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_21 = _T_17 | _T_18; // @[Mux.scala 27:72] + wire [30:0] _T_22 = _T_21 | _T_19; // @[Mux.scala 27:72] + reg [1:0] state; // @[ifu_ifc_ctl.scala 104:45] + wire idle = state == 2'h0; // @[ifu_ifc_ctl.scala 123:17] + wire _T_35 = io_ifu_fb_consume2 | io_ifu_fb_consume1; // @[ifu_ifc_ctl.scala 86:91] + wire _T_36 = ~_T_35; // @[ifu_ifc_ctl.scala 86:70] + wire [3:0] _T_121 = io_exu_flush_final ? 4'h1 : 4'h0; // @[Mux.scala 27:72] + wire _T_81 = ~io_ifu_fb_consume2; // @[ifu_ifc_ctl.scala 109:38] + wire _T_82 = io_ifu_fb_consume1 & _T_81; // @[ifu_ifc_ctl.scala 109:36] + wire _T_48 = io_ifc_fetch_req_f & _T_4; // @[ifu_ifc_ctl.scala 91:32] + wire miss_f = _T_48 & _T_2; // @[ifu_ifc_ctl.scala 91:47] + wire _T_84 = _T_3 | miss_f; // @[ifu_ifc_ctl.scala 109:81] + wire _T_85 = _T_82 & _T_84; // @[ifu_ifc_ctl.scala 109:58] + wire _T_86 = io_ifu_fb_consume2 & io_ifc_fetch_req_f; // @[ifu_ifc_ctl.scala 110:25] + wire fb_right = _T_85 | _T_86; // @[ifu_ifc_ctl.scala 109:92] + wire _T_98 = _T_2 & fb_right; // @[ifu_ifc_ctl.scala 117:16] + reg [3:0] fb_write_f; // @[ifu_ifc_ctl.scala 128:50] + wire [3:0] _T_101 = {1'h0,fb_write_f[3:1]}; // @[Cat.scala 29:58] + wire [3:0] _T_122 = _T_98 ? _T_101 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_126 = _T_121 | _T_122; // @[Mux.scala 27:72] + wire fb_right2 = io_ifu_fb_consume2 & _T_84; // @[ifu_ifc_ctl.scala 112:36] + wire _T_103 = _T_2 & fb_right2; // @[ifu_ifc_ctl.scala 118:16] + wire [3:0] _T_106 = {2'h0,fb_write_f[3:2]}; // @[Cat.scala 29:58] + wire [3:0] _T_123 = _T_103 ? _T_106 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_127 = _T_126 | _T_123; // @[Mux.scala 27:72] + wire _T_91 = io_ifu_fb_consume1 | io_ifu_fb_consume2; // @[ifu_ifc_ctl.scala 113:56] + wire _T_92 = ~_T_91; // @[ifu_ifc_ctl.scala 113:35] + wire _T_93 = io_ifc_fetch_req_f & _T_92; // @[ifu_ifc_ctl.scala 113:33] + wire _T_94 = ~miss_f; // @[ifu_ifc_ctl.scala 113:80] + wire fb_left = _T_93 & _T_94; // @[ifu_ifc_ctl.scala 113:78] + wire _T_108 = _T_2 & fb_left; // @[ifu_ifc_ctl.scala 119:16] + wire [3:0] _T_111 = {fb_write_f[2:0],1'h0}; // @[Cat.scala 29:58] + wire [3:0] _T_124 = _T_108 ? _T_111 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_128 = _T_127 | _T_124; // @[Mux.scala 27:72] + wire _T_113 = ~fb_right; // @[ifu_ifc_ctl.scala 120:18] + wire _T_114 = _T_2 & _T_113; // @[ifu_ifc_ctl.scala 120:16] + wire _T_115 = ~fb_right2; // @[ifu_ifc_ctl.scala 120:30] + wire _T_116 = _T_114 & _T_115; // @[ifu_ifc_ctl.scala 120:28] + wire _T_117 = ~fb_left; // @[ifu_ifc_ctl.scala 120:43] + wire _T_118 = _T_116 & _T_117; // @[ifu_ifc_ctl.scala 120:41] + wire [3:0] _T_125 = _T_118 ? fb_write_f : 4'h0; // @[Mux.scala 27:72] + wire [3:0] fb_write_ns = _T_128 | _T_125; // @[Mux.scala 27:72] + wire fb_full_f_ns = fb_write_ns[3]; // @[ifu_ifc_ctl.scala 126:30] + wire _T_37 = fb_full_f_ns & _T_36; // @[ifu_ifc_ctl.scala 86:68] + wire _T_38 = ~_T_37; // @[ifu_ifc_ctl.scala 86:53] + wire _T_39 = io_ifc_fetch_req_bf_raw & _T_38; // @[ifu_ifc_ctl.scala 86:51] + wire _T_40 = ~dma_stall; // @[ifu_ifc_ctl.scala 87:5] + wire _T_41 = _T_39 & _T_40; // @[ifu_ifc_ctl.scala 86:114] + wire _T_42 = ~io_ic_write_stall; // @[ifu_ifc_ctl.scala 87:18] + wire _T_43 = _T_41 & _T_42; // @[ifu_ifc_ctl.scala 87:16] + wire _T_44 = ~io_dec_ifc_dec_tlu_flush_noredir_wb; // @[ifu_ifc_ctl.scala 87:39] + wire _T_51 = io_ifu_ic_mb_empty | io_exu_flush_final; // @[ifu_ifc_ctl.scala 93:39] + wire _T_53 = _T_51 & _T_40; // @[ifu_ifc_ctl.scala 93:61] + wire _T_55 = _T_53 & _T_94; // @[ifu_ifc_ctl.scala 93:74] + wire _T_56 = ~miss_a; // @[ifu_ifc_ctl.scala 93:86] + wire mb_empty_mod = _T_55 & _T_56; // @[ifu_ifc_ctl.scala 93:84] + wire goto_idle = io_exu_flush_final & io_dec_ifc_dec_tlu_flush_noredir_wb; // @[ifu_ifc_ctl.scala 95:35] + wire _T_60 = io_exu_flush_final & _T_44; // @[ifu_ifc_ctl.scala 97:36] + wire leave_idle = _T_60 & idle; // @[ifu_ifc_ctl.scala 97:75] + wire _T_63 = ~state[1]; // @[ifu_ifc_ctl.scala 99:23] + wire _T_65 = _T_63 & state[0]; // @[ifu_ifc_ctl.scala 99:33] + wire _T_66 = _T_65 & miss_f; // @[ifu_ifc_ctl.scala 99:44] + wire _T_67 = ~goto_idle; // @[ifu_ifc_ctl.scala 99:55] + wire _T_68 = _T_66 & _T_67; // @[ifu_ifc_ctl.scala 99:53] + wire _T_70 = ~mb_empty_mod; // @[ifu_ifc_ctl.scala 100:17] + wire _T_71 = state[1] & _T_70; // @[ifu_ifc_ctl.scala 100:15] + wire _T_73 = _T_71 & _T_67; // @[ifu_ifc_ctl.scala 100:31] + wire next_state_1 = _T_68 | _T_73; // @[ifu_ifc_ctl.scala 99:67] + wire _T_75 = _T_67 & leave_idle; // @[ifu_ifc_ctl.scala 102:34] + wire _T_78 = state[0] & _T_67; // @[ifu_ifc_ctl.scala 102:60] + wire next_state_0 = _T_75 | _T_78; // @[ifu_ifc_ctl.scala 102:48] + wire wfm = state == 2'h3; // @[ifu_ifc_ctl.scala 124:16] + reg fb_full_f; // @[ifu_ifc_ctl.scala 127:52] + wire _T_136 = _T_35 | io_exu_flush_final; // @[ifu_ifc_ctl.scala 131:61] + wire _T_137 = ~_T_136; // @[ifu_ifc_ctl.scala 131:19] + wire _T_138 = fb_full_f & _T_137; // @[ifu_ifc_ctl.scala 131:17] + wire _T_139 = _T_138 | dma_stall; // @[ifu_ifc_ctl.scala 131:84] + wire _T_140 = io_ifc_fetch_req_bf_raw & _T_139; // @[ifu_ifc_ctl.scala 130:68] + wire [31:0] _T_142 = {io_ifc_fetch_addr_bf,1'h0}; // @[Cat.scala 29:58] + wire iccm_acc_in_region_bf = _T_142[31:28] == 4'he; // @[lib.scala 84:47] + wire iccm_acc_in_range_bf = _T_142[31:16] == 16'hee00; // @[lib.scala 87:29] + wire _T_145 = ~io_ifc_iccm_access_bf; // @[ifu_ifc_ctl.scala 138:30] + wire _T_148 = fb_full_f & _T_36; // @[ifu_ifc_ctl.scala 139:16] + wire _T_149 = _T_145 | _T_148; // @[ifu_ifc_ctl.scala 138:53] + wire _T_150 = ~io_ifc_fetch_req_bf; // @[ifu_ifc_ctl.scala 140:13] + wire _T_151 = wfm & _T_150; // @[ifu_ifc_ctl.scala 140:11] + wire _T_152 = _T_149 | _T_151; // @[ifu_ifc_ctl.scala 139:62] + wire _T_153 = _T_152 | idle; // @[ifu_ifc_ctl.scala 140:35] + wire _T_155 = _T_153 & _T_2; // @[ifu_ifc_ctl.scala 140:44] + wire _T_157 = ~iccm_acc_in_range_bf; // @[ifu_ifc_ctl.scala 142:33] + wire [4:0] _T_160 = {io_ifc_fetch_addr_bf[30:27],1'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_161 = io_dec_ifc_dec_tlu_mrac_ff >> _T_160; // @[ifu_ifc_ctl.scala 143:61] + reg _T_164; // @[ifu_ifc_ctl.scala 145:57] + reg [30:0] _T_166; // @[lib.scala 374:16] + rvclkhdr rvclkhdr ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + assign io_dec_ifc_ifu_pmu_fetch_stall = wfm | _T_140; // @[ifu_ifc_ctl.scala 130:34] + assign io_ifc_fetch_addr_f = _T_166; // @[ifu_ifc_ctl.scala 147:23] + assign io_ifc_fetch_addr_bf = _T_22 | _T_20; // @[ifu_ifc_ctl.scala 73:24] + assign io_ifc_fetch_req_f = _T_164; // @[ifu_ifc_ctl.scala 145:22] + assign io_ifc_fetch_uncacheable_bf = ~_T_161[0]; // @[ifu_ifc_ctl.scala 143:31] + assign io_ifc_fetch_req_bf = _T_43 & _T_44; // @[ifu_ifc_ctl.scala 86:23] + assign io_ifc_fetch_req_bf_raw = ~idle; // @[ifu_ifc_ctl.scala 84:27] + assign io_ifc_iccm_access_bf = _T_142[31:16] == 16'hee00; // @[ifu_ifc_ctl.scala 137:25] + assign io_ifc_region_acc_fault_bf = _T_157 & iccm_acc_in_region_bf; // @[ifu_ifc_ctl.scala 142:30] + assign io_ifc_dma_access_ok = _T_155 | dma_iccm_stall_any_f; // @[ifu_ifc_ctl.scala 138:24] + assign rvclkhdr_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_io_en = io_exu_flush_final | io_ifc_fetch_req_f; // @[lib.scala 371:17] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + dma_iccm_stall_any_f = _RAND_0[0:0]; + _RAND_1 = {1{`RANDOM}}; + miss_a = _RAND_1[0:0]; + _RAND_2 = {1{`RANDOM}}; + state = _RAND_2[1:0]; + _RAND_3 = {1{`RANDOM}}; + fb_write_f = _RAND_3[3:0]; + _RAND_4 = {1{`RANDOM}}; + fb_full_f = _RAND_4[0:0]; + _RAND_5 = {1{`RANDOM}}; + _T_164 = _RAND_5[0:0]; + _RAND_6 = {1{`RANDOM}}; + _T_166 = _RAND_6[30:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + dma_iccm_stall_any_f = 1'h0; + end + if (reset) begin + miss_a = 1'h0; + end + if (reset) begin + state = 2'h0; + end + if (reset) begin + fb_write_f = 4'h0; + end + if (reset) begin + fb_full_f = 1'h0; + end + if (reset) begin + _T_164 = 1'h0; + end + if (reset) begin + _T_166 = 31'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + dma_iccm_stall_any_f <= 1'h0; + end else begin + dma_iccm_stall_any_f <= io_dma_ifc_dma_iccm_stall_any; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + miss_a <= 1'h0; + end else begin + miss_a <= _T_48 & _T_2; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + state <= 2'h0; + end else begin + state <= {next_state_1,next_state_0}; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + fb_write_f <= 4'h0; + end else begin + fb_write_f <= _T_128 | _T_125; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + fb_full_f <= 1'h0; + end else begin + fb_full_f <= fb_write_ns[3]; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + _T_164 <= 1'h0; + end else begin + _T_164 <= io_ifc_fetch_req_bf; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + _T_166 <= 31'h0; + end else begin + _T_166 <= io_ifc_fetch_addr_bf; + end + end +endmodule +module ifu( + input clock, + input reset, + input io_exu_flush_final, + input [30:0] io_exu_flush_path_final, + input io_free_clk, + input io_active_clk, + input io_ifu_dec_dec_aln_aln_dec_dec_i0_decode_d, + output [15:0] io_ifu_dec_dec_aln_aln_dec_ifu_i0_cinst, + output io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf, + output [1:0] io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_type, + output io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_f1, + output io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc, + output [7:0] io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_index, + output [7:0] io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_fghr, + output [4:0] io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_btag, + output io_ifu_dec_dec_aln_aln_ib_ifu_i0_valid, + output [31:0] io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr, + output [30:0] io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc, + output io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc4, + output io_ifu_dec_dec_aln_aln_ib_i0_brp_valid, + output [11:0] io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset, + output [1:0] io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist, + output io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error, + output io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error, + output io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_bank, + output [30:0] io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_prett, + output io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_way, + output io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret, + output io_ifu_dec_dec_aln_ifu_pmu_instr_aligned, + input io_ifu_dec_dec_mem_ctrl_dec_tlu_flush_err_wb, + input io_ifu_dec_dec_mem_ctrl_dec_tlu_i0_commit_cmt, + input io_ifu_dec_dec_mem_ctrl_dec_tlu_force_halt, + input io_ifu_dec_dec_mem_ctrl_dec_tlu_fence_i_wb, + input [70:0] io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wrdata, + input [16:0] io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_dicawics, + input io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_rd_valid, + input io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wr_valid, + input io_ifu_dec_dec_mem_ctrl_dec_tlu_core_ecc_disable, + output io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_miss, + output io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_hit, + output io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_error, + output io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_busy, + output io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_trxn, + output io_ifu_dec_dec_mem_ctrl_ifu_ic_error_start, + output io_ifu_dec_dec_mem_ctrl_ifu_iccm_rd_ecc_single_err, + output [70:0] io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data, + output io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data_valid, + output io_ifu_dec_dec_mem_ctrl_ifu_miss_state_idle, + input io_ifu_dec_dec_ifc_dec_tlu_flush_noredir_wb, + input [31:0] io_ifu_dec_dec_ifc_dec_tlu_mrac_ff, + output io_ifu_dec_dec_ifc_ifu_pmu_fetch_stall, + input io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_valid, + input [1:0] io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_hist, + input io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_error, + input io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error, + input io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_way, + input io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_middle, + input io_ifu_dec_dec_bp_dec_tlu_flush_leak_one_wb, + input io_ifu_dec_dec_bp_dec_tlu_bpred_disable, + input [7:0] io_exu_ifu_exu_bp_exu_i0_br_index_r, + input [7:0] io_exu_ifu_exu_bp_exu_i0_br_fghr_r, + input io_exu_ifu_exu_bp_exu_i0_br_way_r, + input io_exu_ifu_exu_bp_exu_mp_pkt_valid, + input io_exu_ifu_exu_bp_exu_mp_pkt_bits_misp, + input io_exu_ifu_exu_bp_exu_mp_pkt_bits_ataken, + input io_exu_ifu_exu_bp_exu_mp_pkt_bits_boffset, + input io_exu_ifu_exu_bp_exu_mp_pkt_bits_pc4, + input [1:0] io_exu_ifu_exu_bp_exu_mp_pkt_bits_hist, + input [11:0] io_exu_ifu_exu_bp_exu_mp_pkt_bits_toffset, + input io_exu_ifu_exu_bp_exu_mp_pkt_bits_br_error, + input io_exu_ifu_exu_bp_exu_mp_pkt_bits_br_start_error, + input [30:0] io_exu_ifu_exu_bp_exu_mp_pkt_bits_prett, + input io_exu_ifu_exu_bp_exu_mp_pkt_bits_pcall, + input io_exu_ifu_exu_bp_exu_mp_pkt_bits_pret, + input io_exu_ifu_exu_bp_exu_mp_pkt_bits_pja, + input io_exu_ifu_exu_bp_exu_mp_pkt_bits_way, + input [7:0] io_exu_ifu_exu_bp_exu_mp_eghr, + input [7:0] io_exu_ifu_exu_bp_exu_mp_fghr, + input [7:0] io_exu_ifu_exu_bp_exu_mp_index, + input [4:0] io_exu_ifu_exu_bp_exu_mp_btag, + output [14:0] io_iccm_rw_addr, + output io_iccm_buf_correct_ecc, + output io_iccm_correction_state, + output io_iccm_wren, + output io_iccm_rden, + output [2:0] io_iccm_wr_size, + output [77:0] io_iccm_wr_data, + input [63:0] io_iccm_rd_data, + input [77:0] io_iccm_rd_data_ecc, + output [30:0] io_ic_rw_addr, + output [1:0] io_ic_tag_valid, + output [1:0] io_ic_wr_en, + output io_ic_rd_en, + output [70:0] io_ic_wr_data_0, + output [70:0] io_ic_wr_data_1, + output [70:0] io_ic_debug_wr_data, + output [9:0] io_ic_debug_addr, + input [63:0] io_ic_rd_data, + input [70:0] io_ic_debug_rd_data, + input [25:0] io_ic_tag_debug_rd_data, + input [1:0] io_ic_eccerr, + input [1:0] io_ic_parerr, + input [1:0] io_ic_rd_hit, + input io_ic_tag_perr, + output io_ic_debug_rd_en, + output io_ic_debug_wr_en, + output io_ic_debug_tag_array, + output [1:0] io_ic_debug_way, + output [63:0] io_ic_premux_data, + output io_ic_sel_premux_data, + input io_ifu_aw_ready, + output io_ifu_aw_valid, + output [2:0] io_ifu_aw_bits_id, + output [31:0] io_ifu_aw_bits_addr, + output [3:0] io_ifu_aw_bits_region, + output [7:0] io_ifu_aw_bits_len, + output [2:0] io_ifu_aw_bits_size, + output [1:0] io_ifu_aw_bits_burst, + output io_ifu_aw_bits_lock, + output [3:0] io_ifu_aw_bits_cache, + output [2:0] io_ifu_aw_bits_prot, + output [3:0] io_ifu_aw_bits_qos, + input io_ifu_w_ready, + output io_ifu_w_valid, + output [63:0] io_ifu_w_bits_data, + output [7:0] io_ifu_w_bits_strb, + output io_ifu_w_bits_last, + output io_ifu_b_ready, + input io_ifu_b_valid, + input [1:0] io_ifu_b_bits_resp, + input [2:0] io_ifu_b_bits_id, + input io_ifu_ar_ready, + output io_ifu_ar_valid, + output [2:0] io_ifu_ar_bits_id, + output [31:0] io_ifu_ar_bits_addr, + output [3:0] io_ifu_ar_bits_region, + output [7:0] io_ifu_ar_bits_len, + output [2:0] io_ifu_ar_bits_size, + output [1:0] io_ifu_ar_bits_burst, + output io_ifu_ar_bits_lock, + output [3:0] io_ifu_ar_bits_cache, + output [2:0] io_ifu_ar_bits_prot, + output [3:0] io_ifu_ar_bits_qos, + output io_ifu_r_ready, + input io_ifu_r_valid, + input [2:0] io_ifu_r_bits_id, + input [63:0] io_ifu_r_bits_data, + input [1:0] io_ifu_r_bits_resp, + input io_ifu_r_bits_last, + input io_ifu_bus_clk_en, + input io_ifu_dma_dma_ifc_dma_iccm_stall_any, + input io_ifu_dma_dma_mem_ctl_dma_iccm_req, + input [31:0] io_ifu_dma_dma_mem_ctl_dma_mem_addr, + input [2:0] io_ifu_dma_dma_mem_ctl_dma_mem_sz, + input io_ifu_dma_dma_mem_ctl_dma_mem_write, + input [63:0] io_ifu_dma_dma_mem_ctl_dma_mem_wdata, + input [2:0] io_ifu_dma_dma_mem_ctl_dma_mem_tag, + output io_iccm_dma_ecc_error, + output io_iccm_dma_rvalid, + output [63:0] io_iccm_dma_rdata, + output [2:0] io_iccm_dma_rtag, + output io_iccm_ready, + output io_iccm_dma_sb_error, + input io_dec_tlu_flush_lower_wb, + input io_scan_mode +); + wire mem_ctl_clock; // @[ifu.scala 34:23] + wire mem_ctl_reset; // @[ifu.scala 34:23] + wire mem_ctl_io_free_clk; // @[ifu.scala 34:23] + wire mem_ctl_io_active_clk; // @[ifu.scala 34:23] + wire mem_ctl_io_exu_flush_final; // @[ifu.scala 34:23] + wire mem_ctl_io_dec_mem_ctrl_dec_tlu_flush_err_wb; // @[ifu.scala 34:23] + wire mem_ctl_io_dec_mem_ctrl_dec_tlu_i0_commit_cmt; // @[ifu.scala 34:23] + wire mem_ctl_io_dec_mem_ctrl_dec_tlu_force_halt; // @[ifu.scala 34:23] + wire mem_ctl_io_dec_mem_ctrl_dec_tlu_fence_i_wb; // @[ifu.scala 34:23] + wire [70:0] mem_ctl_io_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wrdata; // @[ifu.scala 34:23] + wire [16:0] mem_ctl_io_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_dicawics; // @[ifu.scala 34:23] + wire mem_ctl_io_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[ifu.scala 34:23] + wire mem_ctl_io_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[ifu.scala 34:23] + wire mem_ctl_io_dec_mem_ctrl_dec_tlu_core_ecc_disable; // @[ifu.scala 34:23] + wire mem_ctl_io_dec_mem_ctrl_ifu_pmu_ic_miss; // @[ifu.scala 34:23] + wire mem_ctl_io_dec_mem_ctrl_ifu_pmu_ic_hit; // @[ifu.scala 34:23] + wire mem_ctl_io_dec_mem_ctrl_ifu_pmu_bus_error; // @[ifu.scala 34:23] + wire mem_ctl_io_dec_mem_ctrl_ifu_pmu_bus_busy; // @[ifu.scala 34:23] + wire mem_ctl_io_dec_mem_ctrl_ifu_pmu_bus_trxn; // @[ifu.scala 34:23] + wire mem_ctl_io_dec_mem_ctrl_ifu_ic_error_start; // @[ifu.scala 34:23] + wire mem_ctl_io_dec_mem_ctrl_ifu_iccm_rd_ecc_single_err; // @[ifu.scala 34:23] + wire [70:0] mem_ctl_io_dec_mem_ctrl_ifu_ic_debug_rd_data; // @[ifu.scala 34:23] + wire mem_ctl_io_dec_mem_ctrl_ifu_ic_debug_rd_data_valid; // @[ifu.scala 34:23] + wire mem_ctl_io_dec_mem_ctrl_ifu_miss_state_idle; // @[ifu.scala 34:23] + wire [30:0] mem_ctl_io_ifc_fetch_addr_bf; // @[ifu.scala 34:23] + wire mem_ctl_io_ifc_fetch_uncacheable_bf; // @[ifu.scala 34:23] + wire mem_ctl_io_ifc_fetch_req_bf; // @[ifu.scala 34:23] + wire mem_ctl_io_ifc_fetch_req_bf_raw; // @[ifu.scala 34:23] + wire mem_ctl_io_ifc_iccm_access_bf; // @[ifu.scala 34:23] + wire mem_ctl_io_ifc_region_acc_fault_bf; // @[ifu.scala 34:23] + wire mem_ctl_io_ifc_dma_access_ok; // @[ifu.scala 34:23] + wire mem_ctl_io_ifu_bp_hit_taken_f; // @[ifu.scala 34:23] + wire mem_ctl_io_ifu_bp_inst_mask_f; // @[ifu.scala 34:23] + wire mem_ctl_io_ifu_axi_ar_ready; // @[ifu.scala 34:23] + wire mem_ctl_io_ifu_axi_ar_valid; // @[ifu.scala 34:23] + wire [2:0] mem_ctl_io_ifu_axi_ar_bits_id; // @[ifu.scala 34:23] + wire [31:0] mem_ctl_io_ifu_axi_ar_bits_addr; // @[ifu.scala 34:23] + wire [3:0] mem_ctl_io_ifu_axi_ar_bits_region; // @[ifu.scala 34:23] + wire mem_ctl_io_ifu_axi_r_ready; // @[ifu.scala 34:23] + wire mem_ctl_io_ifu_axi_r_valid; // @[ifu.scala 34:23] + wire [2:0] mem_ctl_io_ifu_axi_r_bits_id; // @[ifu.scala 34:23] + wire [63:0] mem_ctl_io_ifu_axi_r_bits_data; // @[ifu.scala 34:23] + wire [1:0] mem_ctl_io_ifu_axi_r_bits_resp; // @[ifu.scala 34:23] + wire mem_ctl_io_ifu_bus_clk_en; // @[ifu.scala 34:23] + wire mem_ctl_io_dma_mem_ctl_dma_iccm_req; // @[ifu.scala 34:23] + wire [31:0] mem_ctl_io_dma_mem_ctl_dma_mem_addr; // @[ifu.scala 34:23] + wire [2:0] mem_ctl_io_dma_mem_ctl_dma_mem_sz; // @[ifu.scala 34:23] + wire mem_ctl_io_dma_mem_ctl_dma_mem_write; // @[ifu.scala 34:23] + wire [63:0] mem_ctl_io_dma_mem_ctl_dma_mem_wdata; // @[ifu.scala 34:23] + wire [2:0] mem_ctl_io_dma_mem_ctl_dma_mem_tag; // @[ifu.scala 34:23] + wire [14:0] mem_ctl_io_iccm_rw_addr; // @[ifu.scala 34:23] + wire mem_ctl_io_iccm_buf_correct_ecc; // @[ifu.scala 34:23] + wire mem_ctl_io_iccm_correction_state; // @[ifu.scala 34:23] + wire mem_ctl_io_iccm_wren; // @[ifu.scala 34:23] + wire mem_ctl_io_iccm_rden; // @[ifu.scala 34:23] + wire [2:0] mem_ctl_io_iccm_wr_size; // @[ifu.scala 34:23] + wire [77:0] mem_ctl_io_iccm_wr_data; // @[ifu.scala 34:23] + wire [63:0] mem_ctl_io_iccm_rd_data; // @[ifu.scala 34:23] + wire [77:0] mem_ctl_io_iccm_rd_data_ecc; // @[ifu.scala 34:23] + wire [30:0] mem_ctl_io_ic_rw_addr; // @[ifu.scala 34:23] + wire [1:0] mem_ctl_io_ic_tag_valid; // @[ifu.scala 34:23] + wire [1:0] mem_ctl_io_ic_wr_en; // @[ifu.scala 34:23] + wire mem_ctl_io_ic_rd_en; // @[ifu.scala 34:23] + wire [70:0] mem_ctl_io_ic_wr_data_0; // @[ifu.scala 34:23] + wire [70:0] mem_ctl_io_ic_wr_data_1; // @[ifu.scala 34:23] + wire [70:0] mem_ctl_io_ic_debug_wr_data; // @[ifu.scala 34:23] + wire [9:0] mem_ctl_io_ic_debug_addr; // @[ifu.scala 34:23] + wire [63:0] mem_ctl_io_ic_rd_data; // @[ifu.scala 34:23] + wire [70:0] mem_ctl_io_ic_debug_rd_data; // @[ifu.scala 34:23] + wire [25:0] mem_ctl_io_ic_tag_debug_rd_data; // @[ifu.scala 34:23] + wire [1:0] mem_ctl_io_ic_eccerr; // @[ifu.scala 34:23] + wire [1:0] mem_ctl_io_ic_rd_hit; // @[ifu.scala 34:23] + wire mem_ctl_io_ic_tag_perr; // @[ifu.scala 34:23] + wire mem_ctl_io_ic_debug_rd_en; // @[ifu.scala 34:23] + wire mem_ctl_io_ic_debug_wr_en; // @[ifu.scala 34:23] + wire mem_ctl_io_ic_debug_tag_array; // @[ifu.scala 34:23] + wire [1:0] mem_ctl_io_ic_debug_way; // @[ifu.scala 34:23] + wire [63:0] mem_ctl_io_ic_premux_data; // @[ifu.scala 34:23] + wire mem_ctl_io_ic_sel_premux_data; // @[ifu.scala 34:23] + wire [1:0] mem_ctl_io_ifu_fetch_val; // @[ifu.scala 34:23] + wire mem_ctl_io_ifu_ic_mb_empty; // @[ifu.scala 34:23] + wire mem_ctl_io_ic_dma_active; // @[ifu.scala 34:23] + wire mem_ctl_io_ic_write_stall; // @[ifu.scala 34:23] + wire mem_ctl_io_iccm_dma_ecc_error; // @[ifu.scala 34:23] + wire mem_ctl_io_iccm_dma_rvalid; // @[ifu.scala 34:23] + wire [63:0] mem_ctl_io_iccm_dma_rdata; // @[ifu.scala 34:23] + wire [2:0] mem_ctl_io_iccm_dma_rtag; // @[ifu.scala 34:23] + wire mem_ctl_io_iccm_ready; // @[ifu.scala 34:23] + wire mem_ctl_io_dec_tlu_flush_lower_wb; // @[ifu.scala 34:23] + wire mem_ctl_io_iccm_rd_ecc_double_err; // @[ifu.scala 34:23] + wire mem_ctl_io_iccm_dma_sb_error; // @[ifu.scala 34:23] + wire mem_ctl_io_ic_hit_f; // @[ifu.scala 34:23] + wire mem_ctl_io_ic_access_fault_f; // @[ifu.scala 34:23] + wire [1:0] mem_ctl_io_ic_access_fault_type_f; // @[ifu.scala 34:23] + wire mem_ctl_io_ifu_async_error_start; // @[ifu.scala 34:23] + wire [1:0] mem_ctl_io_ic_fetch_val_f; // @[ifu.scala 34:23] + wire [31:0] mem_ctl_io_ic_data_f; // @[ifu.scala 34:23] + wire mem_ctl_io_scan_mode; // @[ifu.scala 34:23] + wire bp_ctl_clock; // @[ifu.scala 35:22] + wire bp_ctl_reset; // @[ifu.scala 35:22] + wire bp_ctl_io_active_clk; // @[ifu.scala 35:22] + wire bp_ctl_io_ic_hit_f; // @[ifu.scala 35:22] + wire bp_ctl_io_exu_flush_final; // @[ifu.scala 35:22] + wire [30:0] bp_ctl_io_ifc_fetch_addr_f; // @[ifu.scala 35:22] + wire bp_ctl_io_ifc_fetch_req_f; // @[ifu.scala 35:22] + wire bp_ctl_io_dec_bp_dec_tlu_br0_r_pkt_valid; // @[ifu.scala 35:22] + wire [1:0] bp_ctl_io_dec_bp_dec_tlu_br0_r_pkt_bits_hist; // @[ifu.scala 35:22] + wire bp_ctl_io_dec_bp_dec_tlu_br0_r_pkt_bits_br_error; // @[ifu.scala 35:22] + wire bp_ctl_io_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error; // @[ifu.scala 35:22] + wire bp_ctl_io_dec_bp_dec_tlu_br0_r_pkt_bits_way; // @[ifu.scala 35:22] + wire bp_ctl_io_dec_bp_dec_tlu_br0_r_pkt_bits_middle; // @[ifu.scala 35:22] + wire bp_ctl_io_dec_bp_dec_tlu_flush_leak_one_wb; // @[ifu.scala 35:22] + wire bp_ctl_io_dec_bp_dec_tlu_bpred_disable; // @[ifu.scala 35:22] + wire bp_ctl_io_dec_tlu_flush_lower_wb; // @[ifu.scala 35:22] + wire [7:0] bp_ctl_io_exu_bp_exu_i0_br_index_r; // @[ifu.scala 35:22] + wire [7:0] bp_ctl_io_exu_bp_exu_i0_br_fghr_r; // @[ifu.scala 35:22] + wire bp_ctl_io_exu_bp_exu_mp_pkt_bits_misp; // @[ifu.scala 35:22] + wire bp_ctl_io_exu_bp_exu_mp_pkt_bits_ataken; // @[ifu.scala 35:22] + wire bp_ctl_io_exu_bp_exu_mp_pkt_bits_boffset; // @[ifu.scala 35:22] + wire bp_ctl_io_exu_bp_exu_mp_pkt_bits_pc4; // @[ifu.scala 35:22] + wire [1:0] bp_ctl_io_exu_bp_exu_mp_pkt_bits_hist; // @[ifu.scala 35:22] + wire [11:0] bp_ctl_io_exu_bp_exu_mp_pkt_bits_toffset; // @[ifu.scala 35:22] + wire bp_ctl_io_exu_bp_exu_mp_pkt_bits_pcall; // @[ifu.scala 35:22] + wire bp_ctl_io_exu_bp_exu_mp_pkt_bits_pret; // @[ifu.scala 35:22] + wire bp_ctl_io_exu_bp_exu_mp_pkt_bits_pja; // @[ifu.scala 35:22] + wire bp_ctl_io_exu_bp_exu_mp_pkt_bits_way; // @[ifu.scala 35:22] + wire [7:0] bp_ctl_io_exu_bp_exu_mp_eghr; // @[ifu.scala 35:22] + wire [7:0] bp_ctl_io_exu_bp_exu_mp_fghr; // @[ifu.scala 35:22] + wire [7:0] bp_ctl_io_exu_bp_exu_mp_index; // @[ifu.scala 35:22] + wire [4:0] bp_ctl_io_exu_bp_exu_mp_btag; // @[ifu.scala 35:22] + wire bp_ctl_io_ifu_bp_hit_taken_f; // @[ifu.scala 35:22] + wire [30:0] bp_ctl_io_ifu_bp_btb_target_f; // @[ifu.scala 35:22] + wire bp_ctl_io_ifu_bp_inst_mask_f; // @[ifu.scala 35:22] + wire [7:0] bp_ctl_io_ifu_bp_fghr_f; // @[ifu.scala 35:22] + wire [1:0] bp_ctl_io_ifu_bp_way_f; // @[ifu.scala 35:22] + wire [1:0] bp_ctl_io_ifu_bp_ret_f; // @[ifu.scala 35:22] + wire [1:0] bp_ctl_io_ifu_bp_hist1_f; // @[ifu.scala 35:22] + wire [1:0] bp_ctl_io_ifu_bp_hist0_f; // @[ifu.scala 35:22] + wire [1:0] bp_ctl_io_ifu_bp_pc4_f; // @[ifu.scala 35:22] + wire [1:0] bp_ctl_io_ifu_bp_valid_f; // @[ifu.scala 35:22] + wire [11:0] bp_ctl_io_ifu_bp_poffset_f; // @[ifu.scala 35:22] + wire bp_ctl_io_scan_mode; // @[ifu.scala 35:22] + wire aln_ctl_clock; // @[ifu.scala 36:23] + wire aln_ctl_reset; // @[ifu.scala 36:23] + wire aln_ctl_io_scan_mode; // @[ifu.scala 36:23] + wire aln_ctl_io_active_clk; // @[ifu.scala 36:23] + wire aln_ctl_io_ifu_async_error_start; // @[ifu.scala 36:23] + wire aln_ctl_io_iccm_rd_ecc_double_err; // @[ifu.scala 36:23] + wire aln_ctl_io_ic_access_fault_f; // @[ifu.scala 36:23] + wire [1:0] aln_ctl_io_ic_access_fault_type_f; // @[ifu.scala 36:23] + wire [7:0] aln_ctl_io_ifu_bp_fghr_f; // @[ifu.scala 36:23] + wire [30:0] aln_ctl_io_ifu_bp_btb_target_f; // @[ifu.scala 36:23] + wire [11:0] aln_ctl_io_ifu_bp_poffset_f; // @[ifu.scala 36:23] + wire [1:0] aln_ctl_io_ifu_bp_hist0_f; // @[ifu.scala 36:23] + wire [1:0] aln_ctl_io_ifu_bp_hist1_f; // @[ifu.scala 36:23] + wire [1:0] aln_ctl_io_ifu_bp_pc4_f; // @[ifu.scala 36:23] + wire [1:0] aln_ctl_io_ifu_bp_way_f; // @[ifu.scala 36:23] + wire [1:0] aln_ctl_io_ifu_bp_valid_f; // @[ifu.scala 36:23] + wire [1:0] aln_ctl_io_ifu_bp_ret_f; // @[ifu.scala 36:23] + wire aln_ctl_io_exu_flush_final; // @[ifu.scala 36:23] + wire aln_ctl_io_dec_aln_aln_dec_dec_i0_decode_d; // @[ifu.scala 36:23] + wire [15:0] aln_ctl_io_dec_aln_aln_dec_ifu_i0_cinst; // @[ifu.scala 36:23] + wire aln_ctl_io_dec_aln_aln_ib_ifu_i0_icaf; // @[ifu.scala 36:23] + wire [1:0] aln_ctl_io_dec_aln_aln_ib_ifu_i0_icaf_type; // @[ifu.scala 36:23] + wire aln_ctl_io_dec_aln_aln_ib_ifu_i0_icaf_f1; // @[ifu.scala 36:23] + wire aln_ctl_io_dec_aln_aln_ib_ifu_i0_dbecc; // @[ifu.scala 36:23] + wire [7:0] aln_ctl_io_dec_aln_aln_ib_ifu_i0_bp_index; // @[ifu.scala 36:23] + wire [7:0] aln_ctl_io_dec_aln_aln_ib_ifu_i0_bp_fghr; // @[ifu.scala 36:23] + wire [4:0] aln_ctl_io_dec_aln_aln_ib_ifu_i0_bp_btag; // @[ifu.scala 36:23] + wire aln_ctl_io_dec_aln_aln_ib_ifu_i0_valid; // @[ifu.scala 36:23] + wire [31:0] aln_ctl_io_dec_aln_aln_ib_ifu_i0_instr; // @[ifu.scala 36:23] + wire [30:0] aln_ctl_io_dec_aln_aln_ib_ifu_i0_pc; // @[ifu.scala 36:23] + wire aln_ctl_io_dec_aln_aln_ib_ifu_i0_pc4; // @[ifu.scala 36:23] + wire aln_ctl_io_dec_aln_aln_ib_i0_brp_valid; // @[ifu.scala 36:23] + wire [11:0] aln_ctl_io_dec_aln_aln_ib_i0_brp_bits_toffset; // @[ifu.scala 36:23] + wire [1:0] aln_ctl_io_dec_aln_aln_ib_i0_brp_bits_hist; // @[ifu.scala 36:23] + wire aln_ctl_io_dec_aln_aln_ib_i0_brp_bits_br_error; // @[ifu.scala 36:23] + wire aln_ctl_io_dec_aln_aln_ib_i0_brp_bits_br_start_error; // @[ifu.scala 36:23] + wire aln_ctl_io_dec_aln_aln_ib_i0_brp_bits_bank; // @[ifu.scala 36:23] + wire [30:0] aln_ctl_io_dec_aln_aln_ib_i0_brp_bits_prett; // @[ifu.scala 36:23] + wire aln_ctl_io_dec_aln_aln_ib_i0_brp_bits_way; // @[ifu.scala 36:23] + wire aln_ctl_io_dec_aln_aln_ib_i0_brp_bits_ret; // @[ifu.scala 36:23] + wire aln_ctl_io_dec_aln_ifu_pmu_instr_aligned; // @[ifu.scala 36:23] + wire [31:0] aln_ctl_io_ifu_fetch_data_f; // @[ifu.scala 36:23] + wire [1:0] aln_ctl_io_ifu_fetch_val; // @[ifu.scala 36:23] + wire [30:0] aln_ctl_io_ifu_fetch_pc; // @[ifu.scala 36:23] + wire aln_ctl_io_ifu_fb_consume1; // @[ifu.scala 36:23] + wire aln_ctl_io_ifu_fb_consume2; // @[ifu.scala 36:23] + wire ifc_ctl_clock; // @[ifu.scala 37:23] + wire ifc_ctl_reset; // @[ifu.scala 37:23] + wire ifc_ctl_io_exu_flush_final; // @[ifu.scala 37:23] + wire [30:0] ifc_ctl_io_exu_flush_path_final; // @[ifu.scala 37:23] + wire ifc_ctl_io_free_clk; // @[ifu.scala 37:23] + wire ifc_ctl_io_active_clk; // @[ifu.scala 37:23] + wire ifc_ctl_io_scan_mode; // @[ifu.scala 37:23] + wire ifc_ctl_io_ic_hit_f; // @[ifu.scala 37:23] + wire ifc_ctl_io_ifu_ic_mb_empty; // @[ifu.scala 37:23] + wire ifc_ctl_io_ifu_fb_consume1; // @[ifu.scala 37:23] + wire ifc_ctl_io_ifu_fb_consume2; // @[ifu.scala 37:23] + wire ifc_ctl_io_ifu_bp_hit_taken_f; // @[ifu.scala 37:23] + wire [30:0] ifc_ctl_io_ifu_bp_btb_target_f; // @[ifu.scala 37:23] + wire ifc_ctl_io_ic_dma_active; // @[ifu.scala 37:23] + wire ifc_ctl_io_ic_write_stall; // @[ifu.scala 37:23] + wire ifc_ctl_io_dec_ifc_dec_tlu_flush_noredir_wb; // @[ifu.scala 37:23] + wire [31:0] ifc_ctl_io_dec_ifc_dec_tlu_mrac_ff; // @[ifu.scala 37:23] + wire ifc_ctl_io_dec_ifc_ifu_pmu_fetch_stall; // @[ifu.scala 37:23] + wire ifc_ctl_io_dma_ifc_dma_iccm_stall_any; // @[ifu.scala 37:23] + wire [30:0] ifc_ctl_io_ifc_fetch_addr_f; // @[ifu.scala 37:23] + wire [30:0] ifc_ctl_io_ifc_fetch_addr_bf; // @[ifu.scala 37:23] + wire ifc_ctl_io_ifc_fetch_req_f; // @[ifu.scala 37:23] + wire ifc_ctl_io_ifc_fetch_uncacheable_bf; // @[ifu.scala 37:23] + wire ifc_ctl_io_ifc_fetch_req_bf; // @[ifu.scala 37:23] + wire ifc_ctl_io_ifc_fetch_req_bf_raw; // @[ifu.scala 37:23] + wire ifc_ctl_io_ifc_iccm_access_bf; // @[ifu.scala 37:23] + wire ifc_ctl_io_ifc_region_acc_fault_bf; // @[ifu.scala 37:23] + wire ifc_ctl_io_ifc_dma_access_ok; // @[ifu.scala 37:23] + ifu_mem_ctl mem_ctl ( // @[ifu.scala 34:23] + .clock(mem_ctl_clock), + .reset(mem_ctl_reset), + .io_free_clk(mem_ctl_io_free_clk), + .io_active_clk(mem_ctl_io_active_clk), + .io_exu_flush_final(mem_ctl_io_exu_flush_final), + .io_dec_mem_ctrl_dec_tlu_flush_err_wb(mem_ctl_io_dec_mem_ctrl_dec_tlu_flush_err_wb), + .io_dec_mem_ctrl_dec_tlu_i0_commit_cmt(mem_ctl_io_dec_mem_ctrl_dec_tlu_i0_commit_cmt), + .io_dec_mem_ctrl_dec_tlu_force_halt(mem_ctl_io_dec_mem_ctrl_dec_tlu_force_halt), + .io_dec_mem_ctrl_dec_tlu_fence_i_wb(mem_ctl_io_dec_mem_ctrl_dec_tlu_fence_i_wb), + .io_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wrdata(mem_ctl_io_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wrdata), + .io_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_dicawics(mem_ctl_io_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_dicawics), + .io_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_rd_valid(mem_ctl_io_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_rd_valid), + .io_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wr_valid(mem_ctl_io_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wr_valid), + .io_dec_mem_ctrl_dec_tlu_core_ecc_disable(mem_ctl_io_dec_mem_ctrl_dec_tlu_core_ecc_disable), + .io_dec_mem_ctrl_ifu_pmu_ic_miss(mem_ctl_io_dec_mem_ctrl_ifu_pmu_ic_miss), + .io_dec_mem_ctrl_ifu_pmu_ic_hit(mem_ctl_io_dec_mem_ctrl_ifu_pmu_ic_hit), + .io_dec_mem_ctrl_ifu_pmu_bus_error(mem_ctl_io_dec_mem_ctrl_ifu_pmu_bus_error), + .io_dec_mem_ctrl_ifu_pmu_bus_busy(mem_ctl_io_dec_mem_ctrl_ifu_pmu_bus_busy), + .io_dec_mem_ctrl_ifu_pmu_bus_trxn(mem_ctl_io_dec_mem_ctrl_ifu_pmu_bus_trxn), + .io_dec_mem_ctrl_ifu_ic_error_start(mem_ctl_io_dec_mem_ctrl_ifu_ic_error_start), + .io_dec_mem_ctrl_ifu_iccm_rd_ecc_single_err(mem_ctl_io_dec_mem_ctrl_ifu_iccm_rd_ecc_single_err), + .io_dec_mem_ctrl_ifu_ic_debug_rd_data(mem_ctl_io_dec_mem_ctrl_ifu_ic_debug_rd_data), + .io_dec_mem_ctrl_ifu_ic_debug_rd_data_valid(mem_ctl_io_dec_mem_ctrl_ifu_ic_debug_rd_data_valid), + .io_dec_mem_ctrl_ifu_miss_state_idle(mem_ctl_io_dec_mem_ctrl_ifu_miss_state_idle), + .io_ifc_fetch_addr_bf(mem_ctl_io_ifc_fetch_addr_bf), + .io_ifc_fetch_uncacheable_bf(mem_ctl_io_ifc_fetch_uncacheable_bf), + .io_ifc_fetch_req_bf(mem_ctl_io_ifc_fetch_req_bf), + .io_ifc_fetch_req_bf_raw(mem_ctl_io_ifc_fetch_req_bf_raw), + .io_ifc_iccm_access_bf(mem_ctl_io_ifc_iccm_access_bf), + .io_ifc_region_acc_fault_bf(mem_ctl_io_ifc_region_acc_fault_bf), + .io_ifc_dma_access_ok(mem_ctl_io_ifc_dma_access_ok), + .io_ifu_bp_hit_taken_f(mem_ctl_io_ifu_bp_hit_taken_f), + .io_ifu_bp_inst_mask_f(mem_ctl_io_ifu_bp_inst_mask_f), + .io_ifu_axi_ar_ready(mem_ctl_io_ifu_axi_ar_ready), + .io_ifu_axi_ar_valid(mem_ctl_io_ifu_axi_ar_valid), + .io_ifu_axi_ar_bits_id(mem_ctl_io_ifu_axi_ar_bits_id), + .io_ifu_axi_ar_bits_addr(mem_ctl_io_ifu_axi_ar_bits_addr), + .io_ifu_axi_ar_bits_region(mem_ctl_io_ifu_axi_ar_bits_region), + .io_ifu_axi_r_ready(mem_ctl_io_ifu_axi_r_ready), + .io_ifu_axi_r_valid(mem_ctl_io_ifu_axi_r_valid), + .io_ifu_axi_r_bits_id(mem_ctl_io_ifu_axi_r_bits_id), + .io_ifu_axi_r_bits_data(mem_ctl_io_ifu_axi_r_bits_data), + .io_ifu_axi_r_bits_resp(mem_ctl_io_ifu_axi_r_bits_resp), + .io_ifu_bus_clk_en(mem_ctl_io_ifu_bus_clk_en), + .io_dma_mem_ctl_dma_iccm_req(mem_ctl_io_dma_mem_ctl_dma_iccm_req), + .io_dma_mem_ctl_dma_mem_addr(mem_ctl_io_dma_mem_ctl_dma_mem_addr), + .io_dma_mem_ctl_dma_mem_sz(mem_ctl_io_dma_mem_ctl_dma_mem_sz), + .io_dma_mem_ctl_dma_mem_write(mem_ctl_io_dma_mem_ctl_dma_mem_write), + .io_dma_mem_ctl_dma_mem_wdata(mem_ctl_io_dma_mem_ctl_dma_mem_wdata), + .io_dma_mem_ctl_dma_mem_tag(mem_ctl_io_dma_mem_ctl_dma_mem_tag), + .io_iccm_rw_addr(mem_ctl_io_iccm_rw_addr), + .io_iccm_buf_correct_ecc(mem_ctl_io_iccm_buf_correct_ecc), + .io_iccm_correction_state(mem_ctl_io_iccm_correction_state), + .io_iccm_wren(mem_ctl_io_iccm_wren), + .io_iccm_rden(mem_ctl_io_iccm_rden), + .io_iccm_wr_size(mem_ctl_io_iccm_wr_size), + .io_iccm_wr_data(mem_ctl_io_iccm_wr_data), + .io_iccm_rd_data(mem_ctl_io_iccm_rd_data), + .io_iccm_rd_data_ecc(mem_ctl_io_iccm_rd_data_ecc), + .io_ic_rw_addr(mem_ctl_io_ic_rw_addr), + .io_ic_tag_valid(mem_ctl_io_ic_tag_valid), + .io_ic_wr_en(mem_ctl_io_ic_wr_en), + .io_ic_rd_en(mem_ctl_io_ic_rd_en), + .io_ic_wr_data_0(mem_ctl_io_ic_wr_data_0), + .io_ic_wr_data_1(mem_ctl_io_ic_wr_data_1), + .io_ic_debug_wr_data(mem_ctl_io_ic_debug_wr_data), + .io_ic_debug_addr(mem_ctl_io_ic_debug_addr), + .io_ic_rd_data(mem_ctl_io_ic_rd_data), + .io_ic_debug_rd_data(mem_ctl_io_ic_debug_rd_data), + .io_ic_tag_debug_rd_data(mem_ctl_io_ic_tag_debug_rd_data), + .io_ic_eccerr(mem_ctl_io_ic_eccerr), + .io_ic_rd_hit(mem_ctl_io_ic_rd_hit), + .io_ic_tag_perr(mem_ctl_io_ic_tag_perr), + .io_ic_debug_rd_en(mem_ctl_io_ic_debug_rd_en), + .io_ic_debug_wr_en(mem_ctl_io_ic_debug_wr_en), + .io_ic_debug_tag_array(mem_ctl_io_ic_debug_tag_array), + .io_ic_debug_way(mem_ctl_io_ic_debug_way), + .io_ic_premux_data(mem_ctl_io_ic_premux_data), + .io_ic_sel_premux_data(mem_ctl_io_ic_sel_premux_data), + .io_ifu_fetch_val(mem_ctl_io_ifu_fetch_val), + .io_ifu_ic_mb_empty(mem_ctl_io_ifu_ic_mb_empty), + .io_ic_dma_active(mem_ctl_io_ic_dma_active), + .io_ic_write_stall(mem_ctl_io_ic_write_stall), + .io_iccm_dma_ecc_error(mem_ctl_io_iccm_dma_ecc_error), + .io_iccm_dma_rvalid(mem_ctl_io_iccm_dma_rvalid), + .io_iccm_dma_rdata(mem_ctl_io_iccm_dma_rdata), + .io_iccm_dma_rtag(mem_ctl_io_iccm_dma_rtag), + .io_iccm_ready(mem_ctl_io_iccm_ready), + .io_dec_tlu_flush_lower_wb(mem_ctl_io_dec_tlu_flush_lower_wb), + .io_iccm_rd_ecc_double_err(mem_ctl_io_iccm_rd_ecc_double_err), + .io_iccm_dma_sb_error(mem_ctl_io_iccm_dma_sb_error), + .io_ic_hit_f(mem_ctl_io_ic_hit_f), + .io_ic_access_fault_f(mem_ctl_io_ic_access_fault_f), + .io_ic_access_fault_type_f(mem_ctl_io_ic_access_fault_type_f), + .io_ifu_async_error_start(mem_ctl_io_ifu_async_error_start), + .io_ic_fetch_val_f(mem_ctl_io_ic_fetch_val_f), + .io_ic_data_f(mem_ctl_io_ic_data_f), + .io_scan_mode(mem_ctl_io_scan_mode) + ); + ifu_bp_ctl bp_ctl ( // @[ifu.scala 35:22] + .clock(bp_ctl_clock), + .reset(bp_ctl_reset), + .io_active_clk(bp_ctl_io_active_clk), + .io_ic_hit_f(bp_ctl_io_ic_hit_f), + .io_exu_flush_final(bp_ctl_io_exu_flush_final), + .io_ifc_fetch_addr_f(bp_ctl_io_ifc_fetch_addr_f), + .io_ifc_fetch_req_f(bp_ctl_io_ifc_fetch_req_f), + .io_dec_bp_dec_tlu_br0_r_pkt_valid(bp_ctl_io_dec_bp_dec_tlu_br0_r_pkt_valid), + .io_dec_bp_dec_tlu_br0_r_pkt_bits_hist(bp_ctl_io_dec_bp_dec_tlu_br0_r_pkt_bits_hist), + .io_dec_bp_dec_tlu_br0_r_pkt_bits_br_error(bp_ctl_io_dec_bp_dec_tlu_br0_r_pkt_bits_br_error), + .io_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error(bp_ctl_io_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error), + .io_dec_bp_dec_tlu_br0_r_pkt_bits_way(bp_ctl_io_dec_bp_dec_tlu_br0_r_pkt_bits_way), + .io_dec_bp_dec_tlu_br0_r_pkt_bits_middle(bp_ctl_io_dec_bp_dec_tlu_br0_r_pkt_bits_middle), + .io_dec_bp_dec_tlu_flush_leak_one_wb(bp_ctl_io_dec_bp_dec_tlu_flush_leak_one_wb), + .io_dec_bp_dec_tlu_bpred_disable(bp_ctl_io_dec_bp_dec_tlu_bpred_disable), + .io_dec_tlu_flush_lower_wb(bp_ctl_io_dec_tlu_flush_lower_wb), + .io_exu_bp_exu_i0_br_index_r(bp_ctl_io_exu_bp_exu_i0_br_index_r), + .io_exu_bp_exu_i0_br_fghr_r(bp_ctl_io_exu_bp_exu_i0_br_fghr_r), + .io_exu_bp_exu_mp_pkt_bits_misp(bp_ctl_io_exu_bp_exu_mp_pkt_bits_misp), + .io_exu_bp_exu_mp_pkt_bits_ataken(bp_ctl_io_exu_bp_exu_mp_pkt_bits_ataken), + .io_exu_bp_exu_mp_pkt_bits_boffset(bp_ctl_io_exu_bp_exu_mp_pkt_bits_boffset), + .io_exu_bp_exu_mp_pkt_bits_pc4(bp_ctl_io_exu_bp_exu_mp_pkt_bits_pc4), + .io_exu_bp_exu_mp_pkt_bits_hist(bp_ctl_io_exu_bp_exu_mp_pkt_bits_hist), + .io_exu_bp_exu_mp_pkt_bits_toffset(bp_ctl_io_exu_bp_exu_mp_pkt_bits_toffset), + .io_exu_bp_exu_mp_pkt_bits_pcall(bp_ctl_io_exu_bp_exu_mp_pkt_bits_pcall), + .io_exu_bp_exu_mp_pkt_bits_pret(bp_ctl_io_exu_bp_exu_mp_pkt_bits_pret), + .io_exu_bp_exu_mp_pkt_bits_pja(bp_ctl_io_exu_bp_exu_mp_pkt_bits_pja), + .io_exu_bp_exu_mp_pkt_bits_way(bp_ctl_io_exu_bp_exu_mp_pkt_bits_way), + .io_exu_bp_exu_mp_eghr(bp_ctl_io_exu_bp_exu_mp_eghr), + .io_exu_bp_exu_mp_fghr(bp_ctl_io_exu_bp_exu_mp_fghr), + .io_exu_bp_exu_mp_index(bp_ctl_io_exu_bp_exu_mp_index), + .io_exu_bp_exu_mp_btag(bp_ctl_io_exu_bp_exu_mp_btag), + .io_ifu_bp_hit_taken_f(bp_ctl_io_ifu_bp_hit_taken_f), + .io_ifu_bp_btb_target_f(bp_ctl_io_ifu_bp_btb_target_f), + .io_ifu_bp_inst_mask_f(bp_ctl_io_ifu_bp_inst_mask_f), + .io_ifu_bp_fghr_f(bp_ctl_io_ifu_bp_fghr_f), + .io_ifu_bp_way_f(bp_ctl_io_ifu_bp_way_f), + .io_ifu_bp_ret_f(bp_ctl_io_ifu_bp_ret_f), + .io_ifu_bp_hist1_f(bp_ctl_io_ifu_bp_hist1_f), + .io_ifu_bp_hist0_f(bp_ctl_io_ifu_bp_hist0_f), + .io_ifu_bp_pc4_f(bp_ctl_io_ifu_bp_pc4_f), + .io_ifu_bp_valid_f(bp_ctl_io_ifu_bp_valid_f), + .io_ifu_bp_poffset_f(bp_ctl_io_ifu_bp_poffset_f), + .io_scan_mode(bp_ctl_io_scan_mode) + ); + ifu_aln_ctl aln_ctl ( // @[ifu.scala 36:23] + .clock(aln_ctl_clock), + .reset(aln_ctl_reset), + .io_scan_mode(aln_ctl_io_scan_mode), + .io_active_clk(aln_ctl_io_active_clk), + .io_ifu_async_error_start(aln_ctl_io_ifu_async_error_start), + .io_iccm_rd_ecc_double_err(aln_ctl_io_iccm_rd_ecc_double_err), + .io_ic_access_fault_f(aln_ctl_io_ic_access_fault_f), + .io_ic_access_fault_type_f(aln_ctl_io_ic_access_fault_type_f), + .io_ifu_bp_fghr_f(aln_ctl_io_ifu_bp_fghr_f), + .io_ifu_bp_btb_target_f(aln_ctl_io_ifu_bp_btb_target_f), + .io_ifu_bp_poffset_f(aln_ctl_io_ifu_bp_poffset_f), + .io_ifu_bp_hist0_f(aln_ctl_io_ifu_bp_hist0_f), + .io_ifu_bp_hist1_f(aln_ctl_io_ifu_bp_hist1_f), + .io_ifu_bp_pc4_f(aln_ctl_io_ifu_bp_pc4_f), + .io_ifu_bp_way_f(aln_ctl_io_ifu_bp_way_f), + .io_ifu_bp_valid_f(aln_ctl_io_ifu_bp_valid_f), + .io_ifu_bp_ret_f(aln_ctl_io_ifu_bp_ret_f), + .io_exu_flush_final(aln_ctl_io_exu_flush_final), + .io_dec_aln_aln_dec_dec_i0_decode_d(aln_ctl_io_dec_aln_aln_dec_dec_i0_decode_d), + .io_dec_aln_aln_dec_ifu_i0_cinst(aln_ctl_io_dec_aln_aln_dec_ifu_i0_cinst), + .io_dec_aln_aln_ib_ifu_i0_icaf(aln_ctl_io_dec_aln_aln_ib_ifu_i0_icaf), + .io_dec_aln_aln_ib_ifu_i0_icaf_type(aln_ctl_io_dec_aln_aln_ib_ifu_i0_icaf_type), + .io_dec_aln_aln_ib_ifu_i0_icaf_f1(aln_ctl_io_dec_aln_aln_ib_ifu_i0_icaf_f1), + .io_dec_aln_aln_ib_ifu_i0_dbecc(aln_ctl_io_dec_aln_aln_ib_ifu_i0_dbecc), + .io_dec_aln_aln_ib_ifu_i0_bp_index(aln_ctl_io_dec_aln_aln_ib_ifu_i0_bp_index), + .io_dec_aln_aln_ib_ifu_i0_bp_fghr(aln_ctl_io_dec_aln_aln_ib_ifu_i0_bp_fghr), + .io_dec_aln_aln_ib_ifu_i0_bp_btag(aln_ctl_io_dec_aln_aln_ib_ifu_i0_bp_btag), + .io_dec_aln_aln_ib_ifu_i0_valid(aln_ctl_io_dec_aln_aln_ib_ifu_i0_valid), + .io_dec_aln_aln_ib_ifu_i0_instr(aln_ctl_io_dec_aln_aln_ib_ifu_i0_instr), + .io_dec_aln_aln_ib_ifu_i0_pc(aln_ctl_io_dec_aln_aln_ib_ifu_i0_pc), + .io_dec_aln_aln_ib_ifu_i0_pc4(aln_ctl_io_dec_aln_aln_ib_ifu_i0_pc4), + .io_dec_aln_aln_ib_i0_brp_valid(aln_ctl_io_dec_aln_aln_ib_i0_brp_valid), + .io_dec_aln_aln_ib_i0_brp_bits_toffset(aln_ctl_io_dec_aln_aln_ib_i0_brp_bits_toffset), + .io_dec_aln_aln_ib_i0_brp_bits_hist(aln_ctl_io_dec_aln_aln_ib_i0_brp_bits_hist), + .io_dec_aln_aln_ib_i0_brp_bits_br_error(aln_ctl_io_dec_aln_aln_ib_i0_brp_bits_br_error), + .io_dec_aln_aln_ib_i0_brp_bits_br_start_error(aln_ctl_io_dec_aln_aln_ib_i0_brp_bits_br_start_error), + .io_dec_aln_aln_ib_i0_brp_bits_bank(aln_ctl_io_dec_aln_aln_ib_i0_brp_bits_bank), + .io_dec_aln_aln_ib_i0_brp_bits_prett(aln_ctl_io_dec_aln_aln_ib_i0_brp_bits_prett), + .io_dec_aln_aln_ib_i0_brp_bits_way(aln_ctl_io_dec_aln_aln_ib_i0_brp_bits_way), + .io_dec_aln_aln_ib_i0_brp_bits_ret(aln_ctl_io_dec_aln_aln_ib_i0_brp_bits_ret), + .io_dec_aln_ifu_pmu_instr_aligned(aln_ctl_io_dec_aln_ifu_pmu_instr_aligned), + .io_ifu_fetch_data_f(aln_ctl_io_ifu_fetch_data_f), + .io_ifu_fetch_val(aln_ctl_io_ifu_fetch_val), + .io_ifu_fetch_pc(aln_ctl_io_ifu_fetch_pc), + .io_ifu_fb_consume1(aln_ctl_io_ifu_fb_consume1), + .io_ifu_fb_consume2(aln_ctl_io_ifu_fb_consume2) + ); + ifu_ifc_ctl ifc_ctl ( // @[ifu.scala 37:23] + .clock(ifc_ctl_clock), + .reset(ifc_ctl_reset), + .io_exu_flush_final(ifc_ctl_io_exu_flush_final), + .io_exu_flush_path_final(ifc_ctl_io_exu_flush_path_final), + .io_free_clk(ifc_ctl_io_free_clk), + .io_active_clk(ifc_ctl_io_active_clk), + .io_scan_mode(ifc_ctl_io_scan_mode), + .io_ic_hit_f(ifc_ctl_io_ic_hit_f), + .io_ifu_ic_mb_empty(ifc_ctl_io_ifu_ic_mb_empty), + .io_ifu_fb_consume1(ifc_ctl_io_ifu_fb_consume1), + .io_ifu_fb_consume2(ifc_ctl_io_ifu_fb_consume2), + .io_ifu_bp_hit_taken_f(ifc_ctl_io_ifu_bp_hit_taken_f), + .io_ifu_bp_btb_target_f(ifc_ctl_io_ifu_bp_btb_target_f), + .io_ic_dma_active(ifc_ctl_io_ic_dma_active), + .io_ic_write_stall(ifc_ctl_io_ic_write_stall), + .io_dec_ifc_dec_tlu_flush_noredir_wb(ifc_ctl_io_dec_ifc_dec_tlu_flush_noredir_wb), + .io_dec_ifc_dec_tlu_mrac_ff(ifc_ctl_io_dec_ifc_dec_tlu_mrac_ff), + .io_dec_ifc_ifu_pmu_fetch_stall(ifc_ctl_io_dec_ifc_ifu_pmu_fetch_stall), + .io_dma_ifc_dma_iccm_stall_any(ifc_ctl_io_dma_ifc_dma_iccm_stall_any), + .io_ifc_fetch_addr_f(ifc_ctl_io_ifc_fetch_addr_f), + .io_ifc_fetch_addr_bf(ifc_ctl_io_ifc_fetch_addr_bf), + .io_ifc_fetch_req_f(ifc_ctl_io_ifc_fetch_req_f), + .io_ifc_fetch_uncacheable_bf(ifc_ctl_io_ifc_fetch_uncacheable_bf), + .io_ifc_fetch_req_bf(ifc_ctl_io_ifc_fetch_req_bf), + .io_ifc_fetch_req_bf_raw(ifc_ctl_io_ifc_fetch_req_bf_raw), + .io_ifc_iccm_access_bf(ifc_ctl_io_ifc_iccm_access_bf), + .io_ifc_region_acc_fault_bf(ifc_ctl_io_ifc_region_acc_fault_bf), + .io_ifc_dma_access_ok(ifc_ctl_io_ifc_dma_access_ok) + ); + assign io_ifu_dec_dec_aln_aln_dec_ifu_i0_cinst = aln_ctl_io_dec_aln_aln_dec_ifu_i0_cinst; // @[ifu.scala 73:22] + assign io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf = aln_ctl_io_dec_aln_aln_ib_ifu_i0_icaf; // @[ifu.scala 73:22] + assign io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_type = aln_ctl_io_dec_aln_aln_ib_ifu_i0_icaf_type; // @[ifu.scala 73:22] + assign io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_f1 = aln_ctl_io_dec_aln_aln_ib_ifu_i0_icaf_f1; // @[ifu.scala 73:22] + assign io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc = aln_ctl_io_dec_aln_aln_ib_ifu_i0_dbecc; // @[ifu.scala 73:22] + assign io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_index = aln_ctl_io_dec_aln_aln_ib_ifu_i0_bp_index; // @[ifu.scala 73:22] + assign io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_fghr = aln_ctl_io_dec_aln_aln_ib_ifu_i0_bp_fghr; // @[ifu.scala 73:22] + assign io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_btag = aln_ctl_io_dec_aln_aln_ib_ifu_i0_bp_btag; // @[ifu.scala 73:22] + assign io_ifu_dec_dec_aln_aln_ib_ifu_i0_valid = aln_ctl_io_dec_aln_aln_ib_ifu_i0_valid; // @[ifu.scala 73:22] + assign io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr = aln_ctl_io_dec_aln_aln_ib_ifu_i0_instr; // @[ifu.scala 73:22] + assign io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc = aln_ctl_io_dec_aln_aln_ib_ifu_i0_pc; // @[ifu.scala 73:22] + assign io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc4 = aln_ctl_io_dec_aln_aln_ib_ifu_i0_pc4; // @[ifu.scala 73:22] + assign io_ifu_dec_dec_aln_aln_ib_i0_brp_valid = aln_ctl_io_dec_aln_aln_ib_i0_brp_valid; // @[ifu.scala 73:22] + assign io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset = aln_ctl_io_dec_aln_aln_ib_i0_brp_bits_toffset; // @[ifu.scala 73:22] + assign io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist = aln_ctl_io_dec_aln_aln_ib_i0_brp_bits_hist; // @[ifu.scala 73:22] + assign io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error = aln_ctl_io_dec_aln_aln_ib_i0_brp_bits_br_error; // @[ifu.scala 73:22] + assign io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error = aln_ctl_io_dec_aln_aln_ib_i0_brp_bits_br_start_error; // @[ifu.scala 73:22] + assign io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_bank = aln_ctl_io_dec_aln_aln_ib_i0_brp_bits_bank; // @[ifu.scala 73:22] + assign io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_prett = aln_ctl_io_dec_aln_aln_ib_i0_brp_bits_prett; // @[ifu.scala 73:22] + assign io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_way = aln_ctl_io_dec_aln_aln_ib_i0_brp_bits_way; // @[ifu.scala 73:22] + assign io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret = aln_ctl_io_dec_aln_aln_ib_i0_brp_bits_ret; // @[ifu.scala 73:22] + assign io_ifu_dec_dec_aln_ifu_pmu_instr_aligned = aln_ctl_io_dec_aln_ifu_pmu_instr_aligned; // @[ifu.scala 73:22] + assign io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_miss = mem_ctl_io_dec_mem_ctrl_ifu_pmu_ic_miss; // @[ifu.scala 93:27] + assign io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_hit = mem_ctl_io_dec_mem_ctrl_ifu_pmu_ic_hit; // @[ifu.scala 93:27] + assign io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_error = mem_ctl_io_dec_mem_ctrl_ifu_pmu_bus_error; // @[ifu.scala 93:27] + assign io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_busy = mem_ctl_io_dec_mem_ctrl_ifu_pmu_bus_busy; // @[ifu.scala 93:27] + assign io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_trxn = mem_ctl_io_dec_mem_ctrl_ifu_pmu_bus_trxn; // @[ifu.scala 93:27] + assign io_ifu_dec_dec_mem_ctrl_ifu_ic_error_start = mem_ctl_io_dec_mem_ctrl_ifu_ic_error_start; // @[ifu.scala 93:27] + assign io_ifu_dec_dec_mem_ctrl_ifu_iccm_rd_ecc_single_err = mem_ctl_io_dec_mem_ctrl_ifu_iccm_rd_ecc_single_err; // @[ifu.scala 93:27] + assign io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data = mem_ctl_io_dec_mem_ctrl_ifu_ic_debug_rd_data; // @[ifu.scala 93:27] + assign io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data_valid = mem_ctl_io_dec_mem_ctrl_ifu_ic_debug_rd_data_valid; // @[ifu.scala 93:27] + assign io_ifu_dec_dec_mem_ctrl_ifu_miss_state_idle = mem_ctl_io_dec_mem_ctrl_ifu_miss_state_idle; // @[ifu.scala 93:27] + assign io_ifu_dec_dec_ifc_ifu_pmu_fetch_stall = ifc_ctl_io_dec_ifc_ifu_pmu_fetch_stall; // @[ifu.scala 46:22] + assign io_iccm_rw_addr = mem_ctl_io_iccm_rw_addr; // @[ifu.scala 107:19] + assign io_iccm_buf_correct_ecc = mem_ctl_io_iccm_buf_correct_ecc; // @[ifu.scala 107:19] + assign io_iccm_correction_state = mem_ctl_io_iccm_correction_state; // @[ifu.scala 107:19] + assign io_iccm_wren = mem_ctl_io_iccm_wren; // @[ifu.scala 107:19] + assign io_iccm_rden = mem_ctl_io_iccm_rden; // @[ifu.scala 107:19] + assign io_iccm_wr_size = mem_ctl_io_iccm_wr_size; // @[ifu.scala 107:19] + assign io_iccm_wr_data = mem_ctl_io_iccm_wr_data; // @[ifu.scala 107:19] + assign io_ic_rw_addr = mem_ctl_io_ic_rw_addr; // @[ifu.scala 106:17] + assign io_ic_tag_valid = mem_ctl_io_ic_tag_valid; // @[ifu.scala 106:17] + assign io_ic_wr_en = mem_ctl_io_ic_wr_en; // @[ifu.scala 106:17] + assign io_ic_rd_en = mem_ctl_io_ic_rd_en; // @[ifu.scala 106:17] + assign io_ic_wr_data_0 = mem_ctl_io_ic_wr_data_0; // @[ifu.scala 106:17] + assign io_ic_wr_data_1 = mem_ctl_io_ic_wr_data_1; // @[ifu.scala 106:17] + assign io_ic_debug_wr_data = mem_ctl_io_ic_debug_wr_data; // @[ifu.scala 106:17] + assign io_ic_debug_addr = mem_ctl_io_ic_debug_addr; // @[ifu.scala 106:17] + assign io_ic_debug_rd_en = mem_ctl_io_ic_debug_rd_en; // @[ifu.scala 106:17] + assign io_ic_debug_wr_en = mem_ctl_io_ic_debug_wr_en; // @[ifu.scala 106:17] + assign io_ic_debug_tag_array = mem_ctl_io_ic_debug_tag_array; // @[ifu.scala 106:17] + assign io_ic_debug_way = mem_ctl_io_ic_debug_way; // @[ifu.scala 106:17] + assign io_ic_premux_data = mem_ctl_io_ic_premux_data; // @[ifu.scala 106:17] + assign io_ic_sel_premux_data = mem_ctl_io_ic_sel_premux_data; // @[ifu.scala 106:17] + assign io_ifu_aw_valid = 1'h0; // @[ifu.scala 103:22] + assign io_ifu_aw_bits_id = 3'h0; // @[ifu.scala 103:22] + assign io_ifu_aw_bits_addr = 32'h0; // @[ifu.scala 103:22] + assign io_ifu_aw_bits_region = 4'h0; // @[ifu.scala 103:22] + assign io_ifu_aw_bits_len = 8'h0; // @[ifu.scala 103:22] + assign io_ifu_aw_bits_size = 3'h0; // @[ifu.scala 103:22] + assign io_ifu_aw_bits_burst = 2'h0; // @[ifu.scala 103:22] + assign io_ifu_aw_bits_lock = 1'h0; // @[ifu.scala 103:22] + assign io_ifu_aw_bits_cache = 4'h0; // @[ifu.scala 103:22] + assign io_ifu_aw_bits_prot = 3'h0; // @[ifu.scala 103:22] + assign io_ifu_aw_bits_qos = 4'h0; // @[ifu.scala 103:22] + assign io_ifu_w_valid = 1'h0; // @[ifu.scala 103:22] + assign io_ifu_w_bits_data = 64'h0; // @[ifu.scala 103:22] + assign io_ifu_w_bits_strb = 8'h0; // @[ifu.scala 103:22] + assign io_ifu_w_bits_last = 1'h0; // @[ifu.scala 103:22] + assign io_ifu_b_ready = 1'h0; // @[ifu.scala 103:22] + assign io_ifu_ar_valid = mem_ctl_io_ifu_axi_ar_valid; // @[ifu.scala 103:22] + assign io_ifu_ar_bits_id = mem_ctl_io_ifu_axi_ar_bits_id; // @[ifu.scala 103:22] + assign io_ifu_ar_bits_addr = mem_ctl_io_ifu_axi_ar_bits_addr; // @[ifu.scala 103:22] + assign io_ifu_ar_bits_region = mem_ctl_io_ifu_axi_ar_bits_region; // @[ifu.scala 103:22] + assign io_ifu_ar_bits_len = 8'h0; // @[ifu.scala 103:22] + assign io_ifu_ar_bits_size = 3'h3; // @[ifu.scala 103:22] + assign io_ifu_ar_bits_burst = 2'h1; // @[ifu.scala 103:22] + assign io_ifu_ar_bits_lock = 1'h0; // @[ifu.scala 103:22] + assign io_ifu_ar_bits_cache = 4'hf; // @[ifu.scala 103:22] + assign io_ifu_ar_bits_prot = 3'h0; // @[ifu.scala 103:22] + assign io_ifu_ar_bits_qos = 4'h0; // @[ifu.scala 103:22] + assign io_ifu_r_ready = 1'h1; // @[ifu.scala 103:22] + assign io_iccm_dma_ecc_error = mem_ctl_io_iccm_dma_ecc_error; // @[ifu.scala 113:25] + assign io_iccm_dma_rvalid = mem_ctl_io_iccm_dma_rvalid; // @[ifu.scala 114:22] + assign io_iccm_dma_rdata = mem_ctl_io_iccm_dma_rdata; // @[ifu.scala 115:21] + assign io_iccm_dma_rtag = mem_ctl_io_iccm_dma_rtag; // @[ifu.scala 116:20] + assign io_iccm_ready = mem_ctl_io_iccm_ready; // @[ifu.scala 117:17] + assign io_iccm_dma_sb_error = mem_ctl_io_iccm_dma_sb_error; // @[ifu.scala 118:24] + assign mem_ctl_clock = clock; + assign mem_ctl_reset = reset; + assign mem_ctl_io_free_clk = io_free_clk; // @[ifu.scala 90:23] + assign mem_ctl_io_active_clk = io_active_clk; // @[ifu.scala 91:25] + assign mem_ctl_io_exu_flush_final = io_exu_flush_final; // @[ifu.scala 92:30] + assign mem_ctl_io_dec_mem_ctrl_dec_tlu_flush_err_wb = io_ifu_dec_dec_mem_ctrl_dec_tlu_flush_err_wb; // @[ifu.scala 93:27] + assign mem_ctl_io_dec_mem_ctrl_dec_tlu_i0_commit_cmt = io_ifu_dec_dec_mem_ctrl_dec_tlu_i0_commit_cmt; // @[ifu.scala 93:27] + assign mem_ctl_io_dec_mem_ctrl_dec_tlu_force_halt = io_ifu_dec_dec_mem_ctrl_dec_tlu_force_halt; // @[ifu.scala 93:27] + assign mem_ctl_io_dec_mem_ctrl_dec_tlu_fence_i_wb = io_ifu_dec_dec_mem_ctrl_dec_tlu_fence_i_wb; // @[ifu.scala 93:27] + assign mem_ctl_io_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wrdata = io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wrdata; // @[ifu.scala 93:27] + assign mem_ctl_io_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_dicawics = io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_dicawics; // @[ifu.scala 93:27] + assign mem_ctl_io_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_rd_valid = io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[ifu.scala 93:27] + assign mem_ctl_io_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wr_valid = io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[ifu.scala 93:27] + assign mem_ctl_io_dec_mem_ctrl_dec_tlu_core_ecc_disable = io_ifu_dec_dec_mem_ctrl_dec_tlu_core_ecc_disable; // @[ifu.scala 93:27] + assign mem_ctl_io_ifc_fetch_addr_bf = ifc_ctl_io_ifc_fetch_addr_bf; // @[ifu.scala 94:32] + assign mem_ctl_io_ifc_fetch_uncacheable_bf = ifc_ctl_io_ifc_fetch_uncacheable_bf; // @[ifu.scala 95:39] + assign mem_ctl_io_ifc_fetch_req_bf = ifc_ctl_io_ifc_fetch_req_bf; // @[ifu.scala 96:31] + assign mem_ctl_io_ifc_fetch_req_bf_raw = ifc_ctl_io_ifc_fetch_req_bf_raw; // @[ifu.scala 97:35] + assign mem_ctl_io_ifc_iccm_access_bf = ifc_ctl_io_ifc_iccm_access_bf; // @[ifu.scala 98:33] + assign mem_ctl_io_ifc_region_acc_fault_bf = ifc_ctl_io_ifc_region_acc_fault_bf; // @[ifu.scala 99:38] + assign mem_ctl_io_ifc_dma_access_ok = ifc_ctl_io_ifc_dma_access_ok; // @[ifu.scala 100:32] + assign mem_ctl_io_ifu_bp_hit_taken_f = bp_ctl_io_ifu_bp_hit_taken_f; // @[ifu.scala 101:33] + assign mem_ctl_io_ifu_bp_inst_mask_f = bp_ctl_io_ifu_bp_inst_mask_f; // @[ifu.scala 102:33] + assign mem_ctl_io_ifu_axi_ar_ready = io_ifu_ar_ready; // @[ifu.scala 103:22] + assign mem_ctl_io_ifu_axi_r_valid = io_ifu_r_valid; // @[ifu.scala 103:22] + assign mem_ctl_io_ifu_axi_r_bits_id = io_ifu_r_bits_id; // @[ifu.scala 103:22] + assign mem_ctl_io_ifu_axi_r_bits_data = io_ifu_r_bits_data; // @[ifu.scala 103:22] + assign mem_ctl_io_ifu_axi_r_bits_resp = io_ifu_r_bits_resp; // @[ifu.scala 103:22] + assign mem_ctl_io_ifu_bus_clk_en = io_ifu_bus_clk_en; // @[ifu.scala 104:29] + assign mem_ctl_io_dma_mem_ctl_dma_iccm_req = io_ifu_dma_dma_mem_ctl_dma_iccm_req; // @[ifu.scala 105:26] + assign mem_ctl_io_dma_mem_ctl_dma_mem_addr = io_ifu_dma_dma_mem_ctl_dma_mem_addr; // @[ifu.scala 105:26] + assign mem_ctl_io_dma_mem_ctl_dma_mem_sz = io_ifu_dma_dma_mem_ctl_dma_mem_sz; // @[ifu.scala 105:26] + assign mem_ctl_io_dma_mem_ctl_dma_mem_write = io_ifu_dma_dma_mem_ctl_dma_mem_write; // @[ifu.scala 105:26] + assign mem_ctl_io_dma_mem_ctl_dma_mem_wdata = io_ifu_dma_dma_mem_ctl_dma_mem_wdata; // @[ifu.scala 105:26] + assign mem_ctl_io_dma_mem_ctl_dma_mem_tag = io_ifu_dma_dma_mem_ctl_dma_mem_tag; // @[ifu.scala 105:26] + assign mem_ctl_io_iccm_rd_data = io_iccm_rd_data; // @[ifu.scala 107:19] + assign mem_ctl_io_iccm_rd_data_ecc = io_iccm_rd_data_ecc; // @[ifu.scala 107:19] + assign mem_ctl_io_ic_rd_data = io_ic_rd_data; // @[ifu.scala 106:17] + assign mem_ctl_io_ic_debug_rd_data = io_ic_debug_rd_data; // @[ifu.scala 106:17] + assign mem_ctl_io_ic_tag_debug_rd_data = io_ic_tag_debug_rd_data; // @[ifu.scala 106:17] + assign mem_ctl_io_ic_eccerr = io_ic_eccerr; // @[ifu.scala 106:17] + assign mem_ctl_io_ic_rd_hit = io_ic_rd_hit; // @[ifu.scala 106:17] + assign mem_ctl_io_ic_tag_perr = io_ic_tag_perr; // @[ifu.scala 106:17] + assign mem_ctl_io_ifu_fetch_val = mem_ctl_io_ic_fetch_val_f; // @[ifu.scala 108:28] + assign mem_ctl_io_dec_tlu_flush_lower_wb = io_dec_tlu_flush_lower_wb; // @[ifu.scala 109:37] + assign mem_ctl_io_scan_mode = io_scan_mode; // @[ifu.scala 110:24] + assign bp_ctl_clock = clock; + assign bp_ctl_reset = reset; + assign bp_ctl_io_active_clk = io_active_clk; // @[ifu.scala 80:24] + assign bp_ctl_io_ic_hit_f = mem_ctl_io_ic_hit_f; // @[ifu.scala 81:22] + assign bp_ctl_io_exu_flush_final = io_exu_flush_final; // @[ifu.scala 86:29] + assign bp_ctl_io_ifc_fetch_addr_f = ifc_ctl_io_ifc_fetch_addr_f; // @[ifu.scala 82:30] + assign bp_ctl_io_ifc_fetch_req_f = ifc_ctl_io_ifc_fetch_req_f; // @[ifu.scala 83:29] + assign bp_ctl_io_dec_bp_dec_tlu_br0_r_pkt_valid = io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_valid; // @[ifu.scala 84:20] + assign bp_ctl_io_dec_bp_dec_tlu_br0_r_pkt_bits_hist = io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_hist; // @[ifu.scala 84:20] + assign bp_ctl_io_dec_bp_dec_tlu_br0_r_pkt_bits_br_error = io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_error; // @[ifu.scala 84:20] + assign bp_ctl_io_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error = io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error; // @[ifu.scala 84:20] + assign bp_ctl_io_dec_bp_dec_tlu_br0_r_pkt_bits_way = io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_way; // @[ifu.scala 84:20] + assign bp_ctl_io_dec_bp_dec_tlu_br0_r_pkt_bits_middle = io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_middle; // @[ifu.scala 84:20] + assign bp_ctl_io_dec_bp_dec_tlu_flush_leak_one_wb = io_ifu_dec_dec_bp_dec_tlu_flush_leak_one_wb; // @[ifu.scala 84:20] + assign bp_ctl_io_dec_bp_dec_tlu_bpred_disable = io_ifu_dec_dec_bp_dec_tlu_bpred_disable; // @[ifu.scala 84:20] + assign bp_ctl_io_dec_tlu_flush_lower_wb = io_dec_tlu_flush_lower_wb; // @[ifu.scala 87:36] + assign bp_ctl_io_exu_bp_exu_i0_br_index_r = io_exu_ifu_exu_bp_exu_i0_br_index_r; // @[ifu.scala 85:20] + assign bp_ctl_io_exu_bp_exu_i0_br_fghr_r = io_exu_ifu_exu_bp_exu_i0_br_fghr_r; // @[ifu.scala 85:20] + assign bp_ctl_io_exu_bp_exu_mp_pkt_bits_misp = io_exu_ifu_exu_bp_exu_mp_pkt_bits_misp; // @[ifu.scala 85:20] + assign bp_ctl_io_exu_bp_exu_mp_pkt_bits_ataken = io_exu_ifu_exu_bp_exu_mp_pkt_bits_ataken; // @[ifu.scala 85:20] + assign bp_ctl_io_exu_bp_exu_mp_pkt_bits_boffset = io_exu_ifu_exu_bp_exu_mp_pkt_bits_boffset; // @[ifu.scala 85:20] + assign bp_ctl_io_exu_bp_exu_mp_pkt_bits_pc4 = io_exu_ifu_exu_bp_exu_mp_pkt_bits_pc4; // @[ifu.scala 85:20] + assign bp_ctl_io_exu_bp_exu_mp_pkt_bits_hist = io_exu_ifu_exu_bp_exu_mp_pkt_bits_hist; // @[ifu.scala 85:20] + assign bp_ctl_io_exu_bp_exu_mp_pkt_bits_toffset = io_exu_ifu_exu_bp_exu_mp_pkt_bits_toffset; // @[ifu.scala 85:20] + assign bp_ctl_io_exu_bp_exu_mp_pkt_bits_pcall = io_exu_ifu_exu_bp_exu_mp_pkt_bits_pcall; // @[ifu.scala 85:20] + assign bp_ctl_io_exu_bp_exu_mp_pkt_bits_pret = io_exu_ifu_exu_bp_exu_mp_pkt_bits_pret; // @[ifu.scala 85:20] + assign bp_ctl_io_exu_bp_exu_mp_pkt_bits_pja = io_exu_ifu_exu_bp_exu_mp_pkt_bits_pja; // @[ifu.scala 85:20] + assign bp_ctl_io_exu_bp_exu_mp_pkt_bits_way = io_exu_ifu_exu_bp_exu_mp_pkt_bits_way; // @[ifu.scala 85:20] + assign bp_ctl_io_exu_bp_exu_mp_eghr = io_exu_ifu_exu_bp_exu_mp_eghr; // @[ifu.scala 85:20] + assign bp_ctl_io_exu_bp_exu_mp_fghr = io_exu_ifu_exu_bp_exu_mp_fghr; // @[ifu.scala 85:20] + assign bp_ctl_io_exu_bp_exu_mp_index = io_exu_ifu_exu_bp_exu_mp_index; // @[ifu.scala 85:20] + assign bp_ctl_io_exu_bp_exu_mp_btag = io_exu_ifu_exu_bp_exu_mp_btag; // @[ifu.scala 85:20] + assign bp_ctl_io_scan_mode = io_scan_mode; // @[ifu.scala 79:23] + assign aln_ctl_clock = clock; + assign aln_ctl_reset = reset; + assign aln_ctl_io_scan_mode = io_scan_mode; // @[ifu.scala 57:24] + assign aln_ctl_io_active_clk = io_active_clk; // @[ifu.scala 58:25] + assign aln_ctl_io_ifu_async_error_start = mem_ctl_io_ifu_async_error_start; // @[ifu.scala 59:36] + assign aln_ctl_io_iccm_rd_ecc_double_err = mem_ctl_io_iccm_rd_ecc_double_err; // @[ifu.scala 60:37] + assign aln_ctl_io_ic_access_fault_f = mem_ctl_io_ic_access_fault_f; // @[ifu.scala 61:32] + assign aln_ctl_io_ic_access_fault_type_f = mem_ctl_io_ic_access_fault_type_f; // @[ifu.scala 62:37] + assign aln_ctl_io_ifu_bp_fghr_f = bp_ctl_io_ifu_bp_fghr_f; // @[ifu.scala 63:28] + assign aln_ctl_io_ifu_bp_btb_target_f = bp_ctl_io_ifu_bp_btb_target_f; // @[ifu.scala 64:34] + assign aln_ctl_io_ifu_bp_poffset_f = bp_ctl_io_ifu_bp_poffset_f; // @[ifu.scala 65:31] + assign aln_ctl_io_ifu_bp_hist0_f = bp_ctl_io_ifu_bp_hist0_f; // @[ifu.scala 66:29] + assign aln_ctl_io_ifu_bp_hist1_f = bp_ctl_io_ifu_bp_hist1_f; // @[ifu.scala 67:29] + assign aln_ctl_io_ifu_bp_pc4_f = bp_ctl_io_ifu_bp_pc4_f; // @[ifu.scala 68:27] + assign aln_ctl_io_ifu_bp_way_f = bp_ctl_io_ifu_bp_way_f; // @[ifu.scala 69:27] + assign aln_ctl_io_ifu_bp_valid_f = bp_ctl_io_ifu_bp_valid_f; // @[ifu.scala 70:29] + assign aln_ctl_io_ifu_bp_ret_f = bp_ctl_io_ifu_bp_ret_f; // @[ifu.scala 71:27] + assign aln_ctl_io_exu_flush_final = io_exu_flush_final; // @[ifu.scala 72:30] + assign aln_ctl_io_dec_aln_aln_dec_dec_i0_decode_d = io_ifu_dec_dec_aln_aln_dec_dec_i0_decode_d; // @[ifu.scala 73:22] + assign aln_ctl_io_ifu_fetch_data_f = mem_ctl_io_ic_data_f; // @[ifu.scala 74:31] + assign aln_ctl_io_ifu_fetch_val = mem_ctl_io_ifu_fetch_val; // @[ifu.scala 75:28] + assign aln_ctl_io_ifu_fetch_pc = ifc_ctl_io_ifc_fetch_addr_f; // @[ifu.scala 76:27] + assign ifc_ctl_clock = clock; + assign ifc_ctl_reset = reset; + assign ifc_ctl_io_exu_flush_final = io_exu_flush_final; // @[ifu.scala 47:30] + assign ifc_ctl_io_exu_flush_path_final = io_exu_flush_path_final; // @[ifu.scala 54:35] + assign ifc_ctl_io_free_clk = io_free_clk; // @[ifu.scala 41:23] + assign ifc_ctl_io_active_clk = io_active_clk; // @[ifu.scala 40:25] + assign ifc_ctl_io_scan_mode = io_scan_mode; // @[ifu.scala 42:24] + assign ifc_ctl_io_ic_hit_f = mem_ctl_io_ic_hit_f; // @[ifu.scala 43:23] + assign ifc_ctl_io_ifu_ic_mb_empty = mem_ctl_io_ifu_ic_mb_empty; // @[ifu.scala 53:30] + assign ifc_ctl_io_ifu_fb_consume1 = aln_ctl_io_ifu_fb_consume1; // @[ifu.scala 44:30] + assign ifc_ctl_io_ifu_fb_consume2 = aln_ctl_io_ifu_fb_consume2; // @[ifu.scala 45:30] + assign ifc_ctl_io_ifu_bp_hit_taken_f = bp_ctl_io_ifu_bp_hit_taken_f; // @[ifu.scala 48:33] + assign ifc_ctl_io_ifu_bp_btb_target_f = bp_ctl_io_ifu_bp_btb_target_f; // @[ifu.scala 49:34] + assign ifc_ctl_io_ic_dma_active = mem_ctl_io_ic_dma_active; // @[ifu.scala 50:28] + assign ifc_ctl_io_ic_write_stall = mem_ctl_io_ic_write_stall; // @[ifu.scala 51:29] + assign ifc_ctl_io_dec_ifc_dec_tlu_flush_noredir_wb = io_ifu_dec_dec_ifc_dec_tlu_flush_noredir_wb; // @[ifu.scala 46:22] + assign ifc_ctl_io_dec_ifc_dec_tlu_mrac_ff = io_ifu_dec_dec_ifc_dec_tlu_mrac_ff; // @[ifu.scala 46:22] + assign ifc_ctl_io_dma_ifc_dma_iccm_stall_any = io_ifu_dma_dma_ifc_dma_iccm_stall_any; // @[ifu.scala 52:22] +endmodule diff --git a/lsu.anno.json b/lsu.anno.json new file mode 100644 index 00000000..3a56a59b --- /dev/null +++ b/lsu.anno.json @@ -0,0 +1,506 @@ +[ + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_dec_tlu_busbuff_lsu_pmu_bus_trxn", + "sources":[ + "~lsu|lsu>io_axi_ar_ready", + "~lsu|lsu>io_axi_aw_ready", + "~lsu|lsu>io_axi_w_ready" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_single_ecc_error_incr", + "sources":[ + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r", + "~lsu|lsu>io_dec_tlu_core_ecc_disable", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_dma_dccm_ready", + "sources":[ + "~lsu|lsu>io_dec_lsu_valid_raw_d" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_dccm_wr_addr_lo", + "sources":[ + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_dccm_req", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_write", + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_lsu_p_bits_dword", + "~lsu|lsu>io_lsu_p_bits_half", + "~lsu|lsu>io_lsu_p_bits_word", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_trigger_match_m", + "sources":[ + "~lsu|lsu>io_trigger_pkt_any_0_store", + "~lsu|lsu>io_trigger_pkt_any_1_store", + "~lsu|lsu>io_trigger_pkt_any_0_load", + "~lsu|lsu>io_trigger_pkt_any_0_select", + "~lsu|lsu>io_trigger_pkt_any_3_store", + "~lsu|lsu>io_trigger_pkt_any_2_store", + "~lsu|lsu>io_trigger_pkt_any_1_load", + "~lsu|lsu>io_trigger_pkt_any_1_select", + "~lsu|lsu>io_trigger_pkt_any_3_load", + "~lsu|lsu>io_trigger_pkt_any_3_select", + "~lsu|lsu>io_trigger_pkt_any_2_load", + "~lsu|lsu>io_trigger_pkt_any_2_select", + "~lsu|lsu>io_trigger_pkt_any_0_tdata2", + "~lsu|lsu>io_trigger_pkt_any_0_match_pkt", + "~lsu|lsu>io_trigger_pkt_any_1_tdata2", + "~lsu|lsu>io_trigger_pkt_any_1_match_pkt", + "~lsu|lsu>io_trigger_pkt_any_3_tdata2", + "~lsu|lsu>io_trigger_pkt_any_3_match_pkt", + "~lsu|lsu>io_trigger_pkt_any_2_tdata2", + "~lsu|lsu>io_trigger_pkt_any_2_match_pkt", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_dccm_rd_addr_hi", + "sources":[ + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_dword", + "~lsu|lsu>io_lsu_p_bits_half", + "~lsu|lsu>io_lsu_p_bits_word", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_dccm_rd_addr_lo", + "sources":[ + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_dccm_rden", + "sources":[ + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_dword", + "~lsu|lsu>io_lsu_p_bits_half", + "~lsu|lsu>io_lsu_p_bits_word", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_dccm_req", + "~lsu|lsu>io_lsu_p_valid", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_lsu_p_bits_fast_int", + "~lsu|lsu>io_lsu_p_bits_load", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_write", + "~lsu|lsu>io_lsu_p_bits_store", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy", + "sources":[ + "~lsu|lsu>io_axi_ar_ready", + "~lsu|lsu>io_axi_aw_ready", + "~lsu|lsu>io_axi_w_ready" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_dma_dma_dccm_ctl_dccm_dma_rdata", + "sources":[ + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dec_tlu_core_ecc_disable", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_dccm_wr_data_lo", + "sources":[ + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_dccm_req", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_write", + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_dword", + "~lsu|lsu>io_lsu_p_bits_half", + "~lsu|lsu>io_lsu_p_bits_word", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_wdata", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_dccm_wren", + "sources":[ + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_dccm_req", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_write", + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_dword", + "~lsu|lsu>io_lsu_p_bits_half", + "~lsu|lsu>io_lsu_p_bits_word", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "~lsu|lsu>io_lsu_p_valid", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_lsu_p_bits_fast_int", + "~lsu|lsu>io_lsu_p_bits_load", + "~lsu|lsu>io_lsu_p_bits_store", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_load_stall_any", + "sources":[ + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_lsu_p_bits_dword", + "~lsu|lsu>io_lsu_p_bits_half", + "~lsu|lsu>io_lsu_p_bits_word", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_pic_picm_wren", + "sources":[ + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_dccm_req", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_write", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r", + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_dword", + "~lsu|lsu>io_lsu_p_bits_half", + "~lsu|lsu>io_lsu_p_bits_word", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_flush_lower_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_fastint_stall_any", + "sources":[ + "~lsu|lsu>io_dec_tlu_core_ecc_disable", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_pic_picm_rdaddr", + "sources":[ + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_dccm_wr_data_hi", + "sources":[ + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_dccm_req", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_write", + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_dword", + "~lsu|lsu>io_lsu_p_bits_half", + "~lsu|lsu>io_lsu_p_bits_word", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_wdata", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_pic_picm_wraddr", + "sources":[ + "~lsu|lsu>io_lsu_dma_dma_dccm_ctl_dma_mem_addr", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_dccm_req", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_write", + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_dword", + "~lsu|lsu>io_lsu_p_bits_half", + "~lsu|lsu>io_lsu_p_bits_word", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_dec_tlu_busbuff_lsu_pmu_bus_misaligned", + "sources":[ + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_pic_picm_mken", + "sources":[ + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_dword", + "~lsu|lsu>io_lsu_p_bits_half", + "~lsu|lsu>io_lsu_p_bits_word", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_dccm_req", + "~lsu|lsu>io_lsu_p_valid", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_lsu_p_bits_fast_int", + "~lsu|lsu>io_lsu_p_bits_store", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_write", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_dma_dma_dccm_ctl_dccm_dma_ecc_error", + "sources":[ + "~lsu|lsu>io_dec_tlu_core_ecc_disable", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_pic_picm_rden", + "sources":[ + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_dword", + "~lsu|lsu>io_lsu_p_bits_half", + "~lsu|lsu>io_lsu_p_bits_word", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_dccm_req", + "~lsu|lsu>io_lsu_p_valid", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_lsu_p_bits_fast_int", + "~lsu|lsu>io_lsu_p_bits_load", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_write", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_r", + "sources":[ + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_valid_m", + "sources":[ + "~lsu|lsu>io_dec_tlu_flush_lower_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_pic_picm_wr_data", + "sources":[ + "~lsu|lsu>io_lsu_dma_dma_dccm_ctl_dma_mem_wdata", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_dccm_req", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_write", + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_dword", + "~lsu|lsu>io_lsu_p_bits_half", + "~lsu|lsu>io_lsu_p_bits_word", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "~lsu|lsu>io_lsu_p_valid", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_lsu_p_bits_fast_int", + "~lsu|lsu>io_lsu_p_bits_load", + "~lsu|lsu>io_lsu_p_bits_store", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_result_m", + "sources":[ + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_store_stall_any", + "sources":[ + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_lsu_p_bits_dword", + "~lsu|lsu>io_lsu_p_bits_half", + "~lsu|lsu>io_lsu_p_bits_word", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_dccm_wr_addr_hi", + "sources":[ + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_dccm_req", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_write", + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_dword", + "~lsu|lsu>io_lsu_p_bits_half", + "~lsu|lsu>io_lsu_p_bits_word", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.EmitCircuitAnnotation", + "emitter":"firrtl.VerilogEmitter" + }, + { + "class":"firrtl.transforms.BlackBoxResourceAnno", + "target":"lsu.gated_latch", + "resourceId":"/vsrc/gated_latch.v" + }, + { + "class":"firrtl.options.TargetDirAnnotation", + "directory":"." + }, + { + "class":"firrtl.options.OutputAnnotationFileAnnotation", + "file":"lsu" + }, + { + "class":"firrtl.transforms.BlackBoxTargetDirAnno", + "targetDir":"." + } +] \ No newline at end of file diff --git a/lsu.fir b/lsu.fir new file mode 100644 index 00000000..0bf43dd2 --- /dev/null +++ b/lsu.fir @@ -0,0 +1,15817 @@ +;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 +circuit lsu : + module lsu_addrcheck : + input clock : Clock + input reset : AsyncReset + output io : {flip lsu_c2_m_clk : Clock, flip start_addr_d : UInt<32>, flip end_addr_d : UInt<32>, flip lsu_pkt_d : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip dec_tlu_mrac_ff : UInt<32>, flip rs1_region_d : UInt<4>, flip rs1_d : UInt<32>, is_sideeffects_m : UInt<1>, addr_in_dccm_d : UInt<1>, addr_in_pic_d : UInt<1>, addr_external_d : UInt<1>, access_fault_d : UInt<1>, misaligned_fault_d : UInt<1>, exc_mscause_d : UInt<4>, fir_dccm_access_error_d : UInt<1>, fir_nondccm_access_error_d : UInt<1>, flip scan_mode : UInt<1>} + + node _T = bits(io.start_addr_d, 31, 28) @[lib.scala 356:27] + node start_addr_in_dccm_region_d = eq(_T, UInt<4>("h0f")) @[lib.scala 356:49] + wire start_addr_in_dccm_d : UInt<1> @[lib.scala 357:26] + node _T_1 = bits(io.start_addr_d, 31, 16) @[lib.scala 361:24] + node _T_2 = eq(_T_1, UInt<16>("h0f004")) @[lib.scala 361:39] + start_addr_in_dccm_d <= _T_2 @[lib.scala 361:16] + node _T_3 = bits(io.end_addr_d, 31, 28) @[lib.scala 356:27] + node end_addr_in_dccm_region_d = eq(_T_3, UInt<4>("h0f")) @[lib.scala 356:49] + wire end_addr_in_dccm_d : UInt<1> @[lib.scala 357:26] + node _T_4 = bits(io.end_addr_d, 31, 16) @[lib.scala 361:24] + node _T_5 = eq(_T_4, UInt<16>("h0f004")) @[lib.scala 361:39] + end_addr_in_dccm_d <= _T_5 @[lib.scala 361:16] + wire addr_in_iccm : UInt<1> + addr_in_iccm <= UInt<1>("h00") + node _T_6 = bits(io.start_addr_d, 31, 28) @[lsu_addrcheck.scala 42:37] + node _T_7 = eq(_T_6, UInt<4>("h0e")) @[lsu_addrcheck.scala 42:45] + addr_in_iccm <= _T_7 @[lsu_addrcheck.scala 42:18] + node _T_8 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 50:89] + node _T_9 = bits(_T_8, 31, 28) @[lib.scala 356:27] + node start_addr_in_pic_region_d = eq(_T_9, UInt<4>("h0f")) @[lib.scala 356:49] + wire start_addr_in_pic_d : UInt<1> @[lib.scala 357:26] + node _T_10 = bits(_T_8, 31, 15) @[lib.scala 361:24] + node _T_11 = eq(_T_10, UInt<17>("h01e018")) @[lib.scala 361:39] + start_addr_in_pic_d <= _T_11 @[lib.scala 361:16] + node _T_12 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 52:83] + node _T_13 = bits(_T_12, 31, 28) @[lib.scala 356:27] + node end_addr_in_pic_region_d = eq(_T_13, UInt<4>("h0f")) @[lib.scala 356:49] + wire end_addr_in_pic_d : UInt<1> @[lib.scala 357:26] + node _T_14 = bits(_T_12, 31, 15) @[lib.scala 361:24] + node _T_15 = eq(_T_14, UInt<17>("h01e018")) @[lib.scala 361:39] + end_addr_in_pic_d <= _T_15 @[lib.scala 361:16] + node start_addr_dccm_or_pic = or(start_addr_in_dccm_region_d, start_addr_in_pic_region_d) @[lsu_addrcheck.scala 54:60] + node _T_16 = bits(io.rs1_region_d, 3, 0) @[lsu_addrcheck.scala 55:48] + node _T_17 = eq(_T_16, UInt<4>("h0f")) @[lsu_addrcheck.scala 55:54] + node _T_18 = bits(io.rs1_region_d, 3, 0) @[lsu_addrcheck.scala 55:91] + node _T_19 = eq(_T_18, UInt<4>("h0f")) @[lsu_addrcheck.scala 55:97] + node base_reg_dccm_or_pic = or(_T_17, _T_19) @[lsu_addrcheck.scala 55:73] + node _T_20 = and(start_addr_in_dccm_d, end_addr_in_dccm_d) @[lsu_addrcheck.scala 56:57] + io.addr_in_dccm_d <= _T_20 @[lsu_addrcheck.scala 56:32] + node _T_21 = and(start_addr_in_pic_d, end_addr_in_pic_d) @[lsu_addrcheck.scala 57:56] + io.addr_in_pic_d <= _T_21 @[lsu_addrcheck.scala 57:32] + node _T_22 = or(start_addr_in_dccm_region_d, start_addr_in_pic_region_d) @[lsu_addrcheck.scala 59:63] + node _T_23 = not(_T_22) @[lsu_addrcheck.scala 59:33] + io.addr_external_d <= _T_23 @[lsu_addrcheck.scala 59:30] + node _T_24 = bits(io.start_addr_d, 31, 28) @[lsu_addrcheck.scala 60:51] + node csr_idx = cat(_T_24, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_25 = dshr(io.dec_tlu_mrac_ff, csr_idx) @[lsu_addrcheck.scala 61:50] + node _T_26 = bits(_T_25, 0, 0) @[lsu_addrcheck.scala 61:50] + node _T_27 = or(start_addr_in_dccm_region_d, start_addr_in_pic_region_d) @[lsu_addrcheck.scala 61:92] + node _T_28 = or(_T_27, addr_in_iccm) @[lsu_addrcheck.scala 61:121] + node _T_29 = eq(_T_28, UInt<1>("h00")) @[lsu_addrcheck.scala 61:62] + node _T_30 = and(_T_26, _T_29) @[lsu_addrcheck.scala 61:60] + node _T_31 = and(_T_30, io.lsu_pkt_d.valid) @[lsu_addrcheck.scala 61:137] + node _T_32 = or(io.lsu_pkt_d.bits.store, io.lsu_pkt_d.bits.load) @[lsu_addrcheck.scala 61:185] + node is_sideeffects_d = and(_T_31, _T_32) @[lsu_addrcheck.scala 61:158] + node _T_33 = bits(io.start_addr_d, 1, 0) @[lsu_addrcheck.scala 62:74] + node _T_34 = eq(_T_33, UInt<1>("h00")) @[lsu_addrcheck.scala 62:80] + node _T_35 = and(io.lsu_pkt_d.bits.word, _T_34) @[lsu_addrcheck.scala 62:56] + node _T_36 = bits(io.start_addr_d, 0, 0) @[lsu_addrcheck.scala 62:134] + node _T_37 = eq(_T_36, UInt<1>("h00")) @[lsu_addrcheck.scala 62:138] + node _T_38 = and(io.lsu_pkt_d.bits.half, _T_37) @[lsu_addrcheck.scala 62:116] + node _T_39 = or(_T_35, _T_38) @[lsu_addrcheck.scala 62:90] + node is_aligned_d = or(_T_39, io.lsu_pkt_d.bits.by) @[lsu_addrcheck.scala 62:148] + node _T_40 = cat(UInt<1>("h00"), UInt<1>("h00")) @[Cat.scala 29:58] + node _T_41 = cat(UInt<1>("h00"), UInt<1>("h00")) @[Cat.scala 29:58] + node _T_42 = cat(_T_41, _T_40) @[Cat.scala 29:58] + node _T_43 = cat(UInt<1>("h01"), UInt<1>("h01")) @[Cat.scala 29:58] + node _T_44 = cat(UInt<1>("h01"), UInt<1>("h01")) @[Cat.scala 29:58] + node _T_45 = cat(_T_44, _T_43) @[Cat.scala 29:58] + node _T_46 = cat(_T_45, _T_42) @[Cat.scala 29:58] + node _T_47 = orr(_T_46) @[lsu_addrcheck.scala 66:99] + node _T_48 = eq(_T_47, UInt<1>("h00")) @[lsu_addrcheck.scala 65:33] + node _T_49 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 67:49] + node _T_50 = or(_T_49, UInt<31>("h07fffffff")) @[lsu_addrcheck.scala 67:56] + node _T_51 = or(UInt<1>("h00"), UInt<31>("h07fffffff")) @[lsu_addrcheck.scala 67:121] + node _T_52 = eq(_T_50, _T_51) @[lsu_addrcheck.scala 67:88] + node _T_53 = and(UInt<1>("h01"), _T_52) @[lsu_addrcheck.scala 67:30] + node _T_54 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 68:49] + node _T_55 = or(_T_54, UInt<30>("h03fffffff")) @[lsu_addrcheck.scala 68:56] + node _T_56 = or(UInt<32>("h0c0000000"), UInt<30>("h03fffffff")) @[lsu_addrcheck.scala 68:121] + node _T_57 = eq(_T_55, _T_56) @[lsu_addrcheck.scala 68:88] + node _T_58 = and(UInt<1>("h01"), _T_57) @[lsu_addrcheck.scala 68:30] + node _T_59 = or(_T_53, _T_58) @[lsu_addrcheck.scala 67:153] + node _T_60 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 69:49] + node _T_61 = or(_T_60, UInt<29>("h01fffffff")) @[lsu_addrcheck.scala 69:56] + node _T_62 = or(UInt<32>("h0a0000000"), UInt<29>("h01fffffff")) @[lsu_addrcheck.scala 69:121] + node _T_63 = eq(_T_61, _T_62) @[lsu_addrcheck.scala 69:88] + node _T_64 = and(UInt<1>("h01"), _T_63) @[lsu_addrcheck.scala 69:30] + node _T_65 = or(_T_59, _T_64) @[lsu_addrcheck.scala 68:153] + node _T_66 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 70:49] + node _T_67 = or(_T_66, UInt<28>("h0fffffff")) @[lsu_addrcheck.scala 70:56] + node _T_68 = or(UInt<32>("h080000000"), UInt<28>("h0fffffff")) @[lsu_addrcheck.scala 70:121] + node _T_69 = eq(_T_67, _T_68) @[lsu_addrcheck.scala 70:88] + node _T_70 = and(UInt<1>("h01"), _T_69) @[lsu_addrcheck.scala 70:30] + node _T_71 = or(_T_65, _T_70) @[lsu_addrcheck.scala 69:153] + node _T_72 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 71:49] + node _T_73 = or(_T_72, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 71:56] + node _T_74 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 71:121] + node _T_75 = eq(_T_73, _T_74) @[lsu_addrcheck.scala 71:88] + node _T_76 = and(UInt<1>("h00"), _T_75) @[lsu_addrcheck.scala 71:30] + node _T_77 = or(_T_71, _T_76) @[lsu_addrcheck.scala 70:153] + node _T_78 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 72:49] + node _T_79 = or(_T_78, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 72:56] + node _T_80 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 72:121] + node _T_81 = eq(_T_79, _T_80) @[lsu_addrcheck.scala 72:88] + node _T_82 = and(UInt<1>("h00"), _T_81) @[lsu_addrcheck.scala 72:30] + node _T_83 = or(_T_77, _T_82) @[lsu_addrcheck.scala 71:153] + node _T_84 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 73:49] + node _T_85 = or(_T_84, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 73:56] + node _T_86 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 73:121] + node _T_87 = eq(_T_85, _T_86) @[lsu_addrcheck.scala 73:88] + node _T_88 = and(UInt<1>("h00"), _T_87) @[lsu_addrcheck.scala 73:30] + node _T_89 = or(_T_83, _T_88) @[lsu_addrcheck.scala 72:153] + node _T_90 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 74:49] + node _T_91 = or(_T_90, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 74:56] + node _T_92 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 74:121] + node _T_93 = eq(_T_91, _T_92) @[lsu_addrcheck.scala 74:88] + node _T_94 = and(UInt<1>("h00"), _T_93) @[lsu_addrcheck.scala 74:30] + node _T_95 = or(_T_89, _T_94) @[lsu_addrcheck.scala 73:153] + node _T_96 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 76:48] + node _T_97 = or(_T_96, UInt<31>("h07fffffff")) @[lsu_addrcheck.scala 76:57] + node _T_98 = or(UInt<1>("h00"), UInt<31>("h07fffffff")) @[lsu_addrcheck.scala 76:122] + node _T_99 = eq(_T_97, _T_98) @[lsu_addrcheck.scala 76:89] + node _T_100 = and(UInt<1>("h01"), _T_99) @[lsu_addrcheck.scala 76:31] + node _T_101 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 77:49] + node _T_102 = or(_T_101, UInt<30>("h03fffffff")) @[lsu_addrcheck.scala 77:58] + node _T_103 = or(UInt<32>("h0c0000000"), UInt<30>("h03fffffff")) @[lsu_addrcheck.scala 77:123] + node _T_104 = eq(_T_102, _T_103) @[lsu_addrcheck.scala 77:90] + node _T_105 = and(UInt<1>("h01"), _T_104) @[lsu_addrcheck.scala 77:32] + node _T_106 = or(_T_100, _T_105) @[lsu_addrcheck.scala 76:154] + node _T_107 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 78:49] + node _T_108 = or(_T_107, UInt<29>("h01fffffff")) @[lsu_addrcheck.scala 78:58] + node _T_109 = or(UInt<32>("h0a0000000"), UInt<29>("h01fffffff")) @[lsu_addrcheck.scala 78:123] + node _T_110 = eq(_T_108, _T_109) @[lsu_addrcheck.scala 78:90] + node _T_111 = and(UInt<1>("h01"), _T_110) @[lsu_addrcheck.scala 78:32] + node _T_112 = or(_T_106, _T_111) @[lsu_addrcheck.scala 77:155] + node _T_113 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 79:49] + node _T_114 = or(_T_113, UInt<28>("h0fffffff")) @[lsu_addrcheck.scala 79:58] + node _T_115 = or(UInt<32>("h080000000"), UInt<28>("h0fffffff")) @[lsu_addrcheck.scala 79:123] + node _T_116 = eq(_T_114, _T_115) @[lsu_addrcheck.scala 79:90] + node _T_117 = and(UInt<1>("h01"), _T_116) @[lsu_addrcheck.scala 79:32] + node _T_118 = or(_T_112, _T_117) @[lsu_addrcheck.scala 78:155] + node _T_119 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 80:49] + node _T_120 = or(_T_119, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 80:58] + node _T_121 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 80:123] + node _T_122 = eq(_T_120, _T_121) @[lsu_addrcheck.scala 80:90] + node _T_123 = and(UInt<1>("h00"), _T_122) @[lsu_addrcheck.scala 80:32] + node _T_124 = or(_T_118, _T_123) @[lsu_addrcheck.scala 79:155] + node _T_125 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 81:49] + node _T_126 = or(_T_125, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 81:58] + node _T_127 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 81:123] + node _T_128 = eq(_T_126, _T_127) @[lsu_addrcheck.scala 81:90] + node _T_129 = and(UInt<1>("h00"), _T_128) @[lsu_addrcheck.scala 81:32] + node _T_130 = or(_T_124, _T_129) @[lsu_addrcheck.scala 80:155] + node _T_131 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 82:49] + node _T_132 = or(_T_131, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 82:58] + node _T_133 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 82:123] + node _T_134 = eq(_T_132, _T_133) @[lsu_addrcheck.scala 82:90] + node _T_135 = and(UInt<1>("h00"), _T_134) @[lsu_addrcheck.scala 82:32] + node _T_136 = or(_T_130, _T_135) @[lsu_addrcheck.scala 81:155] + node _T_137 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 83:49] + node _T_138 = or(_T_137, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 83:58] + node _T_139 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 83:123] + node _T_140 = eq(_T_138, _T_139) @[lsu_addrcheck.scala 83:90] + node _T_141 = and(UInt<1>("h00"), _T_140) @[lsu_addrcheck.scala 83:32] + node _T_142 = or(_T_136, _T_141) @[lsu_addrcheck.scala 82:155] + node _T_143 = and(_T_95, _T_142) @[lsu_addrcheck.scala 75:7] + node non_dccm_access_ok = or(_T_48, _T_143) @[lsu_addrcheck.scala 66:104] + node regpred_access_fault_d = xor(start_addr_dccm_or_pic, base_reg_dccm_or_pic) @[lsu_addrcheck.scala 85:57] + node _T_144 = bits(io.start_addr_d, 1, 0) @[lsu_addrcheck.scala 86:70] + node _T_145 = neq(_T_144, UInt<2>("h00")) @[lsu_addrcheck.scala 86:76] + node _T_146 = eq(io.lsu_pkt_d.bits.word, UInt<1>("h00")) @[lsu_addrcheck.scala 86:92] + node _T_147 = or(_T_145, _T_146) @[lsu_addrcheck.scala 86:90] + node picm_access_fault_d = and(io.addr_in_pic_d, _T_147) @[lsu_addrcheck.scala 86:51] + wire unmapped_access_fault_d : UInt<1> + unmapped_access_fault_d <= UInt<1>("h01") + wire mpu_access_fault_d : UInt<1> + mpu_access_fault_d <= UInt<1>("h01") + node _T_148 = or(start_addr_in_dccm_d, start_addr_in_pic_d) @[lsu_addrcheck.scala 91:87] + node _T_149 = eq(_T_148, UInt<1>("h00")) @[lsu_addrcheck.scala 91:64] + node _T_150 = and(start_addr_in_dccm_region_d, _T_149) @[lsu_addrcheck.scala 91:62] + node _T_151 = or(end_addr_in_dccm_d, end_addr_in_pic_d) @[lsu_addrcheck.scala 93:57] + node _T_152 = eq(_T_151, UInt<1>("h00")) @[lsu_addrcheck.scala 93:36] + node _T_153 = and(end_addr_in_dccm_region_d, _T_152) @[lsu_addrcheck.scala 93:34] + node _T_154 = or(_T_150, _T_153) @[lsu_addrcheck.scala 91:112] + node _T_155 = and(start_addr_in_dccm_d, end_addr_in_pic_d) @[lsu_addrcheck.scala 95:29] + node _T_156 = or(_T_154, _T_155) @[lsu_addrcheck.scala 93:85] + node _T_157 = and(start_addr_in_pic_d, end_addr_in_dccm_d) @[lsu_addrcheck.scala 97:29] + node _T_158 = or(_T_156, _T_157) @[lsu_addrcheck.scala 95:85] + unmapped_access_fault_d <= _T_158 @[lsu_addrcheck.scala 91:29] + node _T_159 = eq(start_addr_in_dccm_region_d, UInt<1>("h00")) @[lsu_addrcheck.scala 99:33] + node _T_160 = eq(non_dccm_access_ok, UInt<1>("h00")) @[lsu_addrcheck.scala 99:64] + node _T_161 = and(_T_159, _T_160) @[lsu_addrcheck.scala 99:62] + mpu_access_fault_d <= _T_161 @[lsu_addrcheck.scala 99:29] + node _T_162 = or(unmapped_access_fault_d, mpu_access_fault_d) @[lsu_addrcheck.scala 111:49] + node _T_163 = or(_T_162, picm_access_fault_d) @[lsu_addrcheck.scala 111:70] + node _T_164 = or(_T_163, regpred_access_fault_d) @[lsu_addrcheck.scala 111:92] + node _T_165 = and(_T_164, io.lsu_pkt_d.valid) @[lsu_addrcheck.scala 111:118] + node _T_166 = eq(io.lsu_pkt_d.bits.dma, UInt<1>("h00")) @[lsu_addrcheck.scala 111:141] + node _T_167 = and(_T_165, _T_166) @[lsu_addrcheck.scala 111:139] + io.access_fault_d <= _T_167 @[lsu_addrcheck.scala 111:21] + node _T_168 = bits(unmapped_access_fault_d, 0, 0) @[lsu_addrcheck.scala 112:60] + node _T_169 = bits(mpu_access_fault_d, 0, 0) @[lsu_addrcheck.scala 112:100] + node _T_170 = bits(regpred_access_fault_d, 0, 0) @[lsu_addrcheck.scala 112:144] + node _T_171 = bits(picm_access_fault_d, 0, 0) @[lsu_addrcheck.scala 112:185] + node _T_172 = mux(_T_171, UInt<4>("h06"), UInt<4>("h00")) @[lsu_addrcheck.scala 112:164] + node _T_173 = mux(_T_170, UInt<4>("h05"), _T_172) @[lsu_addrcheck.scala 112:120] + node _T_174 = mux(_T_169, UInt<4>("h03"), _T_173) @[lsu_addrcheck.scala 112:80] + node access_fault_mscause_d = mux(_T_168, UInt<4>("h02"), _T_174) @[lsu_addrcheck.scala 112:35] + node _T_175 = bits(io.start_addr_d, 31, 28) @[lsu_addrcheck.scala 113:53] + node _T_176 = bits(io.end_addr_d, 31, 28) @[lsu_addrcheck.scala 113:78] + node regcross_misaligned_fault_d = neq(_T_175, _T_176) @[lsu_addrcheck.scala 113:61] + node _T_177 = eq(is_aligned_d, UInt<1>("h00")) @[lsu_addrcheck.scala 114:59] + node sideeffect_misaligned_fault_d = and(is_sideeffects_d, _T_177) @[lsu_addrcheck.scala 114:57] + node _T_178 = and(sideeffect_misaligned_fault_d, io.addr_external_d) @[lsu_addrcheck.scala 115:90] + node _T_179 = or(regcross_misaligned_fault_d, _T_178) @[lsu_addrcheck.scala 115:57] + node _T_180 = and(_T_179, io.lsu_pkt_d.valid) @[lsu_addrcheck.scala 115:113] + node _T_181 = eq(io.lsu_pkt_d.bits.dma, UInt<1>("h00")) @[lsu_addrcheck.scala 115:136] + node _T_182 = and(_T_180, _T_181) @[lsu_addrcheck.scala 115:134] + io.misaligned_fault_d <= _T_182 @[lsu_addrcheck.scala 115:25] + node _T_183 = bits(sideeffect_misaligned_fault_d, 0, 0) @[lsu_addrcheck.scala 116:111] + node _T_184 = mux(_T_183, UInt<4>("h01"), UInt<4>("h00")) @[lsu_addrcheck.scala 116:80] + node misaligned_fault_mscause_d = mux(regcross_misaligned_fault_d, UInt<4>("h02"), _T_184) @[lsu_addrcheck.scala 116:39] + node _T_185 = bits(io.misaligned_fault_d, 0, 0) @[lsu_addrcheck.scala 117:50] + node _T_186 = bits(misaligned_fault_mscause_d, 3, 0) @[lsu_addrcheck.scala 117:84] + node _T_187 = bits(access_fault_mscause_d, 3, 0) @[lsu_addrcheck.scala 117:113] + node _T_188 = mux(_T_185, _T_186, _T_187) @[lsu_addrcheck.scala 117:27] + io.exc_mscause_d <= _T_188 @[lsu_addrcheck.scala 117:21] + node _T_189 = eq(start_addr_in_dccm_d, UInt<1>("h00")) @[lsu_addrcheck.scala 118:66] + node _T_190 = and(start_addr_in_dccm_region_d, _T_189) @[lsu_addrcheck.scala 118:64] + node _T_191 = eq(end_addr_in_dccm_d, UInt<1>("h00")) @[lsu_addrcheck.scala 118:120] + node _T_192 = and(end_addr_in_dccm_region_d, _T_191) @[lsu_addrcheck.scala 118:118] + node _T_193 = or(_T_190, _T_192) @[lsu_addrcheck.scala 118:88] + node _T_194 = and(_T_193, io.lsu_pkt_d.valid) @[lsu_addrcheck.scala 118:142] + node _T_195 = and(_T_194, io.lsu_pkt_d.bits.fast_int) @[lsu_addrcheck.scala 118:163] + io.fir_dccm_access_error_d <= _T_195 @[lsu_addrcheck.scala 118:31] + node _T_196 = and(start_addr_in_dccm_region_d, end_addr_in_dccm_region_d) @[lsu_addrcheck.scala 119:66] + node _T_197 = eq(_T_196, UInt<1>("h00")) @[lsu_addrcheck.scala 119:36] + node _T_198 = and(_T_197, io.lsu_pkt_d.valid) @[lsu_addrcheck.scala 119:95] + node _T_199 = and(_T_198, io.lsu_pkt_d.bits.fast_int) @[lsu_addrcheck.scala 119:116] + io.fir_nondccm_access_error_d <= _T_199 @[lsu_addrcheck.scala 119:33] + reg _T_200 : UInt<1>, io.lsu_c2_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_addrcheck.scala 121:60] + _T_200 <= is_sideeffects_d @[lsu_addrcheck.scala 121:60] + io.is_sideeffects_m <= _T_200 @[lsu_addrcheck.scala 121:50] + + module lsu_lsc_ctl : + input clock : Clock + input reset : AsyncReset + output io : {flip lsu_c1_m_clk : Clock, flip lsu_c1_r_clk : Clock, flip lsu_c2_m_clk : Clock, flip lsu_c2_r_clk : Clock, flip lsu_store_c1_m_clk : Clock, flip lsu_ld_data_r : UInt<32>, flip lsu_ld_data_corr_r : UInt<32>, flip lsu_single_ecc_error_r : UInt<1>, flip lsu_double_ecc_error_r : UInt<1>, flip lsu_ld_data_m : UInt<32>, flip lsu_single_ecc_error_m : UInt<1>, flip lsu_double_ecc_error_m : UInt<1>, flip flush_m_up : UInt<1>, flip flush_r : UInt<1>, lsu_exu : {flip exu_lsu_rs1_d : UInt<32>, flip exu_lsu_rs2_d : UInt<32>}, flip lsu_p : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip dec_lsu_valid_raw_d : UInt<1>, flip dec_lsu_offset_d : UInt<12>, flip picm_mask_data_m : UInt<32>, flip bus_read_data_m : UInt<32>, lsu_result_m : UInt<32>, lsu_result_corr_r : UInt<32>, lsu_addr_d : UInt<32>, lsu_addr_m : UInt<32>, lsu_addr_r : UInt<32>, end_addr_d : UInt<32>, end_addr_m : UInt<32>, end_addr_r : UInt<32>, store_data_m : UInt<32>, flip dec_tlu_mrac_ff : UInt<32>, lsu_exc_m : UInt<1>, is_sideeffects_m : UInt<1>, lsu_commit_r : UInt<1>, lsu_single_ecc_error_incr : UInt<1>, lsu_error_pkt_r : {valid : UInt<1>, bits : {single_ecc_error : UInt<1>, inst_type : UInt<1>, exc_type : UInt<1>, mscause : UInt<4>, addr : UInt<32>}}, lsu_fir_addr : UInt<31>, lsu_fir_error : UInt<2>, addr_in_dccm_d : UInt<1>, addr_in_dccm_m : UInt<1>, addr_in_dccm_r : UInt<1>, addr_in_pic_d : UInt<1>, addr_in_pic_m : UInt<1>, addr_in_pic_r : UInt<1>, addr_external_m : UInt<1>, dma_lsc_ctl : {flip dma_dccm_req : UInt<1>, flip dma_mem_addr : UInt<32>, flip dma_mem_sz : UInt<3>, flip dma_mem_write : UInt<1>, flip dma_mem_wdata : UInt<64>}, lsu_pkt_d : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip scan_mode : UInt<1>} + + wire dma_pkt_d : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}} @[lsu_lsc_ctl.scala 90:29] + wire lsu_pkt_m_in : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}} @[lsu_lsc_ctl.scala 91:29] + wire lsu_pkt_r_in : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}} @[lsu_lsc_ctl.scala 92:29] + wire lsu_error_pkt_m : {valid : UInt<1>, bits : {single_ecc_error : UInt<1>, inst_type : UInt<1>, exc_type : UInt<1>, mscause : UInt<4>, addr : UInt<32>}} @[lsu_lsc_ctl.scala 93:29] + node _T = bits(io.dec_lsu_valid_raw_d, 0, 0) @[lsu_lsc_ctl.scala 95:52] + node lsu_rs1_d = mux(_T, io.lsu_exu.exu_lsu_rs1_d, io.dma_lsc_ctl.dma_mem_addr) @[lsu_lsc_ctl.scala 95:28] + node _T_1 = bits(io.dec_lsu_offset_d, 11, 0) @[lsu_lsc_ctl.scala 96:44] + node _T_2 = bits(io.dec_lsu_valid_raw_d, 0, 0) @[Bitwise.scala 72:15] + node _T_3 = mux(_T_2, UInt<12>("h0fff"), UInt<12>("h00")) @[Bitwise.scala 72:12] + node lsu_offset_d = and(_T_1, _T_3) @[lsu_lsc_ctl.scala 96:51] + node _T_4 = bits(io.lsu_pkt_d.bits.load_ldst_bypass_d, 0, 0) @[lsu_lsc_ctl.scala 99:66] + node rs1_d = mux(_T_4, io.lsu_result_m, lsu_rs1_d) @[lsu_lsc_ctl.scala 99:28] + node _T_5 = bits(rs1_d, 11, 0) @[lib.scala 92:31] + node _T_6 = cat(UInt<1>("h00"), _T_5) @[Cat.scala 29:58] + node _T_7 = bits(lsu_offset_d, 11, 0) @[lib.scala 92:60] + node _T_8 = cat(UInt<1>("h00"), _T_7) @[Cat.scala 29:58] + node _T_9 = add(_T_6, _T_8) @[lib.scala 92:39] + node _T_10 = tail(_T_9, 1) @[lib.scala 92:39] + node _T_11 = bits(lsu_offset_d, 11, 11) @[lib.scala 93:41] + node _T_12 = bits(_T_10, 12, 12) @[lib.scala 93:50] + node _T_13 = xor(_T_11, _T_12) @[lib.scala 93:46] + node _T_14 = not(_T_13) @[lib.scala 93:33] + node _T_15 = bits(_T_14, 0, 0) @[Bitwise.scala 72:15] + node _T_16 = mux(_T_15, UInt<20>("h0fffff"), UInt<20>("h00")) @[Bitwise.scala 72:12] + node _T_17 = bits(rs1_d, 31, 12) @[lib.scala 93:63] + node _T_18 = and(_T_16, _T_17) @[lib.scala 93:58] + node _T_19 = bits(lsu_offset_d, 11, 11) @[lib.scala 94:25] + node _T_20 = not(_T_19) @[lib.scala 94:18] + node _T_21 = bits(_T_10, 12, 12) @[lib.scala 94:34] + node _T_22 = and(_T_20, _T_21) @[lib.scala 94:30] + node _T_23 = bits(_T_22, 0, 0) @[Bitwise.scala 72:15] + node _T_24 = mux(_T_23, UInt<20>("h0fffff"), UInt<20>("h00")) @[Bitwise.scala 72:12] + node _T_25 = bits(rs1_d, 31, 12) @[lib.scala 94:47] + node _T_26 = add(_T_25, UInt<1>("h01")) @[lib.scala 94:54] + node _T_27 = tail(_T_26, 1) @[lib.scala 94:54] + node _T_28 = and(_T_24, _T_27) @[lib.scala 94:41] + node _T_29 = or(_T_18, _T_28) @[lib.scala 93:72] + node _T_30 = bits(lsu_offset_d, 11, 11) @[lib.scala 95:24] + node _T_31 = bits(_T_10, 12, 12) @[lib.scala 95:34] + node _T_32 = not(_T_31) @[lib.scala 95:31] + node _T_33 = and(_T_30, _T_32) @[lib.scala 95:29] + node _T_34 = bits(_T_33, 0, 0) @[Bitwise.scala 72:15] + node _T_35 = mux(_T_34, UInt<20>("h0fffff"), UInt<20>("h00")) @[Bitwise.scala 72:12] + node _T_36 = bits(rs1_d, 31, 12) @[lib.scala 95:47] + node _T_37 = sub(_T_36, UInt<1>("h01")) @[lib.scala 95:54] + node _T_38 = tail(_T_37, 1) @[lib.scala 95:54] + node _T_39 = and(_T_35, _T_38) @[lib.scala 95:41] + node _T_40 = or(_T_29, _T_39) @[lib.scala 94:61] + node _T_41 = bits(_T_10, 11, 0) @[lib.scala 96:22] + node full_addr_d = cat(_T_40, _T_41) @[Cat.scala 29:58] + node _T_42 = bits(io.lsu_pkt_d.bits.half, 0, 0) @[Bitwise.scala 72:15] + node _T_43 = mux(_T_42, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_44 = and(_T_43, UInt<3>("h01")) @[lsu_lsc_ctl.scala 104:58] + node _T_45 = bits(io.lsu_pkt_d.bits.word, 0, 0) @[Bitwise.scala 72:15] + node _T_46 = mux(_T_45, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_47 = and(_T_46, UInt<3>("h03")) @[lsu_lsc_ctl.scala 105:40] + node _T_48 = or(_T_44, _T_47) @[lsu_lsc_ctl.scala 104:70] + node _T_49 = bits(io.lsu_pkt_d.bits.dword, 0, 0) @[Bitwise.scala 72:15] + node _T_50 = mux(_T_49, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_51 = and(_T_50, UInt<3>("h07")) @[lsu_lsc_ctl.scala 106:40] + node addr_offset_d = or(_T_48, _T_51) @[lsu_lsc_ctl.scala 105:52] + node _T_52 = bits(lsu_offset_d, 11, 11) @[lsu_lsc_ctl.scala 108:39] + node _T_53 = bits(lsu_offset_d, 11, 0) @[lsu_lsc_ctl.scala 108:52] + node _T_54 = cat(_T_52, _T_53) @[Cat.scala 29:58] + node _T_55 = mux(UInt<1>("h00"), UInt<9>("h01ff"), UInt<9>("h00")) @[Bitwise.scala 72:12] + node _T_56 = bits(addr_offset_d, 2, 0) @[lsu_lsc_ctl.scala 108:91] + node _T_57 = cat(_T_55, _T_56) @[Cat.scala 29:58] + node _T_58 = add(_T_54, _T_57) @[lsu_lsc_ctl.scala 108:60] + node end_addr_offset_d = tail(_T_58, 1) @[lsu_lsc_ctl.scala 108:60] + node _T_59 = bits(rs1_d, 31, 0) @[lsu_lsc_ctl.scala 109:32] + node _T_60 = bits(end_addr_offset_d, 12, 12) @[lsu_lsc_ctl.scala 109:70] + node _T_61 = bits(_T_60, 0, 0) @[Bitwise.scala 72:15] + node _T_62 = mux(_T_61, UInt<19>("h07ffff"), UInt<19>("h00")) @[Bitwise.scala 72:12] + node _T_63 = bits(end_addr_offset_d, 12, 0) @[lsu_lsc_ctl.scala 109:93] + node _T_64 = cat(_T_62, _T_63) @[Cat.scala 29:58] + node _T_65 = add(_T_59, _T_64) @[lsu_lsc_ctl.scala 109:39] + node full_end_addr_d = tail(_T_65, 1) @[lsu_lsc_ctl.scala 109:39] + io.end_addr_d <= full_end_addr_d @[lsu_lsc_ctl.scala 110:24] + inst addrcheck of lsu_addrcheck @[lsu_lsc_ctl.scala 113:25] + addrcheck.clock <= clock + addrcheck.reset <= reset + addrcheck.io.lsu_c2_m_clk <= io.lsu_c2_m_clk @[lsu_lsc_ctl.scala 115:42] + addrcheck.io.start_addr_d <= full_addr_d @[lsu_lsc_ctl.scala 117:42] + addrcheck.io.end_addr_d <= full_end_addr_d @[lsu_lsc_ctl.scala 118:42] + addrcheck.io.lsu_pkt_d.bits.store_data_bypass_m <= io.lsu_pkt_d.bits.store_data_bypass_m @[lsu_lsc_ctl.scala 119:42] + addrcheck.io.lsu_pkt_d.bits.load_ldst_bypass_d <= io.lsu_pkt_d.bits.load_ldst_bypass_d @[lsu_lsc_ctl.scala 119:42] + addrcheck.io.lsu_pkt_d.bits.store_data_bypass_d <= io.lsu_pkt_d.bits.store_data_bypass_d @[lsu_lsc_ctl.scala 119:42] + addrcheck.io.lsu_pkt_d.bits.dma <= io.lsu_pkt_d.bits.dma @[lsu_lsc_ctl.scala 119:42] + addrcheck.io.lsu_pkt_d.bits.unsign <= io.lsu_pkt_d.bits.unsign @[lsu_lsc_ctl.scala 119:42] + addrcheck.io.lsu_pkt_d.bits.store <= io.lsu_pkt_d.bits.store @[lsu_lsc_ctl.scala 119:42] + addrcheck.io.lsu_pkt_d.bits.load <= io.lsu_pkt_d.bits.load @[lsu_lsc_ctl.scala 119:42] + addrcheck.io.lsu_pkt_d.bits.dword <= io.lsu_pkt_d.bits.dword @[lsu_lsc_ctl.scala 119:42] + addrcheck.io.lsu_pkt_d.bits.word <= io.lsu_pkt_d.bits.word @[lsu_lsc_ctl.scala 119:42] + addrcheck.io.lsu_pkt_d.bits.half <= io.lsu_pkt_d.bits.half @[lsu_lsc_ctl.scala 119:42] + addrcheck.io.lsu_pkt_d.bits.by <= io.lsu_pkt_d.bits.by @[lsu_lsc_ctl.scala 119:42] + addrcheck.io.lsu_pkt_d.bits.fast_int <= io.lsu_pkt_d.bits.fast_int @[lsu_lsc_ctl.scala 119:42] + addrcheck.io.lsu_pkt_d.valid <= io.lsu_pkt_d.valid @[lsu_lsc_ctl.scala 119:42] + addrcheck.io.dec_tlu_mrac_ff <= io.dec_tlu_mrac_ff @[lsu_lsc_ctl.scala 120:42] + node _T_66 = bits(rs1_d, 31, 28) @[lsu_lsc_ctl.scala 121:50] + addrcheck.io.rs1_region_d <= _T_66 @[lsu_lsc_ctl.scala 121:42] + addrcheck.io.rs1_d <= rs1_d @[lsu_lsc_ctl.scala 122:42] + io.is_sideeffects_m <= addrcheck.io.is_sideeffects_m @[lsu_lsc_ctl.scala 123:42] + io.addr_in_dccm_d <= addrcheck.io.addr_in_dccm_d @[lsu_lsc_ctl.scala 124:42] + io.addr_in_pic_d <= addrcheck.io.addr_in_pic_d @[lsu_lsc_ctl.scala 125:42] + addrcheck.io.scan_mode <= io.scan_mode @[lsu_lsc_ctl.scala 132:42] + wire exc_mscause_r : UInt<4> + exc_mscause_r <= UInt<4>("h00") + wire fir_dccm_access_error_r : UInt<1> + fir_dccm_access_error_r <= UInt<1>("h00") + wire fir_nondccm_access_error_r : UInt<1> + fir_nondccm_access_error_r <= UInt<1>("h00") + wire access_fault_r : UInt<1> + access_fault_r <= UInt<1>("h00") + wire misaligned_fault_r : UInt<1> + misaligned_fault_r <= UInt<1>("h00") + wire lsu_fir_error_m : UInt<2> + lsu_fir_error_m <= UInt<2>("h00") + wire fir_dccm_access_error_m : UInt<1> + fir_dccm_access_error_m <= UInt<1>("h00") + wire fir_nondccm_access_error_m : UInt<1> + fir_nondccm_access_error_m <= UInt<1>("h00") + reg access_fault_m : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 144:75] + access_fault_m <= addrcheck.io.access_fault_d @[lsu_lsc_ctl.scala 144:75] + reg misaligned_fault_m : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 145:75] + misaligned_fault_m <= addrcheck.io.misaligned_fault_d @[lsu_lsc_ctl.scala 145:75] + reg exc_mscause_m : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 146:75] + exc_mscause_m <= addrcheck.io.exc_mscause_d @[lsu_lsc_ctl.scala 146:75] + reg _T_67 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 147:75] + _T_67 <= addrcheck.io.fir_dccm_access_error_d @[lsu_lsc_ctl.scala 147:75] + fir_dccm_access_error_m <= _T_67 @[lsu_lsc_ctl.scala 147:38] + reg _T_68 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 148:75] + _T_68 <= addrcheck.io.fir_nondccm_access_error_d @[lsu_lsc_ctl.scala 148:75] + fir_nondccm_access_error_m <= _T_68 @[lsu_lsc_ctl.scala 148:38] + node _T_69 = or(access_fault_m, misaligned_fault_m) @[lsu_lsc_ctl.scala 150:34] + io.lsu_exc_m <= _T_69 @[lsu_lsc_ctl.scala 150:16] + node _T_70 = eq(io.lsu_double_ecc_error_r, UInt<1>("h00")) @[lsu_lsc_ctl.scala 151:64] + node _T_71 = and(io.lsu_single_ecc_error_r, _T_70) @[lsu_lsc_ctl.scala 151:62] + node _T_72 = or(io.lsu_commit_r, io.lsu_pkt_r.bits.dma) @[lsu_lsc_ctl.scala 151:111] + node _T_73 = and(_T_71, _T_72) @[lsu_lsc_ctl.scala 151:92] + node _T_74 = and(_T_73, io.lsu_pkt_r.valid) @[lsu_lsc_ctl.scala 151:136] + io.lsu_single_ecc_error_incr <= _T_74 @[lsu_lsc_ctl.scala 151:32] + node _T_75 = or(access_fault_m, misaligned_fault_m) @[lsu_lsc_ctl.scala 173:46] + node _T_76 = or(_T_75, io.lsu_double_ecc_error_m) @[lsu_lsc_ctl.scala 173:67] + node _T_77 = and(_T_76, io.lsu_pkt_m.valid) @[lsu_lsc_ctl.scala 173:96] + node _T_78 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_lsc_ctl.scala 173:119] + node _T_79 = and(_T_77, _T_78) @[lsu_lsc_ctl.scala 173:117] + node _T_80 = eq(io.lsu_pkt_m.bits.fast_int, UInt<1>("h00")) @[lsu_lsc_ctl.scala 173:144] + node _T_81 = and(_T_79, _T_80) @[lsu_lsc_ctl.scala 173:142] + node _T_82 = eq(io.flush_m_up, UInt<1>("h00")) @[lsu_lsc_ctl.scala 173:174] + node _T_83 = and(_T_81, _T_82) @[lsu_lsc_ctl.scala 173:172] + lsu_error_pkt_m.valid <= _T_83 @[lsu_lsc_ctl.scala 173:27] + node _T_84 = eq(lsu_error_pkt_m.valid, UInt<1>("h00")) @[lsu_lsc_ctl.scala 174:75] + node _T_85 = and(io.lsu_single_ecc_error_m, _T_84) @[lsu_lsc_ctl.scala 174:73] + node _T_86 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_lsc_ctl.scala 174:101] + node _T_87 = and(_T_85, _T_86) @[lsu_lsc_ctl.scala 174:99] + lsu_error_pkt_m.bits.single_ecc_error <= _T_87 @[lsu_lsc_ctl.scala 174:43] + lsu_error_pkt_m.bits.inst_type <= io.lsu_pkt_m.bits.store @[lsu_lsc_ctl.scala 175:43] + node _T_88 = not(misaligned_fault_m) @[lsu_lsc_ctl.scala 176:46] + lsu_error_pkt_m.bits.exc_type <= _T_88 @[lsu_lsc_ctl.scala 176:43] + node _T_89 = eq(misaligned_fault_m, UInt<1>("h00")) @[lsu_lsc_ctl.scala 177:80] + node _T_90 = and(io.lsu_double_ecc_error_m, _T_89) @[lsu_lsc_ctl.scala 177:78] + node _T_91 = eq(access_fault_m, UInt<1>("h00")) @[lsu_lsc_ctl.scala 177:102] + node _T_92 = and(_T_90, _T_91) @[lsu_lsc_ctl.scala 177:100] + node _T_93 = eq(_T_92, UInt<1>("h01")) @[lsu_lsc_ctl.scala 177:118] + node _T_94 = bits(exc_mscause_m, 3, 0) @[lsu_lsc_ctl.scala 177:149] + node _T_95 = mux(_T_93, UInt<4>("h01"), _T_94) @[lsu_lsc_ctl.scala 177:49] + lsu_error_pkt_m.bits.mscause <= _T_95 @[lsu_lsc_ctl.scala 177:43] + node _T_96 = bits(io.lsu_addr_m, 31, 0) @[lsu_lsc_ctl.scala 178:59] + lsu_error_pkt_m.bits.addr <= _T_96 @[lsu_lsc_ctl.scala 178:43] + node _T_97 = bits(fir_nondccm_access_error_m, 0, 0) @[lsu_lsc_ctl.scala 179:72] + node _T_98 = bits(fir_dccm_access_error_m, 0, 0) @[lsu_lsc_ctl.scala 179:117] + node _T_99 = and(io.lsu_pkt_m.bits.fast_int, io.lsu_double_ecc_error_m) @[lsu_lsc_ctl.scala 179:166] + node _T_100 = bits(_T_99, 0, 0) @[lsu_lsc_ctl.scala 179:195] + node _T_101 = mux(_T_100, UInt<2>("h01"), UInt<2>("h00")) @[lsu_lsc_ctl.scala 179:137] + node _T_102 = mux(_T_98, UInt<2>("h02"), _T_101) @[lsu_lsc_ctl.scala 179:92] + node _T_103 = mux(_T_97, UInt<2>("h03"), _T_102) @[lsu_lsc_ctl.scala 179:44] + lsu_fir_error_m <= _T_103 @[lsu_lsc_ctl.scala 179:38] + wire _T_104 : {valid : UInt<1>, bits : {single_ecc_error : UInt<1>, inst_type : UInt<1>, exc_type : UInt<1>, mscause : UInt<4>, addr : UInt<32>}} @[lsu_lsc_ctl.scala 180:104] + _T_104.bits.addr <= UInt<32>("h00") @[lsu_lsc_ctl.scala 180:104] + _T_104.bits.mscause <= UInt<4>("h00") @[lsu_lsc_ctl.scala 180:104] + _T_104.bits.exc_type <= UInt<1>("h00") @[lsu_lsc_ctl.scala 180:104] + _T_104.bits.inst_type <= UInt<1>("h00") @[lsu_lsc_ctl.scala 180:104] + _T_104.bits.single_ecc_error <= UInt<1>("h00") @[lsu_lsc_ctl.scala 180:104] + _T_104.valid <= UInt<1>("h00") @[lsu_lsc_ctl.scala 180:104] + reg _T_105 : {valid : UInt<1>, bits : {single_ecc_error : UInt<1>, inst_type : UInt<1>, exc_type : UInt<1>, mscause : UInt<4>, addr : UInt<32>}}, io.lsu_c2_r_clk with : (reset => (reset, _T_104)) @[lsu_lsc_ctl.scala 180:75] + _T_105.bits.addr <= lsu_error_pkt_m.bits.addr @[lsu_lsc_ctl.scala 180:75] + _T_105.bits.mscause <= lsu_error_pkt_m.bits.mscause @[lsu_lsc_ctl.scala 180:75] + _T_105.bits.exc_type <= lsu_error_pkt_m.bits.exc_type @[lsu_lsc_ctl.scala 180:75] + _T_105.bits.inst_type <= lsu_error_pkt_m.bits.inst_type @[lsu_lsc_ctl.scala 180:75] + _T_105.bits.single_ecc_error <= lsu_error_pkt_m.bits.single_ecc_error @[lsu_lsc_ctl.scala 180:75] + _T_105.valid <= lsu_error_pkt_m.valid @[lsu_lsc_ctl.scala 180:75] + io.lsu_error_pkt_r.bits.addr <= _T_105.bits.addr @[lsu_lsc_ctl.scala 180:38] + io.lsu_error_pkt_r.bits.mscause <= _T_105.bits.mscause @[lsu_lsc_ctl.scala 180:38] + io.lsu_error_pkt_r.bits.exc_type <= _T_105.bits.exc_type @[lsu_lsc_ctl.scala 180:38] + io.lsu_error_pkt_r.bits.inst_type <= _T_105.bits.inst_type @[lsu_lsc_ctl.scala 180:38] + io.lsu_error_pkt_r.bits.single_ecc_error <= _T_105.bits.single_ecc_error @[lsu_lsc_ctl.scala 180:38] + io.lsu_error_pkt_r.valid <= _T_105.valid @[lsu_lsc_ctl.scala 180:38] + reg _T_106 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 181:75] + _T_106 <= lsu_fir_error_m @[lsu_lsc_ctl.scala 181:75] + io.lsu_fir_error <= _T_106 @[lsu_lsc_ctl.scala 181:38] + dma_pkt_d.bits.unsign <= UInt<1>("h00") @[lsu_lsc_ctl.scala 183:27] + dma_pkt_d.bits.fast_int <= UInt<1>("h00") @[lsu_lsc_ctl.scala 184:27] + dma_pkt_d.valid <= io.dma_lsc_ctl.dma_dccm_req @[lsu_lsc_ctl.scala 185:22] + dma_pkt_d.bits.dma <= UInt<1>("h01") @[lsu_lsc_ctl.scala 186:27] + dma_pkt_d.bits.store <= io.dma_lsc_ctl.dma_mem_write @[lsu_lsc_ctl.scala 187:27] + node _T_107 = not(io.dma_lsc_ctl.dma_mem_write) @[lsu_lsc_ctl.scala 188:30] + dma_pkt_d.bits.load <= _T_107 @[lsu_lsc_ctl.scala 188:27] + node _T_108 = bits(io.dma_lsc_ctl.dma_mem_sz, 2, 0) @[lsu_lsc_ctl.scala 189:56] + node _T_109 = eq(_T_108, UInt<3>("h00")) @[lsu_lsc_ctl.scala 189:62] + dma_pkt_d.bits.by <= _T_109 @[lsu_lsc_ctl.scala 189:27] + node _T_110 = bits(io.dma_lsc_ctl.dma_mem_sz, 2, 0) @[lsu_lsc_ctl.scala 190:56] + node _T_111 = eq(_T_110, UInt<3>("h01")) @[lsu_lsc_ctl.scala 190:62] + dma_pkt_d.bits.half <= _T_111 @[lsu_lsc_ctl.scala 190:27] + node _T_112 = bits(io.dma_lsc_ctl.dma_mem_sz, 2, 0) @[lsu_lsc_ctl.scala 191:56] + node _T_113 = eq(_T_112, UInt<3>("h02")) @[lsu_lsc_ctl.scala 191:62] + dma_pkt_d.bits.word <= _T_113 @[lsu_lsc_ctl.scala 191:27] + node _T_114 = bits(io.dma_lsc_ctl.dma_mem_sz, 2, 0) @[lsu_lsc_ctl.scala 192:56] + node _T_115 = eq(_T_114, UInt<3>("h03")) @[lsu_lsc_ctl.scala 192:62] + dma_pkt_d.bits.dword <= _T_115 @[lsu_lsc_ctl.scala 192:27] + dma_pkt_d.bits.store_data_bypass_d <= UInt<1>("h00") @[lsu_lsc_ctl.scala 193:39] + dma_pkt_d.bits.load_ldst_bypass_d <= UInt<1>("h00") @[lsu_lsc_ctl.scala 194:39] + dma_pkt_d.bits.store_data_bypass_m <= UInt<1>("h00") @[lsu_lsc_ctl.scala 195:39] + wire lsu_ld_datafn_r : UInt<32> + lsu_ld_datafn_r <= UInt<32>("h00") + wire lsu_ld_datafn_corr_r : UInt<32> + lsu_ld_datafn_corr_r <= UInt<32>("h00") + wire lsu_ld_datafn_m : UInt<32> + lsu_ld_datafn_m <= UInt<32>("h00") + node _T_116 = bits(io.dec_lsu_valid_raw_d, 0, 0) @[lsu_lsc_ctl.scala 201:50] + node _T_117 = mux(_T_116, io.lsu_p, dma_pkt_d) @[lsu_lsc_ctl.scala 201:26] + io.lsu_pkt_d.bits.store_data_bypass_m <= _T_117.bits.store_data_bypass_m @[lsu_lsc_ctl.scala 201:20] + io.lsu_pkt_d.bits.load_ldst_bypass_d <= _T_117.bits.load_ldst_bypass_d @[lsu_lsc_ctl.scala 201:20] + io.lsu_pkt_d.bits.store_data_bypass_d <= _T_117.bits.store_data_bypass_d @[lsu_lsc_ctl.scala 201:20] + io.lsu_pkt_d.bits.dma <= _T_117.bits.dma @[lsu_lsc_ctl.scala 201:20] + io.lsu_pkt_d.bits.unsign <= _T_117.bits.unsign @[lsu_lsc_ctl.scala 201:20] + io.lsu_pkt_d.bits.store <= _T_117.bits.store @[lsu_lsc_ctl.scala 201:20] + io.lsu_pkt_d.bits.load <= _T_117.bits.load @[lsu_lsc_ctl.scala 201:20] + io.lsu_pkt_d.bits.dword <= _T_117.bits.dword @[lsu_lsc_ctl.scala 201:20] + io.lsu_pkt_d.bits.word <= _T_117.bits.word @[lsu_lsc_ctl.scala 201:20] + io.lsu_pkt_d.bits.half <= _T_117.bits.half @[lsu_lsc_ctl.scala 201:20] + io.lsu_pkt_d.bits.by <= _T_117.bits.by @[lsu_lsc_ctl.scala 201:20] + io.lsu_pkt_d.bits.fast_int <= _T_117.bits.fast_int @[lsu_lsc_ctl.scala 201:20] + io.lsu_pkt_d.valid <= _T_117.valid @[lsu_lsc_ctl.scala 201:20] + lsu_pkt_m_in.bits.store_data_bypass_m <= io.lsu_pkt_d.bits.store_data_bypass_m @[lsu_lsc_ctl.scala 202:20] + lsu_pkt_m_in.bits.load_ldst_bypass_d <= io.lsu_pkt_d.bits.load_ldst_bypass_d @[lsu_lsc_ctl.scala 202:20] + lsu_pkt_m_in.bits.store_data_bypass_d <= io.lsu_pkt_d.bits.store_data_bypass_d @[lsu_lsc_ctl.scala 202:20] + lsu_pkt_m_in.bits.dma <= io.lsu_pkt_d.bits.dma @[lsu_lsc_ctl.scala 202:20] + lsu_pkt_m_in.bits.unsign <= io.lsu_pkt_d.bits.unsign @[lsu_lsc_ctl.scala 202:20] + lsu_pkt_m_in.bits.store <= io.lsu_pkt_d.bits.store @[lsu_lsc_ctl.scala 202:20] + lsu_pkt_m_in.bits.load <= io.lsu_pkt_d.bits.load @[lsu_lsc_ctl.scala 202:20] + lsu_pkt_m_in.bits.dword <= io.lsu_pkt_d.bits.dword @[lsu_lsc_ctl.scala 202:20] + lsu_pkt_m_in.bits.word <= io.lsu_pkt_d.bits.word @[lsu_lsc_ctl.scala 202:20] + lsu_pkt_m_in.bits.half <= io.lsu_pkt_d.bits.half @[lsu_lsc_ctl.scala 202:20] + lsu_pkt_m_in.bits.by <= io.lsu_pkt_d.bits.by @[lsu_lsc_ctl.scala 202:20] + lsu_pkt_m_in.bits.fast_int <= io.lsu_pkt_d.bits.fast_int @[lsu_lsc_ctl.scala 202:20] + lsu_pkt_m_in.valid <= io.lsu_pkt_d.valid @[lsu_lsc_ctl.scala 202:20] + lsu_pkt_r_in.bits.store_data_bypass_m <= io.lsu_pkt_m.bits.store_data_bypass_m @[lsu_lsc_ctl.scala 203:20] + lsu_pkt_r_in.bits.load_ldst_bypass_d <= io.lsu_pkt_m.bits.load_ldst_bypass_d @[lsu_lsc_ctl.scala 203:20] + lsu_pkt_r_in.bits.store_data_bypass_d <= io.lsu_pkt_m.bits.store_data_bypass_d @[lsu_lsc_ctl.scala 203:20] + lsu_pkt_r_in.bits.dma <= io.lsu_pkt_m.bits.dma @[lsu_lsc_ctl.scala 203:20] + lsu_pkt_r_in.bits.unsign <= io.lsu_pkt_m.bits.unsign @[lsu_lsc_ctl.scala 203:20] + lsu_pkt_r_in.bits.store <= io.lsu_pkt_m.bits.store @[lsu_lsc_ctl.scala 203:20] + lsu_pkt_r_in.bits.load <= io.lsu_pkt_m.bits.load @[lsu_lsc_ctl.scala 203:20] + lsu_pkt_r_in.bits.dword <= io.lsu_pkt_m.bits.dword @[lsu_lsc_ctl.scala 203:20] + lsu_pkt_r_in.bits.word <= io.lsu_pkt_m.bits.word @[lsu_lsc_ctl.scala 203:20] + lsu_pkt_r_in.bits.half <= io.lsu_pkt_m.bits.half @[lsu_lsc_ctl.scala 203:20] + lsu_pkt_r_in.bits.by <= io.lsu_pkt_m.bits.by @[lsu_lsc_ctl.scala 203:20] + lsu_pkt_r_in.bits.fast_int <= io.lsu_pkt_m.bits.fast_int @[lsu_lsc_ctl.scala 203:20] + lsu_pkt_r_in.valid <= io.lsu_pkt_m.valid @[lsu_lsc_ctl.scala 203:20] + node _T_118 = eq(io.lsu_p.bits.fast_int, UInt<1>("h00")) @[lsu_lsc_ctl.scala 205:64] + node _T_119 = and(io.flush_m_up, _T_118) @[lsu_lsc_ctl.scala 205:61] + node _T_120 = eq(_T_119, UInt<1>("h00")) @[lsu_lsc_ctl.scala 205:45] + node _T_121 = and(io.lsu_p.valid, _T_120) @[lsu_lsc_ctl.scala 205:43] + node _T_122 = or(_T_121, io.dma_lsc_ctl.dma_dccm_req) @[lsu_lsc_ctl.scala 205:90] + io.lsu_pkt_d.valid <= _T_122 @[lsu_lsc_ctl.scala 205:24] + node _T_123 = eq(io.lsu_pkt_d.bits.dma, UInt<1>("h00")) @[lsu_lsc_ctl.scala 206:68] + node _T_124 = and(io.flush_m_up, _T_123) @[lsu_lsc_ctl.scala 206:65] + node _T_125 = eq(_T_124, UInt<1>("h00")) @[lsu_lsc_ctl.scala 206:49] + node _T_126 = and(io.lsu_pkt_d.valid, _T_125) @[lsu_lsc_ctl.scala 206:47] + lsu_pkt_m_in.valid <= _T_126 @[lsu_lsc_ctl.scala 206:24] + node _T_127 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_lsc_ctl.scala 207:68] + node _T_128 = and(io.flush_m_up, _T_127) @[lsu_lsc_ctl.scala 207:65] + node _T_129 = eq(_T_128, UInt<1>("h00")) @[lsu_lsc_ctl.scala 207:49] + node _T_130 = and(io.lsu_pkt_m.valid, _T_129) @[lsu_lsc_ctl.scala 207:47] + lsu_pkt_r_in.valid <= _T_130 @[lsu_lsc_ctl.scala 207:24] + wire _T_131 : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}} @[lsu_lsc_ctl.scala 209:91] + _T_131.bits.store_data_bypass_m <= UInt<1>("h00") @[lsu_lsc_ctl.scala 209:91] + _T_131.bits.load_ldst_bypass_d <= UInt<1>("h00") @[lsu_lsc_ctl.scala 209:91] + _T_131.bits.store_data_bypass_d <= UInt<1>("h00") @[lsu_lsc_ctl.scala 209:91] + _T_131.bits.dma <= UInt<1>("h00") @[lsu_lsc_ctl.scala 209:91] + _T_131.bits.unsign <= UInt<1>("h00") @[lsu_lsc_ctl.scala 209:91] + _T_131.bits.store <= UInt<1>("h00") @[lsu_lsc_ctl.scala 209:91] + _T_131.bits.load <= UInt<1>("h00") @[lsu_lsc_ctl.scala 209:91] + _T_131.bits.dword <= UInt<1>("h00") @[lsu_lsc_ctl.scala 209:91] + _T_131.bits.word <= UInt<1>("h00") @[lsu_lsc_ctl.scala 209:91] + _T_131.bits.half <= UInt<1>("h00") @[lsu_lsc_ctl.scala 209:91] + _T_131.bits.by <= UInt<1>("h00") @[lsu_lsc_ctl.scala 209:91] + _T_131.bits.fast_int <= UInt<1>("h00") @[lsu_lsc_ctl.scala 209:91] + _T_131.valid <= UInt<1>("h00") @[lsu_lsc_ctl.scala 209:91] + reg _T_132 : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, io.lsu_c1_m_clk with : (reset => (reset, _T_131)) @[lsu_lsc_ctl.scala 209:65] + _T_132.bits.store_data_bypass_m <= lsu_pkt_m_in.bits.store_data_bypass_m @[lsu_lsc_ctl.scala 209:65] + _T_132.bits.load_ldst_bypass_d <= lsu_pkt_m_in.bits.load_ldst_bypass_d @[lsu_lsc_ctl.scala 209:65] + _T_132.bits.store_data_bypass_d <= lsu_pkt_m_in.bits.store_data_bypass_d @[lsu_lsc_ctl.scala 209:65] + _T_132.bits.dma <= lsu_pkt_m_in.bits.dma @[lsu_lsc_ctl.scala 209:65] + _T_132.bits.unsign <= lsu_pkt_m_in.bits.unsign @[lsu_lsc_ctl.scala 209:65] + _T_132.bits.store <= lsu_pkt_m_in.bits.store @[lsu_lsc_ctl.scala 209:65] + _T_132.bits.load <= lsu_pkt_m_in.bits.load @[lsu_lsc_ctl.scala 209:65] + _T_132.bits.dword <= lsu_pkt_m_in.bits.dword @[lsu_lsc_ctl.scala 209:65] + _T_132.bits.word <= lsu_pkt_m_in.bits.word @[lsu_lsc_ctl.scala 209:65] + _T_132.bits.half <= lsu_pkt_m_in.bits.half @[lsu_lsc_ctl.scala 209:65] + _T_132.bits.by <= lsu_pkt_m_in.bits.by @[lsu_lsc_ctl.scala 209:65] + _T_132.bits.fast_int <= lsu_pkt_m_in.bits.fast_int @[lsu_lsc_ctl.scala 209:65] + _T_132.valid <= lsu_pkt_m_in.valid @[lsu_lsc_ctl.scala 209:65] + io.lsu_pkt_m.bits.store_data_bypass_m <= _T_132.bits.store_data_bypass_m @[lsu_lsc_ctl.scala 209:28] + io.lsu_pkt_m.bits.load_ldst_bypass_d <= _T_132.bits.load_ldst_bypass_d @[lsu_lsc_ctl.scala 209:28] + io.lsu_pkt_m.bits.store_data_bypass_d <= _T_132.bits.store_data_bypass_d @[lsu_lsc_ctl.scala 209:28] + io.lsu_pkt_m.bits.dma <= _T_132.bits.dma @[lsu_lsc_ctl.scala 209:28] + io.lsu_pkt_m.bits.unsign <= _T_132.bits.unsign @[lsu_lsc_ctl.scala 209:28] + io.lsu_pkt_m.bits.store <= _T_132.bits.store @[lsu_lsc_ctl.scala 209:28] + io.lsu_pkt_m.bits.load <= _T_132.bits.load @[lsu_lsc_ctl.scala 209:28] + io.lsu_pkt_m.bits.dword <= _T_132.bits.dword @[lsu_lsc_ctl.scala 209:28] + io.lsu_pkt_m.bits.word <= _T_132.bits.word @[lsu_lsc_ctl.scala 209:28] + io.lsu_pkt_m.bits.half <= _T_132.bits.half @[lsu_lsc_ctl.scala 209:28] + io.lsu_pkt_m.bits.by <= _T_132.bits.by @[lsu_lsc_ctl.scala 209:28] + io.lsu_pkt_m.bits.fast_int <= _T_132.bits.fast_int @[lsu_lsc_ctl.scala 209:28] + io.lsu_pkt_m.valid <= _T_132.valid @[lsu_lsc_ctl.scala 209:28] + wire _T_133 : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}} @[lsu_lsc_ctl.scala 210:91] + _T_133.bits.store_data_bypass_m <= UInt<1>("h00") @[lsu_lsc_ctl.scala 210:91] + _T_133.bits.load_ldst_bypass_d <= UInt<1>("h00") @[lsu_lsc_ctl.scala 210:91] + _T_133.bits.store_data_bypass_d <= UInt<1>("h00") @[lsu_lsc_ctl.scala 210:91] + _T_133.bits.dma <= UInt<1>("h00") @[lsu_lsc_ctl.scala 210:91] + _T_133.bits.unsign <= UInt<1>("h00") @[lsu_lsc_ctl.scala 210:91] + _T_133.bits.store <= UInt<1>("h00") @[lsu_lsc_ctl.scala 210:91] + _T_133.bits.load <= UInt<1>("h00") @[lsu_lsc_ctl.scala 210:91] + _T_133.bits.dword <= UInt<1>("h00") @[lsu_lsc_ctl.scala 210:91] + _T_133.bits.word <= UInt<1>("h00") @[lsu_lsc_ctl.scala 210:91] + _T_133.bits.half <= UInt<1>("h00") @[lsu_lsc_ctl.scala 210:91] + _T_133.bits.by <= UInt<1>("h00") @[lsu_lsc_ctl.scala 210:91] + _T_133.bits.fast_int <= UInt<1>("h00") @[lsu_lsc_ctl.scala 210:91] + _T_133.valid <= UInt<1>("h00") @[lsu_lsc_ctl.scala 210:91] + reg _T_134 : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, io.lsu_c1_r_clk with : (reset => (reset, _T_133)) @[lsu_lsc_ctl.scala 210:65] + _T_134.bits.store_data_bypass_m <= lsu_pkt_r_in.bits.store_data_bypass_m @[lsu_lsc_ctl.scala 210:65] + _T_134.bits.load_ldst_bypass_d <= lsu_pkt_r_in.bits.load_ldst_bypass_d @[lsu_lsc_ctl.scala 210:65] + _T_134.bits.store_data_bypass_d <= lsu_pkt_r_in.bits.store_data_bypass_d @[lsu_lsc_ctl.scala 210:65] + _T_134.bits.dma <= lsu_pkt_r_in.bits.dma @[lsu_lsc_ctl.scala 210:65] + _T_134.bits.unsign <= lsu_pkt_r_in.bits.unsign @[lsu_lsc_ctl.scala 210:65] + _T_134.bits.store <= lsu_pkt_r_in.bits.store @[lsu_lsc_ctl.scala 210:65] + _T_134.bits.load <= lsu_pkt_r_in.bits.load @[lsu_lsc_ctl.scala 210:65] + _T_134.bits.dword <= lsu_pkt_r_in.bits.dword @[lsu_lsc_ctl.scala 210:65] + _T_134.bits.word <= lsu_pkt_r_in.bits.word @[lsu_lsc_ctl.scala 210:65] + _T_134.bits.half <= lsu_pkt_r_in.bits.half @[lsu_lsc_ctl.scala 210:65] + _T_134.bits.by <= lsu_pkt_r_in.bits.by @[lsu_lsc_ctl.scala 210:65] + _T_134.bits.fast_int <= lsu_pkt_r_in.bits.fast_int @[lsu_lsc_ctl.scala 210:65] + _T_134.valid <= lsu_pkt_r_in.valid @[lsu_lsc_ctl.scala 210:65] + io.lsu_pkt_r.bits.store_data_bypass_m <= _T_134.bits.store_data_bypass_m @[lsu_lsc_ctl.scala 210:28] + io.lsu_pkt_r.bits.load_ldst_bypass_d <= _T_134.bits.load_ldst_bypass_d @[lsu_lsc_ctl.scala 210:28] + io.lsu_pkt_r.bits.store_data_bypass_d <= _T_134.bits.store_data_bypass_d @[lsu_lsc_ctl.scala 210:28] + io.lsu_pkt_r.bits.dma <= _T_134.bits.dma @[lsu_lsc_ctl.scala 210:28] + io.lsu_pkt_r.bits.unsign <= _T_134.bits.unsign @[lsu_lsc_ctl.scala 210:28] + io.lsu_pkt_r.bits.store <= _T_134.bits.store @[lsu_lsc_ctl.scala 210:28] + io.lsu_pkt_r.bits.load <= _T_134.bits.load @[lsu_lsc_ctl.scala 210:28] + io.lsu_pkt_r.bits.dword <= _T_134.bits.dword @[lsu_lsc_ctl.scala 210:28] + io.lsu_pkt_r.bits.word <= _T_134.bits.word @[lsu_lsc_ctl.scala 210:28] + io.lsu_pkt_r.bits.half <= _T_134.bits.half @[lsu_lsc_ctl.scala 210:28] + io.lsu_pkt_r.bits.by <= _T_134.bits.by @[lsu_lsc_ctl.scala 210:28] + io.lsu_pkt_r.bits.fast_int <= _T_134.bits.fast_int @[lsu_lsc_ctl.scala 210:28] + io.lsu_pkt_r.valid <= _T_134.valid @[lsu_lsc_ctl.scala 210:28] + reg _T_135 : UInt<1>, io.lsu_c2_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 211:65] + _T_135 <= lsu_pkt_m_in.valid @[lsu_lsc_ctl.scala 211:65] + io.lsu_pkt_m.valid <= _T_135 @[lsu_lsc_ctl.scala 211:28] + reg _T_136 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 212:65] + _T_136 <= lsu_pkt_r_in.valid @[lsu_lsc_ctl.scala 212:65] + io.lsu_pkt_r.valid <= _T_136 @[lsu_lsc_ctl.scala 212:28] + node _T_137 = bits(io.dma_lsc_ctl.dma_mem_wdata, 63, 0) @[lsu_lsc_ctl.scala 214:59] + node _T_138 = bits(io.dma_lsc_ctl.dma_mem_addr, 2, 0) @[lsu_lsc_ctl.scala 214:100] + node _T_139 = cat(_T_138, UInt<3>("h00")) @[Cat.scala 29:58] + node dma_mem_wdata_shifted = dshr(_T_137, _T_139) @[lsu_lsc_ctl.scala 214:66] + node _T_140 = bits(io.dma_lsc_ctl.dma_dccm_req, 0, 0) @[lsu_lsc_ctl.scala 215:63] + node _T_141 = bits(dma_mem_wdata_shifted, 31, 0) @[lsu_lsc_ctl.scala 215:91] + node _T_142 = bits(io.lsu_exu.exu_lsu_rs2_d, 31, 0) @[lsu_lsc_ctl.scala 215:122] + node store_data_d = mux(_T_140, _T_141, _T_142) @[lsu_lsc_ctl.scala 215:34] + node _T_143 = bits(io.lsu_pkt_d.bits.store_data_bypass_d, 0, 0) @[lsu_lsc_ctl.scala 216:73] + node _T_144 = bits(io.lsu_result_m, 31, 0) @[lsu_lsc_ctl.scala 216:95] + node _T_145 = bits(store_data_d, 31, 0) @[lsu_lsc_ctl.scala 216:114] + node store_data_m_in = mux(_T_143, _T_144, _T_145) @[lsu_lsc_ctl.scala 216:34] + reg store_data_pre_m : UInt, io.lsu_store_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 218:72] + store_data_pre_m <= store_data_m_in @[lsu_lsc_ctl.scala 218:72] + reg _T_146 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 219:62] + _T_146 <= io.lsu_addr_d @[lsu_lsc_ctl.scala 219:62] + io.lsu_addr_m <= _T_146 @[lsu_lsc_ctl.scala 219:24] + reg _T_147 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 220:62] + _T_147 <= io.lsu_addr_m @[lsu_lsc_ctl.scala 220:62] + io.lsu_addr_r <= _T_147 @[lsu_lsc_ctl.scala 220:24] + reg _T_148 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 221:62] + _T_148 <= io.end_addr_d @[lsu_lsc_ctl.scala 221:62] + io.end_addr_m <= _T_148 @[lsu_lsc_ctl.scala 221:24] + reg _T_149 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 222:62] + _T_149 <= io.end_addr_m @[lsu_lsc_ctl.scala 222:62] + io.end_addr_r <= _T_149 @[lsu_lsc_ctl.scala 222:24] + reg _T_150 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 223:62] + _T_150 <= io.addr_in_dccm_d @[lsu_lsc_ctl.scala 223:62] + io.addr_in_dccm_m <= _T_150 @[lsu_lsc_ctl.scala 223:24] + reg _T_151 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 224:62] + _T_151 <= io.addr_in_dccm_m @[lsu_lsc_ctl.scala 224:62] + io.addr_in_dccm_r <= _T_151 @[lsu_lsc_ctl.scala 224:24] + reg _T_152 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 225:62] + _T_152 <= io.addr_in_pic_d @[lsu_lsc_ctl.scala 225:62] + io.addr_in_pic_m <= _T_152 @[lsu_lsc_ctl.scala 225:24] + reg _T_153 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 226:62] + _T_153 <= io.addr_in_pic_m @[lsu_lsc_ctl.scala 226:62] + io.addr_in_pic_r <= _T_153 @[lsu_lsc_ctl.scala 226:24] + reg _T_154 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 227:62] + _T_154 <= addrcheck.io.addr_external_d @[lsu_lsc_ctl.scala 227:62] + io.addr_external_m <= _T_154 @[lsu_lsc_ctl.scala 227:24] + reg addr_external_r : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 228:66] + addr_external_r <= io.addr_external_m @[lsu_lsc_ctl.scala 228:66] + reg bus_read_data_r : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 229:66] + bus_read_data_r <= io.bus_read_data_m @[lsu_lsc_ctl.scala 229:66] + node _T_155 = bits(io.lsu_ld_data_corr_r, 31, 1) @[lsu_lsc_ctl.scala 231:52] + io.lsu_fir_addr <= _T_155 @[lsu_lsc_ctl.scala 231:28] + io.lsu_addr_d <= full_addr_d @[lsu_lsc_ctl.scala 233:28] + node _T_156 = or(io.lsu_pkt_r.bits.store, io.lsu_pkt_r.bits.load) @[lsu_lsc_ctl.scala 235:68] + node _T_157 = and(io.lsu_pkt_r.valid, _T_156) @[lsu_lsc_ctl.scala 235:41] + node _T_158 = eq(io.flush_r, UInt<1>("h00")) @[lsu_lsc_ctl.scala 235:96] + node _T_159 = and(_T_157, _T_158) @[lsu_lsc_ctl.scala 235:94] + node _T_160 = eq(io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu_lsc_ctl.scala 235:110] + node _T_161 = and(_T_159, _T_160) @[lsu_lsc_ctl.scala 235:108] + io.lsu_commit_r <= _T_161 @[lsu_lsc_ctl.scala 235:19] + node _T_162 = bits(io.picm_mask_data_m, 31, 0) @[lsu_lsc_ctl.scala 236:52] + node _T_163 = eq(io.addr_in_pic_m, UInt<1>("h00")) @[lsu_lsc_ctl.scala 236:69] + node _T_164 = bits(_T_163, 0, 0) @[Bitwise.scala 72:15] + node _T_165 = mux(_T_164, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_166 = or(_T_162, _T_165) @[lsu_lsc_ctl.scala 236:59] + node _T_167 = bits(io.lsu_pkt_m.bits.store_data_bypass_m, 0, 0) @[lsu_lsc_ctl.scala 236:133] + node _T_168 = mux(_T_167, io.lsu_result_m, store_data_pre_m) @[lsu_lsc_ctl.scala 236:94] + node _T_169 = and(_T_166, _T_168) @[lsu_lsc_ctl.scala 236:89] + io.store_data_m <= _T_169 @[lsu_lsc_ctl.scala 236:29] + node _T_170 = bits(io.addr_external_m, 0, 0) @[lsu_lsc_ctl.scala 257:53] + node _T_171 = mux(_T_170, io.bus_read_data_m, io.lsu_ld_data_m) @[lsu_lsc_ctl.scala 257:33] + lsu_ld_datafn_m <= _T_171 @[lsu_lsc_ctl.scala 257:27] + node _T_172 = eq(addr_external_r, UInt<1>("h01")) @[lsu_lsc_ctl.scala 258:49] + node _T_173 = mux(_T_172, bus_read_data_r, io.lsu_ld_data_corr_r) @[lsu_lsc_ctl.scala 258:33] + lsu_ld_datafn_corr_r <= _T_173 @[lsu_lsc_ctl.scala 258:27] + node _T_174 = and(io.lsu_pkt_m.bits.unsign, io.lsu_pkt_m.bits.by) @[lsu_lsc_ctl.scala 259:66] + node _T_175 = bits(_T_174, 0, 0) @[Bitwise.scala 72:15] + node _T_176 = mux(_T_175, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_177 = bits(lsu_ld_datafn_m, 7, 0) @[lsu_lsc_ctl.scala 259:125] + node _T_178 = cat(UInt<24>("h00"), _T_177) @[Cat.scala 29:58] + node _T_179 = and(_T_176, _T_178) @[lsu_lsc_ctl.scala 259:94] + node _T_180 = and(io.lsu_pkt_m.bits.unsign, io.lsu_pkt_m.bits.half) @[lsu_lsc_ctl.scala 260:43] + node _T_181 = bits(_T_180, 0, 0) @[Bitwise.scala 72:15] + node _T_182 = mux(_T_181, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_183 = bits(lsu_ld_datafn_m, 15, 0) @[lsu_lsc_ctl.scala 260:102] + node _T_184 = cat(UInt<16>("h00"), _T_183) @[Cat.scala 29:58] + node _T_185 = and(_T_182, _T_184) @[lsu_lsc_ctl.scala 260:71] + node _T_186 = or(_T_179, _T_185) @[lsu_lsc_ctl.scala 259:133] + node _T_187 = eq(io.lsu_pkt_m.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 261:17] + node _T_188 = and(_T_187, io.lsu_pkt_m.bits.by) @[lsu_lsc_ctl.scala 261:43] + node _T_189 = bits(_T_188, 0, 0) @[Bitwise.scala 72:15] + node _T_190 = mux(_T_189, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_191 = bits(lsu_ld_datafn_m, 7, 7) @[lsu_lsc_ctl.scala 261:102] + node _T_192 = bits(_T_191, 0, 0) @[Bitwise.scala 72:15] + node _T_193 = mux(_T_192, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_194 = bits(lsu_ld_datafn_m, 7, 0) @[lsu_lsc_ctl.scala 261:125] + node _T_195 = cat(_T_193, _T_194) @[Cat.scala 29:58] + node _T_196 = and(_T_190, _T_195) @[lsu_lsc_ctl.scala 261:71] + node _T_197 = or(_T_186, _T_196) @[lsu_lsc_ctl.scala 260:114] + node _T_198 = eq(io.lsu_pkt_m.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 262:17] + node _T_199 = and(_T_198, io.lsu_pkt_m.bits.half) @[lsu_lsc_ctl.scala 262:43] + node _T_200 = bits(_T_199, 0, 0) @[Bitwise.scala 72:15] + node _T_201 = mux(_T_200, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_202 = bits(lsu_ld_datafn_m, 15, 15) @[lsu_lsc_ctl.scala 262:101] + node _T_203 = bits(_T_202, 0, 0) @[Bitwise.scala 72:15] + node _T_204 = mux(_T_203, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_205 = bits(lsu_ld_datafn_m, 15, 0) @[lsu_lsc_ctl.scala 262:125] + node _T_206 = cat(_T_204, _T_205) @[Cat.scala 29:58] + node _T_207 = and(_T_201, _T_206) @[lsu_lsc_ctl.scala 262:71] + node _T_208 = or(_T_197, _T_207) @[lsu_lsc_ctl.scala 261:134] + node _T_209 = bits(io.lsu_pkt_m.bits.word, 0, 0) @[Bitwise.scala 72:15] + node _T_210 = mux(_T_209, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_211 = bits(lsu_ld_datafn_m, 31, 0) @[lsu_lsc_ctl.scala 263:60] + node _T_212 = and(_T_210, _T_211) @[lsu_lsc_ctl.scala 263:43] + node _T_213 = or(_T_208, _T_212) @[lsu_lsc_ctl.scala 262:134] + io.lsu_result_m <= _T_213 @[lsu_lsc_ctl.scala 259:27] + node _T_214 = and(io.lsu_pkt_r.bits.unsign, io.lsu_pkt_r.bits.by) @[lsu_lsc_ctl.scala 264:66] + node _T_215 = bits(_T_214, 0, 0) @[Bitwise.scala 72:15] + node _T_216 = mux(_T_215, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_217 = bits(lsu_ld_datafn_corr_r, 7, 0) @[lsu_lsc_ctl.scala 264:130] + node _T_218 = cat(UInt<24>("h00"), _T_217) @[Cat.scala 29:58] + node _T_219 = and(_T_216, _T_218) @[lsu_lsc_ctl.scala 264:94] + node _T_220 = and(io.lsu_pkt_r.bits.unsign, io.lsu_pkt_r.bits.half) @[lsu_lsc_ctl.scala 265:43] + node _T_221 = bits(_T_220, 0, 0) @[Bitwise.scala 72:15] + node _T_222 = mux(_T_221, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_223 = bits(lsu_ld_datafn_corr_r, 15, 0) @[lsu_lsc_ctl.scala 265:107] + node _T_224 = cat(UInt<16>("h00"), _T_223) @[Cat.scala 29:58] + node _T_225 = and(_T_222, _T_224) @[lsu_lsc_ctl.scala 265:71] + node _T_226 = or(_T_219, _T_225) @[lsu_lsc_ctl.scala 264:138] + node _T_227 = eq(io.lsu_pkt_r.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 266:17] + node _T_228 = and(_T_227, io.lsu_pkt_r.bits.by) @[lsu_lsc_ctl.scala 266:43] + node _T_229 = bits(_T_228, 0, 0) @[Bitwise.scala 72:15] + node _T_230 = mux(_T_229, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_231 = bits(lsu_ld_datafn_corr_r, 7, 7) @[lsu_lsc_ctl.scala 266:107] + node _T_232 = bits(_T_231, 0, 0) @[Bitwise.scala 72:15] + node _T_233 = mux(_T_232, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_234 = bits(lsu_ld_datafn_corr_r, 7, 0) @[lsu_lsc_ctl.scala 266:135] + node _T_235 = cat(_T_233, _T_234) @[Cat.scala 29:58] + node _T_236 = and(_T_230, _T_235) @[lsu_lsc_ctl.scala 266:71] + node _T_237 = or(_T_226, _T_236) @[lsu_lsc_ctl.scala 265:119] + node _T_238 = eq(io.lsu_pkt_r.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 267:17] + node _T_239 = and(_T_238, io.lsu_pkt_r.bits.half) @[lsu_lsc_ctl.scala 267:43] + node _T_240 = bits(_T_239, 0, 0) @[Bitwise.scala 72:15] + node _T_241 = mux(_T_240, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_242 = bits(lsu_ld_datafn_corr_r, 15, 15) @[lsu_lsc_ctl.scala 267:106] + node _T_243 = bits(_T_242, 0, 0) @[Bitwise.scala 72:15] + node _T_244 = mux(_T_243, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_245 = bits(lsu_ld_datafn_corr_r, 15, 0) @[lsu_lsc_ctl.scala 267:135] + node _T_246 = cat(_T_244, _T_245) @[Cat.scala 29:58] + node _T_247 = and(_T_241, _T_246) @[lsu_lsc_ctl.scala 267:71] + node _T_248 = or(_T_237, _T_247) @[lsu_lsc_ctl.scala 266:144] + node _T_249 = bits(io.lsu_pkt_r.bits.word, 0, 0) @[Bitwise.scala 72:15] + node _T_250 = mux(_T_249, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_251 = bits(lsu_ld_datafn_corr_r, 31, 0) @[lsu_lsc_ctl.scala 268:65] + node _T_252 = and(_T_250, _T_251) @[lsu_lsc_ctl.scala 268:43] + node _T_253 = or(_T_248, _T_252) @[lsu_lsc_ctl.scala 267:144] + io.lsu_result_corr_r <= _T_253 @[lsu_lsc_ctl.scala 264:27] + + extmodule gated_latch : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_1 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_1 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_1 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module lsu_dccm_ctl : + input clock : Clock + input reset : AsyncReset + output io : {flip lsu_c2_m_clk : Clock, flip lsu_c2_r_clk : Clock, flip lsu_free_c2_clk : Clock, flip lsu_c1_r_clk : Clock, flip lsu_store_c1_r_clk : Clock, flip lsu_pkt_d : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip addr_in_dccm_d : UInt<1>, flip addr_in_dccm_m : UInt<1>, flip addr_in_dccm_r : UInt<1>, flip addr_in_pic_d : UInt<1>, flip addr_in_pic_m : UInt<1>, flip addr_in_pic_r : UInt<1>, flip lsu_raw_fwd_lo_r : UInt<1>, flip lsu_raw_fwd_hi_r : UInt<1>, flip lsu_commit_r : UInt<1>, flip lsu_addr_d : UInt<32>, flip lsu_addr_m : UInt<16>, flip lsu_addr_r : UInt<32>, flip end_addr_d : UInt<16>, flip end_addr_m : UInt<16>, flip end_addr_r : UInt<16>, flip stbuf_reqvld_any : UInt<1>, flip stbuf_addr_any : UInt<16>, flip stbuf_data_any : UInt<32>, flip stbuf_ecc_any : UInt<7>, flip stbuf_fwddata_hi_m : UInt<32>, flip stbuf_fwddata_lo_m : UInt<32>, flip stbuf_fwdbyteen_lo_m : UInt<4>, flip stbuf_fwdbyteen_hi_m : UInt<4>, dccm_rdata_hi_r : UInt<32>, dccm_rdata_lo_r : UInt<32>, dccm_data_ecc_hi_r : UInt<7>, dccm_data_ecc_lo_r : UInt<7>, lsu_ld_data_r : UInt<32>, lsu_ld_data_corr_r : UInt<32>, flip lsu_double_ecc_error_r : UInt<1>, flip single_ecc_error_hi_r : UInt<1>, flip single_ecc_error_lo_r : UInt<1>, flip sec_data_hi_r : UInt<32>, flip sec_data_lo_r : UInt<32>, flip sec_data_hi_r_ff : UInt<32>, flip sec_data_lo_r_ff : UInt<32>, flip sec_data_ecc_hi_r_ff : UInt<7>, flip sec_data_ecc_lo_r_ff : UInt<7>, dccm_rdata_hi_m : UInt<32>, dccm_rdata_lo_m : UInt<32>, dccm_data_ecc_hi_m : UInt<7>, dccm_data_ecc_lo_m : UInt<7>, lsu_ld_data_m : UInt<32>, flip lsu_double_ecc_error_m : UInt<1>, flip sec_data_hi_m : UInt<32>, flip sec_data_lo_m : UInt<32>, flip store_data_m : UInt<32>, flip dma_dccm_wen : UInt<1>, flip dma_pic_wen : UInt<1>, flip dma_mem_tag_m : UInt<3>, flip dma_dccm_wdata_lo : UInt<32>, flip dma_dccm_wdata_hi : UInt<32>, flip dma_dccm_wdata_ecc_hi : UInt<7>, flip dma_dccm_wdata_ecc_lo : UInt<7>, store_data_hi_r : UInt<32>, store_data_lo_r : UInt<32>, store_datafn_hi_r : UInt<32>, store_datafn_lo_r : UInt<32>, store_data_r : UInt<32>, ld_single_ecc_error_r : UInt<1>, ld_single_ecc_error_r_ff : UInt<1>, picm_mask_data_m : UInt<32>, lsu_stbuf_commit_any : UInt<1>, lsu_dccm_rden_m : UInt<1>, lsu_dccm_rden_r : UInt<1>, dma_dccm_ctl : {flip dma_mem_addr : UInt<32>, flip dma_mem_wdata : UInt<64>, dccm_dma_rvalid : UInt<1>, dccm_dma_ecc_error : UInt<1>, dccm_dma_rtag : UInt<3>, dccm_dma_rdata : UInt<64>}, flip dccm : {flip wren : UInt<1>, flip rden : UInt<1>, flip wr_addr_lo : UInt<16>, flip wr_addr_hi : UInt<16>, flip rd_addr_lo : UInt<16>, flip rd_addr_hi : UInt<16>, flip wr_data_lo : UInt<39>, flip wr_data_hi : UInt<39>, rd_data_lo : UInt<39>, rd_data_hi : UInt<39>}, lsu_pic : {picm_wren : UInt<1>, picm_rden : UInt<1>, picm_mken : UInt<1>, picm_rdaddr : UInt<32>, picm_wraddr : UInt<32>, picm_wr_data : UInt<32>, flip picm_rd_data : UInt<32>}, flip scan_mode : UInt<1>} + + node picm_rd_data_m = cat(io.lsu_pic.picm_rd_data, io.lsu_pic.picm_rd_data) @[Cat.scala 29:58] + node dccm_rdata_corr_r = cat(io.sec_data_hi_r, io.sec_data_lo_r) @[Cat.scala 29:58] + node dccm_rdata_corr_m = cat(io.sec_data_hi_m, io.sec_data_lo_m) @[Cat.scala 29:58] + node dccm_rdata_r = cat(io.dccm_rdata_hi_r, io.dccm_rdata_lo_r) @[Cat.scala 29:58] + node dccm_rdata_m = cat(io.dccm_rdata_hi_m, io.dccm_rdata_lo_m) @[Cat.scala 29:58] + wire lsu_rdata_r : UInt<64> + lsu_rdata_r <= UInt<1>("h00") + wire lsu_rdata_m : UInt<64> + lsu_rdata_m <= UInt<1>("h00") + wire lsu_rdata_corr_r : UInt<64> + lsu_rdata_corr_r <= UInt<1>("h00") + wire lsu_rdata_corr_m : UInt<64> + lsu_rdata_corr_m <= UInt<1>("h00") + wire stbuf_fwddata_r : UInt<64> + stbuf_fwddata_r <= UInt<1>("h00") + wire stbuf_fwdbyteen_r : UInt<64> + stbuf_fwdbyteen_r <= UInt<1>("h00") + wire picm_rd_data_r_32 : UInt<32> + picm_rd_data_r_32 <= UInt<1>("h00") + wire picm_rd_data_r : UInt<64> + picm_rd_data_r <= UInt<1>("h00") + wire lsu_ld_data_corr_m : UInt<64> + lsu_ld_data_corr_m <= UInt<1>("h00") + node _T = and(io.lsu_pkt_m.valid, io.lsu_pkt_m.bits.load) @[lsu_dccm_ctl.scala 137:63] + node _T_1 = and(_T, io.lsu_pkt_m.bits.dma) @[lsu_dccm_ctl.scala 137:88] + io.dma_dccm_ctl.dccm_dma_rvalid <= _T_1 @[lsu_dccm_ctl.scala 137:41] + io.dma_dccm_ctl.dccm_dma_ecc_error <= io.lsu_double_ecc_error_m @[lsu_dccm_ctl.scala 138:41] + io.dma_dccm_ctl.dccm_dma_rdata <= lsu_rdata_corr_m @[lsu_dccm_ctl.scala 139:41] + io.dma_dccm_ctl.dccm_dma_rtag <= io.dma_mem_tag_m @[lsu_dccm_ctl.scala 140:41] + io.dccm_rdata_lo_r <= UInt<1>("h00") @[lsu_dccm_ctl.scala 141:28] + io.dccm_rdata_hi_r <= UInt<1>("h00") @[lsu_dccm_ctl.scala 142:28] + io.dccm_data_ecc_hi_r <= UInt<1>("h00") @[lsu_dccm_ctl.scala 143:28] + io.dccm_data_ecc_lo_r <= UInt<1>("h00") @[lsu_dccm_ctl.scala 144:28] + io.lsu_ld_data_r <= UInt<1>("h00") @[lsu_dccm_ctl.scala 145:28] + reg _T_2 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 147:65] + _T_2 <= lsu_ld_data_corr_m @[lsu_dccm_ctl.scala 147:65] + io.lsu_ld_data_corr_r <= _T_2 @[lsu_dccm_ctl.scala 147:28] + node _T_3 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_4 = bits(_T_3, 0, 0) @[lsu_dccm_ctl.scala 148:134] + node _T_5 = bits(_T_4, 0, 0) @[lsu_dccm_ctl.scala 148:139] + node _T_6 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_7 = bits(_T_6, 7, 0) @[lsu_dccm_ctl.scala 148:196] + node _T_8 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 148:231] + node _T_9 = bits(picm_rd_data_m, 7, 0) @[lsu_dccm_ctl.scala 148:252] + node _T_10 = bits(dccm_rdata_corr_m, 7, 0) @[lsu_dccm_ctl.scala 148:283] + node _T_11 = mux(_T_8, _T_9, _T_10) @[lsu_dccm_ctl.scala 148:213] + node _T_12 = mux(_T_5, _T_7, _T_11) @[lsu_dccm_ctl.scala 148:78] + node _T_13 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_14 = xor(UInt<8>("h0ff"), _T_13) @[Bitwise.scala 102:21] + node _T_15 = shr(_T_12, 4) @[Bitwise.scala 103:21] + node _T_16 = and(_T_15, _T_14) @[Bitwise.scala 103:31] + node _T_17 = bits(_T_12, 3, 0) @[Bitwise.scala 103:46] + node _T_18 = shl(_T_17, 4) @[Bitwise.scala 103:65] + node _T_19 = not(_T_14) @[Bitwise.scala 103:77] + node _T_20 = and(_T_18, _T_19) @[Bitwise.scala 103:75] + node _T_21 = or(_T_16, _T_20) @[Bitwise.scala 103:39] + node _T_22 = bits(_T_14, 5, 0) @[Bitwise.scala 102:28] + node _T_23 = shl(_T_22, 2) @[Bitwise.scala 102:47] + node _T_24 = xor(_T_14, _T_23) @[Bitwise.scala 102:21] + node _T_25 = shr(_T_21, 2) @[Bitwise.scala 103:21] + node _T_26 = and(_T_25, _T_24) @[Bitwise.scala 103:31] + node _T_27 = bits(_T_21, 5, 0) @[Bitwise.scala 103:46] + node _T_28 = shl(_T_27, 2) @[Bitwise.scala 103:65] + node _T_29 = not(_T_24) @[Bitwise.scala 103:77] + node _T_30 = and(_T_28, _T_29) @[Bitwise.scala 103:75] + node _T_31 = or(_T_26, _T_30) @[Bitwise.scala 103:39] + node _T_32 = bits(_T_24, 6, 0) @[Bitwise.scala 102:28] + node _T_33 = shl(_T_32, 1) @[Bitwise.scala 102:47] + node _T_34 = xor(_T_24, _T_33) @[Bitwise.scala 102:21] + node _T_35 = shr(_T_31, 1) @[Bitwise.scala 103:21] + node _T_36 = and(_T_35, _T_34) @[Bitwise.scala 103:31] + node _T_37 = bits(_T_31, 6, 0) @[Bitwise.scala 103:46] + node _T_38 = shl(_T_37, 1) @[Bitwise.scala 103:65] + node _T_39 = not(_T_34) @[Bitwise.scala 103:77] + node _T_40 = and(_T_38, _T_39) @[Bitwise.scala 103:75] + node _T_41 = or(_T_36, _T_40) @[Bitwise.scala 103:39] + node _T_42 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_43 = bits(_T_42, 1, 1) @[lsu_dccm_ctl.scala 148:134] + node _T_44 = bits(_T_43, 0, 0) @[lsu_dccm_ctl.scala 148:139] + node _T_45 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_46 = bits(_T_45, 15, 8) @[lsu_dccm_ctl.scala 148:196] + node _T_47 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 148:231] + node _T_48 = bits(picm_rd_data_m, 15, 8) @[lsu_dccm_ctl.scala 148:252] + node _T_49 = bits(dccm_rdata_corr_m, 15, 8) @[lsu_dccm_ctl.scala 148:283] + node _T_50 = mux(_T_47, _T_48, _T_49) @[lsu_dccm_ctl.scala 148:213] + node _T_51 = mux(_T_44, _T_46, _T_50) @[lsu_dccm_ctl.scala 148:78] + node _T_52 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_53 = xor(UInt<8>("h0ff"), _T_52) @[Bitwise.scala 102:21] + node _T_54 = shr(_T_51, 4) @[Bitwise.scala 103:21] + node _T_55 = and(_T_54, _T_53) @[Bitwise.scala 103:31] + node _T_56 = bits(_T_51, 3, 0) @[Bitwise.scala 103:46] + node _T_57 = shl(_T_56, 4) @[Bitwise.scala 103:65] + node _T_58 = not(_T_53) @[Bitwise.scala 103:77] + node _T_59 = and(_T_57, _T_58) @[Bitwise.scala 103:75] + node _T_60 = or(_T_55, _T_59) @[Bitwise.scala 103:39] + node _T_61 = bits(_T_53, 5, 0) @[Bitwise.scala 102:28] + node _T_62 = shl(_T_61, 2) @[Bitwise.scala 102:47] + node _T_63 = xor(_T_53, _T_62) @[Bitwise.scala 102:21] + node _T_64 = shr(_T_60, 2) @[Bitwise.scala 103:21] + node _T_65 = and(_T_64, _T_63) @[Bitwise.scala 103:31] + node _T_66 = bits(_T_60, 5, 0) @[Bitwise.scala 103:46] + node _T_67 = shl(_T_66, 2) @[Bitwise.scala 103:65] + node _T_68 = not(_T_63) @[Bitwise.scala 103:77] + node _T_69 = and(_T_67, _T_68) @[Bitwise.scala 103:75] + node _T_70 = or(_T_65, _T_69) @[Bitwise.scala 103:39] + node _T_71 = bits(_T_63, 6, 0) @[Bitwise.scala 102:28] + node _T_72 = shl(_T_71, 1) @[Bitwise.scala 102:47] + node _T_73 = xor(_T_63, _T_72) @[Bitwise.scala 102:21] + node _T_74 = shr(_T_70, 1) @[Bitwise.scala 103:21] + node _T_75 = and(_T_74, _T_73) @[Bitwise.scala 103:31] + node _T_76 = bits(_T_70, 6, 0) @[Bitwise.scala 103:46] + node _T_77 = shl(_T_76, 1) @[Bitwise.scala 103:65] + node _T_78 = not(_T_73) @[Bitwise.scala 103:77] + node _T_79 = and(_T_77, _T_78) @[Bitwise.scala 103:75] + node _T_80 = or(_T_75, _T_79) @[Bitwise.scala 103:39] + node _T_81 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_82 = bits(_T_81, 2, 2) @[lsu_dccm_ctl.scala 148:134] + node _T_83 = bits(_T_82, 0, 0) @[lsu_dccm_ctl.scala 148:139] + node _T_84 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_85 = bits(_T_84, 23, 16) @[lsu_dccm_ctl.scala 148:196] + node _T_86 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 148:231] + node _T_87 = bits(picm_rd_data_m, 23, 16) @[lsu_dccm_ctl.scala 148:252] + node _T_88 = bits(dccm_rdata_corr_m, 23, 16) @[lsu_dccm_ctl.scala 148:283] + node _T_89 = mux(_T_86, _T_87, _T_88) @[lsu_dccm_ctl.scala 148:213] + node _T_90 = mux(_T_83, _T_85, _T_89) @[lsu_dccm_ctl.scala 148:78] + node _T_91 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_92 = xor(UInt<8>("h0ff"), _T_91) @[Bitwise.scala 102:21] + node _T_93 = shr(_T_90, 4) @[Bitwise.scala 103:21] + node _T_94 = and(_T_93, _T_92) @[Bitwise.scala 103:31] + node _T_95 = bits(_T_90, 3, 0) @[Bitwise.scala 103:46] + node _T_96 = shl(_T_95, 4) @[Bitwise.scala 103:65] + node _T_97 = not(_T_92) @[Bitwise.scala 103:77] + node _T_98 = and(_T_96, _T_97) @[Bitwise.scala 103:75] + node _T_99 = or(_T_94, _T_98) @[Bitwise.scala 103:39] + node _T_100 = bits(_T_92, 5, 0) @[Bitwise.scala 102:28] + node _T_101 = shl(_T_100, 2) @[Bitwise.scala 102:47] + node _T_102 = xor(_T_92, _T_101) @[Bitwise.scala 102:21] + node _T_103 = shr(_T_99, 2) @[Bitwise.scala 103:21] + node _T_104 = and(_T_103, _T_102) @[Bitwise.scala 103:31] + node _T_105 = bits(_T_99, 5, 0) @[Bitwise.scala 103:46] + node _T_106 = shl(_T_105, 2) @[Bitwise.scala 103:65] + node _T_107 = not(_T_102) @[Bitwise.scala 103:77] + node _T_108 = and(_T_106, _T_107) @[Bitwise.scala 103:75] + node _T_109 = or(_T_104, _T_108) @[Bitwise.scala 103:39] + node _T_110 = bits(_T_102, 6, 0) @[Bitwise.scala 102:28] + node _T_111 = shl(_T_110, 1) @[Bitwise.scala 102:47] + node _T_112 = xor(_T_102, _T_111) @[Bitwise.scala 102:21] + node _T_113 = shr(_T_109, 1) @[Bitwise.scala 103:21] + node _T_114 = and(_T_113, _T_112) @[Bitwise.scala 103:31] + node _T_115 = bits(_T_109, 6, 0) @[Bitwise.scala 103:46] + node _T_116 = shl(_T_115, 1) @[Bitwise.scala 103:65] + node _T_117 = not(_T_112) @[Bitwise.scala 103:77] + node _T_118 = and(_T_116, _T_117) @[Bitwise.scala 103:75] + node _T_119 = or(_T_114, _T_118) @[Bitwise.scala 103:39] + node _T_120 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_121 = bits(_T_120, 3, 3) @[lsu_dccm_ctl.scala 148:134] + node _T_122 = bits(_T_121, 0, 0) @[lsu_dccm_ctl.scala 148:139] + node _T_123 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_124 = bits(_T_123, 31, 24) @[lsu_dccm_ctl.scala 148:196] + node _T_125 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 148:231] + node _T_126 = bits(picm_rd_data_m, 31, 24) @[lsu_dccm_ctl.scala 148:252] + node _T_127 = bits(dccm_rdata_corr_m, 31, 24) @[lsu_dccm_ctl.scala 148:283] + node _T_128 = mux(_T_125, _T_126, _T_127) @[lsu_dccm_ctl.scala 148:213] + node _T_129 = mux(_T_122, _T_124, _T_128) @[lsu_dccm_ctl.scala 148:78] + node _T_130 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_131 = xor(UInt<8>("h0ff"), _T_130) @[Bitwise.scala 102:21] + node _T_132 = shr(_T_129, 4) @[Bitwise.scala 103:21] + node _T_133 = and(_T_132, _T_131) @[Bitwise.scala 103:31] + node _T_134 = bits(_T_129, 3, 0) @[Bitwise.scala 103:46] + node _T_135 = shl(_T_134, 4) @[Bitwise.scala 103:65] + node _T_136 = not(_T_131) @[Bitwise.scala 103:77] + node _T_137 = and(_T_135, _T_136) @[Bitwise.scala 103:75] + node _T_138 = or(_T_133, _T_137) @[Bitwise.scala 103:39] + node _T_139 = bits(_T_131, 5, 0) @[Bitwise.scala 102:28] + node _T_140 = shl(_T_139, 2) @[Bitwise.scala 102:47] + node _T_141 = xor(_T_131, _T_140) @[Bitwise.scala 102:21] + node _T_142 = shr(_T_138, 2) @[Bitwise.scala 103:21] + node _T_143 = and(_T_142, _T_141) @[Bitwise.scala 103:31] + node _T_144 = bits(_T_138, 5, 0) @[Bitwise.scala 103:46] + node _T_145 = shl(_T_144, 2) @[Bitwise.scala 103:65] + node _T_146 = not(_T_141) @[Bitwise.scala 103:77] + node _T_147 = and(_T_145, _T_146) @[Bitwise.scala 103:75] + node _T_148 = or(_T_143, _T_147) @[Bitwise.scala 103:39] + node _T_149 = bits(_T_141, 6, 0) @[Bitwise.scala 102:28] + node _T_150 = shl(_T_149, 1) @[Bitwise.scala 102:47] + node _T_151 = xor(_T_141, _T_150) @[Bitwise.scala 102:21] + node _T_152 = shr(_T_148, 1) @[Bitwise.scala 103:21] + node _T_153 = and(_T_152, _T_151) @[Bitwise.scala 103:31] + node _T_154 = bits(_T_148, 6, 0) @[Bitwise.scala 103:46] + node _T_155 = shl(_T_154, 1) @[Bitwise.scala 103:65] + node _T_156 = not(_T_151) @[Bitwise.scala 103:77] + node _T_157 = and(_T_155, _T_156) @[Bitwise.scala 103:75] + node _T_158 = or(_T_153, _T_157) @[Bitwise.scala 103:39] + node _T_159 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_160 = bits(_T_159, 4, 4) @[lsu_dccm_ctl.scala 148:134] + node _T_161 = bits(_T_160, 0, 0) @[lsu_dccm_ctl.scala 148:139] + node _T_162 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_163 = bits(_T_162, 39, 32) @[lsu_dccm_ctl.scala 148:196] + node _T_164 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 148:231] + node _T_165 = bits(picm_rd_data_m, 39, 32) @[lsu_dccm_ctl.scala 148:252] + node _T_166 = bits(dccm_rdata_corr_m, 39, 32) @[lsu_dccm_ctl.scala 148:283] + node _T_167 = mux(_T_164, _T_165, _T_166) @[lsu_dccm_ctl.scala 148:213] + node _T_168 = mux(_T_161, _T_163, _T_167) @[lsu_dccm_ctl.scala 148:78] + node _T_169 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_170 = xor(UInt<8>("h0ff"), _T_169) @[Bitwise.scala 102:21] + node _T_171 = shr(_T_168, 4) @[Bitwise.scala 103:21] + node _T_172 = and(_T_171, _T_170) @[Bitwise.scala 103:31] + node _T_173 = bits(_T_168, 3, 0) @[Bitwise.scala 103:46] + node _T_174 = shl(_T_173, 4) @[Bitwise.scala 103:65] + node _T_175 = not(_T_170) @[Bitwise.scala 103:77] + node _T_176 = and(_T_174, _T_175) @[Bitwise.scala 103:75] + node _T_177 = or(_T_172, _T_176) @[Bitwise.scala 103:39] + node _T_178 = bits(_T_170, 5, 0) @[Bitwise.scala 102:28] + node _T_179 = shl(_T_178, 2) @[Bitwise.scala 102:47] + node _T_180 = xor(_T_170, _T_179) @[Bitwise.scala 102:21] + node _T_181 = shr(_T_177, 2) @[Bitwise.scala 103:21] + node _T_182 = and(_T_181, _T_180) @[Bitwise.scala 103:31] + node _T_183 = bits(_T_177, 5, 0) @[Bitwise.scala 103:46] + node _T_184 = shl(_T_183, 2) @[Bitwise.scala 103:65] + node _T_185 = not(_T_180) @[Bitwise.scala 103:77] + node _T_186 = and(_T_184, _T_185) @[Bitwise.scala 103:75] + node _T_187 = or(_T_182, _T_186) @[Bitwise.scala 103:39] + node _T_188 = bits(_T_180, 6, 0) @[Bitwise.scala 102:28] + node _T_189 = shl(_T_188, 1) @[Bitwise.scala 102:47] + node _T_190 = xor(_T_180, _T_189) @[Bitwise.scala 102:21] + node _T_191 = shr(_T_187, 1) @[Bitwise.scala 103:21] + node _T_192 = and(_T_191, _T_190) @[Bitwise.scala 103:31] + node _T_193 = bits(_T_187, 6, 0) @[Bitwise.scala 103:46] + node _T_194 = shl(_T_193, 1) @[Bitwise.scala 103:65] + node _T_195 = not(_T_190) @[Bitwise.scala 103:77] + node _T_196 = and(_T_194, _T_195) @[Bitwise.scala 103:75] + node _T_197 = or(_T_192, _T_196) @[Bitwise.scala 103:39] + node _T_198 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_199 = bits(_T_198, 5, 5) @[lsu_dccm_ctl.scala 148:134] + node _T_200 = bits(_T_199, 0, 0) @[lsu_dccm_ctl.scala 148:139] + node _T_201 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_202 = bits(_T_201, 47, 40) @[lsu_dccm_ctl.scala 148:196] + node _T_203 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 148:231] + node _T_204 = bits(picm_rd_data_m, 47, 40) @[lsu_dccm_ctl.scala 148:252] + node _T_205 = bits(dccm_rdata_corr_m, 47, 40) @[lsu_dccm_ctl.scala 148:283] + node _T_206 = mux(_T_203, _T_204, _T_205) @[lsu_dccm_ctl.scala 148:213] + node _T_207 = mux(_T_200, _T_202, _T_206) @[lsu_dccm_ctl.scala 148:78] + node _T_208 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_209 = xor(UInt<8>("h0ff"), _T_208) @[Bitwise.scala 102:21] + node _T_210 = shr(_T_207, 4) @[Bitwise.scala 103:21] + node _T_211 = and(_T_210, _T_209) @[Bitwise.scala 103:31] + node _T_212 = bits(_T_207, 3, 0) @[Bitwise.scala 103:46] + node _T_213 = shl(_T_212, 4) @[Bitwise.scala 103:65] + node _T_214 = not(_T_209) @[Bitwise.scala 103:77] + node _T_215 = and(_T_213, _T_214) @[Bitwise.scala 103:75] + node _T_216 = or(_T_211, _T_215) @[Bitwise.scala 103:39] + node _T_217 = bits(_T_209, 5, 0) @[Bitwise.scala 102:28] + node _T_218 = shl(_T_217, 2) @[Bitwise.scala 102:47] + node _T_219 = xor(_T_209, _T_218) @[Bitwise.scala 102:21] + node _T_220 = shr(_T_216, 2) @[Bitwise.scala 103:21] + node _T_221 = and(_T_220, _T_219) @[Bitwise.scala 103:31] + node _T_222 = bits(_T_216, 5, 0) @[Bitwise.scala 103:46] + node _T_223 = shl(_T_222, 2) @[Bitwise.scala 103:65] + node _T_224 = not(_T_219) @[Bitwise.scala 103:77] + node _T_225 = and(_T_223, _T_224) @[Bitwise.scala 103:75] + node _T_226 = or(_T_221, _T_225) @[Bitwise.scala 103:39] + node _T_227 = bits(_T_219, 6, 0) @[Bitwise.scala 102:28] + node _T_228 = shl(_T_227, 1) @[Bitwise.scala 102:47] + node _T_229 = xor(_T_219, _T_228) @[Bitwise.scala 102:21] + node _T_230 = shr(_T_226, 1) @[Bitwise.scala 103:21] + node _T_231 = and(_T_230, _T_229) @[Bitwise.scala 103:31] + node _T_232 = bits(_T_226, 6, 0) @[Bitwise.scala 103:46] + node _T_233 = shl(_T_232, 1) @[Bitwise.scala 103:65] + node _T_234 = not(_T_229) @[Bitwise.scala 103:77] + node _T_235 = and(_T_233, _T_234) @[Bitwise.scala 103:75] + node _T_236 = or(_T_231, _T_235) @[Bitwise.scala 103:39] + node _T_237 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_238 = bits(_T_237, 6, 6) @[lsu_dccm_ctl.scala 148:134] + node _T_239 = bits(_T_238, 0, 0) @[lsu_dccm_ctl.scala 148:139] + node _T_240 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_241 = bits(_T_240, 55, 48) @[lsu_dccm_ctl.scala 148:196] + node _T_242 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 148:231] + node _T_243 = bits(picm_rd_data_m, 55, 48) @[lsu_dccm_ctl.scala 148:252] + node _T_244 = bits(dccm_rdata_corr_m, 55, 48) @[lsu_dccm_ctl.scala 148:283] + node _T_245 = mux(_T_242, _T_243, _T_244) @[lsu_dccm_ctl.scala 148:213] + node _T_246 = mux(_T_239, _T_241, _T_245) @[lsu_dccm_ctl.scala 148:78] + node _T_247 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_248 = xor(UInt<8>("h0ff"), _T_247) @[Bitwise.scala 102:21] + node _T_249 = shr(_T_246, 4) @[Bitwise.scala 103:21] + node _T_250 = and(_T_249, _T_248) @[Bitwise.scala 103:31] + node _T_251 = bits(_T_246, 3, 0) @[Bitwise.scala 103:46] + node _T_252 = shl(_T_251, 4) @[Bitwise.scala 103:65] + node _T_253 = not(_T_248) @[Bitwise.scala 103:77] + node _T_254 = and(_T_252, _T_253) @[Bitwise.scala 103:75] + node _T_255 = or(_T_250, _T_254) @[Bitwise.scala 103:39] + node _T_256 = bits(_T_248, 5, 0) @[Bitwise.scala 102:28] + node _T_257 = shl(_T_256, 2) @[Bitwise.scala 102:47] + node _T_258 = xor(_T_248, _T_257) @[Bitwise.scala 102:21] + node _T_259 = shr(_T_255, 2) @[Bitwise.scala 103:21] + node _T_260 = and(_T_259, _T_258) @[Bitwise.scala 103:31] + node _T_261 = bits(_T_255, 5, 0) @[Bitwise.scala 103:46] + node _T_262 = shl(_T_261, 2) @[Bitwise.scala 103:65] + node _T_263 = not(_T_258) @[Bitwise.scala 103:77] + node _T_264 = and(_T_262, _T_263) @[Bitwise.scala 103:75] + node _T_265 = or(_T_260, _T_264) @[Bitwise.scala 103:39] + node _T_266 = bits(_T_258, 6, 0) @[Bitwise.scala 102:28] + node _T_267 = shl(_T_266, 1) @[Bitwise.scala 102:47] + node _T_268 = xor(_T_258, _T_267) @[Bitwise.scala 102:21] + node _T_269 = shr(_T_265, 1) @[Bitwise.scala 103:21] + node _T_270 = and(_T_269, _T_268) @[Bitwise.scala 103:31] + node _T_271 = bits(_T_265, 6, 0) @[Bitwise.scala 103:46] + node _T_272 = shl(_T_271, 1) @[Bitwise.scala 103:65] + node _T_273 = not(_T_268) @[Bitwise.scala 103:77] + node _T_274 = and(_T_272, _T_273) @[Bitwise.scala 103:75] + node _T_275 = or(_T_270, _T_274) @[Bitwise.scala 103:39] + node _T_276 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_277 = bits(_T_276, 7, 7) @[lsu_dccm_ctl.scala 148:134] + node _T_278 = bits(_T_277, 0, 0) @[lsu_dccm_ctl.scala 148:139] + node _T_279 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_280 = bits(_T_279, 63, 56) @[lsu_dccm_ctl.scala 148:196] + node _T_281 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 148:231] + node _T_282 = bits(picm_rd_data_m, 63, 56) @[lsu_dccm_ctl.scala 148:252] + node _T_283 = bits(dccm_rdata_corr_m, 63, 56) @[lsu_dccm_ctl.scala 148:283] + node _T_284 = mux(_T_281, _T_282, _T_283) @[lsu_dccm_ctl.scala 148:213] + node _T_285 = mux(_T_278, _T_280, _T_284) @[lsu_dccm_ctl.scala 148:78] + node _T_286 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_287 = xor(UInt<8>("h0ff"), _T_286) @[Bitwise.scala 102:21] + node _T_288 = shr(_T_285, 4) @[Bitwise.scala 103:21] + node _T_289 = and(_T_288, _T_287) @[Bitwise.scala 103:31] + node _T_290 = bits(_T_285, 3, 0) @[Bitwise.scala 103:46] + node _T_291 = shl(_T_290, 4) @[Bitwise.scala 103:65] + node _T_292 = not(_T_287) @[Bitwise.scala 103:77] + node _T_293 = and(_T_291, _T_292) @[Bitwise.scala 103:75] + node _T_294 = or(_T_289, _T_293) @[Bitwise.scala 103:39] + node _T_295 = bits(_T_287, 5, 0) @[Bitwise.scala 102:28] + node _T_296 = shl(_T_295, 2) @[Bitwise.scala 102:47] + node _T_297 = xor(_T_287, _T_296) @[Bitwise.scala 102:21] + node _T_298 = shr(_T_294, 2) @[Bitwise.scala 103:21] + node _T_299 = and(_T_298, _T_297) @[Bitwise.scala 103:31] + node _T_300 = bits(_T_294, 5, 0) @[Bitwise.scala 103:46] + node _T_301 = shl(_T_300, 2) @[Bitwise.scala 103:65] + node _T_302 = not(_T_297) @[Bitwise.scala 103:77] + node _T_303 = and(_T_301, _T_302) @[Bitwise.scala 103:75] + node _T_304 = or(_T_299, _T_303) @[Bitwise.scala 103:39] + node _T_305 = bits(_T_297, 6, 0) @[Bitwise.scala 102:28] + node _T_306 = shl(_T_305, 1) @[Bitwise.scala 102:47] + node _T_307 = xor(_T_297, _T_306) @[Bitwise.scala 102:21] + node _T_308 = shr(_T_304, 1) @[Bitwise.scala 103:21] + node _T_309 = and(_T_308, _T_307) @[Bitwise.scala 103:31] + node _T_310 = bits(_T_304, 6, 0) @[Bitwise.scala 103:46] + node _T_311 = shl(_T_310, 1) @[Bitwise.scala 103:65] + node _T_312 = not(_T_307) @[Bitwise.scala 103:77] + node _T_313 = and(_T_311, _T_312) @[Bitwise.scala 103:75] + node _T_314 = or(_T_309, _T_313) @[Bitwise.scala 103:39] + wire _T_315 : UInt<8>[8] @[lsu_dccm_ctl.scala 148:62] + _T_315[0] <= _T_41 @[lsu_dccm_ctl.scala 148:62] + _T_315[1] <= _T_80 @[lsu_dccm_ctl.scala 148:62] + _T_315[2] <= _T_119 @[lsu_dccm_ctl.scala 148:62] + _T_315[3] <= _T_158 @[lsu_dccm_ctl.scala 148:62] + _T_315[4] <= _T_197 @[lsu_dccm_ctl.scala 148:62] + _T_315[5] <= _T_236 @[lsu_dccm_ctl.scala 148:62] + _T_315[6] <= _T_275 @[lsu_dccm_ctl.scala 148:62] + _T_315[7] <= _T_314 @[lsu_dccm_ctl.scala 148:62] + node _T_316 = cat(_T_315[6], _T_315[7]) @[Cat.scala 29:58] + node _T_317 = cat(_T_315[4], _T_315[5]) @[Cat.scala 29:58] + node _T_318 = cat(_T_317, _T_316) @[Cat.scala 29:58] + node _T_319 = cat(_T_315[2], _T_315[3]) @[Cat.scala 29:58] + node _T_320 = cat(_T_315[0], _T_315[1]) @[Cat.scala 29:58] + node _T_321 = cat(_T_320, _T_319) @[Cat.scala 29:58] + node _T_322 = cat(_T_321, _T_318) @[Cat.scala 29:58] + node _T_323 = shl(UInt<32>("h0ffffffff"), 32) @[Bitwise.scala 102:47] + node _T_324 = xor(UInt<64>("h0ffffffffffffffff"), _T_323) @[Bitwise.scala 102:21] + node _T_325 = shr(_T_322, 32) @[Bitwise.scala 103:21] + node _T_326 = and(_T_325, _T_324) @[Bitwise.scala 103:31] + node _T_327 = bits(_T_322, 31, 0) @[Bitwise.scala 103:46] + node _T_328 = shl(_T_327, 32) @[Bitwise.scala 103:65] + node _T_329 = not(_T_324) @[Bitwise.scala 103:77] + node _T_330 = and(_T_328, _T_329) @[Bitwise.scala 103:75] + node _T_331 = or(_T_326, _T_330) @[Bitwise.scala 103:39] + node _T_332 = bits(_T_324, 47, 0) @[Bitwise.scala 102:28] + node _T_333 = shl(_T_332, 16) @[Bitwise.scala 102:47] + node _T_334 = xor(_T_324, _T_333) @[Bitwise.scala 102:21] + node _T_335 = shr(_T_331, 16) @[Bitwise.scala 103:21] + node _T_336 = and(_T_335, _T_334) @[Bitwise.scala 103:31] + node _T_337 = bits(_T_331, 47, 0) @[Bitwise.scala 103:46] + node _T_338 = shl(_T_337, 16) @[Bitwise.scala 103:65] + node _T_339 = not(_T_334) @[Bitwise.scala 103:77] + node _T_340 = and(_T_338, _T_339) @[Bitwise.scala 103:75] + node _T_341 = or(_T_336, _T_340) @[Bitwise.scala 103:39] + node _T_342 = bits(_T_334, 55, 0) @[Bitwise.scala 102:28] + node _T_343 = shl(_T_342, 8) @[Bitwise.scala 102:47] + node _T_344 = xor(_T_334, _T_343) @[Bitwise.scala 102:21] + node _T_345 = shr(_T_341, 8) @[Bitwise.scala 103:21] + node _T_346 = and(_T_345, _T_344) @[Bitwise.scala 103:31] + node _T_347 = bits(_T_341, 55, 0) @[Bitwise.scala 103:46] + node _T_348 = shl(_T_347, 8) @[Bitwise.scala 103:65] + node _T_349 = not(_T_344) @[Bitwise.scala 103:77] + node _T_350 = and(_T_348, _T_349) @[Bitwise.scala 103:75] + node _T_351 = or(_T_346, _T_350) @[Bitwise.scala 103:39] + node _T_352 = bits(_T_344, 59, 0) @[Bitwise.scala 102:28] + node _T_353 = shl(_T_352, 4) @[Bitwise.scala 102:47] + node _T_354 = xor(_T_344, _T_353) @[Bitwise.scala 102:21] + node _T_355 = shr(_T_351, 4) @[Bitwise.scala 103:21] + node _T_356 = and(_T_355, _T_354) @[Bitwise.scala 103:31] + node _T_357 = bits(_T_351, 59, 0) @[Bitwise.scala 103:46] + node _T_358 = shl(_T_357, 4) @[Bitwise.scala 103:65] + node _T_359 = not(_T_354) @[Bitwise.scala 103:77] + node _T_360 = and(_T_358, _T_359) @[Bitwise.scala 103:75] + node _T_361 = or(_T_356, _T_360) @[Bitwise.scala 103:39] + node _T_362 = bits(_T_354, 61, 0) @[Bitwise.scala 102:28] + node _T_363 = shl(_T_362, 2) @[Bitwise.scala 102:47] + node _T_364 = xor(_T_354, _T_363) @[Bitwise.scala 102:21] + node _T_365 = shr(_T_361, 2) @[Bitwise.scala 103:21] + node _T_366 = and(_T_365, _T_364) @[Bitwise.scala 103:31] + node _T_367 = bits(_T_361, 61, 0) @[Bitwise.scala 103:46] + node _T_368 = shl(_T_367, 2) @[Bitwise.scala 103:65] + node _T_369 = not(_T_364) @[Bitwise.scala 103:77] + node _T_370 = and(_T_368, _T_369) @[Bitwise.scala 103:75] + node _T_371 = or(_T_366, _T_370) @[Bitwise.scala 103:39] + node _T_372 = bits(_T_364, 62, 0) @[Bitwise.scala 102:28] + node _T_373 = shl(_T_372, 1) @[Bitwise.scala 102:47] + node _T_374 = xor(_T_364, _T_373) @[Bitwise.scala 102:21] + node _T_375 = shr(_T_371, 1) @[Bitwise.scala 103:21] + node _T_376 = and(_T_375, _T_374) @[Bitwise.scala 103:31] + node _T_377 = bits(_T_371, 62, 0) @[Bitwise.scala 103:46] + node _T_378 = shl(_T_377, 1) @[Bitwise.scala 103:65] + node _T_379 = not(_T_374) @[Bitwise.scala 103:77] + node _T_380 = and(_T_378, _T_379) @[Bitwise.scala 103:75] + node _T_381 = or(_T_376, _T_380) @[Bitwise.scala 103:39] + lsu_rdata_corr_m <= _T_381 @[lsu_dccm_ctl.scala 148:28] + node _T_382 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_383 = bits(_T_382, 0, 0) @[lsu_dccm_ctl.scala 149:134] + node _T_384 = bits(_T_383, 0, 0) @[lsu_dccm_ctl.scala 149:139] + node _T_385 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_386 = bits(_T_385, 7, 0) @[lsu_dccm_ctl.scala 149:196] + node _T_387 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 149:231] + node _T_388 = bits(picm_rd_data_m, 7, 0) @[lsu_dccm_ctl.scala 149:252] + node _T_389 = bits(dccm_rdata_m, 7, 0) @[lsu_dccm_ctl.scala 149:278] + node _T_390 = mux(_T_387, _T_388, _T_389) @[lsu_dccm_ctl.scala 149:213] + node _T_391 = mux(_T_384, _T_386, _T_390) @[lsu_dccm_ctl.scala 149:78] + node _T_392 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_393 = xor(UInt<8>("h0ff"), _T_392) @[Bitwise.scala 102:21] + node _T_394 = shr(_T_391, 4) @[Bitwise.scala 103:21] + node _T_395 = and(_T_394, _T_393) @[Bitwise.scala 103:31] + node _T_396 = bits(_T_391, 3, 0) @[Bitwise.scala 103:46] + node _T_397 = shl(_T_396, 4) @[Bitwise.scala 103:65] + node _T_398 = not(_T_393) @[Bitwise.scala 103:77] + node _T_399 = and(_T_397, _T_398) @[Bitwise.scala 103:75] + node _T_400 = or(_T_395, _T_399) @[Bitwise.scala 103:39] + node _T_401 = bits(_T_393, 5, 0) @[Bitwise.scala 102:28] + node _T_402 = shl(_T_401, 2) @[Bitwise.scala 102:47] + node _T_403 = xor(_T_393, _T_402) @[Bitwise.scala 102:21] + node _T_404 = shr(_T_400, 2) @[Bitwise.scala 103:21] + node _T_405 = and(_T_404, _T_403) @[Bitwise.scala 103:31] + node _T_406 = bits(_T_400, 5, 0) @[Bitwise.scala 103:46] + node _T_407 = shl(_T_406, 2) @[Bitwise.scala 103:65] + node _T_408 = not(_T_403) @[Bitwise.scala 103:77] + node _T_409 = and(_T_407, _T_408) @[Bitwise.scala 103:75] + node _T_410 = or(_T_405, _T_409) @[Bitwise.scala 103:39] + node _T_411 = bits(_T_403, 6, 0) @[Bitwise.scala 102:28] + node _T_412 = shl(_T_411, 1) @[Bitwise.scala 102:47] + node _T_413 = xor(_T_403, _T_412) @[Bitwise.scala 102:21] + node _T_414 = shr(_T_410, 1) @[Bitwise.scala 103:21] + node _T_415 = and(_T_414, _T_413) @[Bitwise.scala 103:31] + node _T_416 = bits(_T_410, 6, 0) @[Bitwise.scala 103:46] + node _T_417 = shl(_T_416, 1) @[Bitwise.scala 103:65] + node _T_418 = not(_T_413) @[Bitwise.scala 103:77] + node _T_419 = and(_T_417, _T_418) @[Bitwise.scala 103:75] + node _T_420 = or(_T_415, _T_419) @[Bitwise.scala 103:39] + node _T_421 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_422 = bits(_T_421, 1, 1) @[lsu_dccm_ctl.scala 149:134] + node _T_423 = bits(_T_422, 0, 0) @[lsu_dccm_ctl.scala 149:139] + node _T_424 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_425 = bits(_T_424, 15, 8) @[lsu_dccm_ctl.scala 149:196] + node _T_426 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 149:231] + node _T_427 = bits(picm_rd_data_m, 15, 8) @[lsu_dccm_ctl.scala 149:252] + node _T_428 = bits(dccm_rdata_m, 15, 8) @[lsu_dccm_ctl.scala 149:278] + node _T_429 = mux(_T_426, _T_427, _T_428) @[lsu_dccm_ctl.scala 149:213] + node _T_430 = mux(_T_423, _T_425, _T_429) @[lsu_dccm_ctl.scala 149:78] + node _T_431 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_432 = xor(UInt<8>("h0ff"), _T_431) @[Bitwise.scala 102:21] + node _T_433 = shr(_T_430, 4) @[Bitwise.scala 103:21] + node _T_434 = and(_T_433, _T_432) @[Bitwise.scala 103:31] + node _T_435 = bits(_T_430, 3, 0) @[Bitwise.scala 103:46] + node _T_436 = shl(_T_435, 4) @[Bitwise.scala 103:65] + node _T_437 = not(_T_432) @[Bitwise.scala 103:77] + node _T_438 = and(_T_436, _T_437) @[Bitwise.scala 103:75] + node _T_439 = or(_T_434, _T_438) @[Bitwise.scala 103:39] + node _T_440 = bits(_T_432, 5, 0) @[Bitwise.scala 102:28] + node _T_441 = shl(_T_440, 2) @[Bitwise.scala 102:47] + node _T_442 = xor(_T_432, _T_441) @[Bitwise.scala 102:21] + node _T_443 = shr(_T_439, 2) @[Bitwise.scala 103:21] + node _T_444 = and(_T_443, _T_442) @[Bitwise.scala 103:31] + node _T_445 = bits(_T_439, 5, 0) @[Bitwise.scala 103:46] + node _T_446 = shl(_T_445, 2) @[Bitwise.scala 103:65] + node _T_447 = not(_T_442) @[Bitwise.scala 103:77] + node _T_448 = and(_T_446, _T_447) @[Bitwise.scala 103:75] + node _T_449 = or(_T_444, _T_448) @[Bitwise.scala 103:39] + node _T_450 = bits(_T_442, 6, 0) @[Bitwise.scala 102:28] + node _T_451 = shl(_T_450, 1) @[Bitwise.scala 102:47] + node _T_452 = xor(_T_442, _T_451) @[Bitwise.scala 102:21] + node _T_453 = shr(_T_449, 1) @[Bitwise.scala 103:21] + node _T_454 = and(_T_453, _T_452) @[Bitwise.scala 103:31] + node _T_455 = bits(_T_449, 6, 0) @[Bitwise.scala 103:46] + node _T_456 = shl(_T_455, 1) @[Bitwise.scala 103:65] + node _T_457 = not(_T_452) @[Bitwise.scala 103:77] + node _T_458 = and(_T_456, _T_457) @[Bitwise.scala 103:75] + node _T_459 = or(_T_454, _T_458) @[Bitwise.scala 103:39] + node _T_460 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_461 = bits(_T_460, 2, 2) @[lsu_dccm_ctl.scala 149:134] + node _T_462 = bits(_T_461, 0, 0) @[lsu_dccm_ctl.scala 149:139] + node _T_463 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_464 = bits(_T_463, 23, 16) @[lsu_dccm_ctl.scala 149:196] + node _T_465 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 149:231] + node _T_466 = bits(picm_rd_data_m, 23, 16) @[lsu_dccm_ctl.scala 149:252] + node _T_467 = bits(dccm_rdata_m, 23, 16) @[lsu_dccm_ctl.scala 149:278] + node _T_468 = mux(_T_465, _T_466, _T_467) @[lsu_dccm_ctl.scala 149:213] + node _T_469 = mux(_T_462, _T_464, _T_468) @[lsu_dccm_ctl.scala 149:78] + node _T_470 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_471 = xor(UInt<8>("h0ff"), _T_470) @[Bitwise.scala 102:21] + node _T_472 = shr(_T_469, 4) @[Bitwise.scala 103:21] + node _T_473 = and(_T_472, _T_471) @[Bitwise.scala 103:31] + node _T_474 = bits(_T_469, 3, 0) @[Bitwise.scala 103:46] + node _T_475 = shl(_T_474, 4) @[Bitwise.scala 103:65] + node _T_476 = not(_T_471) @[Bitwise.scala 103:77] + node _T_477 = and(_T_475, _T_476) @[Bitwise.scala 103:75] + node _T_478 = or(_T_473, _T_477) @[Bitwise.scala 103:39] + node _T_479 = bits(_T_471, 5, 0) @[Bitwise.scala 102:28] + node _T_480 = shl(_T_479, 2) @[Bitwise.scala 102:47] + node _T_481 = xor(_T_471, _T_480) @[Bitwise.scala 102:21] + node _T_482 = shr(_T_478, 2) @[Bitwise.scala 103:21] + node _T_483 = and(_T_482, _T_481) @[Bitwise.scala 103:31] + node _T_484 = bits(_T_478, 5, 0) @[Bitwise.scala 103:46] + node _T_485 = shl(_T_484, 2) @[Bitwise.scala 103:65] + node _T_486 = not(_T_481) @[Bitwise.scala 103:77] + node _T_487 = and(_T_485, _T_486) @[Bitwise.scala 103:75] + node _T_488 = or(_T_483, _T_487) @[Bitwise.scala 103:39] + node _T_489 = bits(_T_481, 6, 0) @[Bitwise.scala 102:28] + node _T_490 = shl(_T_489, 1) @[Bitwise.scala 102:47] + node _T_491 = xor(_T_481, _T_490) @[Bitwise.scala 102:21] + node _T_492 = shr(_T_488, 1) @[Bitwise.scala 103:21] + node _T_493 = and(_T_492, _T_491) @[Bitwise.scala 103:31] + node _T_494 = bits(_T_488, 6, 0) @[Bitwise.scala 103:46] + node _T_495 = shl(_T_494, 1) @[Bitwise.scala 103:65] + node _T_496 = not(_T_491) @[Bitwise.scala 103:77] + node _T_497 = and(_T_495, _T_496) @[Bitwise.scala 103:75] + node _T_498 = or(_T_493, _T_497) @[Bitwise.scala 103:39] + node _T_499 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_500 = bits(_T_499, 3, 3) @[lsu_dccm_ctl.scala 149:134] + node _T_501 = bits(_T_500, 0, 0) @[lsu_dccm_ctl.scala 149:139] + node _T_502 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_503 = bits(_T_502, 31, 24) @[lsu_dccm_ctl.scala 149:196] + node _T_504 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 149:231] + node _T_505 = bits(picm_rd_data_m, 31, 24) @[lsu_dccm_ctl.scala 149:252] + node _T_506 = bits(dccm_rdata_m, 31, 24) @[lsu_dccm_ctl.scala 149:278] + node _T_507 = mux(_T_504, _T_505, _T_506) @[lsu_dccm_ctl.scala 149:213] + node _T_508 = mux(_T_501, _T_503, _T_507) @[lsu_dccm_ctl.scala 149:78] + node _T_509 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_510 = xor(UInt<8>("h0ff"), _T_509) @[Bitwise.scala 102:21] + node _T_511 = shr(_T_508, 4) @[Bitwise.scala 103:21] + node _T_512 = and(_T_511, _T_510) @[Bitwise.scala 103:31] + node _T_513 = bits(_T_508, 3, 0) @[Bitwise.scala 103:46] + node _T_514 = shl(_T_513, 4) @[Bitwise.scala 103:65] + node _T_515 = not(_T_510) @[Bitwise.scala 103:77] + node _T_516 = and(_T_514, _T_515) @[Bitwise.scala 103:75] + node _T_517 = or(_T_512, _T_516) @[Bitwise.scala 103:39] + node _T_518 = bits(_T_510, 5, 0) @[Bitwise.scala 102:28] + node _T_519 = shl(_T_518, 2) @[Bitwise.scala 102:47] + node _T_520 = xor(_T_510, _T_519) @[Bitwise.scala 102:21] + node _T_521 = shr(_T_517, 2) @[Bitwise.scala 103:21] + node _T_522 = and(_T_521, _T_520) @[Bitwise.scala 103:31] + node _T_523 = bits(_T_517, 5, 0) @[Bitwise.scala 103:46] + node _T_524 = shl(_T_523, 2) @[Bitwise.scala 103:65] + node _T_525 = not(_T_520) @[Bitwise.scala 103:77] + node _T_526 = and(_T_524, _T_525) @[Bitwise.scala 103:75] + node _T_527 = or(_T_522, _T_526) @[Bitwise.scala 103:39] + node _T_528 = bits(_T_520, 6, 0) @[Bitwise.scala 102:28] + node _T_529 = shl(_T_528, 1) @[Bitwise.scala 102:47] + node _T_530 = xor(_T_520, _T_529) @[Bitwise.scala 102:21] + node _T_531 = shr(_T_527, 1) @[Bitwise.scala 103:21] + node _T_532 = and(_T_531, _T_530) @[Bitwise.scala 103:31] + node _T_533 = bits(_T_527, 6, 0) @[Bitwise.scala 103:46] + node _T_534 = shl(_T_533, 1) @[Bitwise.scala 103:65] + node _T_535 = not(_T_530) @[Bitwise.scala 103:77] + node _T_536 = and(_T_534, _T_535) @[Bitwise.scala 103:75] + node _T_537 = or(_T_532, _T_536) @[Bitwise.scala 103:39] + node _T_538 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_539 = bits(_T_538, 4, 4) @[lsu_dccm_ctl.scala 149:134] + node _T_540 = bits(_T_539, 0, 0) @[lsu_dccm_ctl.scala 149:139] + node _T_541 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_542 = bits(_T_541, 39, 32) @[lsu_dccm_ctl.scala 149:196] + node _T_543 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 149:231] + node _T_544 = bits(picm_rd_data_m, 39, 32) @[lsu_dccm_ctl.scala 149:252] + node _T_545 = bits(dccm_rdata_m, 39, 32) @[lsu_dccm_ctl.scala 149:278] + node _T_546 = mux(_T_543, _T_544, _T_545) @[lsu_dccm_ctl.scala 149:213] + node _T_547 = mux(_T_540, _T_542, _T_546) @[lsu_dccm_ctl.scala 149:78] + node _T_548 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_549 = xor(UInt<8>("h0ff"), _T_548) @[Bitwise.scala 102:21] + node _T_550 = shr(_T_547, 4) @[Bitwise.scala 103:21] + node _T_551 = and(_T_550, _T_549) @[Bitwise.scala 103:31] + node _T_552 = bits(_T_547, 3, 0) @[Bitwise.scala 103:46] + node _T_553 = shl(_T_552, 4) @[Bitwise.scala 103:65] + node _T_554 = not(_T_549) @[Bitwise.scala 103:77] + node _T_555 = and(_T_553, _T_554) @[Bitwise.scala 103:75] + node _T_556 = or(_T_551, _T_555) @[Bitwise.scala 103:39] + node _T_557 = bits(_T_549, 5, 0) @[Bitwise.scala 102:28] + node _T_558 = shl(_T_557, 2) @[Bitwise.scala 102:47] + node _T_559 = xor(_T_549, _T_558) @[Bitwise.scala 102:21] + node _T_560 = shr(_T_556, 2) @[Bitwise.scala 103:21] + node _T_561 = and(_T_560, _T_559) @[Bitwise.scala 103:31] + node _T_562 = bits(_T_556, 5, 0) @[Bitwise.scala 103:46] + node _T_563 = shl(_T_562, 2) @[Bitwise.scala 103:65] + node _T_564 = not(_T_559) @[Bitwise.scala 103:77] + node _T_565 = and(_T_563, _T_564) @[Bitwise.scala 103:75] + node _T_566 = or(_T_561, _T_565) @[Bitwise.scala 103:39] + node _T_567 = bits(_T_559, 6, 0) @[Bitwise.scala 102:28] + node _T_568 = shl(_T_567, 1) @[Bitwise.scala 102:47] + node _T_569 = xor(_T_559, _T_568) @[Bitwise.scala 102:21] + node _T_570 = shr(_T_566, 1) @[Bitwise.scala 103:21] + node _T_571 = and(_T_570, _T_569) @[Bitwise.scala 103:31] + node _T_572 = bits(_T_566, 6, 0) @[Bitwise.scala 103:46] + node _T_573 = shl(_T_572, 1) @[Bitwise.scala 103:65] + node _T_574 = not(_T_569) @[Bitwise.scala 103:77] + node _T_575 = and(_T_573, _T_574) @[Bitwise.scala 103:75] + node _T_576 = or(_T_571, _T_575) @[Bitwise.scala 103:39] + node _T_577 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_578 = bits(_T_577, 5, 5) @[lsu_dccm_ctl.scala 149:134] + node _T_579 = bits(_T_578, 0, 0) @[lsu_dccm_ctl.scala 149:139] + node _T_580 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_581 = bits(_T_580, 47, 40) @[lsu_dccm_ctl.scala 149:196] + node _T_582 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 149:231] + node _T_583 = bits(picm_rd_data_m, 47, 40) @[lsu_dccm_ctl.scala 149:252] + node _T_584 = bits(dccm_rdata_m, 47, 40) @[lsu_dccm_ctl.scala 149:278] + node _T_585 = mux(_T_582, _T_583, _T_584) @[lsu_dccm_ctl.scala 149:213] + node _T_586 = mux(_T_579, _T_581, _T_585) @[lsu_dccm_ctl.scala 149:78] + node _T_587 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_588 = xor(UInt<8>("h0ff"), _T_587) @[Bitwise.scala 102:21] + node _T_589 = shr(_T_586, 4) @[Bitwise.scala 103:21] + node _T_590 = and(_T_589, _T_588) @[Bitwise.scala 103:31] + node _T_591 = bits(_T_586, 3, 0) @[Bitwise.scala 103:46] + node _T_592 = shl(_T_591, 4) @[Bitwise.scala 103:65] + node _T_593 = not(_T_588) @[Bitwise.scala 103:77] + node _T_594 = and(_T_592, _T_593) @[Bitwise.scala 103:75] + node _T_595 = or(_T_590, _T_594) @[Bitwise.scala 103:39] + node _T_596 = bits(_T_588, 5, 0) @[Bitwise.scala 102:28] + node _T_597 = shl(_T_596, 2) @[Bitwise.scala 102:47] + node _T_598 = xor(_T_588, _T_597) @[Bitwise.scala 102:21] + node _T_599 = shr(_T_595, 2) @[Bitwise.scala 103:21] + node _T_600 = and(_T_599, _T_598) @[Bitwise.scala 103:31] + node _T_601 = bits(_T_595, 5, 0) @[Bitwise.scala 103:46] + node _T_602 = shl(_T_601, 2) @[Bitwise.scala 103:65] + node _T_603 = not(_T_598) @[Bitwise.scala 103:77] + node _T_604 = and(_T_602, _T_603) @[Bitwise.scala 103:75] + node _T_605 = or(_T_600, _T_604) @[Bitwise.scala 103:39] + node _T_606 = bits(_T_598, 6, 0) @[Bitwise.scala 102:28] + node _T_607 = shl(_T_606, 1) @[Bitwise.scala 102:47] + node _T_608 = xor(_T_598, _T_607) @[Bitwise.scala 102:21] + node _T_609 = shr(_T_605, 1) @[Bitwise.scala 103:21] + node _T_610 = and(_T_609, _T_608) @[Bitwise.scala 103:31] + node _T_611 = bits(_T_605, 6, 0) @[Bitwise.scala 103:46] + node _T_612 = shl(_T_611, 1) @[Bitwise.scala 103:65] + node _T_613 = not(_T_608) @[Bitwise.scala 103:77] + node _T_614 = and(_T_612, _T_613) @[Bitwise.scala 103:75] + node _T_615 = or(_T_610, _T_614) @[Bitwise.scala 103:39] + node _T_616 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_617 = bits(_T_616, 6, 6) @[lsu_dccm_ctl.scala 149:134] + node _T_618 = bits(_T_617, 0, 0) @[lsu_dccm_ctl.scala 149:139] + node _T_619 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_620 = bits(_T_619, 55, 48) @[lsu_dccm_ctl.scala 149:196] + node _T_621 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 149:231] + node _T_622 = bits(picm_rd_data_m, 55, 48) @[lsu_dccm_ctl.scala 149:252] + node _T_623 = bits(dccm_rdata_m, 55, 48) @[lsu_dccm_ctl.scala 149:278] + node _T_624 = mux(_T_621, _T_622, _T_623) @[lsu_dccm_ctl.scala 149:213] + node _T_625 = mux(_T_618, _T_620, _T_624) @[lsu_dccm_ctl.scala 149:78] + node _T_626 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_627 = xor(UInt<8>("h0ff"), _T_626) @[Bitwise.scala 102:21] + node _T_628 = shr(_T_625, 4) @[Bitwise.scala 103:21] + node _T_629 = and(_T_628, _T_627) @[Bitwise.scala 103:31] + node _T_630 = bits(_T_625, 3, 0) @[Bitwise.scala 103:46] + node _T_631 = shl(_T_630, 4) @[Bitwise.scala 103:65] + node _T_632 = not(_T_627) @[Bitwise.scala 103:77] + node _T_633 = and(_T_631, _T_632) @[Bitwise.scala 103:75] + node _T_634 = or(_T_629, _T_633) @[Bitwise.scala 103:39] + node _T_635 = bits(_T_627, 5, 0) @[Bitwise.scala 102:28] + node _T_636 = shl(_T_635, 2) @[Bitwise.scala 102:47] + node _T_637 = xor(_T_627, _T_636) @[Bitwise.scala 102:21] + node _T_638 = shr(_T_634, 2) @[Bitwise.scala 103:21] + node _T_639 = and(_T_638, _T_637) @[Bitwise.scala 103:31] + node _T_640 = bits(_T_634, 5, 0) @[Bitwise.scala 103:46] + node _T_641 = shl(_T_640, 2) @[Bitwise.scala 103:65] + node _T_642 = not(_T_637) @[Bitwise.scala 103:77] + node _T_643 = and(_T_641, _T_642) @[Bitwise.scala 103:75] + node _T_644 = or(_T_639, _T_643) @[Bitwise.scala 103:39] + node _T_645 = bits(_T_637, 6, 0) @[Bitwise.scala 102:28] + node _T_646 = shl(_T_645, 1) @[Bitwise.scala 102:47] + node _T_647 = xor(_T_637, _T_646) @[Bitwise.scala 102:21] + node _T_648 = shr(_T_644, 1) @[Bitwise.scala 103:21] + node _T_649 = and(_T_648, _T_647) @[Bitwise.scala 103:31] + node _T_650 = bits(_T_644, 6, 0) @[Bitwise.scala 103:46] + node _T_651 = shl(_T_650, 1) @[Bitwise.scala 103:65] + node _T_652 = not(_T_647) @[Bitwise.scala 103:77] + node _T_653 = and(_T_651, _T_652) @[Bitwise.scala 103:75] + node _T_654 = or(_T_649, _T_653) @[Bitwise.scala 103:39] + node _T_655 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_656 = bits(_T_655, 7, 7) @[lsu_dccm_ctl.scala 149:134] + node _T_657 = bits(_T_656, 0, 0) @[lsu_dccm_ctl.scala 149:139] + node _T_658 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_659 = bits(_T_658, 63, 56) @[lsu_dccm_ctl.scala 149:196] + node _T_660 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 149:231] + node _T_661 = bits(picm_rd_data_m, 63, 56) @[lsu_dccm_ctl.scala 149:252] + node _T_662 = bits(dccm_rdata_m, 63, 56) @[lsu_dccm_ctl.scala 149:278] + node _T_663 = mux(_T_660, _T_661, _T_662) @[lsu_dccm_ctl.scala 149:213] + node _T_664 = mux(_T_657, _T_659, _T_663) @[lsu_dccm_ctl.scala 149:78] + node _T_665 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_666 = xor(UInt<8>("h0ff"), _T_665) @[Bitwise.scala 102:21] + node _T_667 = shr(_T_664, 4) @[Bitwise.scala 103:21] + node _T_668 = and(_T_667, _T_666) @[Bitwise.scala 103:31] + node _T_669 = bits(_T_664, 3, 0) @[Bitwise.scala 103:46] + node _T_670 = shl(_T_669, 4) @[Bitwise.scala 103:65] + node _T_671 = not(_T_666) @[Bitwise.scala 103:77] + node _T_672 = and(_T_670, _T_671) @[Bitwise.scala 103:75] + node _T_673 = or(_T_668, _T_672) @[Bitwise.scala 103:39] + node _T_674 = bits(_T_666, 5, 0) @[Bitwise.scala 102:28] + node _T_675 = shl(_T_674, 2) @[Bitwise.scala 102:47] + node _T_676 = xor(_T_666, _T_675) @[Bitwise.scala 102:21] + node _T_677 = shr(_T_673, 2) @[Bitwise.scala 103:21] + node _T_678 = and(_T_677, _T_676) @[Bitwise.scala 103:31] + node _T_679 = bits(_T_673, 5, 0) @[Bitwise.scala 103:46] + node _T_680 = shl(_T_679, 2) @[Bitwise.scala 103:65] + node _T_681 = not(_T_676) @[Bitwise.scala 103:77] + node _T_682 = and(_T_680, _T_681) @[Bitwise.scala 103:75] + node _T_683 = or(_T_678, _T_682) @[Bitwise.scala 103:39] + node _T_684 = bits(_T_676, 6, 0) @[Bitwise.scala 102:28] + node _T_685 = shl(_T_684, 1) @[Bitwise.scala 102:47] + node _T_686 = xor(_T_676, _T_685) @[Bitwise.scala 102:21] + node _T_687 = shr(_T_683, 1) @[Bitwise.scala 103:21] + node _T_688 = and(_T_687, _T_686) @[Bitwise.scala 103:31] + node _T_689 = bits(_T_683, 6, 0) @[Bitwise.scala 103:46] + node _T_690 = shl(_T_689, 1) @[Bitwise.scala 103:65] + node _T_691 = not(_T_686) @[Bitwise.scala 103:77] + node _T_692 = and(_T_690, _T_691) @[Bitwise.scala 103:75] + node _T_693 = or(_T_688, _T_692) @[Bitwise.scala 103:39] + wire _T_694 : UInt<8>[8] @[lsu_dccm_ctl.scala 149:62] + _T_694[0] <= _T_420 @[lsu_dccm_ctl.scala 149:62] + _T_694[1] <= _T_459 @[lsu_dccm_ctl.scala 149:62] + _T_694[2] <= _T_498 @[lsu_dccm_ctl.scala 149:62] + _T_694[3] <= _T_537 @[lsu_dccm_ctl.scala 149:62] + _T_694[4] <= _T_576 @[lsu_dccm_ctl.scala 149:62] + _T_694[5] <= _T_615 @[lsu_dccm_ctl.scala 149:62] + _T_694[6] <= _T_654 @[lsu_dccm_ctl.scala 149:62] + _T_694[7] <= _T_693 @[lsu_dccm_ctl.scala 149:62] + node _T_695 = cat(_T_694[6], _T_694[7]) @[Cat.scala 29:58] + node _T_696 = cat(_T_694[4], _T_694[5]) @[Cat.scala 29:58] + node _T_697 = cat(_T_696, _T_695) @[Cat.scala 29:58] + node _T_698 = cat(_T_694[2], _T_694[3]) @[Cat.scala 29:58] + node _T_699 = cat(_T_694[0], _T_694[1]) @[Cat.scala 29:58] + node _T_700 = cat(_T_699, _T_698) @[Cat.scala 29:58] + node _T_701 = cat(_T_700, _T_697) @[Cat.scala 29:58] + node _T_702 = shl(UInt<32>("h0ffffffff"), 32) @[Bitwise.scala 102:47] + node _T_703 = xor(UInt<64>("h0ffffffffffffffff"), _T_702) @[Bitwise.scala 102:21] + node _T_704 = shr(_T_701, 32) @[Bitwise.scala 103:21] + node _T_705 = and(_T_704, _T_703) @[Bitwise.scala 103:31] + node _T_706 = bits(_T_701, 31, 0) @[Bitwise.scala 103:46] + node _T_707 = shl(_T_706, 32) @[Bitwise.scala 103:65] + node _T_708 = not(_T_703) @[Bitwise.scala 103:77] + node _T_709 = and(_T_707, _T_708) @[Bitwise.scala 103:75] + node _T_710 = or(_T_705, _T_709) @[Bitwise.scala 103:39] + node _T_711 = bits(_T_703, 47, 0) @[Bitwise.scala 102:28] + node _T_712 = shl(_T_711, 16) @[Bitwise.scala 102:47] + node _T_713 = xor(_T_703, _T_712) @[Bitwise.scala 102:21] + node _T_714 = shr(_T_710, 16) @[Bitwise.scala 103:21] + node _T_715 = and(_T_714, _T_713) @[Bitwise.scala 103:31] + node _T_716 = bits(_T_710, 47, 0) @[Bitwise.scala 103:46] + node _T_717 = shl(_T_716, 16) @[Bitwise.scala 103:65] + node _T_718 = not(_T_713) @[Bitwise.scala 103:77] + node _T_719 = and(_T_717, _T_718) @[Bitwise.scala 103:75] + node _T_720 = or(_T_715, _T_719) @[Bitwise.scala 103:39] + node _T_721 = bits(_T_713, 55, 0) @[Bitwise.scala 102:28] + node _T_722 = shl(_T_721, 8) @[Bitwise.scala 102:47] + node _T_723 = xor(_T_713, _T_722) @[Bitwise.scala 102:21] + node _T_724 = shr(_T_720, 8) @[Bitwise.scala 103:21] + node _T_725 = and(_T_724, _T_723) @[Bitwise.scala 103:31] + node _T_726 = bits(_T_720, 55, 0) @[Bitwise.scala 103:46] + node _T_727 = shl(_T_726, 8) @[Bitwise.scala 103:65] + node _T_728 = not(_T_723) @[Bitwise.scala 103:77] + node _T_729 = and(_T_727, _T_728) @[Bitwise.scala 103:75] + node _T_730 = or(_T_725, _T_729) @[Bitwise.scala 103:39] + node _T_731 = bits(_T_723, 59, 0) @[Bitwise.scala 102:28] + node _T_732 = shl(_T_731, 4) @[Bitwise.scala 102:47] + node _T_733 = xor(_T_723, _T_732) @[Bitwise.scala 102:21] + node _T_734 = shr(_T_730, 4) @[Bitwise.scala 103:21] + node _T_735 = and(_T_734, _T_733) @[Bitwise.scala 103:31] + node _T_736 = bits(_T_730, 59, 0) @[Bitwise.scala 103:46] + node _T_737 = shl(_T_736, 4) @[Bitwise.scala 103:65] + node _T_738 = not(_T_733) @[Bitwise.scala 103:77] + node _T_739 = and(_T_737, _T_738) @[Bitwise.scala 103:75] + node _T_740 = or(_T_735, _T_739) @[Bitwise.scala 103:39] + node _T_741 = bits(_T_733, 61, 0) @[Bitwise.scala 102:28] + node _T_742 = shl(_T_741, 2) @[Bitwise.scala 102:47] + node _T_743 = xor(_T_733, _T_742) @[Bitwise.scala 102:21] + node _T_744 = shr(_T_740, 2) @[Bitwise.scala 103:21] + node _T_745 = and(_T_744, _T_743) @[Bitwise.scala 103:31] + node _T_746 = bits(_T_740, 61, 0) @[Bitwise.scala 103:46] + node _T_747 = shl(_T_746, 2) @[Bitwise.scala 103:65] + node _T_748 = not(_T_743) @[Bitwise.scala 103:77] + node _T_749 = and(_T_747, _T_748) @[Bitwise.scala 103:75] + node _T_750 = or(_T_745, _T_749) @[Bitwise.scala 103:39] + node _T_751 = bits(_T_743, 62, 0) @[Bitwise.scala 102:28] + node _T_752 = shl(_T_751, 1) @[Bitwise.scala 102:47] + node _T_753 = xor(_T_743, _T_752) @[Bitwise.scala 102:21] + node _T_754 = shr(_T_750, 1) @[Bitwise.scala 103:21] + node _T_755 = and(_T_754, _T_753) @[Bitwise.scala 103:31] + node _T_756 = bits(_T_750, 62, 0) @[Bitwise.scala 103:46] + node _T_757 = shl(_T_756, 1) @[Bitwise.scala 103:65] + node _T_758 = not(_T_753) @[Bitwise.scala 103:77] + node _T_759 = and(_T_757, _T_758) @[Bitwise.scala 103:75] + node _T_760 = or(_T_755, _T_759) @[Bitwise.scala 103:39] + lsu_rdata_m <= _T_760 @[lsu_dccm_ctl.scala 149:28] + node _T_761 = bits(io.lsu_addr_m, 1, 0) @[lsu_dccm_ctl.scala 150:63] + node _T_762 = mul(UInt<4>("h08"), _T_761) @[lsu_dccm_ctl.scala 150:49] + node _T_763 = dshr(lsu_rdata_m, _T_762) @[lsu_dccm_ctl.scala 150:43] + io.lsu_ld_data_m <= _T_763 @[lsu_dccm_ctl.scala 150:28] + node _T_764 = bits(io.lsu_addr_m, 1, 0) @[lsu_dccm_ctl.scala 151:68] + node _T_765 = mul(UInt<4>("h08"), _T_764) @[lsu_dccm_ctl.scala 151:54] + node _T_766 = dshr(lsu_rdata_corr_m, _T_765) @[lsu_dccm_ctl.scala 151:48] + lsu_ld_data_corr_m <= _T_766 @[lsu_dccm_ctl.scala 151:28] + node _T_767 = bits(io.lsu_addr_d, 15, 2) @[lsu_dccm_ctl.scala 155:44] + node _T_768 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 155:77] + node _T_769 = eq(_T_767, _T_768) @[lsu_dccm_ctl.scala 155:60] + node _T_770 = bits(io.end_addr_d, 15, 2) @[lsu_dccm_ctl.scala 155:117] + node _T_771 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 155:150] + node _T_772 = eq(_T_770, _T_771) @[lsu_dccm_ctl.scala 155:133] + node _T_773 = or(_T_769, _T_772) @[lsu_dccm_ctl.scala 155:101] + node _T_774 = and(_T_773, io.lsu_pkt_d.valid) @[lsu_dccm_ctl.scala 155:175] + node _T_775 = and(_T_774, io.lsu_pkt_d.bits.store) @[lsu_dccm_ctl.scala 155:196] + node _T_776 = and(_T_775, io.lsu_pkt_d.bits.dma) @[lsu_dccm_ctl.scala 155:222] + node _T_777 = and(_T_776, io.addr_in_dccm_d) @[lsu_dccm_ctl.scala 155:246] + node _T_778 = bits(io.lsu_addr_m, 15, 2) @[lsu_dccm_ctl.scala 156:21] + node _T_779 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 156:54] + node _T_780 = eq(_T_778, _T_779) @[lsu_dccm_ctl.scala 156:37] + node _T_781 = bits(io.end_addr_m, 15, 2) @[lsu_dccm_ctl.scala 156:94] + node _T_782 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 156:127] + node _T_783 = eq(_T_781, _T_782) @[lsu_dccm_ctl.scala 156:110] + node _T_784 = or(_T_780, _T_783) @[lsu_dccm_ctl.scala 156:78] + node _T_785 = and(_T_784, io.lsu_pkt_m.valid) @[lsu_dccm_ctl.scala 156:152] + node _T_786 = and(_T_785, io.lsu_pkt_m.bits.store) @[lsu_dccm_ctl.scala 156:173] + node _T_787 = and(_T_786, io.lsu_pkt_m.bits.dma) @[lsu_dccm_ctl.scala 156:199] + node _T_788 = and(_T_787, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 156:223] + node kill_ecc_corr_lo_r = or(_T_777, _T_788) @[lsu_dccm_ctl.scala 155:267] + node _T_789 = bits(io.lsu_addr_d, 15, 2) @[lsu_dccm_ctl.scala 158:44] + node _T_790 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 158:77] + node _T_791 = eq(_T_789, _T_790) @[lsu_dccm_ctl.scala 158:60] + node _T_792 = bits(io.end_addr_d, 15, 2) @[lsu_dccm_ctl.scala 158:117] + node _T_793 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 158:150] + node _T_794 = eq(_T_792, _T_793) @[lsu_dccm_ctl.scala 158:133] + node _T_795 = or(_T_791, _T_794) @[lsu_dccm_ctl.scala 158:101] + node _T_796 = and(_T_795, io.lsu_pkt_d.valid) @[lsu_dccm_ctl.scala 158:175] + node _T_797 = and(_T_796, io.lsu_pkt_d.bits.store) @[lsu_dccm_ctl.scala 158:196] + node _T_798 = and(_T_797, io.lsu_pkt_d.bits.dma) @[lsu_dccm_ctl.scala 158:222] + node _T_799 = and(_T_798, io.addr_in_dccm_d) @[lsu_dccm_ctl.scala 158:246] + node _T_800 = bits(io.lsu_addr_m, 15, 2) @[lsu_dccm_ctl.scala 159:21] + node _T_801 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 159:54] + node _T_802 = eq(_T_800, _T_801) @[lsu_dccm_ctl.scala 159:37] + node _T_803 = bits(io.end_addr_m, 15, 2) @[lsu_dccm_ctl.scala 159:94] + node _T_804 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 159:127] + node _T_805 = eq(_T_803, _T_804) @[lsu_dccm_ctl.scala 159:110] + node _T_806 = or(_T_802, _T_805) @[lsu_dccm_ctl.scala 159:78] + node _T_807 = and(_T_806, io.lsu_pkt_m.valid) @[lsu_dccm_ctl.scala 159:152] + node _T_808 = and(_T_807, io.lsu_pkt_m.bits.store) @[lsu_dccm_ctl.scala 159:173] + node _T_809 = and(_T_808, io.lsu_pkt_m.bits.dma) @[lsu_dccm_ctl.scala 159:199] + node _T_810 = and(_T_809, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 159:223] + node kill_ecc_corr_hi_r = or(_T_799, _T_810) @[lsu_dccm_ctl.scala 158:267] + node _T_811 = and(io.lsu_pkt_r.bits.load, io.single_ecc_error_lo_r) @[lsu_dccm_ctl.scala 161:60] + node _T_812 = eq(io.lsu_raw_fwd_lo_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 161:89] + node ld_single_ecc_error_lo_r = and(_T_811, _T_812) @[lsu_dccm_ctl.scala 161:87] + node _T_813 = and(io.lsu_pkt_r.bits.load, io.single_ecc_error_hi_r) @[lsu_dccm_ctl.scala 162:60] + node _T_814 = eq(io.lsu_raw_fwd_hi_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 162:89] + node ld_single_ecc_error_hi_r = and(_T_813, _T_814) @[lsu_dccm_ctl.scala 162:87] + node _T_815 = or(ld_single_ecc_error_lo_r, ld_single_ecc_error_hi_r) @[lsu_dccm_ctl.scala 163:63] + node _T_816 = eq(io.lsu_double_ecc_error_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 163:93] + node _T_817 = and(_T_815, _T_816) @[lsu_dccm_ctl.scala 163:91] + io.ld_single_ecc_error_r <= _T_817 @[lsu_dccm_ctl.scala 163:34] + node _T_818 = or(io.lsu_commit_r, io.lsu_pkt_r.bits.dma) @[lsu_dccm_ctl.scala 164:81] + node _T_819 = and(ld_single_ecc_error_lo_r, _T_818) @[lsu_dccm_ctl.scala 164:62] + node _T_820 = eq(kill_ecc_corr_lo_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 164:108] + node ld_single_ecc_error_lo_r_ns = and(_T_819, _T_820) @[lsu_dccm_ctl.scala 164:106] + node _T_821 = or(io.lsu_commit_r, io.lsu_pkt_r.bits.dma) @[lsu_dccm_ctl.scala 165:81] + node _T_822 = and(ld_single_ecc_error_hi_r, _T_821) @[lsu_dccm_ctl.scala 165:62] + node _T_823 = eq(kill_ecc_corr_hi_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 165:108] + node ld_single_ecc_error_hi_r_ns = and(_T_822, _T_823) @[lsu_dccm_ctl.scala 165:106] + reg lsu_double_ecc_error_r_ff : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 167:74] + lsu_double_ecc_error_r_ff <= io.lsu_double_ecc_error_r @[lsu_dccm_ctl.scala 167:74] + reg ld_single_ecc_error_hi_r_ff : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 168:74] + ld_single_ecc_error_hi_r_ff <= ld_single_ecc_error_hi_r_ns @[lsu_dccm_ctl.scala 168:74] + reg ld_single_ecc_error_lo_r_ff : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 169:74] + ld_single_ecc_error_lo_r_ff <= ld_single_ecc_error_lo_r_ns @[lsu_dccm_ctl.scala 169:74] + node _T_824 = bits(io.end_addr_r, 15, 0) @[lsu_dccm_ctl.scala 171:49] + node _T_825 = bits(io.ld_single_ecc_error_r, 0, 0) @[lsu_dccm_ctl.scala 171:90] + node _T_826 = bits(io.scan_mode, 0, 0) @[lsu_dccm_ctl.scala 171:116] + inst rvclkhdr of rvclkhdr @[lib.scala 368:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 370:18] + rvclkhdr.io.en <= _T_825 @[lib.scala 371:17] + rvclkhdr.io.scan_mode <= _T_826 @[lib.scala 372:24] + reg ld_sec_addr_hi_r_ff : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + ld_sec_addr_hi_r_ff <= _T_824 @[lib.scala 374:16] + node _T_827 = bits(io.lsu_addr_r, 15, 0) @[lsu_dccm_ctl.scala 172:49] + node _T_828 = bits(io.ld_single_ecc_error_r, 0, 0) @[lsu_dccm_ctl.scala 172:90] + node _T_829 = bits(io.scan_mode, 0, 0) @[lsu_dccm_ctl.scala 172:116] + inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 368:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_1.io.en <= _T_828 @[lib.scala 371:17] + rvclkhdr_1.io.scan_mode <= _T_829 @[lib.scala 372:24] + reg ld_sec_addr_lo_r_ff : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + ld_sec_addr_lo_r_ff <= _T_827 @[lib.scala 374:16] + node _T_830 = or(io.lsu_pkt_d.bits.word, io.lsu_pkt_d.bits.dword) @[lsu_dccm_ctl.scala 173:125] + node _T_831 = eq(_T_830, UInt<1>("h00")) @[lsu_dccm_ctl.scala 173:100] + node _T_832 = bits(io.lsu_addr_d, 1, 0) @[lsu_dccm_ctl.scala 173:168] + node _T_833 = neq(_T_832, UInt<2>("h00")) @[lsu_dccm_ctl.scala 173:174] + node _T_834 = or(_T_831, _T_833) @[lsu_dccm_ctl.scala 173:152] + node _T_835 = and(io.lsu_pkt_d.bits.store, _T_834) @[lsu_dccm_ctl.scala 173:97] + node _T_836 = or(io.lsu_pkt_d.bits.load, _T_835) @[lsu_dccm_ctl.scala 173:70] + node _T_837 = and(io.lsu_pkt_d.valid, _T_836) @[lsu_dccm_ctl.scala 173:44] + node lsu_dccm_rden_d = and(_T_837, io.addr_in_dccm_d) @[lsu_dccm_ctl.scala 173:191] + node _T_838 = or(ld_single_ecc_error_lo_r_ff, ld_single_ecc_error_hi_r_ff) @[lsu_dccm_ctl.scala 176:63] + node _T_839 = eq(lsu_double_ecc_error_r_ff, UInt<1>("h00")) @[lsu_dccm_ctl.scala 176:96] + node _T_840 = and(_T_838, _T_839) @[lsu_dccm_ctl.scala 176:94] + io.ld_single_ecc_error_r_ff <= _T_840 @[lsu_dccm_ctl.scala 176:31] + node _T_841 = or(lsu_dccm_rden_d, io.dma_dccm_wen) @[lsu_dccm_ctl.scala 177:75] + node _T_842 = or(_T_841, io.ld_single_ecc_error_r_ff) @[lsu_dccm_ctl.scala 177:93] + node _T_843 = eq(_T_842, UInt<1>("h00")) @[lsu_dccm_ctl.scala 177:57] + node _T_844 = bits(io.stbuf_addr_any, 3, 2) @[lsu_dccm_ctl.scala 178:44] + node _T_845 = bits(io.lsu_addr_d, 3, 2) @[lsu_dccm_ctl.scala 178:112] + node _T_846 = eq(_T_844, _T_845) @[lsu_dccm_ctl.scala 178:95] + node _T_847 = bits(io.stbuf_addr_any, 3, 2) @[lsu_dccm_ctl.scala 179:25] + node _T_848 = bits(io.end_addr_d, 3, 2) @[lsu_dccm_ctl.scala 179:93] + node _T_849 = eq(_T_847, _T_848) @[lsu_dccm_ctl.scala 179:76] + node _T_850 = or(_T_846, _T_849) @[lsu_dccm_ctl.scala 178:171] + node _T_851 = eq(_T_850, UInt<1>("h00")) @[lsu_dccm_ctl.scala 178:24] + node _T_852 = and(lsu_dccm_rden_d, _T_851) @[lsu_dccm_ctl.scala 178:22] + node _T_853 = or(_T_843, _T_852) @[lsu_dccm_ctl.scala 177:124] + node _T_854 = and(io.stbuf_reqvld_any, _T_853) @[lsu_dccm_ctl.scala 177:54] + io.lsu_stbuf_commit_any <= _T_854 @[lsu_dccm_ctl.scala 177:31] + node _T_855 = or(io.dma_dccm_wen, io.lsu_stbuf_commit_any) @[lsu_dccm_ctl.scala 183:41] + node _T_856 = or(_T_855, io.ld_single_ecc_error_r_ff) @[lsu_dccm_ctl.scala 183:67] + io.dccm.wren <= _T_856 @[lsu_dccm_ctl.scala 183:22] + node _T_857 = and(lsu_dccm_rden_d, io.addr_in_dccm_d) @[lsu_dccm_ctl.scala 184:41] + io.dccm.rden <= _T_857 @[lsu_dccm_ctl.scala 184:22] + node _T_858 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_dccm_ctl.scala 186:57] + node _T_859 = eq(ld_single_ecc_error_lo_r_ff, UInt<1>("h01")) @[lsu_dccm_ctl.scala 187:36] + node _T_860 = bits(ld_sec_addr_lo_r_ff, 15, 0) @[lsu_dccm_ctl.scala 187:62] + node _T_861 = bits(ld_sec_addr_hi_r_ff, 15, 0) @[lsu_dccm_ctl.scala 187:97] + node _T_862 = mux(_T_859, _T_860, _T_861) @[lsu_dccm_ctl.scala 187:8] + node _T_863 = bits(io.dma_dccm_wen, 0, 0) @[lsu_dccm_ctl.scala 188:25] + node _T_864 = bits(io.lsu_addr_d, 15, 0) @[lsu_dccm_ctl.scala 188:45] + node _T_865 = bits(io.stbuf_addr_any, 15, 0) @[lsu_dccm_ctl.scala 188:78] + node _T_866 = mux(_T_863, _T_864, _T_865) @[lsu_dccm_ctl.scala 188:8] + node _T_867 = mux(_T_858, _T_862, _T_866) @[lsu_dccm_ctl.scala 186:28] + io.dccm.wr_addr_lo <= _T_867 @[lsu_dccm_ctl.scala 186:22] + node _T_868 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_dccm_ctl.scala 190:57] + node _T_869 = eq(ld_single_ecc_error_hi_r_ff, UInt<1>("h01")) @[lsu_dccm_ctl.scala 191:36] + node _T_870 = bits(ld_sec_addr_hi_r_ff, 15, 0) @[lsu_dccm_ctl.scala 191:63] + node _T_871 = bits(ld_sec_addr_lo_r_ff, 15, 0) @[lsu_dccm_ctl.scala 191:99] + node _T_872 = mux(_T_869, _T_870, _T_871) @[lsu_dccm_ctl.scala 191:8] + node _T_873 = bits(io.dma_dccm_wen, 0, 0) @[lsu_dccm_ctl.scala 192:25] + node _T_874 = bits(io.end_addr_d, 15, 0) @[lsu_dccm_ctl.scala 192:46] + node _T_875 = bits(io.stbuf_addr_any, 15, 0) @[lsu_dccm_ctl.scala 192:79] + node _T_876 = mux(_T_873, _T_874, _T_875) @[lsu_dccm_ctl.scala 192:8] + node _T_877 = mux(_T_868, _T_872, _T_876) @[lsu_dccm_ctl.scala 190:28] + io.dccm.wr_addr_hi <= _T_877 @[lsu_dccm_ctl.scala 190:22] + node _T_878 = bits(io.lsu_addr_d, 15, 0) @[lsu_dccm_ctl.scala 194:38] + io.dccm.rd_addr_lo <= _T_878 @[lsu_dccm_ctl.scala 194:22] + node _T_879 = bits(io.end_addr_d, 15, 0) @[lsu_dccm_ctl.scala 195:38] + io.dccm.rd_addr_hi <= _T_879 @[lsu_dccm_ctl.scala 195:22] + node _T_880 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_dccm_ctl.scala 197:57] + node _T_881 = eq(ld_single_ecc_error_lo_r_ff, UInt<1>("h01")) @[lsu_dccm_ctl.scala 198:36] + node _T_882 = bits(io.sec_data_ecc_lo_r_ff, 6, 0) @[lsu_dccm_ctl.scala 198:70] + node _T_883 = bits(io.sec_data_lo_r_ff, 31, 0) @[lsu_dccm_ctl.scala 198:110] + node _T_884 = cat(_T_882, _T_883) @[Cat.scala 29:58] + node _T_885 = bits(io.sec_data_ecc_hi_r_ff, 6, 0) @[lsu_dccm_ctl.scala 199:34] + node _T_886 = bits(io.sec_data_hi_r_ff, 31, 0) @[lsu_dccm_ctl.scala 199:74] + node _T_887 = cat(_T_885, _T_886) @[Cat.scala 29:58] + node _T_888 = mux(_T_881, _T_884, _T_887) @[lsu_dccm_ctl.scala 198:8] + node _T_889 = bits(io.dma_dccm_wen, 0, 0) @[lsu_dccm_ctl.scala 200:25] + node _T_890 = bits(io.dma_dccm_wdata_ecc_lo, 6, 0) @[lsu_dccm_ctl.scala 200:60] + node _T_891 = bits(io.dma_dccm_wdata_lo, 31, 0) @[lsu_dccm_ctl.scala 200:101] + node _T_892 = cat(_T_890, _T_891) @[Cat.scala 29:58] + node _T_893 = bits(io.stbuf_ecc_any, 6, 0) @[lsu_dccm_ctl.scala 201:27] + node _T_894 = bits(io.stbuf_data_any, 31, 0) @[lsu_dccm_ctl.scala 201:65] + node _T_895 = cat(_T_893, _T_894) @[Cat.scala 29:58] + node _T_896 = mux(_T_889, _T_892, _T_895) @[lsu_dccm_ctl.scala 200:8] + node _T_897 = mux(_T_880, _T_888, _T_896) @[lsu_dccm_ctl.scala 197:28] + io.dccm.wr_data_lo <= _T_897 @[lsu_dccm_ctl.scala 197:22] + node _T_898 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_dccm_ctl.scala 203:57] + node _T_899 = eq(ld_single_ecc_error_hi_r_ff, UInt<1>("h01")) @[lsu_dccm_ctl.scala 204:36] + node _T_900 = bits(io.sec_data_ecc_hi_r_ff, 6, 0) @[lsu_dccm_ctl.scala 204:71] + node _T_901 = bits(io.sec_data_hi_r_ff, 31, 0) @[lsu_dccm_ctl.scala 204:111] + node _T_902 = cat(_T_900, _T_901) @[Cat.scala 29:58] + node _T_903 = bits(io.sec_data_ecc_lo_r_ff, 6, 0) @[lsu_dccm_ctl.scala 205:34] + node _T_904 = bits(io.sec_data_lo_r_ff, 31, 0) @[lsu_dccm_ctl.scala 205:74] + node _T_905 = cat(_T_903, _T_904) @[Cat.scala 29:58] + node _T_906 = mux(_T_899, _T_902, _T_905) @[lsu_dccm_ctl.scala 204:8] + node _T_907 = bits(io.dma_dccm_wen, 0, 0) @[lsu_dccm_ctl.scala 206:25] + node _T_908 = bits(io.dma_dccm_wdata_ecc_hi, 6, 0) @[lsu_dccm_ctl.scala 206:61] + node _T_909 = bits(io.dma_dccm_wdata_hi, 31, 0) @[lsu_dccm_ctl.scala 206:102] + node _T_910 = cat(_T_908, _T_909) @[Cat.scala 29:58] + node _T_911 = bits(io.stbuf_ecc_any, 6, 0) @[lsu_dccm_ctl.scala 207:27] + node _T_912 = bits(io.stbuf_data_any, 31, 0) @[lsu_dccm_ctl.scala 207:65] + node _T_913 = cat(_T_911, _T_912) @[Cat.scala 29:58] + node _T_914 = mux(_T_907, _T_910, _T_913) @[lsu_dccm_ctl.scala 206:8] + node _T_915 = mux(_T_898, _T_906, _T_914) @[lsu_dccm_ctl.scala 203:28] + io.dccm.wr_data_hi <= _T_915 @[lsu_dccm_ctl.scala 203:22] + node _T_916 = bits(io.lsu_pkt_m.bits.store, 0, 0) @[Bitwise.scala 72:15] + node _T_917 = mux(_T_916, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_918 = bits(io.lsu_pkt_m.bits.by, 0, 0) @[Bitwise.scala 72:15] + node _T_919 = mux(_T_918, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_920 = and(_T_919, UInt<4>("h01")) @[lsu_dccm_ctl.scala 210:94] + node _T_921 = bits(io.lsu_pkt_m.bits.half, 0, 0) @[Bitwise.scala 72:15] + node _T_922 = mux(_T_921, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_923 = and(_T_922, UInt<4>("h03")) @[lsu_dccm_ctl.scala 211:38] + node _T_924 = or(_T_920, _T_923) @[lsu_dccm_ctl.scala 210:107] + node _T_925 = bits(io.lsu_pkt_m.bits.word, 0, 0) @[Bitwise.scala 72:15] + node _T_926 = mux(_T_925, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_927 = and(_T_926, UInt<4>("h0f")) @[lsu_dccm_ctl.scala 212:38] + node _T_928 = or(_T_924, _T_927) @[lsu_dccm_ctl.scala 211:51] + node store_byteen_m = and(_T_917, _T_928) @[lsu_dccm_ctl.scala 210:58] + node _T_929 = bits(io.lsu_pkt_r.bits.store, 0, 0) @[Bitwise.scala 72:15] + node _T_930 = mux(_T_929, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_931 = bits(io.lsu_pkt_r.bits.by, 0, 0) @[Bitwise.scala 72:15] + node _T_932 = mux(_T_931, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_933 = and(_T_932, UInt<4>("h01")) @[lsu_dccm_ctl.scala 214:94] + node _T_934 = bits(io.lsu_pkt_r.bits.half, 0, 0) @[Bitwise.scala 72:15] + node _T_935 = mux(_T_934, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_936 = and(_T_935, UInt<4>("h03")) @[lsu_dccm_ctl.scala 215:38] + node _T_937 = or(_T_933, _T_936) @[lsu_dccm_ctl.scala 214:107] + node _T_938 = bits(io.lsu_pkt_r.bits.word, 0, 0) @[Bitwise.scala 72:15] + node _T_939 = mux(_T_938, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_940 = and(_T_939, UInt<4>("h0f")) @[lsu_dccm_ctl.scala 216:38] + node _T_941 = or(_T_937, _T_940) @[lsu_dccm_ctl.scala 215:51] + node store_byteen_r = and(_T_930, _T_941) @[lsu_dccm_ctl.scala 214:58] + wire store_byteen_ext_m : UInt<8> + store_byteen_ext_m <= UInt<1>("h00") + node _T_942 = bits(store_byteen_m, 3, 0) @[lsu_dccm_ctl.scala 218:39] + node _T_943 = bits(io.lsu_addr_m, 1, 0) @[lsu_dccm_ctl.scala 218:61] + node _T_944 = dshl(_T_942, _T_943) @[lsu_dccm_ctl.scala 218:45] + store_byteen_ext_m <= _T_944 @[lsu_dccm_ctl.scala 218:22] + wire store_byteen_ext_r : UInt<8> + store_byteen_ext_r <= UInt<1>("h00") + node _T_945 = bits(store_byteen_r, 3, 0) @[lsu_dccm_ctl.scala 220:39] + node _T_946 = bits(io.lsu_addr_r, 1, 0) @[lsu_dccm_ctl.scala 220:61] + node _T_947 = dshl(_T_945, _T_946) @[lsu_dccm_ctl.scala 220:45] + store_byteen_ext_r <= _T_947 @[lsu_dccm_ctl.scala 220:22] + node _T_948 = bits(io.stbuf_addr_any, 15, 2) @[lsu_dccm_ctl.scala 223:51] + node _T_949 = bits(io.lsu_addr_m, 15, 2) @[lsu_dccm_ctl.scala 223:84] + node _T_950 = eq(_T_948, _T_949) @[lsu_dccm_ctl.scala 223:67] + node dccm_wr_bypass_d_m_lo = and(_T_950, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 223:101] + node _T_951 = bits(io.stbuf_addr_any, 15, 2) @[lsu_dccm_ctl.scala 224:51] + node _T_952 = bits(io.end_addr_m, 15, 2) @[lsu_dccm_ctl.scala 224:84] + node _T_953 = eq(_T_951, _T_952) @[lsu_dccm_ctl.scala 224:67] + node dccm_wr_bypass_d_m_hi = and(_T_953, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 224:101] + node _T_954 = bits(io.stbuf_addr_any, 15, 2) @[lsu_dccm_ctl.scala 226:51] + node _T_955 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 226:84] + node _T_956 = eq(_T_954, _T_955) @[lsu_dccm_ctl.scala 226:67] + node dccm_wr_bypass_d_r_lo = and(_T_956, io.addr_in_dccm_r) @[lsu_dccm_ctl.scala 226:101] + node _T_957 = bits(io.stbuf_addr_any, 15, 2) @[lsu_dccm_ctl.scala 227:51] + node _T_958 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 227:84] + node _T_959 = eq(_T_957, _T_958) @[lsu_dccm_ctl.scala 227:67] + node dccm_wr_bypass_d_r_hi = and(_T_959, io.addr_in_dccm_r) @[lsu_dccm_ctl.scala 227:101] + wire dccm_wr_bypass_d_m_hi_Q : UInt<1> + dccm_wr_bypass_d_m_hi_Q <= UInt<1>("h00") + wire dccm_wr_bypass_d_m_lo_Q : UInt<1> + dccm_wr_bypass_d_m_lo_Q <= UInt<1>("h00") + wire dccm_wren_Q : UInt<1> + dccm_wren_Q <= UInt<1>("h00") + wire dccm_wr_data_Q : UInt<32> + dccm_wr_data_Q <= UInt<32>("h00") + wire store_data_pre_r : UInt<64> + store_data_pre_r <= UInt<64>("h00") + wire store_data_pre_hi_r : UInt<32> + store_data_pre_hi_r <= UInt<32>("h00") + wire store_data_pre_lo_r : UInt<32> + store_data_pre_lo_r <= UInt<32>("h00") + wire store_data_pre_m : UInt<64> + store_data_pre_m <= UInt<64>("h00") + wire store_data_hi_m : UInt<32> + store_data_hi_m <= UInt<32>("h00") + wire store_data_lo_m : UInt<32> + store_data_lo_m <= UInt<32>("h00") + node _T_960 = mux(UInt<1>("h00"), UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_961 = bits(io.store_data_m, 31, 0) @[lsu_dccm_ctl.scala 256:64] + node _T_962 = cat(_T_960, _T_961) @[Cat.scala 29:58] + node _T_963 = bits(io.lsu_addr_m, 1, 0) @[lsu_dccm_ctl.scala 256:92] + node _T_964 = mul(UInt<4>("h08"), _T_963) @[lsu_dccm_ctl.scala 256:78] + node _T_965 = dshl(_T_962, _T_964) @[lsu_dccm_ctl.scala 256:72] + store_data_pre_m <= _T_965 @[lsu_dccm_ctl.scala 256:29] + node _T_966 = bits(store_data_pre_m, 63, 32) @[lsu_dccm_ctl.scala 257:48] + store_data_hi_m <= _T_966 @[lsu_dccm_ctl.scala 257:29] + node _T_967 = bits(store_data_pre_m, 31, 0) @[lsu_dccm_ctl.scala 258:48] + store_data_lo_m <= _T_967 @[lsu_dccm_ctl.scala 258:29] + node _T_968 = bits(store_byteen_ext_m, 0, 0) @[lsu_dccm_ctl.scala 259:139] + node _T_969 = bits(_T_968, 0, 0) @[lsu_dccm_ctl.scala 259:143] + node _T_970 = bits(store_data_lo_m, 7, 0) @[lsu_dccm_ctl.scala 259:167] + node _T_971 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_lo) @[lsu_dccm_ctl.scala 259:211] + node _T_972 = bits(_T_971, 0, 0) @[lsu_dccm_ctl.scala 259:237] + node _T_973 = bits(io.stbuf_data_any, 7, 0) @[lsu_dccm_ctl.scala 259:262] + node _T_974 = bits(io.sec_data_lo_m, 7, 0) @[lsu_dccm_ctl.scala 259:292] + node _T_975 = mux(_T_972, _T_973, _T_974) @[lsu_dccm_ctl.scala 259:185] + node _T_976 = mux(_T_969, _T_970, _T_975) @[lsu_dccm_ctl.scala 259:120] + node _T_977 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_978 = xor(UInt<8>("h0ff"), _T_977) @[Bitwise.scala 102:21] + node _T_979 = shr(_T_976, 4) @[Bitwise.scala 103:21] + node _T_980 = and(_T_979, _T_978) @[Bitwise.scala 103:31] + node _T_981 = bits(_T_976, 3, 0) @[Bitwise.scala 103:46] + node _T_982 = shl(_T_981, 4) @[Bitwise.scala 103:65] + node _T_983 = not(_T_978) @[Bitwise.scala 103:77] + node _T_984 = and(_T_982, _T_983) @[Bitwise.scala 103:75] + node _T_985 = or(_T_980, _T_984) @[Bitwise.scala 103:39] + node _T_986 = bits(_T_978, 5, 0) @[Bitwise.scala 102:28] + node _T_987 = shl(_T_986, 2) @[Bitwise.scala 102:47] + node _T_988 = xor(_T_978, _T_987) @[Bitwise.scala 102:21] + node _T_989 = shr(_T_985, 2) @[Bitwise.scala 103:21] + node _T_990 = and(_T_989, _T_988) @[Bitwise.scala 103:31] + node _T_991 = bits(_T_985, 5, 0) @[Bitwise.scala 103:46] + node _T_992 = shl(_T_991, 2) @[Bitwise.scala 103:65] + node _T_993 = not(_T_988) @[Bitwise.scala 103:77] + node _T_994 = and(_T_992, _T_993) @[Bitwise.scala 103:75] + node _T_995 = or(_T_990, _T_994) @[Bitwise.scala 103:39] + node _T_996 = bits(_T_988, 6, 0) @[Bitwise.scala 102:28] + node _T_997 = shl(_T_996, 1) @[Bitwise.scala 102:47] + node _T_998 = xor(_T_988, _T_997) @[Bitwise.scala 102:21] + node _T_999 = shr(_T_995, 1) @[Bitwise.scala 103:21] + node _T_1000 = and(_T_999, _T_998) @[Bitwise.scala 103:31] + node _T_1001 = bits(_T_995, 6, 0) @[Bitwise.scala 103:46] + node _T_1002 = shl(_T_1001, 1) @[Bitwise.scala 103:65] + node _T_1003 = not(_T_998) @[Bitwise.scala 103:77] + node _T_1004 = and(_T_1002, _T_1003) @[Bitwise.scala 103:75] + node _T_1005 = or(_T_1000, _T_1004) @[Bitwise.scala 103:39] + node _T_1006 = bits(store_byteen_ext_m, 1, 1) @[lsu_dccm_ctl.scala 259:139] + node _T_1007 = bits(_T_1006, 0, 0) @[lsu_dccm_ctl.scala 259:143] + node _T_1008 = bits(store_data_lo_m, 15, 8) @[lsu_dccm_ctl.scala 259:167] + node _T_1009 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_lo) @[lsu_dccm_ctl.scala 259:211] + node _T_1010 = bits(_T_1009, 0, 0) @[lsu_dccm_ctl.scala 259:237] + node _T_1011 = bits(io.stbuf_data_any, 15, 8) @[lsu_dccm_ctl.scala 259:262] + node _T_1012 = bits(io.sec_data_lo_m, 15, 8) @[lsu_dccm_ctl.scala 259:292] + node _T_1013 = mux(_T_1010, _T_1011, _T_1012) @[lsu_dccm_ctl.scala 259:185] + node _T_1014 = mux(_T_1007, _T_1008, _T_1013) @[lsu_dccm_ctl.scala 259:120] + node _T_1015 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1016 = xor(UInt<8>("h0ff"), _T_1015) @[Bitwise.scala 102:21] + node _T_1017 = shr(_T_1014, 4) @[Bitwise.scala 103:21] + node _T_1018 = and(_T_1017, _T_1016) @[Bitwise.scala 103:31] + node _T_1019 = bits(_T_1014, 3, 0) @[Bitwise.scala 103:46] + node _T_1020 = shl(_T_1019, 4) @[Bitwise.scala 103:65] + node _T_1021 = not(_T_1016) @[Bitwise.scala 103:77] + node _T_1022 = and(_T_1020, _T_1021) @[Bitwise.scala 103:75] + node _T_1023 = or(_T_1018, _T_1022) @[Bitwise.scala 103:39] + node _T_1024 = bits(_T_1016, 5, 0) @[Bitwise.scala 102:28] + node _T_1025 = shl(_T_1024, 2) @[Bitwise.scala 102:47] + node _T_1026 = xor(_T_1016, _T_1025) @[Bitwise.scala 102:21] + node _T_1027 = shr(_T_1023, 2) @[Bitwise.scala 103:21] + node _T_1028 = and(_T_1027, _T_1026) @[Bitwise.scala 103:31] + node _T_1029 = bits(_T_1023, 5, 0) @[Bitwise.scala 103:46] + node _T_1030 = shl(_T_1029, 2) @[Bitwise.scala 103:65] + node _T_1031 = not(_T_1026) @[Bitwise.scala 103:77] + node _T_1032 = and(_T_1030, _T_1031) @[Bitwise.scala 103:75] + node _T_1033 = or(_T_1028, _T_1032) @[Bitwise.scala 103:39] + node _T_1034 = bits(_T_1026, 6, 0) @[Bitwise.scala 102:28] + node _T_1035 = shl(_T_1034, 1) @[Bitwise.scala 102:47] + node _T_1036 = xor(_T_1026, _T_1035) @[Bitwise.scala 102:21] + node _T_1037 = shr(_T_1033, 1) @[Bitwise.scala 103:21] + node _T_1038 = and(_T_1037, _T_1036) @[Bitwise.scala 103:31] + node _T_1039 = bits(_T_1033, 6, 0) @[Bitwise.scala 103:46] + node _T_1040 = shl(_T_1039, 1) @[Bitwise.scala 103:65] + node _T_1041 = not(_T_1036) @[Bitwise.scala 103:77] + node _T_1042 = and(_T_1040, _T_1041) @[Bitwise.scala 103:75] + node _T_1043 = or(_T_1038, _T_1042) @[Bitwise.scala 103:39] + node _T_1044 = bits(store_byteen_ext_m, 2, 2) @[lsu_dccm_ctl.scala 259:139] + node _T_1045 = bits(_T_1044, 0, 0) @[lsu_dccm_ctl.scala 259:143] + node _T_1046 = bits(store_data_lo_m, 23, 16) @[lsu_dccm_ctl.scala 259:167] + node _T_1047 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_lo) @[lsu_dccm_ctl.scala 259:211] + node _T_1048 = bits(_T_1047, 0, 0) @[lsu_dccm_ctl.scala 259:237] + node _T_1049 = bits(io.stbuf_data_any, 23, 16) @[lsu_dccm_ctl.scala 259:262] + node _T_1050 = bits(io.sec_data_lo_m, 23, 16) @[lsu_dccm_ctl.scala 259:292] + node _T_1051 = mux(_T_1048, _T_1049, _T_1050) @[lsu_dccm_ctl.scala 259:185] + node _T_1052 = mux(_T_1045, _T_1046, _T_1051) @[lsu_dccm_ctl.scala 259:120] + node _T_1053 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1054 = xor(UInt<8>("h0ff"), _T_1053) @[Bitwise.scala 102:21] + node _T_1055 = shr(_T_1052, 4) @[Bitwise.scala 103:21] + node _T_1056 = and(_T_1055, _T_1054) @[Bitwise.scala 103:31] + node _T_1057 = bits(_T_1052, 3, 0) @[Bitwise.scala 103:46] + node _T_1058 = shl(_T_1057, 4) @[Bitwise.scala 103:65] + node _T_1059 = not(_T_1054) @[Bitwise.scala 103:77] + node _T_1060 = and(_T_1058, _T_1059) @[Bitwise.scala 103:75] + node _T_1061 = or(_T_1056, _T_1060) @[Bitwise.scala 103:39] + node _T_1062 = bits(_T_1054, 5, 0) @[Bitwise.scala 102:28] + node _T_1063 = shl(_T_1062, 2) @[Bitwise.scala 102:47] + node _T_1064 = xor(_T_1054, _T_1063) @[Bitwise.scala 102:21] + node _T_1065 = shr(_T_1061, 2) @[Bitwise.scala 103:21] + node _T_1066 = and(_T_1065, _T_1064) @[Bitwise.scala 103:31] + node _T_1067 = bits(_T_1061, 5, 0) @[Bitwise.scala 103:46] + node _T_1068 = shl(_T_1067, 2) @[Bitwise.scala 103:65] + node _T_1069 = not(_T_1064) @[Bitwise.scala 103:77] + node _T_1070 = and(_T_1068, _T_1069) @[Bitwise.scala 103:75] + node _T_1071 = or(_T_1066, _T_1070) @[Bitwise.scala 103:39] + node _T_1072 = bits(_T_1064, 6, 0) @[Bitwise.scala 102:28] + node _T_1073 = shl(_T_1072, 1) @[Bitwise.scala 102:47] + node _T_1074 = xor(_T_1064, _T_1073) @[Bitwise.scala 102:21] + node _T_1075 = shr(_T_1071, 1) @[Bitwise.scala 103:21] + node _T_1076 = and(_T_1075, _T_1074) @[Bitwise.scala 103:31] + node _T_1077 = bits(_T_1071, 6, 0) @[Bitwise.scala 103:46] + node _T_1078 = shl(_T_1077, 1) @[Bitwise.scala 103:65] + node _T_1079 = not(_T_1074) @[Bitwise.scala 103:77] + node _T_1080 = and(_T_1078, _T_1079) @[Bitwise.scala 103:75] + node _T_1081 = or(_T_1076, _T_1080) @[Bitwise.scala 103:39] + node _T_1082 = bits(store_byteen_ext_m, 3, 3) @[lsu_dccm_ctl.scala 259:139] + node _T_1083 = bits(_T_1082, 0, 0) @[lsu_dccm_ctl.scala 259:143] + node _T_1084 = bits(store_data_lo_m, 31, 24) @[lsu_dccm_ctl.scala 259:167] + node _T_1085 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_lo) @[lsu_dccm_ctl.scala 259:211] + node _T_1086 = bits(_T_1085, 0, 0) @[lsu_dccm_ctl.scala 259:237] + node _T_1087 = bits(io.stbuf_data_any, 31, 24) @[lsu_dccm_ctl.scala 259:262] + node _T_1088 = bits(io.sec_data_lo_m, 31, 24) @[lsu_dccm_ctl.scala 259:292] + node _T_1089 = mux(_T_1086, _T_1087, _T_1088) @[lsu_dccm_ctl.scala 259:185] + node _T_1090 = mux(_T_1083, _T_1084, _T_1089) @[lsu_dccm_ctl.scala 259:120] + node _T_1091 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1092 = xor(UInt<8>("h0ff"), _T_1091) @[Bitwise.scala 102:21] + node _T_1093 = shr(_T_1090, 4) @[Bitwise.scala 103:21] + node _T_1094 = and(_T_1093, _T_1092) @[Bitwise.scala 103:31] + node _T_1095 = bits(_T_1090, 3, 0) @[Bitwise.scala 103:46] + node _T_1096 = shl(_T_1095, 4) @[Bitwise.scala 103:65] + node _T_1097 = not(_T_1092) @[Bitwise.scala 103:77] + node _T_1098 = and(_T_1096, _T_1097) @[Bitwise.scala 103:75] + node _T_1099 = or(_T_1094, _T_1098) @[Bitwise.scala 103:39] + node _T_1100 = bits(_T_1092, 5, 0) @[Bitwise.scala 102:28] + node _T_1101 = shl(_T_1100, 2) @[Bitwise.scala 102:47] + node _T_1102 = xor(_T_1092, _T_1101) @[Bitwise.scala 102:21] + node _T_1103 = shr(_T_1099, 2) @[Bitwise.scala 103:21] + node _T_1104 = and(_T_1103, _T_1102) @[Bitwise.scala 103:31] + node _T_1105 = bits(_T_1099, 5, 0) @[Bitwise.scala 103:46] + node _T_1106 = shl(_T_1105, 2) @[Bitwise.scala 103:65] + node _T_1107 = not(_T_1102) @[Bitwise.scala 103:77] + node _T_1108 = and(_T_1106, _T_1107) @[Bitwise.scala 103:75] + node _T_1109 = or(_T_1104, _T_1108) @[Bitwise.scala 103:39] + node _T_1110 = bits(_T_1102, 6, 0) @[Bitwise.scala 102:28] + node _T_1111 = shl(_T_1110, 1) @[Bitwise.scala 102:47] + node _T_1112 = xor(_T_1102, _T_1111) @[Bitwise.scala 102:21] + node _T_1113 = shr(_T_1109, 1) @[Bitwise.scala 103:21] + node _T_1114 = and(_T_1113, _T_1112) @[Bitwise.scala 103:31] + node _T_1115 = bits(_T_1109, 6, 0) @[Bitwise.scala 103:46] + node _T_1116 = shl(_T_1115, 1) @[Bitwise.scala 103:65] + node _T_1117 = not(_T_1112) @[Bitwise.scala 103:77] + node _T_1118 = and(_T_1116, _T_1117) @[Bitwise.scala 103:75] + node _T_1119 = or(_T_1114, _T_1118) @[Bitwise.scala 103:39] + wire _T_1120 : UInt<8>[4] @[lsu_dccm_ctl.scala 259:104] + _T_1120[0] <= _T_1005 @[lsu_dccm_ctl.scala 259:104] + _T_1120[1] <= _T_1043 @[lsu_dccm_ctl.scala 259:104] + _T_1120[2] <= _T_1081 @[lsu_dccm_ctl.scala 259:104] + _T_1120[3] <= _T_1119 @[lsu_dccm_ctl.scala 259:104] + node _T_1121 = cat(_T_1120[2], _T_1120[3]) @[Cat.scala 29:58] + node _T_1122 = cat(_T_1120[0], _T_1120[1]) @[Cat.scala 29:58] + node _T_1123 = cat(_T_1122, _T_1121) @[Cat.scala 29:58] + node _T_1124 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47] + node _T_1125 = xor(UInt<32>("h0ffffffff"), _T_1124) @[Bitwise.scala 102:21] + node _T_1126 = shr(_T_1123, 16) @[Bitwise.scala 103:21] + node _T_1127 = and(_T_1126, _T_1125) @[Bitwise.scala 103:31] + node _T_1128 = bits(_T_1123, 15, 0) @[Bitwise.scala 103:46] + node _T_1129 = shl(_T_1128, 16) @[Bitwise.scala 103:65] + node _T_1130 = not(_T_1125) @[Bitwise.scala 103:77] + node _T_1131 = and(_T_1129, _T_1130) @[Bitwise.scala 103:75] + node _T_1132 = or(_T_1127, _T_1131) @[Bitwise.scala 103:39] + node _T_1133 = bits(_T_1125, 23, 0) @[Bitwise.scala 102:28] + node _T_1134 = shl(_T_1133, 8) @[Bitwise.scala 102:47] + node _T_1135 = xor(_T_1125, _T_1134) @[Bitwise.scala 102:21] + node _T_1136 = shr(_T_1132, 8) @[Bitwise.scala 103:21] + node _T_1137 = and(_T_1136, _T_1135) @[Bitwise.scala 103:31] + node _T_1138 = bits(_T_1132, 23, 0) @[Bitwise.scala 103:46] + node _T_1139 = shl(_T_1138, 8) @[Bitwise.scala 103:65] + node _T_1140 = not(_T_1135) @[Bitwise.scala 103:77] + node _T_1141 = and(_T_1139, _T_1140) @[Bitwise.scala 103:75] + node _T_1142 = or(_T_1137, _T_1141) @[Bitwise.scala 103:39] + node _T_1143 = bits(_T_1135, 27, 0) @[Bitwise.scala 102:28] + node _T_1144 = shl(_T_1143, 4) @[Bitwise.scala 102:47] + node _T_1145 = xor(_T_1135, _T_1144) @[Bitwise.scala 102:21] + node _T_1146 = shr(_T_1142, 4) @[Bitwise.scala 103:21] + node _T_1147 = and(_T_1146, _T_1145) @[Bitwise.scala 103:31] + node _T_1148 = bits(_T_1142, 27, 0) @[Bitwise.scala 103:46] + node _T_1149 = shl(_T_1148, 4) @[Bitwise.scala 103:65] + node _T_1150 = not(_T_1145) @[Bitwise.scala 103:77] + node _T_1151 = and(_T_1149, _T_1150) @[Bitwise.scala 103:75] + node _T_1152 = or(_T_1147, _T_1151) @[Bitwise.scala 103:39] + node _T_1153 = bits(_T_1145, 29, 0) @[Bitwise.scala 102:28] + node _T_1154 = shl(_T_1153, 2) @[Bitwise.scala 102:47] + node _T_1155 = xor(_T_1145, _T_1154) @[Bitwise.scala 102:21] + node _T_1156 = shr(_T_1152, 2) @[Bitwise.scala 103:21] + node _T_1157 = and(_T_1156, _T_1155) @[Bitwise.scala 103:31] + node _T_1158 = bits(_T_1152, 29, 0) @[Bitwise.scala 103:46] + node _T_1159 = shl(_T_1158, 2) @[Bitwise.scala 103:65] + node _T_1160 = not(_T_1155) @[Bitwise.scala 103:77] + node _T_1161 = and(_T_1159, _T_1160) @[Bitwise.scala 103:75] + node _T_1162 = or(_T_1157, _T_1161) @[Bitwise.scala 103:39] + node _T_1163 = bits(_T_1155, 30, 0) @[Bitwise.scala 102:28] + node _T_1164 = shl(_T_1163, 1) @[Bitwise.scala 102:47] + node _T_1165 = xor(_T_1155, _T_1164) @[Bitwise.scala 102:21] + node _T_1166 = shr(_T_1162, 1) @[Bitwise.scala 103:21] + node _T_1167 = and(_T_1166, _T_1165) @[Bitwise.scala 103:31] + node _T_1168 = bits(_T_1162, 30, 0) @[Bitwise.scala 103:46] + node _T_1169 = shl(_T_1168, 1) @[Bitwise.scala 103:65] + node _T_1170 = not(_T_1165) @[Bitwise.scala 103:77] + node _T_1171 = and(_T_1169, _T_1170) @[Bitwise.scala 103:75] + node _T_1172 = or(_T_1167, _T_1171) @[Bitwise.scala 103:39] + reg _T_1173 : UInt, io.lsu_store_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 259:72] + _T_1173 <= _T_1172 @[lsu_dccm_ctl.scala 259:72] + io.store_data_lo_r <= _T_1173 @[lsu_dccm_ctl.scala 259:29] + node _T_1174 = bits(store_byteen_ext_m, 4, 4) @[lsu_dccm_ctl.scala 260:139] + node _T_1175 = bits(_T_1174, 0, 0) @[lsu_dccm_ctl.scala 260:145] + node _T_1176 = bits(store_data_hi_m, 7, 0) @[lsu_dccm_ctl.scala 260:167] + node _T_1177 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_hi) @[lsu_dccm_ctl.scala 260:211] + node _T_1178 = bits(_T_1177, 0, 0) @[lsu_dccm_ctl.scala 260:237] + node _T_1179 = bits(io.stbuf_data_any, 7, 0) @[lsu_dccm_ctl.scala 260:262] + node _T_1180 = bits(io.sec_data_hi_m, 7, 0) @[lsu_dccm_ctl.scala 260:292] + node _T_1181 = mux(_T_1178, _T_1179, _T_1180) @[lsu_dccm_ctl.scala 260:185] + node _T_1182 = mux(_T_1175, _T_1176, _T_1181) @[lsu_dccm_ctl.scala 260:120] + node _T_1183 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1184 = xor(UInt<8>("h0ff"), _T_1183) @[Bitwise.scala 102:21] + node _T_1185 = shr(_T_1182, 4) @[Bitwise.scala 103:21] + node _T_1186 = and(_T_1185, _T_1184) @[Bitwise.scala 103:31] + node _T_1187 = bits(_T_1182, 3, 0) @[Bitwise.scala 103:46] + node _T_1188 = shl(_T_1187, 4) @[Bitwise.scala 103:65] + node _T_1189 = not(_T_1184) @[Bitwise.scala 103:77] + node _T_1190 = and(_T_1188, _T_1189) @[Bitwise.scala 103:75] + node _T_1191 = or(_T_1186, _T_1190) @[Bitwise.scala 103:39] + node _T_1192 = bits(_T_1184, 5, 0) @[Bitwise.scala 102:28] + node _T_1193 = shl(_T_1192, 2) @[Bitwise.scala 102:47] + node _T_1194 = xor(_T_1184, _T_1193) @[Bitwise.scala 102:21] + node _T_1195 = shr(_T_1191, 2) @[Bitwise.scala 103:21] + node _T_1196 = and(_T_1195, _T_1194) @[Bitwise.scala 103:31] + node _T_1197 = bits(_T_1191, 5, 0) @[Bitwise.scala 103:46] + node _T_1198 = shl(_T_1197, 2) @[Bitwise.scala 103:65] + node _T_1199 = not(_T_1194) @[Bitwise.scala 103:77] + node _T_1200 = and(_T_1198, _T_1199) @[Bitwise.scala 103:75] + node _T_1201 = or(_T_1196, _T_1200) @[Bitwise.scala 103:39] + node _T_1202 = bits(_T_1194, 6, 0) @[Bitwise.scala 102:28] + node _T_1203 = shl(_T_1202, 1) @[Bitwise.scala 102:47] + node _T_1204 = xor(_T_1194, _T_1203) @[Bitwise.scala 102:21] + node _T_1205 = shr(_T_1201, 1) @[Bitwise.scala 103:21] + node _T_1206 = and(_T_1205, _T_1204) @[Bitwise.scala 103:31] + node _T_1207 = bits(_T_1201, 6, 0) @[Bitwise.scala 103:46] + node _T_1208 = shl(_T_1207, 1) @[Bitwise.scala 103:65] + node _T_1209 = not(_T_1204) @[Bitwise.scala 103:77] + node _T_1210 = and(_T_1208, _T_1209) @[Bitwise.scala 103:75] + node _T_1211 = or(_T_1206, _T_1210) @[Bitwise.scala 103:39] + node _T_1212 = bits(store_byteen_ext_m, 5, 5) @[lsu_dccm_ctl.scala 260:139] + node _T_1213 = bits(_T_1212, 0, 0) @[lsu_dccm_ctl.scala 260:145] + node _T_1214 = bits(store_data_hi_m, 15, 8) @[lsu_dccm_ctl.scala 260:167] + node _T_1215 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_hi) @[lsu_dccm_ctl.scala 260:211] + node _T_1216 = bits(_T_1215, 0, 0) @[lsu_dccm_ctl.scala 260:237] + node _T_1217 = bits(io.stbuf_data_any, 15, 8) @[lsu_dccm_ctl.scala 260:262] + node _T_1218 = bits(io.sec_data_hi_m, 15, 8) @[lsu_dccm_ctl.scala 260:292] + node _T_1219 = mux(_T_1216, _T_1217, _T_1218) @[lsu_dccm_ctl.scala 260:185] + node _T_1220 = mux(_T_1213, _T_1214, _T_1219) @[lsu_dccm_ctl.scala 260:120] + node _T_1221 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1222 = xor(UInt<8>("h0ff"), _T_1221) @[Bitwise.scala 102:21] + node _T_1223 = shr(_T_1220, 4) @[Bitwise.scala 103:21] + node _T_1224 = and(_T_1223, _T_1222) @[Bitwise.scala 103:31] + node _T_1225 = bits(_T_1220, 3, 0) @[Bitwise.scala 103:46] + node _T_1226 = shl(_T_1225, 4) @[Bitwise.scala 103:65] + node _T_1227 = not(_T_1222) @[Bitwise.scala 103:77] + node _T_1228 = and(_T_1226, _T_1227) @[Bitwise.scala 103:75] + node _T_1229 = or(_T_1224, _T_1228) @[Bitwise.scala 103:39] + node _T_1230 = bits(_T_1222, 5, 0) @[Bitwise.scala 102:28] + node _T_1231 = shl(_T_1230, 2) @[Bitwise.scala 102:47] + node _T_1232 = xor(_T_1222, _T_1231) @[Bitwise.scala 102:21] + node _T_1233 = shr(_T_1229, 2) @[Bitwise.scala 103:21] + node _T_1234 = and(_T_1233, _T_1232) @[Bitwise.scala 103:31] + node _T_1235 = bits(_T_1229, 5, 0) @[Bitwise.scala 103:46] + node _T_1236 = shl(_T_1235, 2) @[Bitwise.scala 103:65] + node _T_1237 = not(_T_1232) @[Bitwise.scala 103:77] + node _T_1238 = and(_T_1236, _T_1237) @[Bitwise.scala 103:75] + node _T_1239 = or(_T_1234, _T_1238) @[Bitwise.scala 103:39] + node _T_1240 = bits(_T_1232, 6, 0) @[Bitwise.scala 102:28] + node _T_1241 = shl(_T_1240, 1) @[Bitwise.scala 102:47] + node _T_1242 = xor(_T_1232, _T_1241) @[Bitwise.scala 102:21] + node _T_1243 = shr(_T_1239, 1) @[Bitwise.scala 103:21] + node _T_1244 = and(_T_1243, _T_1242) @[Bitwise.scala 103:31] + node _T_1245 = bits(_T_1239, 6, 0) @[Bitwise.scala 103:46] + node _T_1246 = shl(_T_1245, 1) @[Bitwise.scala 103:65] + node _T_1247 = not(_T_1242) @[Bitwise.scala 103:77] + node _T_1248 = and(_T_1246, _T_1247) @[Bitwise.scala 103:75] + node _T_1249 = or(_T_1244, _T_1248) @[Bitwise.scala 103:39] + node _T_1250 = bits(store_byteen_ext_m, 6, 6) @[lsu_dccm_ctl.scala 260:139] + node _T_1251 = bits(_T_1250, 0, 0) @[lsu_dccm_ctl.scala 260:145] + node _T_1252 = bits(store_data_hi_m, 23, 16) @[lsu_dccm_ctl.scala 260:167] + node _T_1253 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_hi) @[lsu_dccm_ctl.scala 260:211] + node _T_1254 = bits(_T_1253, 0, 0) @[lsu_dccm_ctl.scala 260:237] + node _T_1255 = bits(io.stbuf_data_any, 23, 16) @[lsu_dccm_ctl.scala 260:262] + node _T_1256 = bits(io.sec_data_hi_m, 23, 16) @[lsu_dccm_ctl.scala 260:292] + node _T_1257 = mux(_T_1254, _T_1255, _T_1256) @[lsu_dccm_ctl.scala 260:185] + node _T_1258 = mux(_T_1251, _T_1252, _T_1257) @[lsu_dccm_ctl.scala 260:120] + node _T_1259 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1260 = xor(UInt<8>("h0ff"), _T_1259) @[Bitwise.scala 102:21] + node _T_1261 = shr(_T_1258, 4) @[Bitwise.scala 103:21] + node _T_1262 = and(_T_1261, _T_1260) @[Bitwise.scala 103:31] + node _T_1263 = bits(_T_1258, 3, 0) @[Bitwise.scala 103:46] + node _T_1264 = shl(_T_1263, 4) @[Bitwise.scala 103:65] + node _T_1265 = not(_T_1260) @[Bitwise.scala 103:77] + node _T_1266 = and(_T_1264, _T_1265) @[Bitwise.scala 103:75] + node _T_1267 = or(_T_1262, _T_1266) @[Bitwise.scala 103:39] + node _T_1268 = bits(_T_1260, 5, 0) @[Bitwise.scala 102:28] + node _T_1269 = shl(_T_1268, 2) @[Bitwise.scala 102:47] + node _T_1270 = xor(_T_1260, _T_1269) @[Bitwise.scala 102:21] + node _T_1271 = shr(_T_1267, 2) @[Bitwise.scala 103:21] + node _T_1272 = and(_T_1271, _T_1270) @[Bitwise.scala 103:31] + node _T_1273 = bits(_T_1267, 5, 0) @[Bitwise.scala 103:46] + node _T_1274 = shl(_T_1273, 2) @[Bitwise.scala 103:65] + node _T_1275 = not(_T_1270) @[Bitwise.scala 103:77] + node _T_1276 = and(_T_1274, _T_1275) @[Bitwise.scala 103:75] + node _T_1277 = or(_T_1272, _T_1276) @[Bitwise.scala 103:39] + node _T_1278 = bits(_T_1270, 6, 0) @[Bitwise.scala 102:28] + node _T_1279 = shl(_T_1278, 1) @[Bitwise.scala 102:47] + node _T_1280 = xor(_T_1270, _T_1279) @[Bitwise.scala 102:21] + node _T_1281 = shr(_T_1277, 1) @[Bitwise.scala 103:21] + node _T_1282 = and(_T_1281, _T_1280) @[Bitwise.scala 103:31] + node _T_1283 = bits(_T_1277, 6, 0) @[Bitwise.scala 103:46] + node _T_1284 = shl(_T_1283, 1) @[Bitwise.scala 103:65] + node _T_1285 = not(_T_1280) @[Bitwise.scala 103:77] + node _T_1286 = and(_T_1284, _T_1285) @[Bitwise.scala 103:75] + node _T_1287 = or(_T_1282, _T_1286) @[Bitwise.scala 103:39] + node _T_1288 = bits(store_byteen_ext_m, 7, 7) @[lsu_dccm_ctl.scala 260:139] + node _T_1289 = bits(_T_1288, 0, 0) @[lsu_dccm_ctl.scala 260:145] + node _T_1290 = bits(store_data_hi_m, 31, 24) @[lsu_dccm_ctl.scala 260:167] + node _T_1291 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_hi) @[lsu_dccm_ctl.scala 260:211] + node _T_1292 = bits(_T_1291, 0, 0) @[lsu_dccm_ctl.scala 260:237] + node _T_1293 = bits(io.stbuf_data_any, 31, 24) @[lsu_dccm_ctl.scala 260:262] + node _T_1294 = bits(io.sec_data_hi_m, 31, 24) @[lsu_dccm_ctl.scala 260:292] + node _T_1295 = mux(_T_1292, _T_1293, _T_1294) @[lsu_dccm_ctl.scala 260:185] + node _T_1296 = mux(_T_1289, _T_1290, _T_1295) @[lsu_dccm_ctl.scala 260:120] + node _T_1297 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1298 = xor(UInt<8>("h0ff"), _T_1297) @[Bitwise.scala 102:21] + node _T_1299 = shr(_T_1296, 4) @[Bitwise.scala 103:21] + node _T_1300 = and(_T_1299, _T_1298) @[Bitwise.scala 103:31] + node _T_1301 = bits(_T_1296, 3, 0) @[Bitwise.scala 103:46] + node _T_1302 = shl(_T_1301, 4) @[Bitwise.scala 103:65] + node _T_1303 = not(_T_1298) @[Bitwise.scala 103:77] + node _T_1304 = and(_T_1302, _T_1303) @[Bitwise.scala 103:75] + node _T_1305 = or(_T_1300, _T_1304) @[Bitwise.scala 103:39] + node _T_1306 = bits(_T_1298, 5, 0) @[Bitwise.scala 102:28] + node _T_1307 = shl(_T_1306, 2) @[Bitwise.scala 102:47] + node _T_1308 = xor(_T_1298, _T_1307) @[Bitwise.scala 102:21] + node _T_1309 = shr(_T_1305, 2) @[Bitwise.scala 103:21] + node _T_1310 = and(_T_1309, _T_1308) @[Bitwise.scala 103:31] + node _T_1311 = bits(_T_1305, 5, 0) @[Bitwise.scala 103:46] + node _T_1312 = shl(_T_1311, 2) @[Bitwise.scala 103:65] + node _T_1313 = not(_T_1308) @[Bitwise.scala 103:77] + node _T_1314 = and(_T_1312, _T_1313) @[Bitwise.scala 103:75] + node _T_1315 = or(_T_1310, _T_1314) @[Bitwise.scala 103:39] + node _T_1316 = bits(_T_1308, 6, 0) @[Bitwise.scala 102:28] + node _T_1317 = shl(_T_1316, 1) @[Bitwise.scala 102:47] + node _T_1318 = xor(_T_1308, _T_1317) @[Bitwise.scala 102:21] + node _T_1319 = shr(_T_1315, 1) @[Bitwise.scala 103:21] + node _T_1320 = and(_T_1319, _T_1318) @[Bitwise.scala 103:31] + node _T_1321 = bits(_T_1315, 6, 0) @[Bitwise.scala 103:46] + node _T_1322 = shl(_T_1321, 1) @[Bitwise.scala 103:65] + node _T_1323 = not(_T_1318) @[Bitwise.scala 103:77] + node _T_1324 = and(_T_1322, _T_1323) @[Bitwise.scala 103:75] + node _T_1325 = or(_T_1320, _T_1324) @[Bitwise.scala 103:39] + wire _T_1326 : UInt<8>[4] @[lsu_dccm_ctl.scala 260:104] + _T_1326[0] <= _T_1211 @[lsu_dccm_ctl.scala 260:104] + _T_1326[1] <= _T_1249 @[lsu_dccm_ctl.scala 260:104] + _T_1326[2] <= _T_1287 @[lsu_dccm_ctl.scala 260:104] + _T_1326[3] <= _T_1325 @[lsu_dccm_ctl.scala 260:104] + node _T_1327 = cat(_T_1326[2], _T_1326[3]) @[Cat.scala 29:58] + node _T_1328 = cat(_T_1326[0], _T_1326[1]) @[Cat.scala 29:58] + node _T_1329 = cat(_T_1328, _T_1327) @[Cat.scala 29:58] + node _T_1330 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47] + node _T_1331 = xor(UInt<32>("h0ffffffff"), _T_1330) @[Bitwise.scala 102:21] + node _T_1332 = shr(_T_1329, 16) @[Bitwise.scala 103:21] + node _T_1333 = and(_T_1332, _T_1331) @[Bitwise.scala 103:31] + node _T_1334 = bits(_T_1329, 15, 0) @[Bitwise.scala 103:46] + node _T_1335 = shl(_T_1334, 16) @[Bitwise.scala 103:65] + node _T_1336 = not(_T_1331) @[Bitwise.scala 103:77] + node _T_1337 = and(_T_1335, _T_1336) @[Bitwise.scala 103:75] + node _T_1338 = or(_T_1333, _T_1337) @[Bitwise.scala 103:39] + node _T_1339 = bits(_T_1331, 23, 0) @[Bitwise.scala 102:28] + node _T_1340 = shl(_T_1339, 8) @[Bitwise.scala 102:47] + node _T_1341 = xor(_T_1331, _T_1340) @[Bitwise.scala 102:21] + node _T_1342 = shr(_T_1338, 8) @[Bitwise.scala 103:21] + node _T_1343 = and(_T_1342, _T_1341) @[Bitwise.scala 103:31] + node _T_1344 = bits(_T_1338, 23, 0) @[Bitwise.scala 103:46] + node _T_1345 = shl(_T_1344, 8) @[Bitwise.scala 103:65] + node _T_1346 = not(_T_1341) @[Bitwise.scala 103:77] + node _T_1347 = and(_T_1345, _T_1346) @[Bitwise.scala 103:75] + node _T_1348 = or(_T_1343, _T_1347) @[Bitwise.scala 103:39] + node _T_1349 = bits(_T_1341, 27, 0) @[Bitwise.scala 102:28] + node _T_1350 = shl(_T_1349, 4) @[Bitwise.scala 102:47] + node _T_1351 = xor(_T_1341, _T_1350) @[Bitwise.scala 102:21] + node _T_1352 = shr(_T_1348, 4) @[Bitwise.scala 103:21] + node _T_1353 = and(_T_1352, _T_1351) @[Bitwise.scala 103:31] + node _T_1354 = bits(_T_1348, 27, 0) @[Bitwise.scala 103:46] + node _T_1355 = shl(_T_1354, 4) @[Bitwise.scala 103:65] + node _T_1356 = not(_T_1351) @[Bitwise.scala 103:77] + node _T_1357 = and(_T_1355, _T_1356) @[Bitwise.scala 103:75] + node _T_1358 = or(_T_1353, _T_1357) @[Bitwise.scala 103:39] + node _T_1359 = bits(_T_1351, 29, 0) @[Bitwise.scala 102:28] + node _T_1360 = shl(_T_1359, 2) @[Bitwise.scala 102:47] + node _T_1361 = xor(_T_1351, _T_1360) @[Bitwise.scala 102:21] + node _T_1362 = shr(_T_1358, 2) @[Bitwise.scala 103:21] + node _T_1363 = and(_T_1362, _T_1361) @[Bitwise.scala 103:31] + node _T_1364 = bits(_T_1358, 29, 0) @[Bitwise.scala 103:46] + node _T_1365 = shl(_T_1364, 2) @[Bitwise.scala 103:65] + node _T_1366 = not(_T_1361) @[Bitwise.scala 103:77] + node _T_1367 = and(_T_1365, _T_1366) @[Bitwise.scala 103:75] + node _T_1368 = or(_T_1363, _T_1367) @[Bitwise.scala 103:39] + node _T_1369 = bits(_T_1361, 30, 0) @[Bitwise.scala 102:28] + node _T_1370 = shl(_T_1369, 1) @[Bitwise.scala 102:47] + node _T_1371 = xor(_T_1361, _T_1370) @[Bitwise.scala 102:21] + node _T_1372 = shr(_T_1368, 1) @[Bitwise.scala 103:21] + node _T_1373 = and(_T_1372, _T_1371) @[Bitwise.scala 103:31] + node _T_1374 = bits(_T_1368, 30, 0) @[Bitwise.scala 103:46] + node _T_1375 = shl(_T_1374, 1) @[Bitwise.scala 103:65] + node _T_1376 = not(_T_1371) @[Bitwise.scala 103:77] + node _T_1377 = and(_T_1375, _T_1376) @[Bitwise.scala 103:75] + node _T_1378 = or(_T_1373, _T_1377) @[Bitwise.scala 103:39] + reg _T_1379 : UInt, io.lsu_store_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 260:72] + _T_1379 <= _T_1378 @[lsu_dccm_ctl.scala 260:72] + io.store_data_hi_r <= _T_1379 @[lsu_dccm_ctl.scala 260:29] + node _T_1380 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 261:105] + node _T_1381 = bits(store_byteen_ext_r, 0, 0) @[lsu_dccm_ctl.scala 261:150] + node _T_1382 = eq(_T_1381, UInt<1>("h00")) @[lsu_dccm_ctl.scala 261:131] + node _T_1383 = and(_T_1380, _T_1382) @[lsu_dccm_ctl.scala 261:129] + node _T_1384 = bits(_T_1383, 0, 0) @[lsu_dccm_ctl.scala 261:155] + node _T_1385 = bits(io.stbuf_data_any, 7, 0) @[lsu_dccm_ctl.scala 261:179] + node _T_1386 = bits(io.store_data_lo_r, 7, 0) @[lsu_dccm_ctl.scala 261:211] + node _T_1387 = mux(_T_1384, _T_1385, _T_1386) @[lsu_dccm_ctl.scala 261:79] + node _T_1388 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1389 = xor(UInt<8>("h0ff"), _T_1388) @[Bitwise.scala 102:21] + node _T_1390 = shr(_T_1387, 4) @[Bitwise.scala 103:21] + node _T_1391 = and(_T_1390, _T_1389) @[Bitwise.scala 103:31] + node _T_1392 = bits(_T_1387, 3, 0) @[Bitwise.scala 103:46] + node _T_1393 = shl(_T_1392, 4) @[Bitwise.scala 103:65] + node _T_1394 = not(_T_1389) @[Bitwise.scala 103:77] + node _T_1395 = and(_T_1393, _T_1394) @[Bitwise.scala 103:75] + node _T_1396 = or(_T_1391, _T_1395) @[Bitwise.scala 103:39] + node _T_1397 = bits(_T_1389, 5, 0) @[Bitwise.scala 102:28] + node _T_1398 = shl(_T_1397, 2) @[Bitwise.scala 102:47] + node _T_1399 = xor(_T_1389, _T_1398) @[Bitwise.scala 102:21] + node _T_1400 = shr(_T_1396, 2) @[Bitwise.scala 103:21] + node _T_1401 = and(_T_1400, _T_1399) @[Bitwise.scala 103:31] + node _T_1402 = bits(_T_1396, 5, 0) @[Bitwise.scala 103:46] + node _T_1403 = shl(_T_1402, 2) @[Bitwise.scala 103:65] + node _T_1404 = not(_T_1399) @[Bitwise.scala 103:77] + node _T_1405 = and(_T_1403, _T_1404) @[Bitwise.scala 103:75] + node _T_1406 = or(_T_1401, _T_1405) @[Bitwise.scala 103:39] + node _T_1407 = bits(_T_1399, 6, 0) @[Bitwise.scala 102:28] + node _T_1408 = shl(_T_1407, 1) @[Bitwise.scala 102:47] + node _T_1409 = xor(_T_1399, _T_1408) @[Bitwise.scala 102:21] + node _T_1410 = shr(_T_1406, 1) @[Bitwise.scala 103:21] + node _T_1411 = and(_T_1410, _T_1409) @[Bitwise.scala 103:31] + node _T_1412 = bits(_T_1406, 6, 0) @[Bitwise.scala 103:46] + node _T_1413 = shl(_T_1412, 1) @[Bitwise.scala 103:65] + node _T_1414 = not(_T_1409) @[Bitwise.scala 103:77] + node _T_1415 = and(_T_1413, _T_1414) @[Bitwise.scala 103:75] + node _T_1416 = or(_T_1411, _T_1415) @[Bitwise.scala 103:39] + node _T_1417 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 261:105] + node _T_1418 = bits(store_byteen_ext_r, 1, 1) @[lsu_dccm_ctl.scala 261:150] + node _T_1419 = eq(_T_1418, UInt<1>("h00")) @[lsu_dccm_ctl.scala 261:131] + node _T_1420 = and(_T_1417, _T_1419) @[lsu_dccm_ctl.scala 261:129] + node _T_1421 = bits(_T_1420, 0, 0) @[lsu_dccm_ctl.scala 261:155] + node _T_1422 = bits(io.stbuf_data_any, 15, 8) @[lsu_dccm_ctl.scala 261:179] + node _T_1423 = bits(io.store_data_lo_r, 15, 8) @[lsu_dccm_ctl.scala 261:211] + node _T_1424 = mux(_T_1421, _T_1422, _T_1423) @[lsu_dccm_ctl.scala 261:79] + node _T_1425 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1426 = xor(UInt<8>("h0ff"), _T_1425) @[Bitwise.scala 102:21] + node _T_1427 = shr(_T_1424, 4) @[Bitwise.scala 103:21] + node _T_1428 = and(_T_1427, _T_1426) @[Bitwise.scala 103:31] + node _T_1429 = bits(_T_1424, 3, 0) @[Bitwise.scala 103:46] + node _T_1430 = shl(_T_1429, 4) @[Bitwise.scala 103:65] + node _T_1431 = not(_T_1426) @[Bitwise.scala 103:77] + node _T_1432 = and(_T_1430, _T_1431) @[Bitwise.scala 103:75] + node _T_1433 = or(_T_1428, _T_1432) @[Bitwise.scala 103:39] + node _T_1434 = bits(_T_1426, 5, 0) @[Bitwise.scala 102:28] + node _T_1435 = shl(_T_1434, 2) @[Bitwise.scala 102:47] + node _T_1436 = xor(_T_1426, _T_1435) @[Bitwise.scala 102:21] + node _T_1437 = shr(_T_1433, 2) @[Bitwise.scala 103:21] + node _T_1438 = and(_T_1437, _T_1436) @[Bitwise.scala 103:31] + node _T_1439 = bits(_T_1433, 5, 0) @[Bitwise.scala 103:46] + node _T_1440 = shl(_T_1439, 2) @[Bitwise.scala 103:65] + node _T_1441 = not(_T_1436) @[Bitwise.scala 103:77] + node _T_1442 = and(_T_1440, _T_1441) @[Bitwise.scala 103:75] + node _T_1443 = or(_T_1438, _T_1442) @[Bitwise.scala 103:39] + node _T_1444 = bits(_T_1436, 6, 0) @[Bitwise.scala 102:28] + node _T_1445 = shl(_T_1444, 1) @[Bitwise.scala 102:47] + node _T_1446 = xor(_T_1436, _T_1445) @[Bitwise.scala 102:21] + node _T_1447 = shr(_T_1443, 1) @[Bitwise.scala 103:21] + node _T_1448 = and(_T_1447, _T_1446) @[Bitwise.scala 103:31] + node _T_1449 = bits(_T_1443, 6, 0) @[Bitwise.scala 103:46] + node _T_1450 = shl(_T_1449, 1) @[Bitwise.scala 103:65] + node _T_1451 = not(_T_1446) @[Bitwise.scala 103:77] + node _T_1452 = and(_T_1450, _T_1451) @[Bitwise.scala 103:75] + node _T_1453 = or(_T_1448, _T_1452) @[Bitwise.scala 103:39] + node _T_1454 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 261:105] + node _T_1455 = bits(store_byteen_ext_r, 2, 2) @[lsu_dccm_ctl.scala 261:150] + node _T_1456 = eq(_T_1455, UInt<1>("h00")) @[lsu_dccm_ctl.scala 261:131] + node _T_1457 = and(_T_1454, _T_1456) @[lsu_dccm_ctl.scala 261:129] + node _T_1458 = bits(_T_1457, 0, 0) @[lsu_dccm_ctl.scala 261:155] + node _T_1459 = bits(io.stbuf_data_any, 23, 16) @[lsu_dccm_ctl.scala 261:179] + node _T_1460 = bits(io.store_data_lo_r, 23, 16) @[lsu_dccm_ctl.scala 261:211] + node _T_1461 = mux(_T_1458, _T_1459, _T_1460) @[lsu_dccm_ctl.scala 261:79] + node _T_1462 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1463 = xor(UInt<8>("h0ff"), _T_1462) @[Bitwise.scala 102:21] + node _T_1464 = shr(_T_1461, 4) @[Bitwise.scala 103:21] + node _T_1465 = and(_T_1464, _T_1463) @[Bitwise.scala 103:31] + node _T_1466 = bits(_T_1461, 3, 0) @[Bitwise.scala 103:46] + node _T_1467 = shl(_T_1466, 4) @[Bitwise.scala 103:65] + node _T_1468 = not(_T_1463) @[Bitwise.scala 103:77] + node _T_1469 = and(_T_1467, _T_1468) @[Bitwise.scala 103:75] + node _T_1470 = or(_T_1465, _T_1469) @[Bitwise.scala 103:39] + node _T_1471 = bits(_T_1463, 5, 0) @[Bitwise.scala 102:28] + node _T_1472 = shl(_T_1471, 2) @[Bitwise.scala 102:47] + node _T_1473 = xor(_T_1463, _T_1472) @[Bitwise.scala 102:21] + node _T_1474 = shr(_T_1470, 2) @[Bitwise.scala 103:21] + node _T_1475 = and(_T_1474, _T_1473) @[Bitwise.scala 103:31] + node _T_1476 = bits(_T_1470, 5, 0) @[Bitwise.scala 103:46] + node _T_1477 = shl(_T_1476, 2) @[Bitwise.scala 103:65] + node _T_1478 = not(_T_1473) @[Bitwise.scala 103:77] + node _T_1479 = and(_T_1477, _T_1478) @[Bitwise.scala 103:75] + node _T_1480 = or(_T_1475, _T_1479) @[Bitwise.scala 103:39] + node _T_1481 = bits(_T_1473, 6, 0) @[Bitwise.scala 102:28] + node _T_1482 = shl(_T_1481, 1) @[Bitwise.scala 102:47] + node _T_1483 = xor(_T_1473, _T_1482) @[Bitwise.scala 102:21] + node _T_1484 = shr(_T_1480, 1) @[Bitwise.scala 103:21] + node _T_1485 = and(_T_1484, _T_1483) @[Bitwise.scala 103:31] + node _T_1486 = bits(_T_1480, 6, 0) @[Bitwise.scala 103:46] + node _T_1487 = shl(_T_1486, 1) @[Bitwise.scala 103:65] + node _T_1488 = not(_T_1483) @[Bitwise.scala 103:77] + node _T_1489 = and(_T_1487, _T_1488) @[Bitwise.scala 103:75] + node _T_1490 = or(_T_1485, _T_1489) @[Bitwise.scala 103:39] + node _T_1491 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 261:105] + node _T_1492 = bits(store_byteen_ext_r, 3, 3) @[lsu_dccm_ctl.scala 261:150] + node _T_1493 = eq(_T_1492, UInt<1>("h00")) @[lsu_dccm_ctl.scala 261:131] + node _T_1494 = and(_T_1491, _T_1493) @[lsu_dccm_ctl.scala 261:129] + node _T_1495 = bits(_T_1494, 0, 0) @[lsu_dccm_ctl.scala 261:155] + node _T_1496 = bits(io.stbuf_data_any, 31, 24) @[lsu_dccm_ctl.scala 261:179] + node _T_1497 = bits(io.store_data_lo_r, 31, 24) @[lsu_dccm_ctl.scala 261:211] + node _T_1498 = mux(_T_1495, _T_1496, _T_1497) @[lsu_dccm_ctl.scala 261:79] + node _T_1499 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1500 = xor(UInt<8>("h0ff"), _T_1499) @[Bitwise.scala 102:21] + node _T_1501 = shr(_T_1498, 4) @[Bitwise.scala 103:21] + node _T_1502 = and(_T_1501, _T_1500) @[Bitwise.scala 103:31] + node _T_1503 = bits(_T_1498, 3, 0) @[Bitwise.scala 103:46] + node _T_1504 = shl(_T_1503, 4) @[Bitwise.scala 103:65] + node _T_1505 = not(_T_1500) @[Bitwise.scala 103:77] + node _T_1506 = and(_T_1504, _T_1505) @[Bitwise.scala 103:75] + node _T_1507 = or(_T_1502, _T_1506) @[Bitwise.scala 103:39] + node _T_1508 = bits(_T_1500, 5, 0) @[Bitwise.scala 102:28] + node _T_1509 = shl(_T_1508, 2) @[Bitwise.scala 102:47] + node _T_1510 = xor(_T_1500, _T_1509) @[Bitwise.scala 102:21] + node _T_1511 = shr(_T_1507, 2) @[Bitwise.scala 103:21] + node _T_1512 = and(_T_1511, _T_1510) @[Bitwise.scala 103:31] + node _T_1513 = bits(_T_1507, 5, 0) @[Bitwise.scala 103:46] + node _T_1514 = shl(_T_1513, 2) @[Bitwise.scala 103:65] + node _T_1515 = not(_T_1510) @[Bitwise.scala 103:77] + node _T_1516 = and(_T_1514, _T_1515) @[Bitwise.scala 103:75] + node _T_1517 = or(_T_1512, _T_1516) @[Bitwise.scala 103:39] + node _T_1518 = bits(_T_1510, 6, 0) @[Bitwise.scala 102:28] + node _T_1519 = shl(_T_1518, 1) @[Bitwise.scala 102:47] + node _T_1520 = xor(_T_1510, _T_1519) @[Bitwise.scala 102:21] + node _T_1521 = shr(_T_1517, 1) @[Bitwise.scala 103:21] + node _T_1522 = and(_T_1521, _T_1520) @[Bitwise.scala 103:31] + node _T_1523 = bits(_T_1517, 6, 0) @[Bitwise.scala 103:46] + node _T_1524 = shl(_T_1523, 1) @[Bitwise.scala 103:65] + node _T_1525 = not(_T_1520) @[Bitwise.scala 103:77] + node _T_1526 = and(_T_1524, _T_1525) @[Bitwise.scala 103:75] + node _T_1527 = or(_T_1522, _T_1526) @[Bitwise.scala 103:39] + wire _T_1528 : UInt<8>[4] @[lsu_dccm_ctl.scala 261:63] + _T_1528[0] <= _T_1416 @[lsu_dccm_ctl.scala 261:63] + _T_1528[1] <= _T_1453 @[lsu_dccm_ctl.scala 261:63] + _T_1528[2] <= _T_1490 @[lsu_dccm_ctl.scala 261:63] + _T_1528[3] <= _T_1527 @[lsu_dccm_ctl.scala 261:63] + node _T_1529 = cat(_T_1528[2], _T_1528[3]) @[Cat.scala 29:58] + node _T_1530 = cat(_T_1528[0], _T_1528[1]) @[Cat.scala 29:58] + node _T_1531 = cat(_T_1530, _T_1529) @[Cat.scala 29:58] + node _T_1532 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47] + node _T_1533 = xor(UInt<32>("h0ffffffff"), _T_1532) @[Bitwise.scala 102:21] + node _T_1534 = shr(_T_1531, 16) @[Bitwise.scala 103:21] + node _T_1535 = and(_T_1534, _T_1533) @[Bitwise.scala 103:31] + node _T_1536 = bits(_T_1531, 15, 0) @[Bitwise.scala 103:46] + node _T_1537 = shl(_T_1536, 16) @[Bitwise.scala 103:65] + node _T_1538 = not(_T_1533) @[Bitwise.scala 103:77] + node _T_1539 = and(_T_1537, _T_1538) @[Bitwise.scala 103:75] + node _T_1540 = or(_T_1535, _T_1539) @[Bitwise.scala 103:39] + node _T_1541 = bits(_T_1533, 23, 0) @[Bitwise.scala 102:28] + node _T_1542 = shl(_T_1541, 8) @[Bitwise.scala 102:47] + node _T_1543 = xor(_T_1533, _T_1542) @[Bitwise.scala 102:21] + node _T_1544 = shr(_T_1540, 8) @[Bitwise.scala 103:21] + node _T_1545 = and(_T_1544, _T_1543) @[Bitwise.scala 103:31] + node _T_1546 = bits(_T_1540, 23, 0) @[Bitwise.scala 103:46] + node _T_1547 = shl(_T_1546, 8) @[Bitwise.scala 103:65] + node _T_1548 = not(_T_1543) @[Bitwise.scala 103:77] + node _T_1549 = and(_T_1547, _T_1548) @[Bitwise.scala 103:75] + node _T_1550 = or(_T_1545, _T_1549) @[Bitwise.scala 103:39] + node _T_1551 = bits(_T_1543, 27, 0) @[Bitwise.scala 102:28] + node _T_1552 = shl(_T_1551, 4) @[Bitwise.scala 102:47] + node _T_1553 = xor(_T_1543, _T_1552) @[Bitwise.scala 102:21] + node _T_1554 = shr(_T_1550, 4) @[Bitwise.scala 103:21] + node _T_1555 = and(_T_1554, _T_1553) @[Bitwise.scala 103:31] + node _T_1556 = bits(_T_1550, 27, 0) @[Bitwise.scala 103:46] + node _T_1557 = shl(_T_1556, 4) @[Bitwise.scala 103:65] + node _T_1558 = not(_T_1553) @[Bitwise.scala 103:77] + node _T_1559 = and(_T_1557, _T_1558) @[Bitwise.scala 103:75] + node _T_1560 = or(_T_1555, _T_1559) @[Bitwise.scala 103:39] + node _T_1561 = bits(_T_1553, 29, 0) @[Bitwise.scala 102:28] + node _T_1562 = shl(_T_1561, 2) @[Bitwise.scala 102:47] + node _T_1563 = xor(_T_1553, _T_1562) @[Bitwise.scala 102:21] + node _T_1564 = shr(_T_1560, 2) @[Bitwise.scala 103:21] + node _T_1565 = and(_T_1564, _T_1563) @[Bitwise.scala 103:31] + node _T_1566 = bits(_T_1560, 29, 0) @[Bitwise.scala 103:46] + node _T_1567 = shl(_T_1566, 2) @[Bitwise.scala 103:65] + node _T_1568 = not(_T_1563) @[Bitwise.scala 103:77] + node _T_1569 = and(_T_1567, _T_1568) @[Bitwise.scala 103:75] + node _T_1570 = or(_T_1565, _T_1569) @[Bitwise.scala 103:39] + node _T_1571 = bits(_T_1563, 30, 0) @[Bitwise.scala 102:28] + node _T_1572 = shl(_T_1571, 1) @[Bitwise.scala 102:47] + node _T_1573 = xor(_T_1563, _T_1572) @[Bitwise.scala 102:21] + node _T_1574 = shr(_T_1570, 1) @[Bitwise.scala 103:21] + node _T_1575 = and(_T_1574, _T_1573) @[Bitwise.scala 103:31] + node _T_1576 = bits(_T_1570, 30, 0) @[Bitwise.scala 103:46] + node _T_1577 = shl(_T_1576, 1) @[Bitwise.scala 103:65] + node _T_1578 = not(_T_1573) @[Bitwise.scala 103:77] + node _T_1579 = and(_T_1577, _T_1578) @[Bitwise.scala 103:75] + node _T_1580 = or(_T_1575, _T_1579) @[Bitwise.scala 103:39] + io.store_datafn_lo_r <= _T_1580 @[lsu_dccm_ctl.scala 261:29] + node _T_1581 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_hi) @[lsu_dccm_ctl.scala 262:105] + node _T_1582 = bits(store_byteen_ext_r, 4, 4) @[lsu_dccm_ctl.scala 262:150] + node _T_1583 = eq(_T_1582, UInt<1>("h00")) @[lsu_dccm_ctl.scala 262:131] + node _T_1584 = and(_T_1581, _T_1583) @[lsu_dccm_ctl.scala 262:129] + node _T_1585 = bits(_T_1584, 0, 0) @[lsu_dccm_ctl.scala 262:157] + node _T_1586 = bits(io.stbuf_data_any, 7, 0) @[lsu_dccm_ctl.scala 262:181] + node _T_1587 = bits(io.store_data_hi_r, 7, 0) @[lsu_dccm_ctl.scala 262:213] + node _T_1588 = mux(_T_1585, _T_1586, _T_1587) @[lsu_dccm_ctl.scala 262:79] + node _T_1589 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1590 = xor(UInt<8>("h0ff"), _T_1589) @[Bitwise.scala 102:21] + node _T_1591 = shr(_T_1588, 4) @[Bitwise.scala 103:21] + node _T_1592 = and(_T_1591, _T_1590) @[Bitwise.scala 103:31] + node _T_1593 = bits(_T_1588, 3, 0) @[Bitwise.scala 103:46] + node _T_1594 = shl(_T_1593, 4) @[Bitwise.scala 103:65] + node _T_1595 = not(_T_1590) @[Bitwise.scala 103:77] + node _T_1596 = and(_T_1594, _T_1595) @[Bitwise.scala 103:75] + node _T_1597 = or(_T_1592, _T_1596) @[Bitwise.scala 103:39] + node _T_1598 = bits(_T_1590, 5, 0) @[Bitwise.scala 102:28] + node _T_1599 = shl(_T_1598, 2) @[Bitwise.scala 102:47] + node _T_1600 = xor(_T_1590, _T_1599) @[Bitwise.scala 102:21] + node _T_1601 = shr(_T_1597, 2) @[Bitwise.scala 103:21] + node _T_1602 = and(_T_1601, _T_1600) @[Bitwise.scala 103:31] + node _T_1603 = bits(_T_1597, 5, 0) @[Bitwise.scala 103:46] + node _T_1604 = shl(_T_1603, 2) @[Bitwise.scala 103:65] + node _T_1605 = not(_T_1600) @[Bitwise.scala 103:77] + node _T_1606 = and(_T_1604, _T_1605) @[Bitwise.scala 103:75] + node _T_1607 = or(_T_1602, _T_1606) @[Bitwise.scala 103:39] + node _T_1608 = bits(_T_1600, 6, 0) @[Bitwise.scala 102:28] + node _T_1609 = shl(_T_1608, 1) @[Bitwise.scala 102:47] + node _T_1610 = xor(_T_1600, _T_1609) @[Bitwise.scala 102:21] + node _T_1611 = shr(_T_1607, 1) @[Bitwise.scala 103:21] + node _T_1612 = and(_T_1611, _T_1610) @[Bitwise.scala 103:31] + node _T_1613 = bits(_T_1607, 6, 0) @[Bitwise.scala 103:46] + node _T_1614 = shl(_T_1613, 1) @[Bitwise.scala 103:65] + node _T_1615 = not(_T_1610) @[Bitwise.scala 103:77] + node _T_1616 = and(_T_1614, _T_1615) @[Bitwise.scala 103:75] + node _T_1617 = or(_T_1612, _T_1616) @[Bitwise.scala 103:39] + node _T_1618 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_hi) @[lsu_dccm_ctl.scala 262:105] + node _T_1619 = bits(store_byteen_ext_r, 5, 5) @[lsu_dccm_ctl.scala 262:150] + node _T_1620 = eq(_T_1619, UInt<1>("h00")) @[lsu_dccm_ctl.scala 262:131] + node _T_1621 = and(_T_1618, _T_1620) @[lsu_dccm_ctl.scala 262:129] + node _T_1622 = bits(_T_1621, 0, 0) @[lsu_dccm_ctl.scala 262:157] + node _T_1623 = bits(io.stbuf_data_any, 15, 8) @[lsu_dccm_ctl.scala 262:181] + node _T_1624 = bits(io.store_data_hi_r, 15, 8) @[lsu_dccm_ctl.scala 262:213] + node _T_1625 = mux(_T_1622, _T_1623, _T_1624) @[lsu_dccm_ctl.scala 262:79] + node _T_1626 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1627 = xor(UInt<8>("h0ff"), _T_1626) @[Bitwise.scala 102:21] + node _T_1628 = shr(_T_1625, 4) @[Bitwise.scala 103:21] + node _T_1629 = and(_T_1628, _T_1627) @[Bitwise.scala 103:31] + node _T_1630 = bits(_T_1625, 3, 0) @[Bitwise.scala 103:46] + node _T_1631 = shl(_T_1630, 4) @[Bitwise.scala 103:65] + node _T_1632 = not(_T_1627) @[Bitwise.scala 103:77] + node _T_1633 = and(_T_1631, _T_1632) @[Bitwise.scala 103:75] + node _T_1634 = or(_T_1629, _T_1633) @[Bitwise.scala 103:39] + node _T_1635 = bits(_T_1627, 5, 0) @[Bitwise.scala 102:28] + node _T_1636 = shl(_T_1635, 2) @[Bitwise.scala 102:47] + node _T_1637 = xor(_T_1627, _T_1636) @[Bitwise.scala 102:21] + node _T_1638 = shr(_T_1634, 2) @[Bitwise.scala 103:21] + node _T_1639 = and(_T_1638, _T_1637) @[Bitwise.scala 103:31] + node _T_1640 = bits(_T_1634, 5, 0) @[Bitwise.scala 103:46] + node _T_1641 = shl(_T_1640, 2) @[Bitwise.scala 103:65] + node _T_1642 = not(_T_1637) @[Bitwise.scala 103:77] + node _T_1643 = and(_T_1641, _T_1642) @[Bitwise.scala 103:75] + node _T_1644 = or(_T_1639, _T_1643) @[Bitwise.scala 103:39] + node _T_1645 = bits(_T_1637, 6, 0) @[Bitwise.scala 102:28] + node _T_1646 = shl(_T_1645, 1) @[Bitwise.scala 102:47] + node _T_1647 = xor(_T_1637, _T_1646) @[Bitwise.scala 102:21] + node _T_1648 = shr(_T_1644, 1) @[Bitwise.scala 103:21] + node _T_1649 = and(_T_1648, _T_1647) @[Bitwise.scala 103:31] + node _T_1650 = bits(_T_1644, 6, 0) @[Bitwise.scala 103:46] + node _T_1651 = shl(_T_1650, 1) @[Bitwise.scala 103:65] + node _T_1652 = not(_T_1647) @[Bitwise.scala 103:77] + node _T_1653 = and(_T_1651, _T_1652) @[Bitwise.scala 103:75] + node _T_1654 = or(_T_1649, _T_1653) @[Bitwise.scala 103:39] + node _T_1655 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_hi) @[lsu_dccm_ctl.scala 262:105] + node _T_1656 = bits(store_byteen_ext_r, 6, 6) @[lsu_dccm_ctl.scala 262:150] + node _T_1657 = eq(_T_1656, UInt<1>("h00")) @[lsu_dccm_ctl.scala 262:131] + node _T_1658 = and(_T_1655, _T_1657) @[lsu_dccm_ctl.scala 262:129] + node _T_1659 = bits(_T_1658, 0, 0) @[lsu_dccm_ctl.scala 262:157] + node _T_1660 = bits(io.stbuf_data_any, 23, 16) @[lsu_dccm_ctl.scala 262:181] + node _T_1661 = bits(io.store_data_hi_r, 23, 16) @[lsu_dccm_ctl.scala 262:213] + node _T_1662 = mux(_T_1659, _T_1660, _T_1661) @[lsu_dccm_ctl.scala 262:79] + node _T_1663 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1664 = xor(UInt<8>("h0ff"), _T_1663) @[Bitwise.scala 102:21] + node _T_1665 = shr(_T_1662, 4) @[Bitwise.scala 103:21] + node _T_1666 = and(_T_1665, _T_1664) @[Bitwise.scala 103:31] + node _T_1667 = bits(_T_1662, 3, 0) @[Bitwise.scala 103:46] + node _T_1668 = shl(_T_1667, 4) @[Bitwise.scala 103:65] + node _T_1669 = not(_T_1664) @[Bitwise.scala 103:77] + node _T_1670 = and(_T_1668, _T_1669) @[Bitwise.scala 103:75] + node _T_1671 = or(_T_1666, _T_1670) @[Bitwise.scala 103:39] + node _T_1672 = bits(_T_1664, 5, 0) @[Bitwise.scala 102:28] + node _T_1673 = shl(_T_1672, 2) @[Bitwise.scala 102:47] + node _T_1674 = xor(_T_1664, _T_1673) @[Bitwise.scala 102:21] + node _T_1675 = shr(_T_1671, 2) @[Bitwise.scala 103:21] + node _T_1676 = and(_T_1675, _T_1674) @[Bitwise.scala 103:31] + node _T_1677 = bits(_T_1671, 5, 0) @[Bitwise.scala 103:46] + node _T_1678 = shl(_T_1677, 2) @[Bitwise.scala 103:65] + node _T_1679 = not(_T_1674) @[Bitwise.scala 103:77] + node _T_1680 = and(_T_1678, _T_1679) @[Bitwise.scala 103:75] + node _T_1681 = or(_T_1676, _T_1680) @[Bitwise.scala 103:39] + node _T_1682 = bits(_T_1674, 6, 0) @[Bitwise.scala 102:28] + node _T_1683 = shl(_T_1682, 1) @[Bitwise.scala 102:47] + node _T_1684 = xor(_T_1674, _T_1683) @[Bitwise.scala 102:21] + node _T_1685 = shr(_T_1681, 1) @[Bitwise.scala 103:21] + node _T_1686 = and(_T_1685, _T_1684) @[Bitwise.scala 103:31] + node _T_1687 = bits(_T_1681, 6, 0) @[Bitwise.scala 103:46] + node _T_1688 = shl(_T_1687, 1) @[Bitwise.scala 103:65] + node _T_1689 = not(_T_1684) @[Bitwise.scala 103:77] + node _T_1690 = and(_T_1688, _T_1689) @[Bitwise.scala 103:75] + node _T_1691 = or(_T_1686, _T_1690) @[Bitwise.scala 103:39] + node _T_1692 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_hi) @[lsu_dccm_ctl.scala 262:105] + node _T_1693 = bits(store_byteen_ext_r, 7, 7) @[lsu_dccm_ctl.scala 262:150] + node _T_1694 = eq(_T_1693, UInt<1>("h00")) @[lsu_dccm_ctl.scala 262:131] + node _T_1695 = and(_T_1692, _T_1694) @[lsu_dccm_ctl.scala 262:129] + node _T_1696 = bits(_T_1695, 0, 0) @[lsu_dccm_ctl.scala 262:157] + node _T_1697 = bits(io.stbuf_data_any, 31, 24) @[lsu_dccm_ctl.scala 262:181] + node _T_1698 = bits(io.store_data_hi_r, 31, 24) @[lsu_dccm_ctl.scala 262:213] + node _T_1699 = mux(_T_1696, _T_1697, _T_1698) @[lsu_dccm_ctl.scala 262:79] + node _T_1700 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1701 = xor(UInt<8>("h0ff"), _T_1700) @[Bitwise.scala 102:21] + node _T_1702 = shr(_T_1699, 4) @[Bitwise.scala 103:21] + node _T_1703 = and(_T_1702, _T_1701) @[Bitwise.scala 103:31] + node _T_1704 = bits(_T_1699, 3, 0) @[Bitwise.scala 103:46] + node _T_1705 = shl(_T_1704, 4) @[Bitwise.scala 103:65] + node _T_1706 = not(_T_1701) @[Bitwise.scala 103:77] + node _T_1707 = and(_T_1705, _T_1706) @[Bitwise.scala 103:75] + node _T_1708 = or(_T_1703, _T_1707) @[Bitwise.scala 103:39] + node _T_1709 = bits(_T_1701, 5, 0) @[Bitwise.scala 102:28] + node _T_1710 = shl(_T_1709, 2) @[Bitwise.scala 102:47] + node _T_1711 = xor(_T_1701, _T_1710) @[Bitwise.scala 102:21] + node _T_1712 = shr(_T_1708, 2) @[Bitwise.scala 103:21] + node _T_1713 = and(_T_1712, _T_1711) @[Bitwise.scala 103:31] + node _T_1714 = bits(_T_1708, 5, 0) @[Bitwise.scala 103:46] + node _T_1715 = shl(_T_1714, 2) @[Bitwise.scala 103:65] + node _T_1716 = not(_T_1711) @[Bitwise.scala 103:77] + node _T_1717 = and(_T_1715, _T_1716) @[Bitwise.scala 103:75] + node _T_1718 = or(_T_1713, _T_1717) @[Bitwise.scala 103:39] + node _T_1719 = bits(_T_1711, 6, 0) @[Bitwise.scala 102:28] + node _T_1720 = shl(_T_1719, 1) @[Bitwise.scala 102:47] + node _T_1721 = xor(_T_1711, _T_1720) @[Bitwise.scala 102:21] + node _T_1722 = shr(_T_1718, 1) @[Bitwise.scala 103:21] + node _T_1723 = and(_T_1722, _T_1721) @[Bitwise.scala 103:31] + node _T_1724 = bits(_T_1718, 6, 0) @[Bitwise.scala 103:46] + node _T_1725 = shl(_T_1724, 1) @[Bitwise.scala 103:65] + node _T_1726 = not(_T_1721) @[Bitwise.scala 103:77] + node _T_1727 = and(_T_1725, _T_1726) @[Bitwise.scala 103:75] + node _T_1728 = or(_T_1723, _T_1727) @[Bitwise.scala 103:39] + wire _T_1729 : UInt<8>[4] @[lsu_dccm_ctl.scala 262:63] + _T_1729[0] <= _T_1617 @[lsu_dccm_ctl.scala 262:63] + _T_1729[1] <= _T_1654 @[lsu_dccm_ctl.scala 262:63] + _T_1729[2] <= _T_1691 @[lsu_dccm_ctl.scala 262:63] + _T_1729[3] <= _T_1728 @[lsu_dccm_ctl.scala 262:63] + node _T_1730 = cat(_T_1729[2], _T_1729[3]) @[Cat.scala 29:58] + node _T_1731 = cat(_T_1729[0], _T_1729[1]) @[Cat.scala 29:58] + node _T_1732 = cat(_T_1731, _T_1730) @[Cat.scala 29:58] + node _T_1733 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47] + node _T_1734 = xor(UInt<32>("h0ffffffff"), _T_1733) @[Bitwise.scala 102:21] + node _T_1735 = shr(_T_1732, 16) @[Bitwise.scala 103:21] + node _T_1736 = and(_T_1735, _T_1734) @[Bitwise.scala 103:31] + node _T_1737 = bits(_T_1732, 15, 0) @[Bitwise.scala 103:46] + node _T_1738 = shl(_T_1737, 16) @[Bitwise.scala 103:65] + node _T_1739 = not(_T_1734) @[Bitwise.scala 103:77] + node _T_1740 = and(_T_1738, _T_1739) @[Bitwise.scala 103:75] + node _T_1741 = or(_T_1736, _T_1740) @[Bitwise.scala 103:39] + node _T_1742 = bits(_T_1734, 23, 0) @[Bitwise.scala 102:28] + node _T_1743 = shl(_T_1742, 8) @[Bitwise.scala 102:47] + node _T_1744 = xor(_T_1734, _T_1743) @[Bitwise.scala 102:21] + node _T_1745 = shr(_T_1741, 8) @[Bitwise.scala 103:21] + node _T_1746 = and(_T_1745, _T_1744) @[Bitwise.scala 103:31] + node _T_1747 = bits(_T_1741, 23, 0) @[Bitwise.scala 103:46] + node _T_1748 = shl(_T_1747, 8) @[Bitwise.scala 103:65] + node _T_1749 = not(_T_1744) @[Bitwise.scala 103:77] + node _T_1750 = and(_T_1748, _T_1749) @[Bitwise.scala 103:75] + node _T_1751 = or(_T_1746, _T_1750) @[Bitwise.scala 103:39] + node _T_1752 = bits(_T_1744, 27, 0) @[Bitwise.scala 102:28] + node _T_1753 = shl(_T_1752, 4) @[Bitwise.scala 102:47] + node _T_1754 = xor(_T_1744, _T_1753) @[Bitwise.scala 102:21] + node _T_1755 = shr(_T_1751, 4) @[Bitwise.scala 103:21] + node _T_1756 = and(_T_1755, _T_1754) @[Bitwise.scala 103:31] + node _T_1757 = bits(_T_1751, 27, 0) @[Bitwise.scala 103:46] + node _T_1758 = shl(_T_1757, 4) @[Bitwise.scala 103:65] + node _T_1759 = not(_T_1754) @[Bitwise.scala 103:77] + node _T_1760 = and(_T_1758, _T_1759) @[Bitwise.scala 103:75] + node _T_1761 = or(_T_1756, _T_1760) @[Bitwise.scala 103:39] + node _T_1762 = bits(_T_1754, 29, 0) @[Bitwise.scala 102:28] + node _T_1763 = shl(_T_1762, 2) @[Bitwise.scala 102:47] + node _T_1764 = xor(_T_1754, _T_1763) @[Bitwise.scala 102:21] + node _T_1765 = shr(_T_1761, 2) @[Bitwise.scala 103:21] + node _T_1766 = and(_T_1765, _T_1764) @[Bitwise.scala 103:31] + node _T_1767 = bits(_T_1761, 29, 0) @[Bitwise.scala 103:46] + node _T_1768 = shl(_T_1767, 2) @[Bitwise.scala 103:65] + node _T_1769 = not(_T_1764) @[Bitwise.scala 103:77] + node _T_1770 = and(_T_1768, _T_1769) @[Bitwise.scala 103:75] + node _T_1771 = or(_T_1766, _T_1770) @[Bitwise.scala 103:39] + node _T_1772 = bits(_T_1764, 30, 0) @[Bitwise.scala 102:28] + node _T_1773 = shl(_T_1772, 1) @[Bitwise.scala 102:47] + node _T_1774 = xor(_T_1764, _T_1773) @[Bitwise.scala 102:21] + node _T_1775 = shr(_T_1771, 1) @[Bitwise.scala 103:21] + node _T_1776 = and(_T_1775, _T_1774) @[Bitwise.scala 103:31] + node _T_1777 = bits(_T_1771, 30, 0) @[Bitwise.scala 103:46] + node _T_1778 = shl(_T_1777, 1) @[Bitwise.scala 103:65] + node _T_1779 = not(_T_1774) @[Bitwise.scala 103:77] + node _T_1780 = and(_T_1778, _T_1779) @[Bitwise.scala 103:75] + node _T_1781 = or(_T_1776, _T_1780) @[Bitwise.scala 103:39] + io.store_datafn_hi_r <= _T_1781 @[lsu_dccm_ctl.scala 262:29] + node _T_1782 = bits(io.store_data_hi_r, 31, 0) @[lsu_dccm_ctl.scala 263:55] + node _T_1783 = bits(io.store_data_lo_r, 31, 0) @[lsu_dccm_ctl.scala 263:80] + node _T_1784 = cat(_T_1782, _T_1783) @[Cat.scala 29:58] + node _T_1785 = bits(io.lsu_addr_r, 1, 0) @[lsu_dccm_ctl.scala 263:108] + node _T_1786 = mul(UInt<4>("h08"), _T_1785) @[lsu_dccm_ctl.scala 263:94] + node _T_1787 = dshr(_T_1784, _T_1786) @[lsu_dccm_ctl.scala 263:88] + node _T_1788 = bits(store_byteen_r, 0, 0) @[lsu_dccm_ctl.scala 263:174] + node _T_1789 = bits(_T_1788, 0, 0) @[Bitwise.scala 72:15] + node _T_1790 = mux(_T_1789, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1791 = bits(store_byteen_r, 1, 1) @[lsu_dccm_ctl.scala 263:174] + node _T_1792 = bits(_T_1791, 0, 0) @[Bitwise.scala 72:15] + node _T_1793 = mux(_T_1792, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1794 = bits(store_byteen_r, 2, 2) @[lsu_dccm_ctl.scala 263:174] + node _T_1795 = bits(_T_1794, 0, 0) @[Bitwise.scala 72:15] + node _T_1796 = mux(_T_1795, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1797 = bits(store_byteen_r, 3, 3) @[lsu_dccm_ctl.scala 263:174] + node _T_1798 = bits(_T_1797, 0, 0) @[Bitwise.scala 72:15] + node _T_1799 = mux(_T_1798, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + wire _T_1800 : UInt<8>[4] @[lsu_dccm_ctl.scala 263:148] + _T_1800[0] <= _T_1790 @[lsu_dccm_ctl.scala 263:148] + _T_1800[1] <= _T_1793 @[lsu_dccm_ctl.scala 263:148] + _T_1800[2] <= _T_1796 @[lsu_dccm_ctl.scala 263:148] + _T_1800[3] <= _T_1799 @[lsu_dccm_ctl.scala 263:148] + node _T_1801 = cat(_T_1800[2], _T_1800[3]) @[Cat.scala 29:58] + node _T_1802 = cat(_T_1800[0], _T_1800[1]) @[Cat.scala 29:58] + node _T_1803 = cat(_T_1802, _T_1801) @[Cat.scala 29:58] + node _T_1804 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47] + node _T_1805 = xor(UInt<32>("h0ffffffff"), _T_1804) @[Bitwise.scala 102:21] + node _T_1806 = shr(_T_1803, 16) @[Bitwise.scala 103:21] + node _T_1807 = and(_T_1806, _T_1805) @[Bitwise.scala 103:31] + node _T_1808 = bits(_T_1803, 15, 0) @[Bitwise.scala 103:46] + node _T_1809 = shl(_T_1808, 16) @[Bitwise.scala 103:65] + node _T_1810 = not(_T_1805) @[Bitwise.scala 103:77] + node _T_1811 = and(_T_1809, _T_1810) @[Bitwise.scala 103:75] + node _T_1812 = or(_T_1807, _T_1811) @[Bitwise.scala 103:39] + node _T_1813 = bits(_T_1805, 23, 0) @[Bitwise.scala 102:28] + node _T_1814 = shl(_T_1813, 8) @[Bitwise.scala 102:47] + node _T_1815 = xor(_T_1805, _T_1814) @[Bitwise.scala 102:21] + node _T_1816 = shr(_T_1812, 8) @[Bitwise.scala 103:21] + node _T_1817 = and(_T_1816, _T_1815) @[Bitwise.scala 103:31] + node _T_1818 = bits(_T_1812, 23, 0) @[Bitwise.scala 103:46] + node _T_1819 = shl(_T_1818, 8) @[Bitwise.scala 103:65] + node _T_1820 = not(_T_1815) @[Bitwise.scala 103:77] + node _T_1821 = and(_T_1819, _T_1820) @[Bitwise.scala 103:75] + node _T_1822 = or(_T_1817, _T_1821) @[Bitwise.scala 103:39] + node _T_1823 = bits(_T_1815, 27, 0) @[Bitwise.scala 102:28] + node _T_1824 = shl(_T_1823, 4) @[Bitwise.scala 102:47] + node _T_1825 = xor(_T_1815, _T_1824) @[Bitwise.scala 102:21] + node _T_1826 = shr(_T_1822, 4) @[Bitwise.scala 103:21] + node _T_1827 = and(_T_1826, _T_1825) @[Bitwise.scala 103:31] + node _T_1828 = bits(_T_1822, 27, 0) @[Bitwise.scala 103:46] + node _T_1829 = shl(_T_1828, 4) @[Bitwise.scala 103:65] + node _T_1830 = not(_T_1825) @[Bitwise.scala 103:77] + node _T_1831 = and(_T_1829, _T_1830) @[Bitwise.scala 103:75] + node _T_1832 = or(_T_1827, _T_1831) @[Bitwise.scala 103:39] + node _T_1833 = bits(_T_1825, 29, 0) @[Bitwise.scala 102:28] + node _T_1834 = shl(_T_1833, 2) @[Bitwise.scala 102:47] + node _T_1835 = xor(_T_1825, _T_1834) @[Bitwise.scala 102:21] + node _T_1836 = shr(_T_1832, 2) @[Bitwise.scala 103:21] + node _T_1837 = and(_T_1836, _T_1835) @[Bitwise.scala 103:31] + node _T_1838 = bits(_T_1832, 29, 0) @[Bitwise.scala 103:46] + node _T_1839 = shl(_T_1838, 2) @[Bitwise.scala 103:65] + node _T_1840 = not(_T_1835) @[Bitwise.scala 103:77] + node _T_1841 = and(_T_1839, _T_1840) @[Bitwise.scala 103:75] + node _T_1842 = or(_T_1837, _T_1841) @[Bitwise.scala 103:39] + node _T_1843 = bits(_T_1835, 30, 0) @[Bitwise.scala 102:28] + node _T_1844 = shl(_T_1843, 1) @[Bitwise.scala 102:47] + node _T_1845 = xor(_T_1835, _T_1844) @[Bitwise.scala 102:21] + node _T_1846 = shr(_T_1842, 1) @[Bitwise.scala 103:21] + node _T_1847 = and(_T_1846, _T_1845) @[Bitwise.scala 103:31] + node _T_1848 = bits(_T_1842, 30, 0) @[Bitwise.scala 103:46] + node _T_1849 = shl(_T_1848, 1) @[Bitwise.scala 103:65] + node _T_1850 = not(_T_1845) @[Bitwise.scala 103:77] + node _T_1851 = and(_T_1849, _T_1850) @[Bitwise.scala 103:75] + node _T_1852 = or(_T_1847, _T_1851) @[Bitwise.scala 103:39] + node _T_1853 = and(_T_1787, _T_1852) @[lsu_dccm_ctl.scala 263:115] + io.store_data_r <= _T_1853 @[lsu_dccm_ctl.scala 263:29] + node _T_1854 = bits(io.dccm.rd_data_lo, 31, 0) @[lsu_dccm_ctl.scala 265:48] + io.dccm_rdata_lo_m <= _T_1854 @[lsu_dccm_ctl.scala 265:27] + node _T_1855 = bits(io.dccm.rd_data_hi, 31, 0) @[lsu_dccm_ctl.scala 266:48] + io.dccm_rdata_hi_m <= _T_1855 @[lsu_dccm_ctl.scala 266:27] + node _T_1856 = bits(io.dccm.rd_data_lo, 38, 32) @[lsu_dccm_ctl.scala 267:48] + io.dccm_data_ecc_lo_m <= _T_1856 @[lsu_dccm_ctl.scala 267:27] + node _T_1857 = bits(io.dccm.rd_data_hi, 38, 32) @[lsu_dccm_ctl.scala 268:48] + io.dccm_data_ecc_hi_m <= _T_1857 @[lsu_dccm_ctl.scala 268:27] + node _T_1858 = and(io.lsu_pkt_r.valid, io.lsu_pkt_r.bits.store) @[lsu_dccm_ctl.scala 270:58] + node _T_1859 = and(_T_1858, io.addr_in_pic_r) @[lsu_dccm_ctl.scala 270:84] + node _T_1860 = and(_T_1859, io.lsu_commit_r) @[lsu_dccm_ctl.scala 270:103] + node _T_1861 = or(_T_1860, io.dma_pic_wen) @[lsu_dccm_ctl.scala 270:122] + io.lsu_pic.picm_wren <= _T_1861 @[lsu_dccm_ctl.scala 270:35] + node _T_1862 = and(io.lsu_pkt_d.valid, io.lsu_pkt_d.bits.load) @[lsu_dccm_ctl.scala 271:58] + node _T_1863 = and(_T_1862, io.addr_in_pic_d) @[lsu_dccm_ctl.scala 271:84] + io.lsu_pic.picm_rden <= _T_1863 @[lsu_dccm_ctl.scala 271:35] + node _T_1864 = and(io.lsu_pkt_d.valid, io.lsu_pkt_d.bits.store) @[lsu_dccm_ctl.scala 272:58] + node _T_1865 = and(_T_1864, io.addr_in_pic_d) @[lsu_dccm_ctl.scala 272:84] + io.lsu_pic.picm_mken <= _T_1865 @[lsu_dccm_ctl.scala 272:35] + node _T_1866 = mux(UInt<1>("h00"), UInt<17>("h01ffff"), UInt<17>("h00")) @[Bitwise.scala 72:12] + node _T_1867 = bits(io.lsu_addr_d, 14, 0) @[lsu_dccm_ctl.scala 273:103] + node _T_1868 = cat(_T_1866, _T_1867) @[Cat.scala 29:58] + node _T_1869 = or(UInt<32>("h0f00c0000"), _T_1868) @[lsu_dccm_ctl.scala 273:62] + io.lsu_pic.picm_rdaddr <= _T_1869 @[lsu_dccm_ctl.scala 273:35] + node _T_1870 = mux(UInt<1>("h00"), UInt<17>("h01ffff"), UInt<17>("h00")) @[Bitwise.scala 72:12] + node _T_1871 = bits(io.dma_pic_wen, 0, 0) @[lsu_dccm_ctl.scala 274:109] + node _T_1872 = bits(io.dma_dccm_ctl.dma_mem_addr, 14, 0) @[lsu_dccm_ctl.scala 274:144] + node _T_1873 = bits(io.lsu_addr_r, 14, 0) @[lsu_dccm_ctl.scala 274:172] + node _T_1874 = mux(_T_1871, _T_1872, _T_1873) @[lsu_dccm_ctl.scala 274:93] + node _T_1875 = cat(_T_1870, _T_1874) @[Cat.scala 29:58] + node _T_1876 = or(UInt<32>("h0f00c0000"), _T_1875) @[lsu_dccm_ctl.scala 274:62] + io.lsu_pic.picm_wraddr <= _T_1876 @[lsu_dccm_ctl.scala 274:35] + node _T_1877 = bits(picm_rd_data_m, 31, 0) @[lsu_dccm_ctl.scala 275:44] + io.picm_mask_data_m <= _T_1877 @[lsu_dccm_ctl.scala 275:27] + node _T_1878 = bits(io.dma_pic_wen, 0, 0) @[lsu_dccm_ctl.scala 276:57] + node _T_1879 = bits(io.dma_dccm_ctl.dma_mem_wdata, 31, 0) @[lsu_dccm_ctl.scala 276:93] + node _T_1880 = bits(io.store_datafn_lo_r, 31, 0) @[lsu_dccm_ctl.scala 276:120] + node _T_1881 = mux(_T_1878, _T_1879, _T_1880) @[lsu_dccm_ctl.scala 276:41] + io.lsu_pic.picm_wr_data <= _T_1881 @[lsu_dccm_ctl.scala 276:35] + reg _T_1882 : UInt, io.lsu_c2_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 279:61] + _T_1882 <= lsu_dccm_rden_d @[lsu_dccm_ctl.scala 279:61] + io.lsu_dccm_rden_m <= _T_1882 @[lsu_dccm_ctl.scala 279:24] + reg _T_1883 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 280:61] + _T_1883 <= io.lsu_dccm_rden_m @[lsu_dccm_ctl.scala 280:61] + io.lsu_dccm_rden_r <= _T_1883 @[lsu_dccm_ctl.scala 280:24] + + extmodule gated_latch_2 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_2 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_2 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_3 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_3 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_3 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_4 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_4 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_4 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_5 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_5 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_5 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_6 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_6 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_6 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_7 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_7 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_7 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_8 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_8 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_8 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_9 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_9 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_9 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module lsu_stbuf : + input clock : Clock + input reset : AsyncReset + output io : {flip lsu_c1_m_clk : Clock, flip lsu_c1_r_clk : Clock, flip lsu_stbuf_c1_clk : Clock, flip lsu_free_c2_clk : Clock, flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip store_stbuf_reqvld_r : UInt<1>, flip lsu_commit_r : UInt<1>, flip dec_lsu_valid_raw_d : UInt<1>, flip store_data_hi_r : UInt<32>, flip store_data_lo_r : UInt<32>, flip store_datafn_hi_r : UInt<32>, flip store_datafn_lo_r : UInt<32>, flip lsu_stbuf_commit_any : UInt<1>, flip lsu_addr_d : UInt<16>, flip lsu_addr_m : UInt<32>, flip lsu_addr_r : UInt<32>, flip end_addr_d : UInt<16>, flip end_addr_m : UInt<32>, flip end_addr_r : UInt<32>, flip addr_in_dccm_m : UInt<1>, flip addr_in_dccm_r : UInt<1>, flip lsu_cmpen_m : UInt<1>, flip scan_mode : UInt<1>, stbuf_reqvld_any : UInt<1>, stbuf_reqvld_flushed_any : UInt<1>, stbuf_addr_any : UInt<16>, stbuf_data_any : UInt<32>, lsu_stbuf_full_any : UInt<1>, lsu_stbuf_empty_any : UInt<1>, ldst_stbuf_reqvld_r : UInt<1>, stbuf_fwddata_hi_m : UInt<32>, stbuf_fwddata_lo_m : UInt<32>, stbuf_fwdbyteen_hi_m : UInt<4>, stbuf_fwdbyteen_lo_m : UInt<4>} + + io.stbuf_reqvld_any <= UInt<1>("h00") @[lsu_stbuf.scala 51:47] + io.stbuf_reqvld_flushed_any <= UInt<1>("h00") @[lsu_stbuf.scala 52:35] + io.stbuf_addr_any <= UInt<1>("h00") @[lsu_stbuf.scala 53:35] + io.stbuf_data_any <= UInt<1>("h00") @[lsu_stbuf.scala 54:35] + io.lsu_stbuf_full_any <= UInt<1>("h00") @[lsu_stbuf.scala 55:43] + io.lsu_stbuf_empty_any <= UInt<1>("h00") @[lsu_stbuf.scala 56:43] + io.ldst_stbuf_reqvld_r <= UInt<1>("h00") @[lsu_stbuf.scala 57:43] + io.stbuf_fwddata_hi_m <= UInt<1>("h00") @[lsu_stbuf.scala 58:43] + io.stbuf_fwddata_lo_m <= UInt<1>("h00") @[lsu_stbuf.scala 59:43] + io.stbuf_fwdbyteen_hi_m <= UInt<1>("h00") @[lsu_stbuf.scala 60:37] + io.stbuf_fwdbyteen_lo_m <= UInt<1>("h00") @[lsu_stbuf.scala 61:37] + wire stbuf_vld : UInt<4> + stbuf_vld <= UInt<1>("h00") + wire stbuf_wr_en : UInt<4> + stbuf_wr_en <= UInt<1>("h00") + wire stbuf_dma_kill_en : UInt<4> + stbuf_dma_kill_en <= UInt<1>("h00") + wire stbuf_dma_kill : UInt<4> + stbuf_dma_kill <= UInt<1>("h00") + wire stbuf_reset : UInt<4> + stbuf_reset <= UInt<1>("h00") + wire store_byteen_ext_r : UInt<8> + store_byteen_ext_r <= UInt<1>("h00") + wire stbuf_addr : UInt<16>[4] @[lsu_stbuf.scala 70:38] + stbuf_addr[0] <= UInt<1>("h00") @[lsu_stbuf.scala 71:14] + stbuf_addr[1] <= UInt<1>("h00") @[lsu_stbuf.scala 71:14] + stbuf_addr[2] <= UInt<1>("h00") @[lsu_stbuf.scala 71:14] + stbuf_addr[3] <= UInt<1>("h00") @[lsu_stbuf.scala 71:14] + wire stbuf_byteen : UInt<4>[4] @[lsu_stbuf.scala 72:38] + stbuf_byteen[0] <= UInt<1>("h00") @[lsu_stbuf.scala 73:16] + stbuf_byteen[1] <= UInt<1>("h00") @[lsu_stbuf.scala 73:16] + stbuf_byteen[2] <= UInt<1>("h00") @[lsu_stbuf.scala 73:16] + stbuf_byteen[3] <= UInt<1>("h00") @[lsu_stbuf.scala 73:16] + wire stbuf_data : UInt<32>[4] @[lsu_stbuf.scala 74:38] + stbuf_data[0] <= UInt<1>("h00") @[lsu_stbuf.scala 75:14] + stbuf_data[1] <= UInt<1>("h00") @[lsu_stbuf.scala 75:14] + stbuf_data[2] <= UInt<1>("h00") @[lsu_stbuf.scala 75:14] + stbuf_data[3] <= UInt<1>("h00") @[lsu_stbuf.scala 75:14] + wire stbuf_addrin : UInt<16>[4] @[lsu_stbuf.scala 76:38] + stbuf_addrin[0] <= UInt<1>("h00") @[lsu_stbuf.scala 77:16] + stbuf_addrin[1] <= UInt<1>("h00") @[lsu_stbuf.scala 77:16] + stbuf_addrin[2] <= UInt<1>("h00") @[lsu_stbuf.scala 77:16] + stbuf_addrin[3] <= UInt<1>("h00") @[lsu_stbuf.scala 77:16] + wire stbuf_datain : UInt<32>[4] @[lsu_stbuf.scala 78:38] + stbuf_datain[0] <= UInt<1>("h00") @[lsu_stbuf.scala 79:16] + stbuf_datain[1] <= UInt<1>("h00") @[lsu_stbuf.scala 79:16] + stbuf_datain[2] <= UInt<1>("h00") @[lsu_stbuf.scala 79:16] + stbuf_datain[3] <= UInt<1>("h00") @[lsu_stbuf.scala 79:16] + wire stbuf_byteenin : UInt<4>[4] @[lsu_stbuf.scala 80:38] + stbuf_byteenin[0] <= UInt<1>("h00") @[lsu_stbuf.scala 81:18] + stbuf_byteenin[1] <= UInt<1>("h00") @[lsu_stbuf.scala 81:18] + stbuf_byteenin[2] <= UInt<1>("h00") @[lsu_stbuf.scala 81:18] + stbuf_byteenin[3] <= UInt<1>("h00") @[lsu_stbuf.scala 81:18] + wire WrPtr : UInt<2> + WrPtr <= UInt<1>("h00") + wire RdPtr : UInt<2> + RdPtr <= UInt<1>("h00") + wire ldst_dual_m : UInt<1> + ldst_dual_m <= UInt<1>("h00") + wire ldst_dual_r : UInt<1> + ldst_dual_r <= UInt<1>("h00") + wire cmpaddr_hi_m : UInt<16> + cmpaddr_hi_m <= UInt<16>("h00") + wire stbuf_specvld_m : UInt<2> + stbuf_specvld_m <= UInt<2>("h00") + wire stbuf_specvld_r : UInt<2> + stbuf_specvld_r <= UInt<2>("h00") + wire cmpaddr_lo_m : UInt<16> + cmpaddr_lo_m <= UInt<16>("h00") + wire stbuf_fwdata_hi_pre_m : UInt<32> + stbuf_fwdata_hi_pre_m <= UInt<1>("h00") + wire stbuf_fwdata_lo_pre_m : UInt<32> + stbuf_fwdata_lo_pre_m <= UInt<1>("h00") + wire ld_byte_rhit_lo_lo : UInt<4> + ld_byte_rhit_lo_lo <= UInt<1>("h00") + wire ld_byte_rhit_hi_lo : UInt<4> + ld_byte_rhit_hi_lo <= UInt<1>("h00") + wire ld_byte_rhit_lo_hi : UInt<4> + ld_byte_rhit_lo_hi <= UInt<1>("h00") + wire ld_byte_rhit_hi_hi : UInt<4> + ld_byte_rhit_hi_hi <= UInt<1>("h00") + wire ld_byte_hit_lo : UInt<4> + ld_byte_hit_lo <= UInt<1>("h00") + wire ld_byte_rhit_lo : UInt<4> + ld_byte_rhit_lo <= UInt<1>("h00") + wire ld_byte_hit_hi : UInt<4> + ld_byte_hit_hi <= UInt<1>("h00") + wire ld_byte_rhit_hi : UInt<4> + ld_byte_rhit_hi <= UInt<1>("h00") + wire ldst_byteen_ext_r : UInt<8> + ldst_byteen_ext_r <= UInt<1>("h00") + wire ld_fwddata_rpipe_lo : UInt<32> + ld_fwddata_rpipe_lo <= UInt<1>("h00") + wire ld_fwddata_rpipe_hi : UInt<32> + ld_fwddata_rpipe_hi <= UInt<1>("h00") + wire datain1 : UInt<8>[4] @[lsu_stbuf.scala 105:33] + wire datain2 : UInt<8>[4] @[lsu_stbuf.scala 106:33] + wire datain3 : UInt<8>[4] @[lsu_stbuf.scala 107:33] + wire datain4 : UInt<8>[4] @[lsu_stbuf.scala 108:33] + node _T = bits(io.lsu_pkt_r.bits.by, 0, 0) @[lsu_stbuf.scala 112:26] + node _T_1 = bits(io.lsu_pkt_r.bits.half, 0, 0) @[lsu_stbuf.scala 113:28] + node _T_2 = bits(io.lsu_pkt_r.bits.word, 0, 0) @[lsu_stbuf.scala 114:28] + node _T_3 = bits(io.lsu_pkt_r.bits.dword, 0, 0) @[lsu_stbuf.scala 115:29] + node _T_4 = mux(_T, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5 = mux(_T_1, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_6 = mux(_T_2, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_7 = mux(_T_3, UInt<8>("h0ff"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_8 = or(_T_4, _T_5) @[Mux.scala 27:72] + node _T_9 = or(_T_8, _T_6) @[Mux.scala 27:72] + node _T_10 = or(_T_9, _T_7) @[Mux.scala 27:72] + wire ldst_byteen_r : UInt<8> @[Mux.scala 27:72] + ldst_byteen_r <= _T_10 @[Mux.scala 27:72] + node _T_11 = bits(io.lsu_addr_d, 2, 2) @[lsu_stbuf.scala 117:35] + node _T_12 = bits(io.end_addr_d, 2, 2) @[lsu_stbuf.scala 117:56] + node ldst_dual_d = neq(_T_11, _T_12) @[lsu_stbuf.scala 117:39] + node dual_stbuf_write_r = and(ldst_dual_r, io.store_stbuf_reqvld_r) @[lsu_stbuf.scala 118:40] + node _T_13 = bits(io.lsu_addr_r, 1, 0) @[lsu_stbuf.scala 120:55] + node _T_14 = dshl(ldst_byteen_r, _T_13) @[lsu_stbuf.scala 120:39] + store_byteen_ext_r <= _T_14 @[lsu_stbuf.scala 120:22] + node _T_15 = bits(store_byteen_ext_r, 7, 4) @[lsu_stbuf.scala 121:46] + node _T_16 = bits(io.lsu_pkt_r.bits.store, 0, 0) @[Bitwise.scala 72:15] + node _T_17 = mux(_T_16, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node store_byteen_hi_r = and(_T_15, _T_17) @[lsu_stbuf.scala 121:52] + node _T_18 = bits(store_byteen_ext_r, 3, 0) @[lsu_stbuf.scala 122:46] + node _T_19 = bits(io.lsu_pkt_r.bits.store, 0, 0) @[Bitwise.scala 72:15] + node _T_20 = mux(_T_19, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node store_byteen_lo_r = and(_T_18, _T_20) @[lsu_stbuf.scala 122:52] + node _T_21 = add(RdPtr, UInt<1>("h01")) @[lsu_stbuf.scala 124:26] + node RdPtrPlus1 = tail(_T_21, 1) @[lsu_stbuf.scala 124:26] + node _T_22 = add(WrPtr, UInt<1>("h01")) @[lsu_stbuf.scala 125:26] + node WrPtrPlus1 = tail(_T_22, 1) @[lsu_stbuf.scala 125:26] + node _T_23 = add(WrPtr, UInt<2>("h02")) @[lsu_stbuf.scala 126:26] + node WrPtrPlus2 = tail(_T_23, 1) @[lsu_stbuf.scala 126:26] + node _T_24 = and(io.lsu_commit_r, io.store_stbuf_reqvld_r) @[lsu_stbuf.scala 128:45] + io.ldst_stbuf_reqvld_r <= _T_24 @[lsu_stbuf.scala 128:26] + node _T_25 = bits(stbuf_addr[0], 15, 2) @[lsu_stbuf.scala 130:78] + node _T_26 = bits(io.lsu_addr_r, 15, 2) @[lsu_stbuf.scala 130:137] + node _T_27 = eq(_T_25, _T_26) @[lsu_stbuf.scala 130:120] + node _T_28 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 130:191] + node _T_29 = and(_T_27, _T_28) @[lsu_stbuf.scala 130:179] + node _T_30 = bits(stbuf_dma_kill, 0, 0) @[lsu_stbuf.scala 130:212] + node _T_31 = eq(_T_30, UInt<1>("h00")) @[lsu_stbuf.scala 130:197] + node _T_32 = and(_T_29, _T_31) @[lsu_stbuf.scala 130:195] + node _T_33 = bits(stbuf_reset, 0, 0) @[lsu_stbuf.scala 130:230] + node _T_34 = eq(_T_33, UInt<1>("h00")) @[lsu_stbuf.scala 130:218] + node _T_35 = and(_T_32, _T_34) @[lsu_stbuf.scala 130:216] + node _T_36 = bits(stbuf_addr[1], 15, 2) @[lsu_stbuf.scala 130:78] + node _T_37 = bits(io.lsu_addr_r, 15, 2) @[lsu_stbuf.scala 130:137] + node _T_38 = eq(_T_36, _T_37) @[lsu_stbuf.scala 130:120] + node _T_39 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 130:191] + node _T_40 = and(_T_38, _T_39) @[lsu_stbuf.scala 130:179] + node _T_41 = bits(stbuf_dma_kill, 1, 1) @[lsu_stbuf.scala 130:212] + node _T_42 = eq(_T_41, UInt<1>("h00")) @[lsu_stbuf.scala 130:197] + node _T_43 = and(_T_40, _T_42) @[lsu_stbuf.scala 130:195] + node _T_44 = bits(stbuf_reset, 1, 1) @[lsu_stbuf.scala 130:230] + node _T_45 = eq(_T_44, UInt<1>("h00")) @[lsu_stbuf.scala 130:218] + node _T_46 = and(_T_43, _T_45) @[lsu_stbuf.scala 130:216] + node _T_47 = bits(stbuf_addr[2], 15, 2) @[lsu_stbuf.scala 130:78] + node _T_48 = bits(io.lsu_addr_r, 15, 2) @[lsu_stbuf.scala 130:137] + node _T_49 = eq(_T_47, _T_48) @[lsu_stbuf.scala 130:120] + node _T_50 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 130:191] + node _T_51 = and(_T_49, _T_50) @[lsu_stbuf.scala 130:179] + node _T_52 = bits(stbuf_dma_kill, 2, 2) @[lsu_stbuf.scala 130:212] + node _T_53 = eq(_T_52, UInt<1>("h00")) @[lsu_stbuf.scala 130:197] + node _T_54 = and(_T_51, _T_53) @[lsu_stbuf.scala 130:195] + node _T_55 = bits(stbuf_reset, 2, 2) @[lsu_stbuf.scala 130:230] + node _T_56 = eq(_T_55, UInt<1>("h00")) @[lsu_stbuf.scala 130:218] + node _T_57 = and(_T_54, _T_56) @[lsu_stbuf.scala 130:216] + node _T_58 = bits(stbuf_addr[3], 15, 2) @[lsu_stbuf.scala 130:78] + node _T_59 = bits(io.lsu_addr_r, 15, 2) @[lsu_stbuf.scala 130:137] + node _T_60 = eq(_T_58, _T_59) @[lsu_stbuf.scala 130:120] + node _T_61 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 130:191] + node _T_62 = and(_T_60, _T_61) @[lsu_stbuf.scala 130:179] + node _T_63 = bits(stbuf_dma_kill, 3, 3) @[lsu_stbuf.scala 130:212] + node _T_64 = eq(_T_63, UInt<1>("h00")) @[lsu_stbuf.scala 130:197] + node _T_65 = and(_T_62, _T_64) @[lsu_stbuf.scala 130:195] + node _T_66 = bits(stbuf_reset, 3, 3) @[lsu_stbuf.scala 130:230] + node _T_67 = eq(_T_66, UInt<1>("h00")) @[lsu_stbuf.scala 130:218] + node _T_68 = and(_T_65, _T_67) @[lsu_stbuf.scala 130:216] + node _T_69 = cat(_T_68, _T_57) @[Cat.scala 29:58] + node _T_70 = cat(_T_69, _T_46) @[Cat.scala 29:58] + node store_matchvec_lo_r = cat(_T_70, _T_35) @[Cat.scala 29:58] + node _T_71 = bits(stbuf_addr[0], 15, 2) @[lsu_stbuf.scala 131:78] + node _T_72 = bits(io.end_addr_r, 15, 2) @[lsu_stbuf.scala 131:137] + node _T_73 = eq(_T_71, _T_72) @[lsu_stbuf.scala 131:120] + node _T_74 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 131:190] + node _T_75 = and(_T_73, _T_74) @[lsu_stbuf.scala 131:179] + node _T_76 = bits(stbuf_dma_kill, 0, 0) @[lsu_stbuf.scala 131:211] + node _T_77 = eq(_T_76, UInt<1>("h00")) @[lsu_stbuf.scala 131:196] + node _T_78 = and(_T_75, _T_77) @[lsu_stbuf.scala 131:194] + node _T_79 = and(_T_78, dual_stbuf_write_r) @[lsu_stbuf.scala 131:215] + node _T_80 = bits(stbuf_reset, 0, 0) @[lsu_stbuf.scala 131:250] + node _T_81 = eq(_T_80, UInt<1>("h00")) @[lsu_stbuf.scala 131:238] + node _T_82 = and(_T_79, _T_81) @[lsu_stbuf.scala 131:236] + node _T_83 = bits(stbuf_addr[1], 15, 2) @[lsu_stbuf.scala 131:78] + node _T_84 = bits(io.end_addr_r, 15, 2) @[lsu_stbuf.scala 131:137] + node _T_85 = eq(_T_83, _T_84) @[lsu_stbuf.scala 131:120] + node _T_86 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 131:190] + node _T_87 = and(_T_85, _T_86) @[lsu_stbuf.scala 131:179] + node _T_88 = bits(stbuf_dma_kill, 1, 1) @[lsu_stbuf.scala 131:211] + node _T_89 = eq(_T_88, UInt<1>("h00")) @[lsu_stbuf.scala 131:196] + node _T_90 = and(_T_87, _T_89) @[lsu_stbuf.scala 131:194] + node _T_91 = and(_T_90, dual_stbuf_write_r) @[lsu_stbuf.scala 131:215] + node _T_92 = bits(stbuf_reset, 1, 1) @[lsu_stbuf.scala 131:250] + node _T_93 = eq(_T_92, UInt<1>("h00")) @[lsu_stbuf.scala 131:238] + node _T_94 = and(_T_91, _T_93) @[lsu_stbuf.scala 131:236] + node _T_95 = bits(stbuf_addr[2], 15, 2) @[lsu_stbuf.scala 131:78] + node _T_96 = bits(io.end_addr_r, 15, 2) @[lsu_stbuf.scala 131:137] + node _T_97 = eq(_T_95, _T_96) @[lsu_stbuf.scala 131:120] + node _T_98 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 131:190] + node _T_99 = and(_T_97, _T_98) @[lsu_stbuf.scala 131:179] + node _T_100 = bits(stbuf_dma_kill, 2, 2) @[lsu_stbuf.scala 131:211] + node _T_101 = eq(_T_100, UInt<1>("h00")) @[lsu_stbuf.scala 131:196] + node _T_102 = and(_T_99, _T_101) @[lsu_stbuf.scala 131:194] + node _T_103 = and(_T_102, dual_stbuf_write_r) @[lsu_stbuf.scala 131:215] + node _T_104 = bits(stbuf_reset, 2, 2) @[lsu_stbuf.scala 131:250] + node _T_105 = eq(_T_104, UInt<1>("h00")) @[lsu_stbuf.scala 131:238] + node _T_106 = and(_T_103, _T_105) @[lsu_stbuf.scala 131:236] + node _T_107 = bits(stbuf_addr[3], 15, 2) @[lsu_stbuf.scala 131:78] + node _T_108 = bits(io.end_addr_r, 15, 2) @[lsu_stbuf.scala 131:137] + node _T_109 = eq(_T_107, _T_108) @[lsu_stbuf.scala 131:120] + node _T_110 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 131:190] + node _T_111 = and(_T_109, _T_110) @[lsu_stbuf.scala 131:179] + node _T_112 = bits(stbuf_dma_kill, 3, 3) @[lsu_stbuf.scala 131:211] + node _T_113 = eq(_T_112, UInt<1>("h00")) @[lsu_stbuf.scala 131:196] + node _T_114 = and(_T_111, _T_113) @[lsu_stbuf.scala 131:194] + node _T_115 = and(_T_114, dual_stbuf_write_r) @[lsu_stbuf.scala 131:215] + node _T_116 = bits(stbuf_reset, 3, 3) @[lsu_stbuf.scala 131:250] + node _T_117 = eq(_T_116, UInt<1>("h00")) @[lsu_stbuf.scala 131:238] + node _T_118 = and(_T_115, _T_117) @[lsu_stbuf.scala 131:236] + node _T_119 = cat(_T_118, _T_106) @[Cat.scala 29:58] + node _T_120 = cat(_T_119, _T_94) @[Cat.scala 29:58] + node store_matchvec_hi_r = cat(_T_120, _T_82) @[Cat.scala 29:58] + node store_coalesce_lo_r = orr(store_matchvec_lo_r) @[lsu_stbuf.scala 133:49] + node store_coalesce_hi_r = orr(store_matchvec_hi_r) @[lsu_stbuf.scala 134:49] + node _T_121 = eq(UInt<1>("h00"), WrPtr) @[lsu_stbuf.scala 137:16] + node _T_122 = eq(store_coalesce_lo_r, UInt<1>("h00")) @[lsu_stbuf.scala 137:29] + node _T_123 = and(_T_121, _T_122) @[lsu_stbuf.scala 137:27] + node _T_124 = eq(UInt<1>("h00"), WrPtr) @[lsu_stbuf.scala 138:18] + node _T_125 = and(_T_124, dual_stbuf_write_r) @[lsu_stbuf.scala 138:29] + node _T_126 = eq(store_coalesce_hi_r, UInt<1>("h00")) @[lsu_stbuf.scala 138:52] + node _T_127 = and(_T_125, _T_126) @[lsu_stbuf.scala 138:50] + node _T_128 = or(_T_123, _T_127) @[lsu_stbuf.scala 137:51] + node _T_129 = eq(UInt<1>("h00"), WrPtrPlus1) @[lsu_stbuf.scala 139:18] + node _T_130 = and(_T_129, dual_stbuf_write_r) @[lsu_stbuf.scala 139:34] + node _T_131 = or(store_coalesce_lo_r, store_coalesce_hi_r) @[lsu_stbuf.scala 139:79] + node _T_132 = eq(_T_131, UInt<1>("h00")) @[lsu_stbuf.scala 139:57] + node _T_133 = and(_T_130, _T_132) @[lsu_stbuf.scala 139:55] + node _T_134 = or(_T_128, _T_133) @[lsu_stbuf.scala 138:74] + node _T_135 = bits(store_matchvec_lo_r, 0, 0) @[lsu_stbuf.scala 140:26] + node _T_136 = or(_T_134, _T_135) @[lsu_stbuf.scala 139:103] + node _T_137 = bits(store_matchvec_hi_r, 0, 0) @[lsu_stbuf.scala 140:51] + node _T_138 = or(_T_136, _T_137) @[lsu_stbuf.scala 140:30] + node _T_139 = and(io.ldst_stbuf_reqvld_r, _T_138) @[lsu_stbuf.scala 136:76] + node _T_140 = eq(UInt<1>("h01"), WrPtr) @[lsu_stbuf.scala 137:16] + node _T_141 = eq(store_coalesce_lo_r, UInt<1>("h00")) @[lsu_stbuf.scala 137:29] + node _T_142 = and(_T_140, _T_141) @[lsu_stbuf.scala 137:27] + node _T_143 = eq(UInt<1>("h01"), WrPtr) @[lsu_stbuf.scala 138:18] + node _T_144 = and(_T_143, dual_stbuf_write_r) @[lsu_stbuf.scala 138:29] + node _T_145 = eq(store_coalesce_hi_r, UInt<1>("h00")) @[lsu_stbuf.scala 138:52] + node _T_146 = and(_T_144, _T_145) @[lsu_stbuf.scala 138:50] + node _T_147 = or(_T_142, _T_146) @[lsu_stbuf.scala 137:51] + node _T_148 = eq(UInt<1>("h01"), WrPtrPlus1) @[lsu_stbuf.scala 139:18] + node _T_149 = and(_T_148, dual_stbuf_write_r) @[lsu_stbuf.scala 139:34] + node _T_150 = or(store_coalesce_lo_r, store_coalesce_hi_r) @[lsu_stbuf.scala 139:79] + node _T_151 = eq(_T_150, UInt<1>("h00")) @[lsu_stbuf.scala 139:57] + node _T_152 = and(_T_149, _T_151) @[lsu_stbuf.scala 139:55] + node _T_153 = or(_T_147, _T_152) @[lsu_stbuf.scala 138:74] + node _T_154 = bits(store_matchvec_lo_r, 1, 1) @[lsu_stbuf.scala 140:26] + node _T_155 = or(_T_153, _T_154) @[lsu_stbuf.scala 139:103] + node _T_156 = bits(store_matchvec_hi_r, 1, 1) @[lsu_stbuf.scala 140:51] + node _T_157 = or(_T_155, _T_156) @[lsu_stbuf.scala 140:30] + node _T_158 = and(io.ldst_stbuf_reqvld_r, _T_157) @[lsu_stbuf.scala 136:76] + node _T_159 = eq(UInt<2>("h02"), WrPtr) @[lsu_stbuf.scala 137:16] + node _T_160 = eq(store_coalesce_lo_r, UInt<1>("h00")) @[lsu_stbuf.scala 137:29] + node _T_161 = and(_T_159, _T_160) @[lsu_stbuf.scala 137:27] + node _T_162 = eq(UInt<2>("h02"), WrPtr) @[lsu_stbuf.scala 138:18] + node _T_163 = and(_T_162, dual_stbuf_write_r) @[lsu_stbuf.scala 138:29] + node _T_164 = eq(store_coalesce_hi_r, UInt<1>("h00")) @[lsu_stbuf.scala 138:52] + node _T_165 = and(_T_163, _T_164) @[lsu_stbuf.scala 138:50] + node _T_166 = or(_T_161, _T_165) @[lsu_stbuf.scala 137:51] + node _T_167 = eq(UInt<2>("h02"), WrPtrPlus1) @[lsu_stbuf.scala 139:18] + node _T_168 = and(_T_167, dual_stbuf_write_r) @[lsu_stbuf.scala 139:34] + node _T_169 = or(store_coalesce_lo_r, store_coalesce_hi_r) @[lsu_stbuf.scala 139:79] + node _T_170 = eq(_T_169, UInt<1>("h00")) @[lsu_stbuf.scala 139:57] + node _T_171 = and(_T_168, _T_170) @[lsu_stbuf.scala 139:55] + node _T_172 = or(_T_166, _T_171) @[lsu_stbuf.scala 138:74] + node _T_173 = bits(store_matchvec_lo_r, 2, 2) @[lsu_stbuf.scala 140:26] + node _T_174 = or(_T_172, _T_173) @[lsu_stbuf.scala 139:103] + node _T_175 = bits(store_matchvec_hi_r, 2, 2) @[lsu_stbuf.scala 140:51] + node _T_176 = or(_T_174, _T_175) @[lsu_stbuf.scala 140:30] + node _T_177 = and(io.ldst_stbuf_reqvld_r, _T_176) @[lsu_stbuf.scala 136:76] + node _T_178 = eq(UInt<2>("h03"), WrPtr) @[lsu_stbuf.scala 137:16] + node _T_179 = eq(store_coalesce_lo_r, UInt<1>("h00")) @[lsu_stbuf.scala 137:29] + node _T_180 = and(_T_178, _T_179) @[lsu_stbuf.scala 137:27] + node _T_181 = eq(UInt<2>("h03"), WrPtr) @[lsu_stbuf.scala 138:18] + node _T_182 = and(_T_181, dual_stbuf_write_r) @[lsu_stbuf.scala 138:29] + node _T_183 = eq(store_coalesce_hi_r, UInt<1>("h00")) @[lsu_stbuf.scala 138:52] + node _T_184 = and(_T_182, _T_183) @[lsu_stbuf.scala 138:50] + node _T_185 = or(_T_180, _T_184) @[lsu_stbuf.scala 137:51] + node _T_186 = eq(UInt<2>("h03"), WrPtrPlus1) @[lsu_stbuf.scala 139:18] + node _T_187 = and(_T_186, dual_stbuf_write_r) @[lsu_stbuf.scala 139:34] + node _T_188 = or(store_coalesce_lo_r, store_coalesce_hi_r) @[lsu_stbuf.scala 139:79] + node _T_189 = eq(_T_188, UInt<1>("h00")) @[lsu_stbuf.scala 139:57] + node _T_190 = and(_T_187, _T_189) @[lsu_stbuf.scala 139:55] + node _T_191 = or(_T_185, _T_190) @[lsu_stbuf.scala 138:74] + node _T_192 = bits(store_matchvec_lo_r, 3, 3) @[lsu_stbuf.scala 140:26] + node _T_193 = or(_T_191, _T_192) @[lsu_stbuf.scala 139:103] + node _T_194 = bits(store_matchvec_hi_r, 3, 3) @[lsu_stbuf.scala 140:51] + node _T_195 = or(_T_193, _T_194) @[lsu_stbuf.scala 140:30] + node _T_196 = and(io.ldst_stbuf_reqvld_r, _T_195) @[lsu_stbuf.scala 136:76] + node _T_197 = cat(_T_196, _T_177) @[Cat.scala 29:58] + node _T_198 = cat(_T_197, _T_158) @[Cat.scala 29:58] + node _T_199 = cat(_T_198, _T_139) @[Cat.scala 29:58] + stbuf_wr_en <= _T_199 @[lsu_stbuf.scala 136:15] + node _T_200 = or(io.lsu_stbuf_commit_any, io.stbuf_reqvld_flushed_any) @[lsu_stbuf.scala 141:78] + node _T_201 = eq(UInt<1>("h00"), RdPtr) @[lsu_stbuf.scala 141:121] + node _T_202 = bits(_T_201, 0, 0) @[lsu_stbuf.scala 141:132] + node _T_203 = and(_T_200, _T_202) @[lsu_stbuf.scala 141:109] + node _T_204 = or(io.lsu_stbuf_commit_any, io.stbuf_reqvld_flushed_any) @[lsu_stbuf.scala 141:78] + node _T_205 = eq(UInt<1>("h01"), RdPtr) @[lsu_stbuf.scala 141:121] + node _T_206 = bits(_T_205, 0, 0) @[lsu_stbuf.scala 141:132] + node _T_207 = and(_T_204, _T_206) @[lsu_stbuf.scala 141:109] + node _T_208 = or(io.lsu_stbuf_commit_any, io.stbuf_reqvld_flushed_any) @[lsu_stbuf.scala 141:78] + node _T_209 = eq(UInt<2>("h02"), RdPtr) @[lsu_stbuf.scala 141:121] + node _T_210 = bits(_T_209, 0, 0) @[lsu_stbuf.scala 141:132] + node _T_211 = and(_T_208, _T_210) @[lsu_stbuf.scala 141:109] + node _T_212 = or(io.lsu_stbuf_commit_any, io.stbuf_reqvld_flushed_any) @[lsu_stbuf.scala 141:78] + node _T_213 = eq(UInt<2>("h03"), RdPtr) @[lsu_stbuf.scala 141:121] + node _T_214 = bits(_T_213, 0, 0) @[lsu_stbuf.scala 141:132] + node _T_215 = and(_T_212, _T_214) @[lsu_stbuf.scala 141:109] + node _T_216 = cat(_T_215, _T_211) @[Cat.scala 29:58] + node _T_217 = cat(_T_216, _T_207) @[Cat.scala 29:58] + node _T_218 = cat(_T_217, _T_203) @[Cat.scala 29:58] + stbuf_reset <= _T_218 @[lsu_stbuf.scala 141:15] + node _T_219 = eq(ldst_dual_r, UInt<1>("h00")) @[lsu_stbuf.scala 142:53] + node _T_220 = or(_T_219, io.store_stbuf_reqvld_r) @[lsu_stbuf.scala 142:66] + node _T_221 = eq(UInt<1>("h00"), WrPtr) @[lsu_stbuf.scala 142:105] + node _T_222 = bits(_T_221, 0, 0) @[lsu_stbuf.scala 142:116] + node _T_223 = and(_T_220, _T_222) @[lsu_stbuf.scala 142:93] + node _T_224 = eq(store_coalesce_lo_r, UInt<1>("h00")) @[lsu_stbuf.scala 142:125] + node _T_225 = and(_T_223, _T_224) @[lsu_stbuf.scala 142:123] + node _T_226 = bits(store_matchvec_lo_r, 0, 0) @[lsu_stbuf.scala 142:168] + node _T_227 = or(_T_225, _T_226) @[lsu_stbuf.scala 142:147] + node _T_228 = eq(ldst_dual_r, UInt<1>("h00")) @[lsu_stbuf.scala 142:53] + node _T_229 = or(_T_228, io.store_stbuf_reqvld_r) @[lsu_stbuf.scala 142:66] + node _T_230 = eq(UInt<1>("h01"), WrPtr) @[lsu_stbuf.scala 142:105] + node _T_231 = bits(_T_230, 0, 0) @[lsu_stbuf.scala 142:116] + node _T_232 = and(_T_229, _T_231) @[lsu_stbuf.scala 142:93] + node _T_233 = eq(store_coalesce_lo_r, UInt<1>("h00")) @[lsu_stbuf.scala 142:125] + node _T_234 = and(_T_232, _T_233) @[lsu_stbuf.scala 142:123] + node _T_235 = bits(store_matchvec_lo_r, 1, 1) @[lsu_stbuf.scala 142:168] + node _T_236 = or(_T_234, _T_235) @[lsu_stbuf.scala 142:147] + node _T_237 = eq(ldst_dual_r, UInt<1>("h00")) @[lsu_stbuf.scala 142:53] + node _T_238 = or(_T_237, io.store_stbuf_reqvld_r) @[lsu_stbuf.scala 142:66] + node _T_239 = eq(UInt<2>("h02"), WrPtr) @[lsu_stbuf.scala 142:105] + node _T_240 = bits(_T_239, 0, 0) @[lsu_stbuf.scala 142:116] + node _T_241 = and(_T_238, _T_240) @[lsu_stbuf.scala 142:93] + node _T_242 = eq(store_coalesce_lo_r, UInt<1>("h00")) @[lsu_stbuf.scala 142:125] + node _T_243 = and(_T_241, _T_242) @[lsu_stbuf.scala 142:123] + node _T_244 = bits(store_matchvec_lo_r, 2, 2) @[lsu_stbuf.scala 142:168] + node _T_245 = or(_T_243, _T_244) @[lsu_stbuf.scala 142:147] + node _T_246 = eq(ldst_dual_r, UInt<1>("h00")) @[lsu_stbuf.scala 142:53] + node _T_247 = or(_T_246, io.store_stbuf_reqvld_r) @[lsu_stbuf.scala 142:66] + node _T_248 = eq(UInt<2>("h03"), WrPtr) @[lsu_stbuf.scala 142:105] + node _T_249 = bits(_T_248, 0, 0) @[lsu_stbuf.scala 142:116] + node _T_250 = and(_T_247, _T_249) @[lsu_stbuf.scala 142:93] + node _T_251 = eq(store_coalesce_lo_r, UInt<1>("h00")) @[lsu_stbuf.scala 142:125] + node _T_252 = and(_T_250, _T_251) @[lsu_stbuf.scala 142:123] + node _T_253 = bits(store_matchvec_lo_r, 3, 3) @[lsu_stbuf.scala 142:168] + node _T_254 = or(_T_252, _T_253) @[lsu_stbuf.scala 142:147] + node _T_255 = cat(_T_254, _T_245) @[Cat.scala 29:58] + node _T_256 = cat(_T_255, _T_236) @[Cat.scala 29:58] + node sel_lo = cat(_T_256, _T_227) @[Cat.scala 29:58] + node _T_257 = bits(sel_lo, 0, 0) @[lsu_stbuf.scala 144:63] + node _T_258 = bits(io.lsu_addr_r, 15, 0) @[lsu_stbuf.scala 144:81] + node _T_259 = bits(io.end_addr_r, 15, 0) @[lsu_stbuf.scala 144:113] + node _T_260 = mux(_T_257, _T_258, _T_259) @[lsu_stbuf.scala 144:56] + node _T_261 = bits(sel_lo, 1, 1) @[lsu_stbuf.scala 144:63] + node _T_262 = bits(io.lsu_addr_r, 15, 0) @[lsu_stbuf.scala 144:81] + node _T_263 = bits(io.end_addr_r, 15, 0) @[lsu_stbuf.scala 144:113] + node _T_264 = mux(_T_261, _T_262, _T_263) @[lsu_stbuf.scala 144:56] + node _T_265 = bits(sel_lo, 2, 2) @[lsu_stbuf.scala 144:63] + node _T_266 = bits(io.lsu_addr_r, 15, 0) @[lsu_stbuf.scala 144:81] + node _T_267 = bits(io.end_addr_r, 15, 0) @[lsu_stbuf.scala 144:113] + node _T_268 = mux(_T_265, _T_266, _T_267) @[lsu_stbuf.scala 144:56] + node _T_269 = bits(sel_lo, 3, 3) @[lsu_stbuf.scala 144:63] + node _T_270 = bits(io.lsu_addr_r, 15, 0) @[lsu_stbuf.scala 144:81] + node _T_271 = bits(io.end_addr_r, 15, 0) @[lsu_stbuf.scala 144:113] + node _T_272 = mux(_T_269, _T_270, _T_271) @[lsu_stbuf.scala 144:56] + stbuf_addrin[0] <= _T_260 @[lsu_stbuf.scala 144:16] + stbuf_addrin[1] <= _T_264 @[lsu_stbuf.scala 144:16] + stbuf_addrin[2] <= _T_268 @[lsu_stbuf.scala 144:16] + stbuf_addrin[3] <= _T_272 @[lsu_stbuf.scala 144:16] + node _T_273 = bits(sel_lo, 0, 0) @[lsu_stbuf.scala 145:65] + node _T_274 = or(stbuf_byteen[0], store_byteen_lo_r) @[lsu_stbuf.scala 145:86] + node _T_275 = or(stbuf_byteen[0], store_byteen_hi_r) @[lsu_stbuf.scala 145:123] + node _T_276 = mux(_T_273, _T_274, _T_275) @[lsu_stbuf.scala 145:58] + node _T_277 = bits(sel_lo, 1, 1) @[lsu_stbuf.scala 145:65] + node _T_278 = or(stbuf_byteen[1], store_byteen_lo_r) @[lsu_stbuf.scala 145:86] + node _T_279 = or(stbuf_byteen[1], store_byteen_hi_r) @[lsu_stbuf.scala 145:123] + node _T_280 = mux(_T_277, _T_278, _T_279) @[lsu_stbuf.scala 145:58] + node _T_281 = bits(sel_lo, 2, 2) @[lsu_stbuf.scala 145:65] + node _T_282 = or(stbuf_byteen[2], store_byteen_lo_r) @[lsu_stbuf.scala 145:86] + node _T_283 = or(stbuf_byteen[2], store_byteen_hi_r) @[lsu_stbuf.scala 145:123] + node _T_284 = mux(_T_281, _T_282, _T_283) @[lsu_stbuf.scala 145:58] + node _T_285 = bits(sel_lo, 3, 3) @[lsu_stbuf.scala 145:65] + node _T_286 = or(stbuf_byteen[3], store_byteen_lo_r) @[lsu_stbuf.scala 145:86] + node _T_287 = or(stbuf_byteen[3], store_byteen_hi_r) @[lsu_stbuf.scala 145:123] + node _T_288 = mux(_T_285, _T_286, _T_287) @[lsu_stbuf.scala 145:58] + stbuf_byteenin[0] <= _T_276 @[lsu_stbuf.scala 145:18] + stbuf_byteenin[1] <= _T_280 @[lsu_stbuf.scala 145:18] + stbuf_byteenin[2] <= _T_284 @[lsu_stbuf.scala 145:18] + stbuf_byteenin[3] <= _T_288 @[lsu_stbuf.scala 145:18] + node _T_289 = bits(sel_lo, 0, 0) @[lsu_stbuf.scala 147:58] + node _T_290 = bits(stbuf_byteen[0], 0, 0) @[lsu_stbuf.scala 147:83] + node _T_291 = eq(_T_290, UInt<1>("h00")) @[lsu_stbuf.scala 147:67] + node _T_292 = bits(store_byteen_lo_r, 0, 0) @[lsu_stbuf.scala 147:106] + node _T_293 = or(_T_291, _T_292) @[lsu_stbuf.scala 147:87] + node _T_294 = bits(io.store_datafn_lo_r, 7, 0) @[lsu_stbuf.scala 147:131] + node _T_295 = bits(stbuf_data[0], 7, 0) @[lsu_stbuf.scala 147:152] + node _T_296 = mux(_T_293, _T_294, _T_295) @[lsu_stbuf.scala 147:66] + node _T_297 = bits(stbuf_byteen[0], 0, 0) @[lsu_stbuf.scala 148:25] + node _T_298 = eq(_T_297, UInt<1>("h00")) @[lsu_stbuf.scala 148:9] + node _T_299 = bits(store_byteen_hi_r, 0, 0) @[lsu_stbuf.scala 148:48] + node _T_300 = or(_T_298, _T_299) @[lsu_stbuf.scala 148:29] + node _T_301 = bits(io.store_datafn_hi_r, 7, 0) @[lsu_stbuf.scala 148:73] + node _T_302 = bits(stbuf_data[0], 7, 0) @[lsu_stbuf.scala 148:94] + node _T_303 = mux(_T_300, _T_301, _T_302) @[lsu_stbuf.scala 148:8] + node _T_304 = mux(_T_289, _T_296, _T_303) @[lsu_stbuf.scala 147:51] + node _T_305 = bits(sel_lo, 1, 1) @[lsu_stbuf.scala 147:58] + node _T_306 = bits(stbuf_byteen[1], 0, 0) @[lsu_stbuf.scala 147:83] + node _T_307 = eq(_T_306, UInt<1>("h00")) @[lsu_stbuf.scala 147:67] + node _T_308 = bits(store_byteen_lo_r, 0, 0) @[lsu_stbuf.scala 147:106] + node _T_309 = or(_T_307, _T_308) @[lsu_stbuf.scala 147:87] + node _T_310 = bits(io.store_datafn_lo_r, 7, 0) @[lsu_stbuf.scala 147:131] + node _T_311 = bits(stbuf_data[1], 7, 0) @[lsu_stbuf.scala 147:152] + node _T_312 = mux(_T_309, _T_310, _T_311) @[lsu_stbuf.scala 147:66] + node _T_313 = bits(stbuf_byteen[1], 0, 0) @[lsu_stbuf.scala 148:25] + node _T_314 = eq(_T_313, UInt<1>("h00")) @[lsu_stbuf.scala 148:9] + node _T_315 = bits(store_byteen_hi_r, 0, 0) @[lsu_stbuf.scala 148:48] + node _T_316 = or(_T_314, _T_315) @[lsu_stbuf.scala 148:29] + node _T_317 = bits(io.store_datafn_hi_r, 7, 0) @[lsu_stbuf.scala 148:73] + node _T_318 = bits(stbuf_data[1], 7, 0) @[lsu_stbuf.scala 148:94] + node _T_319 = mux(_T_316, _T_317, _T_318) @[lsu_stbuf.scala 148:8] + node _T_320 = mux(_T_305, _T_312, _T_319) @[lsu_stbuf.scala 147:51] + node _T_321 = bits(sel_lo, 2, 2) @[lsu_stbuf.scala 147:58] + node _T_322 = bits(stbuf_byteen[2], 0, 0) @[lsu_stbuf.scala 147:83] + node _T_323 = eq(_T_322, UInt<1>("h00")) @[lsu_stbuf.scala 147:67] + node _T_324 = bits(store_byteen_lo_r, 0, 0) @[lsu_stbuf.scala 147:106] + node _T_325 = or(_T_323, _T_324) @[lsu_stbuf.scala 147:87] + node _T_326 = bits(io.store_datafn_lo_r, 7, 0) @[lsu_stbuf.scala 147:131] + node _T_327 = bits(stbuf_data[2], 7, 0) @[lsu_stbuf.scala 147:152] + node _T_328 = mux(_T_325, _T_326, _T_327) @[lsu_stbuf.scala 147:66] + node _T_329 = bits(stbuf_byteen[2], 0, 0) @[lsu_stbuf.scala 148:25] + node _T_330 = eq(_T_329, UInt<1>("h00")) @[lsu_stbuf.scala 148:9] + node _T_331 = bits(store_byteen_hi_r, 0, 0) @[lsu_stbuf.scala 148:48] + node _T_332 = or(_T_330, _T_331) @[lsu_stbuf.scala 148:29] + node _T_333 = bits(io.store_datafn_hi_r, 7, 0) @[lsu_stbuf.scala 148:73] + node _T_334 = bits(stbuf_data[2], 7, 0) @[lsu_stbuf.scala 148:94] + node _T_335 = mux(_T_332, _T_333, _T_334) @[lsu_stbuf.scala 148:8] + node _T_336 = mux(_T_321, _T_328, _T_335) @[lsu_stbuf.scala 147:51] + node _T_337 = bits(sel_lo, 3, 3) @[lsu_stbuf.scala 147:58] + node _T_338 = bits(stbuf_byteen[3], 0, 0) @[lsu_stbuf.scala 147:83] + node _T_339 = eq(_T_338, UInt<1>("h00")) @[lsu_stbuf.scala 147:67] + node _T_340 = bits(store_byteen_lo_r, 0, 0) @[lsu_stbuf.scala 147:106] + node _T_341 = or(_T_339, _T_340) @[lsu_stbuf.scala 147:87] + node _T_342 = bits(io.store_datafn_lo_r, 7, 0) @[lsu_stbuf.scala 147:131] + node _T_343 = bits(stbuf_data[3], 7, 0) @[lsu_stbuf.scala 147:152] + node _T_344 = mux(_T_341, _T_342, _T_343) @[lsu_stbuf.scala 147:66] + node _T_345 = bits(stbuf_byteen[3], 0, 0) @[lsu_stbuf.scala 148:25] + node _T_346 = eq(_T_345, UInt<1>("h00")) @[lsu_stbuf.scala 148:9] + node _T_347 = bits(store_byteen_hi_r, 0, 0) @[lsu_stbuf.scala 148:48] + node _T_348 = or(_T_346, _T_347) @[lsu_stbuf.scala 148:29] + node _T_349 = bits(io.store_datafn_hi_r, 7, 0) @[lsu_stbuf.scala 148:73] + node _T_350 = bits(stbuf_data[3], 7, 0) @[lsu_stbuf.scala 148:94] + node _T_351 = mux(_T_348, _T_349, _T_350) @[lsu_stbuf.scala 148:8] + node _T_352 = mux(_T_337, _T_344, _T_351) @[lsu_stbuf.scala 147:51] + datain1[0] <= _T_304 @[lsu_stbuf.scala 147:11] + datain1[1] <= _T_320 @[lsu_stbuf.scala 147:11] + datain1[2] <= _T_336 @[lsu_stbuf.scala 147:11] + datain1[3] <= _T_352 @[lsu_stbuf.scala 147:11] + node _T_353 = bits(sel_lo, 0, 0) @[lsu_stbuf.scala 150:59] + node _T_354 = bits(stbuf_byteen[0], 1, 1) @[lsu_stbuf.scala 150:84] + node _T_355 = eq(_T_354, UInt<1>("h00")) @[lsu_stbuf.scala 150:68] + node _T_356 = bits(store_byteen_lo_r, 1, 1) @[lsu_stbuf.scala 150:107] + node _T_357 = or(_T_355, _T_356) @[lsu_stbuf.scala 150:88] + node _T_358 = bits(io.store_datafn_lo_r, 15, 8) @[lsu_stbuf.scala 150:132] + node _T_359 = bits(stbuf_data[0], 15, 8) @[lsu_stbuf.scala 150:154] + node _T_360 = mux(_T_357, _T_358, _T_359) @[lsu_stbuf.scala 150:67] + node _T_361 = bits(stbuf_byteen[0], 1, 1) @[lsu_stbuf.scala 151:25] + node _T_362 = eq(_T_361, UInt<1>("h00")) @[lsu_stbuf.scala 151:9] + node _T_363 = bits(store_byteen_hi_r, 1, 1) @[lsu_stbuf.scala 151:48] + node _T_364 = or(_T_362, _T_363) @[lsu_stbuf.scala 151:29] + node _T_365 = bits(io.store_datafn_hi_r, 15, 8) @[lsu_stbuf.scala 151:73] + node _T_366 = bits(stbuf_data[0], 15, 8) @[lsu_stbuf.scala 151:95] + node _T_367 = mux(_T_364, _T_365, _T_366) @[lsu_stbuf.scala 151:8] + node _T_368 = mux(_T_353, _T_360, _T_367) @[lsu_stbuf.scala 150:52] + node _T_369 = bits(sel_lo, 1, 1) @[lsu_stbuf.scala 150:59] + node _T_370 = bits(stbuf_byteen[1], 1, 1) @[lsu_stbuf.scala 150:84] + node _T_371 = eq(_T_370, UInt<1>("h00")) @[lsu_stbuf.scala 150:68] + node _T_372 = bits(store_byteen_lo_r, 1, 1) @[lsu_stbuf.scala 150:107] + node _T_373 = or(_T_371, _T_372) @[lsu_stbuf.scala 150:88] + node _T_374 = bits(io.store_datafn_lo_r, 15, 8) @[lsu_stbuf.scala 150:132] + node _T_375 = bits(stbuf_data[1], 15, 8) @[lsu_stbuf.scala 150:154] + node _T_376 = mux(_T_373, _T_374, _T_375) @[lsu_stbuf.scala 150:67] + node _T_377 = bits(stbuf_byteen[1], 1, 1) @[lsu_stbuf.scala 151:25] + node _T_378 = eq(_T_377, UInt<1>("h00")) @[lsu_stbuf.scala 151:9] + node _T_379 = bits(store_byteen_hi_r, 1, 1) @[lsu_stbuf.scala 151:48] + node _T_380 = or(_T_378, _T_379) @[lsu_stbuf.scala 151:29] + node _T_381 = bits(io.store_datafn_hi_r, 15, 8) @[lsu_stbuf.scala 151:73] + node _T_382 = bits(stbuf_data[1], 15, 8) @[lsu_stbuf.scala 151:95] + node _T_383 = mux(_T_380, _T_381, _T_382) @[lsu_stbuf.scala 151:8] + node _T_384 = mux(_T_369, _T_376, _T_383) @[lsu_stbuf.scala 150:52] + node _T_385 = bits(sel_lo, 2, 2) @[lsu_stbuf.scala 150:59] + node _T_386 = bits(stbuf_byteen[2], 1, 1) @[lsu_stbuf.scala 150:84] + node _T_387 = eq(_T_386, UInt<1>("h00")) @[lsu_stbuf.scala 150:68] + node _T_388 = bits(store_byteen_lo_r, 1, 1) @[lsu_stbuf.scala 150:107] + node _T_389 = or(_T_387, _T_388) @[lsu_stbuf.scala 150:88] + node _T_390 = bits(io.store_datafn_lo_r, 15, 8) @[lsu_stbuf.scala 150:132] + node _T_391 = bits(stbuf_data[2], 15, 8) @[lsu_stbuf.scala 150:154] + node _T_392 = mux(_T_389, _T_390, _T_391) @[lsu_stbuf.scala 150:67] + node _T_393 = bits(stbuf_byteen[2], 1, 1) @[lsu_stbuf.scala 151:25] + node _T_394 = eq(_T_393, UInt<1>("h00")) @[lsu_stbuf.scala 151:9] + node _T_395 = bits(store_byteen_hi_r, 1, 1) @[lsu_stbuf.scala 151:48] + node _T_396 = or(_T_394, _T_395) @[lsu_stbuf.scala 151:29] + node _T_397 = bits(io.store_datafn_hi_r, 15, 8) @[lsu_stbuf.scala 151:73] + node _T_398 = bits(stbuf_data[2], 15, 8) @[lsu_stbuf.scala 151:95] + node _T_399 = mux(_T_396, _T_397, _T_398) @[lsu_stbuf.scala 151:8] + node _T_400 = mux(_T_385, _T_392, _T_399) @[lsu_stbuf.scala 150:52] + node _T_401 = bits(sel_lo, 3, 3) @[lsu_stbuf.scala 150:59] + node _T_402 = bits(stbuf_byteen[3], 1, 1) @[lsu_stbuf.scala 150:84] + node _T_403 = eq(_T_402, UInt<1>("h00")) @[lsu_stbuf.scala 150:68] + node _T_404 = bits(store_byteen_lo_r, 1, 1) @[lsu_stbuf.scala 150:107] + node _T_405 = or(_T_403, _T_404) @[lsu_stbuf.scala 150:88] + node _T_406 = bits(io.store_datafn_lo_r, 15, 8) @[lsu_stbuf.scala 150:132] + node _T_407 = bits(stbuf_data[3], 15, 8) @[lsu_stbuf.scala 150:154] + node _T_408 = mux(_T_405, _T_406, _T_407) @[lsu_stbuf.scala 150:67] + node _T_409 = bits(stbuf_byteen[3], 1, 1) @[lsu_stbuf.scala 151:25] + node _T_410 = eq(_T_409, UInt<1>("h00")) @[lsu_stbuf.scala 151:9] + node _T_411 = bits(store_byteen_hi_r, 1, 1) @[lsu_stbuf.scala 151:48] + node _T_412 = or(_T_410, _T_411) @[lsu_stbuf.scala 151:29] + node _T_413 = bits(io.store_datafn_hi_r, 15, 8) @[lsu_stbuf.scala 151:73] + node _T_414 = bits(stbuf_data[3], 15, 8) @[lsu_stbuf.scala 151:95] + node _T_415 = mux(_T_412, _T_413, _T_414) @[lsu_stbuf.scala 151:8] + node _T_416 = mux(_T_401, _T_408, _T_415) @[lsu_stbuf.scala 150:52] + datain2[0] <= _T_368 @[lsu_stbuf.scala 150:12] + datain2[1] <= _T_384 @[lsu_stbuf.scala 150:12] + datain2[2] <= _T_400 @[lsu_stbuf.scala 150:12] + datain2[3] <= _T_416 @[lsu_stbuf.scala 150:12] + node _T_417 = bits(sel_lo, 0, 0) @[lsu_stbuf.scala 153:59] + node _T_418 = bits(stbuf_byteen[0], 2, 2) @[lsu_stbuf.scala 153:84] + node _T_419 = eq(_T_418, UInt<1>("h00")) @[lsu_stbuf.scala 153:68] + node _T_420 = bits(store_byteen_lo_r, 2, 2) @[lsu_stbuf.scala 153:107] + node _T_421 = or(_T_419, _T_420) @[lsu_stbuf.scala 153:88] + node _T_422 = bits(io.store_datafn_lo_r, 23, 16) @[lsu_stbuf.scala 153:132] + node _T_423 = bits(stbuf_data[0], 23, 16) @[lsu_stbuf.scala 153:155] + node _T_424 = mux(_T_421, _T_422, _T_423) @[lsu_stbuf.scala 153:67] + node _T_425 = bits(stbuf_byteen[0], 2, 2) @[lsu_stbuf.scala 154:25] + node _T_426 = eq(_T_425, UInt<1>("h00")) @[lsu_stbuf.scala 154:9] + node _T_427 = bits(store_byteen_hi_r, 2, 2) @[lsu_stbuf.scala 154:48] + node _T_428 = or(_T_426, _T_427) @[lsu_stbuf.scala 154:29] + node _T_429 = bits(io.store_datafn_hi_r, 23, 16) @[lsu_stbuf.scala 154:73] + node _T_430 = bits(stbuf_data[0], 23, 16) @[lsu_stbuf.scala 154:96] + node _T_431 = mux(_T_428, _T_429, _T_430) @[lsu_stbuf.scala 154:8] + node _T_432 = mux(_T_417, _T_424, _T_431) @[lsu_stbuf.scala 153:52] + node _T_433 = bits(sel_lo, 1, 1) @[lsu_stbuf.scala 153:59] + node _T_434 = bits(stbuf_byteen[1], 2, 2) @[lsu_stbuf.scala 153:84] + node _T_435 = eq(_T_434, UInt<1>("h00")) @[lsu_stbuf.scala 153:68] + node _T_436 = bits(store_byteen_lo_r, 2, 2) @[lsu_stbuf.scala 153:107] + node _T_437 = or(_T_435, _T_436) @[lsu_stbuf.scala 153:88] + node _T_438 = bits(io.store_datafn_lo_r, 23, 16) @[lsu_stbuf.scala 153:132] + node _T_439 = bits(stbuf_data[1], 23, 16) @[lsu_stbuf.scala 153:155] + node _T_440 = mux(_T_437, _T_438, _T_439) @[lsu_stbuf.scala 153:67] + node _T_441 = bits(stbuf_byteen[1], 2, 2) @[lsu_stbuf.scala 154:25] + node _T_442 = eq(_T_441, UInt<1>("h00")) @[lsu_stbuf.scala 154:9] + node _T_443 = bits(store_byteen_hi_r, 2, 2) @[lsu_stbuf.scala 154:48] + node _T_444 = or(_T_442, _T_443) @[lsu_stbuf.scala 154:29] + node _T_445 = bits(io.store_datafn_hi_r, 23, 16) @[lsu_stbuf.scala 154:73] + node _T_446 = bits(stbuf_data[1], 23, 16) @[lsu_stbuf.scala 154:96] + node _T_447 = mux(_T_444, _T_445, _T_446) @[lsu_stbuf.scala 154:8] + node _T_448 = mux(_T_433, _T_440, _T_447) @[lsu_stbuf.scala 153:52] + node _T_449 = bits(sel_lo, 2, 2) @[lsu_stbuf.scala 153:59] + node _T_450 = bits(stbuf_byteen[2], 2, 2) @[lsu_stbuf.scala 153:84] + node _T_451 = eq(_T_450, UInt<1>("h00")) @[lsu_stbuf.scala 153:68] + node _T_452 = bits(store_byteen_lo_r, 2, 2) @[lsu_stbuf.scala 153:107] + node _T_453 = or(_T_451, _T_452) @[lsu_stbuf.scala 153:88] + node _T_454 = bits(io.store_datafn_lo_r, 23, 16) @[lsu_stbuf.scala 153:132] + node _T_455 = bits(stbuf_data[2], 23, 16) @[lsu_stbuf.scala 153:155] + node _T_456 = mux(_T_453, _T_454, _T_455) @[lsu_stbuf.scala 153:67] + node _T_457 = bits(stbuf_byteen[2], 2, 2) @[lsu_stbuf.scala 154:25] + node _T_458 = eq(_T_457, UInt<1>("h00")) @[lsu_stbuf.scala 154:9] + node _T_459 = bits(store_byteen_hi_r, 2, 2) @[lsu_stbuf.scala 154:48] + node _T_460 = or(_T_458, _T_459) @[lsu_stbuf.scala 154:29] + node _T_461 = bits(io.store_datafn_hi_r, 23, 16) @[lsu_stbuf.scala 154:73] + node _T_462 = bits(stbuf_data[2], 23, 16) @[lsu_stbuf.scala 154:96] + node _T_463 = mux(_T_460, _T_461, _T_462) @[lsu_stbuf.scala 154:8] + node _T_464 = mux(_T_449, _T_456, _T_463) @[lsu_stbuf.scala 153:52] + node _T_465 = bits(sel_lo, 3, 3) @[lsu_stbuf.scala 153:59] + node _T_466 = bits(stbuf_byteen[3], 2, 2) @[lsu_stbuf.scala 153:84] + node _T_467 = eq(_T_466, UInt<1>("h00")) @[lsu_stbuf.scala 153:68] + node _T_468 = bits(store_byteen_lo_r, 2, 2) @[lsu_stbuf.scala 153:107] + node _T_469 = or(_T_467, _T_468) @[lsu_stbuf.scala 153:88] + node _T_470 = bits(io.store_datafn_lo_r, 23, 16) @[lsu_stbuf.scala 153:132] + node _T_471 = bits(stbuf_data[3], 23, 16) @[lsu_stbuf.scala 153:155] + node _T_472 = mux(_T_469, _T_470, _T_471) @[lsu_stbuf.scala 153:67] + node _T_473 = bits(stbuf_byteen[3], 2, 2) @[lsu_stbuf.scala 154:25] + node _T_474 = eq(_T_473, UInt<1>("h00")) @[lsu_stbuf.scala 154:9] + node _T_475 = bits(store_byteen_hi_r, 2, 2) @[lsu_stbuf.scala 154:48] + node _T_476 = or(_T_474, _T_475) @[lsu_stbuf.scala 154:29] + node _T_477 = bits(io.store_datafn_hi_r, 23, 16) @[lsu_stbuf.scala 154:73] + node _T_478 = bits(stbuf_data[3], 23, 16) @[lsu_stbuf.scala 154:96] + node _T_479 = mux(_T_476, _T_477, _T_478) @[lsu_stbuf.scala 154:8] + node _T_480 = mux(_T_465, _T_472, _T_479) @[lsu_stbuf.scala 153:52] + datain3[0] <= _T_432 @[lsu_stbuf.scala 153:12] + datain3[1] <= _T_448 @[lsu_stbuf.scala 153:12] + datain3[2] <= _T_464 @[lsu_stbuf.scala 153:12] + datain3[3] <= _T_480 @[lsu_stbuf.scala 153:12] + node _T_481 = bits(sel_lo, 0, 0) @[lsu_stbuf.scala 156:59] + node _T_482 = bits(stbuf_byteen[0], 3, 3) @[lsu_stbuf.scala 156:84] + node _T_483 = eq(_T_482, UInt<1>("h00")) @[lsu_stbuf.scala 156:68] + node _T_484 = bits(store_byteen_lo_r, 3, 3) @[lsu_stbuf.scala 156:107] + node _T_485 = or(_T_483, _T_484) @[lsu_stbuf.scala 156:88] + node _T_486 = bits(io.store_datafn_lo_r, 31, 24) @[lsu_stbuf.scala 156:132] + node _T_487 = bits(stbuf_data[0], 31, 24) @[lsu_stbuf.scala 156:155] + node _T_488 = mux(_T_485, _T_486, _T_487) @[lsu_stbuf.scala 156:67] + node _T_489 = bits(stbuf_byteen[0], 3, 3) @[lsu_stbuf.scala 157:25] + node _T_490 = eq(_T_489, UInt<1>("h00")) @[lsu_stbuf.scala 157:9] + node _T_491 = bits(store_byteen_hi_r, 3, 3) @[lsu_stbuf.scala 157:48] + node _T_492 = or(_T_490, _T_491) @[lsu_stbuf.scala 157:29] + node _T_493 = bits(io.store_datafn_hi_r, 31, 24) @[lsu_stbuf.scala 157:73] + node _T_494 = bits(stbuf_data[0], 31, 24) @[lsu_stbuf.scala 157:96] + node _T_495 = mux(_T_492, _T_493, _T_494) @[lsu_stbuf.scala 157:8] + node _T_496 = mux(_T_481, _T_488, _T_495) @[lsu_stbuf.scala 156:52] + node _T_497 = bits(sel_lo, 1, 1) @[lsu_stbuf.scala 156:59] + node _T_498 = bits(stbuf_byteen[1], 3, 3) @[lsu_stbuf.scala 156:84] + node _T_499 = eq(_T_498, UInt<1>("h00")) @[lsu_stbuf.scala 156:68] + node _T_500 = bits(store_byteen_lo_r, 3, 3) @[lsu_stbuf.scala 156:107] + node _T_501 = or(_T_499, _T_500) @[lsu_stbuf.scala 156:88] + node _T_502 = bits(io.store_datafn_lo_r, 31, 24) @[lsu_stbuf.scala 156:132] + node _T_503 = bits(stbuf_data[1], 31, 24) @[lsu_stbuf.scala 156:155] + node _T_504 = mux(_T_501, _T_502, _T_503) @[lsu_stbuf.scala 156:67] + node _T_505 = bits(stbuf_byteen[1], 3, 3) @[lsu_stbuf.scala 157:25] + node _T_506 = eq(_T_505, UInt<1>("h00")) @[lsu_stbuf.scala 157:9] + node _T_507 = bits(store_byteen_hi_r, 3, 3) @[lsu_stbuf.scala 157:48] + node _T_508 = or(_T_506, _T_507) @[lsu_stbuf.scala 157:29] + node _T_509 = bits(io.store_datafn_hi_r, 31, 24) @[lsu_stbuf.scala 157:73] + node _T_510 = bits(stbuf_data[1], 31, 24) @[lsu_stbuf.scala 157:96] + node _T_511 = mux(_T_508, _T_509, _T_510) @[lsu_stbuf.scala 157:8] + node _T_512 = mux(_T_497, _T_504, _T_511) @[lsu_stbuf.scala 156:52] + node _T_513 = bits(sel_lo, 2, 2) @[lsu_stbuf.scala 156:59] + node _T_514 = bits(stbuf_byteen[2], 3, 3) @[lsu_stbuf.scala 156:84] + node _T_515 = eq(_T_514, UInt<1>("h00")) @[lsu_stbuf.scala 156:68] + node _T_516 = bits(store_byteen_lo_r, 3, 3) @[lsu_stbuf.scala 156:107] + node _T_517 = or(_T_515, _T_516) @[lsu_stbuf.scala 156:88] + node _T_518 = bits(io.store_datafn_lo_r, 31, 24) @[lsu_stbuf.scala 156:132] + node _T_519 = bits(stbuf_data[2], 31, 24) @[lsu_stbuf.scala 156:155] + node _T_520 = mux(_T_517, _T_518, _T_519) @[lsu_stbuf.scala 156:67] + node _T_521 = bits(stbuf_byteen[2], 3, 3) @[lsu_stbuf.scala 157:25] + node _T_522 = eq(_T_521, UInt<1>("h00")) @[lsu_stbuf.scala 157:9] + node _T_523 = bits(store_byteen_hi_r, 3, 3) @[lsu_stbuf.scala 157:48] + node _T_524 = or(_T_522, _T_523) @[lsu_stbuf.scala 157:29] + node _T_525 = bits(io.store_datafn_hi_r, 31, 24) @[lsu_stbuf.scala 157:73] + node _T_526 = bits(stbuf_data[2], 31, 24) @[lsu_stbuf.scala 157:96] + node _T_527 = mux(_T_524, _T_525, _T_526) @[lsu_stbuf.scala 157:8] + node _T_528 = mux(_T_513, _T_520, _T_527) @[lsu_stbuf.scala 156:52] + node _T_529 = bits(sel_lo, 3, 3) @[lsu_stbuf.scala 156:59] + node _T_530 = bits(stbuf_byteen[3], 3, 3) @[lsu_stbuf.scala 156:84] + node _T_531 = eq(_T_530, UInt<1>("h00")) @[lsu_stbuf.scala 156:68] + node _T_532 = bits(store_byteen_lo_r, 3, 3) @[lsu_stbuf.scala 156:107] + node _T_533 = or(_T_531, _T_532) @[lsu_stbuf.scala 156:88] + node _T_534 = bits(io.store_datafn_lo_r, 31, 24) @[lsu_stbuf.scala 156:132] + node _T_535 = bits(stbuf_data[3], 31, 24) @[lsu_stbuf.scala 156:155] + node _T_536 = mux(_T_533, _T_534, _T_535) @[lsu_stbuf.scala 156:67] + node _T_537 = bits(stbuf_byteen[3], 3, 3) @[lsu_stbuf.scala 157:25] + node _T_538 = eq(_T_537, UInt<1>("h00")) @[lsu_stbuf.scala 157:9] + node _T_539 = bits(store_byteen_hi_r, 3, 3) @[lsu_stbuf.scala 157:48] + node _T_540 = or(_T_538, _T_539) @[lsu_stbuf.scala 157:29] + node _T_541 = bits(io.store_datafn_hi_r, 31, 24) @[lsu_stbuf.scala 157:73] + node _T_542 = bits(stbuf_data[3], 31, 24) @[lsu_stbuf.scala 157:96] + node _T_543 = mux(_T_540, _T_541, _T_542) @[lsu_stbuf.scala 157:8] + node _T_544 = mux(_T_529, _T_536, _T_543) @[lsu_stbuf.scala 156:52] + datain4[0] <= _T_496 @[lsu_stbuf.scala 156:12] + datain4[1] <= _T_512 @[lsu_stbuf.scala 156:12] + datain4[2] <= _T_528 @[lsu_stbuf.scala 156:12] + datain4[3] <= _T_544 @[lsu_stbuf.scala 156:12] + node _T_545 = cat(datain2[0], datain1[0]) @[Cat.scala 29:58] + node _T_546 = cat(datain4[0], datain3[0]) @[Cat.scala 29:58] + node _T_547 = cat(_T_546, _T_545) @[Cat.scala 29:58] + node _T_548 = cat(datain2[1], datain1[1]) @[Cat.scala 29:58] + node _T_549 = cat(datain4[1], datain3[1]) @[Cat.scala 29:58] + node _T_550 = cat(_T_549, _T_548) @[Cat.scala 29:58] + node _T_551 = cat(datain2[2], datain1[2]) @[Cat.scala 29:58] + node _T_552 = cat(datain4[2], datain3[2]) @[Cat.scala 29:58] + node _T_553 = cat(_T_552, _T_551) @[Cat.scala 29:58] + node _T_554 = cat(datain2[3], datain1[3]) @[Cat.scala 29:58] + node _T_555 = cat(datain4[3], datain3[3]) @[Cat.scala 29:58] + node _T_556 = cat(_T_555, _T_554) @[Cat.scala 29:58] + stbuf_datain[0] <= _T_547 @[lsu_stbuf.scala 159:16] + stbuf_datain[1] <= _T_550 @[lsu_stbuf.scala 159:16] + stbuf_datain[2] <= _T_553 @[lsu_stbuf.scala 159:16] + stbuf_datain[3] <= _T_556 @[lsu_stbuf.scala 159:16] + node _T_557 = bits(stbuf_wr_en, 0, 0) @[lsu_stbuf.scala 163:104] + node _T_558 = bits(_T_557, 0, 0) @[lsu_stbuf.scala 163:114] + node _T_559 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 163:131] + node _T_560 = mux(_T_558, UInt<1>("h01"), _T_559) @[lsu_stbuf.scala 163:92] + node _T_561 = bits(stbuf_reset, 0, 0) @[lsu_stbuf.scala 163:150] + node _T_562 = eq(_T_561, UInt<1>("h00")) @[lsu_stbuf.scala 163:138] + node _T_563 = and(_T_560, _T_562) @[lsu_stbuf.scala 163:136] + reg _T_564 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 163:88] + _T_564 <= _T_563 @[lsu_stbuf.scala 163:88] + node _T_565 = bits(stbuf_wr_en, 1, 1) @[lsu_stbuf.scala 163:104] + node _T_566 = bits(_T_565, 0, 0) @[lsu_stbuf.scala 163:114] + node _T_567 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 163:131] + node _T_568 = mux(_T_566, UInt<1>("h01"), _T_567) @[lsu_stbuf.scala 163:92] + node _T_569 = bits(stbuf_reset, 1, 1) @[lsu_stbuf.scala 163:150] + node _T_570 = eq(_T_569, UInt<1>("h00")) @[lsu_stbuf.scala 163:138] + node _T_571 = and(_T_568, _T_570) @[lsu_stbuf.scala 163:136] + reg _T_572 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 163:88] + _T_572 <= _T_571 @[lsu_stbuf.scala 163:88] + node _T_573 = bits(stbuf_wr_en, 2, 2) @[lsu_stbuf.scala 163:104] + node _T_574 = bits(_T_573, 0, 0) @[lsu_stbuf.scala 163:114] + node _T_575 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 163:131] + node _T_576 = mux(_T_574, UInt<1>("h01"), _T_575) @[lsu_stbuf.scala 163:92] + node _T_577 = bits(stbuf_reset, 2, 2) @[lsu_stbuf.scala 163:150] + node _T_578 = eq(_T_577, UInt<1>("h00")) @[lsu_stbuf.scala 163:138] + node _T_579 = and(_T_576, _T_578) @[lsu_stbuf.scala 163:136] + reg _T_580 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 163:88] + _T_580 <= _T_579 @[lsu_stbuf.scala 163:88] + node _T_581 = bits(stbuf_wr_en, 3, 3) @[lsu_stbuf.scala 163:104] + node _T_582 = bits(_T_581, 0, 0) @[lsu_stbuf.scala 163:114] + node _T_583 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 163:131] + node _T_584 = mux(_T_582, UInt<1>("h01"), _T_583) @[lsu_stbuf.scala 163:92] + node _T_585 = bits(stbuf_reset, 3, 3) @[lsu_stbuf.scala 163:150] + node _T_586 = eq(_T_585, UInt<1>("h00")) @[lsu_stbuf.scala 163:138] + node _T_587 = and(_T_584, _T_586) @[lsu_stbuf.scala 163:136] + reg _T_588 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 163:88] + _T_588 <= _T_587 @[lsu_stbuf.scala 163:88] + node _T_589 = cat(_T_588, _T_580) @[Cat.scala 29:58] + node _T_590 = cat(_T_589, _T_572) @[Cat.scala 29:58] + node _T_591 = cat(_T_590, _T_564) @[Cat.scala 29:58] + stbuf_vld <= _T_591 @[lsu_stbuf.scala 163:13] + node _T_592 = bits(stbuf_dma_kill_en, 0, 0) @[lsu_stbuf.scala 164:114] + node _T_593 = bits(_T_592, 0, 0) @[lsu_stbuf.scala 164:118] + node _T_594 = bits(stbuf_dma_kill, 0, 0) @[lsu_stbuf.scala 164:144] + node _T_595 = mux(_T_593, UInt<1>("h01"), _T_594) @[lsu_stbuf.scala 164:96] + node _T_596 = bits(stbuf_reset, 0, 0) @[lsu_stbuf.scala 164:163] + node _T_597 = eq(_T_596, UInt<1>("h00")) @[lsu_stbuf.scala 164:151] + node _T_598 = and(_T_595, _T_597) @[lsu_stbuf.scala 164:149] + reg _T_599 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 164:92] + _T_599 <= _T_598 @[lsu_stbuf.scala 164:92] + node _T_600 = bits(stbuf_dma_kill_en, 1, 1) @[lsu_stbuf.scala 164:114] + node _T_601 = bits(_T_600, 0, 0) @[lsu_stbuf.scala 164:118] + node _T_602 = bits(stbuf_dma_kill, 1, 1) @[lsu_stbuf.scala 164:144] + node _T_603 = mux(_T_601, UInt<1>("h01"), _T_602) @[lsu_stbuf.scala 164:96] + node _T_604 = bits(stbuf_reset, 1, 1) @[lsu_stbuf.scala 164:163] + node _T_605 = eq(_T_604, UInt<1>("h00")) @[lsu_stbuf.scala 164:151] + node _T_606 = and(_T_603, _T_605) @[lsu_stbuf.scala 164:149] + reg _T_607 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 164:92] + _T_607 <= _T_606 @[lsu_stbuf.scala 164:92] + node _T_608 = bits(stbuf_dma_kill_en, 2, 2) @[lsu_stbuf.scala 164:114] + node _T_609 = bits(_T_608, 0, 0) @[lsu_stbuf.scala 164:118] + node _T_610 = bits(stbuf_dma_kill, 2, 2) @[lsu_stbuf.scala 164:144] + node _T_611 = mux(_T_609, UInt<1>("h01"), _T_610) @[lsu_stbuf.scala 164:96] + node _T_612 = bits(stbuf_reset, 2, 2) @[lsu_stbuf.scala 164:163] + node _T_613 = eq(_T_612, UInt<1>("h00")) @[lsu_stbuf.scala 164:151] + node _T_614 = and(_T_611, _T_613) @[lsu_stbuf.scala 164:149] + reg _T_615 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 164:92] + _T_615 <= _T_614 @[lsu_stbuf.scala 164:92] + node _T_616 = bits(stbuf_dma_kill_en, 3, 3) @[lsu_stbuf.scala 164:114] + node _T_617 = bits(_T_616, 0, 0) @[lsu_stbuf.scala 164:118] + node _T_618 = bits(stbuf_dma_kill, 3, 3) @[lsu_stbuf.scala 164:144] + node _T_619 = mux(_T_617, UInt<1>("h01"), _T_618) @[lsu_stbuf.scala 164:96] + node _T_620 = bits(stbuf_reset, 3, 3) @[lsu_stbuf.scala 164:163] + node _T_621 = eq(_T_620, UInt<1>("h00")) @[lsu_stbuf.scala 164:151] + node _T_622 = and(_T_619, _T_621) @[lsu_stbuf.scala 164:149] + reg _T_623 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 164:92] + _T_623 <= _T_622 @[lsu_stbuf.scala 164:92] + node _T_624 = cat(_T_623, _T_615) @[Cat.scala 29:58] + node _T_625 = cat(_T_624, _T_607) @[Cat.scala 29:58] + node _T_626 = cat(_T_625, _T_599) @[Cat.scala 29:58] + stbuf_dma_kill <= _T_626 @[lsu_stbuf.scala 164:18] + node _T_627 = bits(stbuf_wr_en, 0, 0) @[lsu_stbuf.scala 165:108] + node _T_628 = bits(_T_627, 0, 0) @[lsu_stbuf.scala 165:118] + node _T_629 = mux(_T_628, stbuf_byteenin[0], stbuf_byteen[0]) @[lsu_stbuf.scala 165:96] + node _T_630 = bits(stbuf_reset, 0, 0) @[lsu_stbuf.scala 165:206] + node _T_631 = eq(_T_630, UInt<1>("h00")) @[lsu_stbuf.scala 165:194] + node _T_632 = bits(_T_631, 0, 0) @[Bitwise.scala 72:15] + node _T_633 = mux(_T_632, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_634 = and(_T_629, _T_633) @[lsu_stbuf.scala 165:158] + reg _T_635 : UInt, io.lsu_stbuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 165:92] + _T_635 <= _T_634 @[lsu_stbuf.scala 165:92] + node _T_636 = bits(stbuf_wr_en, 1, 1) @[lsu_stbuf.scala 165:108] + node _T_637 = bits(_T_636, 0, 0) @[lsu_stbuf.scala 165:118] + node _T_638 = mux(_T_637, stbuf_byteenin[1], stbuf_byteen[1]) @[lsu_stbuf.scala 165:96] + node _T_639 = bits(stbuf_reset, 1, 1) @[lsu_stbuf.scala 165:206] + node _T_640 = eq(_T_639, UInt<1>("h00")) @[lsu_stbuf.scala 165:194] + node _T_641 = bits(_T_640, 0, 0) @[Bitwise.scala 72:15] + node _T_642 = mux(_T_641, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_643 = and(_T_638, _T_642) @[lsu_stbuf.scala 165:158] + reg _T_644 : UInt, io.lsu_stbuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 165:92] + _T_644 <= _T_643 @[lsu_stbuf.scala 165:92] + node _T_645 = bits(stbuf_wr_en, 2, 2) @[lsu_stbuf.scala 165:108] + node _T_646 = bits(_T_645, 0, 0) @[lsu_stbuf.scala 165:118] + node _T_647 = mux(_T_646, stbuf_byteenin[2], stbuf_byteen[2]) @[lsu_stbuf.scala 165:96] + node _T_648 = bits(stbuf_reset, 2, 2) @[lsu_stbuf.scala 165:206] + node _T_649 = eq(_T_648, UInt<1>("h00")) @[lsu_stbuf.scala 165:194] + node _T_650 = bits(_T_649, 0, 0) @[Bitwise.scala 72:15] + node _T_651 = mux(_T_650, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_652 = and(_T_647, _T_651) @[lsu_stbuf.scala 165:158] + reg _T_653 : UInt, io.lsu_stbuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 165:92] + _T_653 <= _T_652 @[lsu_stbuf.scala 165:92] + node _T_654 = bits(stbuf_wr_en, 3, 3) @[lsu_stbuf.scala 165:108] + node _T_655 = bits(_T_654, 0, 0) @[lsu_stbuf.scala 165:118] + node _T_656 = mux(_T_655, stbuf_byteenin[3], stbuf_byteen[3]) @[lsu_stbuf.scala 165:96] + node _T_657 = bits(stbuf_reset, 3, 3) @[lsu_stbuf.scala 165:206] + node _T_658 = eq(_T_657, UInt<1>("h00")) @[lsu_stbuf.scala 165:194] + node _T_659 = bits(_T_658, 0, 0) @[Bitwise.scala 72:15] + node _T_660 = mux(_T_659, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_661 = and(_T_656, _T_660) @[lsu_stbuf.scala 165:158] + reg _T_662 : UInt, io.lsu_stbuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 165:92] + _T_662 <= _T_661 @[lsu_stbuf.scala 165:92] + stbuf_byteen[0] <= _T_635 @[lsu_stbuf.scala 165:16] + stbuf_byteen[1] <= _T_644 @[lsu_stbuf.scala 165:16] + stbuf_byteen[2] <= _T_653 @[lsu_stbuf.scala 165:16] + stbuf_byteen[3] <= _T_662 @[lsu_stbuf.scala 165:16] + node _T_663 = bits(stbuf_wr_en, 0, 0) @[lsu_stbuf.scala 167:56] + node _T_664 = bits(_T_663, 0, 0) @[lsu_stbuf.scala 167:66] + inst rvclkhdr of rvclkhdr_2 @[lib.scala 368:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 370:18] + rvclkhdr.io.en <= _T_664 @[lib.scala 371:17] + rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_665 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_665 <= stbuf_addrin[0] @[lib.scala 374:16] + stbuf_addr[0] <= _T_665 @[lsu_stbuf.scala 167:19] + node _T_666 = bits(stbuf_wr_en, 0, 0) @[lsu_stbuf.scala 168:56] + node _T_667 = bits(_T_666, 0, 0) @[lsu_stbuf.scala 168:66] + inst rvclkhdr_1 of rvclkhdr_3 @[lib.scala 368:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_1.io.en <= _T_667 @[lib.scala 371:17] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_668 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_668 <= stbuf_datain[0] @[lib.scala 374:16] + stbuf_data[0] <= _T_668 @[lsu_stbuf.scala 168:19] + node _T_669 = bits(stbuf_wr_en, 1, 1) @[lsu_stbuf.scala 167:56] + node _T_670 = bits(_T_669, 0, 0) @[lsu_stbuf.scala 167:66] + inst rvclkhdr_2 of rvclkhdr_4 @[lib.scala 368:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_2.io.en <= _T_670 @[lib.scala 371:17] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_671 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_671 <= stbuf_addrin[1] @[lib.scala 374:16] + stbuf_addr[1] <= _T_671 @[lsu_stbuf.scala 167:19] + node _T_672 = bits(stbuf_wr_en, 1, 1) @[lsu_stbuf.scala 168:56] + node _T_673 = bits(_T_672, 0, 0) @[lsu_stbuf.scala 168:66] + inst rvclkhdr_3 of rvclkhdr_5 @[lib.scala 368:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_3.io.en <= _T_673 @[lib.scala 371:17] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_674 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_674 <= stbuf_datain[1] @[lib.scala 374:16] + stbuf_data[1] <= _T_674 @[lsu_stbuf.scala 168:19] + node _T_675 = bits(stbuf_wr_en, 2, 2) @[lsu_stbuf.scala 167:56] + node _T_676 = bits(_T_675, 0, 0) @[lsu_stbuf.scala 167:66] + inst rvclkhdr_4 of rvclkhdr_6 @[lib.scala 368:23] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_4.io.en <= _T_676 @[lib.scala 371:17] + rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_677 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_677 <= stbuf_addrin[2] @[lib.scala 374:16] + stbuf_addr[2] <= _T_677 @[lsu_stbuf.scala 167:19] + node _T_678 = bits(stbuf_wr_en, 2, 2) @[lsu_stbuf.scala 168:56] + node _T_679 = bits(_T_678, 0, 0) @[lsu_stbuf.scala 168:66] + inst rvclkhdr_5 of rvclkhdr_7 @[lib.scala 368:23] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_5.io.en <= _T_679 @[lib.scala 371:17] + rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_680 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_680 <= stbuf_datain[2] @[lib.scala 374:16] + stbuf_data[2] <= _T_680 @[lsu_stbuf.scala 168:19] + node _T_681 = bits(stbuf_wr_en, 3, 3) @[lsu_stbuf.scala 167:56] + node _T_682 = bits(_T_681, 0, 0) @[lsu_stbuf.scala 167:66] + inst rvclkhdr_6 of rvclkhdr_8 @[lib.scala 368:23] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= reset + rvclkhdr_6.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_6.io.en <= _T_682 @[lib.scala 371:17] + rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_683 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_683 <= stbuf_addrin[3] @[lib.scala 374:16] + stbuf_addr[3] <= _T_683 @[lsu_stbuf.scala 167:19] + node _T_684 = bits(stbuf_wr_en, 3, 3) @[lsu_stbuf.scala 168:56] + node _T_685 = bits(_T_684, 0, 0) @[lsu_stbuf.scala 168:66] + inst rvclkhdr_7 of rvclkhdr_9 @[lib.scala 368:23] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= reset + rvclkhdr_7.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_7.io.en <= _T_685 @[lib.scala 371:17] + rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_686 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_686 <= stbuf_datain[3] @[lib.scala 374:16] + stbuf_data[3] <= _T_686 @[lsu_stbuf.scala 168:19] + reg _T_687 : UInt<1>, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 170:52] + _T_687 <= ldst_dual_d @[lsu_stbuf.scala 170:52] + ldst_dual_m <= _T_687 @[lsu_stbuf.scala 170:42] + reg _T_688 : UInt<1>, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 171:52] + _T_688 <= ldst_dual_m @[lsu_stbuf.scala 171:52] + ldst_dual_r <= _T_688 @[lsu_stbuf.scala 171:42] + node _T_689 = dshr(stbuf_vld, RdPtr) @[lsu_stbuf.scala 174:43] + node _T_690 = bits(_T_689, 0, 0) @[lsu_stbuf.scala 174:43] + node _T_691 = dshr(stbuf_dma_kill, RdPtr) @[lsu_stbuf.scala 174:67] + node _T_692 = bits(_T_691, 0, 0) @[lsu_stbuf.scala 174:67] + node _T_693 = and(_T_690, _T_692) @[lsu_stbuf.scala 174:51] + io.stbuf_reqvld_flushed_any <= _T_693 @[lsu_stbuf.scala 174:31] + node _T_694 = dshr(stbuf_vld, RdPtr) @[lsu_stbuf.scala 175:36] + node _T_695 = bits(_T_694, 0, 0) @[lsu_stbuf.scala 175:36] + node _T_696 = dshr(stbuf_dma_kill, RdPtr) @[lsu_stbuf.scala 175:61] + node _T_697 = bits(_T_696, 0, 0) @[lsu_stbuf.scala 175:61] + node _T_698 = eq(_T_697, UInt<1>("h00")) @[lsu_stbuf.scala 175:46] + node _T_699 = and(_T_695, _T_698) @[lsu_stbuf.scala 175:44] + node _T_700 = orr(stbuf_dma_kill_en) @[lsu_stbuf.scala 175:91] + node _T_701 = eq(_T_700, UInt<1>("h00")) @[lsu_stbuf.scala 175:71] + node _T_702 = and(_T_699, _T_701) @[lsu_stbuf.scala 175:69] + io.stbuf_reqvld_any <= _T_702 @[lsu_stbuf.scala 175:24] + io.stbuf_addr_any <= stbuf_addr[RdPtr] @[lsu_stbuf.scala 176:22] + io.stbuf_data_any <= stbuf_data[RdPtr] @[lsu_stbuf.scala 177:22] + node _T_703 = eq(dual_stbuf_write_r, UInt<1>("h00")) @[lsu_stbuf.scala 179:44] + node _T_704 = and(io.ldst_stbuf_reqvld_r, _T_703) @[lsu_stbuf.scala 179:42] + node _T_705 = or(store_coalesce_hi_r, store_coalesce_lo_r) @[lsu_stbuf.scala 179:88] + node _T_706 = eq(_T_705, UInt<1>("h00")) @[lsu_stbuf.scala 179:66] + node _T_707 = and(_T_704, _T_706) @[lsu_stbuf.scala 179:64] + node _T_708 = and(io.ldst_stbuf_reqvld_r, dual_stbuf_write_r) @[lsu_stbuf.scala 180:30] + node _T_709 = and(store_coalesce_hi_r, store_coalesce_lo_r) @[lsu_stbuf.scala 180:76] + node _T_710 = eq(_T_709, UInt<1>("h00")) @[lsu_stbuf.scala 180:54] + node _T_711 = and(_T_708, _T_710) @[lsu_stbuf.scala 180:52] + node _T_712 = or(_T_707, _T_711) @[lsu_stbuf.scala 179:113] + node WrPtrEn = bits(_T_712, 0, 0) @[lsu_stbuf.scala 180:101] + node _T_713 = and(io.ldst_stbuf_reqvld_r, dual_stbuf_write_r) @[lsu_stbuf.scala 181:46] + node _T_714 = or(store_coalesce_hi_r, store_coalesce_lo_r) @[lsu_stbuf.scala 181:91] + node _T_715 = eq(_T_714, UInt<1>("h00")) @[lsu_stbuf.scala 181:69] + node _T_716 = and(_T_713, _T_715) @[lsu_stbuf.scala 181:67] + node _T_717 = bits(_T_716, 0, 0) @[lsu_stbuf.scala 181:115] + node NxtWrPtr = mux(_T_717, WrPtrPlus2, WrPtrPlus1) @[lsu_stbuf.scala 181:21] + node RdPtrEn = or(io.lsu_stbuf_commit_any, io.stbuf_reqvld_flushed_any) @[lsu_stbuf.scala 182:42] + reg _T_718 : UInt, io.lsu_stbuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when WrPtrEn : @[Reg.scala 28:19] + _T_718 <= NxtWrPtr @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + WrPtr <= _T_718 @[lsu_stbuf.scala 185:41] + reg _T_719 : UInt, io.lsu_stbuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when RdPtrEn : @[Reg.scala 28:19] + _T_719 <= RdPtrPlus1 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + RdPtr <= _T_719 @[lsu_stbuf.scala 186:41] + node _T_720 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 188:86] + node _T_721 = cat(UInt<3>("h00"), _T_720) @[Cat.scala 29:58] + node _T_722 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 188:86] + node _T_723 = cat(UInt<3>("h00"), _T_722) @[Cat.scala 29:58] + node _T_724 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 188:86] + node _T_725 = cat(UInt<3>("h00"), _T_724) @[Cat.scala 29:58] + node _T_726 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 188:86] + node _T_727 = cat(UInt<3>("h00"), _T_726) @[Cat.scala 29:58] + wire _T_728 : UInt<4>[4] @[lsu_stbuf.scala 188:59] + _T_728[0] <= _T_721 @[lsu_stbuf.scala 188:59] + _T_728[1] <= _T_723 @[lsu_stbuf.scala 188:59] + _T_728[2] <= _T_725 @[lsu_stbuf.scala 188:59] + _T_728[3] <= _T_727 @[lsu_stbuf.scala 188:59] + node _T_729 = add(_T_728[0], _T_728[1]) @[lsu_stbuf.scala 188:101] + node _T_730 = tail(_T_729, 1) @[lsu_stbuf.scala 188:101] + node _T_731 = add(_T_730, _T_728[2]) @[lsu_stbuf.scala 188:101] + node _T_732 = tail(_T_731, 1) @[lsu_stbuf.scala 188:101] + node _T_733 = add(_T_732, _T_728[3]) @[lsu_stbuf.scala 188:101] + node stbuf_numvld_any = tail(_T_733, 1) @[lsu_stbuf.scala 188:101] + node _T_734 = and(io.lsu_pkt_m.valid, io.lsu_pkt_m.bits.store) @[lsu_stbuf.scala 189:39] + node _T_735 = and(_T_734, io.addr_in_dccm_m) @[lsu_stbuf.scala 189:65] + node _T_736 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_stbuf.scala 189:87] + node isdccmst_m = and(_T_735, _T_736) @[lsu_stbuf.scala 189:85] + node _T_737 = and(io.lsu_pkt_r.valid, io.lsu_pkt_r.bits.store) @[lsu_stbuf.scala 190:39] + node _T_738 = and(_T_737, io.addr_in_dccm_r) @[lsu_stbuf.scala 190:65] + node _T_739 = eq(io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu_stbuf.scala 190:87] + node isdccmst_r = and(_T_738, _T_739) @[lsu_stbuf.scala 190:85] + node _T_740 = cat(UInt<1>("h00"), isdccmst_m) @[Cat.scala 29:58] + node _T_741 = and(isdccmst_m, ldst_dual_m) @[lsu_stbuf.scala 192:62] + node _T_742 = dshl(_T_740, _T_741) @[lsu_stbuf.scala 192:47] + stbuf_specvld_m <= _T_742 @[lsu_stbuf.scala 192:19] + node _T_743 = cat(UInt<1>("h00"), isdccmst_r) @[Cat.scala 29:58] + node _T_744 = and(isdccmst_r, ldst_dual_r) @[lsu_stbuf.scala 193:62] + node _T_745 = dshl(_T_743, _T_744) @[lsu_stbuf.scala 193:47] + stbuf_specvld_r <= _T_745 @[lsu_stbuf.scala 193:19] + node _T_746 = cat(UInt<2>("h00"), stbuf_specvld_m) @[Cat.scala 29:58] + node _T_747 = add(stbuf_numvld_any, _T_746) @[lsu_stbuf.scala 194:44] + node _T_748 = tail(_T_747, 1) @[lsu_stbuf.scala 194:44] + node _T_749 = cat(UInt<2>("h00"), stbuf_specvld_r) @[Cat.scala 29:58] + node _T_750 = add(_T_748, _T_749) @[lsu_stbuf.scala 194:78] + node stbuf_specvld_any = tail(_T_750, 1) @[lsu_stbuf.scala 194:78] + node _T_751 = eq(ldst_dual_d, UInt<1>("h00")) @[lsu_stbuf.scala 196:34] + node _T_752 = and(_T_751, io.dec_lsu_valid_raw_d) @[lsu_stbuf.scala 196:47] + node _T_753 = bits(_T_752, 0, 0) @[lsu_stbuf.scala 196:73] + node _T_754 = geq(stbuf_specvld_any, UInt<3>("h04")) @[lsu_stbuf.scala 196:99] + node _T_755 = geq(stbuf_specvld_any, UInt<2>("h03")) @[lsu_stbuf.scala 196:140] + node _T_756 = mux(_T_753, _T_754, _T_755) @[lsu_stbuf.scala 196:32] + io.lsu_stbuf_full_any <= _T_756 @[lsu_stbuf.scala 196:26] + node _T_757 = eq(stbuf_numvld_any, UInt<1>("h00")) @[lsu_stbuf.scala 197:46] + io.lsu_stbuf_empty_any <= _T_757 @[lsu_stbuf.scala 197:26] + node cmpen_hi_m = and(io.lsu_cmpen_m, ldst_dual_m) @[lsu_stbuf.scala 199:36] + node _T_758 = bits(io.end_addr_m, 15, 2) @[lsu_stbuf.scala 200:32] + cmpaddr_hi_m <= _T_758 @[lsu_stbuf.scala 200:16] + node _T_759 = bits(io.lsu_addr_m, 15, 2) @[lsu_stbuf.scala 203:33] + cmpaddr_lo_m <= _T_759 @[lsu_stbuf.scala 203:17] + node _T_760 = bits(stbuf_addr[0], 15, 2) @[lsu_stbuf.scala 206:73] + node _T_761 = bits(cmpaddr_hi_m, 13, 0) @[lsu_stbuf.scala 206:131] + node _T_762 = eq(_T_760, _T_761) @[lsu_stbuf.scala 206:115] + node _T_763 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 206:150] + node _T_764 = and(_T_762, _T_763) @[lsu_stbuf.scala 206:139] + node _T_765 = bits(stbuf_dma_kill, 0, 0) @[lsu_stbuf.scala 206:171] + node _T_766 = eq(_T_765, UInt<1>("h00")) @[lsu_stbuf.scala 206:156] + node _T_767 = and(_T_764, _T_766) @[lsu_stbuf.scala 206:154] + node _T_768 = and(_T_767, io.addr_in_dccm_m) @[lsu_stbuf.scala 206:175] + node _T_769 = bits(stbuf_addr[1], 15, 2) @[lsu_stbuf.scala 206:73] + node _T_770 = bits(cmpaddr_hi_m, 13, 0) @[lsu_stbuf.scala 206:131] + node _T_771 = eq(_T_769, _T_770) @[lsu_stbuf.scala 206:115] + node _T_772 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 206:150] + node _T_773 = and(_T_771, _T_772) @[lsu_stbuf.scala 206:139] + node _T_774 = bits(stbuf_dma_kill, 1, 1) @[lsu_stbuf.scala 206:171] + node _T_775 = eq(_T_774, UInt<1>("h00")) @[lsu_stbuf.scala 206:156] + node _T_776 = and(_T_773, _T_775) @[lsu_stbuf.scala 206:154] + node _T_777 = and(_T_776, io.addr_in_dccm_m) @[lsu_stbuf.scala 206:175] + node _T_778 = bits(stbuf_addr[2], 15, 2) @[lsu_stbuf.scala 206:73] + node _T_779 = bits(cmpaddr_hi_m, 13, 0) @[lsu_stbuf.scala 206:131] + node _T_780 = eq(_T_778, _T_779) @[lsu_stbuf.scala 206:115] + node _T_781 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 206:150] + node _T_782 = and(_T_780, _T_781) @[lsu_stbuf.scala 206:139] + node _T_783 = bits(stbuf_dma_kill, 2, 2) @[lsu_stbuf.scala 206:171] + node _T_784 = eq(_T_783, UInt<1>("h00")) @[lsu_stbuf.scala 206:156] + node _T_785 = and(_T_782, _T_784) @[lsu_stbuf.scala 206:154] + node _T_786 = and(_T_785, io.addr_in_dccm_m) @[lsu_stbuf.scala 206:175] + node _T_787 = bits(stbuf_addr[3], 15, 2) @[lsu_stbuf.scala 206:73] + node _T_788 = bits(cmpaddr_hi_m, 13, 0) @[lsu_stbuf.scala 206:131] + node _T_789 = eq(_T_787, _T_788) @[lsu_stbuf.scala 206:115] + node _T_790 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 206:150] + node _T_791 = and(_T_789, _T_790) @[lsu_stbuf.scala 206:139] + node _T_792 = bits(stbuf_dma_kill, 3, 3) @[lsu_stbuf.scala 206:171] + node _T_793 = eq(_T_792, UInt<1>("h00")) @[lsu_stbuf.scala 206:156] + node _T_794 = and(_T_791, _T_793) @[lsu_stbuf.scala 206:154] + node _T_795 = and(_T_794, io.addr_in_dccm_m) @[lsu_stbuf.scala 206:175] + node _T_796 = cat(_T_795, _T_786) @[Cat.scala 29:58] + node _T_797 = cat(_T_796, _T_777) @[Cat.scala 29:58] + node stbuf_match_hi = cat(_T_797, _T_768) @[Cat.scala 29:58] + node _T_798 = bits(stbuf_addr[0], 15, 2) @[lsu_stbuf.scala 207:73] + node _T_799 = bits(cmpaddr_lo_m, 13, 0) @[lsu_stbuf.scala 207:131] + node _T_800 = eq(_T_798, _T_799) @[lsu_stbuf.scala 207:115] + node _T_801 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 207:150] + node _T_802 = and(_T_800, _T_801) @[lsu_stbuf.scala 207:139] + node _T_803 = bits(stbuf_dma_kill, 0, 0) @[lsu_stbuf.scala 207:171] + node _T_804 = eq(_T_803, UInt<1>("h00")) @[lsu_stbuf.scala 207:156] + node _T_805 = and(_T_802, _T_804) @[lsu_stbuf.scala 207:154] + node _T_806 = and(_T_805, io.addr_in_dccm_m) @[lsu_stbuf.scala 207:175] + node _T_807 = bits(stbuf_addr[1], 15, 2) @[lsu_stbuf.scala 207:73] + node _T_808 = bits(cmpaddr_lo_m, 13, 0) @[lsu_stbuf.scala 207:131] + node _T_809 = eq(_T_807, _T_808) @[lsu_stbuf.scala 207:115] + node _T_810 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 207:150] + node _T_811 = and(_T_809, _T_810) @[lsu_stbuf.scala 207:139] + node _T_812 = bits(stbuf_dma_kill, 1, 1) @[lsu_stbuf.scala 207:171] + node _T_813 = eq(_T_812, UInt<1>("h00")) @[lsu_stbuf.scala 207:156] + node _T_814 = and(_T_811, _T_813) @[lsu_stbuf.scala 207:154] + node _T_815 = and(_T_814, io.addr_in_dccm_m) @[lsu_stbuf.scala 207:175] + node _T_816 = bits(stbuf_addr[2], 15, 2) @[lsu_stbuf.scala 207:73] + node _T_817 = bits(cmpaddr_lo_m, 13, 0) @[lsu_stbuf.scala 207:131] + node _T_818 = eq(_T_816, _T_817) @[lsu_stbuf.scala 207:115] + node _T_819 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 207:150] + node _T_820 = and(_T_818, _T_819) @[lsu_stbuf.scala 207:139] + node _T_821 = bits(stbuf_dma_kill, 2, 2) @[lsu_stbuf.scala 207:171] + node _T_822 = eq(_T_821, UInt<1>("h00")) @[lsu_stbuf.scala 207:156] + node _T_823 = and(_T_820, _T_822) @[lsu_stbuf.scala 207:154] + node _T_824 = and(_T_823, io.addr_in_dccm_m) @[lsu_stbuf.scala 207:175] + node _T_825 = bits(stbuf_addr[3], 15, 2) @[lsu_stbuf.scala 207:73] + node _T_826 = bits(cmpaddr_lo_m, 13, 0) @[lsu_stbuf.scala 207:131] + node _T_827 = eq(_T_825, _T_826) @[lsu_stbuf.scala 207:115] + node _T_828 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 207:150] + node _T_829 = and(_T_827, _T_828) @[lsu_stbuf.scala 207:139] + node _T_830 = bits(stbuf_dma_kill, 3, 3) @[lsu_stbuf.scala 207:171] + node _T_831 = eq(_T_830, UInt<1>("h00")) @[lsu_stbuf.scala 207:156] + node _T_832 = and(_T_829, _T_831) @[lsu_stbuf.scala 207:154] + node _T_833 = and(_T_832, io.addr_in_dccm_m) @[lsu_stbuf.scala 207:175] + node _T_834 = cat(_T_833, _T_824) @[Cat.scala 29:58] + node _T_835 = cat(_T_834, _T_815) @[Cat.scala 29:58] + node stbuf_match_lo = cat(_T_835, _T_806) @[Cat.scala 29:58] + node _T_836 = bits(stbuf_match_hi, 0, 0) @[lsu_stbuf.scala 208:74] + node _T_837 = bits(stbuf_match_lo, 0, 0) @[lsu_stbuf.scala 208:94] + node _T_838 = or(_T_836, _T_837) @[lsu_stbuf.scala 208:78] + node _T_839 = and(_T_838, io.lsu_pkt_m.valid) @[lsu_stbuf.scala 208:99] + node _T_840 = and(_T_839, io.lsu_pkt_m.bits.dma) @[lsu_stbuf.scala 208:120] + node _T_841 = and(_T_840, io.lsu_pkt_m.bits.store) @[lsu_stbuf.scala 208:144] + node _T_842 = bits(stbuf_match_hi, 1, 1) @[lsu_stbuf.scala 208:74] + node _T_843 = bits(stbuf_match_lo, 1, 1) @[lsu_stbuf.scala 208:94] + node _T_844 = or(_T_842, _T_843) @[lsu_stbuf.scala 208:78] + node _T_845 = and(_T_844, io.lsu_pkt_m.valid) @[lsu_stbuf.scala 208:99] + node _T_846 = and(_T_845, io.lsu_pkt_m.bits.dma) @[lsu_stbuf.scala 208:120] + node _T_847 = and(_T_846, io.lsu_pkt_m.bits.store) @[lsu_stbuf.scala 208:144] + node _T_848 = bits(stbuf_match_hi, 2, 2) @[lsu_stbuf.scala 208:74] + node _T_849 = bits(stbuf_match_lo, 2, 2) @[lsu_stbuf.scala 208:94] + node _T_850 = or(_T_848, _T_849) @[lsu_stbuf.scala 208:78] + node _T_851 = and(_T_850, io.lsu_pkt_m.valid) @[lsu_stbuf.scala 208:99] + node _T_852 = and(_T_851, io.lsu_pkt_m.bits.dma) @[lsu_stbuf.scala 208:120] + node _T_853 = and(_T_852, io.lsu_pkt_m.bits.store) @[lsu_stbuf.scala 208:144] + node _T_854 = bits(stbuf_match_hi, 3, 3) @[lsu_stbuf.scala 208:74] + node _T_855 = bits(stbuf_match_lo, 3, 3) @[lsu_stbuf.scala 208:94] + node _T_856 = or(_T_854, _T_855) @[lsu_stbuf.scala 208:78] + node _T_857 = and(_T_856, io.lsu_pkt_m.valid) @[lsu_stbuf.scala 208:99] + node _T_858 = and(_T_857, io.lsu_pkt_m.bits.dma) @[lsu_stbuf.scala 208:120] + node _T_859 = and(_T_858, io.lsu_pkt_m.bits.store) @[lsu_stbuf.scala 208:144] + node _T_860 = cat(_T_859, _T_853) @[Cat.scala 29:58] + node _T_861 = cat(_T_860, _T_847) @[Cat.scala 29:58] + node _T_862 = cat(_T_861, _T_841) @[Cat.scala 29:58] + stbuf_dma_kill_en <= _T_862 @[lsu_stbuf.scala 208:21] + node _T_863 = bits(stbuf_match_hi, 0, 0) @[lsu_stbuf.scala 211:112] + node _T_864 = bits(stbuf_byteen[0], 0, 0) @[lsu_stbuf.scala 211:133] + node _T_865 = and(_T_863, _T_864) @[lsu_stbuf.scala 211:116] + node _T_866 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 211:148] + node stbuf_fwdbyteenvec_hi_0_0 = and(_T_865, _T_866) @[lsu_stbuf.scala 211:137] + node _T_867 = bits(stbuf_match_hi, 0, 0) @[lsu_stbuf.scala 211:112] + node _T_868 = bits(stbuf_byteen[0], 1, 1) @[lsu_stbuf.scala 211:133] + node _T_869 = and(_T_867, _T_868) @[lsu_stbuf.scala 211:116] + node _T_870 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 211:148] + node stbuf_fwdbyteenvec_hi_0_1 = and(_T_869, _T_870) @[lsu_stbuf.scala 211:137] + node _T_871 = bits(stbuf_match_hi, 0, 0) @[lsu_stbuf.scala 211:112] + node _T_872 = bits(stbuf_byteen[0], 2, 2) @[lsu_stbuf.scala 211:133] + node _T_873 = and(_T_871, _T_872) @[lsu_stbuf.scala 211:116] + node _T_874 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 211:148] + node stbuf_fwdbyteenvec_hi_0_2 = and(_T_873, _T_874) @[lsu_stbuf.scala 211:137] + node _T_875 = bits(stbuf_match_hi, 0, 0) @[lsu_stbuf.scala 211:112] + node _T_876 = bits(stbuf_byteen[0], 3, 3) @[lsu_stbuf.scala 211:133] + node _T_877 = and(_T_875, _T_876) @[lsu_stbuf.scala 211:116] + node _T_878 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 211:148] + node stbuf_fwdbyteenvec_hi_0_3 = and(_T_877, _T_878) @[lsu_stbuf.scala 211:137] + node _T_879 = bits(stbuf_match_hi, 1, 1) @[lsu_stbuf.scala 211:112] + node _T_880 = bits(stbuf_byteen[1], 0, 0) @[lsu_stbuf.scala 211:133] + node _T_881 = and(_T_879, _T_880) @[lsu_stbuf.scala 211:116] + node _T_882 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 211:148] + node stbuf_fwdbyteenvec_hi_1_0 = and(_T_881, _T_882) @[lsu_stbuf.scala 211:137] + node _T_883 = bits(stbuf_match_hi, 1, 1) @[lsu_stbuf.scala 211:112] + node _T_884 = bits(stbuf_byteen[1], 1, 1) @[lsu_stbuf.scala 211:133] + node _T_885 = and(_T_883, _T_884) @[lsu_stbuf.scala 211:116] + node _T_886 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 211:148] + node stbuf_fwdbyteenvec_hi_1_1 = and(_T_885, _T_886) @[lsu_stbuf.scala 211:137] + node _T_887 = bits(stbuf_match_hi, 1, 1) @[lsu_stbuf.scala 211:112] + node _T_888 = bits(stbuf_byteen[1], 2, 2) @[lsu_stbuf.scala 211:133] + node _T_889 = and(_T_887, _T_888) @[lsu_stbuf.scala 211:116] + node _T_890 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 211:148] + node stbuf_fwdbyteenvec_hi_1_2 = and(_T_889, _T_890) @[lsu_stbuf.scala 211:137] + node _T_891 = bits(stbuf_match_hi, 1, 1) @[lsu_stbuf.scala 211:112] + node _T_892 = bits(stbuf_byteen[1], 3, 3) @[lsu_stbuf.scala 211:133] + node _T_893 = and(_T_891, _T_892) @[lsu_stbuf.scala 211:116] + node _T_894 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 211:148] + node stbuf_fwdbyteenvec_hi_1_3 = and(_T_893, _T_894) @[lsu_stbuf.scala 211:137] + node _T_895 = bits(stbuf_match_hi, 2, 2) @[lsu_stbuf.scala 211:112] + node _T_896 = bits(stbuf_byteen[2], 0, 0) @[lsu_stbuf.scala 211:133] + node _T_897 = and(_T_895, _T_896) @[lsu_stbuf.scala 211:116] + node _T_898 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 211:148] + node stbuf_fwdbyteenvec_hi_2_0 = and(_T_897, _T_898) @[lsu_stbuf.scala 211:137] + node _T_899 = bits(stbuf_match_hi, 2, 2) @[lsu_stbuf.scala 211:112] + node _T_900 = bits(stbuf_byteen[2], 1, 1) @[lsu_stbuf.scala 211:133] + node _T_901 = and(_T_899, _T_900) @[lsu_stbuf.scala 211:116] + node _T_902 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 211:148] + node stbuf_fwdbyteenvec_hi_2_1 = and(_T_901, _T_902) @[lsu_stbuf.scala 211:137] + node _T_903 = bits(stbuf_match_hi, 2, 2) @[lsu_stbuf.scala 211:112] + node _T_904 = bits(stbuf_byteen[2], 2, 2) @[lsu_stbuf.scala 211:133] + node _T_905 = and(_T_903, _T_904) @[lsu_stbuf.scala 211:116] + node _T_906 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 211:148] + node stbuf_fwdbyteenvec_hi_2_2 = and(_T_905, _T_906) @[lsu_stbuf.scala 211:137] + node _T_907 = bits(stbuf_match_hi, 2, 2) @[lsu_stbuf.scala 211:112] + node _T_908 = bits(stbuf_byteen[2], 3, 3) @[lsu_stbuf.scala 211:133] + node _T_909 = and(_T_907, _T_908) @[lsu_stbuf.scala 211:116] + node _T_910 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 211:148] + node stbuf_fwdbyteenvec_hi_2_3 = and(_T_909, _T_910) @[lsu_stbuf.scala 211:137] + node _T_911 = bits(stbuf_match_hi, 3, 3) @[lsu_stbuf.scala 211:112] + node _T_912 = bits(stbuf_byteen[3], 0, 0) @[lsu_stbuf.scala 211:133] + node _T_913 = and(_T_911, _T_912) @[lsu_stbuf.scala 211:116] + node _T_914 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 211:148] + node stbuf_fwdbyteenvec_hi_3_0 = and(_T_913, _T_914) @[lsu_stbuf.scala 211:137] + node _T_915 = bits(stbuf_match_hi, 3, 3) @[lsu_stbuf.scala 211:112] + node _T_916 = bits(stbuf_byteen[3], 1, 1) @[lsu_stbuf.scala 211:133] + node _T_917 = and(_T_915, _T_916) @[lsu_stbuf.scala 211:116] + node _T_918 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 211:148] + node stbuf_fwdbyteenvec_hi_3_1 = and(_T_917, _T_918) @[lsu_stbuf.scala 211:137] + node _T_919 = bits(stbuf_match_hi, 3, 3) @[lsu_stbuf.scala 211:112] + node _T_920 = bits(stbuf_byteen[3], 2, 2) @[lsu_stbuf.scala 211:133] + node _T_921 = and(_T_919, _T_920) @[lsu_stbuf.scala 211:116] + node _T_922 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 211:148] + node stbuf_fwdbyteenvec_hi_3_2 = and(_T_921, _T_922) @[lsu_stbuf.scala 211:137] + node _T_923 = bits(stbuf_match_hi, 3, 3) @[lsu_stbuf.scala 211:112] + node _T_924 = bits(stbuf_byteen[3], 3, 3) @[lsu_stbuf.scala 211:133] + node _T_925 = and(_T_923, _T_924) @[lsu_stbuf.scala 211:116] + node _T_926 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 211:148] + node stbuf_fwdbyteenvec_hi_3_3 = and(_T_925, _T_926) @[lsu_stbuf.scala 211:137] + node _T_927 = bits(stbuf_match_lo, 0, 0) @[lsu_stbuf.scala 212:112] + node _T_928 = bits(stbuf_byteen[0], 0, 0) @[lsu_stbuf.scala 212:133] + node _T_929 = and(_T_927, _T_928) @[lsu_stbuf.scala 212:116] + node _T_930 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 212:148] + node stbuf_fwdbyteenvec_lo_0_0 = and(_T_929, _T_930) @[lsu_stbuf.scala 212:137] + node _T_931 = bits(stbuf_match_lo, 0, 0) @[lsu_stbuf.scala 212:112] + node _T_932 = bits(stbuf_byteen[0], 1, 1) @[lsu_stbuf.scala 212:133] + node _T_933 = and(_T_931, _T_932) @[lsu_stbuf.scala 212:116] + node _T_934 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 212:148] + node stbuf_fwdbyteenvec_lo_0_1 = and(_T_933, _T_934) @[lsu_stbuf.scala 212:137] + node _T_935 = bits(stbuf_match_lo, 0, 0) @[lsu_stbuf.scala 212:112] + node _T_936 = bits(stbuf_byteen[0], 2, 2) @[lsu_stbuf.scala 212:133] + node _T_937 = and(_T_935, _T_936) @[lsu_stbuf.scala 212:116] + node _T_938 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 212:148] + node stbuf_fwdbyteenvec_lo_0_2 = and(_T_937, _T_938) @[lsu_stbuf.scala 212:137] + node _T_939 = bits(stbuf_match_lo, 0, 0) @[lsu_stbuf.scala 212:112] + node _T_940 = bits(stbuf_byteen[0], 3, 3) @[lsu_stbuf.scala 212:133] + node _T_941 = and(_T_939, _T_940) @[lsu_stbuf.scala 212:116] + node _T_942 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 212:148] + node stbuf_fwdbyteenvec_lo_0_3 = and(_T_941, _T_942) @[lsu_stbuf.scala 212:137] + node _T_943 = bits(stbuf_match_lo, 1, 1) @[lsu_stbuf.scala 212:112] + node _T_944 = bits(stbuf_byteen[1], 0, 0) @[lsu_stbuf.scala 212:133] + node _T_945 = and(_T_943, _T_944) @[lsu_stbuf.scala 212:116] + node _T_946 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 212:148] + node stbuf_fwdbyteenvec_lo_1_0 = and(_T_945, _T_946) @[lsu_stbuf.scala 212:137] + node _T_947 = bits(stbuf_match_lo, 1, 1) @[lsu_stbuf.scala 212:112] + node _T_948 = bits(stbuf_byteen[1], 1, 1) @[lsu_stbuf.scala 212:133] + node _T_949 = and(_T_947, _T_948) @[lsu_stbuf.scala 212:116] + node _T_950 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 212:148] + node stbuf_fwdbyteenvec_lo_1_1 = and(_T_949, _T_950) @[lsu_stbuf.scala 212:137] + node _T_951 = bits(stbuf_match_lo, 1, 1) @[lsu_stbuf.scala 212:112] + node _T_952 = bits(stbuf_byteen[1], 2, 2) @[lsu_stbuf.scala 212:133] + node _T_953 = and(_T_951, _T_952) @[lsu_stbuf.scala 212:116] + node _T_954 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 212:148] + node stbuf_fwdbyteenvec_lo_1_2 = and(_T_953, _T_954) @[lsu_stbuf.scala 212:137] + node _T_955 = bits(stbuf_match_lo, 1, 1) @[lsu_stbuf.scala 212:112] + node _T_956 = bits(stbuf_byteen[1], 3, 3) @[lsu_stbuf.scala 212:133] + node _T_957 = and(_T_955, _T_956) @[lsu_stbuf.scala 212:116] + node _T_958 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 212:148] + node stbuf_fwdbyteenvec_lo_1_3 = and(_T_957, _T_958) @[lsu_stbuf.scala 212:137] + node _T_959 = bits(stbuf_match_lo, 2, 2) @[lsu_stbuf.scala 212:112] + node _T_960 = bits(stbuf_byteen[2], 0, 0) @[lsu_stbuf.scala 212:133] + node _T_961 = and(_T_959, _T_960) @[lsu_stbuf.scala 212:116] + node _T_962 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 212:148] + node stbuf_fwdbyteenvec_lo_2_0 = and(_T_961, _T_962) @[lsu_stbuf.scala 212:137] + node _T_963 = bits(stbuf_match_lo, 2, 2) @[lsu_stbuf.scala 212:112] + node _T_964 = bits(stbuf_byteen[2], 1, 1) @[lsu_stbuf.scala 212:133] + node _T_965 = and(_T_963, _T_964) @[lsu_stbuf.scala 212:116] + node _T_966 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 212:148] + node stbuf_fwdbyteenvec_lo_2_1 = and(_T_965, _T_966) @[lsu_stbuf.scala 212:137] + node _T_967 = bits(stbuf_match_lo, 2, 2) @[lsu_stbuf.scala 212:112] + node _T_968 = bits(stbuf_byteen[2], 2, 2) @[lsu_stbuf.scala 212:133] + node _T_969 = and(_T_967, _T_968) @[lsu_stbuf.scala 212:116] + node _T_970 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 212:148] + node stbuf_fwdbyteenvec_lo_2_2 = and(_T_969, _T_970) @[lsu_stbuf.scala 212:137] + node _T_971 = bits(stbuf_match_lo, 2, 2) @[lsu_stbuf.scala 212:112] + node _T_972 = bits(stbuf_byteen[2], 3, 3) @[lsu_stbuf.scala 212:133] + node _T_973 = and(_T_971, _T_972) @[lsu_stbuf.scala 212:116] + node _T_974 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 212:148] + node stbuf_fwdbyteenvec_lo_2_3 = and(_T_973, _T_974) @[lsu_stbuf.scala 212:137] + node _T_975 = bits(stbuf_match_lo, 3, 3) @[lsu_stbuf.scala 212:112] + node _T_976 = bits(stbuf_byteen[3], 0, 0) @[lsu_stbuf.scala 212:133] + node _T_977 = and(_T_975, _T_976) @[lsu_stbuf.scala 212:116] + node _T_978 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 212:148] + node stbuf_fwdbyteenvec_lo_3_0 = and(_T_977, _T_978) @[lsu_stbuf.scala 212:137] + node _T_979 = bits(stbuf_match_lo, 3, 3) @[lsu_stbuf.scala 212:112] + node _T_980 = bits(stbuf_byteen[3], 1, 1) @[lsu_stbuf.scala 212:133] + node _T_981 = and(_T_979, _T_980) @[lsu_stbuf.scala 212:116] + node _T_982 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 212:148] + node stbuf_fwdbyteenvec_lo_3_1 = and(_T_981, _T_982) @[lsu_stbuf.scala 212:137] + node _T_983 = bits(stbuf_match_lo, 3, 3) @[lsu_stbuf.scala 212:112] + node _T_984 = bits(stbuf_byteen[3], 2, 2) @[lsu_stbuf.scala 212:133] + node _T_985 = and(_T_983, _T_984) @[lsu_stbuf.scala 212:116] + node _T_986 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 212:148] + node stbuf_fwdbyteenvec_lo_3_2 = and(_T_985, _T_986) @[lsu_stbuf.scala 212:137] + node _T_987 = bits(stbuf_match_lo, 3, 3) @[lsu_stbuf.scala 212:112] + node _T_988 = bits(stbuf_byteen[3], 3, 3) @[lsu_stbuf.scala 212:133] + node _T_989 = and(_T_987, _T_988) @[lsu_stbuf.scala 212:116] + node _T_990 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 212:148] + node stbuf_fwdbyteenvec_lo_3_3 = and(_T_989, _T_990) @[lsu_stbuf.scala 212:137] + node _T_991 = or(stbuf_fwdbyteenvec_hi_0_0, stbuf_fwdbyteenvec_hi_1_0) @[lsu_stbuf.scala 213:147] + node _T_992 = or(_T_991, stbuf_fwdbyteenvec_hi_2_0) @[lsu_stbuf.scala 213:147] + node stbuf_fwdbyteen_hi_pre_m_0 = or(_T_992, stbuf_fwdbyteenvec_hi_3_0) @[lsu_stbuf.scala 213:147] + node _T_993 = or(stbuf_fwdbyteenvec_hi_0_1, stbuf_fwdbyteenvec_hi_1_1) @[lsu_stbuf.scala 213:147] + node _T_994 = or(_T_993, stbuf_fwdbyteenvec_hi_2_1) @[lsu_stbuf.scala 213:147] + node stbuf_fwdbyteen_hi_pre_m_1 = or(_T_994, stbuf_fwdbyteenvec_hi_3_1) @[lsu_stbuf.scala 213:147] + node _T_995 = or(stbuf_fwdbyteenvec_hi_0_2, stbuf_fwdbyteenvec_hi_1_2) @[lsu_stbuf.scala 213:147] + node _T_996 = or(_T_995, stbuf_fwdbyteenvec_hi_2_2) @[lsu_stbuf.scala 213:147] + node stbuf_fwdbyteen_hi_pre_m_2 = or(_T_996, stbuf_fwdbyteenvec_hi_3_2) @[lsu_stbuf.scala 213:147] + node _T_997 = or(stbuf_fwdbyteenvec_hi_0_3, stbuf_fwdbyteenvec_hi_1_3) @[lsu_stbuf.scala 213:147] + node _T_998 = or(_T_997, stbuf_fwdbyteenvec_hi_2_3) @[lsu_stbuf.scala 213:147] + node stbuf_fwdbyteen_hi_pre_m_3 = or(_T_998, stbuf_fwdbyteenvec_hi_3_3) @[lsu_stbuf.scala 213:147] + node _T_999 = or(stbuf_fwdbyteenvec_lo_0_0, stbuf_fwdbyteenvec_lo_1_0) @[lsu_stbuf.scala 214:147] + node _T_1000 = or(_T_999, stbuf_fwdbyteenvec_lo_2_0) @[lsu_stbuf.scala 214:147] + node stbuf_fwdbyteen_lo_pre_m_0 = or(_T_1000, stbuf_fwdbyteenvec_lo_3_0) @[lsu_stbuf.scala 214:147] + node _T_1001 = or(stbuf_fwdbyteenvec_lo_0_1, stbuf_fwdbyteenvec_lo_1_1) @[lsu_stbuf.scala 214:147] + node _T_1002 = or(_T_1001, stbuf_fwdbyteenvec_lo_2_1) @[lsu_stbuf.scala 214:147] + node stbuf_fwdbyteen_lo_pre_m_1 = or(_T_1002, stbuf_fwdbyteenvec_lo_3_1) @[lsu_stbuf.scala 214:147] + node _T_1003 = or(stbuf_fwdbyteenvec_lo_0_2, stbuf_fwdbyteenvec_lo_1_2) @[lsu_stbuf.scala 214:147] + node _T_1004 = or(_T_1003, stbuf_fwdbyteenvec_lo_2_2) @[lsu_stbuf.scala 214:147] + node stbuf_fwdbyteen_lo_pre_m_2 = or(_T_1004, stbuf_fwdbyteenvec_lo_3_2) @[lsu_stbuf.scala 214:147] + node _T_1005 = or(stbuf_fwdbyteenvec_lo_0_3, stbuf_fwdbyteenvec_lo_1_3) @[lsu_stbuf.scala 214:147] + node _T_1006 = or(_T_1005, stbuf_fwdbyteenvec_lo_2_3) @[lsu_stbuf.scala 214:147] + node stbuf_fwdbyteen_lo_pre_m_3 = or(_T_1006, stbuf_fwdbyteenvec_lo_3_3) @[lsu_stbuf.scala 214:147] + node _T_1007 = bits(stbuf_match_hi, 0, 0) @[lsu_stbuf.scala 216:92] + node _T_1008 = bits(_T_1007, 0, 0) @[Bitwise.scala 72:15] + node _T_1009 = mux(_T_1008, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_1010 = and(_T_1009, stbuf_data[0]) @[lsu_stbuf.scala 216:97] + node _T_1011 = bits(stbuf_match_hi, 1, 1) @[lsu_stbuf.scala 216:92] + node _T_1012 = bits(_T_1011, 0, 0) @[Bitwise.scala 72:15] + node _T_1013 = mux(_T_1012, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_1014 = and(_T_1013, stbuf_data[1]) @[lsu_stbuf.scala 216:97] + node _T_1015 = bits(stbuf_match_hi, 2, 2) @[lsu_stbuf.scala 216:92] + node _T_1016 = bits(_T_1015, 0, 0) @[Bitwise.scala 72:15] + node _T_1017 = mux(_T_1016, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_1018 = and(_T_1017, stbuf_data[2]) @[lsu_stbuf.scala 216:97] + node _T_1019 = bits(stbuf_match_hi, 3, 3) @[lsu_stbuf.scala 216:92] + node _T_1020 = bits(_T_1019, 0, 0) @[Bitwise.scala 72:15] + node _T_1021 = mux(_T_1020, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_1022 = and(_T_1021, stbuf_data[3]) @[lsu_stbuf.scala 216:97] + wire _T_1023 : UInt<32>[4] @[lsu_stbuf.scala 216:65] + _T_1023[0] <= _T_1010 @[lsu_stbuf.scala 216:65] + _T_1023[1] <= _T_1014 @[lsu_stbuf.scala 216:65] + _T_1023[2] <= _T_1018 @[lsu_stbuf.scala 216:65] + _T_1023[3] <= _T_1022 @[lsu_stbuf.scala 216:65] + node _T_1024 = or(_T_1023[3], _T_1023[2]) @[lsu_stbuf.scala 216:130] + node _T_1025 = or(_T_1024, _T_1023[1]) @[lsu_stbuf.scala 216:130] + node stbuf_fwddata_hi_pre_m = or(_T_1025, _T_1023[0]) @[lsu_stbuf.scala 216:130] + node _T_1026 = bits(stbuf_match_lo, 0, 0) @[lsu_stbuf.scala 217:92] + node _T_1027 = bits(_T_1026, 0, 0) @[Bitwise.scala 72:15] + node _T_1028 = mux(_T_1027, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_1029 = and(_T_1028, stbuf_data[0]) @[lsu_stbuf.scala 217:97] + node _T_1030 = bits(stbuf_match_lo, 1, 1) @[lsu_stbuf.scala 217:92] + node _T_1031 = bits(_T_1030, 0, 0) @[Bitwise.scala 72:15] + node _T_1032 = mux(_T_1031, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_1033 = and(_T_1032, stbuf_data[1]) @[lsu_stbuf.scala 217:97] + node _T_1034 = bits(stbuf_match_lo, 2, 2) @[lsu_stbuf.scala 217:92] + node _T_1035 = bits(_T_1034, 0, 0) @[Bitwise.scala 72:15] + node _T_1036 = mux(_T_1035, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_1037 = and(_T_1036, stbuf_data[2]) @[lsu_stbuf.scala 217:97] + node _T_1038 = bits(stbuf_match_lo, 3, 3) @[lsu_stbuf.scala 217:92] + node _T_1039 = bits(_T_1038, 0, 0) @[Bitwise.scala 72:15] + node _T_1040 = mux(_T_1039, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_1041 = and(_T_1040, stbuf_data[3]) @[lsu_stbuf.scala 217:97] + wire _T_1042 : UInt<32>[4] @[lsu_stbuf.scala 217:65] + _T_1042[0] <= _T_1029 @[lsu_stbuf.scala 217:65] + _T_1042[1] <= _T_1033 @[lsu_stbuf.scala 217:65] + _T_1042[2] <= _T_1037 @[lsu_stbuf.scala 217:65] + _T_1042[3] <= _T_1041 @[lsu_stbuf.scala 217:65] + node _T_1043 = or(_T_1042[3], _T_1042[2]) @[lsu_stbuf.scala 217:130] + node _T_1044 = or(_T_1043, _T_1042[1]) @[lsu_stbuf.scala 217:130] + node stbuf_fwddata_lo_pre_m = or(_T_1044, _T_1042[0]) @[lsu_stbuf.scala 217:130] + node _T_1045 = bits(io.lsu_addr_r, 1, 0) @[lsu_stbuf.scala 220:54] + node _T_1046 = dshl(ldst_byteen_r, _T_1045) @[lsu_stbuf.scala 220:38] + ldst_byteen_ext_r <= _T_1046 @[lsu_stbuf.scala 220:21] + node ldst_byteen_hi_r = bits(ldst_byteen_ext_r, 7, 4) @[lsu_stbuf.scala 221:43] + node ldst_byteen_lo_r = bits(ldst_byteen_ext_r, 3, 0) @[lsu_stbuf.scala 222:43] + node _T_1047 = bits(io.lsu_addr_m, 31, 2) @[lsu_stbuf.scala 224:42] + node _T_1048 = bits(io.lsu_addr_r, 31, 2) @[lsu_stbuf.scala 224:66] + node _T_1049 = eq(_T_1047, _T_1048) @[lsu_stbuf.scala 224:49] + node _T_1050 = and(_T_1049, io.lsu_pkt_r.valid) @[lsu_stbuf.scala 224:74] + node _T_1051 = and(_T_1050, io.lsu_pkt_r.bits.store) @[lsu_stbuf.scala 224:95] + node _T_1052 = eq(io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu_stbuf.scala 224:123] + node ld_addr_rhit_lo_lo = and(_T_1051, _T_1052) @[lsu_stbuf.scala 224:121] + node _T_1053 = bits(io.end_addr_m, 31, 2) @[lsu_stbuf.scala 225:42] + node _T_1054 = bits(io.lsu_addr_r, 31, 2) @[lsu_stbuf.scala 225:66] + node _T_1055 = eq(_T_1053, _T_1054) @[lsu_stbuf.scala 225:49] + node _T_1056 = and(_T_1055, io.lsu_pkt_r.valid) @[lsu_stbuf.scala 225:74] + node _T_1057 = and(_T_1056, io.lsu_pkt_r.bits.store) @[lsu_stbuf.scala 225:95] + node _T_1058 = eq(io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu_stbuf.scala 225:123] + node ld_addr_rhit_lo_hi = and(_T_1057, _T_1058) @[lsu_stbuf.scala 225:121] + node _T_1059 = bits(io.lsu_addr_m, 31, 2) @[lsu_stbuf.scala 226:42] + node _T_1060 = bits(io.end_addr_r, 31, 2) @[lsu_stbuf.scala 226:66] + node _T_1061 = eq(_T_1059, _T_1060) @[lsu_stbuf.scala 226:49] + node _T_1062 = and(_T_1061, io.lsu_pkt_r.valid) @[lsu_stbuf.scala 226:74] + node _T_1063 = and(_T_1062, io.lsu_pkt_r.bits.store) @[lsu_stbuf.scala 226:95] + node _T_1064 = eq(io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu_stbuf.scala 226:123] + node _T_1065 = and(_T_1063, _T_1064) @[lsu_stbuf.scala 226:121] + node ld_addr_rhit_hi_lo = and(_T_1065, dual_stbuf_write_r) @[lsu_stbuf.scala 226:146] + node _T_1066 = bits(io.end_addr_m, 31, 2) @[lsu_stbuf.scala 227:42] + node _T_1067 = bits(io.end_addr_r, 31, 2) @[lsu_stbuf.scala 227:66] + node _T_1068 = eq(_T_1066, _T_1067) @[lsu_stbuf.scala 227:49] + node _T_1069 = and(_T_1068, io.lsu_pkt_r.valid) @[lsu_stbuf.scala 227:74] + node _T_1070 = and(_T_1069, io.lsu_pkt_r.bits.store) @[lsu_stbuf.scala 227:95] + node _T_1071 = eq(io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu_stbuf.scala 227:123] + node _T_1072 = and(_T_1070, _T_1071) @[lsu_stbuf.scala 227:121] + node ld_addr_rhit_hi_hi = and(_T_1072, dual_stbuf_write_r) @[lsu_stbuf.scala 227:146] + node _T_1073 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_stbuf.scala 229:97] + node _T_1074 = and(ld_addr_rhit_lo_lo, _T_1073) @[lsu_stbuf.scala 229:79] + node _T_1075 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_stbuf.scala 229:97] + node _T_1076 = and(ld_addr_rhit_lo_lo, _T_1075) @[lsu_stbuf.scala 229:79] + node _T_1077 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_stbuf.scala 229:97] + node _T_1078 = and(ld_addr_rhit_lo_lo, _T_1077) @[lsu_stbuf.scala 229:79] + node _T_1079 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_stbuf.scala 229:97] + node _T_1080 = and(ld_addr_rhit_lo_lo, _T_1079) @[lsu_stbuf.scala 229:79] + node _T_1081 = cat(_T_1080, _T_1078) @[Cat.scala 29:58] + node _T_1082 = cat(_T_1081, _T_1076) @[Cat.scala 29:58] + node _T_1083 = cat(_T_1082, _T_1074) @[Cat.scala 29:58] + ld_byte_rhit_lo_lo <= _T_1083 @[lsu_stbuf.scala 229:22] + node _T_1084 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_stbuf.scala 230:97] + node _T_1085 = and(ld_addr_rhit_lo_hi, _T_1084) @[lsu_stbuf.scala 230:79] + node _T_1086 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_stbuf.scala 230:97] + node _T_1087 = and(ld_addr_rhit_lo_hi, _T_1086) @[lsu_stbuf.scala 230:79] + node _T_1088 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_stbuf.scala 230:97] + node _T_1089 = and(ld_addr_rhit_lo_hi, _T_1088) @[lsu_stbuf.scala 230:79] + node _T_1090 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_stbuf.scala 230:97] + node _T_1091 = and(ld_addr_rhit_lo_hi, _T_1090) @[lsu_stbuf.scala 230:79] + node _T_1092 = cat(_T_1091, _T_1089) @[Cat.scala 29:58] + node _T_1093 = cat(_T_1092, _T_1087) @[Cat.scala 29:58] + node _T_1094 = cat(_T_1093, _T_1085) @[Cat.scala 29:58] + ld_byte_rhit_lo_hi <= _T_1094 @[lsu_stbuf.scala 230:22] + node _T_1095 = bits(ldst_byteen_hi_r, 0, 0) @[lsu_stbuf.scala 231:97] + node _T_1096 = and(ld_addr_rhit_hi_lo, _T_1095) @[lsu_stbuf.scala 231:79] + node _T_1097 = bits(ldst_byteen_hi_r, 1, 1) @[lsu_stbuf.scala 231:97] + node _T_1098 = and(ld_addr_rhit_hi_lo, _T_1097) @[lsu_stbuf.scala 231:79] + node _T_1099 = bits(ldst_byteen_hi_r, 2, 2) @[lsu_stbuf.scala 231:97] + node _T_1100 = and(ld_addr_rhit_hi_lo, _T_1099) @[lsu_stbuf.scala 231:79] + node _T_1101 = bits(ldst_byteen_hi_r, 3, 3) @[lsu_stbuf.scala 231:97] + node _T_1102 = and(ld_addr_rhit_hi_lo, _T_1101) @[lsu_stbuf.scala 231:79] + node _T_1103 = cat(_T_1102, _T_1100) @[Cat.scala 29:58] + node _T_1104 = cat(_T_1103, _T_1098) @[Cat.scala 29:58] + node _T_1105 = cat(_T_1104, _T_1096) @[Cat.scala 29:58] + ld_byte_rhit_hi_lo <= _T_1105 @[lsu_stbuf.scala 231:22] + node _T_1106 = bits(ldst_byteen_hi_r, 0, 0) @[lsu_stbuf.scala 232:97] + node _T_1107 = and(ld_addr_rhit_hi_hi, _T_1106) @[lsu_stbuf.scala 232:79] + node _T_1108 = bits(ldst_byteen_hi_r, 1, 1) @[lsu_stbuf.scala 232:97] + node _T_1109 = and(ld_addr_rhit_hi_hi, _T_1108) @[lsu_stbuf.scala 232:79] + node _T_1110 = bits(ldst_byteen_hi_r, 2, 2) @[lsu_stbuf.scala 232:97] + node _T_1111 = and(ld_addr_rhit_hi_hi, _T_1110) @[lsu_stbuf.scala 232:79] + node _T_1112 = bits(ldst_byteen_hi_r, 3, 3) @[lsu_stbuf.scala 232:97] + node _T_1113 = and(ld_addr_rhit_hi_hi, _T_1112) @[lsu_stbuf.scala 232:79] + node _T_1114 = cat(_T_1113, _T_1111) @[Cat.scala 29:58] + node _T_1115 = cat(_T_1114, _T_1109) @[Cat.scala 29:58] + node _T_1116 = cat(_T_1115, _T_1107) @[Cat.scala 29:58] + ld_byte_rhit_hi_hi <= _T_1116 @[lsu_stbuf.scala 232:22] + node _T_1117 = bits(ld_byte_rhit_lo_lo, 0, 0) @[lsu_stbuf.scala 234:75] + node _T_1118 = bits(ld_byte_rhit_hi_lo, 0, 0) @[lsu_stbuf.scala 234:99] + node _T_1119 = or(_T_1117, _T_1118) @[lsu_stbuf.scala 234:79] + node _T_1120 = bits(ld_byte_rhit_lo_lo, 1, 1) @[lsu_stbuf.scala 234:75] + node _T_1121 = bits(ld_byte_rhit_hi_lo, 1, 1) @[lsu_stbuf.scala 234:99] + node _T_1122 = or(_T_1120, _T_1121) @[lsu_stbuf.scala 234:79] + node _T_1123 = bits(ld_byte_rhit_lo_lo, 2, 2) @[lsu_stbuf.scala 234:75] + node _T_1124 = bits(ld_byte_rhit_hi_lo, 2, 2) @[lsu_stbuf.scala 234:99] + node _T_1125 = or(_T_1123, _T_1124) @[lsu_stbuf.scala 234:79] + node _T_1126 = bits(ld_byte_rhit_lo_lo, 3, 3) @[lsu_stbuf.scala 234:75] + node _T_1127 = bits(ld_byte_rhit_hi_lo, 3, 3) @[lsu_stbuf.scala 234:99] + node _T_1128 = or(_T_1126, _T_1127) @[lsu_stbuf.scala 234:79] + node _T_1129 = cat(_T_1128, _T_1125) @[Cat.scala 29:58] + node _T_1130 = cat(_T_1129, _T_1122) @[Cat.scala 29:58] + node _T_1131 = cat(_T_1130, _T_1119) @[Cat.scala 29:58] + ld_byte_rhit_lo <= _T_1131 @[lsu_stbuf.scala 234:19] + node _T_1132 = bits(ld_byte_rhit_lo_hi, 0, 0) @[lsu_stbuf.scala 235:75] + node _T_1133 = bits(ld_byte_rhit_hi_hi, 0, 0) @[lsu_stbuf.scala 235:99] + node _T_1134 = or(_T_1132, _T_1133) @[lsu_stbuf.scala 235:79] + node _T_1135 = bits(ld_byte_rhit_lo_hi, 1, 1) @[lsu_stbuf.scala 235:75] + node _T_1136 = bits(ld_byte_rhit_hi_hi, 1, 1) @[lsu_stbuf.scala 235:99] + node _T_1137 = or(_T_1135, _T_1136) @[lsu_stbuf.scala 235:79] + node _T_1138 = bits(ld_byte_rhit_lo_hi, 2, 2) @[lsu_stbuf.scala 235:75] + node _T_1139 = bits(ld_byte_rhit_hi_hi, 2, 2) @[lsu_stbuf.scala 235:99] + node _T_1140 = or(_T_1138, _T_1139) @[lsu_stbuf.scala 235:79] + node _T_1141 = bits(ld_byte_rhit_lo_hi, 3, 3) @[lsu_stbuf.scala 235:75] + node _T_1142 = bits(ld_byte_rhit_hi_hi, 3, 3) @[lsu_stbuf.scala 235:99] + node _T_1143 = or(_T_1141, _T_1142) @[lsu_stbuf.scala 235:79] + node _T_1144 = cat(_T_1143, _T_1140) @[Cat.scala 29:58] + node _T_1145 = cat(_T_1144, _T_1137) @[Cat.scala 29:58] + node _T_1146 = cat(_T_1145, _T_1134) @[Cat.scala 29:58] + ld_byte_rhit_hi <= _T_1146 @[lsu_stbuf.scala 235:19] + node _T_1147 = bits(ld_byte_rhit_lo_lo, 0, 0) @[lsu_stbuf.scala 237:48] + node _T_1148 = bits(_T_1147, 0, 0) @[Bitwise.scala 72:15] + node _T_1149 = mux(_T_1148, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1150 = bits(io.store_data_lo_r, 7, 0) @[lsu_stbuf.scala 237:73] + node _T_1151 = and(_T_1149, _T_1150) @[lsu_stbuf.scala 237:53] + node _T_1152 = bits(ld_byte_rhit_hi_lo, 0, 0) @[lsu_stbuf.scala 237:109] + node _T_1153 = bits(_T_1152, 0, 0) @[Bitwise.scala 72:15] + node _T_1154 = mux(_T_1153, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1155 = bits(io.store_data_hi_r, 7, 0) @[lsu_stbuf.scala 237:134] + node _T_1156 = and(_T_1154, _T_1155) @[lsu_stbuf.scala 237:114] + node fwdpipe1_lo = or(_T_1151, _T_1156) @[lsu_stbuf.scala 237:80] + node _T_1157 = bits(ld_byte_rhit_lo_lo, 1, 1) @[lsu_stbuf.scala 238:48] + node _T_1158 = bits(_T_1157, 0, 0) @[Bitwise.scala 72:15] + node _T_1159 = mux(_T_1158, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1160 = bits(io.store_data_lo_r, 15, 8) @[lsu_stbuf.scala 238:73] + node _T_1161 = and(_T_1159, _T_1160) @[lsu_stbuf.scala 238:53] + node _T_1162 = bits(ld_byte_rhit_hi_lo, 1, 1) @[lsu_stbuf.scala 238:110] + node _T_1163 = bits(_T_1162, 0, 0) @[Bitwise.scala 72:15] + node _T_1164 = mux(_T_1163, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1165 = bits(io.store_data_hi_r, 15, 8) @[lsu_stbuf.scala 238:135] + node _T_1166 = and(_T_1164, _T_1165) @[lsu_stbuf.scala 238:115] + node fwdpipe2_lo = or(_T_1161, _T_1166) @[lsu_stbuf.scala 238:81] + node _T_1167 = bits(ld_byte_rhit_lo_lo, 2, 2) @[lsu_stbuf.scala 239:48] + node _T_1168 = bits(_T_1167, 0, 0) @[Bitwise.scala 72:15] + node _T_1169 = mux(_T_1168, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1170 = bits(io.store_data_lo_r, 23, 16) @[lsu_stbuf.scala 239:73] + node _T_1171 = and(_T_1169, _T_1170) @[lsu_stbuf.scala 239:53] + node _T_1172 = bits(ld_byte_rhit_hi_lo, 2, 2) @[lsu_stbuf.scala 239:111] + node _T_1173 = bits(_T_1172, 0, 0) @[Bitwise.scala 72:15] + node _T_1174 = mux(_T_1173, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1175 = bits(io.store_data_hi_r, 23, 16) @[lsu_stbuf.scala 239:136] + node _T_1176 = and(_T_1174, _T_1175) @[lsu_stbuf.scala 239:116] + node fwdpipe3_lo = or(_T_1171, _T_1176) @[lsu_stbuf.scala 239:82] + node _T_1177 = bits(ld_byte_rhit_lo_lo, 3, 3) @[lsu_stbuf.scala 240:48] + node _T_1178 = bits(_T_1177, 0, 0) @[Bitwise.scala 72:15] + node _T_1179 = mux(_T_1178, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1180 = bits(io.store_data_lo_r, 31, 24) @[lsu_stbuf.scala 240:73] + node _T_1181 = and(_T_1179, _T_1180) @[lsu_stbuf.scala 240:53] + node _T_1182 = bits(ld_byte_rhit_hi_lo, 3, 3) @[lsu_stbuf.scala 240:111] + node _T_1183 = bits(_T_1182, 0, 0) @[Bitwise.scala 72:15] + node _T_1184 = mux(_T_1183, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1185 = bits(io.store_data_hi_r, 31, 24) @[lsu_stbuf.scala 240:136] + node _T_1186 = and(_T_1184, _T_1185) @[lsu_stbuf.scala 240:116] + node fwdpipe4_lo = or(_T_1181, _T_1186) @[lsu_stbuf.scala 240:82] + node _T_1187 = cat(fwdpipe2_lo, fwdpipe1_lo) @[Cat.scala 29:58] + node _T_1188 = cat(fwdpipe4_lo, fwdpipe3_lo) @[Cat.scala 29:58] + node _T_1189 = cat(_T_1188, _T_1187) @[Cat.scala 29:58] + ld_fwddata_rpipe_lo <= _T_1189 @[lsu_stbuf.scala 241:23] + node _T_1190 = bits(ld_byte_rhit_lo_hi, 0, 0) @[lsu_stbuf.scala 243:48] + node _T_1191 = bits(_T_1190, 0, 0) @[Bitwise.scala 72:15] + node _T_1192 = mux(_T_1191, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1193 = bits(io.store_data_lo_r, 7, 0) @[lsu_stbuf.scala 243:73] + node _T_1194 = and(_T_1192, _T_1193) @[lsu_stbuf.scala 243:53] + node _T_1195 = bits(ld_byte_rhit_hi_hi, 0, 0) @[lsu_stbuf.scala 243:109] + node _T_1196 = bits(_T_1195, 0, 0) @[Bitwise.scala 72:15] + node _T_1197 = mux(_T_1196, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1198 = bits(io.store_data_hi_r, 7, 0) @[lsu_stbuf.scala 243:134] + node _T_1199 = and(_T_1197, _T_1198) @[lsu_stbuf.scala 243:114] + node fwdpipe1_hi = or(_T_1194, _T_1199) @[lsu_stbuf.scala 243:80] + node _T_1200 = bits(ld_byte_rhit_lo_hi, 1, 1) @[lsu_stbuf.scala 244:48] + node _T_1201 = bits(_T_1200, 0, 0) @[Bitwise.scala 72:15] + node _T_1202 = mux(_T_1201, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1203 = bits(io.store_data_lo_r, 15, 8) @[lsu_stbuf.scala 244:73] + node _T_1204 = and(_T_1202, _T_1203) @[lsu_stbuf.scala 244:53] + node _T_1205 = bits(ld_byte_rhit_hi_hi, 1, 1) @[lsu_stbuf.scala 244:110] + node _T_1206 = bits(_T_1205, 0, 0) @[Bitwise.scala 72:15] + node _T_1207 = mux(_T_1206, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1208 = bits(io.store_data_hi_r, 15, 8) @[lsu_stbuf.scala 244:135] + node _T_1209 = and(_T_1207, _T_1208) @[lsu_stbuf.scala 244:115] + node fwdpipe2_hi = or(_T_1204, _T_1209) @[lsu_stbuf.scala 244:81] + node _T_1210 = bits(ld_byte_rhit_lo_hi, 2, 2) @[lsu_stbuf.scala 245:48] + node _T_1211 = bits(_T_1210, 0, 0) @[Bitwise.scala 72:15] + node _T_1212 = mux(_T_1211, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1213 = bits(io.store_data_lo_r, 23, 16) @[lsu_stbuf.scala 245:73] + node _T_1214 = and(_T_1212, _T_1213) @[lsu_stbuf.scala 245:53] + node _T_1215 = bits(ld_byte_rhit_hi_hi, 2, 2) @[lsu_stbuf.scala 245:111] + node _T_1216 = bits(_T_1215, 0, 0) @[Bitwise.scala 72:15] + node _T_1217 = mux(_T_1216, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1218 = bits(io.store_data_hi_r, 23, 16) @[lsu_stbuf.scala 245:136] + node _T_1219 = and(_T_1217, _T_1218) @[lsu_stbuf.scala 245:116] + node fwdpipe3_hi = or(_T_1214, _T_1219) @[lsu_stbuf.scala 245:82] + node _T_1220 = bits(ld_byte_rhit_lo_hi, 3, 3) @[lsu_stbuf.scala 246:48] + node _T_1221 = bits(_T_1220, 0, 0) @[Bitwise.scala 72:15] + node _T_1222 = mux(_T_1221, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1223 = bits(io.store_data_lo_r, 31, 24) @[lsu_stbuf.scala 246:73] + node _T_1224 = and(_T_1222, _T_1223) @[lsu_stbuf.scala 246:53] + node _T_1225 = bits(ld_byte_rhit_hi_hi, 3, 3) @[lsu_stbuf.scala 246:111] + node _T_1226 = bits(_T_1225, 0, 0) @[Bitwise.scala 72:15] + node _T_1227 = mux(_T_1226, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1228 = bits(io.store_data_hi_r, 31, 24) @[lsu_stbuf.scala 246:136] + node _T_1229 = and(_T_1227, _T_1228) @[lsu_stbuf.scala 246:116] + node fwdpipe4_hi = or(_T_1224, _T_1229) @[lsu_stbuf.scala 246:82] + node _T_1230 = cat(fwdpipe2_hi, fwdpipe1_hi) @[Cat.scala 29:58] + node _T_1231 = cat(fwdpipe4_hi, fwdpipe3_hi) @[Cat.scala 29:58] + node _T_1232 = cat(_T_1231, _T_1230) @[Cat.scala 29:58] + ld_fwddata_rpipe_hi <= _T_1232 @[lsu_stbuf.scala 247:23] + node _T_1233 = bits(ld_byte_rhit_lo_lo, 0, 0) @[lsu_stbuf.scala 249:74] + node _T_1234 = bits(ld_byte_rhit_hi_lo, 0, 0) @[lsu_stbuf.scala 249:98] + node _T_1235 = or(_T_1233, _T_1234) @[lsu_stbuf.scala 249:78] + node _T_1236 = bits(ld_byte_rhit_lo_lo, 1, 1) @[lsu_stbuf.scala 249:74] + node _T_1237 = bits(ld_byte_rhit_hi_lo, 1, 1) @[lsu_stbuf.scala 249:98] + node _T_1238 = or(_T_1236, _T_1237) @[lsu_stbuf.scala 249:78] + node _T_1239 = bits(ld_byte_rhit_lo_lo, 2, 2) @[lsu_stbuf.scala 249:74] + node _T_1240 = bits(ld_byte_rhit_hi_lo, 2, 2) @[lsu_stbuf.scala 249:98] + node _T_1241 = or(_T_1239, _T_1240) @[lsu_stbuf.scala 249:78] + node _T_1242 = bits(ld_byte_rhit_lo_lo, 3, 3) @[lsu_stbuf.scala 249:74] + node _T_1243 = bits(ld_byte_rhit_hi_lo, 3, 3) @[lsu_stbuf.scala 249:98] + node _T_1244 = or(_T_1242, _T_1243) @[lsu_stbuf.scala 249:78] + node _T_1245 = cat(_T_1244, _T_1241) @[Cat.scala 29:58] + node _T_1246 = cat(_T_1245, _T_1238) @[Cat.scala 29:58] + node _T_1247 = cat(_T_1246, _T_1235) @[Cat.scala 29:58] + ld_byte_hit_lo <= _T_1247 @[lsu_stbuf.scala 249:18] + node _T_1248 = bits(ld_byte_rhit_lo_hi, 0, 0) @[lsu_stbuf.scala 250:74] + node _T_1249 = bits(ld_byte_rhit_hi_hi, 0, 0) @[lsu_stbuf.scala 250:98] + node _T_1250 = or(_T_1248, _T_1249) @[lsu_stbuf.scala 250:78] + node _T_1251 = bits(ld_byte_rhit_lo_hi, 1, 1) @[lsu_stbuf.scala 250:74] + node _T_1252 = bits(ld_byte_rhit_hi_hi, 1, 1) @[lsu_stbuf.scala 250:98] + node _T_1253 = or(_T_1251, _T_1252) @[lsu_stbuf.scala 250:78] + node _T_1254 = bits(ld_byte_rhit_lo_hi, 2, 2) @[lsu_stbuf.scala 250:74] + node _T_1255 = bits(ld_byte_rhit_hi_hi, 2, 2) @[lsu_stbuf.scala 250:98] + node _T_1256 = or(_T_1254, _T_1255) @[lsu_stbuf.scala 250:78] + node _T_1257 = bits(ld_byte_rhit_lo_hi, 3, 3) @[lsu_stbuf.scala 250:74] + node _T_1258 = bits(ld_byte_rhit_hi_hi, 3, 3) @[lsu_stbuf.scala 250:98] + node _T_1259 = or(_T_1257, _T_1258) @[lsu_stbuf.scala 250:78] + node _T_1260 = cat(_T_1259, _T_1256) @[Cat.scala 29:58] + node _T_1261 = cat(_T_1260, _T_1253) @[Cat.scala 29:58] + node _T_1262 = cat(_T_1261, _T_1250) @[Cat.scala 29:58] + ld_byte_hit_hi <= _T_1262 @[lsu_stbuf.scala 250:18] + node _T_1263 = bits(ld_byte_hit_hi, 0, 0) @[lsu_stbuf.scala 252:79] + node _T_1264 = or(_T_1263, stbuf_fwdbyteen_hi_pre_m_0) @[lsu_stbuf.scala 252:83] + node _T_1265 = bits(ld_byte_hit_hi, 1, 1) @[lsu_stbuf.scala 252:79] + node _T_1266 = or(_T_1265, stbuf_fwdbyteen_hi_pre_m_1) @[lsu_stbuf.scala 252:83] + node _T_1267 = bits(ld_byte_hit_hi, 2, 2) @[lsu_stbuf.scala 252:79] + node _T_1268 = or(_T_1267, stbuf_fwdbyteen_hi_pre_m_2) @[lsu_stbuf.scala 252:83] + node _T_1269 = bits(ld_byte_hit_hi, 3, 3) @[lsu_stbuf.scala 252:79] + node _T_1270 = or(_T_1269, stbuf_fwdbyteen_hi_pre_m_3) @[lsu_stbuf.scala 252:83] + node _T_1271 = cat(_T_1270, _T_1268) @[Cat.scala 29:58] + node _T_1272 = cat(_T_1271, _T_1266) @[Cat.scala 29:58] + node _T_1273 = cat(_T_1272, _T_1264) @[Cat.scala 29:58] + io.stbuf_fwdbyteen_hi_m <= _T_1273 @[lsu_stbuf.scala 252:27] + node _T_1274 = bits(ld_byte_hit_lo, 0, 0) @[lsu_stbuf.scala 253:79] + node _T_1275 = or(_T_1274, stbuf_fwdbyteen_lo_pre_m_0) @[lsu_stbuf.scala 253:83] + node _T_1276 = bits(ld_byte_hit_lo, 1, 1) @[lsu_stbuf.scala 253:79] + node _T_1277 = or(_T_1276, stbuf_fwdbyteen_lo_pre_m_1) @[lsu_stbuf.scala 253:83] + node _T_1278 = bits(ld_byte_hit_lo, 2, 2) @[lsu_stbuf.scala 253:79] + node _T_1279 = or(_T_1278, stbuf_fwdbyteen_lo_pre_m_2) @[lsu_stbuf.scala 253:83] + node _T_1280 = bits(ld_byte_hit_lo, 3, 3) @[lsu_stbuf.scala 253:79] + node _T_1281 = or(_T_1280, stbuf_fwdbyteen_lo_pre_m_3) @[lsu_stbuf.scala 253:83] + node _T_1282 = cat(_T_1281, _T_1279) @[Cat.scala 29:58] + node _T_1283 = cat(_T_1282, _T_1277) @[Cat.scala 29:58] + node _T_1284 = cat(_T_1283, _T_1275) @[Cat.scala 29:58] + io.stbuf_fwdbyteen_lo_m <= _T_1284 @[lsu_stbuf.scala 253:27] + node _T_1285 = bits(ld_byte_rhit_lo, 0, 0) @[lsu_stbuf.scala 256:46] + node _T_1286 = bits(ld_fwddata_rpipe_lo, 7, 0) @[lsu_stbuf.scala 256:69] + node _T_1287 = bits(stbuf_fwddata_lo_pre_m, 7, 0) @[lsu_stbuf.scala 256:97] + node stbuf_fwdpipe1_lo = mux(_T_1285, _T_1286, _T_1287) @[lsu_stbuf.scala 256:30] + node _T_1288 = bits(ld_byte_rhit_lo, 1, 1) @[lsu_stbuf.scala 257:46] + node _T_1289 = bits(ld_fwddata_rpipe_lo, 15, 8) @[lsu_stbuf.scala 257:69] + node _T_1290 = bits(stbuf_fwddata_lo_pre_m, 15, 8) @[lsu_stbuf.scala 257:98] + node stbuf_fwdpipe2_lo = mux(_T_1288, _T_1289, _T_1290) @[lsu_stbuf.scala 257:30] + node _T_1291 = bits(ld_byte_rhit_lo, 2, 2) @[lsu_stbuf.scala 258:46] + node _T_1292 = bits(ld_fwddata_rpipe_lo, 23, 16) @[lsu_stbuf.scala 258:69] + node _T_1293 = bits(stbuf_fwddata_lo_pre_m, 23, 16) @[lsu_stbuf.scala 258:99] + node stbuf_fwdpipe3_lo = mux(_T_1291, _T_1292, _T_1293) @[lsu_stbuf.scala 258:30] + node _T_1294 = bits(ld_byte_rhit_lo, 3, 3) @[lsu_stbuf.scala 259:46] + node _T_1295 = bits(ld_fwddata_rpipe_lo, 31, 24) @[lsu_stbuf.scala 259:69] + node _T_1296 = bits(stbuf_fwddata_lo_pre_m, 31, 24) @[lsu_stbuf.scala 259:99] + node stbuf_fwdpipe4_lo = mux(_T_1294, _T_1295, _T_1296) @[lsu_stbuf.scala 259:30] + node _T_1297 = cat(stbuf_fwdpipe2_lo, stbuf_fwdpipe1_lo) @[Cat.scala 29:58] + node _T_1298 = cat(stbuf_fwdpipe4_lo, stbuf_fwdpipe3_lo) @[Cat.scala 29:58] + node _T_1299 = cat(_T_1298, _T_1297) @[Cat.scala 29:58] + io.stbuf_fwddata_lo_m <= _T_1299 @[lsu_stbuf.scala 260:25] + node _T_1300 = bits(ld_byte_rhit_hi, 0, 0) @[lsu_stbuf.scala 262:46] + node _T_1301 = bits(ld_fwddata_rpipe_hi, 7, 0) @[lsu_stbuf.scala 262:69] + node _T_1302 = bits(stbuf_fwddata_hi_pre_m, 7, 0) @[lsu_stbuf.scala 262:97] + node stbuf_fwdpipe1_hi = mux(_T_1300, _T_1301, _T_1302) @[lsu_stbuf.scala 262:30] + node _T_1303 = bits(ld_byte_rhit_hi, 1, 1) @[lsu_stbuf.scala 263:46] + node _T_1304 = bits(ld_fwddata_rpipe_hi, 15, 8) @[lsu_stbuf.scala 263:69] + node _T_1305 = bits(stbuf_fwddata_hi_pre_m, 15, 8) @[lsu_stbuf.scala 263:98] + node stbuf_fwdpipe2_hi = mux(_T_1303, _T_1304, _T_1305) @[lsu_stbuf.scala 263:30] + node _T_1306 = bits(ld_byte_rhit_hi, 2, 2) @[lsu_stbuf.scala 264:46] + node _T_1307 = bits(ld_fwddata_rpipe_hi, 23, 16) @[lsu_stbuf.scala 264:69] + node _T_1308 = bits(stbuf_fwddata_hi_pre_m, 23, 16) @[lsu_stbuf.scala 264:99] + node stbuf_fwdpipe3_hi = mux(_T_1306, _T_1307, _T_1308) @[lsu_stbuf.scala 264:30] + node _T_1309 = bits(ld_byte_rhit_hi, 3, 3) @[lsu_stbuf.scala 265:46] + node _T_1310 = bits(ld_fwddata_rpipe_hi, 31, 24) @[lsu_stbuf.scala 265:69] + node _T_1311 = bits(stbuf_fwddata_hi_pre_m, 31, 24) @[lsu_stbuf.scala 265:99] + node stbuf_fwdpipe4_hi = mux(_T_1309, _T_1310, _T_1311) @[lsu_stbuf.scala 265:30] + node _T_1312 = cat(stbuf_fwdpipe2_hi, stbuf_fwdpipe1_hi) @[Cat.scala 29:58] + node _T_1313 = cat(stbuf_fwdpipe4_hi, stbuf_fwdpipe3_hi) @[Cat.scala 29:58] + node _T_1314 = cat(_T_1313, _T_1312) @[Cat.scala 29:58] + io.stbuf_fwddata_hi_m <= _T_1314 @[lsu_stbuf.scala 266:25] + + extmodule gated_latch_10 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_10 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_10 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_11 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_11 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_11 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module lsu_ecc : + input clock : Clock + input reset : AsyncReset + output io : {flip lsu_c2_r_clk : Clock, flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip stbuf_data_any : UInt<32>, flip dec_tlu_core_ecc_disable : UInt<1>, flip lsu_dccm_rden_r : UInt<1>, flip addr_in_dccm_r : UInt<1>, flip lsu_addr_r : UInt<16>, flip end_addr_r : UInt<16>, flip lsu_addr_m : UInt<16>, flip end_addr_m : UInt<16>, flip dccm_rdata_hi_r : UInt<32>, flip dccm_rdata_lo_r : UInt<32>, flip dccm_rdata_hi_m : UInt<32>, flip dccm_rdata_lo_m : UInt<32>, flip dccm_data_ecc_hi_r : UInt<7>, flip dccm_data_ecc_lo_r : UInt<7>, flip dccm_data_ecc_hi_m : UInt<7>, flip dccm_data_ecc_lo_m : UInt<7>, flip ld_single_ecc_error_r : UInt<1>, flip ld_single_ecc_error_r_ff : UInt<1>, flip lsu_dccm_rden_m : UInt<1>, flip addr_in_dccm_m : UInt<1>, flip dma_dccm_wen : UInt<1>, flip dma_dccm_wdata_lo : UInt<32>, flip dma_dccm_wdata_hi : UInt<32>, flip scan_mode : UInt<1>, sec_data_hi_r : UInt<32>, sec_data_lo_r : UInt<32>, sec_data_hi_m : UInt<32>, sec_data_lo_m : UInt<32>, sec_data_hi_r_ff : UInt<32>, sec_data_lo_r_ff : UInt<32>, dma_dccm_wdata_ecc_hi : UInt<7>, dma_dccm_wdata_ecc_lo : UInt<7>, stbuf_ecc_any : UInt<7>, sec_data_ecc_hi_r_ff : UInt<7>, sec_data_ecc_lo_r_ff : UInt<7>, single_ecc_error_hi_r : UInt<1>, single_ecc_error_lo_r : UInt<1>, lsu_single_ecc_error_r : UInt<1>, lsu_double_ecc_error_r : UInt<1>, lsu_single_ecc_error_m : UInt<1>, lsu_double_ecc_error_m : UInt<1>} + + wire is_ldst_r : UInt<1> + is_ldst_r <= UInt<1>("h00") + wire is_ldst_hi_any : UInt<1> + is_ldst_hi_any <= UInt<1>("h00") + wire is_ldst_lo_any : UInt<1> + is_ldst_lo_any <= UInt<1>("h00") + wire dccm_wdata_hi_any : UInt<32> + dccm_wdata_hi_any <= UInt<32>("h00") + wire dccm_wdata_lo_any : UInt<32> + dccm_wdata_lo_any <= UInt<32>("h00") + wire dccm_rdata_hi_any : UInt<32> + dccm_rdata_hi_any <= UInt<32>("h00") + wire dccm_rdata_lo_any : UInt<32> + dccm_rdata_lo_any <= UInt<32>("h00") + wire dccm_data_ecc_hi_any : UInt<7> + dccm_data_ecc_hi_any <= UInt<7>("h00") + wire dccm_data_ecc_lo_any : UInt<7> + dccm_data_ecc_lo_any <= UInt<7>("h00") + wire double_ecc_error_hi_m : UInt<1> + double_ecc_error_hi_m <= UInt<1>("h00") + wire double_ecc_error_lo_m : UInt<1> + double_ecc_error_lo_m <= UInt<1>("h00") + wire double_ecc_error_hi_r : UInt<1> + double_ecc_error_hi_r <= UInt<1>("h00") + wire double_ecc_error_lo_r : UInt<1> + double_ecc_error_lo_r <= UInt<1>("h00") + wire ldst_dual_m : UInt<1> + ldst_dual_m <= UInt<1>("h00") + wire ldst_dual_r : UInt<1> + ldst_dual_r <= UInt<1>("h00") + wire is_ldst_m : UInt<1> + is_ldst_m <= UInt<1>("h00") + wire is_ldst_hi_m : UInt<1> + is_ldst_hi_m <= UInt<1>("h00") + wire is_ldst_lo_m : UInt<1> + is_ldst_lo_m <= UInt<1>("h00") + wire is_ldst_hi_r : UInt<1> + is_ldst_hi_r <= UInt<1>("h00") + wire is_ldst_lo_r : UInt<1> + is_ldst_lo_r <= UInt<1>("h00") + io.sec_data_hi_m <= UInt<1>("h00") @[lsu_ecc.scala 90:32] + io.sec_data_lo_m <= UInt<1>("h00") @[lsu_ecc.scala 91:32] + io.lsu_single_ecc_error_m <= UInt<1>("h00") @[lsu_ecc.scala 92:30] + io.lsu_double_ecc_error_m <= UInt<1>("h00") @[lsu_ecc.scala 93:30] + wire _T : UInt<1>[18] @[lib.scala 173:18] + wire _T_1 : UInt<1>[18] @[lib.scala 174:18] + wire _T_2 : UInt<1>[18] @[lib.scala 175:18] + wire _T_3 : UInt<1>[15] @[lib.scala 176:18] + wire _T_4 : UInt<1>[15] @[lib.scala 177:18] + wire _T_5 : UInt<1>[6] @[lib.scala 178:18] + node _T_6 = bits(dccm_rdata_hi_any, 0, 0) @[lib.scala 185:36] + _T[0] <= _T_6 @[lib.scala 185:30] + node _T_7 = bits(dccm_rdata_hi_any, 0, 0) @[lib.scala 186:36] + _T_1[0] <= _T_7 @[lib.scala 186:30] + node _T_8 = bits(dccm_rdata_hi_any, 1, 1) @[lib.scala 185:36] + _T[1] <= _T_8 @[lib.scala 185:30] + node _T_9 = bits(dccm_rdata_hi_any, 1, 1) @[lib.scala 187:36] + _T_2[0] <= _T_9 @[lib.scala 187:30] + node _T_10 = bits(dccm_rdata_hi_any, 2, 2) @[lib.scala 186:36] + _T_1[1] <= _T_10 @[lib.scala 186:30] + node _T_11 = bits(dccm_rdata_hi_any, 2, 2) @[lib.scala 187:36] + _T_2[1] <= _T_11 @[lib.scala 187:30] + node _T_12 = bits(dccm_rdata_hi_any, 3, 3) @[lib.scala 185:36] + _T[2] <= _T_12 @[lib.scala 185:30] + node _T_13 = bits(dccm_rdata_hi_any, 3, 3) @[lib.scala 186:36] + _T_1[2] <= _T_13 @[lib.scala 186:30] + node _T_14 = bits(dccm_rdata_hi_any, 3, 3) @[lib.scala 187:36] + _T_2[2] <= _T_14 @[lib.scala 187:30] + node _T_15 = bits(dccm_rdata_hi_any, 4, 4) @[lib.scala 185:36] + _T[3] <= _T_15 @[lib.scala 185:30] + node _T_16 = bits(dccm_rdata_hi_any, 4, 4) @[lib.scala 188:36] + _T_3[0] <= _T_16 @[lib.scala 188:30] + node _T_17 = bits(dccm_rdata_hi_any, 5, 5) @[lib.scala 186:36] + _T_1[3] <= _T_17 @[lib.scala 186:30] + node _T_18 = bits(dccm_rdata_hi_any, 5, 5) @[lib.scala 188:36] + _T_3[1] <= _T_18 @[lib.scala 188:30] + node _T_19 = bits(dccm_rdata_hi_any, 6, 6) @[lib.scala 185:36] + _T[4] <= _T_19 @[lib.scala 185:30] + node _T_20 = bits(dccm_rdata_hi_any, 6, 6) @[lib.scala 186:36] + _T_1[4] <= _T_20 @[lib.scala 186:30] + node _T_21 = bits(dccm_rdata_hi_any, 6, 6) @[lib.scala 188:36] + _T_3[2] <= _T_21 @[lib.scala 188:30] + node _T_22 = bits(dccm_rdata_hi_any, 7, 7) @[lib.scala 187:36] + _T_2[3] <= _T_22 @[lib.scala 187:30] + node _T_23 = bits(dccm_rdata_hi_any, 7, 7) @[lib.scala 188:36] + _T_3[3] <= _T_23 @[lib.scala 188:30] + node _T_24 = bits(dccm_rdata_hi_any, 8, 8) @[lib.scala 185:36] + _T[5] <= _T_24 @[lib.scala 185:30] + node _T_25 = bits(dccm_rdata_hi_any, 8, 8) @[lib.scala 187:36] + _T_2[4] <= _T_25 @[lib.scala 187:30] + node _T_26 = bits(dccm_rdata_hi_any, 8, 8) @[lib.scala 188:36] + _T_3[4] <= _T_26 @[lib.scala 188:30] + node _T_27 = bits(dccm_rdata_hi_any, 9, 9) @[lib.scala 186:36] + _T_1[5] <= _T_27 @[lib.scala 186:30] + node _T_28 = bits(dccm_rdata_hi_any, 9, 9) @[lib.scala 187:36] + _T_2[5] <= _T_28 @[lib.scala 187:30] + node _T_29 = bits(dccm_rdata_hi_any, 9, 9) @[lib.scala 188:36] + _T_3[5] <= _T_29 @[lib.scala 188:30] + node _T_30 = bits(dccm_rdata_hi_any, 10, 10) @[lib.scala 185:36] + _T[6] <= _T_30 @[lib.scala 185:30] + node _T_31 = bits(dccm_rdata_hi_any, 10, 10) @[lib.scala 186:36] + _T_1[6] <= _T_31 @[lib.scala 186:30] + node _T_32 = bits(dccm_rdata_hi_any, 10, 10) @[lib.scala 187:36] + _T_2[6] <= _T_32 @[lib.scala 187:30] + node _T_33 = bits(dccm_rdata_hi_any, 10, 10) @[lib.scala 188:36] + _T_3[6] <= _T_33 @[lib.scala 188:30] + node _T_34 = bits(dccm_rdata_hi_any, 11, 11) @[lib.scala 185:36] + _T[7] <= _T_34 @[lib.scala 185:30] + node _T_35 = bits(dccm_rdata_hi_any, 11, 11) @[lib.scala 189:36] + _T_4[0] <= _T_35 @[lib.scala 189:30] + node _T_36 = bits(dccm_rdata_hi_any, 12, 12) @[lib.scala 186:36] + _T_1[7] <= _T_36 @[lib.scala 186:30] + node _T_37 = bits(dccm_rdata_hi_any, 12, 12) @[lib.scala 189:36] + _T_4[1] <= _T_37 @[lib.scala 189:30] + node _T_38 = bits(dccm_rdata_hi_any, 13, 13) @[lib.scala 185:36] + _T[8] <= _T_38 @[lib.scala 185:30] + node _T_39 = bits(dccm_rdata_hi_any, 13, 13) @[lib.scala 186:36] + _T_1[8] <= _T_39 @[lib.scala 186:30] + node _T_40 = bits(dccm_rdata_hi_any, 13, 13) @[lib.scala 189:36] + _T_4[2] <= _T_40 @[lib.scala 189:30] + node _T_41 = bits(dccm_rdata_hi_any, 14, 14) @[lib.scala 187:36] + _T_2[7] <= _T_41 @[lib.scala 187:30] + node _T_42 = bits(dccm_rdata_hi_any, 14, 14) @[lib.scala 189:36] + _T_4[3] <= _T_42 @[lib.scala 189:30] + node _T_43 = bits(dccm_rdata_hi_any, 15, 15) @[lib.scala 185:36] + _T[9] <= _T_43 @[lib.scala 185:30] + node _T_44 = bits(dccm_rdata_hi_any, 15, 15) @[lib.scala 187:36] + _T_2[8] <= _T_44 @[lib.scala 187:30] + node _T_45 = bits(dccm_rdata_hi_any, 15, 15) @[lib.scala 189:36] + _T_4[4] <= _T_45 @[lib.scala 189:30] + node _T_46 = bits(dccm_rdata_hi_any, 16, 16) @[lib.scala 186:36] + _T_1[9] <= _T_46 @[lib.scala 186:30] + node _T_47 = bits(dccm_rdata_hi_any, 16, 16) @[lib.scala 187:36] + _T_2[9] <= _T_47 @[lib.scala 187:30] + node _T_48 = bits(dccm_rdata_hi_any, 16, 16) @[lib.scala 189:36] + _T_4[5] <= _T_48 @[lib.scala 189:30] + node _T_49 = bits(dccm_rdata_hi_any, 17, 17) @[lib.scala 185:36] + _T[10] <= _T_49 @[lib.scala 185:30] + node _T_50 = bits(dccm_rdata_hi_any, 17, 17) @[lib.scala 186:36] + _T_1[10] <= _T_50 @[lib.scala 186:30] + node _T_51 = bits(dccm_rdata_hi_any, 17, 17) @[lib.scala 187:36] + _T_2[10] <= _T_51 @[lib.scala 187:30] + node _T_52 = bits(dccm_rdata_hi_any, 17, 17) @[lib.scala 189:36] + _T_4[6] <= _T_52 @[lib.scala 189:30] + node _T_53 = bits(dccm_rdata_hi_any, 18, 18) @[lib.scala 188:36] + _T_3[7] <= _T_53 @[lib.scala 188:30] + node _T_54 = bits(dccm_rdata_hi_any, 18, 18) @[lib.scala 189:36] + _T_4[7] <= _T_54 @[lib.scala 189:30] + node _T_55 = bits(dccm_rdata_hi_any, 19, 19) @[lib.scala 185:36] + _T[11] <= _T_55 @[lib.scala 185:30] + node _T_56 = bits(dccm_rdata_hi_any, 19, 19) @[lib.scala 188:36] + _T_3[8] <= _T_56 @[lib.scala 188:30] + node _T_57 = bits(dccm_rdata_hi_any, 19, 19) @[lib.scala 189:36] + _T_4[8] <= _T_57 @[lib.scala 189:30] + node _T_58 = bits(dccm_rdata_hi_any, 20, 20) @[lib.scala 186:36] + _T_1[11] <= _T_58 @[lib.scala 186:30] + node _T_59 = bits(dccm_rdata_hi_any, 20, 20) @[lib.scala 188:36] + _T_3[9] <= _T_59 @[lib.scala 188:30] + node _T_60 = bits(dccm_rdata_hi_any, 20, 20) @[lib.scala 189:36] + _T_4[9] <= _T_60 @[lib.scala 189:30] + node _T_61 = bits(dccm_rdata_hi_any, 21, 21) @[lib.scala 185:36] + _T[12] <= _T_61 @[lib.scala 185:30] + node _T_62 = bits(dccm_rdata_hi_any, 21, 21) @[lib.scala 186:36] + _T_1[12] <= _T_62 @[lib.scala 186:30] + node _T_63 = bits(dccm_rdata_hi_any, 21, 21) @[lib.scala 188:36] + _T_3[10] <= _T_63 @[lib.scala 188:30] + node _T_64 = bits(dccm_rdata_hi_any, 21, 21) @[lib.scala 189:36] + _T_4[10] <= _T_64 @[lib.scala 189:30] + node _T_65 = bits(dccm_rdata_hi_any, 22, 22) @[lib.scala 187:36] + _T_2[11] <= _T_65 @[lib.scala 187:30] + node _T_66 = bits(dccm_rdata_hi_any, 22, 22) @[lib.scala 188:36] + _T_3[11] <= _T_66 @[lib.scala 188:30] + node _T_67 = bits(dccm_rdata_hi_any, 22, 22) @[lib.scala 189:36] + _T_4[11] <= _T_67 @[lib.scala 189:30] + node _T_68 = bits(dccm_rdata_hi_any, 23, 23) @[lib.scala 185:36] + _T[13] <= _T_68 @[lib.scala 185:30] + node _T_69 = bits(dccm_rdata_hi_any, 23, 23) @[lib.scala 187:36] + _T_2[12] <= _T_69 @[lib.scala 187:30] + node _T_70 = bits(dccm_rdata_hi_any, 23, 23) @[lib.scala 188:36] + _T_3[12] <= _T_70 @[lib.scala 188:30] + node _T_71 = bits(dccm_rdata_hi_any, 23, 23) @[lib.scala 189:36] + _T_4[12] <= _T_71 @[lib.scala 189:30] + node _T_72 = bits(dccm_rdata_hi_any, 24, 24) @[lib.scala 186:36] + _T_1[13] <= _T_72 @[lib.scala 186:30] + node _T_73 = bits(dccm_rdata_hi_any, 24, 24) @[lib.scala 187:36] + _T_2[13] <= _T_73 @[lib.scala 187:30] + node _T_74 = bits(dccm_rdata_hi_any, 24, 24) @[lib.scala 188:36] + _T_3[13] <= _T_74 @[lib.scala 188:30] + node _T_75 = bits(dccm_rdata_hi_any, 24, 24) @[lib.scala 189:36] + _T_4[13] <= _T_75 @[lib.scala 189:30] + node _T_76 = bits(dccm_rdata_hi_any, 25, 25) @[lib.scala 185:36] + _T[14] <= _T_76 @[lib.scala 185:30] + node _T_77 = bits(dccm_rdata_hi_any, 25, 25) @[lib.scala 186:36] + _T_1[14] <= _T_77 @[lib.scala 186:30] + node _T_78 = bits(dccm_rdata_hi_any, 25, 25) @[lib.scala 187:36] + _T_2[14] <= _T_78 @[lib.scala 187:30] + node _T_79 = bits(dccm_rdata_hi_any, 25, 25) @[lib.scala 188:36] + _T_3[14] <= _T_79 @[lib.scala 188:30] + node _T_80 = bits(dccm_rdata_hi_any, 25, 25) @[lib.scala 189:36] + _T_4[14] <= _T_80 @[lib.scala 189:30] + node _T_81 = bits(dccm_rdata_hi_any, 26, 26) @[lib.scala 185:36] + _T[15] <= _T_81 @[lib.scala 185:30] + node _T_82 = bits(dccm_rdata_hi_any, 26, 26) @[lib.scala 190:36] + _T_5[0] <= _T_82 @[lib.scala 190:30] + node _T_83 = bits(dccm_rdata_hi_any, 27, 27) @[lib.scala 186:36] + _T_1[15] <= _T_83 @[lib.scala 186:30] + node _T_84 = bits(dccm_rdata_hi_any, 27, 27) @[lib.scala 190:36] + _T_5[1] <= _T_84 @[lib.scala 190:30] + node _T_85 = bits(dccm_rdata_hi_any, 28, 28) @[lib.scala 185:36] + _T[16] <= _T_85 @[lib.scala 185:30] + node _T_86 = bits(dccm_rdata_hi_any, 28, 28) @[lib.scala 186:36] + _T_1[16] <= _T_86 @[lib.scala 186:30] + node _T_87 = bits(dccm_rdata_hi_any, 28, 28) @[lib.scala 190:36] + _T_5[2] <= _T_87 @[lib.scala 190:30] + node _T_88 = bits(dccm_rdata_hi_any, 29, 29) @[lib.scala 187:36] + _T_2[15] <= _T_88 @[lib.scala 187:30] + node _T_89 = bits(dccm_rdata_hi_any, 29, 29) @[lib.scala 190:36] + _T_5[3] <= _T_89 @[lib.scala 190:30] + node _T_90 = bits(dccm_rdata_hi_any, 30, 30) @[lib.scala 185:36] + _T[17] <= _T_90 @[lib.scala 185:30] + node _T_91 = bits(dccm_rdata_hi_any, 30, 30) @[lib.scala 187:36] + _T_2[16] <= _T_91 @[lib.scala 187:30] + node _T_92 = bits(dccm_rdata_hi_any, 30, 30) @[lib.scala 190:36] + _T_5[4] <= _T_92 @[lib.scala 190:30] + node _T_93 = bits(dccm_rdata_hi_any, 31, 31) @[lib.scala 186:36] + _T_1[17] <= _T_93 @[lib.scala 186:30] + node _T_94 = bits(dccm_rdata_hi_any, 31, 31) @[lib.scala 187:36] + _T_2[17] <= _T_94 @[lib.scala 187:30] + node _T_95 = bits(dccm_rdata_hi_any, 31, 31) @[lib.scala 190:36] + _T_5[5] <= _T_95 @[lib.scala 190:30] + node _T_96 = xorr(dccm_rdata_hi_any) @[lib.scala 193:30] + node _T_97 = xorr(dccm_data_ecc_hi_any) @[lib.scala 193:44] + node _T_98 = xor(_T_96, _T_97) @[lib.scala 193:35] + node _T_99 = not(UInt<1>("h00")) @[lib.scala 193:52] + node _T_100 = and(_T_98, _T_99) @[lib.scala 193:50] + node _T_101 = bits(dccm_data_ecc_hi_any, 5, 5) @[lib.scala 193:68] + node _T_102 = cat(_T_5[2], _T_5[1]) @[lib.scala 193:76] + node _T_103 = cat(_T_102, _T_5[0]) @[lib.scala 193:76] + node _T_104 = cat(_T_5[5], _T_5[4]) @[lib.scala 193:76] + node _T_105 = cat(_T_104, _T_5[3]) @[lib.scala 193:76] + node _T_106 = cat(_T_105, _T_103) @[lib.scala 193:76] + node _T_107 = xorr(_T_106) @[lib.scala 193:83] + node _T_108 = xor(_T_101, _T_107) @[lib.scala 193:71] + node _T_109 = bits(dccm_data_ecc_hi_any, 4, 4) @[lib.scala 193:95] + node _T_110 = cat(_T_4[2], _T_4[1]) @[lib.scala 193:103] + node _T_111 = cat(_T_110, _T_4[0]) @[lib.scala 193:103] + node _T_112 = cat(_T_4[4], _T_4[3]) @[lib.scala 193:103] + node _T_113 = cat(_T_4[6], _T_4[5]) @[lib.scala 193:103] + node _T_114 = cat(_T_113, _T_112) @[lib.scala 193:103] + node _T_115 = cat(_T_114, _T_111) @[lib.scala 193:103] + node _T_116 = cat(_T_4[8], _T_4[7]) @[lib.scala 193:103] + node _T_117 = cat(_T_4[10], _T_4[9]) @[lib.scala 193:103] + node _T_118 = cat(_T_117, _T_116) @[lib.scala 193:103] + node _T_119 = cat(_T_4[12], _T_4[11]) @[lib.scala 193:103] + node _T_120 = cat(_T_4[14], _T_4[13]) @[lib.scala 193:103] + node _T_121 = cat(_T_120, _T_119) @[lib.scala 193:103] + node _T_122 = cat(_T_121, _T_118) @[lib.scala 193:103] + node _T_123 = cat(_T_122, _T_115) @[lib.scala 193:103] + node _T_124 = xorr(_T_123) @[lib.scala 193:110] + node _T_125 = xor(_T_109, _T_124) @[lib.scala 193:98] + node _T_126 = bits(dccm_data_ecc_hi_any, 3, 3) @[lib.scala 193:122] + node _T_127 = cat(_T_3[2], _T_3[1]) @[lib.scala 193:130] + node _T_128 = cat(_T_127, _T_3[0]) @[lib.scala 193:130] + node _T_129 = cat(_T_3[4], _T_3[3]) @[lib.scala 193:130] + node _T_130 = cat(_T_3[6], _T_3[5]) @[lib.scala 193:130] + node _T_131 = cat(_T_130, _T_129) @[lib.scala 193:130] + node _T_132 = cat(_T_131, _T_128) @[lib.scala 193:130] + node _T_133 = cat(_T_3[8], _T_3[7]) @[lib.scala 193:130] + node _T_134 = cat(_T_3[10], _T_3[9]) @[lib.scala 193:130] + node _T_135 = cat(_T_134, _T_133) @[lib.scala 193:130] + node _T_136 = cat(_T_3[12], _T_3[11]) @[lib.scala 193:130] + node _T_137 = cat(_T_3[14], _T_3[13]) @[lib.scala 193:130] + node _T_138 = cat(_T_137, _T_136) @[lib.scala 193:130] + node _T_139 = cat(_T_138, _T_135) @[lib.scala 193:130] + node _T_140 = cat(_T_139, _T_132) @[lib.scala 193:130] + node _T_141 = xorr(_T_140) @[lib.scala 193:137] + node _T_142 = xor(_T_126, _T_141) @[lib.scala 193:125] + node _T_143 = bits(dccm_data_ecc_hi_any, 2, 2) @[lib.scala 193:149] + node _T_144 = cat(_T_2[1], _T_2[0]) @[lib.scala 193:157] + node _T_145 = cat(_T_2[3], _T_2[2]) @[lib.scala 193:157] + node _T_146 = cat(_T_145, _T_144) @[lib.scala 193:157] + node _T_147 = cat(_T_2[5], _T_2[4]) @[lib.scala 193:157] + node _T_148 = cat(_T_2[8], _T_2[7]) @[lib.scala 193:157] + node _T_149 = cat(_T_148, _T_2[6]) @[lib.scala 193:157] + node _T_150 = cat(_T_149, _T_147) @[lib.scala 193:157] + node _T_151 = cat(_T_150, _T_146) @[lib.scala 193:157] + node _T_152 = cat(_T_2[10], _T_2[9]) @[lib.scala 193:157] + node _T_153 = cat(_T_2[12], _T_2[11]) @[lib.scala 193:157] + node _T_154 = cat(_T_153, _T_152) @[lib.scala 193:157] + node _T_155 = cat(_T_2[14], _T_2[13]) @[lib.scala 193:157] + node _T_156 = cat(_T_2[17], _T_2[16]) @[lib.scala 193:157] + node _T_157 = cat(_T_156, _T_2[15]) @[lib.scala 193:157] + node _T_158 = cat(_T_157, _T_155) @[lib.scala 193:157] + node _T_159 = cat(_T_158, _T_154) @[lib.scala 193:157] + node _T_160 = cat(_T_159, _T_151) @[lib.scala 193:157] + node _T_161 = xorr(_T_160) @[lib.scala 193:164] + node _T_162 = xor(_T_143, _T_161) @[lib.scala 193:152] + node _T_163 = bits(dccm_data_ecc_hi_any, 1, 1) @[lib.scala 193:176] + node _T_164 = cat(_T_1[1], _T_1[0]) @[lib.scala 193:184] + node _T_165 = cat(_T_1[3], _T_1[2]) @[lib.scala 193:184] + node _T_166 = cat(_T_165, _T_164) @[lib.scala 193:184] + node _T_167 = cat(_T_1[5], _T_1[4]) @[lib.scala 193:184] + node _T_168 = cat(_T_1[8], _T_1[7]) @[lib.scala 193:184] + node _T_169 = cat(_T_168, _T_1[6]) @[lib.scala 193:184] + node _T_170 = cat(_T_169, _T_167) @[lib.scala 193:184] + node _T_171 = cat(_T_170, _T_166) @[lib.scala 193:184] + node _T_172 = cat(_T_1[10], _T_1[9]) @[lib.scala 193:184] + node _T_173 = cat(_T_1[12], _T_1[11]) @[lib.scala 193:184] + node _T_174 = cat(_T_173, _T_172) @[lib.scala 193:184] + node _T_175 = cat(_T_1[14], _T_1[13]) @[lib.scala 193:184] + node _T_176 = cat(_T_1[17], _T_1[16]) @[lib.scala 193:184] + node _T_177 = cat(_T_176, _T_1[15]) @[lib.scala 193:184] + node _T_178 = cat(_T_177, _T_175) @[lib.scala 193:184] + node _T_179 = cat(_T_178, _T_174) @[lib.scala 193:184] + node _T_180 = cat(_T_179, _T_171) @[lib.scala 193:184] + node _T_181 = xorr(_T_180) @[lib.scala 193:191] + node _T_182 = xor(_T_163, _T_181) @[lib.scala 193:179] + node _T_183 = bits(dccm_data_ecc_hi_any, 0, 0) @[lib.scala 193:203] + node _T_184 = cat(_T[1], _T[0]) @[lib.scala 193:211] + node _T_185 = cat(_T[3], _T[2]) @[lib.scala 193:211] + node _T_186 = cat(_T_185, _T_184) @[lib.scala 193:211] + node _T_187 = cat(_T[5], _T[4]) @[lib.scala 193:211] + node _T_188 = cat(_T[8], _T[7]) @[lib.scala 193:211] + node _T_189 = cat(_T_188, _T[6]) @[lib.scala 193:211] + node _T_190 = cat(_T_189, _T_187) @[lib.scala 193:211] + node _T_191 = cat(_T_190, _T_186) @[lib.scala 193:211] + node _T_192 = cat(_T[10], _T[9]) @[lib.scala 193:211] + node _T_193 = cat(_T[12], _T[11]) @[lib.scala 193:211] + node _T_194 = cat(_T_193, _T_192) @[lib.scala 193:211] + node _T_195 = cat(_T[14], _T[13]) @[lib.scala 193:211] + node _T_196 = cat(_T[17], _T[16]) @[lib.scala 193:211] + node _T_197 = cat(_T_196, _T[15]) @[lib.scala 193:211] + node _T_198 = cat(_T_197, _T_195) @[lib.scala 193:211] + node _T_199 = cat(_T_198, _T_194) @[lib.scala 193:211] + node _T_200 = cat(_T_199, _T_191) @[lib.scala 193:211] + node _T_201 = xorr(_T_200) @[lib.scala 193:218] + node _T_202 = xor(_T_183, _T_201) @[lib.scala 193:206] + node _T_203 = cat(_T_162, _T_182) @[Cat.scala 29:58] + node _T_204 = cat(_T_203, _T_202) @[Cat.scala 29:58] + node _T_205 = cat(_T_125, _T_142) @[Cat.scala 29:58] + node _T_206 = cat(_T_100, _T_108) @[Cat.scala 29:58] + node _T_207 = cat(_T_206, _T_205) @[Cat.scala 29:58] + node _T_208 = cat(_T_207, _T_204) @[Cat.scala 29:58] + node _T_209 = neq(_T_208, UInt<1>("h00")) @[lib.scala 194:44] + node _T_210 = and(is_ldst_hi_any, _T_209) @[lib.scala 194:32] + node _T_211 = bits(_T_208, 6, 6) @[lib.scala 194:64] + node single_ecc_error_hi_any = and(_T_210, _T_211) @[lib.scala 194:53] + node _T_212 = neq(_T_208, UInt<1>("h00")) @[lib.scala 195:44] + node _T_213 = and(is_ldst_hi_any, _T_212) @[lib.scala 195:32] + node _T_214 = bits(_T_208, 6, 6) @[lib.scala 195:65] + node _T_215 = not(_T_214) @[lib.scala 195:55] + node double_ecc_error_hi_any = and(_T_213, _T_215) @[lib.scala 195:53] + wire _T_216 : UInt<1>[39] @[lib.scala 196:26] + node _T_217 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_218 = eq(_T_217, UInt<1>("h01")) @[lib.scala 199:41] + _T_216[0] <= _T_218 @[lib.scala 199:23] + node _T_219 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_220 = eq(_T_219, UInt<2>("h02")) @[lib.scala 199:41] + _T_216[1] <= _T_220 @[lib.scala 199:23] + node _T_221 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_222 = eq(_T_221, UInt<2>("h03")) @[lib.scala 199:41] + _T_216[2] <= _T_222 @[lib.scala 199:23] + node _T_223 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_224 = eq(_T_223, UInt<3>("h04")) @[lib.scala 199:41] + _T_216[3] <= _T_224 @[lib.scala 199:23] + node _T_225 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_226 = eq(_T_225, UInt<3>("h05")) @[lib.scala 199:41] + _T_216[4] <= _T_226 @[lib.scala 199:23] + node _T_227 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_228 = eq(_T_227, UInt<3>("h06")) @[lib.scala 199:41] + _T_216[5] <= _T_228 @[lib.scala 199:23] + node _T_229 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_230 = eq(_T_229, UInt<3>("h07")) @[lib.scala 199:41] + _T_216[6] <= _T_230 @[lib.scala 199:23] + node _T_231 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_232 = eq(_T_231, UInt<4>("h08")) @[lib.scala 199:41] + _T_216[7] <= _T_232 @[lib.scala 199:23] + node _T_233 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_234 = eq(_T_233, UInt<4>("h09")) @[lib.scala 199:41] + _T_216[8] <= _T_234 @[lib.scala 199:23] + node _T_235 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_236 = eq(_T_235, UInt<4>("h0a")) @[lib.scala 199:41] + _T_216[9] <= _T_236 @[lib.scala 199:23] + node _T_237 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_238 = eq(_T_237, UInt<4>("h0b")) @[lib.scala 199:41] + _T_216[10] <= _T_238 @[lib.scala 199:23] + node _T_239 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_240 = eq(_T_239, UInt<4>("h0c")) @[lib.scala 199:41] + _T_216[11] <= _T_240 @[lib.scala 199:23] + node _T_241 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_242 = eq(_T_241, UInt<4>("h0d")) @[lib.scala 199:41] + _T_216[12] <= _T_242 @[lib.scala 199:23] + node _T_243 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_244 = eq(_T_243, UInt<4>("h0e")) @[lib.scala 199:41] + _T_216[13] <= _T_244 @[lib.scala 199:23] + node _T_245 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_246 = eq(_T_245, UInt<4>("h0f")) @[lib.scala 199:41] + _T_216[14] <= _T_246 @[lib.scala 199:23] + node _T_247 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_248 = eq(_T_247, UInt<5>("h010")) @[lib.scala 199:41] + _T_216[15] <= _T_248 @[lib.scala 199:23] + node _T_249 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_250 = eq(_T_249, UInt<5>("h011")) @[lib.scala 199:41] + _T_216[16] <= _T_250 @[lib.scala 199:23] + node _T_251 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_252 = eq(_T_251, UInt<5>("h012")) @[lib.scala 199:41] + _T_216[17] <= _T_252 @[lib.scala 199:23] + node _T_253 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_254 = eq(_T_253, UInt<5>("h013")) @[lib.scala 199:41] + _T_216[18] <= _T_254 @[lib.scala 199:23] + node _T_255 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_256 = eq(_T_255, UInt<5>("h014")) @[lib.scala 199:41] + _T_216[19] <= _T_256 @[lib.scala 199:23] + node _T_257 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_258 = eq(_T_257, UInt<5>("h015")) @[lib.scala 199:41] + _T_216[20] <= _T_258 @[lib.scala 199:23] + node _T_259 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_260 = eq(_T_259, UInt<5>("h016")) @[lib.scala 199:41] + _T_216[21] <= _T_260 @[lib.scala 199:23] + node _T_261 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_262 = eq(_T_261, UInt<5>("h017")) @[lib.scala 199:41] + _T_216[22] <= _T_262 @[lib.scala 199:23] + node _T_263 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_264 = eq(_T_263, UInt<5>("h018")) @[lib.scala 199:41] + _T_216[23] <= _T_264 @[lib.scala 199:23] + node _T_265 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_266 = eq(_T_265, UInt<5>("h019")) @[lib.scala 199:41] + _T_216[24] <= _T_266 @[lib.scala 199:23] + node _T_267 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_268 = eq(_T_267, UInt<5>("h01a")) @[lib.scala 199:41] + _T_216[25] <= _T_268 @[lib.scala 199:23] + node _T_269 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_270 = eq(_T_269, UInt<5>("h01b")) @[lib.scala 199:41] + _T_216[26] <= _T_270 @[lib.scala 199:23] + node _T_271 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_272 = eq(_T_271, UInt<5>("h01c")) @[lib.scala 199:41] + _T_216[27] <= _T_272 @[lib.scala 199:23] + node _T_273 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_274 = eq(_T_273, UInt<5>("h01d")) @[lib.scala 199:41] + _T_216[28] <= _T_274 @[lib.scala 199:23] + node _T_275 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_276 = eq(_T_275, UInt<5>("h01e")) @[lib.scala 199:41] + _T_216[29] <= _T_276 @[lib.scala 199:23] + node _T_277 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_278 = eq(_T_277, UInt<5>("h01f")) @[lib.scala 199:41] + _T_216[30] <= _T_278 @[lib.scala 199:23] + node _T_279 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_280 = eq(_T_279, UInt<6>("h020")) @[lib.scala 199:41] + _T_216[31] <= _T_280 @[lib.scala 199:23] + node _T_281 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_282 = eq(_T_281, UInt<6>("h021")) @[lib.scala 199:41] + _T_216[32] <= _T_282 @[lib.scala 199:23] + node _T_283 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_284 = eq(_T_283, UInt<6>("h022")) @[lib.scala 199:41] + _T_216[33] <= _T_284 @[lib.scala 199:23] + node _T_285 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_286 = eq(_T_285, UInt<6>("h023")) @[lib.scala 199:41] + _T_216[34] <= _T_286 @[lib.scala 199:23] + node _T_287 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_288 = eq(_T_287, UInt<6>("h024")) @[lib.scala 199:41] + _T_216[35] <= _T_288 @[lib.scala 199:23] + node _T_289 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_290 = eq(_T_289, UInt<6>("h025")) @[lib.scala 199:41] + _T_216[36] <= _T_290 @[lib.scala 199:23] + node _T_291 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_292 = eq(_T_291, UInt<6>("h026")) @[lib.scala 199:41] + _T_216[37] <= _T_292 @[lib.scala 199:23] + node _T_293 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_294 = eq(_T_293, UInt<6>("h027")) @[lib.scala 199:41] + _T_216[38] <= _T_294 @[lib.scala 199:23] + node _T_295 = bits(dccm_data_ecc_hi_any, 6, 6) @[lib.scala 201:37] + node _T_296 = bits(dccm_rdata_hi_any, 31, 26) @[lib.scala 201:45] + node _T_297 = bits(dccm_data_ecc_hi_any, 5, 5) @[lib.scala 201:60] + node _T_298 = bits(dccm_rdata_hi_any, 25, 11) @[lib.scala 201:68] + node _T_299 = bits(dccm_data_ecc_hi_any, 4, 4) @[lib.scala 201:83] + node _T_300 = bits(dccm_rdata_hi_any, 10, 4) @[lib.scala 201:91] + node _T_301 = bits(dccm_data_ecc_hi_any, 3, 3) @[lib.scala 201:105] + node _T_302 = bits(dccm_rdata_hi_any, 3, 1) @[lib.scala 201:113] + node _T_303 = bits(dccm_data_ecc_hi_any, 2, 2) @[lib.scala 201:126] + node _T_304 = bits(dccm_rdata_hi_any, 0, 0) @[lib.scala 201:134] + node _T_305 = bits(dccm_data_ecc_hi_any, 1, 0) @[lib.scala 201:145] + node _T_306 = cat(_T_304, _T_305) @[Cat.scala 29:58] + node _T_307 = cat(_T_301, _T_302) @[Cat.scala 29:58] + node _T_308 = cat(_T_307, _T_303) @[Cat.scala 29:58] + node _T_309 = cat(_T_308, _T_306) @[Cat.scala 29:58] + node _T_310 = cat(_T_298, _T_299) @[Cat.scala 29:58] + node _T_311 = cat(_T_310, _T_300) @[Cat.scala 29:58] + node _T_312 = cat(_T_295, _T_296) @[Cat.scala 29:58] + node _T_313 = cat(_T_312, _T_297) @[Cat.scala 29:58] + node _T_314 = cat(_T_313, _T_311) @[Cat.scala 29:58] + node _T_315 = cat(_T_314, _T_309) @[Cat.scala 29:58] + node _T_316 = bits(single_ecc_error_hi_any, 0, 0) @[lib.scala 202:49] + node _T_317 = cat(_T_216[1], _T_216[0]) @[lib.scala 202:69] + node _T_318 = cat(_T_216[3], _T_216[2]) @[lib.scala 202:69] + node _T_319 = cat(_T_318, _T_317) @[lib.scala 202:69] + node _T_320 = cat(_T_216[5], _T_216[4]) @[lib.scala 202:69] + node _T_321 = cat(_T_216[8], _T_216[7]) @[lib.scala 202:69] + node _T_322 = cat(_T_321, _T_216[6]) @[lib.scala 202:69] + node _T_323 = cat(_T_322, _T_320) @[lib.scala 202:69] + node _T_324 = cat(_T_323, _T_319) @[lib.scala 202:69] + node _T_325 = cat(_T_216[10], _T_216[9]) @[lib.scala 202:69] + node _T_326 = cat(_T_216[13], _T_216[12]) @[lib.scala 202:69] + node _T_327 = cat(_T_326, _T_216[11]) @[lib.scala 202:69] + node _T_328 = cat(_T_327, _T_325) @[lib.scala 202:69] + node _T_329 = cat(_T_216[15], _T_216[14]) @[lib.scala 202:69] + node _T_330 = cat(_T_216[18], _T_216[17]) @[lib.scala 202:69] + node _T_331 = cat(_T_330, _T_216[16]) @[lib.scala 202:69] + node _T_332 = cat(_T_331, _T_329) @[lib.scala 202:69] + node _T_333 = cat(_T_332, _T_328) @[lib.scala 202:69] + node _T_334 = cat(_T_333, _T_324) @[lib.scala 202:69] + node _T_335 = cat(_T_216[20], _T_216[19]) @[lib.scala 202:69] + node _T_336 = cat(_T_216[23], _T_216[22]) @[lib.scala 202:69] + node _T_337 = cat(_T_336, _T_216[21]) @[lib.scala 202:69] + node _T_338 = cat(_T_337, _T_335) @[lib.scala 202:69] + node _T_339 = cat(_T_216[25], _T_216[24]) @[lib.scala 202:69] + node _T_340 = cat(_T_216[28], _T_216[27]) @[lib.scala 202:69] + node _T_341 = cat(_T_340, _T_216[26]) @[lib.scala 202:69] + node _T_342 = cat(_T_341, _T_339) @[lib.scala 202:69] + node _T_343 = cat(_T_342, _T_338) @[lib.scala 202:69] + node _T_344 = cat(_T_216[30], _T_216[29]) @[lib.scala 202:69] + node _T_345 = cat(_T_216[33], _T_216[32]) @[lib.scala 202:69] + node _T_346 = cat(_T_345, _T_216[31]) @[lib.scala 202:69] + node _T_347 = cat(_T_346, _T_344) @[lib.scala 202:69] + node _T_348 = cat(_T_216[35], _T_216[34]) @[lib.scala 202:69] + node _T_349 = cat(_T_216[38], _T_216[37]) @[lib.scala 202:69] + node _T_350 = cat(_T_349, _T_216[36]) @[lib.scala 202:69] + node _T_351 = cat(_T_350, _T_348) @[lib.scala 202:69] + node _T_352 = cat(_T_351, _T_347) @[lib.scala 202:69] + node _T_353 = cat(_T_352, _T_343) @[lib.scala 202:69] + node _T_354 = cat(_T_353, _T_334) @[lib.scala 202:69] + node _T_355 = xor(_T_354, _T_315) @[lib.scala 202:76] + node _T_356 = mux(_T_316, _T_355, _T_315) @[lib.scala 202:31] + node _T_357 = bits(_T_356, 37, 32) @[lib.scala 204:37] + node _T_358 = bits(_T_356, 30, 16) @[lib.scala 204:61] + node _T_359 = bits(_T_356, 14, 8) @[lib.scala 204:86] + node _T_360 = bits(_T_356, 6, 4) @[lib.scala 204:110] + node _T_361 = bits(_T_356, 2, 2) @[lib.scala 204:133] + node _T_362 = cat(_T_360, _T_361) @[Cat.scala 29:58] + node _T_363 = cat(_T_357, _T_358) @[Cat.scala 29:58] + node _T_364 = cat(_T_363, _T_359) @[Cat.scala 29:58] + node sec_data_hi_any = cat(_T_364, _T_362) @[Cat.scala 29:58] + node _T_365 = bits(_T_356, 38, 38) @[lib.scala 205:39] + node _T_366 = bits(_T_208, 6, 0) @[lib.scala 205:56] + node _T_367 = eq(_T_366, UInt<7>("h040")) @[lib.scala 205:62] + node _T_368 = xor(_T_365, _T_367) @[lib.scala 205:44] + node _T_369 = bits(_T_356, 31, 31) @[lib.scala 205:102] + node _T_370 = bits(_T_356, 15, 15) @[lib.scala 205:124] + node _T_371 = bits(_T_356, 7, 7) @[lib.scala 205:146] + node _T_372 = bits(_T_356, 3, 3) @[lib.scala 205:167] + node _T_373 = bits(_T_356, 1, 0) @[lib.scala 205:188] + node _T_374 = cat(_T_371, _T_372) @[Cat.scala 29:58] + node _T_375 = cat(_T_374, _T_373) @[Cat.scala 29:58] + node _T_376 = cat(_T_368, _T_369) @[Cat.scala 29:58] + node _T_377 = cat(_T_376, _T_370) @[Cat.scala 29:58] + node ecc_out_hi_nc = cat(_T_377, _T_375) @[Cat.scala 29:58] + wire _T_378 : UInt<1>[18] @[lib.scala 173:18] + wire _T_379 : UInt<1>[18] @[lib.scala 174:18] + wire _T_380 : UInt<1>[18] @[lib.scala 175:18] + wire _T_381 : UInt<1>[15] @[lib.scala 176:18] + wire _T_382 : UInt<1>[15] @[lib.scala 177:18] + wire _T_383 : UInt<1>[6] @[lib.scala 178:18] + node _T_384 = bits(dccm_rdata_lo_any, 0, 0) @[lib.scala 185:36] + _T_378[0] <= _T_384 @[lib.scala 185:30] + node _T_385 = bits(dccm_rdata_lo_any, 0, 0) @[lib.scala 186:36] + _T_379[0] <= _T_385 @[lib.scala 186:30] + node _T_386 = bits(dccm_rdata_lo_any, 1, 1) @[lib.scala 185:36] + _T_378[1] <= _T_386 @[lib.scala 185:30] + node _T_387 = bits(dccm_rdata_lo_any, 1, 1) @[lib.scala 187:36] + _T_380[0] <= _T_387 @[lib.scala 187:30] + node _T_388 = bits(dccm_rdata_lo_any, 2, 2) @[lib.scala 186:36] + _T_379[1] <= _T_388 @[lib.scala 186:30] + node _T_389 = bits(dccm_rdata_lo_any, 2, 2) @[lib.scala 187:36] + _T_380[1] <= _T_389 @[lib.scala 187:30] + node _T_390 = bits(dccm_rdata_lo_any, 3, 3) @[lib.scala 185:36] + _T_378[2] <= _T_390 @[lib.scala 185:30] + node _T_391 = bits(dccm_rdata_lo_any, 3, 3) @[lib.scala 186:36] + _T_379[2] <= _T_391 @[lib.scala 186:30] + node _T_392 = bits(dccm_rdata_lo_any, 3, 3) @[lib.scala 187:36] + _T_380[2] <= _T_392 @[lib.scala 187:30] + node _T_393 = bits(dccm_rdata_lo_any, 4, 4) @[lib.scala 185:36] + _T_378[3] <= _T_393 @[lib.scala 185:30] + node _T_394 = bits(dccm_rdata_lo_any, 4, 4) @[lib.scala 188:36] + _T_381[0] <= _T_394 @[lib.scala 188:30] + node _T_395 = bits(dccm_rdata_lo_any, 5, 5) @[lib.scala 186:36] + _T_379[3] <= _T_395 @[lib.scala 186:30] + node _T_396 = bits(dccm_rdata_lo_any, 5, 5) @[lib.scala 188:36] + _T_381[1] <= _T_396 @[lib.scala 188:30] + node _T_397 = bits(dccm_rdata_lo_any, 6, 6) @[lib.scala 185:36] + _T_378[4] <= _T_397 @[lib.scala 185:30] + node _T_398 = bits(dccm_rdata_lo_any, 6, 6) @[lib.scala 186:36] + _T_379[4] <= _T_398 @[lib.scala 186:30] + node _T_399 = bits(dccm_rdata_lo_any, 6, 6) @[lib.scala 188:36] + _T_381[2] <= _T_399 @[lib.scala 188:30] + node _T_400 = bits(dccm_rdata_lo_any, 7, 7) @[lib.scala 187:36] + _T_380[3] <= _T_400 @[lib.scala 187:30] + node _T_401 = bits(dccm_rdata_lo_any, 7, 7) @[lib.scala 188:36] + _T_381[3] <= _T_401 @[lib.scala 188:30] + node _T_402 = bits(dccm_rdata_lo_any, 8, 8) @[lib.scala 185:36] + _T_378[5] <= _T_402 @[lib.scala 185:30] + node _T_403 = bits(dccm_rdata_lo_any, 8, 8) @[lib.scala 187:36] + _T_380[4] <= _T_403 @[lib.scala 187:30] + node _T_404 = bits(dccm_rdata_lo_any, 8, 8) @[lib.scala 188:36] + _T_381[4] <= _T_404 @[lib.scala 188:30] + node _T_405 = bits(dccm_rdata_lo_any, 9, 9) @[lib.scala 186:36] + _T_379[5] <= _T_405 @[lib.scala 186:30] + node _T_406 = bits(dccm_rdata_lo_any, 9, 9) @[lib.scala 187:36] + _T_380[5] <= _T_406 @[lib.scala 187:30] + node _T_407 = bits(dccm_rdata_lo_any, 9, 9) @[lib.scala 188:36] + _T_381[5] <= _T_407 @[lib.scala 188:30] + node _T_408 = bits(dccm_rdata_lo_any, 10, 10) @[lib.scala 185:36] + _T_378[6] <= _T_408 @[lib.scala 185:30] + node _T_409 = bits(dccm_rdata_lo_any, 10, 10) @[lib.scala 186:36] + _T_379[6] <= _T_409 @[lib.scala 186:30] + node _T_410 = bits(dccm_rdata_lo_any, 10, 10) @[lib.scala 187:36] + _T_380[6] <= _T_410 @[lib.scala 187:30] + node _T_411 = bits(dccm_rdata_lo_any, 10, 10) @[lib.scala 188:36] + _T_381[6] <= _T_411 @[lib.scala 188:30] + node _T_412 = bits(dccm_rdata_lo_any, 11, 11) @[lib.scala 185:36] + _T_378[7] <= _T_412 @[lib.scala 185:30] + node _T_413 = bits(dccm_rdata_lo_any, 11, 11) @[lib.scala 189:36] + _T_382[0] <= _T_413 @[lib.scala 189:30] + node _T_414 = bits(dccm_rdata_lo_any, 12, 12) @[lib.scala 186:36] + _T_379[7] <= _T_414 @[lib.scala 186:30] + node _T_415 = bits(dccm_rdata_lo_any, 12, 12) @[lib.scala 189:36] + _T_382[1] <= _T_415 @[lib.scala 189:30] + node _T_416 = bits(dccm_rdata_lo_any, 13, 13) @[lib.scala 185:36] + _T_378[8] <= _T_416 @[lib.scala 185:30] + node _T_417 = bits(dccm_rdata_lo_any, 13, 13) @[lib.scala 186:36] + _T_379[8] <= _T_417 @[lib.scala 186:30] + node _T_418 = bits(dccm_rdata_lo_any, 13, 13) @[lib.scala 189:36] + _T_382[2] <= _T_418 @[lib.scala 189:30] + node _T_419 = bits(dccm_rdata_lo_any, 14, 14) @[lib.scala 187:36] + _T_380[7] <= _T_419 @[lib.scala 187:30] + node _T_420 = bits(dccm_rdata_lo_any, 14, 14) @[lib.scala 189:36] + _T_382[3] <= _T_420 @[lib.scala 189:30] + node _T_421 = bits(dccm_rdata_lo_any, 15, 15) @[lib.scala 185:36] + _T_378[9] <= _T_421 @[lib.scala 185:30] + node _T_422 = bits(dccm_rdata_lo_any, 15, 15) @[lib.scala 187:36] + _T_380[8] <= _T_422 @[lib.scala 187:30] + node _T_423 = bits(dccm_rdata_lo_any, 15, 15) @[lib.scala 189:36] + _T_382[4] <= _T_423 @[lib.scala 189:30] + node _T_424 = bits(dccm_rdata_lo_any, 16, 16) @[lib.scala 186:36] + _T_379[9] <= _T_424 @[lib.scala 186:30] + node _T_425 = bits(dccm_rdata_lo_any, 16, 16) @[lib.scala 187:36] + _T_380[9] <= _T_425 @[lib.scala 187:30] + node _T_426 = bits(dccm_rdata_lo_any, 16, 16) @[lib.scala 189:36] + _T_382[5] <= _T_426 @[lib.scala 189:30] + node _T_427 = bits(dccm_rdata_lo_any, 17, 17) @[lib.scala 185:36] + _T_378[10] <= _T_427 @[lib.scala 185:30] + node _T_428 = bits(dccm_rdata_lo_any, 17, 17) @[lib.scala 186:36] + _T_379[10] <= _T_428 @[lib.scala 186:30] + node _T_429 = bits(dccm_rdata_lo_any, 17, 17) @[lib.scala 187:36] + _T_380[10] <= _T_429 @[lib.scala 187:30] + node _T_430 = bits(dccm_rdata_lo_any, 17, 17) @[lib.scala 189:36] + _T_382[6] <= _T_430 @[lib.scala 189:30] + node _T_431 = bits(dccm_rdata_lo_any, 18, 18) @[lib.scala 188:36] + _T_381[7] <= _T_431 @[lib.scala 188:30] + node _T_432 = bits(dccm_rdata_lo_any, 18, 18) @[lib.scala 189:36] + _T_382[7] <= _T_432 @[lib.scala 189:30] + node _T_433 = bits(dccm_rdata_lo_any, 19, 19) @[lib.scala 185:36] + _T_378[11] <= _T_433 @[lib.scala 185:30] + node _T_434 = bits(dccm_rdata_lo_any, 19, 19) @[lib.scala 188:36] + _T_381[8] <= _T_434 @[lib.scala 188:30] + node _T_435 = bits(dccm_rdata_lo_any, 19, 19) @[lib.scala 189:36] + _T_382[8] <= _T_435 @[lib.scala 189:30] + node _T_436 = bits(dccm_rdata_lo_any, 20, 20) @[lib.scala 186:36] + _T_379[11] <= _T_436 @[lib.scala 186:30] + node _T_437 = bits(dccm_rdata_lo_any, 20, 20) @[lib.scala 188:36] + _T_381[9] <= _T_437 @[lib.scala 188:30] + node _T_438 = bits(dccm_rdata_lo_any, 20, 20) @[lib.scala 189:36] + _T_382[9] <= _T_438 @[lib.scala 189:30] + node _T_439 = bits(dccm_rdata_lo_any, 21, 21) @[lib.scala 185:36] + _T_378[12] <= _T_439 @[lib.scala 185:30] + node _T_440 = bits(dccm_rdata_lo_any, 21, 21) @[lib.scala 186:36] + _T_379[12] <= _T_440 @[lib.scala 186:30] + node _T_441 = bits(dccm_rdata_lo_any, 21, 21) @[lib.scala 188:36] + _T_381[10] <= _T_441 @[lib.scala 188:30] + node _T_442 = bits(dccm_rdata_lo_any, 21, 21) @[lib.scala 189:36] + _T_382[10] <= _T_442 @[lib.scala 189:30] + node _T_443 = bits(dccm_rdata_lo_any, 22, 22) @[lib.scala 187:36] + _T_380[11] <= _T_443 @[lib.scala 187:30] + node _T_444 = bits(dccm_rdata_lo_any, 22, 22) @[lib.scala 188:36] + _T_381[11] <= _T_444 @[lib.scala 188:30] + node _T_445 = bits(dccm_rdata_lo_any, 22, 22) @[lib.scala 189:36] + _T_382[11] <= _T_445 @[lib.scala 189:30] + node _T_446 = bits(dccm_rdata_lo_any, 23, 23) @[lib.scala 185:36] + _T_378[13] <= _T_446 @[lib.scala 185:30] + node _T_447 = bits(dccm_rdata_lo_any, 23, 23) @[lib.scala 187:36] + _T_380[12] <= _T_447 @[lib.scala 187:30] + node _T_448 = bits(dccm_rdata_lo_any, 23, 23) @[lib.scala 188:36] + _T_381[12] <= _T_448 @[lib.scala 188:30] + node _T_449 = bits(dccm_rdata_lo_any, 23, 23) @[lib.scala 189:36] + _T_382[12] <= _T_449 @[lib.scala 189:30] + node _T_450 = bits(dccm_rdata_lo_any, 24, 24) @[lib.scala 186:36] + _T_379[13] <= _T_450 @[lib.scala 186:30] + node _T_451 = bits(dccm_rdata_lo_any, 24, 24) @[lib.scala 187:36] + _T_380[13] <= _T_451 @[lib.scala 187:30] + node _T_452 = bits(dccm_rdata_lo_any, 24, 24) @[lib.scala 188:36] + _T_381[13] <= _T_452 @[lib.scala 188:30] + node _T_453 = bits(dccm_rdata_lo_any, 24, 24) @[lib.scala 189:36] + _T_382[13] <= _T_453 @[lib.scala 189:30] + node _T_454 = bits(dccm_rdata_lo_any, 25, 25) @[lib.scala 185:36] + _T_378[14] <= _T_454 @[lib.scala 185:30] + node _T_455 = bits(dccm_rdata_lo_any, 25, 25) @[lib.scala 186:36] + _T_379[14] <= _T_455 @[lib.scala 186:30] + node _T_456 = bits(dccm_rdata_lo_any, 25, 25) @[lib.scala 187:36] + _T_380[14] <= _T_456 @[lib.scala 187:30] + node _T_457 = bits(dccm_rdata_lo_any, 25, 25) @[lib.scala 188:36] + _T_381[14] <= _T_457 @[lib.scala 188:30] + node _T_458 = bits(dccm_rdata_lo_any, 25, 25) @[lib.scala 189:36] + _T_382[14] <= _T_458 @[lib.scala 189:30] + node _T_459 = bits(dccm_rdata_lo_any, 26, 26) @[lib.scala 185:36] + _T_378[15] <= _T_459 @[lib.scala 185:30] + node _T_460 = bits(dccm_rdata_lo_any, 26, 26) @[lib.scala 190:36] + _T_383[0] <= _T_460 @[lib.scala 190:30] + node _T_461 = bits(dccm_rdata_lo_any, 27, 27) @[lib.scala 186:36] + _T_379[15] <= _T_461 @[lib.scala 186:30] + node _T_462 = bits(dccm_rdata_lo_any, 27, 27) @[lib.scala 190:36] + _T_383[1] <= _T_462 @[lib.scala 190:30] + node _T_463 = bits(dccm_rdata_lo_any, 28, 28) @[lib.scala 185:36] + _T_378[16] <= _T_463 @[lib.scala 185:30] + node _T_464 = bits(dccm_rdata_lo_any, 28, 28) @[lib.scala 186:36] + _T_379[16] <= _T_464 @[lib.scala 186:30] + node _T_465 = bits(dccm_rdata_lo_any, 28, 28) @[lib.scala 190:36] + _T_383[2] <= _T_465 @[lib.scala 190:30] + node _T_466 = bits(dccm_rdata_lo_any, 29, 29) @[lib.scala 187:36] + _T_380[15] <= _T_466 @[lib.scala 187:30] + node _T_467 = bits(dccm_rdata_lo_any, 29, 29) @[lib.scala 190:36] + _T_383[3] <= _T_467 @[lib.scala 190:30] + node _T_468 = bits(dccm_rdata_lo_any, 30, 30) @[lib.scala 185:36] + _T_378[17] <= _T_468 @[lib.scala 185:30] + node _T_469 = bits(dccm_rdata_lo_any, 30, 30) @[lib.scala 187:36] + _T_380[16] <= _T_469 @[lib.scala 187:30] + node _T_470 = bits(dccm_rdata_lo_any, 30, 30) @[lib.scala 190:36] + _T_383[4] <= _T_470 @[lib.scala 190:30] + node _T_471 = bits(dccm_rdata_lo_any, 31, 31) @[lib.scala 186:36] + _T_379[17] <= _T_471 @[lib.scala 186:30] + node _T_472 = bits(dccm_rdata_lo_any, 31, 31) @[lib.scala 187:36] + _T_380[17] <= _T_472 @[lib.scala 187:30] + node _T_473 = bits(dccm_rdata_lo_any, 31, 31) @[lib.scala 190:36] + _T_383[5] <= _T_473 @[lib.scala 190:30] + node _T_474 = xorr(dccm_rdata_lo_any) @[lib.scala 193:30] + node _T_475 = xorr(dccm_data_ecc_lo_any) @[lib.scala 193:44] + node _T_476 = xor(_T_474, _T_475) @[lib.scala 193:35] + node _T_477 = not(UInt<1>("h00")) @[lib.scala 193:52] + node _T_478 = and(_T_476, _T_477) @[lib.scala 193:50] + node _T_479 = bits(dccm_data_ecc_lo_any, 5, 5) @[lib.scala 193:68] + node _T_480 = cat(_T_383[2], _T_383[1]) @[lib.scala 193:76] + node _T_481 = cat(_T_480, _T_383[0]) @[lib.scala 193:76] + node _T_482 = cat(_T_383[5], _T_383[4]) @[lib.scala 193:76] + node _T_483 = cat(_T_482, _T_383[3]) @[lib.scala 193:76] + node _T_484 = cat(_T_483, _T_481) @[lib.scala 193:76] + node _T_485 = xorr(_T_484) @[lib.scala 193:83] + node _T_486 = xor(_T_479, _T_485) @[lib.scala 193:71] + node _T_487 = bits(dccm_data_ecc_lo_any, 4, 4) @[lib.scala 193:95] + node _T_488 = cat(_T_382[2], _T_382[1]) @[lib.scala 193:103] + node _T_489 = cat(_T_488, _T_382[0]) @[lib.scala 193:103] + node _T_490 = cat(_T_382[4], _T_382[3]) @[lib.scala 193:103] + node _T_491 = cat(_T_382[6], _T_382[5]) @[lib.scala 193:103] + node _T_492 = cat(_T_491, _T_490) @[lib.scala 193:103] + node _T_493 = cat(_T_492, _T_489) @[lib.scala 193:103] + node _T_494 = cat(_T_382[8], _T_382[7]) @[lib.scala 193:103] + node _T_495 = cat(_T_382[10], _T_382[9]) @[lib.scala 193:103] + node _T_496 = cat(_T_495, _T_494) @[lib.scala 193:103] + node _T_497 = cat(_T_382[12], _T_382[11]) @[lib.scala 193:103] + node _T_498 = cat(_T_382[14], _T_382[13]) @[lib.scala 193:103] + node _T_499 = cat(_T_498, _T_497) @[lib.scala 193:103] + node _T_500 = cat(_T_499, _T_496) @[lib.scala 193:103] + node _T_501 = cat(_T_500, _T_493) @[lib.scala 193:103] + node _T_502 = xorr(_T_501) @[lib.scala 193:110] + node _T_503 = xor(_T_487, _T_502) @[lib.scala 193:98] + node _T_504 = bits(dccm_data_ecc_lo_any, 3, 3) @[lib.scala 193:122] + node _T_505 = cat(_T_381[2], _T_381[1]) @[lib.scala 193:130] + node _T_506 = cat(_T_505, _T_381[0]) @[lib.scala 193:130] + node _T_507 = cat(_T_381[4], _T_381[3]) @[lib.scala 193:130] + node _T_508 = cat(_T_381[6], _T_381[5]) @[lib.scala 193:130] + node _T_509 = cat(_T_508, _T_507) @[lib.scala 193:130] + node _T_510 = cat(_T_509, _T_506) @[lib.scala 193:130] + node _T_511 = cat(_T_381[8], _T_381[7]) @[lib.scala 193:130] + node _T_512 = cat(_T_381[10], _T_381[9]) @[lib.scala 193:130] + node _T_513 = cat(_T_512, _T_511) @[lib.scala 193:130] + node _T_514 = cat(_T_381[12], _T_381[11]) @[lib.scala 193:130] + node _T_515 = cat(_T_381[14], _T_381[13]) @[lib.scala 193:130] + node _T_516 = cat(_T_515, _T_514) @[lib.scala 193:130] + node _T_517 = cat(_T_516, _T_513) @[lib.scala 193:130] + node _T_518 = cat(_T_517, _T_510) @[lib.scala 193:130] + node _T_519 = xorr(_T_518) @[lib.scala 193:137] + node _T_520 = xor(_T_504, _T_519) @[lib.scala 193:125] + node _T_521 = bits(dccm_data_ecc_lo_any, 2, 2) @[lib.scala 193:149] + node _T_522 = cat(_T_380[1], _T_380[0]) @[lib.scala 193:157] + node _T_523 = cat(_T_380[3], _T_380[2]) @[lib.scala 193:157] + node _T_524 = cat(_T_523, _T_522) @[lib.scala 193:157] + node _T_525 = cat(_T_380[5], _T_380[4]) @[lib.scala 193:157] + node _T_526 = cat(_T_380[8], _T_380[7]) @[lib.scala 193:157] + node _T_527 = cat(_T_526, _T_380[6]) @[lib.scala 193:157] + node _T_528 = cat(_T_527, _T_525) @[lib.scala 193:157] + node _T_529 = cat(_T_528, _T_524) @[lib.scala 193:157] + node _T_530 = cat(_T_380[10], _T_380[9]) @[lib.scala 193:157] + node _T_531 = cat(_T_380[12], _T_380[11]) @[lib.scala 193:157] + node _T_532 = cat(_T_531, _T_530) @[lib.scala 193:157] + node _T_533 = cat(_T_380[14], _T_380[13]) @[lib.scala 193:157] + node _T_534 = cat(_T_380[17], _T_380[16]) @[lib.scala 193:157] + node _T_535 = cat(_T_534, _T_380[15]) @[lib.scala 193:157] + node _T_536 = cat(_T_535, _T_533) @[lib.scala 193:157] + node _T_537 = cat(_T_536, _T_532) @[lib.scala 193:157] + node _T_538 = cat(_T_537, _T_529) @[lib.scala 193:157] + node _T_539 = xorr(_T_538) @[lib.scala 193:164] + node _T_540 = xor(_T_521, _T_539) @[lib.scala 193:152] + node _T_541 = bits(dccm_data_ecc_lo_any, 1, 1) @[lib.scala 193:176] + node _T_542 = cat(_T_379[1], _T_379[0]) @[lib.scala 193:184] + node _T_543 = cat(_T_379[3], _T_379[2]) @[lib.scala 193:184] + node _T_544 = cat(_T_543, _T_542) @[lib.scala 193:184] + node _T_545 = cat(_T_379[5], _T_379[4]) @[lib.scala 193:184] + node _T_546 = cat(_T_379[8], _T_379[7]) @[lib.scala 193:184] + node _T_547 = cat(_T_546, _T_379[6]) @[lib.scala 193:184] + node _T_548 = cat(_T_547, _T_545) @[lib.scala 193:184] + node _T_549 = cat(_T_548, _T_544) @[lib.scala 193:184] + node _T_550 = cat(_T_379[10], _T_379[9]) @[lib.scala 193:184] + node _T_551 = cat(_T_379[12], _T_379[11]) @[lib.scala 193:184] + node _T_552 = cat(_T_551, _T_550) @[lib.scala 193:184] + node _T_553 = cat(_T_379[14], _T_379[13]) @[lib.scala 193:184] + node _T_554 = cat(_T_379[17], _T_379[16]) @[lib.scala 193:184] + node _T_555 = cat(_T_554, _T_379[15]) @[lib.scala 193:184] + node _T_556 = cat(_T_555, _T_553) @[lib.scala 193:184] + node _T_557 = cat(_T_556, _T_552) @[lib.scala 193:184] + node _T_558 = cat(_T_557, _T_549) @[lib.scala 193:184] + node _T_559 = xorr(_T_558) @[lib.scala 193:191] + node _T_560 = xor(_T_541, _T_559) @[lib.scala 193:179] + node _T_561 = bits(dccm_data_ecc_lo_any, 0, 0) @[lib.scala 193:203] + node _T_562 = cat(_T_378[1], _T_378[0]) @[lib.scala 193:211] + node _T_563 = cat(_T_378[3], _T_378[2]) @[lib.scala 193:211] + node _T_564 = cat(_T_563, _T_562) @[lib.scala 193:211] + node _T_565 = cat(_T_378[5], _T_378[4]) @[lib.scala 193:211] + node _T_566 = cat(_T_378[8], _T_378[7]) @[lib.scala 193:211] + node _T_567 = cat(_T_566, _T_378[6]) @[lib.scala 193:211] + node _T_568 = cat(_T_567, _T_565) @[lib.scala 193:211] + node _T_569 = cat(_T_568, _T_564) @[lib.scala 193:211] + node _T_570 = cat(_T_378[10], _T_378[9]) @[lib.scala 193:211] + node _T_571 = cat(_T_378[12], _T_378[11]) @[lib.scala 193:211] + node _T_572 = cat(_T_571, _T_570) @[lib.scala 193:211] + node _T_573 = cat(_T_378[14], _T_378[13]) @[lib.scala 193:211] + node _T_574 = cat(_T_378[17], _T_378[16]) @[lib.scala 193:211] + node _T_575 = cat(_T_574, _T_378[15]) @[lib.scala 193:211] + node _T_576 = cat(_T_575, _T_573) @[lib.scala 193:211] + node _T_577 = cat(_T_576, _T_572) @[lib.scala 193:211] + node _T_578 = cat(_T_577, _T_569) @[lib.scala 193:211] + node _T_579 = xorr(_T_578) @[lib.scala 193:218] + node _T_580 = xor(_T_561, _T_579) @[lib.scala 193:206] + node _T_581 = cat(_T_540, _T_560) @[Cat.scala 29:58] + node _T_582 = cat(_T_581, _T_580) @[Cat.scala 29:58] + node _T_583 = cat(_T_503, _T_520) @[Cat.scala 29:58] + node _T_584 = cat(_T_478, _T_486) @[Cat.scala 29:58] + node _T_585 = cat(_T_584, _T_583) @[Cat.scala 29:58] + node _T_586 = cat(_T_585, _T_582) @[Cat.scala 29:58] + node _T_587 = neq(_T_586, UInt<1>("h00")) @[lib.scala 194:44] + node _T_588 = and(is_ldst_lo_any, _T_587) @[lib.scala 194:32] + node _T_589 = bits(_T_586, 6, 6) @[lib.scala 194:64] + node single_ecc_error_lo_any = and(_T_588, _T_589) @[lib.scala 194:53] + node _T_590 = neq(_T_586, UInt<1>("h00")) @[lib.scala 195:44] + node _T_591 = and(is_ldst_lo_any, _T_590) @[lib.scala 195:32] + node _T_592 = bits(_T_586, 6, 6) @[lib.scala 195:65] + node _T_593 = not(_T_592) @[lib.scala 195:55] + node double_ecc_error_lo_any = and(_T_591, _T_593) @[lib.scala 195:53] + wire _T_594 : UInt<1>[39] @[lib.scala 196:26] + node _T_595 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_596 = eq(_T_595, UInt<1>("h01")) @[lib.scala 199:41] + _T_594[0] <= _T_596 @[lib.scala 199:23] + node _T_597 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_598 = eq(_T_597, UInt<2>("h02")) @[lib.scala 199:41] + _T_594[1] <= _T_598 @[lib.scala 199:23] + node _T_599 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_600 = eq(_T_599, UInt<2>("h03")) @[lib.scala 199:41] + _T_594[2] <= _T_600 @[lib.scala 199:23] + node _T_601 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_602 = eq(_T_601, UInt<3>("h04")) @[lib.scala 199:41] + _T_594[3] <= _T_602 @[lib.scala 199:23] + node _T_603 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_604 = eq(_T_603, UInt<3>("h05")) @[lib.scala 199:41] + _T_594[4] <= _T_604 @[lib.scala 199:23] + node _T_605 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_606 = eq(_T_605, UInt<3>("h06")) @[lib.scala 199:41] + _T_594[5] <= _T_606 @[lib.scala 199:23] + node _T_607 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_608 = eq(_T_607, UInt<3>("h07")) @[lib.scala 199:41] + _T_594[6] <= _T_608 @[lib.scala 199:23] + node _T_609 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_610 = eq(_T_609, UInt<4>("h08")) @[lib.scala 199:41] + _T_594[7] <= _T_610 @[lib.scala 199:23] + node _T_611 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_612 = eq(_T_611, UInt<4>("h09")) @[lib.scala 199:41] + _T_594[8] <= _T_612 @[lib.scala 199:23] + node _T_613 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_614 = eq(_T_613, UInt<4>("h0a")) @[lib.scala 199:41] + _T_594[9] <= _T_614 @[lib.scala 199:23] + node _T_615 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_616 = eq(_T_615, UInt<4>("h0b")) @[lib.scala 199:41] + _T_594[10] <= _T_616 @[lib.scala 199:23] + node _T_617 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_618 = eq(_T_617, UInt<4>("h0c")) @[lib.scala 199:41] + _T_594[11] <= _T_618 @[lib.scala 199:23] + node _T_619 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_620 = eq(_T_619, UInt<4>("h0d")) @[lib.scala 199:41] + _T_594[12] <= _T_620 @[lib.scala 199:23] + node _T_621 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_622 = eq(_T_621, UInt<4>("h0e")) @[lib.scala 199:41] + _T_594[13] <= _T_622 @[lib.scala 199:23] + node _T_623 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_624 = eq(_T_623, UInt<4>("h0f")) @[lib.scala 199:41] + _T_594[14] <= _T_624 @[lib.scala 199:23] + node _T_625 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_626 = eq(_T_625, UInt<5>("h010")) @[lib.scala 199:41] + _T_594[15] <= _T_626 @[lib.scala 199:23] + node _T_627 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_628 = eq(_T_627, UInt<5>("h011")) @[lib.scala 199:41] + _T_594[16] <= _T_628 @[lib.scala 199:23] + node _T_629 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_630 = eq(_T_629, UInt<5>("h012")) @[lib.scala 199:41] + _T_594[17] <= _T_630 @[lib.scala 199:23] + node _T_631 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_632 = eq(_T_631, UInt<5>("h013")) @[lib.scala 199:41] + _T_594[18] <= _T_632 @[lib.scala 199:23] + node _T_633 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_634 = eq(_T_633, UInt<5>("h014")) @[lib.scala 199:41] + _T_594[19] <= _T_634 @[lib.scala 199:23] + node _T_635 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_636 = eq(_T_635, UInt<5>("h015")) @[lib.scala 199:41] + _T_594[20] <= _T_636 @[lib.scala 199:23] + node _T_637 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_638 = eq(_T_637, UInt<5>("h016")) @[lib.scala 199:41] + _T_594[21] <= _T_638 @[lib.scala 199:23] + node _T_639 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_640 = eq(_T_639, UInt<5>("h017")) @[lib.scala 199:41] + _T_594[22] <= _T_640 @[lib.scala 199:23] + node _T_641 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_642 = eq(_T_641, UInt<5>("h018")) @[lib.scala 199:41] + _T_594[23] <= _T_642 @[lib.scala 199:23] + node _T_643 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_644 = eq(_T_643, UInt<5>("h019")) @[lib.scala 199:41] + _T_594[24] <= _T_644 @[lib.scala 199:23] + node _T_645 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_646 = eq(_T_645, UInt<5>("h01a")) @[lib.scala 199:41] + _T_594[25] <= _T_646 @[lib.scala 199:23] + node _T_647 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_648 = eq(_T_647, UInt<5>("h01b")) @[lib.scala 199:41] + _T_594[26] <= _T_648 @[lib.scala 199:23] + node _T_649 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_650 = eq(_T_649, UInt<5>("h01c")) @[lib.scala 199:41] + _T_594[27] <= _T_650 @[lib.scala 199:23] + node _T_651 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_652 = eq(_T_651, UInt<5>("h01d")) @[lib.scala 199:41] + _T_594[28] <= _T_652 @[lib.scala 199:23] + node _T_653 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_654 = eq(_T_653, UInt<5>("h01e")) @[lib.scala 199:41] + _T_594[29] <= _T_654 @[lib.scala 199:23] + node _T_655 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_656 = eq(_T_655, UInt<5>("h01f")) @[lib.scala 199:41] + _T_594[30] <= _T_656 @[lib.scala 199:23] + node _T_657 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_658 = eq(_T_657, UInt<6>("h020")) @[lib.scala 199:41] + _T_594[31] <= _T_658 @[lib.scala 199:23] + node _T_659 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_660 = eq(_T_659, UInt<6>("h021")) @[lib.scala 199:41] + _T_594[32] <= _T_660 @[lib.scala 199:23] + node _T_661 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_662 = eq(_T_661, UInt<6>("h022")) @[lib.scala 199:41] + _T_594[33] <= _T_662 @[lib.scala 199:23] + node _T_663 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_664 = eq(_T_663, UInt<6>("h023")) @[lib.scala 199:41] + _T_594[34] <= _T_664 @[lib.scala 199:23] + node _T_665 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_666 = eq(_T_665, UInt<6>("h024")) @[lib.scala 199:41] + _T_594[35] <= _T_666 @[lib.scala 199:23] + node _T_667 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_668 = eq(_T_667, UInt<6>("h025")) @[lib.scala 199:41] + _T_594[36] <= _T_668 @[lib.scala 199:23] + node _T_669 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_670 = eq(_T_669, UInt<6>("h026")) @[lib.scala 199:41] + _T_594[37] <= _T_670 @[lib.scala 199:23] + node _T_671 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_672 = eq(_T_671, UInt<6>("h027")) @[lib.scala 199:41] + _T_594[38] <= _T_672 @[lib.scala 199:23] + node _T_673 = bits(dccm_data_ecc_lo_any, 6, 6) @[lib.scala 201:37] + node _T_674 = bits(dccm_rdata_lo_any, 31, 26) @[lib.scala 201:45] + node _T_675 = bits(dccm_data_ecc_lo_any, 5, 5) @[lib.scala 201:60] + node _T_676 = bits(dccm_rdata_lo_any, 25, 11) @[lib.scala 201:68] + node _T_677 = bits(dccm_data_ecc_lo_any, 4, 4) @[lib.scala 201:83] + node _T_678 = bits(dccm_rdata_lo_any, 10, 4) @[lib.scala 201:91] + node _T_679 = bits(dccm_data_ecc_lo_any, 3, 3) @[lib.scala 201:105] + node _T_680 = bits(dccm_rdata_lo_any, 3, 1) @[lib.scala 201:113] + node _T_681 = bits(dccm_data_ecc_lo_any, 2, 2) @[lib.scala 201:126] + node _T_682 = bits(dccm_rdata_lo_any, 0, 0) @[lib.scala 201:134] + node _T_683 = bits(dccm_data_ecc_lo_any, 1, 0) @[lib.scala 201:145] + node _T_684 = cat(_T_682, _T_683) @[Cat.scala 29:58] + node _T_685 = cat(_T_679, _T_680) @[Cat.scala 29:58] + node _T_686 = cat(_T_685, _T_681) @[Cat.scala 29:58] + node _T_687 = cat(_T_686, _T_684) @[Cat.scala 29:58] + node _T_688 = cat(_T_676, _T_677) @[Cat.scala 29:58] + node _T_689 = cat(_T_688, _T_678) @[Cat.scala 29:58] + node _T_690 = cat(_T_673, _T_674) @[Cat.scala 29:58] + node _T_691 = cat(_T_690, _T_675) @[Cat.scala 29:58] + node _T_692 = cat(_T_691, _T_689) @[Cat.scala 29:58] + node _T_693 = cat(_T_692, _T_687) @[Cat.scala 29:58] + node _T_694 = bits(single_ecc_error_lo_any, 0, 0) @[lib.scala 202:49] + node _T_695 = cat(_T_594[1], _T_594[0]) @[lib.scala 202:69] + node _T_696 = cat(_T_594[3], _T_594[2]) @[lib.scala 202:69] + node _T_697 = cat(_T_696, _T_695) @[lib.scala 202:69] + node _T_698 = cat(_T_594[5], _T_594[4]) @[lib.scala 202:69] + node _T_699 = cat(_T_594[8], _T_594[7]) @[lib.scala 202:69] + node _T_700 = cat(_T_699, _T_594[6]) @[lib.scala 202:69] + node _T_701 = cat(_T_700, _T_698) @[lib.scala 202:69] + node _T_702 = cat(_T_701, _T_697) @[lib.scala 202:69] + node _T_703 = cat(_T_594[10], _T_594[9]) @[lib.scala 202:69] + node _T_704 = cat(_T_594[13], _T_594[12]) @[lib.scala 202:69] + node _T_705 = cat(_T_704, _T_594[11]) @[lib.scala 202:69] + node _T_706 = cat(_T_705, _T_703) @[lib.scala 202:69] + node _T_707 = cat(_T_594[15], _T_594[14]) @[lib.scala 202:69] + node _T_708 = cat(_T_594[18], _T_594[17]) @[lib.scala 202:69] + node _T_709 = cat(_T_708, _T_594[16]) @[lib.scala 202:69] + node _T_710 = cat(_T_709, _T_707) @[lib.scala 202:69] + node _T_711 = cat(_T_710, _T_706) @[lib.scala 202:69] + node _T_712 = cat(_T_711, _T_702) @[lib.scala 202:69] + node _T_713 = cat(_T_594[20], _T_594[19]) @[lib.scala 202:69] + node _T_714 = cat(_T_594[23], _T_594[22]) @[lib.scala 202:69] + node _T_715 = cat(_T_714, _T_594[21]) @[lib.scala 202:69] + node _T_716 = cat(_T_715, _T_713) @[lib.scala 202:69] + node _T_717 = cat(_T_594[25], _T_594[24]) @[lib.scala 202:69] + node _T_718 = cat(_T_594[28], _T_594[27]) @[lib.scala 202:69] + node _T_719 = cat(_T_718, _T_594[26]) @[lib.scala 202:69] + node _T_720 = cat(_T_719, _T_717) @[lib.scala 202:69] + node _T_721 = cat(_T_720, _T_716) @[lib.scala 202:69] + node _T_722 = cat(_T_594[30], _T_594[29]) @[lib.scala 202:69] + node _T_723 = cat(_T_594[33], _T_594[32]) @[lib.scala 202:69] + node _T_724 = cat(_T_723, _T_594[31]) @[lib.scala 202:69] + node _T_725 = cat(_T_724, _T_722) @[lib.scala 202:69] + node _T_726 = cat(_T_594[35], _T_594[34]) @[lib.scala 202:69] + node _T_727 = cat(_T_594[38], _T_594[37]) @[lib.scala 202:69] + node _T_728 = cat(_T_727, _T_594[36]) @[lib.scala 202:69] + node _T_729 = cat(_T_728, _T_726) @[lib.scala 202:69] + node _T_730 = cat(_T_729, _T_725) @[lib.scala 202:69] + node _T_731 = cat(_T_730, _T_721) @[lib.scala 202:69] + node _T_732 = cat(_T_731, _T_712) @[lib.scala 202:69] + node _T_733 = xor(_T_732, _T_693) @[lib.scala 202:76] + node _T_734 = mux(_T_694, _T_733, _T_693) @[lib.scala 202:31] + node _T_735 = bits(_T_734, 37, 32) @[lib.scala 204:37] + node _T_736 = bits(_T_734, 30, 16) @[lib.scala 204:61] + node _T_737 = bits(_T_734, 14, 8) @[lib.scala 204:86] + node _T_738 = bits(_T_734, 6, 4) @[lib.scala 204:110] + node _T_739 = bits(_T_734, 2, 2) @[lib.scala 204:133] + node _T_740 = cat(_T_738, _T_739) @[Cat.scala 29:58] + node _T_741 = cat(_T_735, _T_736) @[Cat.scala 29:58] + node _T_742 = cat(_T_741, _T_737) @[Cat.scala 29:58] + node sec_data_lo_any = cat(_T_742, _T_740) @[Cat.scala 29:58] + node _T_743 = bits(_T_734, 38, 38) @[lib.scala 205:39] + node _T_744 = bits(_T_586, 6, 0) @[lib.scala 205:56] + node _T_745 = eq(_T_744, UInt<7>("h040")) @[lib.scala 205:62] + node _T_746 = xor(_T_743, _T_745) @[lib.scala 205:44] + node _T_747 = bits(_T_734, 31, 31) @[lib.scala 205:102] + node _T_748 = bits(_T_734, 15, 15) @[lib.scala 205:124] + node _T_749 = bits(_T_734, 7, 7) @[lib.scala 205:146] + node _T_750 = bits(_T_734, 3, 3) @[lib.scala 205:167] + node _T_751 = bits(_T_734, 1, 0) @[lib.scala 205:188] + node _T_752 = cat(_T_749, _T_750) @[Cat.scala 29:58] + node _T_753 = cat(_T_752, _T_751) @[Cat.scala 29:58] + node _T_754 = cat(_T_746, _T_747) @[Cat.scala 29:58] + node _T_755 = cat(_T_754, _T_748) @[Cat.scala 29:58] + node ecc_out_lo_nc = cat(_T_755, _T_753) @[Cat.scala 29:58] + node _T_756 = bits(dccm_wdata_lo_any, 0, 0) @[lib.scala 119:58] + node _T_757 = bits(dccm_wdata_lo_any, 1, 1) @[lib.scala 119:58] + node _T_758 = bits(dccm_wdata_lo_any, 3, 3) @[lib.scala 119:58] + node _T_759 = bits(dccm_wdata_lo_any, 4, 4) @[lib.scala 119:58] + node _T_760 = bits(dccm_wdata_lo_any, 6, 6) @[lib.scala 119:58] + node _T_761 = bits(dccm_wdata_lo_any, 8, 8) @[lib.scala 119:58] + node _T_762 = bits(dccm_wdata_lo_any, 10, 10) @[lib.scala 119:58] + node _T_763 = bits(dccm_wdata_lo_any, 11, 11) @[lib.scala 119:58] + node _T_764 = bits(dccm_wdata_lo_any, 13, 13) @[lib.scala 119:58] + node _T_765 = bits(dccm_wdata_lo_any, 15, 15) @[lib.scala 119:58] + node _T_766 = bits(dccm_wdata_lo_any, 17, 17) @[lib.scala 119:58] + node _T_767 = bits(dccm_wdata_lo_any, 19, 19) @[lib.scala 119:58] + node _T_768 = bits(dccm_wdata_lo_any, 21, 21) @[lib.scala 119:58] + node _T_769 = bits(dccm_wdata_lo_any, 23, 23) @[lib.scala 119:58] + node _T_770 = bits(dccm_wdata_lo_any, 25, 25) @[lib.scala 119:58] + node _T_771 = bits(dccm_wdata_lo_any, 26, 26) @[lib.scala 119:58] + node _T_772 = bits(dccm_wdata_lo_any, 28, 28) @[lib.scala 119:58] + node _T_773 = bits(dccm_wdata_lo_any, 30, 30) @[lib.scala 119:58] + node _T_774 = xor(_T_756, _T_757) @[lib.scala 119:74] + node _T_775 = xor(_T_774, _T_758) @[lib.scala 119:74] + node _T_776 = xor(_T_775, _T_759) @[lib.scala 119:74] + node _T_777 = xor(_T_776, _T_760) @[lib.scala 119:74] + node _T_778 = xor(_T_777, _T_761) @[lib.scala 119:74] + node _T_779 = xor(_T_778, _T_762) @[lib.scala 119:74] + node _T_780 = xor(_T_779, _T_763) @[lib.scala 119:74] + node _T_781 = xor(_T_780, _T_764) @[lib.scala 119:74] + node _T_782 = xor(_T_781, _T_765) @[lib.scala 119:74] + node _T_783 = xor(_T_782, _T_766) @[lib.scala 119:74] + node _T_784 = xor(_T_783, _T_767) @[lib.scala 119:74] + node _T_785 = xor(_T_784, _T_768) @[lib.scala 119:74] + node _T_786 = xor(_T_785, _T_769) @[lib.scala 119:74] + node _T_787 = xor(_T_786, _T_770) @[lib.scala 119:74] + node _T_788 = xor(_T_787, _T_771) @[lib.scala 119:74] + node _T_789 = xor(_T_788, _T_772) @[lib.scala 119:74] + node _T_790 = xor(_T_789, _T_773) @[lib.scala 119:74] + node _T_791 = bits(dccm_wdata_lo_any, 0, 0) @[lib.scala 119:58] + node _T_792 = bits(dccm_wdata_lo_any, 2, 2) @[lib.scala 119:58] + node _T_793 = bits(dccm_wdata_lo_any, 3, 3) @[lib.scala 119:58] + node _T_794 = bits(dccm_wdata_lo_any, 5, 5) @[lib.scala 119:58] + node _T_795 = bits(dccm_wdata_lo_any, 6, 6) @[lib.scala 119:58] + node _T_796 = bits(dccm_wdata_lo_any, 9, 9) @[lib.scala 119:58] + node _T_797 = bits(dccm_wdata_lo_any, 10, 10) @[lib.scala 119:58] + node _T_798 = bits(dccm_wdata_lo_any, 12, 12) @[lib.scala 119:58] + node _T_799 = bits(dccm_wdata_lo_any, 13, 13) @[lib.scala 119:58] + node _T_800 = bits(dccm_wdata_lo_any, 16, 16) @[lib.scala 119:58] + node _T_801 = bits(dccm_wdata_lo_any, 17, 17) @[lib.scala 119:58] + node _T_802 = bits(dccm_wdata_lo_any, 20, 20) @[lib.scala 119:58] + node _T_803 = bits(dccm_wdata_lo_any, 21, 21) @[lib.scala 119:58] + node _T_804 = bits(dccm_wdata_lo_any, 24, 24) @[lib.scala 119:58] + node _T_805 = bits(dccm_wdata_lo_any, 25, 25) @[lib.scala 119:58] + node _T_806 = bits(dccm_wdata_lo_any, 27, 27) @[lib.scala 119:58] + node _T_807 = bits(dccm_wdata_lo_any, 28, 28) @[lib.scala 119:58] + node _T_808 = bits(dccm_wdata_lo_any, 31, 31) @[lib.scala 119:58] + node _T_809 = xor(_T_791, _T_792) @[lib.scala 119:74] + node _T_810 = xor(_T_809, _T_793) @[lib.scala 119:74] + node _T_811 = xor(_T_810, _T_794) @[lib.scala 119:74] + node _T_812 = xor(_T_811, _T_795) @[lib.scala 119:74] + node _T_813 = xor(_T_812, _T_796) @[lib.scala 119:74] + node _T_814 = xor(_T_813, _T_797) @[lib.scala 119:74] + node _T_815 = xor(_T_814, _T_798) @[lib.scala 119:74] + node _T_816 = xor(_T_815, _T_799) @[lib.scala 119:74] + node _T_817 = xor(_T_816, _T_800) @[lib.scala 119:74] + node _T_818 = xor(_T_817, _T_801) @[lib.scala 119:74] + node _T_819 = xor(_T_818, _T_802) @[lib.scala 119:74] + node _T_820 = xor(_T_819, _T_803) @[lib.scala 119:74] + node _T_821 = xor(_T_820, _T_804) @[lib.scala 119:74] + node _T_822 = xor(_T_821, _T_805) @[lib.scala 119:74] + node _T_823 = xor(_T_822, _T_806) @[lib.scala 119:74] + node _T_824 = xor(_T_823, _T_807) @[lib.scala 119:74] + node _T_825 = xor(_T_824, _T_808) @[lib.scala 119:74] + node _T_826 = bits(dccm_wdata_lo_any, 1, 1) @[lib.scala 119:58] + node _T_827 = bits(dccm_wdata_lo_any, 2, 2) @[lib.scala 119:58] + node _T_828 = bits(dccm_wdata_lo_any, 3, 3) @[lib.scala 119:58] + node _T_829 = bits(dccm_wdata_lo_any, 7, 7) @[lib.scala 119:58] + node _T_830 = bits(dccm_wdata_lo_any, 8, 8) @[lib.scala 119:58] + node _T_831 = bits(dccm_wdata_lo_any, 9, 9) @[lib.scala 119:58] + node _T_832 = bits(dccm_wdata_lo_any, 10, 10) @[lib.scala 119:58] + node _T_833 = bits(dccm_wdata_lo_any, 14, 14) @[lib.scala 119:58] + node _T_834 = bits(dccm_wdata_lo_any, 15, 15) @[lib.scala 119:58] + node _T_835 = bits(dccm_wdata_lo_any, 16, 16) @[lib.scala 119:58] + node _T_836 = bits(dccm_wdata_lo_any, 17, 17) @[lib.scala 119:58] + node _T_837 = bits(dccm_wdata_lo_any, 22, 22) @[lib.scala 119:58] + node _T_838 = bits(dccm_wdata_lo_any, 23, 23) @[lib.scala 119:58] + node _T_839 = bits(dccm_wdata_lo_any, 24, 24) @[lib.scala 119:58] + node _T_840 = bits(dccm_wdata_lo_any, 25, 25) @[lib.scala 119:58] + node _T_841 = bits(dccm_wdata_lo_any, 29, 29) @[lib.scala 119:58] + node _T_842 = bits(dccm_wdata_lo_any, 30, 30) @[lib.scala 119:58] + node _T_843 = bits(dccm_wdata_lo_any, 31, 31) @[lib.scala 119:58] + node _T_844 = xor(_T_826, _T_827) @[lib.scala 119:74] + node _T_845 = xor(_T_844, _T_828) @[lib.scala 119:74] + node _T_846 = xor(_T_845, _T_829) @[lib.scala 119:74] + node _T_847 = xor(_T_846, _T_830) @[lib.scala 119:74] + node _T_848 = xor(_T_847, _T_831) @[lib.scala 119:74] + node _T_849 = xor(_T_848, _T_832) @[lib.scala 119:74] + node _T_850 = xor(_T_849, _T_833) @[lib.scala 119:74] + node _T_851 = xor(_T_850, _T_834) @[lib.scala 119:74] + node _T_852 = xor(_T_851, _T_835) @[lib.scala 119:74] + node _T_853 = xor(_T_852, _T_836) @[lib.scala 119:74] + node _T_854 = xor(_T_853, _T_837) @[lib.scala 119:74] + node _T_855 = xor(_T_854, _T_838) @[lib.scala 119:74] + node _T_856 = xor(_T_855, _T_839) @[lib.scala 119:74] + node _T_857 = xor(_T_856, _T_840) @[lib.scala 119:74] + node _T_858 = xor(_T_857, _T_841) @[lib.scala 119:74] + node _T_859 = xor(_T_858, _T_842) @[lib.scala 119:74] + node _T_860 = xor(_T_859, _T_843) @[lib.scala 119:74] + node _T_861 = bits(dccm_wdata_lo_any, 4, 4) @[lib.scala 119:58] + node _T_862 = bits(dccm_wdata_lo_any, 5, 5) @[lib.scala 119:58] + node _T_863 = bits(dccm_wdata_lo_any, 6, 6) @[lib.scala 119:58] + node _T_864 = bits(dccm_wdata_lo_any, 7, 7) @[lib.scala 119:58] + node _T_865 = bits(dccm_wdata_lo_any, 8, 8) @[lib.scala 119:58] + node _T_866 = bits(dccm_wdata_lo_any, 9, 9) @[lib.scala 119:58] + node _T_867 = bits(dccm_wdata_lo_any, 10, 10) @[lib.scala 119:58] + node _T_868 = bits(dccm_wdata_lo_any, 18, 18) @[lib.scala 119:58] + node _T_869 = bits(dccm_wdata_lo_any, 19, 19) @[lib.scala 119:58] + node _T_870 = bits(dccm_wdata_lo_any, 20, 20) @[lib.scala 119:58] + node _T_871 = bits(dccm_wdata_lo_any, 21, 21) @[lib.scala 119:58] + node _T_872 = bits(dccm_wdata_lo_any, 22, 22) @[lib.scala 119:58] + node _T_873 = bits(dccm_wdata_lo_any, 23, 23) @[lib.scala 119:58] + node _T_874 = bits(dccm_wdata_lo_any, 24, 24) @[lib.scala 119:58] + node _T_875 = bits(dccm_wdata_lo_any, 25, 25) @[lib.scala 119:58] + node _T_876 = xor(_T_861, _T_862) @[lib.scala 119:74] + node _T_877 = xor(_T_876, _T_863) @[lib.scala 119:74] + node _T_878 = xor(_T_877, _T_864) @[lib.scala 119:74] + node _T_879 = xor(_T_878, _T_865) @[lib.scala 119:74] + node _T_880 = xor(_T_879, _T_866) @[lib.scala 119:74] + node _T_881 = xor(_T_880, _T_867) @[lib.scala 119:74] + node _T_882 = xor(_T_881, _T_868) @[lib.scala 119:74] + node _T_883 = xor(_T_882, _T_869) @[lib.scala 119:74] + node _T_884 = xor(_T_883, _T_870) @[lib.scala 119:74] + node _T_885 = xor(_T_884, _T_871) @[lib.scala 119:74] + node _T_886 = xor(_T_885, _T_872) @[lib.scala 119:74] + node _T_887 = xor(_T_886, _T_873) @[lib.scala 119:74] + node _T_888 = xor(_T_887, _T_874) @[lib.scala 119:74] + node _T_889 = xor(_T_888, _T_875) @[lib.scala 119:74] + node _T_890 = bits(dccm_wdata_lo_any, 11, 11) @[lib.scala 119:58] + node _T_891 = bits(dccm_wdata_lo_any, 12, 12) @[lib.scala 119:58] + node _T_892 = bits(dccm_wdata_lo_any, 13, 13) @[lib.scala 119:58] + node _T_893 = bits(dccm_wdata_lo_any, 14, 14) @[lib.scala 119:58] + node _T_894 = bits(dccm_wdata_lo_any, 15, 15) @[lib.scala 119:58] + node _T_895 = bits(dccm_wdata_lo_any, 16, 16) @[lib.scala 119:58] + node _T_896 = bits(dccm_wdata_lo_any, 17, 17) @[lib.scala 119:58] + node _T_897 = bits(dccm_wdata_lo_any, 18, 18) @[lib.scala 119:58] + node _T_898 = bits(dccm_wdata_lo_any, 19, 19) @[lib.scala 119:58] + node _T_899 = bits(dccm_wdata_lo_any, 20, 20) @[lib.scala 119:58] + node _T_900 = bits(dccm_wdata_lo_any, 21, 21) @[lib.scala 119:58] + node _T_901 = bits(dccm_wdata_lo_any, 22, 22) @[lib.scala 119:58] + node _T_902 = bits(dccm_wdata_lo_any, 23, 23) @[lib.scala 119:58] + node _T_903 = bits(dccm_wdata_lo_any, 24, 24) @[lib.scala 119:58] + node _T_904 = bits(dccm_wdata_lo_any, 25, 25) @[lib.scala 119:58] + node _T_905 = xor(_T_890, _T_891) @[lib.scala 119:74] + node _T_906 = xor(_T_905, _T_892) @[lib.scala 119:74] + node _T_907 = xor(_T_906, _T_893) @[lib.scala 119:74] + node _T_908 = xor(_T_907, _T_894) @[lib.scala 119:74] + node _T_909 = xor(_T_908, _T_895) @[lib.scala 119:74] + node _T_910 = xor(_T_909, _T_896) @[lib.scala 119:74] + node _T_911 = xor(_T_910, _T_897) @[lib.scala 119:74] + node _T_912 = xor(_T_911, _T_898) @[lib.scala 119:74] + node _T_913 = xor(_T_912, _T_899) @[lib.scala 119:74] + node _T_914 = xor(_T_913, _T_900) @[lib.scala 119:74] + node _T_915 = xor(_T_914, _T_901) @[lib.scala 119:74] + node _T_916 = xor(_T_915, _T_902) @[lib.scala 119:74] + node _T_917 = xor(_T_916, _T_903) @[lib.scala 119:74] + node _T_918 = xor(_T_917, _T_904) @[lib.scala 119:74] + node _T_919 = bits(dccm_wdata_lo_any, 26, 26) @[lib.scala 119:58] + node _T_920 = bits(dccm_wdata_lo_any, 27, 27) @[lib.scala 119:58] + node _T_921 = bits(dccm_wdata_lo_any, 28, 28) @[lib.scala 119:58] + node _T_922 = bits(dccm_wdata_lo_any, 29, 29) @[lib.scala 119:58] + node _T_923 = bits(dccm_wdata_lo_any, 30, 30) @[lib.scala 119:58] + node _T_924 = bits(dccm_wdata_lo_any, 31, 31) @[lib.scala 119:58] + node _T_925 = xor(_T_919, _T_920) @[lib.scala 119:74] + node _T_926 = xor(_T_925, _T_921) @[lib.scala 119:74] + node _T_927 = xor(_T_926, _T_922) @[lib.scala 119:74] + node _T_928 = xor(_T_927, _T_923) @[lib.scala 119:74] + node _T_929 = xor(_T_928, _T_924) @[lib.scala 119:74] + node _T_930 = cat(_T_860, _T_825) @[Cat.scala 29:58] + node _T_931 = cat(_T_930, _T_790) @[Cat.scala 29:58] + node _T_932 = cat(_T_929, _T_918) @[Cat.scala 29:58] + node _T_933 = cat(_T_932, _T_889) @[Cat.scala 29:58] + node _T_934 = cat(_T_933, _T_931) @[Cat.scala 29:58] + node _T_935 = xorr(dccm_wdata_lo_any) @[lib.scala 127:13] + node _T_936 = xorr(_T_934) @[lib.scala 127:23] + node _T_937 = xor(_T_935, _T_936) @[lib.scala 127:18] + node dccm_wdata_ecc_lo_any = cat(_T_937, _T_934) @[Cat.scala 29:58] + node _T_938 = bits(dccm_wdata_hi_any, 0, 0) @[lib.scala 119:58] + node _T_939 = bits(dccm_wdata_hi_any, 1, 1) @[lib.scala 119:58] + node _T_940 = bits(dccm_wdata_hi_any, 3, 3) @[lib.scala 119:58] + node _T_941 = bits(dccm_wdata_hi_any, 4, 4) @[lib.scala 119:58] + node _T_942 = bits(dccm_wdata_hi_any, 6, 6) @[lib.scala 119:58] + node _T_943 = bits(dccm_wdata_hi_any, 8, 8) @[lib.scala 119:58] + node _T_944 = bits(dccm_wdata_hi_any, 10, 10) @[lib.scala 119:58] + node _T_945 = bits(dccm_wdata_hi_any, 11, 11) @[lib.scala 119:58] + node _T_946 = bits(dccm_wdata_hi_any, 13, 13) @[lib.scala 119:58] + node _T_947 = bits(dccm_wdata_hi_any, 15, 15) @[lib.scala 119:58] + node _T_948 = bits(dccm_wdata_hi_any, 17, 17) @[lib.scala 119:58] + node _T_949 = bits(dccm_wdata_hi_any, 19, 19) @[lib.scala 119:58] + node _T_950 = bits(dccm_wdata_hi_any, 21, 21) @[lib.scala 119:58] + node _T_951 = bits(dccm_wdata_hi_any, 23, 23) @[lib.scala 119:58] + node _T_952 = bits(dccm_wdata_hi_any, 25, 25) @[lib.scala 119:58] + node _T_953 = bits(dccm_wdata_hi_any, 26, 26) @[lib.scala 119:58] + node _T_954 = bits(dccm_wdata_hi_any, 28, 28) @[lib.scala 119:58] + node _T_955 = bits(dccm_wdata_hi_any, 30, 30) @[lib.scala 119:58] + node _T_956 = xor(_T_938, _T_939) @[lib.scala 119:74] + node _T_957 = xor(_T_956, _T_940) @[lib.scala 119:74] + node _T_958 = xor(_T_957, _T_941) @[lib.scala 119:74] + node _T_959 = xor(_T_958, _T_942) @[lib.scala 119:74] + node _T_960 = xor(_T_959, _T_943) @[lib.scala 119:74] + node _T_961 = xor(_T_960, _T_944) @[lib.scala 119:74] + node _T_962 = xor(_T_961, _T_945) @[lib.scala 119:74] + node _T_963 = xor(_T_962, _T_946) @[lib.scala 119:74] + node _T_964 = xor(_T_963, _T_947) @[lib.scala 119:74] + node _T_965 = xor(_T_964, _T_948) @[lib.scala 119:74] + node _T_966 = xor(_T_965, _T_949) @[lib.scala 119:74] + node _T_967 = xor(_T_966, _T_950) @[lib.scala 119:74] + node _T_968 = xor(_T_967, _T_951) @[lib.scala 119:74] + node _T_969 = xor(_T_968, _T_952) @[lib.scala 119:74] + node _T_970 = xor(_T_969, _T_953) @[lib.scala 119:74] + node _T_971 = xor(_T_970, _T_954) @[lib.scala 119:74] + node _T_972 = xor(_T_971, _T_955) @[lib.scala 119:74] + node _T_973 = bits(dccm_wdata_hi_any, 0, 0) @[lib.scala 119:58] + node _T_974 = bits(dccm_wdata_hi_any, 2, 2) @[lib.scala 119:58] + node _T_975 = bits(dccm_wdata_hi_any, 3, 3) @[lib.scala 119:58] + node _T_976 = bits(dccm_wdata_hi_any, 5, 5) @[lib.scala 119:58] + node _T_977 = bits(dccm_wdata_hi_any, 6, 6) @[lib.scala 119:58] + node _T_978 = bits(dccm_wdata_hi_any, 9, 9) @[lib.scala 119:58] + node _T_979 = bits(dccm_wdata_hi_any, 10, 10) @[lib.scala 119:58] + node _T_980 = bits(dccm_wdata_hi_any, 12, 12) @[lib.scala 119:58] + node _T_981 = bits(dccm_wdata_hi_any, 13, 13) @[lib.scala 119:58] + node _T_982 = bits(dccm_wdata_hi_any, 16, 16) @[lib.scala 119:58] + node _T_983 = bits(dccm_wdata_hi_any, 17, 17) @[lib.scala 119:58] + node _T_984 = bits(dccm_wdata_hi_any, 20, 20) @[lib.scala 119:58] + node _T_985 = bits(dccm_wdata_hi_any, 21, 21) @[lib.scala 119:58] + node _T_986 = bits(dccm_wdata_hi_any, 24, 24) @[lib.scala 119:58] + node _T_987 = bits(dccm_wdata_hi_any, 25, 25) @[lib.scala 119:58] + node _T_988 = bits(dccm_wdata_hi_any, 27, 27) @[lib.scala 119:58] + node _T_989 = bits(dccm_wdata_hi_any, 28, 28) @[lib.scala 119:58] + node _T_990 = bits(dccm_wdata_hi_any, 31, 31) @[lib.scala 119:58] + node _T_991 = xor(_T_973, _T_974) @[lib.scala 119:74] + node _T_992 = xor(_T_991, _T_975) @[lib.scala 119:74] + node _T_993 = xor(_T_992, _T_976) @[lib.scala 119:74] + node _T_994 = xor(_T_993, _T_977) @[lib.scala 119:74] + node _T_995 = xor(_T_994, _T_978) @[lib.scala 119:74] + node _T_996 = xor(_T_995, _T_979) @[lib.scala 119:74] + node _T_997 = xor(_T_996, _T_980) @[lib.scala 119:74] + node _T_998 = xor(_T_997, _T_981) @[lib.scala 119:74] + node _T_999 = xor(_T_998, _T_982) @[lib.scala 119:74] + node _T_1000 = xor(_T_999, _T_983) @[lib.scala 119:74] + node _T_1001 = xor(_T_1000, _T_984) @[lib.scala 119:74] + node _T_1002 = xor(_T_1001, _T_985) @[lib.scala 119:74] + node _T_1003 = xor(_T_1002, _T_986) @[lib.scala 119:74] + node _T_1004 = xor(_T_1003, _T_987) @[lib.scala 119:74] + node _T_1005 = xor(_T_1004, _T_988) @[lib.scala 119:74] + node _T_1006 = xor(_T_1005, _T_989) @[lib.scala 119:74] + node _T_1007 = xor(_T_1006, _T_990) @[lib.scala 119:74] + node _T_1008 = bits(dccm_wdata_hi_any, 1, 1) @[lib.scala 119:58] + node _T_1009 = bits(dccm_wdata_hi_any, 2, 2) @[lib.scala 119:58] + node _T_1010 = bits(dccm_wdata_hi_any, 3, 3) @[lib.scala 119:58] + node _T_1011 = bits(dccm_wdata_hi_any, 7, 7) @[lib.scala 119:58] + node _T_1012 = bits(dccm_wdata_hi_any, 8, 8) @[lib.scala 119:58] + node _T_1013 = bits(dccm_wdata_hi_any, 9, 9) @[lib.scala 119:58] + node _T_1014 = bits(dccm_wdata_hi_any, 10, 10) @[lib.scala 119:58] + node _T_1015 = bits(dccm_wdata_hi_any, 14, 14) @[lib.scala 119:58] + node _T_1016 = bits(dccm_wdata_hi_any, 15, 15) @[lib.scala 119:58] + node _T_1017 = bits(dccm_wdata_hi_any, 16, 16) @[lib.scala 119:58] + node _T_1018 = bits(dccm_wdata_hi_any, 17, 17) @[lib.scala 119:58] + node _T_1019 = bits(dccm_wdata_hi_any, 22, 22) @[lib.scala 119:58] + node _T_1020 = bits(dccm_wdata_hi_any, 23, 23) @[lib.scala 119:58] + node _T_1021 = bits(dccm_wdata_hi_any, 24, 24) @[lib.scala 119:58] + node _T_1022 = bits(dccm_wdata_hi_any, 25, 25) @[lib.scala 119:58] + node _T_1023 = bits(dccm_wdata_hi_any, 29, 29) @[lib.scala 119:58] + node _T_1024 = bits(dccm_wdata_hi_any, 30, 30) @[lib.scala 119:58] + node _T_1025 = bits(dccm_wdata_hi_any, 31, 31) @[lib.scala 119:58] + node _T_1026 = xor(_T_1008, _T_1009) @[lib.scala 119:74] + node _T_1027 = xor(_T_1026, _T_1010) @[lib.scala 119:74] + node _T_1028 = xor(_T_1027, _T_1011) @[lib.scala 119:74] + node _T_1029 = xor(_T_1028, _T_1012) @[lib.scala 119:74] + node _T_1030 = xor(_T_1029, _T_1013) @[lib.scala 119:74] + node _T_1031 = xor(_T_1030, _T_1014) @[lib.scala 119:74] + node _T_1032 = xor(_T_1031, _T_1015) @[lib.scala 119:74] + node _T_1033 = xor(_T_1032, _T_1016) @[lib.scala 119:74] + node _T_1034 = xor(_T_1033, _T_1017) @[lib.scala 119:74] + node _T_1035 = xor(_T_1034, _T_1018) @[lib.scala 119:74] + node _T_1036 = xor(_T_1035, _T_1019) @[lib.scala 119:74] + node _T_1037 = xor(_T_1036, _T_1020) @[lib.scala 119:74] + node _T_1038 = xor(_T_1037, _T_1021) @[lib.scala 119:74] + node _T_1039 = xor(_T_1038, _T_1022) @[lib.scala 119:74] + node _T_1040 = xor(_T_1039, _T_1023) @[lib.scala 119:74] + node _T_1041 = xor(_T_1040, _T_1024) @[lib.scala 119:74] + node _T_1042 = xor(_T_1041, _T_1025) @[lib.scala 119:74] + node _T_1043 = bits(dccm_wdata_hi_any, 4, 4) @[lib.scala 119:58] + node _T_1044 = bits(dccm_wdata_hi_any, 5, 5) @[lib.scala 119:58] + node _T_1045 = bits(dccm_wdata_hi_any, 6, 6) @[lib.scala 119:58] + node _T_1046 = bits(dccm_wdata_hi_any, 7, 7) @[lib.scala 119:58] + node _T_1047 = bits(dccm_wdata_hi_any, 8, 8) @[lib.scala 119:58] + node _T_1048 = bits(dccm_wdata_hi_any, 9, 9) @[lib.scala 119:58] + node _T_1049 = bits(dccm_wdata_hi_any, 10, 10) @[lib.scala 119:58] + node _T_1050 = bits(dccm_wdata_hi_any, 18, 18) @[lib.scala 119:58] + node _T_1051 = bits(dccm_wdata_hi_any, 19, 19) @[lib.scala 119:58] + node _T_1052 = bits(dccm_wdata_hi_any, 20, 20) @[lib.scala 119:58] + node _T_1053 = bits(dccm_wdata_hi_any, 21, 21) @[lib.scala 119:58] + node _T_1054 = bits(dccm_wdata_hi_any, 22, 22) @[lib.scala 119:58] + node _T_1055 = bits(dccm_wdata_hi_any, 23, 23) @[lib.scala 119:58] + node _T_1056 = bits(dccm_wdata_hi_any, 24, 24) @[lib.scala 119:58] + node _T_1057 = bits(dccm_wdata_hi_any, 25, 25) @[lib.scala 119:58] + node _T_1058 = xor(_T_1043, _T_1044) @[lib.scala 119:74] + node _T_1059 = xor(_T_1058, _T_1045) @[lib.scala 119:74] + node _T_1060 = xor(_T_1059, _T_1046) @[lib.scala 119:74] + node _T_1061 = xor(_T_1060, _T_1047) @[lib.scala 119:74] + node _T_1062 = xor(_T_1061, _T_1048) @[lib.scala 119:74] + node _T_1063 = xor(_T_1062, _T_1049) @[lib.scala 119:74] + node _T_1064 = xor(_T_1063, _T_1050) @[lib.scala 119:74] + node _T_1065 = xor(_T_1064, _T_1051) @[lib.scala 119:74] + node _T_1066 = xor(_T_1065, _T_1052) @[lib.scala 119:74] + node _T_1067 = xor(_T_1066, _T_1053) @[lib.scala 119:74] + node _T_1068 = xor(_T_1067, _T_1054) @[lib.scala 119:74] + node _T_1069 = xor(_T_1068, _T_1055) @[lib.scala 119:74] + node _T_1070 = xor(_T_1069, _T_1056) @[lib.scala 119:74] + node _T_1071 = xor(_T_1070, _T_1057) @[lib.scala 119:74] + node _T_1072 = bits(dccm_wdata_hi_any, 11, 11) @[lib.scala 119:58] + node _T_1073 = bits(dccm_wdata_hi_any, 12, 12) @[lib.scala 119:58] + node _T_1074 = bits(dccm_wdata_hi_any, 13, 13) @[lib.scala 119:58] + node _T_1075 = bits(dccm_wdata_hi_any, 14, 14) @[lib.scala 119:58] + node _T_1076 = bits(dccm_wdata_hi_any, 15, 15) @[lib.scala 119:58] + node _T_1077 = bits(dccm_wdata_hi_any, 16, 16) @[lib.scala 119:58] + node _T_1078 = bits(dccm_wdata_hi_any, 17, 17) @[lib.scala 119:58] + node _T_1079 = bits(dccm_wdata_hi_any, 18, 18) @[lib.scala 119:58] + node _T_1080 = bits(dccm_wdata_hi_any, 19, 19) @[lib.scala 119:58] + node _T_1081 = bits(dccm_wdata_hi_any, 20, 20) @[lib.scala 119:58] + node _T_1082 = bits(dccm_wdata_hi_any, 21, 21) @[lib.scala 119:58] + node _T_1083 = bits(dccm_wdata_hi_any, 22, 22) @[lib.scala 119:58] + node _T_1084 = bits(dccm_wdata_hi_any, 23, 23) @[lib.scala 119:58] + node _T_1085 = bits(dccm_wdata_hi_any, 24, 24) @[lib.scala 119:58] + node _T_1086 = bits(dccm_wdata_hi_any, 25, 25) @[lib.scala 119:58] + node _T_1087 = xor(_T_1072, _T_1073) @[lib.scala 119:74] + node _T_1088 = xor(_T_1087, _T_1074) @[lib.scala 119:74] + node _T_1089 = xor(_T_1088, _T_1075) @[lib.scala 119:74] + node _T_1090 = xor(_T_1089, _T_1076) @[lib.scala 119:74] + node _T_1091 = xor(_T_1090, _T_1077) @[lib.scala 119:74] + node _T_1092 = xor(_T_1091, _T_1078) @[lib.scala 119:74] + node _T_1093 = xor(_T_1092, _T_1079) @[lib.scala 119:74] + node _T_1094 = xor(_T_1093, _T_1080) @[lib.scala 119:74] + node _T_1095 = xor(_T_1094, _T_1081) @[lib.scala 119:74] + node _T_1096 = xor(_T_1095, _T_1082) @[lib.scala 119:74] + node _T_1097 = xor(_T_1096, _T_1083) @[lib.scala 119:74] + node _T_1098 = xor(_T_1097, _T_1084) @[lib.scala 119:74] + node _T_1099 = xor(_T_1098, _T_1085) @[lib.scala 119:74] + node _T_1100 = xor(_T_1099, _T_1086) @[lib.scala 119:74] + node _T_1101 = bits(dccm_wdata_hi_any, 26, 26) @[lib.scala 119:58] + node _T_1102 = bits(dccm_wdata_hi_any, 27, 27) @[lib.scala 119:58] + node _T_1103 = bits(dccm_wdata_hi_any, 28, 28) @[lib.scala 119:58] + node _T_1104 = bits(dccm_wdata_hi_any, 29, 29) @[lib.scala 119:58] + node _T_1105 = bits(dccm_wdata_hi_any, 30, 30) @[lib.scala 119:58] + node _T_1106 = bits(dccm_wdata_hi_any, 31, 31) @[lib.scala 119:58] + node _T_1107 = xor(_T_1101, _T_1102) @[lib.scala 119:74] + node _T_1108 = xor(_T_1107, _T_1103) @[lib.scala 119:74] + node _T_1109 = xor(_T_1108, _T_1104) @[lib.scala 119:74] + node _T_1110 = xor(_T_1109, _T_1105) @[lib.scala 119:74] + node _T_1111 = xor(_T_1110, _T_1106) @[lib.scala 119:74] + node _T_1112 = cat(_T_1042, _T_1007) @[Cat.scala 29:58] + node _T_1113 = cat(_T_1112, _T_972) @[Cat.scala 29:58] + node _T_1114 = cat(_T_1111, _T_1100) @[Cat.scala 29:58] + node _T_1115 = cat(_T_1114, _T_1071) @[Cat.scala 29:58] + node _T_1116 = cat(_T_1115, _T_1113) @[Cat.scala 29:58] + node _T_1117 = xorr(dccm_wdata_hi_any) @[lib.scala 127:13] + node _T_1118 = xorr(_T_1116) @[lib.scala 127:23] + node _T_1119 = xor(_T_1117, _T_1118) @[lib.scala 127:18] + node dccm_wdata_ecc_hi_any = cat(_T_1119, _T_1116) @[Cat.scala 29:58] + when UInt<1>("h00") : @[lsu_ecc.scala 103:30] + node _T_1120 = bits(io.lsu_addr_r, 2, 2) @[lsu_ecc.scala 104:33] + node _T_1121 = bits(io.end_addr_r, 2, 2) @[lsu_ecc.scala 104:54] + node _T_1122 = neq(_T_1120, _T_1121) @[lsu_ecc.scala 104:37] + ldst_dual_r <= _T_1122 @[lsu_ecc.scala 104:17] + node _T_1123 = or(io.lsu_pkt_r.bits.load, io.lsu_pkt_r.bits.store) @[lsu_ecc.scala 105:63] + node _T_1124 = and(io.lsu_pkt_r.valid, _T_1123) @[lsu_ecc.scala 105:37] + node _T_1125 = and(_T_1124, io.addr_in_dccm_r) @[lsu_ecc.scala 105:90] + node _T_1126 = and(_T_1125, io.lsu_dccm_rden_r) @[lsu_ecc.scala 105:110] + is_ldst_r <= _T_1126 @[lsu_ecc.scala 105:15] + node _T_1127 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[lsu_ecc.scala 106:33] + node _T_1128 = and(is_ldst_r, _T_1127) @[lsu_ecc.scala 106:31] + is_ldst_lo_r <= _T_1128 @[lsu_ecc.scala 106:18] + node _T_1129 = or(ldst_dual_r, io.lsu_pkt_r.bits.dma) @[lsu_ecc.scala 107:46] + node _T_1130 = and(is_ldst_r, _T_1129) @[lsu_ecc.scala 107:31] + node _T_1131 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[lsu_ecc.scala 107:73] + node _T_1132 = and(_T_1130, _T_1131) @[lsu_ecc.scala 107:71] + is_ldst_hi_r <= _T_1132 @[lsu_ecc.scala 107:18] + is_ldst_hi_any <= is_ldst_hi_r @[lsu_ecc.scala 108:21] + dccm_rdata_hi_any <= io.dccm_rdata_hi_r @[lsu_ecc.scala 109:24] + dccm_data_ecc_hi_any <= io.dccm_data_ecc_hi_r @[lsu_ecc.scala 110:26] + is_ldst_lo_any <= is_ldst_lo_r @[lsu_ecc.scala 111:20] + dccm_rdata_lo_any <= io.dccm_rdata_lo_r @[lsu_ecc.scala 112:25] + dccm_data_ecc_lo_any <= io.dccm_data_ecc_lo_r @[lsu_ecc.scala 113:26] + io.sec_data_hi_r <= sec_data_hi_any @[lsu_ecc.scala 114:22] + io.single_ecc_error_hi_r <= single_ecc_error_hi_any @[lsu_ecc.scala 115:31] + double_ecc_error_hi_r <= double_ecc_error_hi_any @[lsu_ecc.scala 116:28] + io.sec_data_lo_r <= sec_data_lo_any @[lsu_ecc.scala 117:25] + io.single_ecc_error_lo_r <= single_ecc_error_lo_any @[lsu_ecc.scala 118:31] + double_ecc_error_lo_r <= double_ecc_error_lo_any @[lsu_ecc.scala 119:28] + node _T_1133 = or(io.single_ecc_error_hi_r, io.single_ecc_error_lo_r) @[lsu_ecc.scala 120:59] + io.lsu_single_ecc_error_r <= _T_1133 @[lsu_ecc.scala 120:31] + node _T_1134 = or(double_ecc_error_hi_r, double_ecc_error_lo_r) @[lsu_ecc.scala 121:56] + io.lsu_double_ecc_error_r <= _T_1134 @[lsu_ecc.scala 121:31] + skip @[lsu_ecc.scala 103:30] + else : @[lsu_ecc.scala 123:16] + node _T_1135 = bits(io.lsu_addr_m, 2, 2) @[lsu_ecc.scala 124:35] + node _T_1136 = bits(io.end_addr_m, 2, 2) @[lsu_ecc.scala 124:56] + node _T_1137 = neq(_T_1135, _T_1136) @[lsu_ecc.scala 124:39] + ldst_dual_m <= _T_1137 @[lsu_ecc.scala 124:19] + node _T_1138 = or(io.lsu_pkt_m.bits.load, io.lsu_pkt_m.bits.store) @[lsu_ecc.scala 125:65] + node _T_1139 = and(io.lsu_pkt_m.valid, _T_1138) @[lsu_ecc.scala 125:39] + node _T_1140 = and(_T_1139, io.addr_in_dccm_m) @[lsu_ecc.scala 125:92] + node _T_1141 = and(_T_1140, io.lsu_dccm_rden_m) @[lsu_ecc.scala 125:112] + is_ldst_m <= _T_1141 @[lsu_ecc.scala 125:17] + node _T_1142 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[lsu_ecc.scala 126:35] + node _T_1143 = and(is_ldst_m, _T_1142) @[lsu_ecc.scala 126:33] + is_ldst_lo_m <= _T_1143 @[lsu_ecc.scala 126:20] + node _T_1144 = or(ldst_dual_m, io.lsu_pkt_m.bits.dma) @[lsu_ecc.scala 127:48] + node _T_1145 = and(is_ldst_m, _T_1144) @[lsu_ecc.scala 127:33] + node _T_1146 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[lsu_ecc.scala 127:75] + node _T_1147 = and(_T_1145, _T_1146) @[lsu_ecc.scala 127:73] + is_ldst_hi_m <= _T_1147 @[lsu_ecc.scala 127:20] + is_ldst_hi_any <= is_ldst_hi_m @[lsu_ecc.scala 128:23] + dccm_rdata_hi_any <= io.dccm_rdata_hi_m @[lsu_ecc.scala 129:26] + dccm_data_ecc_hi_any <= io.dccm_data_ecc_hi_m @[lsu_ecc.scala 130:28] + is_ldst_lo_any <= is_ldst_lo_m @[lsu_ecc.scala 131:22] + dccm_rdata_lo_any <= io.dccm_rdata_lo_m @[lsu_ecc.scala 132:27] + dccm_data_ecc_lo_any <= io.dccm_data_ecc_lo_m @[lsu_ecc.scala 133:28] + io.sec_data_hi_m <= sec_data_hi_any @[lsu_ecc.scala 134:27] + double_ecc_error_hi_m <= double_ecc_error_hi_any @[lsu_ecc.scala 135:30] + io.sec_data_lo_m <= sec_data_lo_any @[lsu_ecc.scala 136:27] + double_ecc_error_lo_m <= double_ecc_error_lo_any @[lsu_ecc.scala 137:30] + node _T_1148 = or(single_ecc_error_hi_any, single_ecc_error_lo_any) @[lsu_ecc.scala 138:60] + io.lsu_single_ecc_error_m <= _T_1148 @[lsu_ecc.scala 138:33] + node _T_1149 = or(double_ecc_error_hi_m, double_ecc_error_lo_m) @[lsu_ecc.scala 139:58] + io.lsu_double_ecc_error_m <= _T_1149 @[lsu_ecc.scala 139:33] + reg _T_1150 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_ecc.scala 141:72] + _T_1150 <= io.lsu_single_ecc_error_m @[lsu_ecc.scala 141:72] + io.lsu_single_ecc_error_r <= _T_1150 @[lsu_ecc.scala 141:62] + reg _T_1151 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_ecc.scala 142:72] + _T_1151 <= io.lsu_double_ecc_error_m @[lsu_ecc.scala 142:72] + io.lsu_double_ecc_error_r <= _T_1151 @[lsu_ecc.scala 142:62] + reg _T_1152 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_ecc.scala 143:72] + _T_1152 <= single_ecc_error_lo_any @[lsu_ecc.scala 143:72] + io.single_ecc_error_lo_r <= _T_1152 @[lsu_ecc.scala 143:62] + reg _T_1153 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_ecc.scala 144:72] + _T_1153 <= single_ecc_error_hi_any @[lsu_ecc.scala 144:72] + io.single_ecc_error_hi_r <= _T_1153 @[lsu_ecc.scala 144:62] + reg _T_1154 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_ecc.scala 145:72] + _T_1154 <= io.sec_data_hi_m @[lsu_ecc.scala 145:72] + io.sec_data_hi_r <= _T_1154 @[lsu_ecc.scala 145:62] + reg _T_1155 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_ecc.scala 146:72] + _T_1155 <= io.sec_data_lo_m @[lsu_ecc.scala 146:72] + io.sec_data_lo_r <= _T_1155 @[lsu_ecc.scala 146:62] + skip @[lsu_ecc.scala 123:16] + node _T_1156 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_ecc.scala 149:56] + node _T_1157 = bits(io.dma_dccm_wen, 0, 0) @[lsu_ecc.scala 149:104] + node _T_1158 = mux(_T_1157, io.dma_dccm_wdata_lo, io.stbuf_data_any) @[lsu_ecc.scala 149:87] + node _T_1159 = mux(_T_1156, io.sec_data_lo_r_ff, _T_1158) @[lsu_ecc.scala 149:27] + dccm_wdata_lo_any <= _T_1159 @[lsu_ecc.scala 149:21] + node _T_1160 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_ecc.scala 150:56] + node _T_1161 = bits(io.dma_dccm_wen, 0, 0) @[lsu_ecc.scala 150:104] + node _T_1162 = mux(_T_1161, io.dma_dccm_wdata_hi, io.stbuf_data_any) @[lsu_ecc.scala 150:87] + node _T_1163 = mux(_T_1160, io.sec_data_hi_r_ff, _T_1162) @[lsu_ecc.scala 150:27] + dccm_wdata_hi_any <= _T_1163 @[lsu_ecc.scala 150:21] + io.sec_data_ecc_hi_r_ff <= dccm_wdata_ecc_hi_any @[lsu_ecc.scala 151:28] + io.sec_data_ecc_lo_r_ff <= dccm_wdata_ecc_lo_any @[lsu_ecc.scala 152:28] + io.stbuf_ecc_any <= dccm_wdata_ecc_lo_any @[lsu_ecc.scala 153:28] + io.dma_dccm_wdata_ecc_hi <= dccm_wdata_ecc_hi_any @[lsu_ecc.scala 154:28] + io.dma_dccm_wdata_ecc_lo <= dccm_wdata_ecc_lo_any @[lsu_ecc.scala 155:28] + inst rvclkhdr of rvclkhdr_10 @[lib.scala 368:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 370:18] + rvclkhdr.io.en <= io.ld_single_ecc_error_r @[lib.scala 371:17] + rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_1164 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1164 <= io.sec_data_hi_r @[lib.scala 374:16] + io.sec_data_hi_r_ff <= _T_1164 @[lsu_ecc.scala 157:23] + inst rvclkhdr_1 of rvclkhdr_11 @[lib.scala 368:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_1.io.en <= io.ld_single_ecc_error_r @[lib.scala 371:17] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_1165 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1165 <= io.sec_data_lo_r @[lib.scala 374:16] + io.sec_data_lo_r_ff <= _T_1165 @[lsu_ecc.scala 158:23] + + module lsu_trigger : + input clock : Clock + input reset : AsyncReset + output io : {flip trigger_pkt_any : {select : UInt<1>, match_pkt : UInt<1>, store : UInt<1>, load : UInt<1>, execute : UInt<1>, m : UInt<1>, tdata2 : UInt<32>}[4], flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_addr_m : UInt<32>, flip store_data_m : UInt<32>, lsu_trigger_match_m : UInt<4>} + + node _T = bits(io.lsu_pkt_m.bits.word, 0, 0) @[Bitwise.scala 72:15] + node _T_1 = mux(_T, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_2 = bits(io.store_data_m, 31, 16) @[lsu_trigger.scala 16:83] + node _T_3 = and(_T_1, _T_2) @[lsu_trigger.scala 16:66] + node _T_4 = or(io.lsu_pkt_m.bits.half, io.lsu_pkt_m.bits.word) @[lsu_trigger.scala 16:124] + node _T_5 = bits(_T_4, 0, 0) @[Bitwise.scala 72:15] + node _T_6 = mux(_T_5, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_7 = bits(io.store_data_m, 15, 8) @[lsu_trigger.scala 16:168] + node _T_8 = and(_T_6, _T_7) @[lsu_trigger.scala 16:151] + node _T_9 = bits(io.store_data_m, 7, 0) @[lsu_trigger.scala 16:192] + node _T_10 = cat(_T_3, _T_8) @[Cat.scala 29:58] + node store_data_trigger_m = cat(_T_10, _T_9) @[Cat.scala 29:58] + node _T_11 = bits(io.trigger_pkt_any[0].select, 0, 0) @[lsu_trigger.scala 17:83] + node _T_12 = eq(_T_11, UInt<1>("h00")) @[lsu_trigger.scala 17:53] + node _T_13 = and(io.trigger_pkt_any[0].select, io.trigger_pkt_any[0].store) @[lsu_trigger.scala 17:136] + node _T_14 = bits(_T_13, 0, 0) @[lsu_trigger.scala 17:167] + node _T_15 = mux(_T_12, io.lsu_addr_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_16 = mux(_T_14, store_data_trigger_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_17 = or(_T_15, _T_16) @[Mux.scala 27:72] + wire lsu_match_data_0 : UInt<32> @[Mux.scala 27:72] + lsu_match_data_0 <= _T_17 @[Mux.scala 27:72] + node _T_18 = bits(io.trigger_pkt_any[1].select, 0, 0) @[lsu_trigger.scala 17:83] + node _T_19 = eq(_T_18, UInt<1>("h00")) @[lsu_trigger.scala 17:53] + node _T_20 = and(io.trigger_pkt_any[1].select, io.trigger_pkt_any[1].store) @[lsu_trigger.scala 17:136] + node _T_21 = bits(_T_20, 0, 0) @[lsu_trigger.scala 17:167] + node _T_22 = mux(_T_19, io.lsu_addr_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23 = mux(_T_21, store_data_trigger_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_24 = or(_T_22, _T_23) @[Mux.scala 27:72] + wire lsu_match_data_1 : UInt<32> @[Mux.scala 27:72] + lsu_match_data_1 <= _T_24 @[Mux.scala 27:72] + node _T_25 = bits(io.trigger_pkt_any[2].select, 0, 0) @[lsu_trigger.scala 17:83] + node _T_26 = eq(_T_25, UInt<1>("h00")) @[lsu_trigger.scala 17:53] + node _T_27 = and(io.trigger_pkt_any[2].select, io.trigger_pkt_any[2].store) @[lsu_trigger.scala 17:136] + node _T_28 = bits(_T_27, 0, 0) @[lsu_trigger.scala 17:167] + node _T_29 = mux(_T_26, io.lsu_addr_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_30 = mux(_T_28, store_data_trigger_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_31 = or(_T_29, _T_30) @[Mux.scala 27:72] + wire lsu_match_data_2 : UInt<32> @[Mux.scala 27:72] + lsu_match_data_2 <= _T_31 @[Mux.scala 27:72] + node _T_32 = bits(io.trigger_pkt_any[3].select, 0, 0) @[lsu_trigger.scala 17:83] + node _T_33 = eq(_T_32, UInt<1>("h00")) @[lsu_trigger.scala 17:53] + node _T_34 = and(io.trigger_pkt_any[3].select, io.trigger_pkt_any[3].store) @[lsu_trigger.scala 17:136] + node _T_35 = bits(_T_34, 0, 0) @[lsu_trigger.scala 17:167] + node _T_36 = mux(_T_33, io.lsu_addr_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_37 = mux(_T_35, store_data_trigger_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_38 = or(_T_36, _T_37) @[Mux.scala 27:72] + wire lsu_match_data_3 : UInt<32> @[Mux.scala 27:72] + lsu_match_data_3 <= _T_38 @[Mux.scala 27:72] + node _T_39 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_trigger.scala 18:71] + node _T_40 = and(io.lsu_pkt_m.valid, _T_39) @[lsu_trigger.scala 18:69] + node _T_41 = and(io.trigger_pkt_any[0].store, io.lsu_pkt_m.bits.store) @[lsu_trigger.scala 18:126] + node _T_42 = and(io.trigger_pkt_any[0].load, io.lsu_pkt_m.bits.load) @[lsu_trigger.scala 19:33] + node _T_43 = eq(io.trigger_pkt_any[0].select, UInt<1>("h00")) @[lsu_trigger.scala 19:60] + node _T_44 = and(_T_42, _T_43) @[lsu_trigger.scala 19:58] + node _T_45 = or(_T_41, _T_44) @[lsu_trigger.scala 18:152] + node _T_46 = and(_T_40, _T_45) @[lsu_trigger.scala 18:94] + node _T_47 = bits(io.trigger_pkt_any[0].match_pkt, 0, 0) @[lsu_trigger.scala 20:107] + wire _T_48 : UInt<1>[32] @[lib.scala 100:24] + node _T_49 = andr(io.trigger_pkt_any[0].tdata2) @[lib.scala 101:45] + node _T_50 = not(_T_49) @[lib.scala 101:39] + node _T_51 = and(_T_47, _T_50) @[lib.scala 101:37] + node _T_52 = bits(io.trigger_pkt_any[0].tdata2, 0, 0) @[lib.scala 102:48] + node _T_53 = bits(lsu_match_data_0, 0, 0) @[lib.scala 102:60] + node _T_54 = eq(_T_52, _T_53) @[lib.scala 102:52] + node _T_55 = or(_T_51, _T_54) @[lib.scala 102:41] + _T_48[0] <= _T_55 @[lib.scala 102:18] + node _T_56 = bits(io.trigger_pkt_any[0].tdata2, 0, 0) @[lib.scala 104:28] + node _T_57 = andr(_T_56) @[lib.scala 104:36] + node _T_58 = and(_T_57, _T_51) @[lib.scala 104:41] + node _T_59 = bits(io.trigger_pkt_any[0].tdata2, 1, 1) @[lib.scala 104:74] + node _T_60 = bits(lsu_match_data_0, 1, 1) @[lib.scala 104:86] + node _T_61 = eq(_T_59, _T_60) @[lib.scala 104:78] + node _T_62 = mux(_T_58, UInt<1>("h01"), _T_61) @[lib.scala 104:23] + _T_48[1] <= _T_62 @[lib.scala 104:17] + node _T_63 = bits(io.trigger_pkt_any[0].tdata2, 1, 0) @[lib.scala 104:28] + node _T_64 = andr(_T_63) @[lib.scala 104:36] + node _T_65 = and(_T_64, _T_51) @[lib.scala 104:41] + node _T_66 = bits(io.trigger_pkt_any[0].tdata2, 2, 2) @[lib.scala 104:74] + node _T_67 = bits(lsu_match_data_0, 2, 2) @[lib.scala 104:86] + node _T_68 = eq(_T_66, _T_67) @[lib.scala 104:78] + node _T_69 = mux(_T_65, UInt<1>("h01"), _T_68) @[lib.scala 104:23] + _T_48[2] <= _T_69 @[lib.scala 104:17] + node _T_70 = bits(io.trigger_pkt_any[0].tdata2, 2, 0) @[lib.scala 104:28] + node _T_71 = andr(_T_70) @[lib.scala 104:36] + node _T_72 = and(_T_71, _T_51) @[lib.scala 104:41] + node _T_73 = bits(io.trigger_pkt_any[0].tdata2, 3, 3) @[lib.scala 104:74] + node _T_74 = bits(lsu_match_data_0, 3, 3) @[lib.scala 104:86] + node _T_75 = eq(_T_73, _T_74) @[lib.scala 104:78] + node _T_76 = mux(_T_72, UInt<1>("h01"), _T_75) @[lib.scala 104:23] + _T_48[3] <= _T_76 @[lib.scala 104:17] + node _T_77 = bits(io.trigger_pkt_any[0].tdata2, 3, 0) @[lib.scala 104:28] + node _T_78 = andr(_T_77) @[lib.scala 104:36] + node _T_79 = and(_T_78, _T_51) @[lib.scala 104:41] + node _T_80 = bits(io.trigger_pkt_any[0].tdata2, 4, 4) @[lib.scala 104:74] + node _T_81 = bits(lsu_match_data_0, 4, 4) @[lib.scala 104:86] + node _T_82 = eq(_T_80, _T_81) @[lib.scala 104:78] + node _T_83 = mux(_T_79, UInt<1>("h01"), _T_82) @[lib.scala 104:23] + _T_48[4] <= _T_83 @[lib.scala 104:17] + node _T_84 = bits(io.trigger_pkt_any[0].tdata2, 4, 0) @[lib.scala 104:28] + node _T_85 = andr(_T_84) @[lib.scala 104:36] + node _T_86 = and(_T_85, _T_51) @[lib.scala 104:41] + node _T_87 = bits(io.trigger_pkt_any[0].tdata2, 5, 5) @[lib.scala 104:74] + node _T_88 = bits(lsu_match_data_0, 5, 5) @[lib.scala 104:86] + node _T_89 = eq(_T_87, _T_88) @[lib.scala 104:78] + node _T_90 = mux(_T_86, UInt<1>("h01"), _T_89) @[lib.scala 104:23] + _T_48[5] <= _T_90 @[lib.scala 104:17] + node _T_91 = bits(io.trigger_pkt_any[0].tdata2, 5, 0) @[lib.scala 104:28] + node _T_92 = andr(_T_91) @[lib.scala 104:36] + node _T_93 = and(_T_92, _T_51) @[lib.scala 104:41] + node _T_94 = bits(io.trigger_pkt_any[0].tdata2, 6, 6) @[lib.scala 104:74] + node _T_95 = bits(lsu_match_data_0, 6, 6) @[lib.scala 104:86] + node _T_96 = eq(_T_94, _T_95) @[lib.scala 104:78] + node _T_97 = mux(_T_93, UInt<1>("h01"), _T_96) @[lib.scala 104:23] + _T_48[6] <= _T_97 @[lib.scala 104:17] + node _T_98 = bits(io.trigger_pkt_any[0].tdata2, 6, 0) @[lib.scala 104:28] + node _T_99 = andr(_T_98) @[lib.scala 104:36] + node _T_100 = and(_T_99, _T_51) @[lib.scala 104:41] + node _T_101 = bits(io.trigger_pkt_any[0].tdata2, 7, 7) @[lib.scala 104:74] + node _T_102 = bits(lsu_match_data_0, 7, 7) @[lib.scala 104:86] + node _T_103 = eq(_T_101, _T_102) @[lib.scala 104:78] + node _T_104 = mux(_T_100, UInt<1>("h01"), _T_103) @[lib.scala 104:23] + _T_48[7] <= _T_104 @[lib.scala 104:17] + node _T_105 = bits(io.trigger_pkt_any[0].tdata2, 7, 0) @[lib.scala 104:28] + node _T_106 = andr(_T_105) @[lib.scala 104:36] + node _T_107 = and(_T_106, _T_51) @[lib.scala 104:41] + node _T_108 = bits(io.trigger_pkt_any[0].tdata2, 8, 8) @[lib.scala 104:74] + node _T_109 = bits(lsu_match_data_0, 8, 8) @[lib.scala 104:86] + node _T_110 = eq(_T_108, _T_109) @[lib.scala 104:78] + node _T_111 = mux(_T_107, UInt<1>("h01"), _T_110) @[lib.scala 104:23] + _T_48[8] <= _T_111 @[lib.scala 104:17] + node _T_112 = bits(io.trigger_pkt_any[0].tdata2, 8, 0) @[lib.scala 104:28] + node _T_113 = andr(_T_112) @[lib.scala 104:36] + node _T_114 = and(_T_113, _T_51) @[lib.scala 104:41] + node _T_115 = bits(io.trigger_pkt_any[0].tdata2, 9, 9) @[lib.scala 104:74] + node _T_116 = bits(lsu_match_data_0, 9, 9) @[lib.scala 104:86] + node _T_117 = eq(_T_115, _T_116) @[lib.scala 104:78] + node _T_118 = mux(_T_114, UInt<1>("h01"), _T_117) @[lib.scala 104:23] + _T_48[9] <= _T_118 @[lib.scala 104:17] + node _T_119 = bits(io.trigger_pkt_any[0].tdata2, 9, 0) @[lib.scala 104:28] + node _T_120 = andr(_T_119) @[lib.scala 104:36] + node _T_121 = and(_T_120, _T_51) @[lib.scala 104:41] + node _T_122 = bits(io.trigger_pkt_any[0].tdata2, 10, 10) @[lib.scala 104:74] + node _T_123 = bits(lsu_match_data_0, 10, 10) @[lib.scala 104:86] + node _T_124 = eq(_T_122, _T_123) @[lib.scala 104:78] + node _T_125 = mux(_T_121, UInt<1>("h01"), _T_124) @[lib.scala 104:23] + _T_48[10] <= _T_125 @[lib.scala 104:17] + node _T_126 = bits(io.trigger_pkt_any[0].tdata2, 10, 0) @[lib.scala 104:28] + node _T_127 = andr(_T_126) @[lib.scala 104:36] + node _T_128 = and(_T_127, _T_51) @[lib.scala 104:41] + node _T_129 = bits(io.trigger_pkt_any[0].tdata2, 11, 11) @[lib.scala 104:74] + node _T_130 = bits(lsu_match_data_0, 11, 11) @[lib.scala 104:86] + node _T_131 = eq(_T_129, _T_130) @[lib.scala 104:78] + node _T_132 = mux(_T_128, UInt<1>("h01"), _T_131) @[lib.scala 104:23] + _T_48[11] <= _T_132 @[lib.scala 104:17] + node _T_133 = bits(io.trigger_pkt_any[0].tdata2, 11, 0) @[lib.scala 104:28] + node _T_134 = andr(_T_133) @[lib.scala 104:36] + node _T_135 = and(_T_134, _T_51) @[lib.scala 104:41] + node _T_136 = bits(io.trigger_pkt_any[0].tdata2, 12, 12) @[lib.scala 104:74] + node _T_137 = bits(lsu_match_data_0, 12, 12) @[lib.scala 104:86] + node _T_138 = eq(_T_136, _T_137) @[lib.scala 104:78] + node _T_139 = mux(_T_135, UInt<1>("h01"), _T_138) @[lib.scala 104:23] + _T_48[12] <= _T_139 @[lib.scala 104:17] + node _T_140 = bits(io.trigger_pkt_any[0].tdata2, 12, 0) @[lib.scala 104:28] + node _T_141 = andr(_T_140) @[lib.scala 104:36] + node _T_142 = and(_T_141, _T_51) @[lib.scala 104:41] + node _T_143 = bits(io.trigger_pkt_any[0].tdata2, 13, 13) @[lib.scala 104:74] + node _T_144 = bits(lsu_match_data_0, 13, 13) @[lib.scala 104:86] + node _T_145 = eq(_T_143, _T_144) @[lib.scala 104:78] + node _T_146 = mux(_T_142, UInt<1>("h01"), _T_145) @[lib.scala 104:23] + _T_48[13] <= _T_146 @[lib.scala 104:17] + node _T_147 = bits(io.trigger_pkt_any[0].tdata2, 13, 0) @[lib.scala 104:28] + node _T_148 = andr(_T_147) @[lib.scala 104:36] + node _T_149 = and(_T_148, _T_51) @[lib.scala 104:41] + node _T_150 = bits(io.trigger_pkt_any[0].tdata2, 14, 14) @[lib.scala 104:74] + node _T_151 = bits(lsu_match_data_0, 14, 14) @[lib.scala 104:86] + node _T_152 = eq(_T_150, _T_151) @[lib.scala 104:78] + node _T_153 = mux(_T_149, UInt<1>("h01"), _T_152) @[lib.scala 104:23] + _T_48[14] <= _T_153 @[lib.scala 104:17] + node _T_154 = bits(io.trigger_pkt_any[0].tdata2, 14, 0) @[lib.scala 104:28] + node _T_155 = andr(_T_154) @[lib.scala 104:36] + node _T_156 = and(_T_155, _T_51) @[lib.scala 104:41] + node _T_157 = bits(io.trigger_pkt_any[0].tdata2, 15, 15) @[lib.scala 104:74] + node _T_158 = bits(lsu_match_data_0, 15, 15) @[lib.scala 104:86] + node _T_159 = eq(_T_157, _T_158) @[lib.scala 104:78] + node _T_160 = mux(_T_156, UInt<1>("h01"), _T_159) @[lib.scala 104:23] + _T_48[15] <= _T_160 @[lib.scala 104:17] + node _T_161 = bits(io.trigger_pkt_any[0].tdata2, 15, 0) @[lib.scala 104:28] + node _T_162 = andr(_T_161) @[lib.scala 104:36] + node _T_163 = and(_T_162, _T_51) @[lib.scala 104:41] + node _T_164 = bits(io.trigger_pkt_any[0].tdata2, 16, 16) @[lib.scala 104:74] + node _T_165 = bits(lsu_match_data_0, 16, 16) @[lib.scala 104:86] + node _T_166 = eq(_T_164, _T_165) @[lib.scala 104:78] + node _T_167 = mux(_T_163, UInt<1>("h01"), _T_166) @[lib.scala 104:23] + _T_48[16] <= _T_167 @[lib.scala 104:17] + node _T_168 = bits(io.trigger_pkt_any[0].tdata2, 16, 0) @[lib.scala 104:28] + node _T_169 = andr(_T_168) @[lib.scala 104:36] + node _T_170 = and(_T_169, _T_51) @[lib.scala 104:41] + node _T_171 = bits(io.trigger_pkt_any[0].tdata2, 17, 17) @[lib.scala 104:74] + node _T_172 = bits(lsu_match_data_0, 17, 17) @[lib.scala 104:86] + node _T_173 = eq(_T_171, _T_172) @[lib.scala 104:78] + node _T_174 = mux(_T_170, UInt<1>("h01"), _T_173) @[lib.scala 104:23] + _T_48[17] <= _T_174 @[lib.scala 104:17] + node _T_175 = bits(io.trigger_pkt_any[0].tdata2, 17, 0) @[lib.scala 104:28] + node _T_176 = andr(_T_175) @[lib.scala 104:36] + node _T_177 = and(_T_176, _T_51) @[lib.scala 104:41] + node _T_178 = bits(io.trigger_pkt_any[0].tdata2, 18, 18) @[lib.scala 104:74] + node _T_179 = bits(lsu_match_data_0, 18, 18) @[lib.scala 104:86] + node _T_180 = eq(_T_178, _T_179) @[lib.scala 104:78] + node _T_181 = mux(_T_177, UInt<1>("h01"), _T_180) @[lib.scala 104:23] + _T_48[18] <= _T_181 @[lib.scala 104:17] + node _T_182 = bits(io.trigger_pkt_any[0].tdata2, 18, 0) @[lib.scala 104:28] + node _T_183 = andr(_T_182) @[lib.scala 104:36] + node _T_184 = and(_T_183, _T_51) @[lib.scala 104:41] + node _T_185 = bits(io.trigger_pkt_any[0].tdata2, 19, 19) @[lib.scala 104:74] + node _T_186 = bits(lsu_match_data_0, 19, 19) @[lib.scala 104:86] + node _T_187 = eq(_T_185, _T_186) @[lib.scala 104:78] + node _T_188 = mux(_T_184, UInt<1>("h01"), _T_187) @[lib.scala 104:23] + _T_48[19] <= _T_188 @[lib.scala 104:17] + node _T_189 = bits(io.trigger_pkt_any[0].tdata2, 19, 0) @[lib.scala 104:28] + node _T_190 = andr(_T_189) @[lib.scala 104:36] + node _T_191 = and(_T_190, _T_51) @[lib.scala 104:41] + node _T_192 = bits(io.trigger_pkt_any[0].tdata2, 20, 20) @[lib.scala 104:74] + node _T_193 = bits(lsu_match_data_0, 20, 20) @[lib.scala 104:86] + node _T_194 = eq(_T_192, _T_193) @[lib.scala 104:78] + node _T_195 = mux(_T_191, UInt<1>("h01"), _T_194) @[lib.scala 104:23] + _T_48[20] <= _T_195 @[lib.scala 104:17] + node _T_196 = bits(io.trigger_pkt_any[0].tdata2, 20, 0) @[lib.scala 104:28] + node _T_197 = andr(_T_196) @[lib.scala 104:36] + node _T_198 = and(_T_197, _T_51) @[lib.scala 104:41] + node _T_199 = bits(io.trigger_pkt_any[0].tdata2, 21, 21) @[lib.scala 104:74] + node _T_200 = bits(lsu_match_data_0, 21, 21) @[lib.scala 104:86] + node _T_201 = eq(_T_199, _T_200) @[lib.scala 104:78] + node _T_202 = mux(_T_198, UInt<1>("h01"), _T_201) @[lib.scala 104:23] + _T_48[21] <= _T_202 @[lib.scala 104:17] + node _T_203 = bits(io.trigger_pkt_any[0].tdata2, 21, 0) @[lib.scala 104:28] + node _T_204 = andr(_T_203) @[lib.scala 104:36] + node _T_205 = and(_T_204, _T_51) @[lib.scala 104:41] + node _T_206 = bits(io.trigger_pkt_any[0].tdata2, 22, 22) @[lib.scala 104:74] + node _T_207 = bits(lsu_match_data_0, 22, 22) @[lib.scala 104:86] + node _T_208 = eq(_T_206, _T_207) @[lib.scala 104:78] + node _T_209 = mux(_T_205, UInt<1>("h01"), _T_208) @[lib.scala 104:23] + _T_48[22] <= _T_209 @[lib.scala 104:17] + node _T_210 = bits(io.trigger_pkt_any[0].tdata2, 22, 0) @[lib.scala 104:28] + node _T_211 = andr(_T_210) @[lib.scala 104:36] + node _T_212 = and(_T_211, _T_51) @[lib.scala 104:41] + node _T_213 = bits(io.trigger_pkt_any[0].tdata2, 23, 23) @[lib.scala 104:74] + node _T_214 = bits(lsu_match_data_0, 23, 23) @[lib.scala 104:86] + node _T_215 = eq(_T_213, _T_214) @[lib.scala 104:78] + node _T_216 = mux(_T_212, UInt<1>("h01"), _T_215) @[lib.scala 104:23] + _T_48[23] <= _T_216 @[lib.scala 104:17] + node _T_217 = bits(io.trigger_pkt_any[0].tdata2, 23, 0) @[lib.scala 104:28] + node _T_218 = andr(_T_217) @[lib.scala 104:36] + node _T_219 = and(_T_218, _T_51) @[lib.scala 104:41] + node _T_220 = bits(io.trigger_pkt_any[0].tdata2, 24, 24) @[lib.scala 104:74] + node _T_221 = bits(lsu_match_data_0, 24, 24) @[lib.scala 104:86] + node _T_222 = eq(_T_220, _T_221) @[lib.scala 104:78] + node _T_223 = mux(_T_219, UInt<1>("h01"), _T_222) @[lib.scala 104:23] + _T_48[24] <= _T_223 @[lib.scala 104:17] + node _T_224 = bits(io.trigger_pkt_any[0].tdata2, 24, 0) @[lib.scala 104:28] + node _T_225 = andr(_T_224) @[lib.scala 104:36] + node _T_226 = and(_T_225, _T_51) @[lib.scala 104:41] + node _T_227 = bits(io.trigger_pkt_any[0].tdata2, 25, 25) @[lib.scala 104:74] + node _T_228 = bits(lsu_match_data_0, 25, 25) @[lib.scala 104:86] + node _T_229 = eq(_T_227, _T_228) @[lib.scala 104:78] + node _T_230 = mux(_T_226, UInt<1>("h01"), _T_229) @[lib.scala 104:23] + _T_48[25] <= _T_230 @[lib.scala 104:17] + node _T_231 = bits(io.trigger_pkt_any[0].tdata2, 25, 0) @[lib.scala 104:28] + node _T_232 = andr(_T_231) @[lib.scala 104:36] + node _T_233 = and(_T_232, _T_51) @[lib.scala 104:41] + node _T_234 = bits(io.trigger_pkt_any[0].tdata2, 26, 26) @[lib.scala 104:74] + node _T_235 = bits(lsu_match_data_0, 26, 26) @[lib.scala 104:86] + node _T_236 = eq(_T_234, _T_235) @[lib.scala 104:78] + node _T_237 = mux(_T_233, UInt<1>("h01"), _T_236) @[lib.scala 104:23] + _T_48[26] <= _T_237 @[lib.scala 104:17] + node _T_238 = bits(io.trigger_pkt_any[0].tdata2, 26, 0) @[lib.scala 104:28] + node _T_239 = andr(_T_238) @[lib.scala 104:36] + node _T_240 = and(_T_239, _T_51) @[lib.scala 104:41] + node _T_241 = bits(io.trigger_pkt_any[0].tdata2, 27, 27) @[lib.scala 104:74] + node _T_242 = bits(lsu_match_data_0, 27, 27) @[lib.scala 104:86] + node _T_243 = eq(_T_241, _T_242) @[lib.scala 104:78] + node _T_244 = mux(_T_240, UInt<1>("h01"), _T_243) @[lib.scala 104:23] + _T_48[27] <= _T_244 @[lib.scala 104:17] + node _T_245 = bits(io.trigger_pkt_any[0].tdata2, 27, 0) @[lib.scala 104:28] + node _T_246 = andr(_T_245) @[lib.scala 104:36] + node _T_247 = and(_T_246, _T_51) @[lib.scala 104:41] + node _T_248 = bits(io.trigger_pkt_any[0].tdata2, 28, 28) @[lib.scala 104:74] + node _T_249 = bits(lsu_match_data_0, 28, 28) @[lib.scala 104:86] + node _T_250 = eq(_T_248, _T_249) @[lib.scala 104:78] + node _T_251 = mux(_T_247, UInt<1>("h01"), _T_250) @[lib.scala 104:23] + _T_48[28] <= _T_251 @[lib.scala 104:17] + node _T_252 = bits(io.trigger_pkt_any[0].tdata2, 28, 0) @[lib.scala 104:28] + node _T_253 = andr(_T_252) @[lib.scala 104:36] + node _T_254 = and(_T_253, _T_51) @[lib.scala 104:41] + node _T_255 = bits(io.trigger_pkt_any[0].tdata2, 29, 29) @[lib.scala 104:74] + node _T_256 = bits(lsu_match_data_0, 29, 29) @[lib.scala 104:86] + node _T_257 = eq(_T_255, _T_256) @[lib.scala 104:78] + node _T_258 = mux(_T_254, UInt<1>("h01"), _T_257) @[lib.scala 104:23] + _T_48[29] <= _T_258 @[lib.scala 104:17] + node _T_259 = bits(io.trigger_pkt_any[0].tdata2, 29, 0) @[lib.scala 104:28] + node _T_260 = andr(_T_259) @[lib.scala 104:36] + node _T_261 = and(_T_260, _T_51) @[lib.scala 104:41] + node _T_262 = bits(io.trigger_pkt_any[0].tdata2, 30, 30) @[lib.scala 104:74] + node _T_263 = bits(lsu_match_data_0, 30, 30) @[lib.scala 104:86] + node _T_264 = eq(_T_262, _T_263) @[lib.scala 104:78] + node _T_265 = mux(_T_261, UInt<1>("h01"), _T_264) @[lib.scala 104:23] + _T_48[30] <= _T_265 @[lib.scala 104:17] + node _T_266 = bits(io.trigger_pkt_any[0].tdata2, 30, 0) @[lib.scala 104:28] + node _T_267 = andr(_T_266) @[lib.scala 104:36] + node _T_268 = and(_T_267, _T_51) @[lib.scala 104:41] + node _T_269 = bits(io.trigger_pkt_any[0].tdata2, 31, 31) @[lib.scala 104:74] + node _T_270 = bits(lsu_match_data_0, 31, 31) @[lib.scala 104:86] + node _T_271 = eq(_T_269, _T_270) @[lib.scala 104:78] + node _T_272 = mux(_T_268, UInt<1>("h01"), _T_271) @[lib.scala 104:23] + _T_48[31] <= _T_272 @[lib.scala 104:17] + node _T_273 = cat(_T_48[1], _T_48[0]) @[lib.scala 105:14] + node _T_274 = cat(_T_48[3], _T_48[2]) @[lib.scala 105:14] + node _T_275 = cat(_T_274, _T_273) @[lib.scala 105:14] + node _T_276 = cat(_T_48[5], _T_48[4]) @[lib.scala 105:14] + node _T_277 = cat(_T_48[7], _T_48[6]) @[lib.scala 105:14] + node _T_278 = cat(_T_277, _T_276) @[lib.scala 105:14] + node _T_279 = cat(_T_278, _T_275) @[lib.scala 105:14] + node _T_280 = cat(_T_48[9], _T_48[8]) @[lib.scala 105:14] + node _T_281 = cat(_T_48[11], _T_48[10]) @[lib.scala 105:14] + node _T_282 = cat(_T_281, _T_280) @[lib.scala 105:14] + node _T_283 = cat(_T_48[13], _T_48[12]) @[lib.scala 105:14] + node _T_284 = cat(_T_48[15], _T_48[14]) @[lib.scala 105:14] + node _T_285 = cat(_T_284, _T_283) @[lib.scala 105:14] + node _T_286 = cat(_T_285, _T_282) @[lib.scala 105:14] + node _T_287 = cat(_T_286, _T_279) @[lib.scala 105:14] + node _T_288 = cat(_T_48[17], _T_48[16]) @[lib.scala 105:14] + node _T_289 = cat(_T_48[19], _T_48[18]) @[lib.scala 105:14] + node _T_290 = cat(_T_289, _T_288) @[lib.scala 105:14] + node _T_291 = cat(_T_48[21], _T_48[20]) @[lib.scala 105:14] + node _T_292 = cat(_T_48[23], _T_48[22]) @[lib.scala 105:14] + node _T_293 = cat(_T_292, _T_291) @[lib.scala 105:14] + node _T_294 = cat(_T_293, _T_290) @[lib.scala 105:14] + node _T_295 = cat(_T_48[25], _T_48[24]) @[lib.scala 105:14] + node _T_296 = cat(_T_48[27], _T_48[26]) @[lib.scala 105:14] + node _T_297 = cat(_T_296, _T_295) @[lib.scala 105:14] + node _T_298 = cat(_T_48[29], _T_48[28]) @[lib.scala 105:14] + node _T_299 = cat(_T_48[31], _T_48[30]) @[lib.scala 105:14] + node _T_300 = cat(_T_299, _T_298) @[lib.scala 105:14] + node _T_301 = cat(_T_300, _T_297) @[lib.scala 105:14] + node _T_302 = cat(_T_301, _T_294) @[lib.scala 105:14] + node _T_303 = cat(_T_302, _T_287) @[lib.scala 105:14] + node _T_304 = andr(_T_303) @[lib.scala 105:25] + node _T_305 = and(_T_46, _T_304) @[lsu_trigger.scala 19:92] + node _T_306 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_trigger.scala 18:71] + node _T_307 = and(io.lsu_pkt_m.valid, _T_306) @[lsu_trigger.scala 18:69] + node _T_308 = and(io.trigger_pkt_any[1].store, io.lsu_pkt_m.bits.store) @[lsu_trigger.scala 18:126] + node _T_309 = and(io.trigger_pkt_any[1].load, io.lsu_pkt_m.bits.load) @[lsu_trigger.scala 19:33] + node _T_310 = eq(io.trigger_pkt_any[1].select, UInt<1>("h00")) @[lsu_trigger.scala 19:60] + node _T_311 = and(_T_309, _T_310) @[lsu_trigger.scala 19:58] + node _T_312 = or(_T_308, _T_311) @[lsu_trigger.scala 18:152] + node _T_313 = and(_T_307, _T_312) @[lsu_trigger.scala 18:94] + node _T_314 = bits(io.trigger_pkt_any[1].match_pkt, 0, 0) @[lsu_trigger.scala 20:107] + wire _T_315 : UInt<1>[32] @[lib.scala 100:24] + node _T_316 = andr(io.trigger_pkt_any[1].tdata2) @[lib.scala 101:45] + node _T_317 = not(_T_316) @[lib.scala 101:39] + node _T_318 = and(_T_314, _T_317) @[lib.scala 101:37] + node _T_319 = bits(io.trigger_pkt_any[1].tdata2, 0, 0) @[lib.scala 102:48] + node _T_320 = bits(lsu_match_data_1, 0, 0) @[lib.scala 102:60] + node _T_321 = eq(_T_319, _T_320) @[lib.scala 102:52] + node _T_322 = or(_T_318, _T_321) @[lib.scala 102:41] + _T_315[0] <= _T_322 @[lib.scala 102:18] + node _T_323 = bits(io.trigger_pkt_any[1].tdata2, 0, 0) @[lib.scala 104:28] + node _T_324 = andr(_T_323) @[lib.scala 104:36] + node _T_325 = and(_T_324, _T_318) @[lib.scala 104:41] + node _T_326 = bits(io.trigger_pkt_any[1].tdata2, 1, 1) @[lib.scala 104:74] + node _T_327 = bits(lsu_match_data_1, 1, 1) @[lib.scala 104:86] + node _T_328 = eq(_T_326, _T_327) @[lib.scala 104:78] + node _T_329 = mux(_T_325, UInt<1>("h01"), _T_328) @[lib.scala 104:23] + _T_315[1] <= _T_329 @[lib.scala 104:17] + node _T_330 = bits(io.trigger_pkt_any[1].tdata2, 1, 0) @[lib.scala 104:28] + node _T_331 = andr(_T_330) @[lib.scala 104:36] + node _T_332 = and(_T_331, _T_318) @[lib.scala 104:41] + node _T_333 = bits(io.trigger_pkt_any[1].tdata2, 2, 2) @[lib.scala 104:74] + node _T_334 = bits(lsu_match_data_1, 2, 2) @[lib.scala 104:86] + node _T_335 = eq(_T_333, _T_334) @[lib.scala 104:78] + node _T_336 = mux(_T_332, UInt<1>("h01"), _T_335) @[lib.scala 104:23] + _T_315[2] <= _T_336 @[lib.scala 104:17] + node _T_337 = bits(io.trigger_pkt_any[1].tdata2, 2, 0) @[lib.scala 104:28] + node _T_338 = andr(_T_337) @[lib.scala 104:36] + node _T_339 = and(_T_338, _T_318) @[lib.scala 104:41] + node _T_340 = bits(io.trigger_pkt_any[1].tdata2, 3, 3) @[lib.scala 104:74] + node _T_341 = bits(lsu_match_data_1, 3, 3) @[lib.scala 104:86] + node _T_342 = eq(_T_340, _T_341) @[lib.scala 104:78] + node _T_343 = mux(_T_339, UInt<1>("h01"), _T_342) @[lib.scala 104:23] + _T_315[3] <= _T_343 @[lib.scala 104:17] + node _T_344 = bits(io.trigger_pkt_any[1].tdata2, 3, 0) @[lib.scala 104:28] + node _T_345 = andr(_T_344) @[lib.scala 104:36] + node _T_346 = and(_T_345, _T_318) @[lib.scala 104:41] + node _T_347 = bits(io.trigger_pkt_any[1].tdata2, 4, 4) @[lib.scala 104:74] + node _T_348 = bits(lsu_match_data_1, 4, 4) @[lib.scala 104:86] + node _T_349 = eq(_T_347, _T_348) @[lib.scala 104:78] + node _T_350 = mux(_T_346, UInt<1>("h01"), _T_349) @[lib.scala 104:23] + _T_315[4] <= _T_350 @[lib.scala 104:17] + node _T_351 = bits(io.trigger_pkt_any[1].tdata2, 4, 0) @[lib.scala 104:28] + node _T_352 = andr(_T_351) @[lib.scala 104:36] + node _T_353 = and(_T_352, _T_318) @[lib.scala 104:41] + node _T_354 = bits(io.trigger_pkt_any[1].tdata2, 5, 5) @[lib.scala 104:74] + node _T_355 = bits(lsu_match_data_1, 5, 5) @[lib.scala 104:86] + node _T_356 = eq(_T_354, _T_355) @[lib.scala 104:78] + node _T_357 = mux(_T_353, UInt<1>("h01"), _T_356) @[lib.scala 104:23] + _T_315[5] <= _T_357 @[lib.scala 104:17] + node _T_358 = bits(io.trigger_pkt_any[1].tdata2, 5, 0) @[lib.scala 104:28] + node _T_359 = andr(_T_358) @[lib.scala 104:36] + node _T_360 = and(_T_359, _T_318) @[lib.scala 104:41] + node _T_361 = bits(io.trigger_pkt_any[1].tdata2, 6, 6) @[lib.scala 104:74] + node _T_362 = bits(lsu_match_data_1, 6, 6) @[lib.scala 104:86] + node _T_363 = eq(_T_361, _T_362) @[lib.scala 104:78] + node _T_364 = mux(_T_360, UInt<1>("h01"), _T_363) @[lib.scala 104:23] + _T_315[6] <= _T_364 @[lib.scala 104:17] + node _T_365 = bits(io.trigger_pkt_any[1].tdata2, 6, 0) @[lib.scala 104:28] + node _T_366 = andr(_T_365) @[lib.scala 104:36] + node _T_367 = and(_T_366, _T_318) @[lib.scala 104:41] + node _T_368 = bits(io.trigger_pkt_any[1].tdata2, 7, 7) @[lib.scala 104:74] + node _T_369 = bits(lsu_match_data_1, 7, 7) @[lib.scala 104:86] + node _T_370 = eq(_T_368, _T_369) @[lib.scala 104:78] + node _T_371 = mux(_T_367, UInt<1>("h01"), _T_370) @[lib.scala 104:23] + _T_315[7] <= _T_371 @[lib.scala 104:17] + node _T_372 = bits(io.trigger_pkt_any[1].tdata2, 7, 0) @[lib.scala 104:28] + node _T_373 = andr(_T_372) @[lib.scala 104:36] + node _T_374 = and(_T_373, _T_318) @[lib.scala 104:41] + node _T_375 = bits(io.trigger_pkt_any[1].tdata2, 8, 8) @[lib.scala 104:74] + node _T_376 = bits(lsu_match_data_1, 8, 8) @[lib.scala 104:86] + node _T_377 = eq(_T_375, _T_376) @[lib.scala 104:78] + node _T_378 = mux(_T_374, UInt<1>("h01"), _T_377) @[lib.scala 104:23] + _T_315[8] <= _T_378 @[lib.scala 104:17] + node _T_379 = bits(io.trigger_pkt_any[1].tdata2, 8, 0) @[lib.scala 104:28] + node _T_380 = andr(_T_379) @[lib.scala 104:36] + node _T_381 = and(_T_380, _T_318) @[lib.scala 104:41] + node _T_382 = bits(io.trigger_pkt_any[1].tdata2, 9, 9) @[lib.scala 104:74] + node _T_383 = bits(lsu_match_data_1, 9, 9) @[lib.scala 104:86] + node _T_384 = eq(_T_382, _T_383) @[lib.scala 104:78] + node _T_385 = mux(_T_381, UInt<1>("h01"), _T_384) @[lib.scala 104:23] + _T_315[9] <= _T_385 @[lib.scala 104:17] + node _T_386 = bits(io.trigger_pkt_any[1].tdata2, 9, 0) @[lib.scala 104:28] + node _T_387 = andr(_T_386) @[lib.scala 104:36] + node _T_388 = and(_T_387, _T_318) @[lib.scala 104:41] + node _T_389 = bits(io.trigger_pkt_any[1].tdata2, 10, 10) @[lib.scala 104:74] + node _T_390 = bits(lsu_match_data_1, 10, 10) @[lib.scala 104:86] + node _T_391 = eq(_T_389, _T_390) @[lib.scala 104:78] + node _T_392 = mux(_T_388, UInt<1>("h01"), _T_391) @[lib.scala 104:23] + _T_315[10] <= _T_392 @[lib.scala 104:17] + node _T_393 = bits(io.trigger_pkt_any[1].tdata2, 10, 0) @[lib.scala 104:28] + node _T_394 = andr(_T_393) @[lib.scala 104:36] + node _T_395 = and(_T_394, _T_318) @[lib.scala 104:41] + node _T_396 = bits(io.trigger_pkt_any[1].tdata2, 11, 11) @[lib.scala 104:74] + node _T_397 = bits(lsu_match_data_1, 11, 11) @[lib.scala 104:86] + node _T_398 = eq(_T_396, _T_397) @[lib.scala 104:78] + node _T_399 = mux(_T_395, UInt<1>("h01"), _T_398) @[lib.scala 104:23] + _T_315[11] <= _T_399 @[lib.scala 104:17] + node _T_400 = bits(io.trigger_pkt_any[1].tdata2, 11, 0) @[lib.scala 104:28] + node _T_401 = andr(_T_400) @[lib.scala 104:36] + node _T_402 = and(_T_401, _T_318) @[lib.scala 104:41] + node _T_403 = bits(io.trigger_pkt_any[1].tdata2, 12, 12) @[lib.scala 104:74] + node _T_404 = bits(lsu_match_data_1, 12, 12) @[lib.scala 104:86] + node _T_405 = eq(_T_403, _T_404) @[lib.scala 104:78] + node _T_406 = mux(_T_402, UInt<1>("h01"), _T_405) @[lib.scala 104:23] + _T_315[12] <= _T_406 @[lib.scala 104:17] + node _T_407 = bits(io.trigger_pkt_any[1].tdata2, 12, 0) @[lib.scala 104:28] + node _T_408 = andr(_T_407) @[lib.scala 104:36] + node _T_409 = and(_T_408, _T_318) @[lib.scala 104:41] + node _T_410 = bits(io.trigger_pkt_any[1].tdata2, 13, 13) @[lib.scala 104:74] + node _T_411 = bits(lsu_match_data_1, 13, 13) @[lib.scala 104:86] + node _T_412 = eq(_T_410, _T_411) @[lib.scala 104:78] + node _T_413 = mux(_T_409, UInt<1>("h01"), _T_412) @[lib.scala 104:23] + _T_315[13] <= _T_413 @[lib.scala 104:17] + node _T_414 = bits(io.trigger_pkt_any[1].tdata2, 13, 0) @[lib.scala 104:28] + node _T_415 = andr(_T_414) @[lib.scala 104:36] + node _T_416 = and(_T_415, _T_318) @[lib.scala 104:41] + node _T_417 = bits(io.trigger_pkt_any[1].tdata2, 14, 14) @[lib.scala 104:74] + node _T_418 = bits(lsu_match_data_1, 14, 14) @[lib.scala 104:86] + node _T_419 = eq(_T_417, _T_418) @[lib.scala 104:78] + node _T_420 = mux(_T_416, UInt<1>("h01"), _T_419) @[lib.scala 104:23] + _T_315[14] <= _T_420 @[lib.scala 104:17] + node _T_421 = bits(io.trigger_pkt_any[1].tdata2, 14, 0) @[lib.scala 104:28] + node _T_422 = andr(_T_421) @[lib.scala 104:36] + node _T_423 = and(_T_422, _T_318) @[lib.scala 104:41] + node _T_424 = bits(io.trigger_pkt_any[1].tdata2, 15, 15) @[lib.scala 104:74] + node _T_425 = bits(lsu_match_data_1, 15, 15) @[lib.scala 104:86] + node _T_426 = eq(_T_424, _T_425) @[lib.scala 104:78] + node _T_427 = mux(_T_423, UInt<1>("h01"), _T_426) @[lib.scala 104:23] + _T_315[15] <= _T_427 @[lib.scala 104:17] + node _T_428 = bits(io.trigger_pkt_any[1].tdata2, 15, 0) @[lib.scala 104:28] + node _T_429 = andr(_T_428) @[lib.scala 104:36] + node _T_430 = and(_T_429, _T_318) @[lib.scala 104:41] + node _T_431 = bits(io.trigger_pkt_any[1].tdata2, 16, 16) @[lib.scala 104:74] + node _T_432 = bits(lsu_match_data_1, 16, 16) @[lib.scala 104:86] + node _T_433 = eq(_T_431, _T_432) @[lib.scala 104:78] + node _T_434 = mux(_T_430, UInt<1>("h01"), _T_433) @[lib.scala 104:23] + _T_315[16] <= _T_434 @[lib.scala 104:17] + node _T_435 = bits(io.trigger_pkt_any[1].tdata2, 16, 0) @[lib.scala 104:28] + node _T_436 = andr(_T_435) @[lib.scala 104:36] + node _T_437 = and(_T_436, _T_318) @[lib.scala 104:41] + node _T_438 = bits(io.trigger_pkt_any[1].tdata2, 17, 17) @[lib.scala 104:74] + node _T_439 = bits(lsu_match_data_1, 17, 17) @[lib.scala 104:86] + node _T_440 = eq(_T_438, _T_439) @[lib.scala 104:78] + node _T_441 = mux(_T_437, UInt<1>("h01"), _T_440) @[lib.scala 104:23] + _T_315[17] <= _T_441 @[lib.scala 104:17] + node _T_442 = bits(io.trigger_pkt_any[1].tdata2, 17, 0) @[lib.scala 104:28] + node _T_443 = andr(_T_442) @[lib.scala 104:36] + node _T_444 = and(_T_443, _T_318) @[lib.scala 104:41] + node _T_445 = bits(io.trigger_pkt_any[1].tdata2, 18, 18) @[lib.scala 104:74] + node _T_446 = bits(lsu_match_data_1, 18, 18) @[lib.scala 104:86] + node _T_447 = eq(_T_445, _T_446) @[lib.scala 104:78] + node _T_448 = mux(_T_444, UInt<1>("h01"), _T_447) @[lib.scala 104:23] + _T_315[18] <= _T_448 @[lib.scala 104:17] + node _T_449 = bits(io.trigger_pkt_any[1].tdata2, 18, 0) @[lib.scala 104:28] + node _T_450 = andr(_T_449) @[lib.scala 104:36] + node _T_451 = and(_T_450, _T_318) @[lib.scala 104:41] + node _T_452 = bits(io.trigger_pkt_any[1].tdata2, 19, 19) @[lib.scala 104:74] + node _T_453 = bits(lsu_match_data_1, 19, 19) @[lib.scala 104:86] + node _T_454 = eq(_T_452, _T_453) @[lib.scala 104:78] + node _T_455 = mux(_T_451, UInt<1>("h01"), _T_454) @[lib.scala 104:23] + _T_315[19] <= _T_455 @[lib.scala 104:17] + node _T_456 = bits(io.trigger_pkt_any[1].tdata2, 19, 0) @[lib.scala 104:28] + node _T_457 = andr(_T_456) @[lib.scala 104:36] + node _T_458 = and(_T_457, _T_318) @[lib.scala 104:41] + node _T_459 = bits(io.trigger_pkt_any[1].tdata2, 20, 20) @[lib.scala 104:74] + node _T_460 = bits(lsu_match_data_1, 20, 20) @[lib.scala 104:86] + node _T_461 = eq(_T_459, _T_460) @[lib.scala 104:78] + node _T_462 = mux(_T_458, UInt<1>("h01"), _T_461) @[lib.scala 104:23] + _T_315[20] <= _T_462 @[lib.scala 104:17] + node _T_463 = bits(io.trigger_pkt_any[1].tdata2, 20, 0) @[lib.scala 104:28] + node _T_464 = andr(_T_463) @[lib.scala 104:36] + node _T_465 = and(_T_464, _T_318) @[lib.scala 104:41] + node _T_466 = bits(io.trigger_pkt_any[1].tdata2, 21, 21) @[lib.scala 104:74] + node _T_467 = bits(lsu_match_data_1, 21, 21) @[lib.scala 104:86] + node _T_468 = eq(_T_466, _T_467) @[lib.scala 104:78] + node _T_469 = mux(_T_465, UInt<1>("h01"), _T_468) @[lib.scala 104:23] + _T_315[21] <= _T_469 @[lib.scala 104:17] + node _T_470 = bits(io.trigger_pkt_any[1].tdata2, 21, 0) @[lib.scala 104:28] + node _T_471 = andr(_T_470) @[lib.scala 104:36] + node _T_472 = and(_T_471, _T_318) @[lib.scala 104:41] + node _T_473 = bits(io.trigger_pkt_any[1].tdata2, 22, 22) @[lib.scala 104:74] + node _T_474 = bits(lsu_match_data_1, 22, 22) @[lib.scala 104:86] + node _T_475 = eq(_T_473, _T_474) @[lib.scala 104:78] + node _T_476 = mux(_T_472, UInt<1>("h01"), _T_475) @[lib.scala 104:23] + _T_315[22] <= _T_476 @[lib.scala 104:17] + node _T_477 = bits(io.trigger_pkt_any[1].tdata2, 22, 0) @[lib.scala 104:28] + node _T_478 = andr(_T_477) @[lib.scala 104:36] + node _T_479 = and(_T_478, _T_318) @[lib.scala 104:41] + node _T_480 = bits(io.trigger_pkt_any[1].tdata2, 23, 23) @[lib.scala 104:74] + node _T_481 = bits(lsu_match_data_1, 23, 23) @[lib.scala 104:86] + node _T_482 = eq(_T_480, _T_481) @[lib.scala 104:78] + node _T_483 = mux(_T_479, UInt<1>("h01"), _T_482) @[lib.scala 104:23] + _T_315[23] <= _T_483 @[lib.scala 104:17] + node _T_484 = bits(io.trigger_pkt_any[1].tdata2, 23, 0) @[lib.scala 104:28] + node _T_485 = andr(_T_484) @[lib.scala 104:36] + node _T_486 = and(_T_485, _T_318) @[lib.scala 104:41] + node _T_487 = bits(io.trigger_pkt_any[1].tdata2, 24, 24) @[lib.scala 104:74] + node _T_488 = bits(lsu_match_data_1, 24, 24) @[lib.scala 104:86] + node _T_489 = eq(_T_487, _T_488) @[lib.scala 104:78] + node _T_490 = mux(_T_486, UInt<1>("h01"), _T_489) @[lib.scala 104:23] + _T_315[24] <= _T_490 @[lib.scala 104:17] + node _T_491 = bits(io.trigger_pkt_any[1].tdata2, 24, 0) @[lib.scala 104:28] + node _T_492 = andr(_T_491) @[lib.scala 104:36] + node _T_493 = and(_T_492, _T_318) @[lib.scala 104:41] + node _T_494 = bits(io.trigger_pkt_any[1].tdata2, 25, 25) @[lib.scala 104:74] + node _T_495 = bits(lsu_match_data_1, 25, 25) @[lib.scala 104:86] + node _T_496 = eq(_T_494, _T_495) @[lib.scala 104:78] + node _T_497 = mux(_T_493, UInt<1>("h01"), _T_496) @[lib.scala 104:23] + _T_315[25] <= _T_497 @[lib.scala 104:17] + node _T_498 = bits(io.trigger_pkt_any[1].tdata2, 25, 0) @[lib.scala 104:28] + node _T_499 = andr(_T_498) @[lib.scala 104:36] + node _T_500 = and(_T_499, _T_318) @[lib.scala 104:41] + node _T_501 = bits(io.trigger_pkt_any[1].tdata2, 26, 26) @[lib.scala 104:74] + node _T_502 = bits(lsu_match_data_1, 26, 26) @[lib.scala 104:86] + node _T_503 = eq(_T_501, _T_502) @[lib.scala 104:78] + node _T_504 = mux(_T_500, UInt<1>("h01"), _T_503) @[lib.scala 104:23] + _T_315[26] <= _T_504 @[lib.scala 104:17] + node _T_505 = bits(io.trigger_pkt_any[1].tdata2, 26, 0) @[lib.scala 104:28] + node _T_506 = andr(_T_505) @[lib.scala 104:36] + node _T_507 = and(_T_506, _T_318) @[lib.scala 104:41] + node _T_508 = bits(io.trigger_pkt_any[1].tdata2, 27, 27) @[lib.scala 104:74] + node _T_509 = bits(lsu_match_data_1, 27, 27) @[lib.scala 104:86] + node _T_510 = eq(_T_508, _T_509) @[lib.scala 104:78] + node _T_511 = mux(_T_507, UInt<1>("h01"), _T_510) @[lib.scala 104:23] + _T_315[27] <= _T_511 @[lib.scala 104:17] + node _T_512 = bits(io.trigger_pkt_any[1].tdata2, 27, 0) @[lib.scala 104:28] + node _T_513 = andr(_T_512) @[lib.scala 104:36] + node _T_514 = and(_T_513, _T_318) @[lib.scala 104:41] + node _T_515 = bits(io.trigger_pkt_any[1].tdata2, 28, 28) @[lib.scala 104:74] + node _T_516 = bits(lsu_match_data_1, 28, 28) @[lib.scala 104:86] + node _T_517 = eq(_T_515, _T_516) @[lib.scala 104:78] + node _T_518 = mux(_T_514, UInt<1>("h01"), _T_517) @[lib.scala 104:23] + _T_315[28] <= _T_518 @[lib.scala 104:17] + node _T_519 = bits(io.trigger_pkt_any[1].tdata2, 28, 0) @[lib.scala 104:28] + node _T_520 = andr(_T_519) @[lib.scala 104:36] + node _T_521 = and(_T_520, _T_318) @[lib.scala 104:41] + node _T_522 = bits(io.trigger_pkt_any[1].tdata2, 29, 29) @[lib.scala 104:74] + node _T_523 = bits(lsu_match_data_1, 29, 29) @[lib.scala 104:86] + node _T_524 = eq(_T_522, _T_523) @[lib.scala 104:78] + node _T_525 = mux(_T_521, UInt<1>("h01"), _T_524) @[lib.scala 104:23] + _T_315[29] <= _T_525 @[lib.scala 104:17] + node _T_526 = bits(io.trigger_pkt_any[1].tdata2, 29, 0) @[lib.scala 104:28] + node _T_527 = andr(_T_526) @[lib.scala 104:36] + node _T_528 = and(_T_527, _T_318) @[lib.scala 104:41] + node _T_529 = bits(io.trigger_pkt_any[1].tdata2, 30, 30) @[lib.scala 104:74] + node _T_530 = bits(lsu_match_data_1, 30, 30) @[lib.scala 104:86] + node _T_531 = eq(_T_529, _T_530) @[lib.scala 104:78] + node _T_532 = mux(_T_528, UInt<1>("h01"), _T_531) @[lib.scala 104:23] + _T_315[30] <= _T_532 @[lib.scala 104:17] + node _T_533 = bits(io.trigger_pkt_any[1].tdata2, 30, 0) @[lib.scala 104:28] + node _T_534 = andr(_T_533) @[lib.scala 104:36] + node _T_535 = and(_T_534, _T_318) @[lib.scala 104:41] + node _T_536 = bits(io.trigger_pkt_any[1].tdata2, 31, 31) @[lib.scala 104:74] + node _T_537 = bits(lsu_match_data_1, 31, 31) @[lib.scala 104:86] + node _T_538 = eq(_T_536, _T_537) @[lib.scala 104:78] + node _T_539 = mux(_T_535, UInt<1>("h01"), _T_538) @[lib.scala 104:23] + _T_315[31] <= _T_539 @[lib.scala 104:17] + node _T_540 = cat(_T_315[1], _T_315[0]) @[lib.scala 105:14] + node _T_541 = cat(_T_315[3], _T_315[2]) @[lib.scala 105:14] + node _T_542 = cat(_T_541, _T_540) @[lib.scala 105:14] + node _T_543 = cat(_T_315[5], _T_315[4]) @[lib.scala 105:14] + node _T_544 = cat(_T_315[7], _T_315[6]) @[lib.scala 105:14] + node _T_545 = cat(_T_544, _T_543) @[lib.scala 105:14] + node _T_546 = cat(_T_545, _T_542) @[lib.scala 105:14] + node _T_547 = cat(_T_315[9], _T_315[8]) @[lib.scala 105:14] + node _T_548 = cat(_T_315[11], _T_315[10]) @[lib.scala 105:14] + node _T_549 = cat(_T_548, _T_547) @[lib.scala 105:14] + node _T_550 = cat(_T_315[13], _T_315[12]) @[lib.scala 105:14] + node _T_551 = cat(_T_315[15], _T_315[14]) @[lib.scala 105:14] + node _T_552 = cat(_T_551, _T_550) @[lib.scala 105:14] + node _T_553 = cat(_T_552, _T_549) @[lib.scala 105:14] + node _T_554 = cat(_T_553, _T_546) @[lib.scala 105:14] + node _T_555 = cat(_T_315[17], _T_315[16]) @[lib.scala 105:14] + node _T_556 = cat(_T_315[19], _T_315[18]) @[lib.scala 105:14] + node _T_557 = cat(_T_556, _T_555) @[lib.scala 105:14] + node _T_558 = cat(_T_315[21], _T_315[20]) @[lib.scala 105:14] + node _T_559 = cat(_T_315[23], _T_315[22]) @[lib.scala 105:14] + node _T_560 = cat(_T_559, _T_558) @[lib.scala 105:14] + node _T_561 = cat(_T_560, _T_557) @[lib.scala 105:14] + node _T_562 = cat(_T_315[25], _T_315[24]) @[lib.scala 105:14] + node _T_563 = cat(_T_315[27], _T_315[26]) @[lib.scala 105:14] + node _T_564 = cat(_T_563, _T_562) @[lib.scala 105:14] + node _T_565 = cat(_T_315[29], _T_315[28]) @[lib.scala 105:14] + node _T_566 = cat(_T_315[31], _T_315[30]) @[lib.scala 105:14] + node _T_567 = cat(_T_566, _T_565) @[lib.scala 105:14] + node _T_568 = cat(_T_567, _T_564) @[lib.scala 105:14] + node _T_569 = cat(_T_568, _T_561) @[lib.scala 105:14] + node _T_570 = cat(_T_569, _T_554) @[lib.scala 105:14] + node _T_571 = andr(_T_570) @[lib.scala 105:25] + node _T_572 = and(_T_313, _T_571) @[lsu_trigger.scala 19:92] + node _T_573 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_trigger.scala 18:71] + node _T_574 = and(io.lsu_pkt_m.valid, _T_573) @[lsu_trigger.scala 18:69] + node _T_575 = and(io.trigger_pkt_any[2].store, io.lsu_pkt_m.bits.store) @[lsu_trigger.scala 18:126] + node _T_576 = and(io.trigger_pkt_any[2].load, io.lsu_pkt_m.bits.load) @[lsu_trigger.scala 19:33] + node _T_577 = eq(io.trigger_pkt_any[2].select, UInt<1>("h00")) @[lsu_trigger.scala 19:60] + node _T_578 = and(_T_576, _T_577) @[lsu_trigger.scala 19:58] + node _T_579 = or(_T_575, _T_578) @[lsu_trigger.scala 18:152] + node _T_580 = and(_T_574, _T_579) @[lsu_trigger.scala 18:94] + node _T_581 = bits(io.trigger_pkt_any[2].match_pkt, 0, 0) @[lsu_trigger.scala 20:107] + wire _T_582 : UInt<1>[32] @[lib.scala 100:24] + node _T_583 = andr(io.trigger_pkt_any[2].tdata2) @[lib.scala 101:45] + node _T_584 = not(_T_583) @[lib.scala 101:39] + node _T_585 = and(_T_581, _T_584) @[lib.scala 101:37] + node _T_586 = bits(io.trigger_pkt_any[2].tdata2, 0, 0) @[lib.scala 102:48] + node _T_587 = bits(lsu_match_data_2, 0, 0) @[lib.scala 102:60] + node _T_588 = eq(_T_586, _T_587) @[lib.scala 102:52] + node _T_589 = or(_T_585, _T_588) @[lib.scala 102:41] + _T_582[0] <= _T_589 @[lib.scala 102:18] + node _T_590 = bits(io.trigger_pkt_any[2].tdata2, 0, 0) @[lib.scala 104:28] + node _T_591 = andr(_T_590) @[lib.scala 104:36] + node _T_592 = and(_T_591, _T_585) @[lib.scala 104:41] + node _T_593 = bits(io.trigger_pkt_any[2].tdata2, 1, 1) @[lib.scala 104:74] + node _T_594 = bits(lsu_match_data_2, 1, 1) @[lib.scala 104:86] + node _T_595 = eq(_T_593, _T_594) @[lib.scala 104:78] + node _T_596 = mux(_T_592, UInt<1>("h01"), _T_595) @[lib.scala 104:23] + _T_582[1] <= _T_596 @[lib.scala 104:17] + node _T_597 = bits(io.trigger_pkt_any[2].tdata2, 1, 0) @[lib.scala 104:28] + node _T_598 = andr(_T_597) @[lib.scala 104:36] + node _T_599 = and(_T_598, _T_585) @[lib.scala 104:41] + node _T_600 = bits(io.trigger_pkt_any[2].tdata2, 2, 2) @[lib.scala 104:74] + node _T_601 = bits(lsu_match_data_2, 2, 2) @[lib.scala 104:86] + node _T_602 = eq(_T_600, _T_601) @[lib.scala 104:78] + node _T_603 = mux(_T_599, UInt<1>("h01"), _T_602) @[lib.scala 104:23] + _T_582[2] <= _T_603 @[lib.scala 104:17] + node _T_604 = bits(io.trigger_pkt_any[2].tdata2, 2, 0) @[lib.scala 104:28] + node _T_605 = andr(_T_604) @[lib.scala 104:36] + node _T_606 = and(_T_605, _T_585) @[lib.scala 104:41] + node _T_607 = bits(io.trigger_pkt_any[2].tdata2, 3, 3) @[lib.scala 104:74] + node _T_608 = bits(lsu_match_data_2, 3, 3) @[lib.scala 104:86] + node _T_609 = eq(_T_607, _T_608) @[lib.scala 104:78] + node _T_610 = mux(_T_606, UInt<1>("h01"), _T_609) @[lib.scala 104:23] + _T_582[3] <= _T_610 @[lib.scala 104:17] + node _T_611 = bits(io.trigger_pkt_any[2].tdata2, 3, 0) @[lib.scala 104:28] + node _T_612 = andr(_T_611) @[lib.scala 104:36] + node _T_613 = and(_T_612, _T_585) @[lib.scala 104:41] + node _T_614 = bits(io.trigger_pkt_any[2].tdata2, 4, 4) @[lib.scala 104:74] + node _T_615 = bits(lsu_match_data_2, 4, 4) @[lib.scala 104:86] + node _T_616 = eq(_T_614, _T_615) @[lib.scala 104:78] + node _T_617 = mux(_T_613, UInt<1>("h01"), _T_616) @[lib.scala 104:23] + _T_582[4] <= _T_617 @[lib.scala 104:17] + node _T_618 = bits(io.trigger_pkt_any[2].tdata2, 4, 0) @[lib.scala 104:28] + node _T_619 = andr(_T_618) @[lib.scala 104:36] + node _T_620 = and(_T_619, _T_585) @[lib.scala 104:41] + node _T_621 = bits(io.trigger_pkt_any[2].tdata2, 5, 5) @[lib.scala 104:74] + node _T_622 = bits(lsu_match_data_2, 5, 5) @[lib.scala 104:86] + node _T_623 = eq(_T_621, _T_622) @[lib.scala 104:78] + node _T_624 = mux(_T_620, UInt<1>("h01"), _T_623) @[lib.scala 104:23] + _T_582[5] <= _T_624 @[lib.scala 104:17] + node _T_625 = bits(io.trigger_pkt_any[2].tdata2, 5, 0) @[lib.scala 104:28] + node _T_626 = andr(_T_625) @[lib.scala 104:36] + node _T_627 = and(_T_626, _T_585) @[lib.scala 104:41] + node _T_628 = bits(io.trigger_pkt_any[2].tdata2, 6, 6) @[lib.scala 104:74] + node _T_629 = bits(lsu_match_data_2, 6, 6) @[lib.scala 104:86] + node _T_630 = eq(_T_628, _T_629) @[lib.scala 104:78] + node _T_631 = mux(_T_627, UInt<1>("h01"), _T_630) @[lib.scala 104:23] + _T_582[6] <= _T_631 @[lib.scala 104:17] + node _T_632 = bits(io.trigger_pkt_any[2].tdata2, 6, 0) @[lib.scala 104:28] + node _T_633 = andr(_T_632) @[lib.scala 104:36] + node _T_634 = and(_T_633, _T_585) @[lib.scala 104:41] + node _T_635 = bits(io.trigger_pkt_any[2].tdata2, 7, 7) @[lib.scala 104:74] + node _T_636 = bits(lsu_match_data_2, 7, 7) @[lib.scala 104:86] + node _T_637 = eq(_T_635, _T_636) @[lib.scala 104:78] + node _T_638 = mux(_T_634, UInt<1>("h01"), _T_637) @[lib.scala 104:23] + _T_582[7] <= _T_638 @[lib.scala 104:17] + node _T_639 = bits(io.trigger_pkt_any[2].tdata2, 7, 0) @[lib.scala 104:28] + node _T_640 = andr(_T_639) @[lib.scala 104:36] + node _T_641 = and(_T_640, _T_585) @[lib.scala 104:41] + node _T_642 = bits(io.trigger_pkt_any[2].tdata2, 8, 8) @[lib.scala 104:74] + node _T_643 = bits(lsu_match_data_2, 8, 8) @[lib.scala 104:86] + node _T_644 = eq(_T_642, _T_643) @[lib.scala 104:78] + node _T_645 = mux(_T_641, UInt<1>("h01"), _T_644) @[lib.scala 104:23] + _T_582[8] <= _T_645 @[lib.scala 104:17] + node _T_646 = bits(io.trigger_pkt_any[2].tdata2, 8, 0) @[lib.scala 104:28] + node _T_647 = andr(_T_646) @[lib.scala 104:36] + node _T_648 = and(_T_647, _T_585) @[lib.scala 104:41] + node _T_649 = bits(io.trigger_pkt_any[2].tdata2, 9, 9) @[lib.scala 104:74] + node _T_650 = bits(lsu_match_data_2, 9, 9) @[lib.scala 104:86] + node _T_651 = eq(_T_649, _T_650) @[lib.scala 104:78] + node _T_652 = mux(_T_648, UInt<1>("h01"), _T_651) @[lib.scala 104:23] + _T_582[9] <= _T_652 @[lib.scala 104:17] + node _T_653 = bits(io.trigger_pkt_any[2].tdata2, 9, 0) @[lib.scala 104:28] + node _T_654 = andr(_T_653) @[lib.scala 104:36] + node _T_655 = and(_T_654, _T_585) @[lib.scala 104:41] + node _T_656 = bits(io.trigger_pkt_any[2].tdata2, 10, 10) @[lib.scala 104:74] + node _T_657 = bits(lsu_match_data_2, 10, 10) @[lib.scala 104:86] + node _T_658 = eq(_T_656, _T_657) @[lib.scala 104:78] + node _T_659 = mux(_T_655, UInt<1>("h01"), _T_658) @[lib.scala 104:23] + _T_582[10] <= _T_659 @[lib.scala 104:17] + node _T_660 = bits(io.trigger_pkt_any[2].tdata2, 10, 0) @[lib.scala 104:28] + node _T_661 = andr(_T_660) @[lib.scala 104:36] + node _T_662 = and(_T_661, _T_585) @[lib.scala 104:41] + node _T_663 = bits(io.trigger_pkt_any[2].tdata2, 11, 11) @[lib.scala 104:74] + node _T_664 = bits(lsu_match_data_2, 11, 11) @[lib.scala 104:86] + node _T_665 = eq(_T_663, _T_664) @[lib.scala 104:78] + node _T_666 = mux(_T_662, UInt<1>("h01"), _T_665) @[lib.scala 104:23] + _T_582[11] <= _T_666 @[lib.scala 104:17] + node _T_667 = bits(io.trigger_pkt_any[2].tdata2, 11, 0) @[lib.scala 104:28] + node _T_668 = andr(_T_667) @[lib.scala 104:36] + node _T_669 = and(_T_668, _T_585) @[lib.scala 104:41] + node _T_670 = bits(io.trigger_pkt_any[2].tdata2, 12, 12) @[lib.scala 104:74] + node _T_671 = bits(lsu_match_data_2, 12, 12) @[lib.scala 104:86] + node _T_672 = eq(_T_670, _T_671) @[lib.scala 104:78] + node _T_673 = mux(_T_669, UInt<1>("h01"), _T_672) @[lib.scala 104:23] + _T_582[12] <= _T_673 @[lib.scala 104:17] + node _T_674 = bits(io.trigger_pkt_any[2].tdata2, 12, 0) @[lib.scala 104:28] + node _T_675 = andr(_T_674) @[lib.scala 104:36] + node _T_676 = and(_T_675, _T_585) @[lib.scala 104:41] + node _T_677 = bits(io.trigger_pkt_any[2].tdata2, 13, 13) @[lib.scala 104:74] + node _T_678 = bits(lsu_match_data_2, 13, 13) @[lib.scala 104:86] + node _T_679 = eq(_T_677, _T_678) @[lib.scala 104:78] + node _T_680 = mux(_T_676, UInt<1>("h01"), _T_679) @[lib.scala 104:23] + _T_582[13] <= _T_680 @[lib.scala 104:17] + node _T_681 = bits(io.trigger_pkt_any[2].tdata2, 13, 0) @[lib.scala 104:28] + node _T_682 = andr(_T_681) @[lib.scala 104:36] + node _T_683 = and(_T_682, _T_585) @[lib.scala 104:41] + node _T_684 = bits(io.trigger_pkt_any[2].tdata2, 14, 14) @[lib.scala 104:74] + node _T_685 = bits(lsu_match_data_2, 14, 14) @[lib.scala 104:86] + node _T_686 = eq(_T_684, _T_685) @[lib.scala 104:78] + node _T_687 = mux(_T_683, UInt<1>("h01"), _T_686) @[lib.scala 104:23] + _T_582[14] <= _T_687 @[lib.scala 104:17] + node _T_688 = bits(io.trigger_pkt_any[2].tdata2, 14, 0) @[lib.scala 104:28] + node _T_689 = andr(_T_688) @[lib.scala 104:36] + node _T_690 = and(_T_689, _T_585) @[lib.scala 104:41] + node _T_691 = bits(io.trigger_pkt_any[2].tdata2, 15, 15) @[lib.scala 104:74] + node _T_692 = bits(lsu_match_data_2, 15, 15) @[lib.scala 104:86] + node _T_693 = eq(_T_691, _T_692) @[lib.scala 104:78] + node _T_694 = mux(_T_690, UInt<1>("h01"), _T_693) @[lib.scala 104:23] + _T_582[15] <= _T_694 @[lib.scala 104:17] + node _T_695 = bits(io.trigger_pkt_any[2].tdata2, 15, 0) @[lib.scala 104:28] + node _T_696 = andr(_T_695) @[lib.scala 104:36] + node _T_697 = and(_T_696, _T_585) @[lib.scala 104:41] + node _T_698 = bits(io.trigger_pkt_any[2].tdata2, 16, 16) @[lib.scala 104:74] + node _T_699 = bits(lsu_match_data_2, 16, 16) @[lib.scala 104:86] + node _T_700 = eq(_T_698, _T_699) @[lib.scala 104:78] + node _T_701 = mux(_T_697, UInt<1>("h01"), _T_700) @[lib.scala 104:23] + _T_582[16] <= _T_701 @[lib.scala 104:17] + node _T_702 = bits(io.trigger_pkt_any[2].tdata2, 16, 0) @[lib.scala 104:28] + node _T_703 = andr(_T_702) @[lib.scala 104:36] + node _T_704 = and(_T_703, _T_585) @[lib.scala 104:41] + node _T_705 = bits(io.trigger_pkt_any[2].tdata2, 17, 17) @[lib.scala 104:74] + node _T_706 = bits(lsu_match_data_2, 17, 17) @[lib.scala 104:86] + node _T_707 = eq(_T_705, _T_706) @[lib.scala 104:78] + node _T_708 = mux(_T_704, UInt<1>("h01"), _T_707) @[lib.scala 104:23] + _T_582[17] <= _T_708 @[lib.scala 104:17] + node _T_709 = bits(io.trigger_pkt_any[2].tdata2, 17, 0) @[lib.scala 104:28] + node _T_710 = andr(_T_709) @[lib.scala 104:36] + node _T_711 = and(_T_710, _T_585) @[lib.scala 104:41] + node _T_712 = bits(io.trigger_pkt_any[2].tdata2, 18, 18) @[lib.scala 104:74] + node _T_713 = bits(lsu_match_data_2, 18, 18) @[lib.scala 104:86] + node _T_714 = eq(_T_712, _T_713) @[lib.scala 104:78] + node _T_715 = mux(_T_711, UInt<1>("h01"), _T_714) @[lib.scala 104:23] + _T_582[18] <= _T_715 @[lib.scala 104:17] + node _T_716 = bits(io.trigger_pkt_any[2].tdata2, 18, 0) @[lib.scala 104:28] + node _T_717 = andr(_T_716) @[lib.scala 104:36] + node _T_718 = and(_T_717, _T_585) @[lib.scala 104:41] + node _T_719 = bits(io.trigger_pkt_any[2].tdata2, 19, 19) @[lib.scala 104:74] + node _T_720 = bits(lsu_match_data_2, 19, 19) @[lib.scala 104:86] + node _T_721 = eq(_T_719, _T_720) @[lib.scala 104:78] + node _T_722 = mux(_T_718, UInt<1>("h01"), _T_721) @[lib.scala 104:23] + _T_582[19] <= _T_722 @[lib.scala 104:17] + node _T_723 = bits(io.trigger_pkt_any[2].tdata2, 19, 0) @[lib.scala 104:28] + node _T_724 = andr(_T_723) @[lib.scala 104:36] + node _T_725 = and(_T_724, _T_585) @[lib.scala 104:41] + node _T_726 = bits(io.trigger_pkt_any[2].tdata2, 20, 20) @[lib.scala 104:74] + node _T_727 = bits(lsu_match_data_2, 20, 20) @[lib.scala 104:86] + node _T_728 = eq(_T_726, _T_727) @[lib.scala 104:78] + node _T_729 = mux(_T_725, UInt<1>("h01"), _T_728) @[lib.scala 104:23] + _T_582[20] <= _T_729 @[lib.scala 104:17] + node _T_730 = bits(io.trigger_pkt_any[2].tdata2, 20, 0) @[lib.scala 104:28] + node _T_731 = andr(_T_730) @[lib.scala 104:36] + node _T_732 = and(_T_731, _T_585) @[lib.scala 104:41] + node _T_733 = bits(io.trigger_pkt_any[2].tdata2, 21, 21) @[lib.scala 104:74] + node _T_734 = bits(lsu_match_data_2, 21, 21) @[lib.scala 104:86] + node _T_735 = eq(_T_733, _T_734) @[lib.scala 104:78] + node _T_736 = mux(_T_732, UInt<1>("h01"), _T_735) @[lib.scala 104:23] + _T_582[21] <= _T_736 @[lib.scala 104:17] + node _T_737 = bits(io.trigger_pkt_any[2].tdata2, 21, 0) @[lib.scala 104:28] + node _T_738 = andr(_T_737) @[lib.scala 104:36] + node _T_739 = and(_T_738, _T_585) @[lib.scala 104:41] + node _T_740 = bits(io.trigger_pkt_any[2].tdata2, 22, 22) @[lib.scala 104:74] + node _T_741 = bits(lsu_match_data_2, 22, 22) @[lib.scala 104:86] + node _T_742 = eq(_T_740, _T_741) @[lib.scala 104:78] + node _T_743 = mux(_T_739, UInt<1>("h01"), _T_742) @[lib.scala 104:23] + _T_582[22] <= _T_743 @[lib.scala 104:17] + node _T_744 = bits(io.trigger_pkt_any[2].tdata2, 22, 0) @[lib.scala 104:28] + node _T_745 = andr(_T_744) @[lib.scala 104:36] + node _T_746 = and(_T_745, _T_585) @[lib.scala 104:41] + node _T_747 = bits(io.trigger_pkt_any[2].tdata2, 23, 23) @[lib.scala 104:74] + node _T_748 = bits(lsu_match_data_2, 23, 23) @[lib.scala 104:86] + node _T_749 = eq(_T_747, _T_748) @[lib.scala 104:78] + node _T_750 = mux(_T_746, UInt<1>("h01"), _T_749) @[lib.scala 104:23] + _T_582[23] <= _T_750 @[lib.scala 104:17] + node _T_751 = bits(io.trigger_pkt_any[2].tdata2, 23, 0) @[lib.scala 104:28] + node _T_752 = andr(_T_751) @[lib.scala 104:36] + node _T_753 = and(_T_752, _T_585) @[lib.scala 104:41] + node _T_754 = bits(io.trigger_pkt_any[2].tdata2, 24, 24) @[lib.scala 104:74] + node _T_755 = bits(lsu_match_data_2, 24, 24) @[lib.scala 104:86] + node _T_756 = eq(_T_754, _T_755) @[lib.scala 104:78] + node _T_757 = mux(_T_753, UInt<1>("h01"), _T_756) @[lib.scala 104:23] + _T_582[24] <= _T_757 @[lib.scala 104:17] + node _T_758 = bits(io.trigger_pkt_any[2].tdata2, 24, 0) @[lib.scala 104:28] + node _T_759 = andr(_T_758) @[lib.scala 104:36] + node _T_760 = and(_T_759, _T_585) @[lib.scala 104:41] + node _T_761 = bits(io.trigger_pkt_any[2].tdata2, 25, 25) @[lib.scala 104:74] + node _T_762 = bits(lsu_match_data_2, 25, 25) @[lib.scala 104:86] + node _T_763 = eq(_T_761, _T_762) @[lib.scala 104:78] + node _T_764 = mux(_T_760, UInt<1>("h01"), _T_763) @[lib.scala 104:23] + _T_582[25] <= _T_764 @[lib.scala 104:17] + node _T_765 = bits(io.trigger_pkt_any[2].tdata2, 25, 0) @[lib.scala 104:28] + node _T_766 = andr(_T_765) @[lib.scala 104:36] + node _T_767 = and(_T_766, _T_585) @[lib.scala 104:41] + node _T_768 = bits(io.trigger_pkt_any[2].tdata2, 26, 26) @[lib.scala 104:74] + node _T_769 = bits(lsu_match_data_2, 26, 26) @[lib.scala 104:86] + node _T_770 = eq(_T_768, _T_769) @[lib.scala 104:78] + node _T_771 = mux(_T_767, UInt<1>("h01"), _T_770) @[lib.scala 104:23] + _T_582[26] <= _T_771 @[lib.scala 104:17] + node _T_772 = bits(io.trigger_pkt_any[2].tdata2, 26, 0) @[lib.scala 104:28] + node _T_773 = andr(_T_772) @[lib.scala 104:36] + node _T_774 = and(_T_773, _T_585) @[lib.scala 104:41] + node _T_775 = bits(io.trigger_pkt_any[2].tdata2, 27, 27) @[lib.scala 104:74] + node _T_776 = bits(lsu_match_data_2, 27, 27) @[lib.scala 104:86] + node _T_777 = eq(_T_775, _T_776) @[lib.scala 104:78] + node _T_778 = mux(_T_774, UInt<1>("h01"), _T_777) @[lib.scala 104:23] + _T_582[27] <= _T_778 @[lib.scala 104:17] + node _T_779 = bits(io.trigger_pkt_any[2].tdata2, 27, 0) @[lib.scala 104:28] + node _T_780 = andr(_T_779) @[lib.scala 104:36] + node _T_781 = and(_T_780, _T_585) @[lib.scala 104:41] + node _T_782 = bits(io.trigger_pkt_any[2].tdata2, 28, 28) @[lib.scala 104:74] + node _T_783 = bits(lsu_match_data_2, 28, 28) @[lib.scala 104:86] + node _T_784 = eq(_T_782, _T_783) @[lib.scala 104:78] + node _T_785 = mux(_T_781, UInt<1>("h01"), _T_784) @[lib.scala 104:23] + _T_582[28] <= _T_785 @[lib.scala 104:17] + node _T_786 = bits(io.trigger_pkt_any[2].tdata2, 28, 0) @[lib.scala 104:28] + node _T_787 = andr(_T_786) @[lib.scala 104:36] + node _T_788 = and(_T_787, _T_585) @[lib.scala 104:41] + node _T_789 = bits(io.trigger_pkt_any[2].tdata2, 29, 29) @[lib.scala 104:74] + node _T_790 = bits(lsu_match_data_2, 29, 29) @[lib.scala 104:86] + node _T_791 = eq(_T_789, _T_790) @[lib.scala 104:78] + node _T_792 = mux(_T_788, UInt<1>("h01"), _T_791) @[lib.scala 104:23] + _T_582[29] <= _T_792 @[lib.scala 104:17] + node _T_793 = bits(io.trigger_pkt_any[2].tdata2, 29, 0) @[lib.scala 104:28] + node _T_794 = andr(_T_793) @[lib.scala 104:36] + node _T_795 = and(_T_794, _T_585) @[lib.scala 104:41] + node _T_796 = bits(io.trigger_pkt_any[2].tdata2, 30, 30) @[lib.scala 104:74] + node _T_797 = bits(lsu_match_data_2, 30, 30) @[lib.scala 104:86] + node _T_798 = eq(_T_796, _T_797) @[lib.scala 104:78] + node _T_799 = mux(_T_795, UInt<1>("h01"), _T_798) @[lib.scala 104:23] + _T_582[30] <= _T_799 @[lib.scala 104:17] + node _T_800 = bits(io.trigger_pkt_any[2].tdata2, 30, 0) @[lib.scala 104:28] + node _T_801 = andr(_T_800) @[lib.scala 104:36] + node _T_802 = and(_T_801, _T_585) @[lib.scala 104:41] + node _T_803 = bits(io.trigger_pkt_any[2].tdata2, 31, 31) @[lib.scala 104:74] + node _T_804 = bits(lsu_match_data_2, 31, 31) @[lib.scala 104:86] + node _T_805 = eq(_T_803, _T_804) @[lib.scala 104:78] + node _T_806 = mux(_T_802, UInt<1>("h01"), _T_805) @[lib.scala 104:23] + _T_582[31] <= _T_806 @[lib.scala 104:17] + node _T_807 = cat(_T_582[1], _T_582[0]) @[lib.scala 105:14] + node _T_808 = cat(_T_582[3], _T_582[2]) @[lib.scala 105:14] + node _T_809 = cat(_T_808, _T_807) @[lib.scala 105:14] + node _T_810 = cat(_T_582[5], _T_582[4]) @[lib.scala 105:14] + node _T_811 = cat(_T_582[7], _T_582[6]) @[lib.scala 105:14] + node _T_812 = cat(_T_811, _T_810) @[lib.scala 105:14] + node _T_813 = cat(_T_812, _T_809) @[lib.scala 105:14] + node _T_814 = cat(_T_582[9], _T_582[8]) @[lib.scala 105:14] + node _T_815 = cat(_T_582[11], _T_582[10]) @[lib.scala 105:14] + node _T_816 = cat(_T_815, _T_814) @[lib.scala 105:14] + node _T_817 = cat(_T_582[13], _T_582[12]) @[lib.scala 105:14] + node _T_818 = cat(_T_582[15], _T_582[14]) @[lib.scala 105:14] + node _T_819 = cat(_T_818, _T_817) @[lib.scala 105:14] + node _T_820 = cat(_T_819, _T_816) @[lib.scala 105:14] + node _T_821 = cat(_T_820, _T_813) @[lib.scala 105:14] + node _T_822 = cat(_T_582[17], _T_582[16]) @[lib.scala 105:14] + node _T_823 = cat(_T_582[19], _T_582[18]) @[lib.scala 105:14] + node _T_824 = cat(_T_823, _T_822) @[lib.scala 105:14] + node _T_825 = cat(_T_582[21], _T_582[20]) @[lib.scala 105:14] + node _T_826 = cat(_T_582[23], _T_582[22]) @[lib.scala 105:14] + node _T_827 = cat(_T_826, _T_825) @[lib.scala 105:14] + node _T_828 = cat(_T_827, _T_824) @[lib.scala 105:14] + node _T_829 = cat(_T_582[25], _T_582[24]) @[lib.scala 105:14] + node _T_830 = cat(_T_582[27], _T_582[26]) @[lib.scala 105:14] + node _T_831 = cat(_T_830, _T_829) @[lib.scala 105:14] + node _T_832 = cat(_T_582[29], _T_582[28]) @[lib.scala 105:14] + node _T_833 = cat(_T_582[31], _T_582[30]) @[lib.scala 105:14] + node _T_834 = cat(_T_833, _T_832) @[lib.scala 105:14] + node _T_835 = cat(_T_834, _T_831) @[lib.scala 105:14] + node _T_836 = cat(_T_835, _T_828) @[lib.scala 105:14] + node _T_837 = cat(_T_836, _T_821) @[lib.scala 105:14] + node _T_838 = andr(_T_837) @[lib.scala 105:25] + node _T_839 = and(_T_580, _T_838) @[lsu_trigger.scala 19:92] + node _T_840 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_trigger.scala 18:71] + node _T_841 = and(io.lsu_pkt_m.valid, _T_840) @[lsu_trigger.scala 18:69] + node _T_842 = and(io.trigger_pkt_any[3].store, io.lsu_pkt_m.bits.store) @[lsu_trigger.scala 18:126] + node _T_843 = and(io.trigger_pkt_any[3].load, io.lsu_pkt_m.bits.load) @[lsu_trigger.scala 19:33] + node _T_844 = eq(io.trigger_pkt_any[3].select, UInt<1>("h00")) @[lsu_trigger.scala 19:60] + node _T_845 = and(_T_843, _T_844) @[lsu_trigger.scala 19:58] + node _T_846 = or(_T_842, _T_845) @[lsu_trigger.scala 18:152] + node _T_847 = and(_T_841, _T_846) @[lsu_trigger.scala 18:94] + node _T_848 = bits(io.trigger_pkt_any[3].match_pkt, 0, 0) @[lsu_trigger.scala 20:107] + wire _T_849 : UInt<1>[32] @[lib.scala 100:24] + node _T_850 = andr(io.trigger_pkt_any[3].tdata2) @[lib.scala 101:45] + node _T_851 = not(_T_850) @[lib.scala 101:39] + node _T_852 = and(_T_848, _T_851) @[lib.scala 101:37] + node _T_853 = bits(io.trigger_pkt_any[3].tdata2, 0, 0) @[lib.scala 102:48] + node _T_854 = bits(lsu_match_data_3, 0, 0) @[lib.scala 102:60] + node _T_855 = eq(_T_853, _T_854) @[lib.scala 102:52] + node _T_856 = or(_T_852, _T_855) @[lib.scala 102:41] + _T_849[0] <= _T_856 @[lib.scala 102:18] + node _T_857 = bits(io.trigger_pkt_any[3].tdata2, 0, 0) @[lib.scala 104:28] + node _T_858 = andr(_T_857) @[lib.scala 104:36] + node _T_859 = and(_T_858, _T_852) @[lib.scala 104:41] + node _T_860 = bits(io.trigger_pkt_any[3].tdata2, 1, 1) @[lib.scala 104:74] + node _T_861 = bits(lsu_match_data_3, 1, 1) @[lib.scala 104:86] + node _T_862 = eq(_T_860, _T_861) @[lib.scala 104:78] + node _T_863 = mux(_T_859, UInt<1>("h01"), _T_862) @[lib.scala 104:23] + _T_849[1] <= _T_863 @[lib.scala 104:17] + node _T_864 = bits(io.trigger_pkt_any[3].tdata2, 1, 0) @[lib.scala 104:28] + node _T_865 = andr(_T_864) @[lib.scala 104:36] + node _T_866 = and(_T_865, _T_852) @[lib.scala 104:41] + node _T_867 = bits(io.trigger_pkt_any[3].tdata2, 2, 2) @[lib.scala 104:74] + node _T_868 = bits(lsu_match_data_3, 2, 2) @[lib.scala 104:86] + node _T_869 = eq(_T_867, _T_868) @[lib.scala 104:78] + node _T_870 = mux(_T_866, UInt<1>("h01"), _T_869) @[lib.scala 104:23] + _T_849[2] <= _T_870 @[lib.scala 104:17] + node _T_871 = bits(io.trigger_pkt_any[3].tdata2, 2, 0) @[lib.scala 104:28] + node _T_872 = andr(_T_871) @[lib.scala 104:36] + node _T_873 = and(_T_872, _T_852) @[lib.scala 104:41] + node _T_874 = bits(io.trigger_pkt_any[3].tdata2, 3, 3) @[lib.scala 104:74] + node _T_875 = bits(lsu_match_data_3, 3, 3) @[lib.scala 104:86] + node _T_876 = eq(_T_874, _T_875) @[lib.scala 104:78] + node _T_877 = mux(_T_873, UInt<1>("h01"), _T_876) @[lib.scala 104:23] + _T_849[3] <= _T_877 @[lib.scala 104:17] + node _T_878 = bits(io.trigger_pkt_any[3].tdata2, 3, 0) @[lib.scala 104:28] + node _T_879 = andr(_T_878) @[lib.scala 104:36] + node _T_880 = and(_T_879, _T_852) @[lib.scala 104:41] + node _T_881 = bits(io.trigger_pkt_any[3].tdata2, 4, 4) @[lib.scala 104:74] + node _T_882 = bits(lsu_match_data_3, 4, 4) @[lib.scala 104:86] + node _T_883 = eq(_T_881, _T_882) @[lib.scala 104:78] + node _T_884 = mux(_T_880, UInt<1>("h01"), _T_883) @[lib.scala 104:23] + _T_849[4] <= _T_884 @[lib.scala 104:17] + node _T_885 = bits(io.trigger_pkt_any[3].tdata2, 4, 0) @[lib.scala 104:28] + node _T_886 = andr(_T_885) @[lib.scala 104:36] + node _T_887 = and(_T_886, _T_852) @[lib.scala 104:41] + node _T_888 = bits(io.trigger_pkt_any[3].tdata2, 5, 5) @[lib.scala 104:74] + node _T_889 = bits(lsu_match_data_3, 5, 5) @[lib.scala 104:86] + node _T_890 = eq(_T_888, _T_889) @[lib.scala 104:78] + node _T_891 = mux(_T_887, UInt<1>("h01"), _T_890) @[lib.scala 104:23] + _T_849[5] <= _T_891 @[lib.scala 104:17] + node _T_892 = bits(io.trigger_pkt_any[3].tdata2, 5, 0) @[lib.scala 104:28] + node _T_893 = andr(_T_892) @[lib.scala 104:36] + node _T_894 = and(_T_893, _T_852) @[lib.scala 104:41] + node _T_895 = bits(io.trigger_pkt_any[3].tdata2, 6, 6) @[lib.scala 104:74] + node _T_896 = bits(lsu_match_data_3, 6, 6) @[lib.scala 104:86] + node _T_897 = eq(_T_895, _T_896) @[lib.scala 104:78] + node _T_898 = mux(_T_894, UInt<1>("h01"), _T_897) @[lib.scala 104:23] + _T_849[6] <= _T_898 @[lib.scala 104:17] + node _T_899 = bits(io.trigger_pkt_any[3].tdata2, 6, 0) @[lib.scala 104:28] + node _T_900 = andr(_T_899) @[lib.scala 104:36] + node _T_901 = and(_T_900, _T_852) @[lib.scala 104:41] + node _T_902 = bits(io.trigger_pkt_any[3].tdata2, 7, 7) @[lib.scala 104:74] + node _T_903 = bits(lsu_match_data_3, 7, 7) @[lib.scala 104:86] + node _T_904 = eq(_T_902, _T_903) @[lib.scala 104:78] + node _T_905 = mux(_T_901, UInt<1>("h01"), _T_904) @[lib.scala 104:23] + _T_849[7] <= _T_905 @[lib.scala 104:17] + node _T_906 = bits(io.trigger_pkt_any[3].tdata2, 7, 0) @[lib.scala 104:28] + node _T_907 = andr(_T_906) @[lib.scala 104:36] + node _T_908 = and(_T_907, _T_852) @[lib.scala 104:41] + node _T_909 = bits(io.trigger_pkt_any[3].tdata2, 8, 8) @[lib.scala 104:74] + node _T_910 = bits(lsu_match_data_3, 8, 8) @[lib.scala 104:86] + node _T_911 = eq(_T_909, _T_910) @[lib.scala 104:78] + node _T_912 = mux(_T_908, UInt<1>("h01"), _T_911) @[lib.scala 104:23] + _T_849[8] <= _T_912 @[lib.scala 104:17] + node _T_913 = bits(io.trigger_pkt_any[3].tdata2, 8, 0) @[lib.scala 104:28] + node _T_914 = andr(_T_913) @[lib.scala 104:36] + node _T_915 = and(_T_914, _T_852) @[lib.scala 104:41] + node _T_916 = bits(io.trigger_pkt_any[3].tdata2, 9, 9) @[lib.scala 104:74] + node _T_917 = bits(lsu_match_data_3, 9, 9) @[lib.scala 104:86] + node _T_918 = eq(_T_916, _T_917) @[lib.scala 104:78] + node _T_919 = mux(_T_915, UInt<1>("h01"), _T_918) @[lib.scala 104:23] + _T_849[9] <= _T_919 @[lib.scala 104:17] + node _T_920 = bits(io.trigger_pkt_any[3].tdata2, 9, 0) @[lib.scala 104:28] + node _T_921 = andr(_T_920) @[lib.scala 104:36] + node _T_922 = and(_T_921, _T_852) @[lib.scala 104:41] + node _T_923 = bits(io.trigger_pkt_any[3].tdata2, 10, 10) @[lib.scala 104:74] + node _T_924 = bits(lsu_match_data_3, 10, 10) @[lib.scala 104:86] + node _T_925 = eq(_T_923, _T_924) @[lib.scala 104:78] + node _T_926 = mux(_T_922, UInt<1>("h01"), _T_925) @[lib.scala 104:23] + _T_849[10] <= _T_926 @[lib.scala 104:17] + node _T_927 = bits(io.trigger_pkt_any[3].tdata2, 10, 0) @[lib.scala 104:28] + node _T_928 = andr(_T_927) @[lib.scala 104:36] + node _T_929 = and(_T_928, _T_852) @[lib.scala 104:41] + node _T_930 = bits(io.trigger_pkt_any[3].tdata2, 11, 11) @[lib.scala 104:74] + node _T_931 = bits(lsu_match_data_3, 11, 11) @[lib.scala 104:86] + node _T_932 = eq(_T_930, _T_931) @[lib.scala 104:78] + node _T_933 = mux(_T_929, UInt<1>("h01"), _T_932) @[lib.scala 104:23] + _T_849[11] <= _T_933 @[lib.scala 104:17] + node _T_934 = bits(io.trigger_pkt_any[3].tdata2, 11, 0) @[lib.scala 104:28] + node _T_935 = andr(_T_934) @[lib.scala 104:36] + node _T_936 = and(_T_935, _T_852) @[lib.scala 104:41] + node _T_937 = bits(io.trigger_pkt_any[3].tdata2, 12, 12) @[lib.scala 104:74] + node _T_938 = bits(lsu_match_data_3, 12, 12) @[lib.scala 104:86] + node _T_939 = eq(_T_937, _T_938) @[lib.scala 104:78] + node _T_940 = mux(_T_936, UInt<1>("h01"), _T_939) @[lib.scala 104:23] + _T_849[12] <= _T_940 @[lib.scala 104:17] + node _T_941 = bits(io.trigger_pkt_any[3].tdata2, 12, 0) @[lib.scala 104:28] + node _T_942 = andr(_T_941) @[lib.scala 104:36] + node _T_943 = and(_T_942, _T_852) @[lib.scala 104:41] + node _T_944 = bits(io.trigger_pkt_any[3].tdata2, 13, 13) @[lib.scala 104:74] + node _T_945 = bits(lsu_match_data_3, 13, 13) @[lib.scala 104:86] + node _T_946 = eq(_T_944, _T_945) @[lib.scala 104:78] + node _T_947 = mux(_T_943, UInt<1>("h01"), _T_946) @[lib.scala 104:23] + _T_849[13] <= _T_947 @[lib.scala 104:17] + node _T_948 = bits(io.trigger_pkt_any[3].tdata2, 13, 0) @[lib.scala 104:28] + node _T_949 = andr(_T_948) @[lib.scala 104:36] + node _T_950 = and(_T_949, _T_852) @[lib.scala 104:41] + node _T_951 = bits(io.trigger_pkt_any[3].tdata2, 14, 14) @[lib.scala 104:74] + node _T_952 = bits(lsu_match_data_3, 14, 14) @[lib.scala 104:86] + node _T_953 = eq(_T_951, _T_952) @[lib.scala 104:78] + node _T_954 = mux(_T_950, UInt<1>("h01"), _T_953) @[lib.scala 104:23] + _T_849[14] <= _T_954 @[lib.scala 104:17] + node _T_955 = bits(io.trigger_pkt_any[3].tdata2, 14, 0) @[lib.scala 104:28] + node _T_956 = andr(_T_955) @[lib.scala 104:36] + node _T_957 = and(_T_956, _T_852) @[lib.scala 104:41] + node _T_958 = bits(io.trigger_pkt_any[3].tdata2, 15, 15) @[lib.scala 104:74] + node _T_959 = bits(lsu_match_data_3, 15, 15) @[lib.scala 104:86] + node _T_960 = eq(_T_958, _T_959) @[lib.scala 104:78] + node _T_961 = mux(_T_957, UInt<1>("h01"), _T_960) @[lib.scala 104:23] + _T_849[15] <= _T_961 @[lib.scala 104:17] + node _T_962 = bits(io.trigger_pkt_any[3].tdata2, 15, 0) @[lib.scala 104:28] + node _T_963 = andr(_T_962) @[lib.scala 104:36] + node _T_964 = and(_T_963, _T_852) @[lib.scala 104:41] + node _T_965 = bits(io.trigger_pkt_any[3].tdata2, 16, 16) @[lib.scala 104:74] + node _T_966 = bits(lsu_match_data_3, 16, 16) @[lib.scala 104:86] + node _T_967 = eq(_T_965, _T_966) @[lib.scala 104:78] + node _T_968 = mux(_T_964, UInt<1>("h01"), _T_967) @[lib.scala 104:23] + _T_849[16] <= _T_968 @[lib.scala 104:17] + node _T_969 = bits(io.trigger_pkt_any[3].tdata2, 16, 0) @[lib.scala 104:28] + node _T_970 = andr(_T_969) @[lib.scala 104:36] + node _T_971 = and(_T_970, _T_852) @[lib.scala 104:41] + node _T_972 = bits(io.trigger_pkt_any[3].tdata2, 17, 17) @[lib.scala 104:74] + node _T_973 = bits(lsu_match_data_3, 17, 17) @[lib.scala 104:86] + node _T_974 = eq(_T_972, _T_973) @[lib.scala 104:78] + node _T_975 = mux(_T_971, UInt<1>("h01"), _T_974) @[lib.scala 104:23] + _T_849[17] <= _T_975 @[lib.scala 104:17] + node _T_976 = bits(io.trigger_pkt_any[3].tdata2, 17, 0) @[lib.scala 104:28] + node _T_977 = andr(_T_976) @[lib.scala 104:36] + node _T_978 = and(_T_977, _T_852) @[lib.scala 104:41] + node _T_979 = bits(io.trigger_pkt_any[3].tdata2, 18, 18) @[lib.scala 104:74] + node _T_980 = bits(lsu_match_data_3, 18, 18) @[lib.scala 104:86] + node _T_981 = eq(_T_979, _T_980) @[lib.scala 104:78] + node _T_982 = mux(_T_978, UInt<1>("h01"), _T_981) @[lib.scala 104:23] + _T_849[18] <= _T_982 @[lib.scala 104:17] + node _T_983 = bits(io.trigger_pkt_any[3].tdata2, 18, 0) @[lib.scala 104:28] + node _T_984 = andr(_T_983) @[lib.scala 104:36] + node _T_985 = and(_T_984, _T_852) @[lib.scala 104:41] + node _T_986 = bits(io.trigger_pkt_any[3].tdata2, 19, 19) @[lib.scala 104:74] + node _T_987 = bits(lsu_match_data_3, 19, 19) @[lib.scala 104:86] + node _T_988 = eq(_T_986, _T_987) @[lib.scala 104:78] + node _T_989 = mux(_T_985, UInt<1>("h01"), _T_988) @[lib.scala 104:23] + _T_849[19] <= _T_989 @[lib.scala 104:17] + node _T_990 = bits(io.trigger_pkt_any[3].tdata2, 19, 0) @[lib.scala 104:28] + node _T_991 = andr(_T_990) @[lib.scala 104:36] + node _T_992 = and(_T_991, _T_852) @[lib.scala 104:41] + node _T_993 = bits(io.trigger_pkt_any[3].tdata2, 20, 20) @[lib.scala 104:74] + node _T_994 = bits(lsu_match_data_3, 20, 20) @[lib.scala 104:86] + node _T_995 = eq(_T_993, _T_994) @[lib.scala 104:78] + node _T_996 = mux(_T_992, UInt<1>("h01"), _T_995) @[lib.scala 104:23] + _T_849[20] <= _T_996 @[lib.scala 104:17] + node _T_997 = bits(io.trigger_pkt_any[3].tdata2, 20, 0) @[lib.scala 104:28] + node _T_998 = andr(_T_997) @[lib.scala 104:36] + node _T_999 = and(_T_998, _T_852) @[lib.scala 104:41] + node _T_1000 = bits(io.trigger_pkt_any[3].tdata2, 21, 21) @[lib.scala 104:74] + node _T_1001 = bits(lsu_match_data_3, 21, 21) @[lib.scala 104:86] + node _T_1002 = eq(_T_1000, _T_1001) @[lib.scala 104:78] + node _T_1003 = mux(_T_999, UInt<1>("h01"), _T_1002) @[lib.scala 104:23] + _T_849[21] <= _T_1003 @[lib.scala 104:17] + node _T_1004 = bits(io.trigger_pkt_any[3].tdata2, 21, 0) @[lib.scala 104:28] + node _T_1005 = andr(_T_1004) @[lib.scala 104:36] + node _T_1006 = and(_T_1005, _T_852) @[lib.scala 104:41] + node _T_1007 = bits(io.trigger_pkt_any[3].tdata2, 22, 22) @[lib.scala 104:74] + node _T_1008 = bits(lsu_match_data_3, 22, 22) @[lib.scala 104:86] + node _T_1009 = eq(_T_1007, _T_1008) @[lib.scala 104:78] + node _T_1010 = mux(_T_1006, UInt<1>("h01"), _T_1009) @[lib.scala 104:23] + _T_849[22] <= _T_1010 @[lib.scala 104:17] + node _T_1011 = bits(io.trigger_pkt_any[3].tdata2, 22, 0) @[lib.scala 104:28] + node _T_1012 = andr(_T_1011) @[lib.scala 104:36] + node _T_1013 = and(_T_1012, _T_852) @[lib.scala 104:41] + node _T_1014 = bits(io.trigger_pkt_any[3].tdata2, 23, 23) @[lib.scala 104:74] + node _T_1015 = bits(lsu_match_data_3, 23, 23) @[lib.scala 104:86] + node _T_1016 = eq(_T_1014, _T_1015) @[lib.scala 104:78] + node _T_1017 = mux(_T_1013, UInt<1>("h01"), _T_1016) @[lib.scala 104:23] + _T_849[23] <= _T_1017 @[lib.scala 104:17] + node _T_1018 = bits(io.trigger_pkt_any[3].tdata2, 23, 0) @[lib.scala 104:28] + node _T_1019 = andr(_T_1018) @[lib.scala 104:36] + node _T_1020 = and(_T_1019, _T_852) @[lib.scala 104:41] + node _T_1021 = bits(io.trigger_pkt_any[3].tdata2, 24, 24) @[lib.scala 104:74] + node _T_1022 = bits(lsu_match_data_3, 24, 24) @[lib.scala 104:86] + node _T_1023 = eq(_T_1021, _T_1022) @[lib.scala 104:78] + node _T_1024 = mux(_T_1020, UInt<1>("h01"), _T_1023) @[lib.scala 104:23] + _T_849[24] <= _T_1024 @[lib.scala 104:17] + node _T_1025 = bits(io.trigger_pkt_any[3].tdata2, 24, 0) @[lib.scala 104:28] + node _T_1026 = andr(_T_1025) @[lib.scala 104:36] + node _T_1027 = and(_T_1026, _T_852) @[lib.scala 104:41] + node _T_1028 = bits(io.trigger_pkt_any[3].tdata2, 25, 25) @[lib.scala 104:74] + node _T_1029 = bits(lsu_match_data_3, 25, 25) @[lib.scala 104:86] + node _T_1030 = eq(_T_1028, _T_1029) @[lib.scala 104:78] + node _T_1031 = mux(_T_1027, UInt<1>("h01"), _T_1030) @[lib.scala 104:23] + _T_849[25] <= _T_1031 @[lib.scala 104:17] + node _T_1032 = bits(io.trigger_pkt_any[3].tdata2, 25, 0) @[lib.scala 104:28] + node _T_1033 = andr(_T_1032) @[lib.scala 104:36] + node _T_1034 = and(_T_1033, _T_852) @[lib.scala 104:41] + node _T_1035 = bits(io.trigger_pkt_any[3].tdata2, 26, 26) @[lib.scala 104:74] + node _T_1036 = bits(lsu_match_data_3, 26, 26) @[lib.scala 104:86] + node _T_1037 = eq(_T_1035, _T_1036) @[lib.scala 104:78] + node _T_1038 = mux(_T_1034, UInt<1>("h01"), _T_1037) @[lib.scala 104:23] + _T_849[26] <= _T_1038 @[lib.scala 104:17] + node _T_1039 = bits(io.trigger_pkt_any[3].tdata2, 26, 0) @[lib.scala 104:28] + node _T_1040 = andr(_T_1039) @[lib.scala 104:36] + node _T_1041 = and(_T_1040, _T_852) @[lib.scala 104:41] + node _T_1042 = bits(io.trigger_pkt_any[3].tdata2, 27, 27) @[lib.scala 104:74] + node _T_1043 = bits(lsu_match_data_3, 27, 27) @[lib.scala 104:86] + node _T_1044 = eq(_T_1042, _T_1043) @[lib.scala 104:78] + node _T_1045 = mux(_T_1041, UInt<1>("h01"), _T_1044) @[lib.scala 104:23] + _T_849[27] <= _T_1045 @[lib.scala 104:17] + node _T_1046 = bits(io.trigger_pkt_any[3].tdata2, 27, 0) @[lib.scala 104:28] + node _T_1047 = andr(_T_1046) @[lib.scala 104:36] + node _T_1048 = and(_T_1047, _T_852) @[lib.scala 104:41] + node _T_1049 = bits(io.trigger_pkt_any[3].tdata2, 28, 28) @[lib.scala 104:74] + node _T_1050 = bits(lsu_match_data_3, 28, 28) @[lib.scala 104:86] + node _T_1051 = eq(_T_1049, _T_1050) @[lib.scala 104:78] + node _T_1052 = mux(_T_1048, UInt<1>("h01"), _T_1051) @[lib.scala 104:23] + _T_849[28] <= _T_1052 @[lib.scala 104:17] + node _T_1053 = bits(io.trigger_pkt_any[3].tdata2, 28, 0) @[lib.scala 104:28] + node _T_1054 = andr(_T_1053) @[lib.scala 104:36] + node _T_1055 = and(_T_1054, _T_852) @[lib.scala 104:41] + node _T_1056 = bits(io.trigger_pkt_any[3].tdata2, 29, 29) @[lib.scala 104:74] + node _T_1057 = bits(lsu_match_data_3, 29, 29) @[lib.scala 104:86] + node _T_1058 = eq(_T_1056, _T_1057) @[lib.scala 104:78] + node _T_1059 = mux(_T_1055, UInt<1>("h01"), _T_1058) @[lib.scala 104:23] + _T_849[29] <= _T_1059 @[lib.scala 104:17] + node _T_1060 = bits(io.trigger_pkt_any[3].tdata2, 29, 0) @[lib.scala 104:28] + node _T_1061 = andr(_T_1060) @[lib.scala 104:36] + node _T_1062 = and(_T_1061, _T_852) @[lib.scala 104:41] + node _T_1063 = bits(io.trigger_pkt_any[3].tdata2, 30, 30) @[lib.scala 104:74] + node _T_1064 = bits(lsu_match_data_3, 30, 30) @[lib.scala 104:86] + node _T_1065 = eq(_T_1063, _T_1064) @[lib.scala 104:78] + node _T_1066 = mux(_T_1062, UInt<1>("h01"), _T_1065) @[lib.scala 104:23] + _T_849[30] <= _T_1066 @[lib.scala 104:17] + node _T_1067 = bits(io.trigger_pkt_any[3].tdata2, 30, 0) @[lib.scala 104:28] + node _T_1068 = andr(_T_1067) @[lib.scala 104:36] + node _T_1069 = and(_T_1068, _T_852) @[lib.scala 104:41] + node _T_1070 = bits(io.trigger_pkt_any[3].tdata2, 31, 31) @[lib.scala 104:74] + node _T_1071 = bits(lsu_match_data_3, 31, 31) @[lib.scala 104:86] + node _T_1072 = eq(_T_1070, _T_1071) @[lib.scala 104:78] + node _T_1073 = mux(_T_1069, UInt<1>("h01"), _T_1072) @[lib.scala 104:23] + _T_849[31] <= _T_1073 @[lib.scala 104:17] + node _T_1074 = cat(_T_849[1], _T_849[0]) @[lib.scala 105:14] + node _T_1075 = cat(_T_849[3], _T_849[2]) @[lib.scala 105:14] + node _T_1076 = cat(_T_1075, _T_1074) @[lib.scala 105:14] + node _T_1077 = cat(_T_849[5], _T_849[4]) @[lib.scala 105:14] + node _T_1078 = cat(_T_849[7], _T_849[6]) @[lib.scala 105:14] + node _T_1079 = cat(_T_1078, _T_1077) @[lib.scala 105:14] + node _T_1080 = cat(_T_1079, _T_1076) @[lib.scala 105:14] + node _T_1081 = cat(_T_849[9], _T_849[8]) @[lib.scala 105:14] + node _T_1082 = cat(_T_849[11], _T_849[10]) @[lib.scala 105:14] + node _T_1083 = cat(_T_1082, _T_1081) @[lib.scala 105:14] + node _T_1084 = cat(_T_849[13], _T_849[12]) @[lib.scala 105:14] + node _T_1085 = cat(_T_849[15], _T_849[14]) @[lib.scala 105:14] + node _T_1086 = cat(_T_1085, _T_1084) @[lib.scala 105:14] + node _T_1087 = cat(_T_1086, _T_1083) @[lib.scala 105:14] + node _T_1088 = cat(_T_1087, _T_1080) @[lib.scala 105:14] + node _T_1089 = cat(_T_849[17], _T_849[16]) @[lib.scala 105:14] + node _T_1090 = cat(_T_849[19], _T_849[18]) @[lib.scala 105:14] + node _T_1091 = cat(_T_1090, _T_1089) @[lib.scala 105:14] + node _T_1092 = cat(_T_849[21], _T_849[20]) @[lib.scala 105:14] + node _T_1093 = cat(_T_849[23], _T_849[22]) @[lib.scala 105:14] + node _T_1094 = cat(_T_1093, _T_1092) @[lib.scala 105:14] + node _T_1095 = cat(_T_1094, _T_1091) @[lib.scala 105:14] + node _T_1096 = cat(_T_849[25], _T_849[24]) @[lib.scala 105:14] + node _T_1097 = cat(_T_849[27], _T_849[26]) @[lib.scala 105:14] + node _T_1098 = cat(_T_1097, _T_1096) @[lib.scala 105:14] + node _T_1099 = cat(_T_849[29], _T_849[28]) @[lib.scala 105:14] + node _T_1100 = cat(_T_849[31], _T_849[30]) @[lib.scala 105:14] + node _T_1101 = cat(_T_1100, _T_1099) @[lib.scala 105:14] + node _T_1102 = cat(_T_1101, _T_1098) @[lib.scala 105:14] + node _T_1103 = cat(_T_1102, _T_1095) @[lib.scala 105:14] + node _T_1104 = cat(_T_1103, _T_1088) @[lib.scala 105:14] + node _T_1105 = andr(_T_1104) @[lib.scala 105:25] + node _T_1106 = and(_T_847, _T_1105) @[lsu_trigger.scala 19:92] + node _T_1107 = cat(_T_1106, _T_839) @[Cat.scala 29:58] + node _T_1108 = cat(_T_1107, _T_572) @[Cat.scala 29:58] + node _T_1109 = cat(_T_1108, _T_305) @[Cat.scala 29:58] + io.lsu_trigger_match_m <= _T_1109 @[lsu_trigger.scala 18:26] + + extmodule gated_latch_12 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_12 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_12 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_13 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_13 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_13 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_14 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_14 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_14 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_15 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_15 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_15 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_16 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_16 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_16 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_17 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_17 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_17 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_18 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_18 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_18 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_19 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_19 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_19 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_20 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_20 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_20 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_21 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_21 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_21 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_22 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_22 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_22 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_23 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_23 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_23 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module lsu_clkdomain : + input clock : Clock + input reset : AsyncReset + output io : {flip free_clk : Clock, flip clk_override : UInt<1>, flip addr_in_dccm_m : UInt<1>, flip dma_dccm_req : UInt<1>, flip ldst_stbuf_reqvld_r : UInt<1>, flip stbuf_reqvld_any : UInt<1>, flip stbuf_reqvld_flushed_any : UInt<1>, flip lsu_busreq_r : UInt<1>, flip lsu_bus_buffer_pend_any : UInt<1>, flip lsu_bus_buffer_empty_any : UInt<1>, flip lsu_stbuf_empty_any : UInt<1>, flip lsu_bus_clk_en : UInt<1>, flip lsu_p : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_d : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, lsu_c1_m_clk : Clock, lsu_c1_r_clk : Clock, lsu_c2_m_clk : Clock, lsu_c2_r_clk : Clock, lsu_store_c1_m_clk : Clock, lsu_store_c1_r_clk : Clock, lsu_stbuf_c1_clk : Clock, lsu_bus_obuf_c1_clk : Clock, lsu_bus_ibuf_c1_clk : Clock, lsu_bus_buf_c1_clk : Clock, lsu_busm_clk : Clock, lsu_free_c2_clk : Clock, flip scan_mode : UInt<1>} + + wire lsu_c1_d_clken_q : UInt<1> @[lsu_clkdomain.scala 57:36] + wire lsu_c1_m_clken_q : UInt<1> @[lsu_clkdomain.scala 58:36] + wire lsu_c1_r_clken_q : UInt<1> @[lsu_clkdomain.scala 59:36] + wire lsu_free_c1_clken_q : UInt<1> @[lsu_clkdomain.scala 60:36] + node _T = or(io.lsu_p.valid, io.dma_dccm_req) @[lsu_clkdomain.scala 62:51] + node lsu_c1_d_clken = or(_T, io.clk_override) @[lsu_clkdomain.scala 62:70] + node _T_1 = or(io.lsu_pkt_d.valid, lsu_c1_d_clken_q) @[lsu_clkdomain.scala 63:51] + node lsu_c1_m_clken = or(_T_1, io.clk_override) @[lsu_clkdomain.scala 63:70] + node _T_2 = or(io.lsu_pkt_m.valid, lsu_c1_m_clken_q) @[lsu_clkdomain.scala 64:51] + node lsu_c1_r_clken = or(_T_2, io.clk_override) @[lsu_clkdomain.scala 64:70] + node _T_3 = or(lsu_c1_m_clken, lsu_c1_m_clken_q) @[lsu_clkdomain.scala 66:47] + node lsu_c2_m_clken = or(_T_3, io.clk_override) @[lsu_clkdomain.scala 66:66] + node _T_4 = or(lsu_c1_r_clken, lsu_c1_r_clken_q) @[lsu_clkdomain.scala 67:47] + node lsu_c2_r_clken = or(_T_4, io.clk_override) @[lsu_clkdomain.scala 67:66] + node _T_5 = and(lsu_c1_m_clken, io.lsu_pkt_d.bits.store) @[lsu_clkdomain.scala 69:49] + node lsu_store_c1_m_clken = or(_T_5, io.clk_override) @[lsu_clkdomain.scala 69:76] + node _T_6 = and(lsu_c1_r_clken, io.lsu_pkt_m.bits.store) @[lsu_clkdomain.scala 70:49] + node lsu_store_c1_r_clken = or(_T_6, io.clk_override) @[lsu_clkdomain.scala 70:76] + node _T_7 = or(io.ldst_stbuf_reqvld_r, io.stbuf_reqvld_any) @[lsu_clkdomain.scala 71:55] + node _T_8 = or(_T_7, io.stbuf_reqvld_flushed_any) @[lsu_clkdomain.scala 71:77] + node lsu_stbuf_c1_clken = or(_T_8, io.clk_override) @[lsu_clkdomain.scala 71:107] + node lsu_bus_ibuf_c1_clken = or(io.lsu_busreq_r, io.clk_override) @[lsu_clkdomain.scala 72:49] + node _T_9 = or(io.lsu_bus_buffer_pend_any, io.lsu_busreq_r) @[lsu_clkdomain.scala 73:61] + node _T_10 = or(_T_9, io.clk_override) @[lsu_clkdomain.scala 73:79] + node lsu_bus_obuf_c1_clken = and(_T_10, io.lsu_bus_clk_en) @[lsu_clkdomain.scala 73:98] + node _T_11 = eq(io.lsu_bus_buffer_empty_any, UInt<1>("h00")) @[lsu_clkdomain.scala 74:32] + node _T_12 = or(_T_11, io.lsu_busreq_r) @[lsu_clkdomain.scala 74:61] + node lsu_bus_buf_c1_clken = or(_T_12, io.clk_override) @[lsu_clkdomain.scala 74:79] + node _T_13 = or(io.lsu_p.valid, io.lsu_pkt_d.valid) @[lsu_clkdomain.scala 76:48] + node _T_14 = or(_T_13, io.lsu_pkt_m.valid) @[lsu_clkdomain.scala 76:69] + node _T_15 = or(_T_14, io.lsu_pkt_r.valid) @[lsu_clkdomain.scala 76:90] + node _T_16 = eq(io.lsu_bus_buffer_empty_any, UInt<1>("h00")) @[lsu_clkdomain.scala 76:114] + node _T_17 = or(_T_15, _T_16) @[lsu_clkdomain.scala 76:112] + node _T_18 = eq(io.lsu_stbuf_empty_any, UInt<1>("h00")) @[lsu_clkdomain.scala 76:145] + node _T_19 = or(_T_17, _T_18) @[lsu_clkdomain.scala 76:143] + node lsu_free_c1_clken = or(_T_19, io.clk_override) @[lsu_clkdomain.scala 76:169] + node _T_20 = or(lsu_free_c1_clken, lsu_free_c1_clken_q) @[lsu_clkdomain.scala 77:50] + node lsu_free_c2_clken = or(_T_20, io.clk_override) @[lsu_clkdomain.scala 77:72] + reg _T_21 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_clkdomain.scala 80:60] + _T_21 <= lsu_free_c1_clken @[lsu_clkdomain.scala 80:60] + lsu_free_c1_clken_q <= _T_21 @[lsu_clkdomain.scala 80:26] + reg _T_22 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_clkdomain.scala 81:67] + _T_22 <= lsu_c1_d_clken @[lsu_clkdomain.scala 81:67] + lsu_c1_d_clken_q <= _T_22 @[lsu_clkdomain.scala 81:26] + reg _T_23 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_clkdomain.scala 82:67] + _T_23 <= lsu_c1_m_clken @[lsu_clkdomain.scala 82:67] + lsu_c1_m_clken_q <= _T_23 @[lsu_clkdomain.scala 82:26] + reg _T_24 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_clkdomain.scala 83:67] + _T_24 <= lsu_c1_r_clken @[lsu_clkdomain.scala 83:67] + lsu_c1_r_clken_q <= _T_24 @[lsu_clkdomain.scala 83:26] + node _T_25 = bits(lsu_c1_m_clken, 0, 0) @[lsu_clkdomain.scala 85:59] + inst rvclkhdr of rvclkhdr_12 @[lib.scala 343:22] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 344:17] + rvclkhdr.io.en <= _T_25 @[lib.scala 345:16] + rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_c1_m_clk <= rvclkhdr.io.l1clk @[lsu_clkdomain.scala 85:26] + node _T_26 = bits(lsu_c1_r_clken, 0, 0) @[lsu_clkdomain.scala 86:59] + inst rvclkhdr_1 of rvclkhdr_13 @[lib.scala 343:22] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_1.io.en <= _T_26 @[lib.scala 345:16] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_c1_r_clk <= rvclkhdr_1.io.l1clk @[lsu_clkdomain.scala 86:26] + node _T_27 = bits(lsu_c2_m_clken, 0, 0) @[lsu_clkdomain.scala 87:59] + inst rvclkhdr_2 of rvclkhdr_14 @[lib.scala 343:22] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_2.io.en <= _T_27 @[lib.scala 345:16] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_c2_m_clk <= rvclkhdr_2.io.l1clk @[lsu_clkdomain.scala 87:26] + node _T_28 = bits(lsu_c2_r_clken, 0, 0) @[lsu_clkdomain.scala 88:59] + inst rvclkhdr_3 of rvclkhdr_15 @[lib.scala 343:22] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_3.io.en <= _T_28 @[lib.scala 345:16] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_c2_r_clk <= rvclkhdr_3.io.l1clk @[lsu_clkdomain.scala 88:26] + node _T_29 = bits(lsu_store_c1_m_clken, 0, 0) @[lsu_clkdomain.scala 89:65] + inst rvclkhdr_4 of rvclkhdr_16 @[lib.scala 343:22] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_4.io.en <= _T_29 @[lib.scala 345:16] + rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_store_c1_m_clk <= rvclkhdr_4.io.l1clk @[lsu_clkdomain.scala 89:26] + node _T_30 = bits(lsu_store_c1_r_clken, 0, 0) @[lsu_clkdomain.scala 90:65] + inst rvclkhdr_5 of rvclkhdr_17 @[lib.scala 343:22] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_5.io.en <= _T_30 @[lib.scala 345:16] + rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_store_c1_r_clk <= rvclkhdr_5.io.l1clk @[lsu_clkdomain.scala 90:26] + node _T_31 = bits(lsu_stbuf_c1_clken, 0, 0) @[lsu_clkdomain.scala 91:63] + inst rvclkhdr_6 of rvclkhdr_18 @[lib.scala 343:22] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= reset + rvclkhdr_6.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_6.io.en <= _T_31 @[lib.scala 345:16] + rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_stbuf_c1_clk <= rvclkhdr_6.io.l1clk @[lsu_clkdomain.scala 91:26] + node _T_32 = bits(lsu_bus_ibuf_c1_clken, 0, 0) @[lsu_clkdomain.scala 92:66] + inst rvclkhdr_7 of rvclkhdr_19 @[lib.scala 343:22] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= reset + rvclkhdr_7.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_7.io.en <= _T_32 @[lib.scala 345:16] + rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_bus_ibuf_c1_clk <= rvclkhdr_7.io.l1clk @[lsu_clkdomain.scala 92:26] + node _T_33 = bits(lsu_bus_obuf_c1_clken, 0, 0) @[lsu_clkdomain.scala 93:66] + inst rvclkhdr_8 of rvclkhdr_20 @[lib.scala 343:22] + rvclkhdr_8.clock <= clock + rvclkhdr_8.reset <= reset + rvclkhdr_8.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_8.io.en <= _T_33 @[lib.scala 345:16] + rvclkhdr_8.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_bus_obuf_c1_clk <= rvclkhdr_8.io.l1clk @[lsu_clkdomain.scala 93:26] + node _T_34 = bits(lsu_bus_buf_c1_clken, 0, 0) @[lsu_clkdomain.scala 94:65] + inst rvclkhdr_9 of rvclkhdr_21 @[lib.scala 343:22] + rvclkhdr_9.clock <= clock + rvclkhdr_9.reset <= reset + rvclkhdr_9.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_9.io.en <= _T_34 @[lib.scala 345:16] + rvclkhdr_9.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_bus_buf_c1_clk <= rvclkhdr_9.io.l1clk @[lsu_clkdomain.scala 94:26] + node _T_35 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_clkdomain.scala 95:62] + inst rvclkhdr_10 of rvclkhdr_22 @[lib.scala 343:22] + rvclkhdr_10.clock <= clock + rvclkhdr_10.reset <= reset + rvclkhdr_10.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_10.io.en <= _T_35 @[lib.scala 345:16] + rvclkhdr_10.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_busm_clk <= rvclkhdr_10.io.l1clk @[lsu_clkdomain.scala 95:26] + node _T_36 = bits(lsu_free_c2_clken, 0, 0) @[lsu_clkdomain.scala 96:62] + inst rvclkhdr_11 of rvclkhdr_23 @[lib.scala 343:22] + rvclkhdr_11.clock <= clock + rvclkhdr_11.reset <= reset + rvclkhdr_11.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_11.io.en <= _T_36 @[lib.scala 345:16] + rvclkhdr_11.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_free_c2_clk <= rvclkhdr_11.io.l1clk @[lsu_clkdomain.scala 96:26] + + extmodule gated_latch_24 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_24 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_24 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_25 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_25 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_25 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_26 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_26 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_26 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_27 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_27 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_27 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_28 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_28 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_28 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_29 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_29 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_29 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_30 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_30 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_30 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_31 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_31 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_31 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_32 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_32 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_32 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_33 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_33 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_33 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_34 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_34 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_34 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_35 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_35 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_35 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module lsu_bus_buffer : + input clock : Clock + input reset : AsyncReset + output io : {flip scan_mode : UInt<1>, tlu_busbuff : {lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>}, dctl_busbuff : {lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>}, flip dec_tlu_force_halt : UInt<1>, flip lsu_c2_r_clk : Clock, flip lsu_bus_ibuf_c1_clk : Clock, flip lsu_bus_obuf_c1_clk : Clock, flip lsu_bus_buf_c1_clk : Clock, flip lsu_free_c2_clk : Clock, flip lsu_busm_clk : Clock, flip dec_lsu_valid_raw_d : UInt<1>, flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_addr_m : UInt<32>, flip end_addr_m : UInt<32>, flip lsu_addr_r : UInt<32>, flip end_addr_r : UInt<32>, flip store_data_r : UInt<32>, flip no_word_merge_r : UInt<1>, flip no_dword_merge_r : UInt<1>, flip lsu_busreq_m : UInt<1>, flip ld_full_hit_m : UInt<1>, flip flush_m_up : UInt<1>, flip flush_r : UInt<1>, flip lsu_commit_r : UInt<1>, flip is_sideeffects_r : UInt<1>, flip ldst_dual_d : UInt<1>, flip ldst_dual_m : UInt<1>, flip ldst_dual_r : UInt<1>, flip ldst_byteen_ext_m : UInt<8>, lsu_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip lsu_bus_clk_en : UInt<1>, flip lsu_bus_clk_en_q : UInt<1>, lsu_busreq_r : UInt<1>, lsu_bus_buffer_pend_any : UInt<1>, lsu_bus_buffer_full_any : UInt<1>, lsu_bus_buffer_empty_any : UInt<1>, lsu_bus_idle_any : UInt<1>, ld_byte_hit_buf_lo : UInt<4>, ld_byte_hit_buf_hi : UInt<4>, ld_fwddata_buf_lo : UInt<32>, ld_fwddata_buf_hi : UInt<32>} + + wire buf_addr : UInt<32>[4] @[lsu_bus_buffer.scala 67:22] + wire buf_state : UInt<3>[4] @[lsu_bus_buffer.scala 68:23] + wire buf_write : UInt<4> + buf_write <= UInt<1>("h00") + wire CmdPtr0 : UInt<2> + CmdPtr0 <= UInt<1>("h00") + node ldst_byteen_hi_m = bits(io.ldst_byteen_ext_m, 7, 4) @[lsu_bus_buffer.scala 73:46] + node ldst_byteen_lo_m = bits(io.ldst_byteen_ext_m, 3, 0) @[lsu_bus_buffer.scala 74:46] + node _T = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 76:66] + node _T_1 = bits(buf_addr[0], 31, 2) @[lsu_bus_buffer.scala 76:89] + node _T_2 = eq(_T, _T_1) @[lsu_bus_buffer.scala 76:74] + node _T_3 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 76:109] + node _T_4 = and(_T_2, _T_3) @[lsu_bus_buffer.scala 76:98] + node _T_5 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 76:129] + node _T_6 = and(_T_4, _T_5) @[lsu_bus_buffer.scala 76:113] + node ld_addr_hitvec_lo_0 = and(_T_6, io.lsu_busreq_m) @[lsu_bus_buffer.scala 76:141] + node _T_7 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 76:66] + node _T_8 = bits(buf_addr[1], 31, 2) @[lsu_bus_buffer.scala 76:89] + node _T_9 = eq(_T_7, _T_8) @[lsu_bus_buffer.scala 76:74] + node _T_10 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 76:109] + node _T_11 = and(_T_9, _T_10) @[lsu_bus_buffer.scala 76:98] + node _T_12 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 76:129] + node _T_13 = and(_T_11, _T_12) @[lsu_bus_buffer.scala 76:113] + node ld_addr_hitvec_lo_1 = and(_T_13, io.lsu_busreq_m) @[lsu_bus_buffer.scala 76:141] + node _T_14 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 76:66] + node _T_15 = bits(buf_addr[2], 31, 2) @[lsu_bus_buffer.scala 76:89] + node _T_16 = eq(_T_14, _T_15) @[lsu_bus_buffer.scala 76:74] + node _T_17 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 76:109] + node _T_18 = and(_T_16, _T_17) @[lsu_bus_buffer.scala 76:98] + node _T_19 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 76:129] + node _T_20 = and(_T_18, _T_19) @[lsu_bus_buffer.scala 76:113] + node ld_addr_hitvec_lo_2 = and(_T_20, io.lsu_busreq_m) @[lsu_bus_buffer.scala 76:141] + node _T_21 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 76:66] + node _T_22 = bits(buf_addr[3], 31, 2) @[lsu_bus_buffer.scala 76:89] + node _T_23 = eq(_T_21, _T_22) @[lsu_bus_buffer.scala 76:74] + node _T_24 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 76:109] + node _T_25 = and(_T_23, _T_24) @[lsu_bus_buffer.scala 76:98] + node _T_26 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 76:129] + node _T_27 = and(_T_25, _T_26) @[lsu_bus_buffer.scala 76:113] + node ld_addr_hitvec_lo_3 = and(_T_27, io.lsu_busreq_m) @[lsu_bus_buffer.scala 76:141] + node _T_28 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 77:66] + node _T_29 = bits(buf_addr[0], 31, 2) @[lsu_bus_buffer.scala 77:89] + node _T_30 = eq(_T_28, _T_29) @[lsu_bus_buffer.scala 77:74] + node _T_31 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 77:109] + node _T_32 = and(_T_30, _T_31) @[lsu_bus_buffer.scala 77:98] + node _T_33 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 77:129] + node _T_34 = and(_T_32, _T_33) @[lsu_bus_buffer.scala 77:113] + node ld_addr_hitvec_hi_0 = and(_T_34, io.lsu_busreq_m) @[lsu_bus_buffer.scala 77:141] + node _T_35 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 77:66] + node _T_36 = bits(buf_addr[1], 31, 2) @[lsu_bus_buffer.scala 77:89] + node _T_37 = eq(_T_35, _T_36) @[lsu_bus_buffer.scala 77:74] + node _T_38 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 77:109] + node _T_39 = and(_T_37, _T_38) @[lsu_bus_buffer.scala 77:98] + node _T_40 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 77:129] + node _T_41 = and(_T_39, _T_40) @[lsu_bus_buffer.scala 77:113] + node ld_addr_hitvec_hi_1 = and(_T_41, io.lsu_busreq_m) @[lsu_bus_buffer.scala 77:141] + node _T_42 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 77:66] + node _T_43 = bits(buf_addr[2], 31, 2) @[lsu_bus_buffer.scala 77:89] + node _T_44 = eq(_T_42, _T_43) @[lsu_bus_buffer.scala 77:74] + node _T_45 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 77:109] + node _T_46 = and(_T_44, _T_45) @[lsu_bus_buffer.scala 77:98] + node _T_47 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 77:129] + node _T_48 = and(_T_46, _T_47) @[lsu_bus_buffer.scala 77:113] + node ld_addr_hitvec_hi_2 = and(_T_48, io.lsu_busreq_m) @[lsu_bus_buffer.scala 77:141] + node _T_49 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 77:66] + node _T_50 = bits(buf_addr[3], 31, 2) @[lsu_bus_buffer.scala 77:89] + node _T_51 = eq(_T_49, _T_50) @[lsu_bus_buffer.scala 77:74] + node _T_52 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 77:109] + node _T_53 = and(_T_51, _T_52) @[lsu_bus_buffer.scala 77:98] + node _T_54 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 77:129] + node _T_55 = and(_T_53, _T_54) @[lsu_bus_buffer.scala 77:113] + node ld_addr_hitvec_hi_3 = and(_T_55, io.lsu_busreq_m) @[lsu_bus_buffer.scala 77:141] + wire ld_byte_hitvecfn_lo : UInt<4>[4] @[lsu_bus_buffer.scala 78:33] + wire ld_byte_ibuf_hit_lo : UInt<4> + ld_byte_ibuf_hit_lo <= UInt<1>("h00") + wire ld_byte_hitvecfn_hi : UInt<4>[4] @[lsu_bus_buffer.scala 80:33] + wire ld_byte_ibuf_hit_hi : UInt<4> + ld_byte_ibuf_hit_hi <= UInt<1>("h00") + wire buf_byteen : UInt<4>[4] @[lsu_bus_buffer.scala 82:24] + buf_byteen[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 83:14] + buf_byteen[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 83:14] + buf_byteen[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 83:14] + buf_byteen[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 83:14] + wire buf_nxtstate : UInt<3>[4] @[lsu_bus_buffer.scala 84:26] + buf_nxtstate[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 85:16] + buf_nxtstate[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 85:16] + buf_nxtstate[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 85:16] + buf_nxtstate[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 85:16] + wire buf_wr_en : UInt<1>[4] @[lsu_bus_buffer.scala 86:23] + buf_wr_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 87:13] + buf_wr_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 87:13] + buf_wr_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 87:13] + buf_wr_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 87:13] + wire buf_data_en : UInt<1>[4] @[lsu_bus_buffer.scala 88:25] + buf_data_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 89:15] + buf_data_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 89:15] + buf_data_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 89:15] + buf_data_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 89:15] + wire buf_state_bus_en : UInt<1>[4] @[lsu_bus_buffer.scala 90:30] + buf_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 91:20] + buf_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 91:20] + buf_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 91:20] + buf_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 91:20] + wire buf_ldfwd_in : UInt<1>[4] @[lsu_bus_buffer.scala 92:26] + buf_ldfwd_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 93:16] + buf_ldfwd_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 93:16] + buf_ldfwd_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 93:16] + buf_ldfwd_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 93:16] + wire buf_ldfwd_en : UInt<1>[4] @[lsu_bus_buffer.scala 94:26] + buf_ldfwd_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 95:16] + buf_ldfwd_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 95:16] + buf_ldfwd_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 95:16] + buf_ldfwd_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 95:16] + wire buf_data_in : UInt<32>[4] @[lsu_bus_buffer.scala 96:25] + buf_data_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 97:15] + buf_data_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 97:15] + buf_data_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 97:15] + buf_data_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 97:15] + wire buf_ldfwdtag_in : UInt<2>[4] @[lsu_bus_buffer.scala 98:29] + buf_ldfwdtag_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 99:19] + buf_ldfwdtag_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 99:19] + buf_ldfwdtag_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 99:19] + buf_ldfwdtag_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 99:19] + wire buf_error_en : UInt<1>[4] @[lsu_bus_buffer.scala 100:26] + buf_error_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 101:16] + buf_error_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 101:16] + buf_error_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 101:16] + buf_error_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 101:16] + wire bus_rsp_read_error : UInt<1> + bus_rsp_read_error <= UInt<1>("h00") + wire bus_rsp_rdata : UInt<64> + bus_rsp_rdata <= UInt<1>("h00") + wire bus_rsp_write_error : UInt<1> + bus_rsp_write_error <= UInt<1>("h00") + wire buf_dualtag : UInt<2>[4] @[lsu_bus_buffer.scala 105:25] + buf_dualtag[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 106:15] + buf_dualtag[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 106:15] + buf_dualtag[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 106:15] + buf_dualtag[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 106:15] + wire buf_ldfwd : UInt<4> + buf_ldfwd <= UInt<1>("h00") + wire buf_resp_state_bus_en : UInt<1>[4] @[lsu_bus_buffer.scala 108:35] + buf_resp_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 109:25] + buf_resp_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 109:25] + buf_resp_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 109:25] + buf_resp_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 109:25] + wire any_done_wait_state : UInt<1> + any_done_wait_state <= UInt<1>("h00") + wire bus_rsp_write : UInt<1> + bus_rsp_write <= UInt<1>("h00") + wire bus_rsp_write_tag : UInt<3> + bus_rsp_write_tag <= UInt<1>("h00") + wire buf_ldfwdtag : UInt<2>[4] @[lsu_bus_buffer.scala 113:26] + buf_ldfwdtag[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 114:16] + buf_ldfwdtag[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 114:16] + buf_ldfwdtag[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 114:16] + buf_ldfwdtag[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 114:16] + wire buf_rst : UInt<1>[4] @[lsu_bus_buffer.scala 115:21] + buf_rst[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 116:11] + buf_rst[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 116:11] + buf_rst[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 116:11] + buf_rst[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 116:11] + wire ibuf_drainvec_vld : UInt<4> + ibuf_drainvec_vld <= UInt<1>("h00") + wire buf_byteen_in : UInt<4>[4] @[lsu_bus_buffer.scala 118:27] + buf_byteen_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 119:17] + buf_byteen_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 119:17] + buf_byteen_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 119:17] + buf_byteen_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 119:17] + wire buf_addr_in : UInt<32>[4] @[lsu_bus_buffer.scala 120:25] + buf_addr_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 121:15] + buf_addr_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 121:15] + buf_addr_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 121:15] + buf_addr_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 121:15] + wire buf_dual_in : UInt<4> + buf_dual_in <= UInt<1>("h00") + wire buf_samedw_in : UInt<4> + buf_samedw_in <= UInt<1>("h00") + wire buf_nomerge_in : UInt<4> + buf_nomerge_in <= UInt<1>("h00") + wire buf_dualhi_in : UInt<4> + buf_dualhi_in <= UInt<1>("h00") + wire buf_dualtag_in : UInt<2>[4] @[lsu_bus_buffer.scala 126:28] + buf_dualtag_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 127:18] + buf_dualtag_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 127:18] + buf_dualtag_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 127:18] + buf_dualtag_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 127:18] + wire buf_sideeffect_in : UInt<4> + buf_sideeffect_in <= UInt<1>("h00") + wire buf_unsign_in : UInt<4> + buf_unsign_in <= UInt<1>("h00") + wire buf_sz_in : UInt<2>[4] @[lsu_bus_buffer.scala 130:23] + buf_sz_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 131:13] + buf_sz_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 131:13] + buf_sz_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 131:13] + buf_sz_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 131:13] + wire buf_write_in : UInt<4> + buf_write_in <= UInt<1>("h00") + wire buf_unsign : UInt<4> + buf_unsign <= UInt<1>("h00") + wire buf_error : UInt<4> + buf_error <= UInt<1>("h00") + wire CmdPtr1 : UInt<2> + CmdPtr1 <= UInt<1>("h00") + wire ibuf_data : UInt<32> + ibuf_data <= UInt<1>("h00") + node _T_56 = orr(ld_byte_hitvecfn_lo[0]) @[lsu_bus_buffer.scala 138:73] + node _T_57 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 138:98] + node _T_58 = or(_T_56, _T_57) @[lsu_bus_buffer.scala 138:77] + node _T_59 = orr(ld_byte_hitvecfn_lo[1]) @[lsu_bus_buffer.scala 138:73] + node _T_60 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 138:98] + node _T_61 = or(_T_59, _T_60) @[lsu_bus_buffer.scala 138:77] + node _T_62 = orr(ld_byte_hitvecfn_lo[2]) @[lsu_bus_buffer.scala 138:73] + node _T_63 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 138:98] + node _T_64 = or(_T_62, _T_63) @[lsu_bus_buffer.scala 138:77] + node _T_65 = orr(ld_byte_hitvecfn_lo[3]) @[lsu_bus_buffer.scala 138:73] + node _T_66 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 138:98] + node _T_67 = or(_T_65, _T_66) @[lsu_bus_buffer.scala 138:77] + node _T_68 = cat(_T_67, _T_64) @[Cat.scala 29:58] + node _T_69 = cat(_T_68, _T_61) @[Cat.scala 29:58] + node _T_70 = cat(_T_69, _T_58) @[Cat.scala 29:58] + io.ld_byte_hit_buf_lo <= _T_70 @[lsu_bus_buffer.scala 138:25] + node _T_71 = orr(ld_byte_hitvecfn_hi[0]) @[lsu_bus_buffer.scala 139:73] + node _T_72 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 139:98] + node _T_73 = or(_T_71, _T_72) @[lsu_bus_buffer.scala 139:77] + node _T_74 = orr(ld_byte_hitvecfn_hi[1]) @[lsu_bus_buffer.scala 139:73] + node _T_75 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 139:98] + node _T_76 = or(_T_74, _T_75) @[lsu_bus_buffer.scala 139:77] + node _T_77 = orr(ld_byte_hitvecfn_hi[2]) @[lsu_bus_buffer.scala 139:73] + node _T_78 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 139:98] + node _T_79 = or(_T_77, _T_78) @[lsu_bus_buffer.scala 139:77] + node _T_80 = orr(ld_byte_hitvecfn_hi[3]) @[lsu_bus_buffer.scala 139:73] + node _T_81 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 139:98] + node _T_82 = or(_T_80, _T_81) @[lsu_bus_buffer.scala 139:77] + node _T_83 = cat(_T_82, _T_79) @[Cat.scala 29:58] + node _T_84 = cat(_T_83, _T_76) @[Cat.scala 29:58] + node _T_85 = cat(_T_84, _T_73) @[Cat.scala 29:58] + io.ld_byte_hit_buf_hi <= _T_85 @[lsu_bus_buffer.scala 139:25] + node _T_86 = bits(buf_byteen[0], 0, 0) @[lsu_bus_buffer.scala 141:110] + node _T_87 = and(ld_addr_hitvec_lo_0, _T_86) @[lsu_bus_buffer.scala 141:95] + node _T_88 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 141:132] + node _T_89 = and(_T_87, _T_88) @[lsu_bus_buffer.scala 141:114] + node _T_90 = bits(buf_byteen[1], 0, 0) @[lsu_bus_buffer.scala 141:110] + node _T_91 = and(ld_addr_hitvec_lo_1, _T_90) @[lsu_bus_buffer.scala 141:95] + node _T_92 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 141:132] + node _T_93 = and(_T_91, _T_92) @[lsu_bus_buffer.scala 141:114] + node _T_94 = bits(buf_byteen[2], 0, 0) @[lsu_bus_buffer.scala 141:110] + node _T_95 = and(ld_addr_hitvec_lo_2, _T_94) @[lsu_bus_buffer.scala 141:95] + node _T_96 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 141:132] + node _T_97 = and(_T_95, _T_96) @[lsu_bus_buffer.scala 141:114] + node _T_98 = bits(buf_byteen[3], 0, 0) @[lsu_bus_buffer.scala 141:110] + node _T_99 = and(ld_addr_hitvec_lo_3, _T_98) @[lsu_bus_buffer.scala 141:95] + node _T_100 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 141:132] + node _T_101 = and(_T_99, _T_100) @[lsu_bus_buffer.scala 141:114] + node _T_102 = cat(_T_101, _T_97) @[Cat.scala 29:58] + node _T_103 = cat(_T_102, _T_93) @[Cat.scala 29:58] + node ld_byte_hitvec_lo_0 = cat(_T_103, _T_89) @[Cat.scala 29:58] + node _T_104 = bits(buf_byteen[0], 1, 1) @[lsu_bus_buffer.scala 141:110] + node _T_105 = and(ld_addr_hitvec_lo_0, _T_104) @[lsu_bus_buffer.scala 141:95] + node _T_106 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 141:132] + node _T_107 = and(_T_105, _T_106) @[lsu_bus_buffer.scala 141:114] + node _T_108 = bits(buf_byteen[1], 1, 1) @[lsu_bus_buffer.scala 141:110] + node _T_109 = and(ld_addr_hitvec_lo_1, _T_108) @[lsu_bus_buffer.scala 141:95] + node _T_110 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 141:132] + node _T_111 = and(_T_109, _T_110) @[lsu_bus_buffer.scala 141:114] + node _T_112 = bits(buf_byteen[2], 1, 1) @[lsu_bus_buffer.scala 141:110] + node _T_113 = and(ld_addr_hitvec_lo_2, _T_112) @[lsu_bus_buffer.scala 141:95] + node _T_114 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 141:132] + node _T_115 = and(_T_113, _T_114) @[lsu_bus_buffer.scala 141:114] + node _T_116 = bits(buf_byteen[3], 1, 1) @[lsu_bus_buffer.scala 141:110] + node _T_117 = and(ld_addr_hitvec_lo_3, _T_116) @[lsu_bus_buffer.scala 141:95] + node _T_118 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 141:132] + node _T_119 = and(_T_117, _T_118) @[lsu_bus_buffer.scala 141:114] + node _T_120 = cat(_T_119, _T_115) @[Cat.scala 29:58] + node _T_121 = cat(_T_120, _T_111) @[Cat.scala 29:58] + node ld_byte_hitvec_lo_1 = cat(_T_121, _T_107) @[Cat.scala 29:58] + node _T_122 = bits(buf_byteen[0], 2, 2) @[lsu_bus_buffer.scala 141:110] + node _T_123 = and(ld_addr_hitvec_lo_0, _T_122) @[lsu_bus_buffer.scala 141:95] + node _T_124 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 141:132] + node _T_125 = and(_T_123, _T_124) @[lsu_bus_buffer.scala 141:114] + node _T_126 = bits(buf_byteen[1], 2, 2) @[lsu_bus_buffer.scala 141:110] + node _T_127 = and(ld_addr_hitvec_lo_1, _T_126) @[lsu_bus_buffer.scala 141:95] + node _T_128 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 141:132] + node _T_129 = and(_T_127, _T_128) @[lsu_bus_buffer.scala 141:114] + node _T_130 = bits(buf_byteen[2], 2, 2) @[lsu_bus_buffer.scala 141:110] + node _T_131 = and(ld_addr_hitvec_lo_2, _T_130) @[lsu_bus_buffer.scala 141:95] + node _T_132 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 141:132] + node _T_133 = and(_T_131, _T_132) @[lsu_bus_buffer.scala 141:114] + node _T_134 = bits(buf_byteen[3], 2, 2) @[lsu_bus_buffer.scala 141:110] + node _T_135 = and(ld_addr_hitvec_lo_3, _T_134) @[lsu_bus_buffer.scala 141:95] + node _T_136 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 141:132] + node _T_137 = and(_T_135, _T_136) @[lsu_bus_buffer.scala 141:114] + node _T_138 = cat(_T_137, _T_133) @[Cat.scala 29:58] + node _T_139 = cat(_T_138, _T_129) @[Cat.scala 29:58] + node ld_byte_hitvec_lo_2 = cat(_T_139, _T_125) @[Cat.scala 29:58] + node _T_140 = bits(buf_byteen[0], 3, 3) @[lsu_bus_buffer.scala 141:110] + node _T_141 = and(ld_addr_hitvec_lo_0, _T_140) @[lsu_bus_buffer.scala 141:95] + node _T_142 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 141:132] + node _T_143 = and(_T_141, _T_142) @[lsu_bus_buffer.scala 141:114] + node _T_144 = bits(buf_byteen[1], 3, 3) @[lsu_bus_buffer.scala 141:110] + node _T_145 = and(ld_addr_hitvec_lo_1, _T_144) @[lsu_bus_buffer.scala 141:95] + node _T_146 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 141:132] + node _T_147 = and(_T_145, _T_146) @[lsu_bus_buffer.scala 141:114] + node _T_148 = bits(buf_byteen[2], 3, 3) @[lsu_bus_buffer.scala 141:110] + node _T_149 = and(ld_addr_hitvec_lo_2, _T_148) @[lsu_bus_buffer.scala 141:95] + node _T_150 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 141:132] + node _T_151 = and(_T_149, _T_150) @[lsu_bus_buffer.scala 141:114] + node _T_152 = bits(buf_byteen[3], 3, 3) @[lsu_bus_buffer.scala 141:110] + node _T_153 = and(ld_addr_hitvec_lo_3, _T_152) @[lsu_bus_buffer.scala 141:95] + node _T_154 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 141:132] + node _T_155 = and(_T_153, _T_154) @[lsu_bus_buffer.scala 141:114] + node _T_156 = cat(_T_155, _T_151) @[Cat.scala 29:58] + node _T_157 = cat(_T_156, _T_147) @[Cat.scala 29:58] + node ld_byte_hitvec_lo_3 = cat(_T_157, _T_143) @[Cat.scala 29:58] + node _T_158 = bits(buf_byteen[0], 0, 0) @[lsu_bus_buffer.scala 142:110] + node _T_159 = and(ld_addr_hitvec_hi_0, _T_158) @[lsu_bus_buffer.scala 142:95] + node _T_160 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 142:132] + node _T_161 = and(_T_159, _T_160) @[lsu_bus_buffer.scala 142:114] + node _T_162 = bits(buf_byteen[1], 0, 0) @[lsu_bus_buffer.scala 142:110] + node _T_163 = and(ld_addr_hitvec_hi_1, _T_162) @[lsu_bus_buffer.scala 142:95] + node _T_164 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 142:132] + node _T_165 = and(_T_163, _T_164) @[lsu_bus_buffer.scala 142:114] + node _T_166 = bits(buf_byteen[2], 0, 0) @[lsu_bus_buffer.scala 142:110] + node _T_167 = and(ld_addr_hitvec_hi_2, _T_166) @[lsu_bus_buffer.scala 142:95] + node _T_168 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 142:132] + node _T_169 = and(_T_167, _T_168) @[lsu_bus_buffer.scala 142:114] + node _T_170 = bits(buf_byteen[3], 0, 0) @[lsu_bus_buffer.scala 142:110] + node _T_171 = and(ld_addr_hitvec_hi_3, _T_170) @[lsu_bus_buffer.scala 142:95] + node _T_172 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 142:132] + node _T_173 = and(_T_171, _T_172) @[lsu_bus_buffer.scala 142:114] + node _T_174 = cat(_T_173, _T_169) @[Cat.scala 29:58] + node _T_175 = cat(_T_174, _T_165) @[Cat.scala 29:58] + node ld_byte_hitvec_hi_0 = cat(_T_175, _T_161) @[Cat.scala 29:58] + node _T_176 = bits(buf_byteen[0], 1, 1) @[lsu_bus_buffer.scala 142:110] + node _T_177 = and(ld_addr_hitvec_hi_0, _T_176) @[lsu_bus_buffer.scala 142:95] + node _T_178 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 142:132] + node _T_179 = and(_T_177, _T_178) @[lsu_bus_buffer.scala 142:114] + node _T_180 = bits(buf_byteen[1], 1, 1) @[lsu_bus_buffer.scala 142:110] + node _T_181 = and(ld_addr_hitvec_hi_1, _T_180) @[lsu_bus_buffer.scala 142:95] + node _T_182 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 142:132] + node _T_183 = and(_T_181, _T_182) @[lsu_bus_buffer.scala 142:114] + node _T_184 = bits(buf_byteen[2], 1, 1) @[lsu_bus_buffer.scala 142:110] + node _T_185 = and(ld_addr_hitvec_hi_2, _T_184) @[lsu_bus_buffer.scala 142:95] + node _T_186 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 142:132] + node _T_187 = and(_T_185, _T_186) @[lsu_bus_buffer.scala 142:114] + node _T_188 = bits(buf_byteen[3], 1, 1) @[lsu_bus_buffer.scala 142:110] + node _T_189 = and(ld_addr_hitvec_hi_3, _T_188) @[lsu_bus_buffer.scala 142:95] + node _T_190 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 142:132] + node _T_191 = and(_T_189, _T_190) @[lsu_bus_buffer.scala 142:114] + node _T_192 = cat(_T_191, _T_187) @[Cat.scala 29:58] + node _T_193 = cat(_T_192, _T_183) @[Cat.scala 29:58] + node ld_byte_hitvec_hi_1 = cat(_T_193, _T_179) @[Cat.scala 29:58] + node _T_194 = bits(buf_byteen[0], 2, 2) @[lsu_bus_buffer.scala 142:110] + node _T_195 = and(ld_addr_hitvec_hi_0, _T_194) @[lsu_bus_buffer.scala 142:95] + node _T_196 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 142:132] + node _T_197 = and(_T_195, _T_196) @[lsu_bus_buffer.scala 142:114] + node _T_198 = bits(buf_byteen[1], 2, 2) @[lsu_bus_buffer.scala 142:110] + node _T_199 = and(ld_addr_hitvec_hi_1, _T_198) @[lsu_bus_buffer.scala 142:95] + node _T_200 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 142:132] + node _T_201 = and(_T_199, _T_200) @[lsu_bus_buffer.scala 142:114] + node _T_202 = bits(buf_byteen[2], 2, 2) @[lsu_bus_buffer.scala 142:110] + node _T_203 = and(ld_addr_hitvec_hi_2, _T_202) @[lsu_bus_buffer.scala 142:95] + node _T_204 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 142:132] + node _T_205 = and(_T_203, _T_204) @[lsu_bus_buffer.scala 142:114] + node _T_206 = bits(buf_byteen[3], 2, 2) @[lsu_bus_buffer.scala 142:110] + node _T_207 = and(ld_addr_hitvec_hi_3, _T_206) @[lsu_bus_buffer.scala 142:95] + node _T_208 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 142:132] + node _T_209 = and(_T_207, _T_208) @[lsu_bus_buffer.scala 142:114] + node _T_210 = cat(_T_209, _T_205) @[Cat.scala 29:58] + node _T_211 = cat(_T_210, _T_201) @[Cat.scala 29:58] + node ld_byte_hitvec_hi_2 = cat(_T_211, _T_197) @[Cat.scala 29:58] + node _T_212 = bits(buf_byteen[0], 3, 3) @[lsu_bus_buffer.scala 142:110] + node _T_213 = and(ld_addr_hitvec_hi_0, _T_212) @[lsu_bus_buffer.scala 142:95] + node _T_214 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 142:132] + node _T_215 = and(_T_213, _T_214) @[lsu_bus_buffer.scala 142:114] + node _T_216 = bits(buf_byteen[1], 3, 3) @[lsu_bus_buffer.scala 142:110] + node _T_217 = and(ld_addr_hitvec_hi_1, _T_216) @[lsu_bus_buffer.scala 142:95] + node _T_218 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 142:132] + node _T_219 = and(_T_217, _T_218) @[lsu_bus_buffer.scala 142:114] + node _T_220 = bits(buf_byteen[2], 3, 3) @[lsu_bus_buffer.scala 142:110] + node _T_221 = and(ld_addr_hitvec_hi_2, _T_220) @[lsu_bus_buffer.scala 142:95] + node _T_222 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 142:132] + node _T_223 = and(_T_221, _T_222) @[lsu_bus_buffer.scala 142:114] + node _T_224 = bits(buf_byteen[3], 3, 3) @[lsu_bus_buffer.scala 142:110] + node _T_225 = and(ld_addr_hitvec_hi_3, _T_224) @[lsu_bus_buffer.scala 142:95] + node _T_226 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 142:132] + node _T_227 = and(_T_225, _T_226) @[lsu_bus_buffer.scala 142:114] + node _T_228 = cat(_T_227, _T_223) @[Cat.scala 29:58] + node _T_229 = cat(_T_228, _T_219) @[Cat.scala 29:58] + node ld_byte_hitvec_hi_3 = cat(_T_229, _T_215) @[Cat.scala 29:58] + wire buf_age_younger : UInt<4>[4] @[lsu_bus_buffer.scala 144:29] + buf_age_younger[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 145:19] + buf_age_younger[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 145:19] + buf_age_younger[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 145:19] + buf_age_younger[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 145:19] + node _T_230 = bits(ld_byte_hitvec_lo_0, 0, 0) @[lsu_bus_buffer.scala 146:93] + node _T_231 = and(ld_byte_hitvec_lo_0, buf_age_younger[0]) @[lsu_bus_buffer.scala 146:122] + node _T_232 = orr(_T_231) @[lsu_bus_buffer.scala 146:144] + node _T_233 = eq(_T_232, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_234 = and(_T_230, _T_233) @[lsu_bus_buffer.scala 146:97] + node _T_235 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 146:170] + node _T_236 = eq(_T_235, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_237 = and(_T_234, _T_236) @[lsu_bus_buffer.scala 146:148] + node _T_238 = bits(ld_byte_hitvec_lo_0, 1, 1) @[lsu_bus_buffer.scala 146:93] + node _T_239 = and(ld_byte_hitvec_lo_0, buf_age_younger[1]) @[lsu_bus_buffer.scala 146:122] + node _T_240 = orr(_T_239) @[lsu_bus_buffer.scala 146:144] + node _T_241 = eq(_T_240, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_242 = and(_T_238, _T_241) @[lsu_bus_buffer.scala 146:97] + node _T_243 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 146:170] + node _T_244 = eq(_T_243, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_245 = and(_T_242, _T_244) @[lsu_bus_buffer.scala 146:148] + node _T_246 = bits(ld_byte_hitvec_lo_0, 2, 2) @[lsu_bus_buffer.scala 146:93] + node _T_247 = and(ld_byte_hitvec_lo_0, buf_age_younger[2]) @[lsu_bus_buffer.scala 146:122] + node _T_248 = orr(_T_247) @[lsu_bus_buffer.scala 146:144] + node _T_249 = eq(_T_248, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_250 = and(_T_246, _T_249) @[lsu_bus_buffer.scala 146:97] + node _T_251 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 146:170] + node _T_252 = eq(_T_251, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_253 = and(_T_250, _T_252) @[lsu_bus_buffer.scala 146:148] + node _T_254 = bits(ld_byte_hitvec_lo_0, 3, 3) @[lsu_bus_buffer.scala 146:93] + node _T_255 = and(ld_byte_hitvec_lo_0, buf_age_younger[3]) @[lsu_bus_buffer.scala 146:122] + node _T_256 = orr(_T_255) @[lsu_bus_buffer.scala 146:144] + node _T_257 = eq(_T_256, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_258 = and(_T_254, _T_257) @[lsu_bus_buffer.scala 146:97] + node _T_259 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 146:170] + node _T_260 = eq(_T_259, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_261 = and(_T_258, _T_260) @[lsu_bus_buffer.scala 146:148] + node _T_262 = cat(_T_261, _T_253) @[Cat.scala 29:58] + node _T_263 = cat(_T_262, _T_245) @[Cat.scala 29:58] + node _T_264 = cat(_T_263, _T_237) @[Cat.scala 29:58] + node _T_265 = bits(ld_byte_hitvec_lo_1, 0, 0) @[lsu_bus_buffer.scala 146:93] + node _T_266 = and(ld_byte_hitvec_lo_1, buf_age_younger[0]) @[lsu_bus_buffer.scala 146:122] + node _T_267 = orr(_T_266) @[lsu_bus_buffer.scala 146:144] + node _T_268 = eq(_T_267, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_269 = and(_T_265, _T_268) @[lsu_bus_buffer.scala 146:97] + node _T_270 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 146:170] + node _T_271 = eq(_T_270, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_272 = and(_T_269, _T_271) @[lsu_bus_buffer.scala 146:148] + node _T_273 = bits(ld_byte_hitvec_lo_1, 1, 1) @[lsu_bus_buffer.scala 146:93] + node _T_274 = and(ld_byte_hitvec_lo_1, buf_age_younger[1]) @[lsu_bus_buffer.scala 146:122] + node _T_275 = orr(_T_274) @[lsu_bus_buffer.scala 146:144] + node _T_276 = eq(_T_275, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_277 = and(_T_273, _T_276) @[lsu_bus_buffer.scala 146:97] + node _T_278 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 146:170] + node _T_279 = eq(_T_278, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_280 = and(_T_277, _T_279) @[lsu_bus_buffer.scala 146:148] + node _T_281 = bits(ld_byte_hitvec_lo_1, 2, 2) @[lsu_bus_buffer.scala 146:93] + node _T_282 = and(ld_byte_hitvec_lo_1, buf_age_younger[2]) @[lsu_bus_buffer.scala 146:122] + node _T_283 = orr(_T_282) @[lsu_bus_buffer.scala 146:144] + node _T_284 = eq(_T_283, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_285 = and(_T_281, _T_284) @[lsu_bus_buffer.scala 146:97] + node _T_286 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 146:170] + node _T_287 = eq(_T_286, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_288 = and(_T_285, _T_287) @[lsu_bus_buffer.scala 146:148] + node _T_289 = bits(ld_byte_hitvec_lo_1, 3, 3) @[lsu_bus_buffer.scala 146:93] + node _T_290 = and(ld_byte_hitvec_lo_1, buf_age_younger[3]) @[lsu_bus_buffer.scala 146:122] + node _T_291 = orr(_T_290) @[lsu_bus_buffer.scala 146:144] + node _T_292 = eq(_T_291, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_293 = and(_T_289, _T_292) @[lsu_bus_buffer.scala 146:97] + node _T_294 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 146:170] + node _T_295 = eq(_T_294, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_296 = and(_T_293, _T_295) @[lsu_bus_buffer.scala 146:148] + node _T_297 = cat(_T_296, _T_288) @[Cat.scala 29:58] + node _T_298 = cat(_T_297, _T_280) @[Cat.scala 29:58] + node _T_299 = cat(_T_298, _T_272) @[Cat.scala 29:58] + node _T_300 = bits(ld_byte_hitvec_lo_2, 0, 0) @[lsu_bus_buffer.scala 146:93] + node _T_301 = and(ld_byte_hitvec_lo_2, buf_age_younger[0]) @[lsu_bus_buffer.scala 146:122] + node _T_302 = orr(_T_301) @[lsu_bus_buffer.scala 146:144] + node _T_303 = eq(_T_302, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_304 = and(_T_300, _T_303) @[lsu_bus_buffer.scala 146:97] + node _T_305 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 146:170] + node _T_306 = eq(_T_305, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_307 = and(_T_304, _T_306) @[lsu_bus_buffer.scala 146:148] + node _T_308 = bits(ld_byte_hitvec_lo_2, 1, 1) @[lsu_bus_buffer.scala 146:93] + node _T_309 = and(ld_byte_hitvec_lo_2, buf_age_younger[1]) @[lsu_bus_buffer.scala 146:122] + node _T_310 = orr(_T_309) @[lsu_bus_buffer.scala 146:144] + node _T_311 = eq(_T_310, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_312 = and(_T_308, _T_311) @[lsu_bus_buffer.scala 146:97] + node _T_313 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 146:170] + node _T_314 = eq(_T_313, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_315 = and(_T_312, _T_314) @[lsu_bus_buffer.scala 146:148] + node _T_316 = bits(ld_byte_hitvec_lo_2, 2, 2) @[lsu_bus_buffer.scala 146:93] + node _T_317 = and(ld_byte_hitvec_lo_2, buf_age_younger[2]) @[lsu_bus_buffer.scala 146:122] + node _T_318 = orr(_T_317) @[lsu_bus_buffer.scala 146:144] + node _T_319 = eq(_T_318, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_320 = and(_T_316, _T_319) @[lsu_bus_buffer.scala 146:97] + node _T_321 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 146:170] + node _T_322 = eq(_T_321, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_323 = and(_T_320, _T_322) @[lsu_bus_buffer.scala 146:148] + node _T_324 = bits(ld_byte_hitvec_lo_2, 3, 3) @[lsu_bus_buffer.scala 146:93] + node _T_325 = and(ld_byte_hitvec_lo_2, buf_age_younger[3]) @[lsu_bus_buffer.scala 146:122] + node _T_326 = orr(_T_325) @[lsu_bus_buffer.scala 146:144] + node _T_327 = eq(_T_326, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_328 = and(_T_324, _T_327) @[lsu_bus_buffer.scala 146:97] + node _T_329 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 146:170] + node _T_330 = eq(_T_329, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_331 = and(_T_328, _T_330) @[lsu_bus_buffer.scala 146:148] + node _T_332 = cat(_T_331, _T_323) @[Cat.scala 29:58] + node _T_333 = cat(_T_332, _T_315) @[Cat.scala 29:58] + node _T_334 = cat(_T_333, _T_307) @[Cat.scala 29:58] + node _T_335 = bits(ld_byte_hitvec_lo_3, 0, 0) @[lsu_bus_buffer.scala 146:93] + node _T_336 = and(ld_byte_hitvec_lo_3, buf_age_younger[0]) @[lsu_bus_buffer.scala 146:122] + node _T_337 = orr(_T_336) @[lsu_bus_buffer.scala 146:144] + node _T_338 = eq(_T_337, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_339 = and(_T_335, _T_338) @[lsu_bus_buffer.scala 146:97] + node _T_340 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 146:170] + node _T_341 = eq(_T_340, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_342 = and(_T_339, _T_341) @[lsu_bus_buffer.scala 146:148] + node _T_343 = bits(ld_byte_hitvec_lo_3, 1, 1) @[lsu_bus_buffer.scala 146:93] + node _T_344 = and(ld_byte_hitvec_lo_3, buf_age_younger[1]) @[lsu_bus_buffer.scala 146:122] + node _T_345 = orr(_T_344) @[lsu_bus_buffer.scala 146:144] + node _T_346 = eq(_T_345, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_347 = and(_T_343, _T_346) @[lsu_bus_buffer.scala 146:97] + node _T_348 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 146:170] + node _T_349 = eq(_T_348, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_350 = and(_T_347, _T_349) @[lsu_bus_buffer.scala 146:148] + node _T_351 = bits(ld_byte_hitvec_lo_3, 2, 2) @[lsu_bus_buffer.scala 146:93] + node _T_352 = and(ld_byte_hitvec_lo_3, buf_age_younger[2]) @[lsu_bus_buffer.scala 146:122] + node _T_353 = orr(_T_352) @[lsu_bus_buffer.scala 146:144] + node _T_354 = eq(_T_353, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_355 = and(_T_351, _T_354) @[lsu_bus_buffer.scala 146:97] + node _T_356 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 146:170] + node _T_357 = eq(_T_356, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_358 = and(_T_355, _T_357) @[lsu_bus_buffer.scala 146:148] + node _T_359 = bits(ld_byte_hitvec_lo_3, 3, 3) @[lsu_bus_buffer.scala 146:93] + node _T_360 = and(ld_byte_hitvec_lo_3, buf_age_younger[3]) @[lsu_bus_buffer.scala 146:122] + node _T_361 = orr(_T_360) @[lsu_bus_buffer.scala 146:144] + node _T_362 = eq(_T_361, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_363 = and(_T_359, _T_362) @[lsu_bus_buffer.scala 146:97] + node _T_364 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 146:170] + node _T_365 = eq(_T_364, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_366 = and(_T_363, _T_365) @[lsu_bus_buffer.scala 146:148] + node _T_367 = cat(_T_366, _T_358) @[Cat.scala 29:58] + node _T_368 = cat(_T_367, _T_350) @[Cat.scala 29:58] + node _T_369 = cat(_T_368, _T_342) @[Cat.scala 29:58] + ld_byte_hitvecfn_lo[0] <= _T_264 @[lsu_bus_buffer.scala 146:23] + ld_byte_hitvecfn_lo[1] <= _T_299 @[lsu_bus_buffer.scala 146:23] + ld_byte_hitvecfn_lo[2] <= _T_334 @[lsu_bus_buffer.scala 146:23] + ld_byte_hitvecfn_lo[3] <= _T_369 @[lsu_bus_buffer.scala 146:23] + node _T_370 = bits(ld_byte_hitvec_hi_0, 0, 0) @[lsu_bus_buffer.scala 147:93] + node _T_371 = and(ld_byte_hitvec_hi_0, buf_age_younger[0]) @[lsu_bus_buffer.scala 147:122] + node _T_372 = orr(_T_371) @[lsu_bus_buffer.scala 147:144] + node _T_373 = eq(_T_372, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_374 = and(_T_370, _T_373) @[lsu_bus_buffer.scala 147:97] + node _T_375 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 147:170] + node _T_376 = eq(_T_375, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_377 = and(_T_374, _T_376) @[lsu_bus_buffer.scala 147:148] + node _T_378 = bits(ld_byte_hitvec_hi_0, 1, 1) @[lsu_bus_buffer.scala 147:93] + node _T_379 = and(ld_byte_hitvec_hi_0, buf_age_younger[1]) @[lsu_bus_buffer.scala 147:122] + node _T_380 = orr(_T_379) @[lsu_bus_buffer.scala 147:144] + node _T_381 = eq(_T_380, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_382 = and(_T_378, _T_381) @[lsu_bus_buffer.scala 147:97] + node _T_383 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 147:170] + node _T_384 = eq(_T_383, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_385 = and(_T_382, _T_384) @[lsu_bus_buffer.scala 147:148] + node _T_386 = bits(ld_byte_hitvec_hi_0, 2, 2) @[lsu_bus_buffer.scala 147:93] + node _T_387 = and(ld_byte_hitvec_hi_0, buf_age_younger[2]) @[lsu_bus_buffer.scala 147:122] + node _T_388 = orr(_T_387) @[lsu_bus_buffer.scala 147:144] + node _T_389 = eq(_T_388, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_390 = and(_T_386, _T_389) @[lsu_bus_buffer.scala 147:97] + node _T_391 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 147:170] + node _T_392 = eq(_T_391, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_393 = and(_T_390, _T_392) @[lsu_bus_buffer.scala 147:148] + node _T_394 = bits(ld_byte_hitvec_hi_0, 3, 3) @[lsu_bus_buffer.scala 147:93] + node _T_395 = and(ld_byte_hitvec_hi_0, buf_age_younger[3]) @[lsu_bus_buffer.scala 147:122] + node _T_396 = orr(_T_395) @[lsu_bus_buffer.scala 147:144] + node _T_397 = eq(_T_396, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_398 = and(_T_394, _T_397) @[lsu_bus_buffer.scala 147:97] + node _T_399 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 147:170] + node _T_400 = eq(_T_399, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_401 = and(_T_398, _T_400) @[lsu_bus_buffer.scala 147:148] + node _T_402 = cat(_T_401, _T_393) @[Cat.scala 29:58] + node _T_403 = cat(_T_402, _T_385) @[Cat.scala 29:58] + node _T_404 = cat(_T_403, _T_377) @[Cat.scala 29:58] + node _T_405 = bits(ld_byte_hitvec_hi_1, 0, 0) @[lsu_bus_buffer.scala 147:93] + node _T_406 = and(ld_byte_hitvec_hi_1, buf_age_younger[0]) @[lsu_bus_buffer.scala 147:122] + node _T_407 = orr(_T_406) @[lsu_bus_buffer.scala 147:144] + node _T_408 = eq(_T_407, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_409 = and(_T_405, _T_408) @[lsu_bus_buffer.scala 147:97] + node _T_410 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 147:170] + node _T_411 = eq(_T_410, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_412 = and(_T_409, _T_411) @[lsu_bus_buffer.scala 147:148] + node _T_413 = bits(ld_byte_hitvec_hi_1, 1, 1) @[lsu_bus_buffer.scala 147:93] + node _T_414 = and(ld_byte_hitvec_hi_1, buf_age_younger[1]) @[lsu_bus_buffer.scala 147:122] + node _T_415 = orr(_T_414) @[lsu_bus_buffer.scala 147:144] + node _T_416 = eq(_T_415, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_417 = and(_T_413, _T_416) @[lsu_bus_buffer.scala 147:97] + node _T_418 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 147:170] + node _T_419 = eq(_T_418, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_420 = and(_T_417, _T_419) @[lsu_bus_buffer.scala 147:148] + node _T_421 = bits(ld_byte_hitvec_hi_1, 2, 2) @[lsu_bus_buffer.scala 147:93] + node _T_422 = and(ld_byte_hitvec_hi_1, buf_age_younger[2]) @[lsu_bus_buffer.scala 147:122] + node _T_423 = orr(_T_422) @[lsu_bus_buffer.scala 147:144] + node _T_424 = eq(_T_423, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_425 = and(_T_421, _T_424) @[lsu_bus_buffer.scala 147:97] + node _T_426 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 147:170] + node _T_427 = eq(_T_426, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_428 = and(_T_425, _T_427) @[lsu_bus_buffer.scala 147:148] + node _T_429 = bits(ld_byte_hitvec_hi_1, 3, 3) @[lsu_bus_buffer.scala 147:93] + node _T_430 = and(ld_byte_hitvec_hi_1, buf_age_younger[3]) @[lsu_bus_buffer.scala 147:122] + node _T_431 = orr(_T_430) @[lsu_bus_buffer.scala 147:144] + node _T_432 = eq(_T_431, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_433 = and(_T_429, _T_432) @[lsu_bus_buffer.scala 147:97] + node _T_434 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 147:170] + node _T_435 = eq(_T_434, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_436 = and(_T_433, _T_435) @[lsu_bus_buffer.scala 147:148] + node _T_437 = cat(_T_436, _T_428) @[Cat.scala 29:58] + node _T_438 = cat(_T_437, _T_420) @[Cat.scala 29:58] + node _T_439 = cat(_T_438, _T_412) @[Cat.scala 29:58] + node _T_440 = bits(ld_byte_hitvec_hi_2, 0, 0) @[lsu_bus_buffer.scala 147:93] + node _T_441 = and(ld_byte_hitvec_hi_2, buf_age_younger[0]) @[lsu_bus_buffer.scala 147:122] + node _T_442 = orr(_T_441) @[lsu_bus_buffer.scala 147:144] + node _T_443 = eq(_T_442, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_444 = and(_T_440, _T_443) @[lsu_bus_buffer.scala 147:97] + node _T_445 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 147:170] + node _T_446 = eq(_T_445, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_447 = and(_T_444, _T_446) @[lsu_bus_buffer.scala 147:148] + node _T_448 = bits(ld_byte_hitvec_hi_2, 1, 1) @[lsu_bus_buffer.scala 147:93] + node _T_449 = and(ld_byte_hitvec_hi_2, buf_age_younger[1]) @[lsu_bus_buffer.scala 147:122] + node _T_450 = orr(_T_449) @[lsu_bus_buffer.scala 147:144] + node _T_451 = eq(_T_450, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_452 = and(_T_448, _T_451) @[lsu_bus_buffer.scala 147:97] + node _T_453 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 147:170] + node _T_454 = eq(_T_453, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_455 = and(_T_452, _T_454) @[lsu_bus_buffer.scala 147:148] + node _T_456 = bits(ld_byte_hitvec_hi_2, 2, 2) @[lsu_bus_buffer.scala 147:93] + node _T_457 = and(ld_byte_hitvec_hi_2, buf_age_younger[2]) @[lsu_bus_buffer.scala 147:122] + node _T_458 = orr(_T_457) @[lsu_bus_buffer.scala 147:144] + node _T_459 = eq(_T_458, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_460 = and(_T_456, _T_459) @[lsu_bus_buffer.scala 147:97] + node _T_461 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 147:170] + node _T_462 = eq(_T_461, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_463 = and(_T_460, _T_462) @[lsu_bus_buffer.scala 147:148] + node _T_464 = bits(ld_byte_hitvec_hi_2, 3, 3) @[lsu_bus_buffer.scala 147:93] + node _T_465 = and(ld_byte_hitvec_hi_2, buf_age_younger[3]) @[lsu_bus_buffer.scala 147:122] + node _T_466 = orr(_T_465) @[lsu_bus_buffer.scala 147:144] + node _T_467 = eq(_T_466, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_468 = and(_T_464, _T_467) @[lsu_bus_buffer.scala 147:97] + node _T_469 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 147:170] + node _T_470 = eq(_T_469, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_471 = and(_T_468, _T_470) @[lsu_bus_buffer.scala 147:148] + node _T_472 = cat(_T_471, _T_463) @[Cat.scala 29:58] + node _T_473 = cat(_T_472, _T_455) @[Cat.scala 29:58] + node _T_474 = cat(_T_473, _T_447) @[Cat.scala 29:58] + node _T_475 = bits(ld_byte_hitvec_hi_3, 0, 0) @[lsu_bus_buffer.scala 147:93] + node _T_476 = and(ld_byte_hitvec_hi_3, buf_age_younger[0]) @[lsu_bus_buffer.scala 147:122] + node _T_477 = orr(_T_476) @[lsu_bus_buffer.scala 147:144] + node _T_478 = eq(_T_477, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_479 = and(_T_475, _T_478) @[lsu_bus_buffer.scala 147:97] + node _T_480 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 147:170] + node _T_481 = eq(_T_480, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_482 = and(_T_479, _T_481) @[lsu_bus_buffer.scala 147:148] + node _T_483 = bits(ld_byte_hitvec_hi_3, 1, 1) @[lsu_bus_buffer.scala 147:93] + node _T_484 = and(ld_byte_hitvec_hi_3, buf_age_younger[1]) @[lsu_bus_buffer.scala 147:122] + node _T_485 = orr(_T_484) @[lsu_bus_buffer.scala 147:144] + node _T_486 = eq(_T_485, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_487 = and(_T_483, _T_486) @[lsu_bus_buffer.scala 147:97] + node _T_488 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 147:170] + node _T_489 = eq(_T_488, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_490 = and(_T_487, _T_489) @[lsu_bus_buffer.scala 147:148] + node _T_491 = bits(ld_byte_hitvec_hi_3, 2, 2) @[lsu_bus_buffer.scala 147:93] + node _T_492 = and(ld_byte_hitvec_hi_3, buf_age_younger[2]) @[lsu_bus_buffer.scala 147:122] + node _T_493 = orr(_T_492) @[lsu_bus_buffer.scala 147:144] + node _T_494 = eq(_T_493, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_495 = and(_T_491, _T_494) @[lsu_bus_buffer.scala 147:97] + node _T_496 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 147:170] + node _T_497 = eq(_T_496, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_498 = and(_T_495, _T_497) @[lsu_bus_buffer.scala 147:148] + node _T_499 = bits(ld_byte_hitvec_hi_3, 3, 3) @[lsu_bus_buffer.scala 147:93] + node _T_500 = and(ld_byte_hitvec_hi_3, buf_age_younger[3]) @[lsu_bus_buffer.scala 147:122] + node _T_501 = orr(_T_500) @[lsu_bus_buffer.scala 147:144] + node _T_502 = eq(_T_501, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_503 = and(_T_499, _T_502) @[lsu_bus_buffer.scala 147:97] + node _T_504 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 147:170] + node _T_505 = eq(_T_504, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_506 = and(_T_503, _T_505) @[lsu_bus_buffer.scala 147:148] + node _T_507 = cat(_T_506, _T_498) @[Cat.scala 29:58] + node _T_508 = cat(_T_507, _T_490) @[Cat.scala 29:58] + node _T_509 = cat(_T_508, _T_482) @[Cat.scala 29:58] + ld_byte_hitvecfn_hi[0] <= _T_404 @[lsu_bus_buffer.scala 147:23] + ld_byte_hitvecfn_hi[1] <= _T_439 @[lsu_bus_buffer.scala 147:23] + ld_byte_hitvecfn_hi[2] <= _T_474 @[lsu_bus_buffer.scala 147:23] + ld_byte_hitvecfn_hi[3] <= _T_509 @[lsu_bus_buffer.scala 147:23] + wire ibuf_addr : UInt<32> + ibuf_addr <= UInt<1>("h00") + wire ibuf_write : UInt<1> + ibuf_write <= UInt<1>("h00") + wire ibuf_valid : UInt<1> + ibuf_valid <= UInt<1>("h00") + node _T_510 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 152:43] + node _T_511 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 152:64] + node _T_512 = eq(_T_510, _T_511) @[lsu_bus_buffer.scala 152:51] + node _T_513 = and(_T_512, ibuf_write) @[lsu_bus_buffer.scala 152:73] + node _T_514 = and(_T_513, ibuf_valid) @[lsu_bus_buffer.scala 152:86] + node ld_addr_ibuf_hit_lo = and(_T_514, io.lsu_busreq_m) @[lsu_bus_buffer.scala 152:99] + node _T_515 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 153:43] + node _T_516 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 153:64] + node _T_517 = eq(_T_515, _T_516) @[lsu_bus_buffer.scala 153:51] + node _T_518 = and(_T_517, ibuf_write) @[lsu_bus_buffer.scala 153:73] + node _T_519 = and(_T_518, ibuf_valid) @[lsu_bus_buffer.scala 153:86] + node ld_addr_ibuf_hit_hi = and(_T_519, io.lsu_busreq_m) @[lsu_bus_buffer.scala 153:99] + wire ibuf_byteen : UInt<4> + ibuf_byteen <= UInt<1>("h00") + node _T_520 = bits(ld_addr_ibuf_hit_lo, 0, 0) @[Bitwise.scala 72:15] + node _T_521 = mux(_T_520, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_522 = and(_T_521, ibuf_byteen) @[lsu_bus_buffer.scala 157:55] + node _T_523 = and(_T_522, ldst_byteen_lo_m) @[lsu_bus_buffer.scala 157:69] + ld_byte_ibuf_hit_lo <= _T_523 @[lsu_bus_buffer.scala 157:23] + node _T_524 = bits(ld_addr_ibuf_hit_hi, 0, 0) @[Bitwise.scala 72:15] + node _T_525 = mux(_T_524, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_526 = and(_T_525, ibuf_byteen) @[lsu_bus_buffer.scala 158:55] + node _T_527 = and(_T_526, ldst_byteen_hi_m) @[lsu_bus_buffer.scala 158:69] + ld_byte_ibuf_hit_hi <= _T_527 @[lsu_bus_buffer.scala 158:23] + wire buf_data : UInt<32>[4] @[lsu_bus_buffer.scala 160:22] + buf_data[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 161:12] + buf_data[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 161:12] + buf_data[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 161:12] + buf_data[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 161:12] + wire fwd_data : UInt<32> + fwd_data <= UInt<1>("h00") + node _T_528 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 163:81] + node _T_529 = bits(_T_528, 0, 0) @[Bitwise.scala 72:15] + node _T_530 = mux(_T_529, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_531 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 163:81] + node _T_532 = bits(_T_531, 0, 0) @[Bitwise.scala 72:15] + node _T_533 = mux(_T_532, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_534 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 163:81] + node _T_535 = bits(_T_534, 0, 0) @[Bitwise.scala 72:15] + node _T_536 = mux(_T_535, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_537 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 163:81] + node _T_538 = bits(_T_537, 0, 0) @[Bitwise.scala 72:15] + node _T_539 = mux(_T_538, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_540 = cat(_T_539, _T_536) @[Cat.scala 29:58] + node _T_541 = cat(_T_540, _T_533) @[Cat.scala 29:58] + node ld_fwddata_buf_lo_initial = cat(_T_541, _T_530) @[Cat.scala 29:58] + node _T_542 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 164:81] + node _T_543 = bits(_T_542, 0, 0) @[Bitwise.scala 72:15] + node _T_544 = mux(_T_543, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_545 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 164:81] + node _T_546 = bits(_T_545, 0, 0) @[Bitwise.scala 72:15] + node _T_547 = mux(_T_546, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_548 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 164:81] + node _T_549 = bits(_T_548, 0, 0) @[Bitwise.scala 72:15] + node _T_550 = mux(_T_549, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_551 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 164:81] + node _T_552 = bits(_T_551, 0, 0) @[Bitwise.scala 72:15] + node _T_553 = mux(_T_552, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_554 = cat(_T_553, _T_550) @[Cat.scala 29:58] + node _T_555 = cat(_T_554, _T_547) @[Cat.scala 29:58] + node ld_fwddata_buf_hi_initial = cat(_T_555, _T_544) @[Cat.scala 29:58] + node _T_556 = bits(ld_byte_hitvecfn_lo[3], 0, 0) @[lsu_bus_buffer.scala 165:86] + node _T_557 = bits(_T_556, 0, 0) @[Bitwise.scala 72:15] + node _T_558 = mux(_T_557, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_559 = bits(buf_data[0], 31, 24) @[lsu_bus_buffer.scala 165:104] + node _T_560 = and(_T_558, _T_559) @[lsu_bus_buffer.scala 165:91] + node _T_561 = bits(ld_byte_hitvecfn_lo[3], 1, 1) @[lsu_bus_buffer.scala 165:86] + node _T_562 = bits(_T_561, 0, 0) @[Bitwise.scala 72:15] + node _T_563 = mux(_T_562, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_564 = bits(buf_data[1], 31, 24) @[lsu_bus_buffer.scala 165:104] + node _T_565 = and(_T_563, _T_564) @[lsu_bus_buffer.scala 165:91] + node _T_566 = bits(ld_byte_hitvecfn_lo[3], 2, 2) @[lsu_bus_buffer.scala 165:86] + node _T_567 = bits(_T_566, 0, 0) @[Bitwise.scala 72:15] + node _T_568 = mux(_T_567, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_569 = bits(buf_data[2], 31, 24) @[lsu_bus_buffer.scala 165:104] + node _T_570 = and(_T_568, _T_569) @[lsu_bus_buffer.scala 165:91] + node _T_571 = bits(ld_byte_hitvecfn_lo[3], 3, 3) @[lsu_bus_buffer.scala 165:86] + node _T_572 = bits(_T_571, 0, 0) @[Bitwise.scala 72:15] + node _T_573 = mux(_T_572, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_574 = bits(buf_data[3], 31, 24) @[lsu_bus_buffer.scala 165:104] + node _T_575 = and(_T_573, _T_574) @[lsu_bus_buffer.scala 165:91] + node _T_576 = or(_T_560, _T_565) @[lsu_bus_buffer.scala 165:123] + node _T_577 = or(_T_576, _T_570) @[lsu_bus_buffer.scala 165:123] + node _T_578 = or(_T_577, _T_575) @[lsu_bus_buffer.scala 165:123] + node _T_579 = bits(ld_byte_hitvecfn_lo[2], 0, 0) @[lsu_bus_buffer.scala 166:60] + node _T_580 = bits(_T_579, 0, 0) @[Bitwise.scala 72:15] + node _T_581 = mux(_T_580, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_582 = bits(buf_data[0], 23, 16) @[lsu_bus_buffer.scala 166:78] + node _T_583 = and(_T_581, _T_582) @[lsu_bus_buffer.scala 166:65] + node _T_584 = bits(ld_byte_hitvecfn_lo[2], 1, 1) @[lsu_bus_buffer.scala 166:60] + node _T_585 = bits(_T_584, 0, 0) @[Bitwise.scala 72:15] + node _T_586 = mux(_T_585, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_587 = bits(buf_data[1], 23, 16) @[lsu_bus_buffer.scala 166:78] + node _T_588 = and(_T_586, _T_587) @[lsu_bus_buffer.scala 166:65] + node _T_589 = bits(ld_byte_hitvecfn_lo[2], 2, 2) @[lsu_bus_buffer.scala 166:60] + node _T_590 = bits(_T_589, 0, 0) @[Bitwise.scala 72:15] + node _T_591 = mux(_T_590, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_592 = bits(buf_data[2], 23, 16) @[lsu_bus_buffer.scala 166:78] + node _T_593 = and(_T_591, _T_592) @[lsu_bus_buffer.scala 166:65] + node _T_594 = bits(ld_byte_hitvecfn_lo[2], 3, 3) @[lsu_bus_buffer.scala 166:60] + node _T_595 = bits(_T_594, 0, 0) @[Bitwise.scala 72:15] + node _T_596 = mux(_T_595, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_597 = bits(buf_data[3], 23, 16) @[lsu_bus_buffer.scala 166:78] + node _T_598 = and(_T_596, _T_597) @[lsu_bus_buffer.scala 166:65] + node _T_599 = or(_T_583, _T_588) @[lsu_bus_buffer.scala 166:97] + node _T_600 = or(_T_599, _T_593) @[lsu_bus_buffer.scala 166:97] + node _T_601 = or(_T_600, _T_598) @[lsu_bus_buffer.scala 166:97] + node _T_602 = bits(ld_byte_hitvecfn_lo[1], 0, 0) @[lsu_bus_buffer.scala 167:60] + node _T_603 = bits(_T_602, 0, 0) @[Bitwise.scala 72:15] + node _T_604 = mux(_T_603, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_605 = bits(buf_data[0], 15, 8) @[lsu_bus_buffer.scala 167:78] + node _T_606 = and(_T_604, _T_605) @[lsu_bus_buffer.scala 167:65] + node _T_607 = bits(ld_byte_hitvecfn_lo[1], 1, 1) @[lsu_bus_buffer.scala 167:60] + node _T_608 = bits(_T_607, 0, 0) @[Bitwise.scala 72:15] + node _T_609 = mux(_T_608, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_610 = bits(buf_data[1], 15, 8) @[lsu_bus_buffer.scala 167:78] + node _T_611 = and(_T_609, _T_610) @[lsu_bus_buffer.scala 167:65] + node _T_612 = bits(ld_byte_hitvecfn_lo[1], 2, 2) @[lsu_bus_buffer.scala 167:60] + node _T_613 = bits(_T_612, 0, 0) @[Bitwise.scala 72:15] + node _T_614 = mux(_T_613, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_615 = bits(buf_data[2], 15, 8) @[lsu_bus_buffer.scala 167:78] + node _T_616 = and(_T_614, _T_615) @[lsu_bus_buffer.scala 167:65] + node _T_617 = bits(ld_byte_hitvecfn_lo[1], 3, 3) @[lsu_bus_buffer.scala 167:60] + node _T_618 = bits(_T_617, 0, 0) @[Bitwise.scala 72:15] + node _T_619 = mux(_T_618, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_620 = bits(buf_data[3], 15, 8) @[lsu_bus_buffer.scala 167:78] + node _T_621 = and(_T_619, _T_620) @[lsu_bus_buffer.scala 167:65] + node _T_622 = or(_T_606, _T_611) @[lsu_bus_buffer.scala 167:97] + node _T_623 = or(_T_622, _T_616) @[lsu_bus_buffer.scala 167:97] + node _T_624 = or(_T_623, _T_621) @[lsu_bus_buffer.scala 167:97] + node _T_625 = bits(ld_byte_hitvecfn_lo[0], 0, 0) @[lsu_bus_buffer.scala 168:60] + node _T_626 = bits(_T_625, 0, 0) @[Bitwise.scala 72:15] + node _T_627 = mux(_T_626, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_628 = bits(buf_data[0], 7, 0) @[lsu_bus_buffer.scala 168:78] + node _T_629 = and(_T_627, _T_628) @[lsu_bus_buffer.scala 168:65] + node _T_630 = bits(ld_byte_hitvecfn_lo[0], 1, 1) @[lsu_bus_buffer.scala 168:60] + node _T_631 = bits(_T_630, 0, 0) @[Bitwise.scala 72:15] + node _T_632 = mux(_T_631, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_633 = bits(buf_data[1], 7, 0) @[lsu_bus_buffer.scala 168:78] + node _T_634 = and(_T_632, _T_633) @[lsu_bus_buffer.scala 168:65] + node _T_635 = bits(ld_byte_hitvecfn_lo[0], 2, 2) @[lsu_bus_buffer.scala 168:60] + node _T_636 = bits(_T_635, 0, 0) @[Bitwise.scala 72:15] + node _T_637 = mux(_T_636, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_638 = bits(buf_data[2], 7, 0) @[lsu_bus_buffer.scala 168:78] + node _T_639 = and(_T_637, _T_638) @[lsu_bus_buffer.scala 168:65] + node _T_640 = bits(ld_byte_hitvecfn_lo[0], 3, 3) @[lsu_bus_buffer.scala 168:60] + node _T_641 = bits(_T_640, 0, 0) @[Bitwise.scala 72:15] + node _T_642 = mux(_T_641, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_643 = bits(buf_data[3], 7, 0) @[lsu_bus_buffer.scala 168:78] + node _T_644 = and(_T_642, _T_643) @[lsu_bus_buffer.scala 168:65] + node _T_645 = or(_T_629, _T_634) @[lsu_bus_buffer.scala 168:97] + node _T_646 = or(_T_645, _T_639) @[lsu_bus_buffer.scala 168:97] + node _T_647 = or(_T_646, _T_644) @[lsu_bus_buffer.scala 168:97] + node _T_648 = cat(_T_624, _T_647) @[Cat.scala 29:58] + node _T_649 = cat(_T_578, _T_601) @[Cat.scala 29:58] + node _T_650 = cat(_T_649, _T_648) @[Cat.scala 29:58] + node _T_651 = and(ld_fwddata_buf_lo_initial, ibuf_data) @[lsu_bus_buffer.scala 169:32] + node _T_652 = or(_T_650, _T_651) @[lsu_bus_buffer.scala 168:103] + io.ld_fwddata_buf_lo <= _T_652 @[lsu_bus_buffer.scala 165:24] + node _T_653 = bits(ld_byte_hitvecfn_hi[3], 0, 0) @[lsu_bus_buffer.scala 171:86] + node _T_654 = bits(_T_653, 0, 0) @[Bitwise.scala 72:15] + node _T_655 = mux(_T_654, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_656 = bits(buf_data[0], 31, 24) @[lsu_bus_buffer.scala 171:104] + node _T_657 = and(_T_655, _T_656) @[lsu_bus_buffer.scala 171:91] + node _T_658 = bits(ld_byte_hitvecfn_hi[3], 1, 1) @[lsu_bus_buffer.scala 171:86] + node _T_659 = bits(_T_658, 0, 0) @[Bitwise.scala 72:15] + node _T_660 = mux(_T_659, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_661 = bits(buf_data[1], 31, 24) @[lsu_bus_buffer.scala 171:104] + node _T_662 = and(_T_660, _T_661) @[lsu_bus_buffer.scala 171:91] + node _T_663 = bits(ld_byte_hitvecfn_hi[3], 2, 2) @[lsu_bus_buffer.scala 171:86] + node _T_664 = bits(_T_663, 0, 0) @[Bitwise.scala 72:15] + node _T_665 = mux(_T_664, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_666 = bits(buf_data[2], 31, 24) @[lsu_bus_buffer.scala 171:104] + node _T_667 = and(_T_665, _T_666) @[lsu_bus_buffer.scala 171:91] + node _T_668 = bits(ld_byte_hitvecfn_hi[3], 3, 3) @[lsu_bus_buffer.scala 171:86] + node _T_669 = bits(_T_668, 0, 0) @[Bitwise.scala 72:15] + node _T_670 = mux(_T_669, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_671 = bits(buf_data[3], 31, 24) @[lsu_bus_buffer.scala 171:104] + node _T_672 = and(_T_670, _T_671) @[lsu_bus_buffer.scala 171:91] + node _T_673 = or(_T_657, _T_662) @[lsu_bus_buffer.scala 171:123] + node _T_674 = or(_T_673, _T_667) @[lsu_bus_buffer.scala 171:123] + node _T_675 = or(_T_674, _T_672) @[lsu_bus_buffer.scala 171:123] + node _T_676 = bits(ld_byte_hitvecfn_hi[2], 0, 0) @[lsu_bus_buffer.scala 172:60] + node _T_677 = bits(_T_676, 0, 0) @[Bitwise.scala 72:15] + node _T_678 = mux(_T_677, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_679 = bits(buf_data[0], 23, 16) @[lsu_bus_buffer.scala 172:78] + node _T_680 = and(_T_678, _T_679) @[lsu_bus_buffer.scala 172:65] + node _T_681 = bits(ld_byte_hitvecfn_hi[2], 1, 1) @[lsu_bus_buffer.scala 172:60] + node _T_682 = bits(_T_681, 0, 0) @[Bitwise.scala 72:15] + node _T_683 = mux(_T_682, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_684 = bits(buf_data[1], 23, 16) @[lsu_bus_buffer.scala 172:78] + node _T_685 = and(_T_683, _T_684) @[lsu_bus_buffer.scala 172:65] + node _T_686 = bits(ld_byte_hitvecfn_hi[2], 2, 2) @[lsu_bus_buffer.scala 172:60] + node _T_687 = bits(_T_686, 0, 0) @[Bitwise.scala 72:15] + node _T_688 = mux(_T_687, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_689 = bits(buf_data[2], 23, 16) @[lsu_bus_buffer.scala 172:78] + node _T_690 = and(_T_688, _T_689) @[lsu_bus_buffer.scala 172:65] + node _T_691 = bits(ld_byte_hitvecfn_hi[2], 3, 3) @[lsu_bus_buffer.scala 172:60] + node _T_692 = bits(_T_691, 0, 0) @[Bitwise.scala 72:15] + node _T_693 = mux(_T_692, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_694 = bits(buf_data[3], 23, 16) @[lsu_bus_buffer.scala 172:78] + node _T_695 = and(_T_693, _T_694) @[lsu_bus_buffer.scala 172:65] + node _T_696 = or(_T_680, _T_685) @[lsu_bus_buffer.scala 172:97] + node _T_697 = or(_T_696, _T_690) @[lsu_bus_buffer.scala 172:97] + node _T_698 = or(_T_697, _T_695) @[lsu_bus_buffer.scala 172:97] + node _T_699 = bits(ld_byte_hitvecfn_hi[1], 0, 0) @[lsu_bus_buffer.scala 173:60] + node _T_700 = bits(_T_699, 0, 0) @[Bitwise.scala 72:15] + node _T_701 = mux(_T_700, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_702 = bits(buf_data[0], 15, 8) @[lsu_bus_buffer.scala 173:78] + node _T_703 = and(_T_701, _T_702) @[lsu_bus_buffer.scala 173:65] + node _T_704 = bits(ld_byte_hitvecfn_hi[1], 1, 1) @[lsu_bus_buffer.scala 173:60] + node _T_705 = bits(_T_704, 0, 0) @[Bitwise.scala 72:15] + node _T_706 = mux(_T_705, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_707 = bits(buf_data[1], 15, 8) @[lsu_bus_buffer.scala 173:78] + node _T_708 = and(_T_706, _T_707) @[lsu_bus_buffer.scala 173:65] + node _T_709 = bits(ld_byte_hitvecfn_hi[1], 2, 2) @[lsu_bus_buffer.scala 173:60] + node _T_710 = bits(_T_709, 0, 0) @[Bitwise.scala 72:15] + node _T_711 = mux(_T_710, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_712 = bits(buf_data[2], 15, 8) @[lsu_bus_buffer.scala 173:78] + node _T_713 = and(_T_711, _T_712) @[lsu_bus_buffer.scala 173:65] + node _T_714 = bits(ld_byte_hitvecfn_hi[1], 3, 3) @[lsu_bus_buffer.scala 173:60] + node _T_715 = bits(_T_714, 0, 0) @[Bitwise.scala 72:15] + node _T_716 = mux(_T_715, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_717 = bits(buf_data[3], 15, 8) @[lsu_bus_buffer.scala 173:78] + node _T_718 = and(_T_716, _T_717) @[lsu_bus_buffer.scala 173:65] + node _T_719 = or(_T_703, _T_708) @[lsu_bus_buffer.scala 173:97] + node _T_720 = or(_T_719, _T_713) @[lsu_bus_buffer.scala 173:97] + node _T_721 = or(_T_720, _T_718) @[lsu_bus_buffer.scala 173:97] + node _T_722 = bits(ld_byte_hitvecfn_hi[0], 0, 0) @[lsu_bus_buffer.scala 174:60] + node _T_723 = bits(_T_722, 0, 0) @[Bitwise.scala 72:15] + node _T_724 = mux(_T_723, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_725 = bits(buf_data[0], 7, 0) @[lsu_bus_buffer.scala 174:78] + node _T_726 = and(_T_724, _T_725) @[lsu_bus_buffer.scala 174:65] + node _T_727 = bits(ld_byte_hitvecfn_hi[0], 1, 1) @[lsu_bus_buffer.scala 174:60] + node _T_728 = bits(_T_727, 0, 0) @[Bitwise.scala 72:15] + node _T_729 = mux(_T_728, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_730 = bits(buf_data[1], 7, 0) @[lsu_bus_buffer.scala 174:78] + node _T_731 = and(_T_729, _T_730) @[lsu_bus_buffer.scala 174:65] + node _T_732 = bits(ld_byte_hitvecfn_hi[0], 2, 2) @[lsu_bus_buffer.scala 174:60] + node _T_733 = bits(_T_732, 0, 0) @[Bitwise.scala 72:15] + node _T_734 = mux(_T_733, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_735 = bits(buf_data[2], 7, 0) @[lsu_bus_buffer.scala 174:78] + node _T_736 = and(_T_734, _T_735) @[lsu_bus_buffer.scala 174:65] + node _T_737 = bits(ld_byte_hitvecfn_hi[0], 3, 3) @[lsu_bus_buffer.scala 174:60] + node _T_738 = bits(_T_737, 0, 0) @[Bitwise.scala 72:15] + node _T_739 = mux(_T_738, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_740 = bits(buf_data[3], 7, 0) @[lsu_bus_buffer.scala 174:78] + node _T_741 = and(_T_739, _T_740) @[lsu_bus_buffer.scala 174:65] + node _T_742 = or(_T_726, _T_731) @[lsu_bus_buffer.scala 174:97] + node _T_743 = or(_T_742, _T_736) @[lsu_bus_buffer.scala 174:97] + node _T_744 = or(_T_743, _T_741) @[lsu_bus_buffer.scala 174:97] + node _T_745 = cat(_T_721, _T_744) @[Cat.scala 29:58] + node _T_746 = cat(_T_675, _T_698) @[Cat.scala 29:58] + node _T_747 = cat(_T_746, _T_745) @[Cat.scala 29:58] + node _T_748 = and(ld_fwddata_buf_hi_initial, ibuf_data) @[lsu_bus_buffer.scala 175:32] + node _T_749 = or(_T_747, _T_748) @[lsu_bus_buffer.scala 174:103] + io.ld_fwddata_buf_hi <= _T_749 @[lsu_bus_buffer.scala 171:24] + node bus_coalescing_disable = or(io.tlu_busbuff.dec_tlu_wb_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 177:77] + node _T_750 = mux(io.lsu_pkt_r.bits.by, UInt<4>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_751 = mux(io.lsu_pkt_r.bits.half, UInt<4>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_752 = mux(io.lsu_pkt_r.bits.word, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_753 = or(_T_750, _T_751) @[Mux.scala 27:72] + node _T_754 = or(_T_753, _T_752) @[Mux.scala 27:72] + wire ldst_byteen_r : UInt<4> @[Mux.scala 27:72] + ldst_byteen_r <= _T_754 @[Mux.scala 27:72] + node _T_755 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 182:50] + node _T_756 = eq(_T_755, UInt<1>("h00")) @[lsu_bus_buffer.scala 182:55] + node _T_757 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 183:19] + node _T_758 = eq(_T_757, UInt<1>("h01")) @[lsu_bus_buffer.scala 183:24] + node _T_759 = bits(ldst_byteen_r, 3, 3) @[lsu_bus_buffer.scala 183:60] + node _T_760 = cat(UInt<3>("h00"), _T_759) @[Cat.scala 29:58] + node _T_761 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 184:19] + node _T_762 = eq(_T_761, UInt<2>("h02")) @[lsu_bus_buffer.scala 184:24] + node _T_763 = bits(ldst_byteen_r, 3, 2) @[lsu_bus_buffer.scala 184:60] + node _T_764 = cat(UInt<2>("h00"), _T_763) @[Cat.scala 29:58] + node _T_765 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 185:19] + node _T_766 = eq(_T_765, UInt<2>("h03")) @[lsu_bus_buffer.scala 185:24] + node _T_767 = bits(ldst_byteen_r, 3, 1) @[lsu_bus_buffer.scala 185:60] + node _T_768 = cat(UInt<1>("h00"), _T_767) @[Cat.scala 29:58] + node _T_769 = mux(_T_756, UInt<4>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_770 = mux(_T_758, _T_760, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_771 = mux(_T_762, _T_764, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_772 = mux(_T_766, _T_768, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_773 = or(_T_769, _T_770) @[Mux.scala 27:72] + node _T_774 = or(_T_773, _T_771) @[Mux.scala 27:72] + node _T_775 = or(_T_774, _T_772) @[Mux.scala 27:72] + wire ldst_byteen_hi_r : UInt<4> @[Mux.scala 27:72] + ldst_byteen_hi_r <= _T_775 @[Mux.scala 27:72] + node _T_776 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 187:50] + node _T_777 = eq(_T_776, UInt<1>("h00")) @[lsu_bus_buffer.scala 187:55] + node _T_778 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 188:19] + node _T_779 = eq(_T_778, UInt<1>("h01")) @[lsu_bus_buffer.scala 188:24] + node _T_780 = bits(ldst_byteen_r, 2, 0) @[lsu_bus_buffer.scala 188:50] + node _T_781 = cat(_T_780, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_782 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 189:19] + node _T_783 = eq(_T_782, UInt<2>("h02")) @[lsu_bus_buffer.scala 189:24] + node _T_784 = bits(ldst_byteen_r, 1, 0) @[lsu_bus_buffer.scala 189:50] + node _T_785 = cat(_T_784, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_786 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 190:19] + node _T_787 = eq(_T_786, UInt<2>("h03")) @[lsu_bus_buffer.scala 190:24] + node _T_788 = bits(ldst_byteen_r, 0, 0) @[lsu_bus_buffer.scala 190:50] + node _T_789 = cat(_T_788, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_790 = mux(_T_777, ldst_byteen_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_791 = mux(_T_779, _T_781, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_792 = mux(_T_783, _T_785, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_793 = mux(_T_787, _T_789, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_794 = or(_T_790, _T_791) @[Mux.scala 27:72] + node _T_795 = or(_T_794, _T_792) @[Mux.scala 27:72] + node _T_796 = or(_T_795, _T_793) @[Mux.scala 27:72] + wire ldst_byteen_lo_r : UInt<4> @[Mux.scala 27:72] + ldst_byteen_lo_r <= _T_796 @[Mux.scala 27:72] + node _T_797 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 192:49] + node _T_798 = eq(_T_797, UInt<1>("h00")) @[lsu_bus_buffer.scala 192:54] + node _T_799 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 193:19] + node _T_800 = eq(_T_799, UInt<1>("h01")) @[lsu_bus_buffer.scala 193:24] + node _T_801 = bits(io.store_data_r, 31, 24) @[lsu_bus_buffer.scala 193:64] + node _T_802 = cat(UInt<24>("h00"), _T_801) @[Cat.scala 29:58] + node _T_803 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 194:19] + node _T_804 = eq(_T_803, UInt<2>("h02")) @[lsu_bus_buffer.scala 194:24] + node _T_805 = bits(io.store_data_r, 31, 16) @[lsu_bus_buffer.scala 194:63] + node _T_806 = cat(UInt<16>("h00"), _T_805) @[Cat.scala 29:58] + node _T_807 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 195:19] + node _T_808 = eq(_T_807, UInt<2>("h03")) @[lsu_bus_buffer.scala 195:24] + node _T_809 = bits(io.store_data_r, 31, 8) @[lsu_bus_buffer.scala 195:62] + node _T_810 = cat(UInt<8>("h00"), _T_809) @[Cat.scala 29:58] + node _T_811 = mux(_T_798, UInt<32>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_812 = mux(_T_800, _T_802, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_813 = mux(_T_804, _T_806, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_814 = mux(_T_808, _T_810, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_815 = or(_T_811, _T_812) @[Mux.scala 27:72] + node _T_816 = or(_T_815, _T_813) @[Mux.scala 27:72] + node _T_817 = or(_T_816, _T_814) @[Mux.scala 27:72] + wire store_data_hi_r : UInt<32> @[Mux.scala 27:72] + store_data_hi_r <= _T_817 @[Mux.scala 27:72] + node _T_818 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 197:49] + node _T_819 = eq(_T_818, UInt<1>("h00")) @[lsu_bus_buffer.scala 197:54] + node _T_820 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 198:19] + node _T_821 = eq(_T_820, UInt<1>("h01")) @[lsu_bus_buffer.scala 198:24] + node _T_822 = bits(io.store_data_r, 23, 0) @[lsu_bus_buffer.scala 198:52] + node _T_823 = cat(_T_822, UInt<8>("h00")) @[Cat.scala 29:58] + node _T_824 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 199:19] + node _T_825 = eq(_T_824, UInt<2>("h02")) @[lsu_bus_buffer.scala 199:24] + node _T_826 = bits(io.store_data_r, 15, 0) @[lsu_bus_buffer.scala 199:52] + node _T_827 = cat(_T_826, UInt<16>("h00")) @[Cat.scala 29:58] + node _T_828 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 200:19] + node _T_829 = eq(_T_828, UInt<2>("h03")) @[lsu_bus_buffer.scala 200:24] + node _T_830 = bits(io.store_data_r, 7, 0) @[lsu_bus_buffer.scala 200:52] + node _T_831 = cat(_T_830, UInt<24>("h00")) @[Cat.scala 29:58] + node _T_832 = mux(_T_819, io.store_data_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_833 = mux(_T_821, _T_823, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_834 = mux(_T_825, _T_827, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_835 = mux(_T_829, _T_831, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_836 = or(_T_832, _T_833) @[Mux.scala 27:72] + node _T_837 = or(_T_836, _T_834) @[Mux.scala 27:72] + node _T_838 = or(_T_837, _T_835) @[Mux.scala 27:72] + wire store_data_lo_r : UInt<32> @[Mux.scala 27:72] + store_data_lo_r <= _T_838 @[Mux.scala 27:72] + node _T_839 = bits(io.lsu_addr_r, 3, 3) @[lsu_bus_buffer.scala 203:36] + node _T_840 = bits(io.end_addr_r, 3, 3) @[lsu_bus_buffer.scala 203:57] + node ldst_samedw_r = eq(_T_839, _T_840) @[lsu_bus_buffer.scala 203:40] + node _T_841 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 204:72] + node _T_842 = eq(_T_841, UInt<1>("h00")) @[lsu_bus_buffer.scala 204:79] + node _T_843 = bits(io.lsu_addr_r, 0, 0) @[lsu_bus_buffer.scala 205:45] + node _T_844 = eq(_T_843, UInt<1>("h00")) @[lsu_bus_buffer.scala 205:31] + node _T_845 = mux(io.lsu_pkt_r.bits.word, _T_842, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_846 = mux(io.lsu_pkt_r.bits.half, _T_844, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_847 = mux(io.lsu_pkt_r.bits.by, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_848 = or(_T_845, _T_846) @[Mux.scala 27:72] + node _T_849 = or(_T_848, _T_847) @[Mux.scala 27:72] + wire is_aligned_r : UInt<1> @[Mux.scala 27:72] + is_aligned_r <= _T_849 @[Mux.scala 27:72] + node _T_850 = or(io.lsu_pkt_r.bits.load, io.no_word_merge_r) @[lsu_bus_buffer.scala 207:60] + node _T_851 = and(io.lsu_busreq_r, _T_850) @[lsu_bus_buffer.scala 207:34] + node _T_852 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 207:84] + node ibuf_byp = and(_T_851, _T_852) @[lsu_bus_buffer.scala 207:82] + node _T_853 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 208:36] + node _T_854 = eq(ibuf_byp, UInt<1>("h00")) @[lsu_bus_buffer.scala 208:56] + node ibuf_wr_en = and(_T_853, _T_854) @[lsu_bus_buffer.scala 208:54] + wire ibuf_drain_vld : UInt<1> + ibuf_drain_vld <= UInt<1>("h00") + node _T_855 = eq(ibuf_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 210:36] + node _T_856 = and(ibuf_drain_vld, _T_855) @[lsu_bus_buffer.scala 210:34] + node ibuf_rst = or(_T_856, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 210:49] + node _T_857 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 211:44] + node _T_858 = and(io.lsu_busreq_m, _T_857) @[lsu_bus_buffer.scala 211:42] + node _T_859 = and(_T_858, ibuf_valid) @[lsu_bus_buffer.scala 211:61] + node _T_860 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 211:112] + node _T_861 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 211:137] + node _T_862 = neq(_T_860, _T_861) @[lsu_bus_buffer.scala 211:120] + node _T_863 = or(io.lsu_pkt_m.bits.load, _T_862) @[lsu_bus_buffer.scala 211:100] + node ibuf_force_drain = and(_T_859, _T_863) @[lsu_bus_buffer.scala 211:74] + wire ibuf_sideeffect : UInt<1> + ibuf_sideeffect <= UInt<1>("h00") + wire ibuf_timer : UInt<3> + ibuf_timer <= UInt<1>("h00") + wire ibuf_merge_en : UInt<1> + ibuf_merge_en <= UInt<1>("h00") + wire ibuf_merge_in : UInt<1> + ibuf_merge_in <= UInt<1>("h00") + node _T_864 = eq(ibuf_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 216:62] + node _T_865 = or(ibuf_wr_en, _T_864) @[lsu_bus_buffer.scala 216:48] + node _T_866 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 216:98] + node _T_867 = eq(_T_866, UInt<1>("h00")) @[lsu_bus_buffer.scala 216:82] + node _T_868 = and(_T_865, _T_867) @[lsu_bus_buffer.scala 216:80] + node _T_869 = or(_T_868, ibuf_byp) @[lsu_bus_buffer.scala 217:5] + node _T_870 = or(_T_869, ibuf_force_drain) @[lsu_bus_buffer.scala 217:16] + node _T_871 = or(_T_870, ibuf_sideeffect) @[lsu_bus_buffer.scala 217:35] + node _T_872 = eq(ibuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 217:55] + node _T_873 = or(_T_871, _T_872) @[lsu_bus_buffer.scala 217:53] + node _T_874 = or(_T_873, bus_coalescing_disable) @[lsu_bus_buffer.scala 217:67] + node _T_875 = and(ibuf_valid, _T_874) @[lsu_bus_buffer.scala 216:32] + ibuf_drain_vld <= _T_875 @[lsu_bus_buffer.scala 216:18] + wire ibuf_tag : UInt<2> + ibuf_tag <= UInt<1>("h00") + wire WrPtr1_r : UInt<2> + WrPtr1_r <= UInt<1>("h00") + wire WrPtr0_r : UInt<2> + WrPtr0_r <= UInt<1>("h00") + node _T_876 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 222:39] + node _T_877 = mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r) @[lsu_bus_buffer.scala 222:69] + node ibuf_tag_in = mux(_T_876, ibuf_tag, _T_877) @[lsu_bus_buffer.scala 222:24] + node ibuf_sz_in = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node ibuf_addr_in = mux(io.ldst_dual_r, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 225:25] + node _T_878 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 226:42] + node _T_879 = bits(ibuf_byteen, 3, 0) @[lsu_bus_buffer.scala 226:70] + node _T_880 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 226:95] + node _T_881 = or(_T_879, _T_880) @[lsu_bus_buffer.scala 226:77] + node _T_882 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 227:41] + node _T_883 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 227:65] + node _T_884 = mux(io.ldst_dual_r, _T_882, _T_883) @[lsu_bus_buffer.scala 227:8] + node ibuf_byteen_in = mux(_T_878, _T_881, _T_884) @[lsu_bus_buffer.scala 226:27] + node _T_885 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 230:61] + node _T_886 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 231:25] + node _T_887 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 231:45] + node _T_888 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 231:76] + node _T_889 = mux(_T_886, _T_887, _T_888) @[lsu_bus_buffer.scala 231:8] + node _T_890 = bits(store_data_hi_r, 7, 0) @[lsu_bus_buffer.scala 232:40] + node _T_891 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 232:77] + node _T_892 = mux(io.ldst_dual_r, _T_890, _T_891) @[lsu_bus_buffer.scala 232:8] + node _T_893 = mux(_T_885, _T_889, _T_892) @[lsu_bus_buffer.scala 230:46] + node _T_894 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 230:61] + node _T_895 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 231:25] + node _T_896 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 231:45] + node _T_897 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 231:76] + node _T_898 = mux(_T_895, _T_896, _T_897) @[lsu_bus_buffer.scala 231:8] + node _T_899 = bits(store_data_hi_r, 15, 8) @[lsu_bus_buffer.scala 232:40] + node _T_900 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 232:77] + node _T_901 = mux(io.ldst_dual_r, _T_899, _T_900) @[lsu_bus_buffer.scala 232:8] + node _T_902 = mux(_T_894, _T_898, _T_901) @[lsu_bus_buffer.scala 230:46] + node _T_903 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 230:61] + node _T_904 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 231:25] + node _T_905 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 231:45] + node _T_906 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 231:76] + node _T_907 = mux(_T_904, _T_905, _T_906) @[lsu_bus_buffer.scala 231:8] + node _T_908 = bits(store_data_hi_r, 23, 16) @[lsu_bus_buffer.scala 232:40] + node _T_909 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 232:77] + node _T_910 = mux(io.ldst_dual_r, _T_908, _T_909) @[lsu_bus_buffer.scala 232:8] + node _T_911 = mux(_T_903, _T_907, _T_910) @[lsu_bus_buffer.scala 230:46] + node _T_912 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 230:61] + node _T_913 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 231:25] + node _T_914 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 231:45] + node _T_915 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 231:76] + node _T_916 = mux(_T_913, _T_914, _T_915) @[lsu_bus_buffer.scala 231:8] + node _T_917 = bits(store_data_hi_r, 31, 24) @[lsu_bus_buffer.scala 232:40] + node _T_918 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 232:77] + node _T_919 = mux(io.ldst_dual_r, _T_917, _T_918) @[lsu_bus_buffer.scala 232:8] + node _T_920 = mux(_T_912, _T_916, _T_919) @[lsu_bus_buffer.scala 230:46] + node _T_921 = cat(_T_920, _T_911) @[Cat.scala 29:58] + node _T_922 = cat(_T_921, _T_902) @[Cat.scala 29:58] + node ibuf_data_in = cat(_T_922, _T_893) @[Cat.scala 29:58] + node _T_923 = lt(ibuf_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 233:59] + node _T_924 = bits(_T_923, 0, 0) @[lsu_bus_buffer.scala 233:79] + node _T_925 = add(ibuf_timer, UInt<1>("h01")) @[lsu_bus_buffer.scala 233:93] + node _T_926 = tail(_T_925, 1) @[lsu_bus_buffer.scala 233:93] + node _T_927 = mux(_T_924, _T_926, ibuf_timer) @[lsu_bus_buffer.scala 233:47] + node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_927) @[lsu_bus_buffer.scala 233:26] + node _T_928 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 235:36] + node _T_929 = and(_T_928, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 235:54] + node _T_930 = and(_T_929, ibuf_valid) @[lsu_bus_buffer.scala 235:80] + node _T_931 = and(_T_930, ibuf_write) @[lsu_bus_buffer.scala 235:93] + node _T_932 = bits(io.lsu_addr_r, 31, 2) @[lsu_bus_buffer.scala 235:122] + node _T_933 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 235:142] + node _T_934 = eq(_T_932, _T_933) @[lsu_bus_buffer.scala 235:129] + node _T_935 = and(_T_931, _T_934) @[lsu_bus_buffer.scala 235:106] + node _T_936 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 235:152] + node _T_937 = and(_T_935, _T_936) @[lsu_bus_buffer.scala 235:150] + node _T_938 = eq(bus_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 235:175] + node _T_939 = and(_T_937, _T_938) @[lsu_bus_buffer.scala 235:173] + ibuf_merge_en <= _T_939 @[lsu_bus_buffer.scala 235:17] + node _T_940 = eq(io.ldst_dual_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 236:20] + ibuf_merge_in <= _T_940 @[lsu_bus_buffer.scala 236:17] + node _T_941 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 237:65] + node _T_942 = and(ibuf_merge_en, _T_941) @[lsu_bus_buffer.scala 237:63] + node _T_943 = bits(ibuf_byteen, 0, 0) @[lsu_bus_buffer.scala 237:92] + node _T_944 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 237:114] + node _T_945 = or(_T_943, _T_944) @[lsu_bus_buffer.scala 237:96] + node _T_946 = bits(ibuf_byteen, 0, 0) @[lsu_bus_buffer.scala 237:130] + node _T_947 = mux(_T_942, _T_945, _T_946) @[lsu_bus_buffer.scala 237:48] + node _T_948 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 237:65] + node _T_949 = and(ibuf_merge_en, _T_948) @[lsu_bus_buffer.scala 237:63] + node _T_950 = bits(ibuf_byteen, 1, 1) @[lsu_bus_buffer.scala 237:92] + node _T_951 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 237:114] + node _T_952 = or(_T_950, _T_951) @[lsu_bus_buffer.scala 237:96] + node _T_953 = bits(ibuf_byteen, 1, 1) @[lsu_bus_buffer.scala 237:130] + node _T_954 = mux(_T_949, _T_952, _T_953) @[lsu_bus_buffer.scala 237:48] + node _T_955 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 237:65] + node _T_956 = and(ibuf_merge_en, _T_955) @[lsu_bus_buffer.scala 237:63] + node _T_957 = bits(ibuf_byteen, 2, 2) @[lsu_bus_buffer.scala 237:92] + node _T_958 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 237:114] + node _T_959 = or(_T_957, _T_958) @[lsu_bus_buffer.scala 237:96] + node _T_960 = bits(ibuf_byteen, 2, 2) @[lsu_bus_buffer.scala 237:130] + node _T_961 = mux(_T_956, _T_959, _T_960) @[lsu_bus_buffer.scala 237:48] + node _T_962 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 237:65] + node _T_963 = and(ibuf_merge_en, _T_962) @[lsu_bus_buffer.scala 237:63] + node _T_964 = bits(ibuf_byteen, 3, 3) @[lsu_bus_buffer.scala 237:92] + node _T_965 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 237:114] + node _T_966 = or(_T_964, _T_965) @[lsu_bus_buffer.scala 237:96] + node _T_967 = bits(ibuf_byteen, 3, 3) @[lsu_bus_buffer.scala 237:130] + node _T_968 = mux(_T_963, _T_966, _T_967) @[lsu_bus_buffer.scala 237:48] + node _T_969 = cat(_T_968, _T_961) @[Cat.scala 29:58] + node _T_970 = cat(_T_969, _T_954) @[Cat.scala 29:58] + node ibuf_byteen_out = cat(_T_970, _T_947) @[Cat.scala 29:58] + node _T_971 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 238:62] + node _T_972 = and(ibuf_merge_en, _T_971) @[lsu_bus_buffer.scala 238:60] + node _T_973 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 238:98] + node _T_974 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 238:118] + node _T_975 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 238:143] + node _T_976 = mux(_T_973, _T_974, _T_975) @[lsu_bus_buffer.scala 238:81] + node _T_977 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 238:169] + node _T_978 = mux(_T_972, _T_976, _T_977) @[lsu_bus_buffer.scala 238:45] + node _T_979 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 238:62] + node _T_980 = and(ibuf_merge_en, _T_979) @[lsu_bus_buffer.scala 238:60] + node _T_981 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 238:98] + node _T_982 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 238:118] + node _T_983 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 238:143] + node _T_984 = mux(_T_981, _T_982, _T_983) @[lsu_bus_buffer.scala 238:81] + node _T_985 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 238:169] + node _T_986 = mux(_T_980, _T_984, _T_985) @[lsu_bus_buffer.scala 238:45] + node _T_987 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 238:62] + node _T_988 = and(ibuf_merge_en, _T_987) @[lsu_bus_buffer.scala 238:60] + node _T_989 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 238:98] + node _T_990 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 238:118] + node _T_991 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 238:143] + node _T_992 = mux(_T_989, _T_990, _T_991) @[lsu_bus_buffer.scala 238:81] + node _T_993 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 238:169] + node _T_994 = mux(_T_988, _T_992, _T_993) @[lsu_bus_buffer.scala 238:45] + node _T_995 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 238:62] + node _T_996 = and(ibuf_merge_en, _T_995) @[lsu_bus_buffer.scala 238:60] + node _T_997 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 238:98] + node _T_998 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 238:118] + node _T_999 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 238:143] + node _T_1000 = mux(_T_997, _T_998, _T_999) @[lsu_bus_buffer.scala 238:81] + node _T_1001 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 238:169] + node _T_1002 = mux(_T_996, _T_1000, _T_1001) @[lsu_bus_buffer.scala 238:45] + node _T_1003 = cat(_T_1002, _T_994) @[Cat.scala 29:58] + node _T_1004 = cat(_T_1003, _T_986) @[Cat.scala 29:58] + node ibuf_data_out = cat(_T_1004, _T_978) @[Cat.scala 29:58] + node _T_1005 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[lsu_bus_buffer.scala 240:58] + node _T_1006 = eq(ibuf_rst, UInt<1>("h00")) @[lsu_bus_buffer.scala 240:93] + node _T_1007 = and(_T_1005, _T_1006) @[lsu_bus_buffer.scala 240:91] + reg _T_1008 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 240:54] + _T_1008 <= _T_1007 @[lsu_bus_buffer.scala 240:54] + ibuf_valid <= _T_1008 @[lsu_bus_buffer.scala 240:14] + reg _T_1009 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + _T_1009 <= ibuf_tag_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ibuf_tag <= _T_1009 @[lsu_bus_buffer.scala 241:12] + reg ibuf_dualtag : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + ibuf_dualtag <= WrPtr0_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg ibuf_dual : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + ibuf_dual <= io.ldst_dual_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg ibuf_samedw : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + ibuf_samedw <= ldst_samedw_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg ibuf_nomerge : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + ibuf_nomerge <= io.no_dword_merge_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg _T_1010 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + _T_1010 <= io.is_sideeffects_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ibuf_sideeffect <= _T_1010 @[lsu_bus_buffer.scala 246:19] + reg ibuf_unsign : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + ibuf_unsign <= io.lsu_pkt_r.bits.unsign @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg _T_1011 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + _T_1011 <= io.lsu_pkt_r.bits.store @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ibuf_write <= _T_1011 @[lsu_bus_buffer.scala 248:14] + reg ibuf_sz : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + ibuf_sz <= ibuf_sz_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + inst rvclkhdr of rvclkhdr_24 @[lib.scala 368:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 370:18] + rvclkhdr.io.en <= ibuf_wr_en @[lib.scala 371:17] + rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_1012 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1012 <= ibuf_addr_in @[lib.scala 374:16] + ibuf_addr <= _T_1012 @[lsu_bus_buffer.scala 250:13] + reg _T_1013 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + _T_1013 <= ibuf_byteen_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ibuf_byteen <= _T_1013 @[lsu_bus_buffer.scala 251:15] + inst rvclkhdr_1 of rvclkhdr_25 @[lib.scala 368:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_1.io.en <= ibuf_wr_en @[lib.scala 371:17] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_1014 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1014 <= ibuf_data_in @[lib.scala 374:16] + ibuf_data <= _T_1014 @[lsu_bus_buffer.scala 252:13] + reg _T_1015 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 253:55] + _T_1015 <= ibuf_timer_in @[lsu_bus_buffer.scala 253:55] + ibuf_timer <= _T_1015 @[lsu_bus_buffer.scala 253:14] + wire buf_numvld_wrcmd_any : UInt<4> + buf_numvld_wrcmd_any <= UInt<1>("h00") + wire buf_numvld_cmd_any : UInt<4> + buf_numvld_cmd_any <= UInt<1>("h00") + wire obuf_wr_timer : UInt<3> + obuf_wr_timer <= UInt<1>("h00") + wire buf_nomerge : UInt<1>[4] @[lsu_bus_buffer.scala 257:25] + buf_nomerge[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 258:15] + buf_nomerge[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 258:15] + buf_nomerge[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 258:15] + buf_nomerge[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 258:15] + wire buf_sideeffect : UInt<4> + buf_sideeffect <= UInt<1>("h00") + wire obuf_force_wr_en : UInt<1> + obuf_force_wr_en <= UInt<1>("h00") + wire obuf_wr_en : UInt<1> + obuf_wr_en <= UInt<1>("h00") + node _T_1016 = eq(buf_numvld_wrcmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 263:43] + node _T_1017 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 263:72] + node _T_1018 = and(_T_1016, _T_1017) @[lsu_bus_buffer.scala 263:51] + node _T_1019 = neq(obuf_wr_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 263:97] + node _T_1020 = and(_T_1018, _T_1019) @[lsu_bus_buffer.scala 263:80] + node _T_1021 = eq(bus_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 264:5] + node _T_1022 = and(_T_1020, _T_1021) @[lsu_bus_buffer.scala 263:114] + node _T_1023 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 264:114] + node _T_1024 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 264:114] + node _T_1025 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 264:114] + node _T_1026 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 264:114] + node _T_1027 = mux(_T_1023, buf_nomerge[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1028 = mux(_T_1024, buf_nomerge[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1029 = mux(_T_1025, buf_nomerge[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1030 = mux(_T_1026, buf_nomerge[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1031 = or(_T_1027, _T_1028) @[Mux.scala 27:72] + node _T_1032 = or(_T_1031, _T_1029) @[Mux.scala 27:72] + node _T_1033 = or(_T_1032, _T_1030) @[Mux.scala 27:72] + wire _T_1034 : UInt<1> @[Mux.scala 27:72] + _T_1034 <= _T_1033 @[Mux.scala 27:72] + node _T_1035 = eq(_T_1034, UInt<1>("h00")) @[lsu_bus_buffer.scala 264:31] + node _T_1036 = and(_T_1022, _T_1035) @[lsu_bus_buffer.scala 264:29] + node _T_1037 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 265:88] + node _T_1038 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 265:111] + node _T_1039 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 265:88] + node _T_1040 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 265:111] + node _T_1041 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 265:88] + node _T_1042 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 265:111] + node _T_1043 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 265:88] + node _T_1044 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 265:111] + node _T_1045 = mux(_T_1037, _T_1038, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1046 = mux(_T_1039, _T_1040, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1047 = mux(_T_1041, _T_1042, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1048 = mux(_T_1043, _T_1044, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1049 = or(_T_1045, _T_1046) @[Mux.scala 27:72] + node _T_1050 = or(_T_1049, _T_1047) @[Mux.scala 27:72] + node _T_1051 = or(_T_1050, _T_1048) @[Mux.scala 27:72] + wire _T_1052 : UInt<1> @[Mux.scala 27:72] + _T_1052 <= _T_1051 @[Mux.scala 27:72] + node _T_1053 = eq(_T_1052, UInt<1>("h00")) @[lsu_bus_buffer.scala 265:5] + node _T_1054 = and(_T_1036, _T_1053) @[lsu_bus_buffer.scala 264:140] + node _T_1055 = eq(obuf_force_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 265:119] + node obuf_wr_wait = and(_T_1054, _T_1055) @[lsu_bus_buffer.scala 265:117] + node _T_1056 = orr(buf_numvld_cmd_any) @[lsu_bus_buffer.scala 266:75] + node _T_1057 = lt(obuf_wr_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 266:95] + node _T_1058 = and(_T_1056, _T_1057) @[lsu_bus_buffer.scala 266:79] + node _T_1059 = add(obuf_wr_timer, UInt<1>("h01")) @[lsu_bus_buffer.scala 266:123] + node _T_1060 = tail(_T_1059, 1) @[lsu_bus_buffer.scala 266:123] + node _T_1061 = mux(_T_1058, _T_1060, obuf_wr_timer) @[lsu_bus_buffer.scala 266:55] + node obuf_wr_timer_in = mux(obuf_wr_en, UInt<3>("h00"), _T_1061) @[lsu_bus_buffer.scala 266:29] + node _T_1062 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 267:41] + node _T_1063 = and(io.lsu_busreq_m, _T_1062) @[lsu_bus_buffer.scala 267:39] + node _T_1064 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 267:60] + node _T_1065 = and(_T_1063, _T_1064) @[lsu_bus_buffer.scala 267:58] + node _T_1066 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 267:93] + node _T_1067 = and(_T_1065, _T_1066) @[lsu_bus_buffer.scala 267:72] + node _T_1068 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 267:117] + node _T_1069 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 267:208] + node _T_1070 = bits(buf_addr[0], 31, 2) @[lsu_bus_buffer.scala 267:228] + node _T_1071 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 267:208] + node _T_1072 = bits(buf_addr[1], 31, 2) @[lsu_bus_buffer.scala 267:228] + node _T_1073 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 267:208] + node _T_1074 = bits(buf_addr[2], 31, 2) @[lsu_bus_buffer.scala 267:228] + node _T_1075 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 267:208] + node _T_1076 = bits(buf_addr[3], 31, 2) @[lsu_bus_buffer.scala 267:228] + node _T_1077 = mux(_T_1069, _T_1070, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1078 = mux(_T_1071, _T_1072, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1079 = mux(_T_1073, _T_1074, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1080 = mux(_T_1075, _T_1076, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1081 = or(_T_1077, _T_1078) @[Mux.scala 27:72] + node _T_1082 = or(_T_1081, _T_1079) @[Mux.scala 27:72] + node _T_1083 = or(_T_1082, _T_1080) @[Mux.scala 27:72] + wire _T_1084 : UInt<30> @[Mux.scala 27:72] + _T_1084 <= _T_1083 @[Mux.scala 27:72] + node _T_1085 = neq(_T_1068, _T_1084) @[lsu_bus_buffer.scala 267:123] + node _T_1086 = and(_T_1067, _T_1085) @[lsu_bus_buffer.scala 267:101] + obuf_force_wr_en <= _T_1086 @[lsu_bus_buffer.scala 267:20] + wire buf_numvld_pend_any : UInt<4> + buf_numvld_pend_any <= UInt<1>("h00") + node _T_1087 = eq(buf_numvld_pend_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 269:53] + node _T_1088 = and(ibuf_byp, _T_1087) @[lsu_bus_buffer.scala 269:31] + node _T_1089 = eq(io.lsu_pkt_r.bits.store, UInt<1>("h00")) @[lsu_bus_buffer.scala 269:64] + node _T_1090 = or(_T_1089, io.no_dword_merge_r) @[lsu_bus_buffer.scala 269:89] + node ibuf_buf_byp = and(_T_1088, _T_1090) @[lsu_bus_buffer.scala 269:61] + wire bus_sideeffect_pend : UInt<1> + bus_sideeffect_pend <= UInt<1>("h00") + wire found_cmdptr0 : UInt<1> + found_cmdptr0 <= UInt<1>("h00") + wire buf_cmd_state_bus_en : UInt<1>[4] @[lsu_bus_buffer.scala 272:34] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 273:24] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 273:24] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 273:24] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 273:24] + wire buf_dual : UInt<1>[4] @[lsu_bus_buffer.scala 274:22] + buf_dual[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 275:12] + buf_dual[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 275:12] + buf_dual[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 275:12] + buf_dual[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 275:12] + wire buf_samedw : UInt<1>[4] @[lsu_bus_buffer.scala 276:24] + buf_samedw[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 277:14] + buf_samedw[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 277:14] + buf_samedw[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 277:14] + buf_samedw[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 277:14] + wire found_cmdptr1 : UInt<1> + found_cmdptr1 <= UInt<1>("h00") + wire bus_cmd_ready : UInt<1> + bus_cmd_ready <= UInt<1>("h00") + wire obuf_valid : UInt<1> + obuf_valid <= UInt<1>("h00") + wire obuf_nosend : UInt<1> + obuf_nosend <= UInt<1>("h00") + wire lsu_bus_cntr_overflow : UInt<1> + lsu_bus_cntr_overflow <= UInt<1>("h00") + wire bus_addr_match_pending : UInt<1> + bus_addr_match_pending <= UInt<1>("h00") + node _T_1091 = and(ibuf_buf_byp, io.lsu_commit_r) @[lsu_bus_buffer.scala 284:32] + node _T_1092 = and(io.is_sideeffects_r, bus_sideeffect_pend) @[lsu_bus_buffer.scala 284:74] + node _T_1093 = eq(_T_1092, UInt<1>("h00")) @[lsu_bus_buffer.scala 284:52] + node _T_1094 = and(_T_1091, _T_1093) @[lsu_bus_buffer.scala 284:50] + node _T_1095 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1096 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1097 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1098 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1099 = mux(_T_1095, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1100 = mux(_T_1096, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1101 = mux(_T_1097, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1102 = mux(_T_1098, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1103 = or(_T_1099, _T_1100) @[Mux.scala 27:72] + node _T_1104 = or(_T_1103, _T_1101) @[Mux.scala 27:72] + node _T_1105 = or(_T_1104, _T_1102) @[Mux.scala 27:72] + wire _T_1106 : UInt<3> @[Mux.scala 27:72] + _T_1106 <= _T_1105 @[Mux.scala 27:72] + node _T_1107 = eq(_T_1106, UInt<3>("h02")) @[lsu_bus_buffer.scala 285:36] + node _T_1108 = and(_T_1107, found_cmdptr0) @[lsu_bus_buffer.scala 285:47] + node _T_1109 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] + node _T_1110 = cat(_T_1109, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] + node _T_1111 = cat(_T_1110, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] + node _T_1112 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1113 = bits(_T_1111, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1114 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1115 = bits(_T_1111, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1116 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1117 = bits(_T_1111, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1118 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1119 = bits(_T_1111, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1120 = mux(_T_1112, _T_1113, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1121 = mux(_T_1114, _T_1115, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1122 = mux(_T_1116, _T_1117, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1123 = mux(_T_1118, _T_1119, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1124 = or(_T_1120, _T_1121) @[Mux.scala 27:72] + node _T_1125 = or(_T_1124, _T_1122) @[Mux.scala 27:72] + node _T_1126 = or(_T_1125, _T_1123) @[Mux.scala 27:72] + wire _T_1127 : UInt<1> @[Mux.scala 27:72] + _T_1127 <= _T_1126 @[Mux.scala 27:72] + node _T_1128 = eq(_T_1127, UInt<1>("h00")) @[lsu_bus_buffer.scala 286:23] + node _T_1129 = and(_T_1108, _T_1128) @[lsu_bus_buffer.scala 286:21] + node _T_1130 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1131 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1132 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1133 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1134 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1135 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1136 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1137 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1138 = mux(_T_1130, _T_1131, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1139 = mux(_T_1132, _T_1133, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1140 = mux(_T_1134, _T_1135, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1141 = mux(_T_1136, _T_1137, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1142 = or(_T_1138, _T_1139) @[Mux.scala 27:72] + node _T_1143 = or(_T_1142, _T_1140) @[Mux.scala 27:72] + node _T_1144 = or(_T_1143, _T_1141) @[Mux.scala 27:72] + wire _T_1145 : UInt<1> @[Mux.scala 27:72] + _T_1145 <= _T_1144 @[Mux.scala 27:72] + node _T_1146 = and(_T_1145, bus_sideeffect_pend) @[lsu_bus_buffer.scala 286:141] + node _T_1147 = eq(_T_1146, UInt<1>("h00")) @[lsu_bus_buffer.scala 286:105] + node _T_1148 = and(_T_1129, _T_1147) @[lsu_bus_buffer.scala 286:103] + node _T_1149 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_1150 = cat(_T_1149, buf_dual[1]) @[Cat.scala 29:58] + node _T_1151 = cat(_T_1150, buf_dual[0]) @[Cat.scala 29:58] + node _T_1152 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1153 = bits(_T_1151, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1154 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1155 = bits(_T_1151, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1156 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1157 = bits(_T_1151, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1158 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1159 = bits(_T_1151, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1160 = mux(_T_1152, _T_1153, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1161 = mux(_T_1154, _T_1155, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1162 = mux(_T_1156, _T_1157, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1163 = mux(_T_1158, _T_1159, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1164 = or(_T_1160, _T_1161) @[Mux.scala 27:72] + node _T_1165 = or(_T_1164, _T_1162) @[Mux.scala 27:72] + node _T_1166 = or(_T_1165, _T_1163) @[Mux.scala 27:72] + wire _T_1167 : UInt<1> @[Mux.scala 27:72] + _T_1167 <= _T_1166 @[Mux.scala 27:72] + node _T_1168 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] + node _T_1169 = cat(_T_1168, buf_samedw[1]) @[Cat.scala 29:58] + node _T_1170 = cat(_T_1169, buf_samedw[0]) @[Cat.scala 29:58] + node _T_1171 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1172 = bits(_T_1170, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1173 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1174 = bits(_T_1170, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1175 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1176 = bits(_T_1170, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1177 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1178 = bits(_T_1170, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1179 = mux(_T_1171, _T_1172, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1180 = mux(_T_1173, _T_1174, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1181 = mux(_T_1175, _T_1176, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1182 = mux(_T_1177, _T_1178, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1183 = or(_T_1179, _T_1180) @[Mux.scala 27:72] + node _T_1184 = or(_T_1183, _T_1181) @[Mux.scala 27:72] + node _T_1185 = or(_T_1184, _T_1182) @[Mux.scala 27:72] + wire _T_1186 : UInt<1> @[Mux.scala 27:72] + _T_1186 <= _T_1185 @[Mux.scala 27:72] + node _T_1187 = and(_T_1167, _T_1186) @[lsu_bus_buffer.scala 287:77] + node _T_1188 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1189 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1190 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1191 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1192 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1193 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1194 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1195 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1196 = mux(_T_1188, _T_1189, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1197 = mux(_T_1190, _T_1191, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1198 = mux(_T_1192, _T_1193, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1199 = mux(_T_1194, _T_1195, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1200 = or(_T_1196, _T_1197) @[Mux.scala 27:72] + node _T_1201 = or(_T_1200, _T_1198) @[Mux.scala 27:72] + node _T_1202 = or(_T_1201, _T_1199) @[Mux.scala 27:72] + wire _T_1203 : UInt<1> @[Mux.scala 27:72] + _T_1203 <= _T_1202 @[Mux.scala 27:72] + node _T_1204 = eq(_T_1203, UInt<1>("h00")) @[lsu_bus_buffer.scala 287:150] + node _T_1205 = and(_T_1187, _T_1204) @[lsu_bus_buffer.scala 287:148] + node _T_1206 = eq(_T_1205, UInt<1>("h00")) @[lsu_bus_buffer.scala 287:8] + node _T_1207 = or(_T_1206, found_cmdptr1) @[lsu_bus_buffer.scala 287:181] + node _T_1208 = cat(buf_nomerge[3], buf_nomerge[2]) @[Cat.scala 29:58] + node _T_1209 = cat(_T_1208, buf_nomerge[1]) @[Cat.scala 29:58] + node _T_1210 = cat(_T_1209, buf_nomerge[0]) @[Cat.scala 29:58] + node _T_1211 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1212 = bits(_T_1210, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1213 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1214 = bits(_T_1210, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1215 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1216 = bits(_T_1210, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1217 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1218 = bits(_T_1210, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1219 = mux(_T_1211, _T_1212, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1220 = mux(_T_1213, _T_1214, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1221 = mux(_T_1215, _T_1216, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1222 = mux(_T_1217, _T_1218, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1223 = or(_T_1219, _T_1220) @[Mux.scala 27:72] + node _T_1224 = or(_T_1223, _T_1221) @[Mux.scala 27:72] + node _T_1225 = or(_T_1224, _T_1222) @[Mux.scala 27:72] + wire _T_1226 : UInt<1> @[Mux.scala 27:72] + _T_1226 <= _T_1225 @[Mux.scala 27:72] + node _T_1227 = or(_T_1207, _T_1226) @[lsu_bus_buffer.scala 287:197] + node _T_1228 = or(_T_1227, obuf_force_wr_en) @[lsu_bus_buffer.scala 287:269] + node _T_1229 = and(_T_1148, _T_1228) @[lsu_bus_buffer.scala 286:164] + node _T_1230 = or(_T_1094, _T_1229) @[lsu_bus_buffer.scala 284:98] + node _T_1231 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 288:48] + node _T_1232 = or(bus_cmd_ready, _T_1231) @[lsu_bus_buffer.scala 288:46] + node _T_1233 = or(_T_1232, obuf_nosend) @[lsu_bus_buffer.scala 288:60] + node _T_1234 = and(_T_1230, _T_1233) @[lsu_bus_buffer.scala 288:29] + node _T_1235 = eq(obuf_wr_wait, UInt<1>("h00")) @[lsu_bus_buffer.scala 288:77] + node _T_1236 = and(_T_1234, _T_1235) @[lsu_bus_buffer.scala 288:75] + node _T_1237 = eq(lsu_bus_cntr_overflow, UInt<1>("h00")) @[lsu_bus_buffer.scala 288:93] + node _T_1238 = and(_T_1236, _T_1237) @[lsu_bus_buffer.scala 288:91] + node _T_1239 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 288:118] + node _T_1240 = and(_T_1238, _T_1239) @[lsu_bus_buffer.scala 288:116] + node _T_1241 = and(_T_1240, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 288:142] + obuf_wr_en <= _T_1241 @[lsu_bus_buffer.scala 284:14] + wire bus_cmd_sent : UInt<1> + bus_cmd_sent <= UInt<1>("h00") + node _T_1242 = and(obuf_valid, obuf_nosend) @[lsu_bus_buffer.scala 290:47] + node _T_1243 = or(bus_cmd_sent, _T_1242) @[lsu_bus_buffer.scala 290:33] + node _T_1244 = eq(obuf_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 290:65] + node _T_1245 = and(_T_1243, _T_1244) @[lsu_bus_buffer.scala 290:63] + node _T_1246 = and(_T_1245, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 290:77] + node obuf_rst = or(_T_1246, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 290:98] + node _T_1247 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1248 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1249 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1250 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1251 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1252 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1253 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1254 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1255 = mux(_T_1247, _T_1248, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1256 = mux(_T_1249, _T_1250, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1257 = mux(_T_1251, _T_1252, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1258 = mux(_T_1253, _T_1254, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1259 = or(_T_1255, _T_1256) @[Mux.scala 27:72] + node _T_1260 = or(_T_1259, _T_1257) @[Mux.scala 27:72] + node _T_1261 = or(_T_1260, _T_1258) @[Mux.scala 27:72] + wire _T_1262 : UInt<1> @[Mux.scala 27:72] + _T_1262 <= _T_1261 @[Mux.scala 27:72] + node obuf_write_in = mux(ibuf_buf_byp, io.lsu_pkt_r.bits.store, _T_1262) @[lsu_bus_buffer.scala 291:26] + node _T_1263 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1264 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1265 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1266 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1267 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1268 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1269 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1270 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1271 = mux(_T_1263, _T_1264, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1272 = mux(_T_1265, _T_1266, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1273 = mux(_T_1267, _T_1268, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1274 = mux(_T_1269, _T_1270, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1275 = or(_T_1271, _T_1272) @[Mux.scala 27:72] + node _T_1276 = or(_T_1275, _T_1273) @[Mux.scala 27:72] + node _T_1277 = or(_T_1276, _T_1274) @[Mux.scala 27:72] + wire _T_1278 : UInt<1> @[Mux.scala 27:72] + _T_1278 <= _T_1277 @[Mux.scala 27:72] + node obuf_sideeffect_in = mux(ibuf_buf_byp, io.is_sideeffects_r, _T_1278) @[lsu_bus_buffer.scala 292:31] + node _T_1279 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1280 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1281 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1282 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1283 = mux(_T_1279, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1284 = mux(_T_1280, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1285 = mux(_T_1281, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1286 = mux(_T_1282, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1287 = or(_T_1283, _T_1284) @[Mux.scala 27:72] + node _T_1288 = or(_T_1287, _T_1285) @[Mux.scala 27:72] + node _T_1289 = or(_T_1288, _T_1286) @[Mux.scala 27:72] + wire _T_1290 : UInt<32> @[Mux.scala 27:72] + _T_1290 <= _T_1289 @[Mux.scala 27:72] + node obuf_addr_in = mux(ibuf_buf_byp, io.lsu_addr_r, _T_1290) @[lsu_bus_buffer.scala 293:25] + wire buf_sz : UInt<2>[4] @[lsu_bus_buffer.scala 294:20] + buf_sz[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 295:10] + buf_sz[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 295:10] + buf_sz[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 295:10] + buf_sz[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 295:10] + node _T_1291 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node _T_1292 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1293 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1294 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1295 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1296 = mux(_T_1292, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1297 = mux(_T_1293, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1298 = mux(_T_1294, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1299 = mux(_T_1295, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1300 = or(_T_1296, _T_1297) @[Mux.scala 27:72] + node _T_1301 = or(_T_1300, _T_1298) @[Mux.scala 27:72] + node _T_1302 = or(_T_1301, _T_1299) @[Mux.scala 27:72] + wire _T_1303 : UInt<2> @[Mux.scala 27:72] + _T_1303 <= _T_1302 @[Mux.scala 27:72] + node obuf_sz_in = mux(ibuf_buf_byp, _T_1291, _T_1303) @[lsu_bus_buffer.scala 296:23] + wire obuf_merge_en : UInt<1> + obuf_merge_en <= UInt<1>("h00") + node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, CmdPtr0) @[lsu_bus_buffer.scala 299:25] + node obuf_tag1_in = mux(ibuf_buf_byp, WrPtr1_r, CmdPtr1) @[lsu_bus_buffer.scala 301:25] + wire obuf_cmd_done : UInt<1> + obuf_cmd_done <= UInt<1>("h00") + wire bus_wcmd_sent : UInt<1> + bus_wcmd_sent <= UInt<1>("h00") + node _T_1304 = or(obuf_wr_en, obuf_rst) @[lsu_bus_buffer.scala 304:39] + node _T_1305 = eq(_T_1304, UInt<1>("h00")) @[lsu_bus_buffer.scala 304:26] + node _T_1306 = or(obuf_cmd_done, bus_wcmd_sent) @[lsu_bus_buffer.scala 304:68] + node obuf_cmd_done_in = and(_T_1305, _T_1306) @[lsu_bus_buffer.scala 304:51] + wire obuf_data_done : UInt<1> + obuf_data_done <= UInt<1>("h00") + wire bus_wdata_sent : UInt<1> + bus_wdata_sent <= UInt<1>("h00") + node _T_1307 = or(obuf_wr_en, obuf_rst) @[lsu_bus_buffer.scala 307:40] + node _T_1308 = eq(_T_1307, UInt<1>("h00")) @[lsu_bus_buffer.scala 307:27] + node _T_1309 = or(obuf_data_done, bus_wdata_sent) @[lsu_bus_buffer.scala 307:70] + node obuf_data_done_in = and(_T_1308, _T_1309) @[lsu_bus_buffer.scala 307:52] + node _T_1310 = bits(obuf_sz_in, 1, 0) @[lsu_bus_buffer.scala 308:67] + node _T_1311 = eq(_T_1310, UInt<1>("h00")) @[lsu_bus_buffer.scala 308:72] + node _T_1312 = bits(obuf_sz_in, 0, 0) @[lsu_bus_buffer.scala 308:92] + node _T_1313 = bits(obuf_addr_in, 0, 0) @[lsu_bus_buffer.scala 308:111] + node _T_1314 = eq(_T_1313, UInt<1>("h00")) @[lsu_bus_buffer.scala 308:98] + node _T_1315 = and(_T_1312, _T_1314) @[lsu_bus_buffer.scala 308:96] + node _T_1316 = or(_T_1311, _T_1315) @[lsu_bus_buffer.scala 308:79] + node _T_1317 = bits(obuf_sz_in, 1, 1) @[lsu_bus_buffer.scala 308:129] + node _T_1318 = bits(obuf_addr_in, 1, 0) @[lsu_bus_buffer.scala 308:147] + node _T_1319 = orr(_T_1318) @[lsu_bus_buffer.scala 308:153] + node _T_1320 = eq(_T_1319, UInt<1>("h00")) @[lsu_bus_buffer.scala 308:134] + node _T_1321 = and(_T_1317, _T_1320) @[lsu_bus_buffer.scala 308:132] + node _T_1322 = or(_T_1316, _T_1321) @[lsu_bus_buffer.scala 308:116] + node obuf_aligned_in = mux(ibuf_buf_byp, is_aligned_r, _T_1322) @[lsu_bus_buffer.scala 308:28] + wire obuf_nosend_in : UInt<1> + obuf_nosend_in <= UInt<1>("h00") + wire obuf_rdrsp_pend : UInt<1> + obuf_rdrsp_pend <= UInt<1>("h00") + wire bus_rsp_read : UInt<1> + bus_rsp_read <= UInt<1>("h00") + wire bus_rsp_read_tag : UInt<3> + bus_rsp_read_tag <= UInt<1>("h00") + wire obuf_rdrsp_tag : UInt<3> + obuf_rdrsp_tag <= UInt<1>("h00") + wire obuf_write : UInt<1> + obuf_write <= UInt<1>("h00") + node _T_1323 = eq(obuf_nosend_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 316:44] + node _T_1324 = and(obuf_wr_en, _T_1323) @[lsu_bus_buffer.scala 316:42] + node _T_1325 = eq(_T_1324, UInt<1>("h00")) @[lsu_bus_buffer.scala 316:29] + node _T_1326 = and(_T_1325, obuf_rdrsp_pend) @[lsu_bus_buffer.scala 316:61] + node _T_1327 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 316:116] + node _T_1328 = and(bus_rsp_read, _T_1327) @[lsu_bus_buffer.scala 316:96] + node _T_1329 = eq(_T_1328, UInt<1>("h00")) @[lsu_bus_buffer.scala 316:81] + node _T_1330 = and(_T_1326, _T_1329) @[lsu_bus_buffer.scala 316:79] + node _T_1331 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 317:22] + node _T_1332 = and(bus_cmd_sent, _T_1331) @[lsu_bus_buffer.scala 317:20] + node _T_1333 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 317:37] + node _T_1334 = and(_T_1332, _T_1333) @[lsu_bus_buffer.scala 317:35] + node obuf_rdrsp_pend_in = or(_T_1330, _T_1334) @[lsu_bus_buffer.scala 316:138] + wire obuf_tag0 : UInt<3> + obuf_tag0 <= UInt<1>("h00") + node _T_1335 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 319:46] + node _T_1336 = and(bus_cmd_sent, _T_1335) @[lsu_bus_buffer.scala 319:44] + node obuf_rdrsp_tag_in = mux(_T_1336, obuf_tag0, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 319:30] + wire obuf_addr : UInt<32> + obuf_addr <= UInt<1>("h00") + wire obuf_sideeffect : UInt<1> + obuf_sideeffect <= UInt<1>("h00") + node _T_1337 = bits(obuf_addr_in, 31, 3) @[lsu_bus_buffer.scala 322:34] + node _T_1338 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 322:52] + node _T_1339 = eq(_T_1337, _T_1338) @[lsu_bus_buffer.scala 322:40] + node _T_1340 = and(_T_1339, obuf_aligned_in) @[lsu_bus_buffer.scala 322:60] + node _T_1341 = eq(obuf_sideeffect, UInt<1>("h00")) @[lsu_bus_buffer.scala 322:80] + node _T_1342 = and(_T_1340, _T_1341) @[lsu_bus_buffer.scala 322:78] + node _T_1343 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 322:99] + node _T_1344 = and(_T_1342, _T_1343) @[lsu_bus_buffer.scala 322:97] + node _T_1345 = eq(obuf_write_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 322:113] + node _T_1346 = and(_T_1344, _T_1345) @[lsu_bus_buffer.scala 322:111] + node _T_1347 = eq(io.tlu_busbuff.dec_tlu_external_ldfwd_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 322:130] + node _T_1348 = and(_T_1346, _T_1347) @[lsu_bus_buffer.scala 322:128] + node _T_1349 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 323:20] + node _T_1350 = and(obuf_valid, _T_1349) @[lsu_bus_buffer.scala 323:18] + node _T_1351 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 323:90] + node _T_1352 = and(bus_rsp_read, _T_1351) @[lsu_bus_buffer.scala 323:70] + node _T_1353 = eq(_T_1352, UInt<1>("h00")) @[lsu_bus_buffer.scala 323:55] + node _T_1354 = and(obuf_rdrsp_pend, _T_1353) @[lsu_bus_buffer.scala 323:53] + node _T_1355 = or(_T_1350, _T_1354) @[lsu_bus_buffer.scala 323:34] + node _T_1356 = and(_T_1348, _T_1355) @[lsu_bus_buffer.scala 322:177] + obuf_nosend_in <= _T_1356 @[lsu_bus_buffer.scala 322:18] + node _T_1357 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_buffer.scala 324:60] + node _T_1358 = cat(ldst_byteen_lo_r, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1359 = cat(UInt<4>("h00"), ldst_byteen_lo_r) @[Cat.scala 29:58] + node _T_1360 = mux(_T_1357, _T_1358, _T_1359) @[lsu_bus_buffer.scala 324:46] + node _T_1361 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1362 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1363 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1364 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1365 = mux(_T_1361, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1366 = mux(_T_1362, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1367 = mux(_T_1363, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1368 = mux(_T_1364, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1369 = or(_T_1365, _T_1366) @[Mux.scala 27:72] + node _T_1370 = or(_T_1369, _T_1367) @[Mux.scala 27:72] + node _T_1371 = or(_T_1370, _T_1368) @[Mux.scala 27:72] + wire _T_1372 : UInt<32> @[Mux.scala 27:72] + _T_1372 <= _T_1371 @[Mux.scala 27:72] + node _T_1373 = bits(_T_1372, 2, 2) @[lsu_bus_buffer.scala 325:36] + node _T_1374 = bits(_T_1373, 0, 0) @[lsu_bus_buffer.scala 325:46] + node _T_1375 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1376 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1377 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1378 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1379 = mux(_T_1375, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1380 = mux(_T_1376, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1381 = mux(_T_1377, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1382 = mux(_T_1378, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1383 = or(_T_1379, _T_1380) @[Mux.scala 27:72] + node _T_1384 = or(_T_1383, _T_1381) @[Mux.scala 27:72] + node _T_1385 = or(_T_1384, _T_1382) @[Mux.scala 27:72] + wire _T_1386 : UInt<4> @[Mux.scala 27:72] + _T_1386 <= _T_1385 @[Mux.scala 27:72] + node _T_1387 = cat(_T_1386, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1388 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1389 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1390 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1391 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1392 = mux(_T_1388, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1393 = mux(_T_1389, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1394 = mux(_T_1390, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1395 = mux(_T_1391, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1396 = or(_T_1392, _T_1393) @[Mux.scala 27:72] + node _T_1397 = or(_T_1396, _T_1394) @[Mux.scala 27:72] + node _T_1398 = or(_T_1397, _T_1395) @[Mux.scala 27:72] + wire _T_1399 : UInt<4> @[Mux.scala 27:72] + _T_1399 <= _T_1398 @[Mux.scala 27:72] + node _T_1400 = cat(UInt<4>("h00"), _T_1399) @[Cat.scala 29:58] + node _T_1401 = mux(_T_1374, _T_1387, _T_1400) @[lsu_bus_buffer.scala 325:8] + node obuf_byteen0_in = mux(ibuf_buf_byp, _T_1360, _T_1401) @[lsu_bus_buffer.scala 324:28] + node _T_1402 = bits(io.end_addr_r, 2, 2) @[lsu_bus_buffer.scala 326:60] + node _T_1403 = cat(ldst_byteen_hi_r, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1404 = cat(UInt<4>("h00"), ldst_byteen_hi_r) @[Cat.scala 29:58] + node _T_1405 = mux(_T_1402, _T_1403, _T_1404) @[lsu_bus_buffer.scala 326:46] + node _T_1406 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1407 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1408 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1409 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1410 = mux(_T_1406, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1411 = mux(_T_1407, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1412 = mux(_T_1408, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1413 = mux(_T_1409, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1414 = or(_T_1410, _T_1411) @[Mux.scala 27:72] + node _T_1415 = or(_T_1414, _T_1412) @[Mux.scala 27:72] + node _T_1416 = or(_T_1415, _T_1413) @[Mux.scala 27:72] + wire _T_1417 : UInt<32> @[Mux.scala 27:72] + _T_1417 <= _T_1416 @[Mux.scala 27:72] + node _T_1418 = bits(_T_1417, 2, 2) @[lsu_bus_buffer.scala 327:36] + node _T_1419 = bits(_T_1418, 0, 0) @[lsu_bus_buffer.scala 327:46] + node _T_1420 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1421 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1422 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1423 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1424 = mux(_T_1420, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1425 = mux(_T_1421, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1426 = mux(_T_1422, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1427 = mux(_T_1423, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1428 = or(_T_1424, _T_1425) @[Mux.scala 27:72] + node _T_1429 = or(_T_1428, _T_1426) @[Mux.scala 27:72] + node _T_1430 = or(_T_1429, _T_1427) @[Mux.scala 27:72] + wire _T_1431 : UInt<4> @[Mux.scala 27:72] + _T_1431 <= _T_1430 @[Mux.scala 27:72] + node _T_1432 = cat(_T_1431, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1433 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1434 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1435 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1436 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1437 = mux(_T_1433, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1438 = mux(_T_1434, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1439 = mux(_T_1435, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1440 = mux(_T_1436, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1441 = or(_T_1437, _T_1438) @[Mux.scala 27:72] + node _T_1442 = or(_T_1441, _T_1439) @[Mux.scala 27:72] + node _T_1443 = or(_T_1442, _T_1440) @[Mux.scala 27:72] + wire _T_1444 : UInt<4> @[Mux.scala 27:72] + _T_1444 <= _T_1443 @[Mux.scala 27:72] + node _T_1445 = cat(UInt<4>("h00"), _T_1444) @[Cat.scala 29:58] + node _T_1446 = mux(_T_1419, _T_1432, _T_1445) @[lsu_bus_buffer.scala 327:8] + node obuf_byteen1_in = mux(ibuf_buf_byp, _T_1405, _T_1446) @[lsu_bus_buffer.scala 326:28] + node _T_1447 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_buffer.scala 329:58] + node _T_1448 = cat(store_data_lo_r, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1449 = cat(UInt<32>("h00"), store_data_lo_r) @[Cat.scala 29:58] + node _T_1450 = mux(_T_1447, _T_1448, _T_1449) @[lsu_bus_buffer.scala 329:44] + node _T_1451 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1452 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1453 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1454 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1455 = mux(_T_1451, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1456 = mux(_T_1452, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1457 = mux(_T_1453, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1458 = mux(_T_1454, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1459 = or(_T_1455, _T_1456) @[Mux.scala 27:72] + node _T_1460 = or(_T_1459, _T_1457) @[Mux.scala 27:72] + node _T_1461 = or(_T_1460, _T_1458) @[Mux.scala 27:72] + wire _T_1462 : UInt<32> @[Mux.scala 27:72] + _T_1462 <= _T_1461 @[Mux.scala 27:72] + node _T_1463 = bits(_T_1462, 2, 2) @[lsu_bus_buffer.scala 330:36] + node _T_1464 = bits(_T_1463, 0, 0) @[lsu_bus_buffer.scala 330:46] + node _T_1465 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1466 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1467 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1468 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1469 = mux(_T_1465, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1470 = mux(_T_1466, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1471 = mux(_T_1467, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1472 = mux(_T_1468, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1473 = or(_T_1469, _T_1470) @[Mux.scala 27:72] + node _T_1474 = or(_T_1473, _T_1471) @[Mux.scala 27:72] + node _T_1475 = or(_T_1474, _T_1472) @[Mux.scala 27:72] + wire _T_1476 : UInt<32> @[Mux.scala 27:72] + _T_1476 <= _T_1475 @[Mux.scala 27:72] + node _T_1477 = cat(_T_1476, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1478 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1479 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1480 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1481 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1482 = mux(_T_1478, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1483 = mux(_T_1479, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1484 = mux(_T_1480, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1485 = mux(_T_1481, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1486 = or(_T_1482, _T_1483) @[Mux.scala 27:72] + node _T_1487 = or(_T_1486, _T_1484) @[Mux.scala 27:72] + node _T_1488 = or(_T_1487, _T_1485) @[Mux.scala 27:72] + wire _T_1489 : UInt<32> @[Mux.scala 27:72] + _T_1489 <= _T_1488 @[Mux.scala 27:72] + node _T_1490 = cat(UInt<32>("h00"), _T_1489) @[Cat.scala 29:58] + node _T_1491 = mux(_T_1464, _T_1477, _T_1490) @[lsu_bus_buffer.scala 330:8] + node obuf_data0_in = mux(ibuf_buf_byp, _T_1450, _T_1491) @[lsu_bus_buffer.scala 329:26] + node _T_1492 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_buffer.scala 331:58] + node _T_1493 = cat(store_data_hi_r, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1494 = cat(UInt<32>("h00"), store_data_hi_r) @[Cat.scala 29:58] + node _T_1495 = mux(_T_1492, _T_1493, _T_1494) @[lsu_bus_buffer.scala 331:44] + node _T_1496 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1497 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1498 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1499 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1500 = mux(_T_1496, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1501 = mux(_T_1497, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1502 = mux(_T_1498, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1503 = mux(_T_1499, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1504 = or(_T_1500, _T_1501) @[Mux.scala 27:72] + node _T_1505 = or(_T_1504, _T_1502) @[Mux.scala 27:72] + node _T_1506 = or(_T_1505, _T_1503) @[Mux.scala 27:72] + wire _T_1507 : UInt<32> @[Mux.scala 27:72] + _T_1507 <= _T_1506 @[Mux.scala 27:72] + node _T_1508 = bits(_T_1507, 2, 2) @[lsu_bus_buffer.scala 332:36] + node _T_1509 = bits(_T_1508, 0, 0) @[lsu_bus_buffer.scala 332:46] + node _T_1510 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1511 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1512 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1513 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1514 = mux(_T_1510, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1515 = mux(_T_1511, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1516 = mux(_T_1512, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1517 = mux(_T_1513, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1518 = or(_T_1514, _T_1515) @[Mux.scala 27:72] + node _T_1519 = or(_T_1518, _T_1516) @[Mux.scala 27:72] + node _T_1520 = or(_T_1519, _T_1517) @[Mux.scala 27:72] + wire _T_1521 : UInt<32> @[Mux.scala 27:72] + _T_1521 <= _T_1520 @[Mux.scala 27:72] + node _T_1522 = cat(_T_1521, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1523 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1524 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1525 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1526 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1527 = mux(_T_1523, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1528 = mux(_T_1524, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1529 = mux(_T_1525, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1530 = mux(_T_1526, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1531 = or(_T_1527, _T_1528) @[Mux.scala 27:72] + node _T_1532 = or(_T_1531, _T_1529) @[Mux.scala 27:72] + node _T_1533 = or(_T_1532, _T_1530) @[Mux.scala 27:72] + wire _T_1534 : UInt<32> @[Mux.scala 27:72] + _T_1534 <= _T_1533 @[Mux.scala 27:72] + node _T_1535 = cat(UInt<32>("h00"), _T_1534) @[Cat.scala 29:58] + node _T_1536 = mux(_T_1509, _T_1522, _T_1535) @[lsu_bus_buffer.scala 332:8] + node obuf_data1_in = mux(ibuf_buf_byp, _T_1495, _T_1536) @[lsu_bus_buffer.scala 331:26] + node _T_1537 = bits(obuf_byteen0_in, 0, 0) @[lsu_bus_buffer.scala 333:59] + node _T_1538 = bits(obuf_byteen1_in, 0, 0) @[lsu_bus_buffer.scala 333:97] + node _T_1539 = and(obuf_merge_en, _T_1538) @[lsu_bus_buffer.scala 333:80] + node _T_1540 = or(_T_1537, _T_1539) @[lsu_bus_buffer.scala 333:63] + node _T_1541 = bits(obuf_byteen0_in, 1, 1) @[lsu_bus_buffer.scala 333:59] + node _T_1542 = bits(obuf_byteen1_in, 1, 1) @[lsu_bus_buffer.scala 333:97] + node _T_1543 = and(obuf_merge_en, _T_1542) @[lsu_bus_buffer.scala 333:80] + node _T_1544 = or(_T_1541, _T_1543) @[lsu_bus_buffer.scala 333:63] + node _T_1545 = bits(obuf_byteen0_in, 2, 2) @[lsu_bus_buffer.scala 333:59] + node _T_1546 = bits(obuf_byteen1_in, 2, 2) @[lsu_bus_buffer.scala 333:97] + node _T_1547 = and(obuf_merge_en, _T_1546) @[lsu_bus_buffer.scala 333:80] + node _T_1548 = or(_T_1545, _T_1547) @[lsu_bus_buffer.scala 333:63] + node _T_1549 = bits(obuf_byteen0_in, 3, 3) @[lsu_bus_buffer.scala 333:59] + node _T_1550 = bits(obuf_byteen1_in, 3, 3) @[lsu_bus_buffer.scala 333:97] + node _T_1551 = and(obuf_merge_en, _T_1550) @[lsu_bus_buffer.scala 333:80] + node _T_1552 = or(_T_1549, _T_1551) @[lsu_bus_buffer.scala 333:63] + node _T_1553 = bits(obuf_byteen0_in, 4, 4) @[lsu_bus_buffer.scala 333:59] + node _T_1554 = bits(obuf_byteen1_in, 4, 4) @[lsu_bus_buffer.scala 333:97] + node _T_1555 = and(obuf_merge_en, _T_1554) @[lsu_bus_buffer.scala 333:80] + node _T_1556 = or(_T_1553, _T_1555) @[lsu_bus_buffer.scala 333:63] + node _T_1557 = bits(obuf_byteen0_in, 5, 5) @[lsu_bus_buffer.scala 333:59] + node _T_1558 = bits(obuf_byteen1_in, 5, 5) @[lsu_bus_buffer.scala 333:97] + node _T_1559 = and(obuf_merge_en, _T_1558) @[lsu_bus_buffer.scala 333:80] + node _T_1560 = or(_T_1557, _T_1559) @[lsu_bus_buffer.scala 333:63] + node _T_1561 = bits(obuf_byteen0_in, 6, 6) @[lsu_bus_buffer.scala 333:59] + node _T_1562 = bits(obuf_byteen1_in, 6, 6) @[lsu_bus_buffer.scala 333:97] + node _T_1563 = and(obuf_merge_en, _T_1562) @[lsu_bus_buffer.scala 333:80] + node _T_1564 = or(_T_1561, _T_1563) @[lsu_bus_buffer.scala 333:63] + node _T_1565 = bits(obuf_byteen0_in, 7, 7) @[lsu_bus_buffer.scala 333:59] + node _T_1566 = bits(obuf_byteen1_in, 7, 7) @[lsu_bus_buffer.scala 333:97] + node _T_1567 = and(obuf_merge_en, _T_1566) @[lsu_bus_buffer.scala 333:80] + node _T_1568 = or(_T_1565, _T_1567) @[lsu_bus_buffer.scala 333:63] + node _T_1569 = cat(_T_1568, _T_1564) @[Cat.scala 29:58] + node _T_1570 = cat(_T_1569, _T_1560) @[Cat.scala 29:58] + node _T_1571 = cat(_T_1570, _T_1556) @[Cat.scala 29:58] + node _T_1572 = cat(_T_1571, _T_1552) @[Cat.scala 29:58] + node _T_1573 = cat(_T_1572, _T_1548) @[Cat.scala 29:58] + node _T_1574 = cat(_T_1573, _T_1544) @[Cat.scala 29:58] + node obuf_byteen_in = cat(_T_1574, _T_1540) @[Cat.scala 29:58] + node _T_1575 = bits(obuf_byteen1_in, 0, 0) @[lsu_bus_buffer.scala 334:76] + node _T_1576 = and(obuf_merge_en, _T_1575) @[lsu_bus_buffer.scala 334:59] + node _T_1577 = bits(obuf_data1_in, 7, 0) @[lsu_bus_buffer.scala 334:94] + node _T_1578 = bits(obuf_data0_in, 7, 0) @[lsu_bus_buffer.scala 334:123] + node _T_1579 = mux(_T_1576, _T_1577, _T_1578) @[lsu_bus_buffer.scala 334:44] + node _T_1580 = bits(obuf_byteen1_in, 1, 1) @[lsu_bus_buffer.scala 334:76] + node _T_1581 = and(obuf_merge_en, _T_1580) @[lsu_bus_buffer.scala 334:59] + node _T_1582 = bits(obuf_data1_in, 15, 8) @[lsu_bus_buffer.scala 334:94] + node _T_1583 = bits(obuf_data0_in, 15, 8) @[lsu_bus_buffer.scala 334:123] + node _T_1584 = mux(_T_1581, _T_1582, _T_1583) @[lsu_bus_buffer.scala 334:44] + node _T_1585 = bits(obuf_byteen1_in, 2, 2) @[lsu_bus_buffer.scala 334:76] + node _T_1586 = and(obuf_merge_en, _T_1585) @[lsu_bus_buffer.scala 334:59] + node _T_1587 = bits(obuf_data1_in, 23, 16) @[lsu_bus_buffer.scala 334:94] + node _T_1588 = bits(obuf_data0_in, 23, 16) @[lsu_bus_buffer.scala 334:123] + node _T_1589 = mux(_T_1586, _T_1587, _T_1588) @[lsu_bus_buffer.scala 334:44] + node _T_1590 = bits(obuf_byteen1_in, 3, 3) @[lsu_bus_buffer.scala 334:76] + node _T_1591 = and(obuf_merge_en, _T_1590) @[lsu_bus_buffer.scala 334:59] + node _T_1592 = bits(obuf_data1_in, 31, 24) @[lsu_bus_buffer.scala 334:94] + node _T_1593 = bits(obuf_data0_in, 31, 24) @[lsu_bus_buffer.scala 334:123] + node _T_1594 = mux(_T_1591, _T_1592, _T_1593) @[lsu_bus_buffer.scala 334:44] + node _T_1595 = bits(obuf_byteen1_in, 4, 4) @[lsu_bus_buffer.scala 334:76] + node _T_1596 = and(obuf_merge_en, _T_1595) @[lsu_bus_buffer.scala 334:59] + node _T_1597 = bits(obuf_data1_in, 39, 32) @[lsu_bus_buffer.scala 334:94] + node _T_1598 = bits(obuf_data0_in, 39, 32) @[lsu_bus_buffer.scala 334:123] + node _T_1599 = mux(_T_1596, _T_1597, _T_1598) @[lsu_bus_buffer.scala 334:44] + node _T_1600 = bits(obuf_byteen1_in, 5, 5) @[lsu_bus_buffer.scala 334:76] + node _T_1601 = and(obuf_merge_en, _T_1600) @[lsu_bus_buffer.scala 334:59] + node _T_1602 = bits(obuf_data1_in, 47, 40) @[lsu_bus_buffer.scala 334:94] + node _T_1603 = bits(obuf_data0_in, 47, 40) @[lsu_bus_buffer.scala 334:123] + node _T_1604 = mux(_T_1601, _T_1602, _T_1603) @[lsu_bus_buffer.scala 334:44] + node _T_1605 = bits(obuf_byteen1_in, 6, 6) @[lsu_bus_buffer.scala 334:76] + node _T_1606 = and(obuf_merge_en, _T_1605) @[lsu_bus_buffer.scala 334:59] + node _T_1607 = bits(obuf_data1_in, 55, 48) @[lsu_bus_buffer.scala 334:94] + node _T_1608 = bits(obuf_data0_in, 55, 48) @[lsu_bus_buffer.scala 334:123] + node _T_1609 = mux(_T_1606, _T_1607, _T_1608) @[lsu_bus_buffer.scala 334:44] + node _T_1610 = bits(obuf_byteen1_in, 7, 7) @[lsu_bus_buffer.scala 334:76] + node _T_1611 = and(obuf_merge_en, _T_1610) @[lsu_bus_buffer.scala 334:59] + node _T_1612 = bits(obuf_data1_in, 63, 56) @[lsu_bus_buffer.scala 334:94] + node _T_1613 = bits(obuf_data0_in, 63, 56) @[lsu_bus_buffer.scala 334:123] + node _T_1614 = mux(_T_1611, _T_1612, _T_1613) @[lsu_bus_buffer.scala 334:44] + node _T_1615 = cat(_T_1614, _T_1609) @[Cat.scala 29:58] + node _T_1616 = cat(_T_1615, _T_1604) @[Cat.scala 29:58] + node _T_1617 = cat(_T_1616, _T_1599) @[Cat.scala 29:58] + node _T_1618 = cat(_T_1617, _T_1594) @[Cat.scala 29:58] + node _T_1619 = cat(_T_1618, _T_1589) @[Cat.scala 29:58] + node _T_1620 = cat(_T_1619, _T_1584) @[Cat.scala 29:58] + node obuf_data_in = cat(_T_1620, _T_1579) @[Cat.scala 29:58] + wire buf_dualhi : UInt<1>[4] @[lsu_bus_buffer.scala 336:24] + buf_dualhi[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 337:14] + buf_dualhi[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 337:14] + buf_dualhi[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 337:14] + buf_dualhi[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 337:14] + node _T_1621 = neq(CmdPtr0, CmdPtr1) @[lsu_bus_buffer.scala 338:30] + node _T_1622 = and(_T_1621, found_cmdptr0) @[lsu_bus_buffer.scala 338:43] + node _T_1623 = and(_T_1622, found_cmdptr1) @[lsu_bus_buffer.scala 338:59] + node _T_1624 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1625 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1626 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1627 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1628 = mux(_T_1624, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1629 = mux(_T_1625, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1630 = mux(_T_1626, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1631 = mux(_T_1627, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1632 = or(_T_1628, _T_1629) @[Mux.scala 27:72] + node _T_1633 = or(_T_1632, _T_1630) @[Mux.scala 27:72] + node _T_1634 = or(_T_1633, _T_1631) @[Mux.scala 27:72] + wire _T_1635 : UInt<3> @[Mux.scala 27:72] + _T_1635 <= _T_1634 @[Mux.scala 27:72] + node _T_1636 = eq(_T_1635, UInt<3>("h02")) @[lsu_bus_buffer.scala 338:107] + node _T_1637 = and(_T_1623, _T_1636) @[lsu_bus_buffer.scala 338:75] + node _T_1638 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1639 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1640 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1641 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1642 = mux(_T_1638, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1643 = mux(_T_1639, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1644 = mux(_T_1640, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1645 = mux(_T_1641, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1646 = or(_T_1642, _T_1643) @[Mux.scala 27:72] + node _T_1647 = or(_T_1646, _T_1644) @[Mux.scala 27:72] + node _T_1648 = or(_T_1647, _T_1645) @[Mux.scala 27:72] + wire _T_1649 : UInt<3> @[Mux.scala 27:72] + _T_1649 <= _T_1648 @[Mux.scala 27:72] + node _T_1650 = eq(_T_1649, UInt<3>("h02")) @[lsu_bus_buffer.scala 338:150] + node _T_1651 = and(_T_1637, _T_1650) @[lsu_bus_buffer.scala 338:118] + node _T_1652 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] + node _T_1653 = cat(_T_1652, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] + node _T_1654 = cat(_T_1653, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] + node _T_1655 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1656 = bits(_T_1654, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1657 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1658 = bits(_T_1654, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1659 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1660 = bits(_T_1654, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1661 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1662 = bits(_T_1654, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1663 = mux(_T_1655, _T_1656, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1664 = mux(_T_1657, _T_1658, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1665 = mux(_T_1659, _T_1660, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1666 = mux(_T_1661, _T_1662, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1667 = or(_T_1663, _T_1664) @[Mux.scala 27:72] + node _T_1668 = or(_T_1667, _T_1665) @[Mux.scala 27:72] + node _T_1669 = or(_T_1668, _T_1666) @[Mux.scala 27:72] + wire _T_1670 : UInt<1> @[Mux.scala 27:72] + _T_1670 <= _T_1669 @[Mux.scala 27:72] + node _T_1671 = eq(_T_1670, UInt<1>("h00")) @[lsu_bus_buffer.scala 339:5] + node _T_1672 = and(_T_1651, _T_1671) @[lsu_bus_buffer.scala 338:161] + node _T_1673 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1674 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1675 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1676 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1677 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1678 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1679 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1680 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1681 = mux(_T_1673, _T_1674, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1682 = mux(_T_1675, _T_1676, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1683 = mux(_T_1677, _T_1678, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1684 = mux(_T_1679, _T_1680, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1685 = or(_T_1681, _T_1682) @[Mux.scala 27:72] + node _T_1686 = or(_T_1685, _T_1683) @[Mux.scala 27:72] + node _T_1687 = or(_T_1686, _T_1684) @[Mux.scala 27:72] + wire _T_1688 : UInt<1> @[Mux.scala 27:72] + _T_1688 <= _T_1687 @[Mux.scala 27:72] + node _T_1689 = eq(_T_1688, UInt<1>("h00")) @[lsu_bus_buffer.scala 339:87] + node _T_1690 = and(_T_1672, _T_1689) @[lsu_bus_buffer.scala 339:85] + node _T_1691 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1692 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1693 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1694 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1695 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1696 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1697 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1698 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1699 = mux(_T_1691, _T_1692, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1700 = mux(_T_1693, _T_1694, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1701 = mux(_T_1695, _T_1696, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1702 = mux(_T_1697, _T_1698, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1703 = or(_T_1699, _T_1700) @[Mux.scala 27:72] + node _T_1704 = or(_T_1703, _T_1701) @[Mux.scala 27:72] + node _T_1705 = or(_T_1704, _T_1702) @[Mux.scala 27:72] + wire _T_1706 : UInt<1> @[Mux.scala 27:72] + _T_1706 <= _T_1705 @[Mux.scala 27:72] + node _T_1707 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1708 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1709 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1710 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1711 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1712 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1713 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1714 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1715 = mux(_T_1707, _T_1708, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1716 = mux(_T_1709, _T_1710, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1717 = mux(_T_1711, _T_1712, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1718 = mux(_T_1713, _T_1714, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1719 = or(_T_1715, _T_1716) @[Mux.scala 27:72] + node _T_1720 = or(_T_1719, _T_1717) @[Mux.scala 27:72] + node _T_1721 = or(_T_1720, _T_1718) @[Mux.scala 27:72] + wire _T_1722 : UInt<1> @[Mux.scala 27:72] + _T_1722 <= _T_1721 @[Mux.scala 27:72] + node _T_1723 = and(_T_1706, _T_1722) @[lsu_bus_buffer.scala 340:36] + node _T_1724 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1725 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1726 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1727 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1728 = mux(_T_1724, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1729 = mux(_T_1725, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1730 = mux(_T_1726, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1731 = mux(_T_1727, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1732 = or(_T_1728, _T_1729) @[Mux.scala 27:72] + node _T_1733 = or(_T_1732, _T_1730) @[Mux.scala 27:72] + node _T_1734 = or(_T_1733, _T_1731) @[Mux.scala 27:72] + wire _T_1735 : UInt<32> @[Mux.scala 27:72] + _T_1735 <= _T_1734 @[Mux.scala 27:72] + node _T_1736 = bits(_T_1735, 31, 3) @[lsu_bus_buffer.scala 341:35] + node _T_1737 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1738 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1739 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1740 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1741 = mux(_T_1737, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1742 = mux(_T_1738, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1743 = mux(_T_1739, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1744 = mux(_T_1740, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1745 = or(_T_1741, _T_1742) @[Mux.scala 27:72] + node _T_1746 = or(_T_1745, _T_1743) @[Mux.scala 27:72] + node _T_1747 = or(_T_1746, _T_1744) @[Mux.scala 27:72] + wire _T_1748 : UInt<32> @[Mux.scala 27:72] + _T_1748 <= _T_1747 @[Mux.scala 27:72] + node _T_1749 = bits(_T_1748, 31, 3) @[lsu_bus_buffer.scala 341:71] + node _T_1750 = eq(_T_1736, _T_1749) @[lsu_bus_buffer.scala 341:41] + node _T_1751 = and(_T_1723, _T_1750) @[lsu_bus_buffer.scala 340:67] + node _T_1752 = eq(bus_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 341:81] + node _T_1753 = and(_T_1751, _T_1752) @[lsu_bus_buffer.scala 341:79] + node _T_1754 = eq(UInt<1>("h01"), UInt<1>("h00")) @[lsu_bus_buffer.scala 341:107] + node _T_1755 = and(_T_1753, _T_1754) @[lsu_bus_buffer.scala 341:105] + node _T_1756 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1757 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1758 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1759 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1760 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1761 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1762 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1763 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1764 = mux(_T_1756, _T_1757, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1765 = mux(_T_1758, _T_1759, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1766 = mux(_T_1760, _T_1761, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1767 = mux(_T_1762, _T_1763, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1768 = or(_T_1764, _T_1765) @[Mux.scala 27:72] + node _T_1769 = or(_T_1768, _T_1766) @[Mux.scala 27:72] + node _T_1770 = or(_T_1769, _T_1767) @[Mux.scala 27:72] + wire _T_1771 : UInt<1> @[Mux.scala 27:72] + _T_1771 <= _T_1770 @[Mux.scala 27:72] + node _T_1772 = eq(_T_1771, UInt<1>("h00")) @[lsu_bus_buffer.scala 342:8] + node _T_1773 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_1774 = cat(_T_1773, buf_dual[1]) @[Cat.scala 29:58] + node _T_1775 = cat(_T_1774, buf_dual[0]) @[Cat.scala 29:58] + node _T_1776 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1777 = bits(_T_1775, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1778 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1779 = bits(_T_1775, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1780 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1781 = bits(_T_1775, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1782 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1783 = bits(_T_1775, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1784 = mux(_T_1776, _T_1777, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1785 = mux(_T_1778, _T_1779, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1786 = mux(_T_1780, _T_1781, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1787 = mux(_T_1782, _T_1783, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1788 = or(_T_1784, _T_1785) @[Mux.scala 27:72] + node _T_1789 = or(_T_1788, _T_1786) @[Mux.scala 27:72] + node _T_1790 = or(_T_1789, _T_1787) @[Mux.scala 27:72] + wire _T_1791 : UInt<1> @[Mux.scala 27:72] + _T_1791 <= _T_1790 @[Mux.scala 27:72] + node _T_1792 = and(_T_1772, _T_1791) @[lsu_bus_buffer.scala 342:38] + node _T_1793 = cat(buf_dualhi[3], buf_dualhi[2]) @[Cat.scala 29:58] + node _T_1794 = cat(_T_1793, buf_dualhi[1]) @[Cat.scala 29:58] + node _T_1795 = cat(_T_1794, buf_dualhi[0]) @[Cat.scala 29:58] + node _T_1796 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1797 = bits(_T_1795, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1798 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1799 = bits(_T_1795, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1800 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1801 = bits(_T_1795, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1802 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1803 = bits(_T_1795, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1804 = mux(_T_1796, _T_1797, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1805 = mux(_T_1798, _T_1799, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1806 = mux(_T_1800, _T_1801, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1807 = mux(_T_1802, _T_1803, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1808 = or(_T_1804, _T_1805) @[Mux.scala 27:72] + node _T_1809 = or(_T_1808, _T_1806) @[Mux.scala 27:72] + node _T_1810 = or(_T_1809, _T_1807) @[Mux.scala 27:72] + wire _T_1811 : UInt<1> @[Mux.scala 27:72] + _T_1811 <= _T_1810 @[Mux.scala 27:72] + node _T_1812 = eq(_T_1811, UInt<1>("h00")) @[lsu_bus_buffer.scala 342:109] + node _T_1813 = and(_T_1792, _T_1812) @[lsu_bus_buffer.scala 342:107] + node _T_1814 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] + node _T_1815 = cat(_T_1814, buf_samedw[1]) @[Cat.scala 29:58] + node _T_1816 = cat(_T_1815, buf_samedw[0]) @[Cat.scala 29:58] + node _T_1817 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1818 = bits(_T_1816, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1819 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1820 = bits(_T_1816, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1821 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1822 = bits(_T_1816, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1823 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1824 = bits(_T_1816, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1825 = mux(_T_1817, _T_1818, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1826 = mux(_T_1819, _T_1820, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1827 = mux(_T_1821, _T_1822, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1828 = mux(_T_1823, _T_1824, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1829 = or(_T_1825, _T_1826) @[Mux.scala 27:72] + node _T_1830 = or(_T_1829, _T_1827) @[Mux.scala 27:72] + node _T_1831 = or(_T_1830, _T_1828) @[Mux.scala 27:72] + wire _T_1832 : UInt<1> @[Mux.scala 27:72] + _T_1832 <= _T_1831 @[Mux.scala 27:72] + node _T_1833 = and(_T_1813, _T_1832) @[lsu_bus_buffer.scala 342:179] + node _T_1834 = or(_T_1755, _T_1833) @[lsu_bus_buffer.scala 341:128] + node _T_1835 = and(_T_1690, _T_1834) @[lsu_bus_buffer.scala 339:122] + node _T_1836 = and(ibuf_buf_byp, ldst_samedw_r) @[lsu_bus_buffer.scala 343:19] + node _T_1837 = and(_T_1836, io.ldst_dual_r) @[lsu_bus_buffer.scala 343:35] + node _T_1838 = or(_T_1835, _T_1837) @[lsu_bus_buffer.scala 342:253] + obuf_merge_en <= _T_1838 @[lsu_bus_buffer.scala 338:17] + reg obuf_wr_enQ : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 345:55] + obuf_wr_enQ <= obuf_wr_en @[lsu_bus_buffer.scala 345:55] + node _T_1839 = mux(obuf_wr_en, UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 346:58] + node _T_1840 = eq(obuf_rst, UInt<1>("h00")) @[lsu_bus_buffer.scala 346:93] + node _T_1841 = and(_T_1839, _T_1840) @[lsu_bus_buffer.scala 346:91] + reg _T_1842 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 346:54] + _T_1842 <= _T_1841 @[lsu_bus_buffer.scala 346:54] + obuf_valid <= _T_1842 @[lsu_bus_buffer.scala 346:14] + reg _T_1843 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + _T_1843 <= obuf_nosend_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + obuf_nosend <= _T_1843 @[lsu_bus_buffer.scala 347:15] + reg _T_1844 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 348:54] + _T_1844 <= obuf_cmd_done_in @[lsu_bus_buffer.scala 348:54] + obuf_cmd_done <= _T_1844 @[lsu_bus_buffer.scala 348:17] + reg _T_1845 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 349:55] + _T_1845 <= obuf_data_done_in @[lsu_bus_buffer.scala 349:55] + obuf_data_done <= _T_1845 @[lsu_bus_buffer.scala 349:18] + reg _T_1846 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 350:56] + _T_1846 <= obuf_rdrsp_pend_in @[lsu_bus_buffer.scala 350:56] + obuf_rdrsp_pend <= _T_1846 @[lsu_bus_buffer.scala 350:19] + reg _T_1847 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 351:55] + _T_1847 <= obuf_rdrsp_tag_in @[lsu_bus_buffer.scala 351:55] + obuf_rdrsp_tag <= _T_1847 @[lsu_bus_buffer.scala 351:18] + reg _T_1848 : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + _T_1848 <= obuf_tag0_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + obuf_tag0 <= _T_1848 @[lsu_bus_buffer.scala 352:13] + reg obuf_tag1 : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + obuf_tag1 <= obuf_tag1_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg obuf_merge : UInt<1>, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + obuf_merge <= obuf_merge_en @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg _T_1849 : UInt<1>, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + _T_1849 <= obuf_write_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + obuf_write <= _T_1849 @[lsu_bus_buffer.scala 355:14] + reg _T_1850 : UInt<1>, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + _T_1850 <= obuf_sideeffect_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + obuf_sideeffect <= _T_1850 @[lsu_bus_buffer.scala 356:19] + reg obuf_sz : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + obuf_sz <= obuf_sz_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + inst rvclkhdr_2 of rvclkhdr_26 @[lib.scala 368:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_2.io.en <= obuf_wr_en @[lib.scala 371:17] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_1851 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1851 <= obuf_addr_in @[lib.scala 374:16] + obuf_addr <= _T_1851 @[lsu_bus_buffer.scala 358:13] + reg obuf_byteen : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + obuf_byteen <= obuf_byteen_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + inst rvclkhdr_3 of rvclkhdr_27 @[lib.scala 368:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_3.io.en <= obuf_wr_en @[lib.scala 371:17] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg obuf_data : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + obuf_data <= obuf_data_in @[lib.scala 374:16] + reg _T_1852 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 361:54] + _T_1852 <= obuf_wr_timer_in @[lsu_bus_buffer.scala 361:54] + obuf_wr_timer <= _T_1852 @[lsu_bus_buffer.scala 361:17] + wire WrPtr0_m : UInt<2> + WrPtr0_m <= UInt<1>("h00") + node _T_1853 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 364:65] + node _T_1854 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 365:30] + node _T_1855 = and(ibuf_valid, _T_1854) @[lsu_bus_buffer.scala 365:19] + node _T_1856 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 366:18] + node _T_1857 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 366:57] + node _T_1858 = and(io.ldst_dual_r, _T_1857) @[lsu_bus_buffer.scala 366:45] + node _T_1859 = or(_T_1856, _T_1858) @[lsu_bus_buffer.scala 366:27] + node _T_1860 = and(io.lsu_busreq_r, _T_1859) @[lsu_bus_buffer.scala 365:58] + node _T_1861 = or(_T_1855, _T_1860) @[lsu_bus_buffer.scala 365:39] + node _T_1862 = eq(_T_1861, UInt<1>("h00")) @[lsu_bus_buffer.scala 365:5] + node _T_1863 = and(_T_1853, _T_1862) @[lsu_bus_buffer.scala 364:76] + node _T_1864 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 364:65] + node _T_1865 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 365:30] + node _T_1866 = and(ibuf_valid, _T_1865) @[lsu_bus_buffer.scala 365:19] + node _T_1867 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 366:18] + node _T_1868 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 366:57] + node _T_1869 = and(io.ldst_dual_r, _T_1868) @[lsu_bus_buffer.scala 366:45] + node _T_1870 = or(_T_1867, _T_1869) @[lsu_bus_buffer.scala 366:27] + node _T_1871 = and(io.lsu_busreq_r, _T_1870) @[lsu_bus_buffer.scala 365:58] + node _T_1872 = or(_T_1866, _T_1871) @[lsu_bus_buffer.scala 365:39] + node _T_1873 = eq(_T_1872, UInt<1>("h00")) @[lsu_bus_buffer.scala 365:5] + node _T_1874 = and(_T_1864, _T_1873) @[lsu_bus_buffer.scala 364:76] + node _T_1875 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 364:65] + node _T_1876 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 365:30] + node _T_1877 = and(ibuf_valid, _T_1876) @[lsu_bus_buffer.scala 365:19] + node _T_1878 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 366:18] + node _T_1879 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 366:57] + node _T_1880 = and(io.ldst_dual_r, _T_1879) @[lsu_bus_buffer.scala 366:45] + node _T_1881 = or(_T_1878, _T_1880) @[lsu_bus_buffer.scala 366:27] + node _T_1882 = and(io.lsu_busreq_r, _T_1881) @[lsu_bus_buffer.scala 365:58] + node _T_1883 = or(_T_1877, _T_1882) @[lsu_bus_buffer.scala 365:39] + node _T_1884 = eq(_T_1883, UInt<1>("h00")) @[lsu_bus_buffer.scala 365:5] + node _T_1885 = and(_T_1875, _T_1884) @[lsu_bus_buffer.scala 364:76] + node _T_1886 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 364:65] + node _T_1887 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 365:30] + node _T_1888 = and(ibuf_valid, _T_1887) @[lsu_bus_buffer.scala 365:19] + node _T_1889 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 366:18] + node _T_1890 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 366:57] + node _T_1891 = and(io.ldst_dual_r, _T_1890) @[lsu_bus_buffer.scala 366:45] + node _T_1892 = or(_T_1889, _T_1891) @[lsu_bus_buffer.scala 366:27] + node _T_1893 = and(io.lsu_busreq_r, _T_1892) @[lsu_bus_buffer.scala 365:58] + node _T_1894 = or(_T_1888, _T_1893) @[lsu_bus_buffer.scala 365:39] + node _T_1895 = eq(_T_1894, UInt<1>("h00")) @[lsu_bus_buffer.scala 365:5] + node _T_1896 = and(_T_1886, _T_1895) @[lsu_bus_buffer.scala 364:76] + node _T_1897 = mux(_T_1896, UInt<2>("h03"), UInt<2>("h03")) @[Mux.scala 98:16] + node _T_1898 = mux(_T_1885, UInt<2>("h02"), _T_1897) @[Mux.scala 98:16] + node _T_1899 = mux(_T_1874, UInt<1>("h01"), _T_1898) @[Mux.scala 98:16] + node _T_1900 = mux(_T_1863, UInt<1>("h00"), _T_1899) @[Mux.scala 98:16] + WrPtr0_m <= _T_1900 @[lsu_bus_buffer.scala 364:12] + wire WrPtr1_m : UInt<2> + WrPtr1_m <= UInt<1>("h00") + node _T_1901 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 370:65] + node _T_1902 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 370:103] + node _T_1903 = and(ibuf_valid, _T_1902) @[lsu_bus_buffer.scala 370:92] + node _T_1904 = eq(WrPtr0_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 371:33] + node _T_1905 = and(io.lsu_busreq_m, _T_1904) @[lsu_bus_buffer.scala 371:22] + node _T_1906 = or(_T_1903, _T_1905) @[lsu_bus_buffer.scala 370:112] + node _T_1907 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 372:36] + node _T_1908 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 373:34] + node _T_1909 = and(io.ldst_dual_r, _T_1908) @[lsu_bus_buffer.scala 373:23] + node _T_1910 = or(_T_1907, _T_1909) @[lsu_bus_buffer.scala 372:46] + node _T_1911 = and(io.lsu_busreq_r, _T_1910) @[lsu_bus_buffer.scala 372:22] + node _T_1912 = or(_T_1906, _T_1911) @[lsu_bus_buffer.scala 371:42] + node _T_1913 = eq(_T_1912, UInt<1>("h00")) @[lsu_bus_buffer.scala 370:78] + node _T_1914 = and(_T_1901, _T_1913) @[lsu_bus_buffer.scala 370:76] + node _T_1915 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 370:65] + node _T_1916 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 370:103] + node _T_1917 = and(ibuf_valid, _T_1916) @[lsu_bus_buffer.scala 370:92] + node _T_1918 = eq(WrPtr0_m, UInt<1>("h01")) @[lsu_bus_buffer.scala 371:33] + node _T_1919 = and(io.lsu_busreq_m, _T_1918) @[lsu_bus_buffer.scala 371:22] + node _T_1920 = or(_T_1917, _T_1919) @[lsu_bus_buffer.scala 370:112] + node _T_1921 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 372:36] + node _T_1922 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 373:34] + node _T_1923 = and(io.ldst_dual_r, _T_1922) @[lsu_bus_buffer.scala 373:23] + node _T_1924 = or(_T_1921, _T_1923) @[lsu_bus_buffer.scala 372:46] + node _T_1925 = and(io.lsu_busreq_r, _T_1924) @[lsu_bus_buffer.scala 372:22] + node _T_1926 = or(_T_1920, _T_1925) @[lsu_bus_buffer.scala 371:42] + node _T_1927 = eq(_T_1926, UInt<1>("h00")) @[lsu_bus_buffer.scala 370:78] + node _T_1928 = and(_T_1915, _T_1927) @[lsu_bus_buffer.scala 370:76] + node _T_1929 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 370:65] + node _T_1930 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 370:103] + node _T_1931 = and(ibuf_valid, _T_1930) @[lsu_bus_buffer.scala 370:92] + node _T_1932 = eq(WrPtr0_m, UInt<2>("h02")) @[lsu_bus_buffer.scala 371:33] + node _T_1933 = and(io.lsu_busreq_m, _T_1932) @[lsu_bus_buffer.scala 371:22] + node _T_1934 = or(_T_1931, _T_1933) @[lsu_bus_buffer.scala 370:112] + node _T_1935 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 372:36] + node _T_1936 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 373:34] + node _T_1937 = and(io.ldst_dual_r, _T_1936) @[lsu_bus_buffer.scala 373:23] + node _T_1938 = or(_T_1935, _T_1937) @[lsu_bus_buffer.scala 372:46] + node _T_1939 = and(io.lsu_busreq_r, _T_1938) @[lsu_bus_buffer.scala 372:22] + node _T_1940 = or(_T_1934, _T_1939) @[lsu_bus_buffer.scala 371:42] + node _T_1941 = eq(_T_1940, UInt<1>("h00")) @[lsu_bus_buffer.scala 370:78] + node _T_1942 = and(_T_1929, _T_1941) @[lsu_bus_buffer.scala 370:76] + node _T_1943 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 370:65] + node _T_1944 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 370:103] + node _T_1945 = and(ibuf_valid, _T_1944) @[lsu_bus_buffer.scala 370:92] + node _T_1946 = eq(WrPtr0_m, UInt<2>("h03")) @[lsu_bus_buffer.scala 371:33] + node _T_1947 = and(io.lsu_busreq_m, _T_1946) @[lsu_bus_buffer.scala 371:22] + node _T_1948 = or(_T_1945, _T_1947) @[lsu_bus_buffer.scala 370:112] + node _T_1949 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 372:36] + node _T_1950 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 373:34] + node _T_1951 = and(io.ldst_dual_r, _T_1950) @[lsu_bus_buffer.scala 373:23] + node _T_1952 = or(_T_1949, _T_1951) @[lsu_bus_buffer.scala 372:46] + node _T_1953 = and(io.lsu_busreq_r, _T_1952) @[lsu_bus_buffer.scala 372:22] + node _T_1954 = or(_T_1948, _T_1953) @[lsu_bus_buffer.scala 371:42] + node _T_1955 = eq(_T_1954, UInt<1>("h00")) @[lsu_bus_buffer.scala 370:78] + node _T_1956 = and(_T_1943, _T_1955) @[lsu_bus_buffer.scala 370:76] + node _T_1957 = mux(_T_1956, UInt<2>("h03"), UInt<2>("h03")) @[Mux.scala 98:16] + node _T_1958 = mux(_T_1942, UInt<2>("h02"), _T_1957) @[Mux.scala 98:16] + node _T_1959 = mux(_T_1928, UInt<1>("h01"), _T_1958) @[Mux.scala 98:16] + node _T_1960 = mux(_T_1914, UInt<1>("h00"), _T_1959) @[Mux.scala 98:16] + WrPtr1_m <= _T_1960 @[lsu_bus_buffer.scala 370:12] + wire buf_age : UInt<4>[4] @[lsu_bus_buffer.scala 375:21] + buf_age[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 376:11] + buf_age[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 376:11] + buf_age[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 376:11] + buf_age[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 376:11] + node _T_1961 = orr(buf_age[0]) @[lsu_bus_buffer.scala 378:58] + node _T_1962 = eq(_T_1961, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:45] + node _T_1963 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 378:78] + node _T_1964 = and(_T_1962, _T_1963) @[lsu_bus_buffer.scala 378:63] + node _T_1965 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 378:90] + node _T_1966 = and(_T_1964, _T_1965) @[lsu_bus_buffer.scala 378:88] + node _T_1967 = orr(buf_age[1]) @[lsu_bus_buffer.scala 378:58] + node _T_1968 = eq(_T_1967, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:45] + node _T_1969 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 378:78] + node _T_1970 = and(_T_1968, _T_1969) @[lsu_bus_buffer.scala 378:63] + node _T_1971 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 378:90] + node _T_1972 = and(_T_1970, _T_1971) @[lsu_bus_buffer.scala 378:88] + node _T_1973 = orr(buf_age[2]) @[lsu_bus_buffer.scala 378:58] + node _T_1974 = eq(_T_1973, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:45] + node _T_1975 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 378:78] + node _T_1976 = and(_T_1974, _T_1975) @[lsu_bus_buffer.scala 378:63] + node _T_1977 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 378:90] + node _T_1978 = and(_T_1976, _T_1977) @[lsu_bus_buffer.scala 378:88] + node _T_1979 = orr(buf_age[3]) @[lsu_bus_buffer.scala 378:58] + node _T_1980 = eq(_T_1979, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:45] + node _T_1981 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 378:78] + node _T_1982 = and(_T_1980, _T_1981) @[lsu_bus_buffer.scala 378:63] + node _T_1983 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 378:90] + node _T_1984 = and(_T_1982, _T_1983) @[lsu_bus_buffer.scala 378:88] + node _T_1985 = cat(_T_1984, _T_1978) @[Cat.scala 29:58] + node _T_1986 = cat(_T_1985, _T_1972) @[Cat.scala 29:58] + node CmdPtr0Dec = cat(_T_1986, _T_1966) @[Cat.scala 29:58] + node _T_1987 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 379:62] + node _T_1988 = and(buf_age[0], _T_1987) @[lsu_bus_buffer.scala 379:59] + node _T_1989 = orr(_T_1988) @[lsu_bus_buffer.scala 379:76] + node _T_1990 = eq(_T_1989, UInt<1>("h00")) @[lsu_bus_buffer.scala 379:45] + node _T_1991 = bits(CmdPtr0Dec, 0, 0) @[lsu_bus_buffer.scala 379:94] + node _T_1992 = eq(_T_1991, UInt<1>("h00")) @[lsu_bus_buffer.scala 379:83] + node _T_1993 = and(_T_1990, _T_1992) @[lsu_bus_buffer.scala 379:81] + node _T_1994 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 379:113] + node _T_1995 = and(_T_1993, _T_1994) @[lsu_bus_buffer.scala 379:98] + node _T_1996 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 379:125] + node _T_1997 = and(_T_1995, _T_1996) @[lsu_bus_buffer.scala 379:123] + node _T_1998 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 379:62] + node _T_1999 = and(buf_age[1], _T_1998) @[lsu_bus_buffer.scala 379:59] + node _T_2000 = orr(_T_1999) @[lsu_bus_buffer.scala 379:76] + node _T_2001 = eq(_T_2000, UInt<1>("h00")) @[lsu_bus_buffer.scala 379:45] + node _T_2002 = bits(CmdPtr0Dec, 1, 1) @[lsu_bus_buffer.scala 379:94] + node _T_2003 = eq(_T_2002, UInt<1>("h00")) @[lsu_bus_buffer.scala 379:83] + node _T_2004 = and(_T_2001, _T_2003) @[lsu_bus_buffer.scala 379:81] + node _T_2005 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 379:113] + node _T_2006 = and(_T_2004, _T_2005) @[lsu_bus_buffer.scala 379:98] + node _T_2007 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 379:125] + node _T_2008 = and(_T_2006, _T_2007) @[lsu_bus_buffer.scala 379:123] + node _T_2009 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 379:62] + node _T_2010 = and(buf_age[2], _T_2009) @[lsu_bus_buffer.scala 379:59] + node _T_2011 = orr(_T_2010) @[lsu_bus_buffer.scala 379:76] + node _T_2012 = eq(_T_2011, UInt<1>("h00")) @[lsu_bus_buffer.scala 379:45] + node _T_2013 = bits(CmdPtr0Dec, 2, 2) @[lsu_bus_buffer.scala 379:94] + node _T_2014 = eq(_T_2013, UInt<1>("h00")) @[lsu_bus_buffer.scala 379:83] + node _T_2015 = and(_T_2012, _T_2014) @[lsu_bus_buffer.scala 379:81] + node _T_2016 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 379:113] + node _T_2017 = and(_T_2015, _T_2016) @[lsu_bus_buffer.scala 379:98] + node _T_2018 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 379:125] + node _T_2019 = and(_T_2017, _T_2018) @[lsu_bus_buffer.scala 379:123] + node _T_2020 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 379:62] + node _T_2021 = and(buf_age[3], _T_2020) @[lsu_bus_buffer.scala 379:59] + node _T_2022 = orr(_T_2021) @[lsu_bus_buffer.scala 379:76] + node _T_2023 = eq(_T_2022, UInt<1>("h00")) @[lsu_bus_buffer.scala 379:45] + node _T_2024 = bits(CmdPtr0Dec, 3, 3) @[lsu_bus_buffer.scala 379:94] + node _T_2025 = eq(_T_2024, UInt<1>("h00")) @[lsu_bus_buffer.scala 379:83] + node _T_2026 = and(_T_2023, _T_2025) @[lsu_bus_buffer.scala 379:81] + node _T_2027 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 379:113] + node _T_2028 = and(_T_2026, _T_2027) @[lsu_bus_buffer.scala 379:98] + node _T_2029 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 379:125] + node _T_2030 = and(_T_2028, _T_2029) @[lsu_bus_buffer.scala 379:123] + node _T_2031 = cat(_T_2030, _T_2019) @[Cat.scala 29:58] + node _T_2032 = cat(_T_2031, _T_2008) @[Cat.scala 29:58] + node CmdPtr1Dec = cat(_T_2032, _T_1997) @[Cat.scala 29:58] + wire buf_rsp_pickage : UInt<4>[4] @[lsu_bus_buffer.scala 380:29] + buf_rsp_pickage[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 381:19] + buf_rsp_pickage[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 381:19] + buf_rsp_pickage[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 381:19] + buf_rsp_pickage[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 381:19] + node _T_2033 = orr(buf_rsp_pickage[0]) @[lsu_bus_buffer.scala 382:65] + node _T_2034 = eq(_T_2033, UInt<1>("h00")) @[lsu_bus_buffer.scala 382:44] + node _T_2035 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 382:85] + node _T_2036 = and(_T_2034, _T_2035) @[lsu_bus_buffer.scala 382:70] + node _T_2037 = orr(buf_rsp_pickage[1]) @[lsu_bus_buffer.scala 382:65] + node _T_2038 = eq(_T_2037, UInt<1>("h00")) @[lsu_bus_buffer.scala 382:44] + node _T_2039 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 382:85] + node _T_2040 = and(_T_2038, _T_2039) @[lsu_bus_buffer.scala 382:70] + node _T_2041 = orr(buf_rsp_pickage[2]) @[lsu_bus_buffer.scala 382:65] + node _T_2042 = eq(_T_2041, UInt<1>("h00")) @[lsu_bus_buffer.scala 382:44] + node _T_2043 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 382:85] + node _T_2044 = and(_T_2042, _T_2043) @[lsu_bus_buffer.scala 382:70] + node _T_2045 = orr(buf_rsp_pickage[3]) @[lsu_bus_buffer.scala 382:65] + node _T_2046 = eq(_T_2045, UInt<1>("h00")) @[lsu_bus_buffer.scala 382:44] + node _T_2047 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 382:85] + node _T_2048 = and(_T_2046, _T_2047) @[lsu_bus_buffer.scala 382:70] + node _T_2049 = cat(_T_2048, _T_2044) @[Cat.scala 29:58] + node _T_2050 = cat(_T_2049, _T_2040) @[Cat.scala 29:58] + node RspPtrDec = cat(_T_2050, _T_2036) @[Cat.scala 29:58] + node _T_2051 = orr(CmdPtr0Dec) @[lsu_bus_buffer.scala 383:31] + found_cmdptr0 <= _T_2051 @[lsu_bus_buffer.scala 383:17] + node _T_2052 = orr(CmdPtr1Dec) @[lsu_bus_buffer.scala 384:31] + found_cmdptr1 <= _T_2052 @[lsu_bus_buffer.scala 384:17] + wire RspPtr : UInt<2> + RspPtr <= UInt<1>("h00") + node _T_2053 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2054 = cat(_T_2053, CmdPtr0Dec) @[Cat.scala 29:58] + node _T_2055 = bits(_T_2054, 4, 4) @[lsu_bus_buffer.scala 386:39] + node _T_2056 = bits(_T_2054, 5, 5) @[lsu_bus_buffer.scala 386:45] + node _T_2057 = or(_T_2055, _T_2056) @[lsu_bus_buffer.scala 386:42] + node _T_2058 = bits(_T_2054, 6, 6) @[lsu_bus_buffer.scala 386:51] + node _T_2059 = or(_T_2057, _T_2058) @[lsu_bus_buffer.scala 386:48] + node _T_2060 = bits(_T_2054, 7, 7) @[lsu_bus_buffer.scala 386:57] + node _T_2061 = or(_T_2059, _T_2060) @[lsu_bus_buffer.scala 386:54] + node _T_2062 = bits(_T_2054, 2, 2) @[lsu_bus_buffer.scala 386:64] + node _T_2063 = bits(_T_2054, 3, 3) @[lsu_bus_buffer.scala 386:70] + node _T_2064 = or(_T_2062, _T_2063) @[lsu_bus_buffer.scala 386:67] + node _T_2065 = bits(_T_2054, 6, 6) @[lsu_bus_buffer.scala 386:76] + node _T_2066 = or(_T_2064, _T_2065) @[lsu_bus_buffer.scala 386:73] + node _T_2067 = bits(_T_2054, 7, 7) @[lsu_bus_buffer.scala 386:82] + node _T_2068 = or(_T_2066, _T_2067) @[lsu_bus_buffer.scala 386:79] + node _T_2069 = bits(_T_2054, 1, 1) @[lsu_bus_buffer.scala 386:89] + node _T_2070 = bits(_T_2054, 3, 3) @[lsu_bus_buffer.scala 386:95] + node _T_2071 = or(_T_2069, _T_2070) @[lsu_bus_buffer.scala 386:92] + node _T_2072 = bits(_T_2054, 5, 5) @[lsu_bus_buffer.scala 386:101] + node _T_2073 = or(_T_2071, _T_2072) @[lsu_bus_buffer.scala 386:98] + node _T_2074 = bits(_T_2054, 7, 7) @[lsu_bus_buffer.scala 386:107] + node _T_2075 = or(_T_2073, _T_2074) @[lsu_bus_buffer.scala 386:104] + node _T_2076 = cat(_T_2061, _T_2068) @[Cat.scala 29:58] + node _T_2077 = cat(_T_2076, _T_2075) @[Cat.scala 29:58] + CmdPtr0 <= _T_2077 @[lsu_bus_buffer.scala 391:11] + node _T_2078 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2079 = cat(_T_2078, CmdPtr1Dec) @[Cat.scala 29:58] + node _T_2080 = bits(_T_2079, 4, 4) @[lsu_bus_buffer.scala 386:39] + node _T_2081 = bits(_T_2079, 5, 5) @[lsu_bus_buffer.scala 386:45] + node _T_2082 = or(_T_2080, _T_2081) @[lsu_bus_buffer.scala 386:42] + node _T_2083 = bits(_T_2079, 6, 6) @[lsu_bus_buffer.scala 386:51] + node _T_2084 = or(_T_2082, _T_2083) @[lsu_bus_buffer.scala 386:48] + node _T_2085 = bits(_T_2079, 7, 7) @[lsu_bus_buffer.scala 386:57] + node _T_2086 = or(_T_2084, _T_2085) @[lsu_bus_buffer.scala 386:54] + node _T_2087 = bits(_T_2079, 2, 2) @[lsu_bus_buffer.scala 386:64] + node _T_2088 = bits(_T_2079, 3, 3) @[lsu_bus_buffer.scala 386:70] + node _T_2089 = or(_T_2087, _T_2088) @[lsu_bus_buffer.scala 386:67] + node _T_2090 = bits(_T_2079, 6, 6) @[lsu_bus_buffer.scala 386:76] + node _T_2091 = or(_T_2089, _T_2090) @[lsu_bus_buffer.scala 386:73] + node _T_2092 = bits(_T_2079, 7, 7) @[lsu_bus_buffer.scala 386:82] + node _T_2093 = or(_T_2091, _T_2092) @[lsu_bus_buffer.scala 386:79] + node _T_2094 = bits(_T_2079, 1, 1) @[lsu_bus_buffer.scala 386:89] + node _T_2095 = bits(_T_2079, 3, 3) @[lsu_bus_buffer.scala 386:95] + node _T_2096 = or(_T_2094, _T_2095) @[lsu_bus_buffer.scala 386:92] + node _T_2097 = bits(_T_2079, 5, 5) @[lsu_bus_buffer.scala 386:101] + node _T_2098 = or(_T_2096, _T_2097) @[lsu_bus_buffer.scala 386:98] + node _T_2099 = bits(_T_2079, 7, 7) @[lsu_bus_buffer.scala 386:107] + node _T_2100 = or(_T_2098, _T_2099) @[lsu_bus_buffer.scala 386:104] + node _T_2101 = cat(_T_2086, _T_2093) @[Cat.scala 29:58] + node _T_2102 = cat(_T_2101, _T_2100) @[Cat.scala 29:58] + CmdPtr1 <= _T_2102 @[lsu_bus_buffer.scala 393:11] + node _T_2103 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2104 = cat(_T_2103, RspPtrDec) @[Cat.scala 29:58] + node _T_2105 = bits(_T_2104, 4, 4) @[lsu_bus_buffer.scala 386:39] + node _T_2106 = bits(_T_2104, 5, 5) @[lsu_bus_buffer.scala 386:45] + node _T_2107 = or(_T_2105, _T_2106) @[lsu_bus_buffer.scala 386:42] + node _T_2108 = bits(_T_2104, 6, 6) @[lsu_bus_buffer.scala 386:51] + node _T_2109 = or(_T_2107, _T_2108) @[lsu_bus_buffer.scala 386:48] + node _T_2110 = bits(_T_2104, 7, 7) @[lsu_bus_buffer.scala 386:57] + node _T_2111 = or(_T_2109, _T_2110) @[lsu_bus_buffer.scala 386:54] + node _T_2112 = bits(_T_2104, 2, 2) @[lsu_bus_buffer.scala 386:64] + node _T_2113 = bits(_T_2104, 3, 3) @[lsu_bus_buffer.scala 386:70] + node _T_2114 = or(_T_2112, _T_2113) @[lsu_bus_buffer.scala 386:67] + node _T_2115 = bits(_T_2104, 6, 6) @[lsu_bus_buffer.scala 386:76] + node _T_2116 = or(_T_2114, _T_2115) @[lsu_bus_buffer.scala 386:73] + node _T_2117 = bits(_T_2104, 7, 7) @[lsu_bus_buffer.scala 386:82] + node _T_2118 = or(_T_2116, _T_2117) @[lsu_bus_buffer.scala 386:79] + node _T_2119 = bits(_T_2104, 1, 1) @[lsu_bus_buffer.scala 386:89] + node _T_2120 = bits(_T_2104, 3, 3) @[lsu_bus_buffer.scala 386:95] + node _T_2121 = or(_T_2119, _T_2120) @[lsu_bus_buffer.scala 386:92] + node _T_2122 = bits(_T_2104, 5, 5) @[lsu_bus_buffer.scala 386:101] + node _T_2123 = or(_T_2121, _T_2122) @[lsu_bus_buffer.scala 386:98] + node _T_2124 = bits(_T_2104, 7, 7) @[lsu_bus_buffer.scala 386:107] + node _T_2125 = or(_T_2123, _T_2124) @[lsu_bus_buffer.scala 386:104] + node _T_2126 = cat(_T_2111, _T_2118) @[Cat.scala 29:58] + node _T_2127 = cat(_T_2126, _T_2125) @[Cat.scala 29:58] + RspPtr <= _T_2127 @[lsu_bus_buffer.scala 394:10] + wire buf_state_en : UInt<1>[4] @[lsu_bus_buffer.scala 395:26] + buf_state_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 396:16] + buf_state_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 396:16] + buf_state_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 396:16] + buf_state_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 396:16] + wire buf_rspageQ : UInt<4>[4] @[lsu_bus_buffer.scala 397:25] + buf_rspageQ[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 398:15] + buf_rspageQ[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 398:15] + buf_rspageQ[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 398:15] + buf_rspageQ[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 398:15] + wire buf_rspage_set : UInt<4>[4] @[lsu_bus_buffer.scala 399:28] + buf_rspage_set[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 400:18] + buf_rspage_set[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 400:18] + buf_rspage_set[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 400:18] + buf_rspage_set[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 400:18] + wire buf_rspage_in : UInt<4>[4] @[lsu_bus_buffer.scala 401:27] + buf_rspage_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 402:17] + buf_rspage_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 402:17] + buf_rspage_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 402:17] + buf_rspage_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 402:17] + wire buf_rspage : UInt<4>[4] @[lsu_bus_buffer.scala 403:24] + buf_rspage[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 404:14] + buf_rspage[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 404:14] + buf_rspage[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 404:14] + buf_rspage[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 404:14] + node _T_2128 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2129 = and(_T_2128, buf_state_en[0]) @[lsu_bus_buffer.scala 406:94] + node _T_2130 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2131 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2132 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2133 = and(_T_2131, _T_2132) @[lsu_bus_buffer.scala 407:57] + node _T_2134 = or(_T_2130, _T_2133) @[lsu_bus_buffer.scala 407:31] + node _T_2135 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2136 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2137 = and(_T_2135, _T_2136) @[lsu_bus_buffer.scala 408:41] + node _T_2138 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2139 = and(_T_2137, _T_2138) @[lsu_bus_buffer.scala 408:71] + node _T_2140 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:104] + node _T_2141 = and(_T_2139, _T_2140) @[lsu_bus_buffer.scala 408:92] + node _T_2142 = or(_T_2134, _T_2141) @[lsu_bus_buffer.scala 407:86] + node _T_2143 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2144 = and(_T_2143, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2145 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 409:64] + node _T_2146 = and(_T_2144, _T_2145) @[lsu_bus_buffer.scala 409:52] + node _T_2147 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 409:85] + node _T_2148 = and(_T_2146, _T_2147) @[lsu_bus_buffer.scala 409:73] + node _T_2149 = or(_T_2142, _T_2148) @[lsu_bus_buffer.scala 408:114] + node _T_2150 = and(_T_2129, _T_2149) @[lsu_bus_buffer.scala 406:113] + node _T_2151 = bits(buf_age[0], 0, 0) @[lsu_bus_buffer.scala 409:109] + node _T_2152 = or(_T_2150, _T_2151) @[lsu_bus_buffer.scala 409:97] + node _T_2153 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2154 = and(_T_2153, buf_state_en[0]) @[lsu_bus_buffer.scala 406:94] + node _T_2155 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2156 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2157 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2158 = and(_T_2156, _T_2157) @[lsu_bus_buffer.scala 407:57] + node _T_2159 = or(_T_2155, _T_2158) @[lsu_bus_buffer.scala 407:31] + node _T_2160 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2161 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2162 = and(_T_2160, _T_2161) @[lsu_bus_buffer.scala 408:41] + node _T_2163 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2164 = and(_T_2162, _T_2163) @[lsu_bus_buffer.scala 408:71] + node _T_2165 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:104] + node _T_2166 = and(_T_2164, _T_2165) @[lsu_bus_buffer.scala 408:92] + node _T_2167 = or(_T_2159, _T_2166) @[lsu_bus_buffer.scala 407:86] + node _T_2168 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2169 = and(_T_2168, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2170 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 409:64] + node _T_2171 = and(_T_2169, _T_2170) @[lsu_bus_buffer.scala 409:52] + node _T_2172 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 409:85] + node _T_2173 = and(_T_2171, _T_2172) @[lsu_bus_buffer.scala 409:73] + node _T_2174 = or(_T_2167, _T_2173) @[lsu_bus_buffer.scala 408:114] + node _T_2175 = and(_T_2154, _T_2174) @[lsu_bus_buffer.scala 406:113] + node _T_2176 = bits(buf_age[0], 1, 1) @[lsu_bus_buffer.scala 409:109] + node _T_2177 = or(_T_2175, _T_2176) @[lsu_bus_buffer.scala 409:97] + node _T_2178 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2179 = and(_T_2178, buf_state_en[0]) @[lsu_bus_buffer.scala 406:94] + node _T_2180 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2181 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2182 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2183 = and(_T_2181, _T_2182) @[lsu_bus_buffer.scala 407:57] + node _T_2184 = or(_T_2180, _T_2183) @[lsu_bus_buffer.scala 407:31] + node _T_2185 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2186 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2187 = and(_T_2185, _T_2186) @[lsu_bus_buffer.scala 408:41] + node _T_2188 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2189 = and(_T_2187, _T_2188) @[lsu_bus_buffer.scala 408:71] + node _T_2190 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:104] + node _T_2191 = and(_T_2189, _T_2190) @[lsu_bus_buffer.scala 408:92] + node _T_2192 = or(_T_2184, _T_2191) @[lsu_bus_buffer.scala 407:86] + node _T_2193 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2194 = and(_T_2193, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2195 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 409:64] + node _T_2196 = and(_T_2194, _T_2195) @[lsu_bus_buffer.scala 409:52] + node _T_2197 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 409:85] + node _T_2198 = and(_T_2196, _T_2197) @[lsu_bus_buffer.scala 409:73] + node _T_2199 = or(_T_2192, _T_2198) @[lsu_bus_buffer.scala 408:114] + node _T_2200 = and(_T_2179, _T_2199) @[lsu_bus_buffer.scala 406:113] + node _T_2201 = bits(buf_age[0], 2, 2) @[lsu_bus_buffer.scala 409:109] + node _T_2202 = or(_T_2200, _T_2201) @[lsu_bus_buffer.scala 409:97] + node _T_2203 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2204 = and(_T_2203, buf_state_en[0]) @[lsu_bus_buffer.scala 406:94] + node _T_2205 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2206 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2207 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2208 = and(_T_2206, _T_2207) @[lsu_bus_buffer.scala 407:57] + node _T_2209 = or(_T_2205, _T_2208) @[lsu_bus_buffer.scala 407:31] + node _T_2210 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2211 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2212 = and(_T_2210, _T_2211) @[lsu_bus_buffer.scala 408:41] + node _T_2213 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2214 = and(_T_2212, _T_2213) @[lsu_bus_buffer.scala 408:71] + node _T_2215 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:104] + node _T_2216 = and(_T_2214, _T_2215) @[lsu_bus_buffer.scala 408:92] + node _T_2217 = or(_T_2209, _T_2216) @[lsu_bus_buffer.scala 407:86] + node _T_2218 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2219 = and(_T_2218, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2220 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 409:64] + node _T_2221 = and(_T_2219, _T_2220) @[lsu_bus_buffer.scala 409:52] + node _T_2222 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 409:85] + node _T_2223 = and(_T_2221, _T_2222) @[lsu_bus_buffer.scala 409:73] + node _T_2224 = or(_T_2217, _T_2223) @[lsu_bus_buffer.scala 408:114] + node _T_2225 = and(_T_2204, _T_2224) @[lsu_bus_buffer.scala 406:113] + node _T_2226 = bits(buf_age[0], 3, 3) @[lsu_bus_buffer.scala 409:109] + node _T_2227 = or(_T_2225, _T_2226) @[lsu_bus_buffer.scala 409:97] + node _T_2228 = cat(_T_2227, _T_2202) @[Cat.scala 29:58] + node _T_2229 = cat(_T_2228, _T_2177) @[Cat.scala 29:58] + node buf_age_in_0 = cat(_T_2229, _T_2152) @[Cat.scala 29:58] + node _T_2230 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2231 = and(_T_2230, buf_state_en[1]) @[lsu_bus_buffer.scala 406:94] + node _T_2232 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2233 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2234 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2235 = and(_T_2233, _T_2234) @[lsu_bus_buffer.scala 407:57] + node _T_2236 = or(_T_2232, _T_2235) @[lsu_bus_buffer.scala 407:31] + node _T_2237 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2238 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2239 = and(_T_2237, _T_2238) @[lsu_bus_buffer.scala 408:41] + node _T_2240 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:83] + node _T_2241 = and(_T_2239, _T_2240) @[lsu_bus_buffer.scala 408:71] + node _T_2242 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:104] + node _T_2243 = and(_T_2241, _T_2242) @[lsu_bus_buffer.scala 408:92] + node _T_2244 = or(_T_2236, _T_2243) @[lsu_bus_buffer.scala 407:86] + node _T_2245 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2246 = and(_T_2245, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2247 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 409:64] + node _T_2248 = and(_T_2246, _T_2247) @[lsu_bus_buffer.scala 409:52] + node _T_2249 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 409:85] + node _T_2250 = and(_T_2248, _T_2249) @[lsu_bus_buffer.scala 409:73] + node _T_2251 = or(_T_2244, _T_2250) @[lsu_bus_buffer.scala 408:114] + node _T_2252 = and(_T_2231, _T_2251) @[lsu_bus_buffer.scala 406:113] + node _T_2253 = bits(buf_age[1], 0, 0) @[lsu_bus_buffer.scala 409:109] + node _T_2254 = or(_T_2252, _T_2253) @[lsu_bus_buffer.scala 409:97] + node _T_2255 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2256 = and(_T_2255, buf_state_en[1]) @[lsu_bus_buffer.scala 406:94] + node _T_2257 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2258 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2259 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2260 = and(_T_2258, _T_2259) @[lsu_bus_buffer.scala 407:57] + node _T_2261 = or(_T_2257, _T_2260) @[lsu_bus_buffer.scala 407:31] + node _T_2262 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2263 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2264 = and(_T_2262, _T_2263) @[lsu_bus_buffer.scala 408:41] + node _T_2265 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:83] + node _T_2266 = and(_T_2264, _T_2265) @[lsu_bus_buffer.scala 408:71] + node _T_2267 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:104] + node _T_2268 = and(_T_2266, _T_2267) @[lsu_bus_buffer.scala 408:92] + node _T_2269 = or(_T_2261, _T_2268) @[lsu_bus_buffer.scala 407:86] + node _T_2270 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2271 = and(_T_2270, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2272 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 409:64] + node _T_2273 = and(_T_2271, _T_2272) @[lsu_bus_buffer.scala 409:52] + node _T_2274 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 409:85] + node _T_2275 = and(_T_2273, _T_2274) @[lsu_bus_buffer.scala 409:73] + node _T_2276 = or(_T_2269, _T_2275) @[lsu_bus_buffer.scala 408:114] + node _T_2277 = and(_T_2256, _T_2276) @[lsu_bus_buffer.scala 406:113] + node _T_2278 = bits(buf_age[1], 1, 1) @[lsu_bus_buffer.scala 409:109] + node _T_2279 = or(_T_2277, _T_2278) @[lsu_bus_buffer.scala 409:97] + node _T_2280 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2281 = and(_T_2280, buf_state_en[1]) @[lsu_bus_buffer.scala 406:94] + node _T_2282 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2283 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2284 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2285 = and(_T_2283, _T_2284) @[lsu_bus_buffer.scala 407:57] + node _T_2286 = or(_T_2282, _T_2285) @[lsu_bus_buffer.scala 407:31] + node _T_2287 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2288 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2289 = and(_T_2287, _T_2288) @[lsu_bus_buffer.scala 408:41] + node _T_2290 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:83] + node _T_2291 = and(_T_2289, _T_2290) @[lsu_bus_buffer.scala 408:71] + node _T_2292 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:104] + node _T_2293 = and(_T_2291, _T_2292) @[lsu_bus_buffer.scala 408:92] + node _T_2294 = or(_T_2286, _T_2293) @[lsu_bus_buffer.scala 407:86] + node _T_2295 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2296 = and(_T_2295, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2297 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 409:64] + node _T_2298 = and(_T_2296, _T_2297) @[lsu_bus_buffer.scala 409:52] + node _T_2299 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 409:85] + node _T_2300 = and(_T_2298, _T_2299) @[lsu_bus_buffer.scala 409:73] + node _T_2301 = or(_T_2294, _T_2300) @[lsu_bus_buffer.scala 408:114] + node _T_2302 = and(_T_2281, _T_2301) @[lsu_bus_buffer.scala 406:113] + node _T_2303 = bits(buf_age[1], 2, 2) @[lsu_bus_buffer.scala 409:109] + node _T_2304 = or(_T_2302, _T_2303) @[lsu_bus_buffer.scala 409:97] + node _T_2305 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2306 = and(_T_2305, buf_state_en[1]) @[lsu_bus_buffer.scala 406:94] + node _T_2307 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2308 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2309 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2310 = and(_T_2308, _T_2309) @[lsu_bus_buffer.scala 407:57] + node _T_2311 = or(_T_2307, _T_2310) @[lsu_bus_buffer.scala 407:31] + node _T_2312 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2313 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2314 = and(_T_2312, _T_2313) @[lsu_bus_buffer.scala 408:41] + node _T_2315 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:83] + node _T_2316 = and(_T_2314, _T_2315) @[lsu_bus_buffer.scala 408:71] + node _T_2317 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:104] + node _T_2318 = and(_T_2316, _T_2317) @[lsu_bus_buffer.scala 408:92] + node _T_2319 = or(_T_2311, _T_2318) @[lsu_bus_buffer.scala 407:86] + node _T_2320 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2321 = and(_T_2320, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2322 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 409:64] + node _T_2323 = and(_T_2321, _T_2322) @[lsu_bus_buffer.scala 409:52] + node _T_2324 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 409:85] + node _T_2325 = and(_T_2323, _T_2324) @[lsu_bus_buffer.scala 409:73] + node _T_2326 = or(_T_2319, _T_2325) @[lsu_bus_buffer.scala 408:114] + node _T_2327 = and(_T_2306, _T_2326) @[lsu_bus_buffer.scala 406:113] + node _T_2328 = bits(buf_age[1], 3, 3) @[lsu_bus_buffer.scala 409:109] + node _T_2329 = or(_T_2327, _T_2328) @[lsu_bus_buffer.scala 409:97] + node _T_2330 = cat(_T_2329, _T_2304) @[Cat.scala 29:58] + node _T_2331 = cat(_T_2330, _T_2279) @[Cat.scala 29:58] + node buf_age_in_1 = cat(_T_2331, _T_2254) @[Cat.scala 29:58] + node _T_2332 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2333 = and(_T_2332, buf_state_en[2]) @[lsu_bus_buffer.scala 406:94] + node _T_2334 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2335 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2336 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2337 = and(_T_2335, _T_2336) @[lsu_bus_buffer.scala 407:57] + node _T_2338 = or(_T_2334, _T_2337) @[lsu_bus_buffer.scala 407:31] + node _T_2339 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2340 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2341 = and(_T_2339, _T_2340) @[lsu_bus_buffer.scala 408:41] + node _T_2342 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:83] + node _T_2343 = and(_T_2341, _T_2342) @[lsu_bus_buffer.scala 408:71] + node _T_2344 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:104] + node _T_2345 = and(_T_2343, _T_2344) @[lsu_bus_buffer.scala 408:92] + node _T_2346 = or(_T_2338, _T_2345) @[lsu_bus_buffer.scala 407:86] + node _T_2347 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2348 = and(_T_2347, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2349 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 409:64] + node _T_2350 = and(_T_2348, _T_2349) @[lsu_bus_buffer.scala 409:52] + node _T_2351 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 409:85] + node _T_2352 = and(_T_2350, _T_2351) @[lsu_bus_buffer.scala 409:73] + node _T_2353 = or(_T_2346, _T_2352) @[lsu_bus_buffer.scala 408:114] + node _T_2354 = and(_T_2333, _T_2353) @[lsu_bus_buffer.scala 406:113] + node _T_2355 = bits(buf_age[2], 0, 0) @[lsu_bus_buffer.scala 409:109] + node _T_2356 = or(_T_2354, _T_2355) @[lsu_bus_buffer.scala 409:97] + node _T_2357 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2358 = and(_T_2357, buf_state_en[2]) @[lsu_bus_buffer.scala 406:94] + node _T_2359 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2360 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2361 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2362 = and(_T_2360, _T_2361) @[lsu_bus_buffer.scala 407:57] + node _T_2363 = or(_T_2359, _T_2362) @[lsu_bus_buffer.scala 407:31] + node _T_2364 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2365 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2366 = and(_T_2364, _T_2365) @[lsu_bus_buffer.scala 408:41] + node _T_2367 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:83] + node _T_2368 = and(_T_2366, _T_2367) @[lsu_bus_buffer.scala 408:71] + node _T_2369 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:104] + node _T_2370 = and(_T_2368, _T_2369) @[lsu_bus_buffer.scala 408:92] + node _T_2371 = or(_T_2363, _T_2370) @[lsu_bus_buffer.scala 407:86] + node _T_2372 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2373 = and(_T_2372, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2374 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 409:64] + node _T_2375 = and(_T_2373, _T_2374) @[lsu_bus_buffer.scala 409:52] + node _T_2376 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 409:85] + node _T_2377 = and(_T_2375, _T_2376) @[lsu_bus_buffer.scala 409:73] + node _T_2378 = or(_T_2371, _T_2377) @[lsu_bus_buffer.scala 408:114] + node _T_2379 = and(_T_2358, _T_2378) @[lsu_bus_buffer.scala 406:113] + node _T_2380 = bits(buf_age[2], 1, 1) @[lsu_bus_buffer.scala 409:109] + node _T_2381 = or(_T_2379, _T_2380) @[lsu_bus_buffer.scala 409:97] + node _T_2382 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2383 = and(_T_2382, buf_state_en[2]) @[lsu_bus_buffer.scala 406:94] + node _T_2384 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2385 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2386 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2387 = and(_T_2385, _T_2386) @[lsu_bus_buffer.scala 407:57] + node _T_2388 = or(_T_2384, _T_2387) @[lsu_bus_buffer.scala 407:31] + node _T_2389 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2390 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2391 = and(_T_2389, _T_2390) @[lsu_bus_buffer.scala 408:41] + node _T_2392 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:83] + node _T_2393 = and(_T_2391, _T_2392) @[lsu_bus_buffer.scala 408:71] + node _T_2394 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:104] + node _T_2395 = and(_T_2393, _T_2394) @[lsu_bus_buffer.scala 408:92] + node _T_2396 = or(_T_2388, _T_2395) @[lsu_bus_buffer.scala 407:86] + node _T_2397 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2398 = and(_T_2397, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2399 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 409:64] + node _T_2400 = and(_T_2398, _T_2399) @[lsu_bus_buffer.scala 409:52] + node _T_2401 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 409:85] + node _T_2402 = and(_T_2400, _T_2401) @[lsu_bus_buffer.scala 409:73] + node _T_2403 = or(_T_2396, _T_2402) @[lsu_bus_buffer.scala 408:114] + node _T_2404 = and(_T_2383, _T_2403) @[lsu_bus_buffer.scala 406:113] + node _T_2405 = bits(buf_age[2], 2, 2) @[lsu_bus_buffer.scala 409:109] + node _T_2406 = or(_T_2404, _T_2405) @[lsu_bus_buffer.scala 409:97] + node _T_2407 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2408 = and(_T_2407, buf_state_en[2]) @[lsu_bus_buffer.scala 406:94] + node _T_2409 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2410 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2411 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2412 = and(_T_2410, _T_2411) @[lsu_bus_buffer.scala 407:57] + node _T_2413 = or(_T_2409, _T_2412) @[lsu_bus_buffer.scala 407:31] + node _T_2414 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2415 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2416 = and(_T_2414, _T_2415) @[lsu_bus_buffer.scala 408:41] + node _T_2417 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:83] + node _T_2418 = and(_T_2416, _T_2417) @[lsu_bus_buffer.scala 408:71] + node _T_2419 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:104] + node _T_2420 = and(_T_2418, _T_2419) @[lsu_bus_buffer.scala 408:92] + node _T_2421 = or(_T_2413, _T_2420) @[lsu_bus_buffer.scala 407:86] + node _T_2422 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2423 = and(_T_2422, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2424 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 409:64] + node _T_2425 = and(_T_2423, _T_2424) @[lsu_bus_buffer.scala 409:52] + node _T_2426 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 409:85] + node _T_2427 = and(_T_2425, _T_2426) @[lsu_bus_buffer.scala 409:73] + node _T_2428 = or(_T_2421, _T_2427) @[lsu_bus_buffer.scala 408:114] + node _T_2429 = and(_T_2408, _T_2428) @[lsu_bus_buffer.scala 406:113] + node _T_2430 = bits(buf_age[2], 3, 3) @[lsu_bus_buffer.scala 409:109] + node _T_2431 = or(_T_2429, _T_2430) @[lsu_bus_buffer.scala 409:97] + node _T_2432 = cat(_T_2431, _T_2406) @[Cat.scala 29:58] + node _T_2433 = cat(_T_2432, _T_2381) @[Cat.scala 29:58] + node buf_age_in_2 = cat(_T_2433, _T_2356) @[Cat.scala 29:58] + node _T_2434 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2435 = and(_T_2434, buf_state_en[3]) @[lsu_bus_buffer.scala 406:94] + node _T_2436 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2437 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2438 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2439 = and(_T_2437, _T_2438) @[lsu_bus_buffer.scala 407:57] + node _T_2440 = or(_T_2436, _T_2439) @[lsu_bus_buffer.scala 407:31] + node _T_2441 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2442 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2443 = and(_T_2441, _T_2442) @[lsu_bus_buffer.scala 408:41] + node _T_2444 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:83] + node _T_2445 = and(_T_2443, _T_2444) @[lsu_bus_buffer.scala 408:71] + node _T_2446 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:104] + node _T_2447 = and(_T_2445, _T_2446) @[lsu_bus_buffer.scala 408:92] + node _T_2448 = or(_T_2440, _T_2447) @[lsu_bus_buffer.scala 407:86] + node _T_2449 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2450 = and(_T_2449, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2451 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 409:64] + node _T_2452 = and(_T_2450, _T_2451) @[lsu_bus_buffer.scala 409:52] + node _T_2453 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 409:85] + node _T_2454 = and(_T_2452, _T_2453) @[lsu_bus_buffer.scala 409:73] + node _T_2455 = or(_T_2448, _T_2454) @[lsu_bus_buffer.scala 408:114] + node _T_2456 = and(_T_2435, _T_2455) @[lsu_bus_buffer.scala 406:113] + node _T_2457 = bits(buf_age[3], 0, 0) @[lsu_bus_buffer.scala 409:109] + node _T_2458 = or(_T_2456, _T_2457) @[lsu_bus_buffer.scala 409:97] + node _T_2459 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2460 = and(_T_2459, buf_state_en[3]) @[lsu_bus_buffer.scala 406:94] + node _T_2461 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2462 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2463 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2464 = and(_T_2462, _T_2463) @[lsu_bus_buffer.scala 407:57] + node _T_2465 = or(_T_2461, _T_2464) @[lsu_bus_buffer.scala 407:31] + node _T_2466 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2467 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2468 = and(_T_2466, _T_2467) @[lsu_bus_buffer.scala 408:41] + node _T_2469 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:83] + node _T_2470 = and(_T_2468, _T_2469) @[lsu_bus_buffer.scala 408:71] + node _T_2471 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:104] + node _T_2472 = and(_T_2470, _T_2471) @[lsu_bus_buffer.scala 408:92] + node _T_2473 = or(_T_2465, _T_2472) @[lsu_bus_buffer.scala 407:86] + node _T_2474 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2475 = and(_T_2474, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2476 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 409:64] + node _T_2477 = and(_T_2475, _T_2476) @[lsu_bus_buffer.scala 409:52] + node _T_2478 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 409:85] + node _T_2479 = and(_T_2477, _T_2478) @[lsu_bus_buffer.scala 409:73] + node _T_2480 = or(_T_2473, _T_2479) @[lsu_bus_buffer.scala 408:114] + node _T_2481 = and(_T_2460, _T_2480) @[lsu_bus_buffer.scala 406:113] + node _T_2482 = bits(buf_age[3], 1, 1) @[lsu_bus_buffer.scala 409:109] + node _T_2483 = or(_T_2481, _T_2482) @[lsu_bus_buffer.scala 409:97] + node _T_2484 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2485 = and(_T_2484, buf_state_en[3]) @[lsu_bus_buffer.scala 406:94] + node _T_2486 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2487 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2488 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2489 = and(_T_2487, _T_2488) @[lsu_bus_buffer.scala 407:57] + node _T_2490 = or(_T_2486, _T_2489) @[lsu_bus_buffer.scala 407:31] + node _T_2491 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2492 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2493 = and(_T_2491, _T_2492) @[lsu_bus_buffer.scala 408:41] + node _T_2494 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:83] + node _T_2495 = and(_T_2493, _T_2494) @[lsu_bus_buffer.scala 408:71] + node _T_2496 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:104] + node _T_2497 = and(_T_2495, _T_2496) @[lsu_bus_buffer.scala 408:92] + node _T_2498 = or(_T_2490, _T_2497) @[lsu_bus_buffer.scala 407:86] + node _T_2499 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2500 = and(_T_2499, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2501 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 409:64] + node _T_2502 = and(_T_2500, _T_2501) @[lsu_bus_buffer.scala 409:52] + node _T_2503 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 409:85] + node _T_2504 = and(_T_2502, _T_2503) @[lsu_bus_buffer.scala 409:73] + node _T_2505 = or(_T_2498, _T_2504) @[lsu_bus_buffer.scala 408:114] + node _T_2506 = and(_T_2485, _T_2505) @[lsu_bus_buffer.scala 406:113] + node _T_2507 = bits(buf_age[3], 2, 2) @[lsu_bus_buffer.scala 409:109] + node _T_2508 = or(_T_2506, _T_2507) @[lsu_bus_buffer.scala 409:97] + node _T_2509 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2510 = and(_T_2509, buf_state_en[3]) @[lsu_bus_buffer.scala 406:94] + node _T_2511 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2512 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2513 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2514 = and(_T_2512, _T_2513) @[lsu_bus_buffer.scala 407:57] + node _T_2515 = or(_T_2511, _T_2514) @[lsu_bus_buffer.scala 407:31] + node _T_2516 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2517 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2518 = and(_T_2516, _T_2517) @[lsu_bus_buffer.scala 408:41] + node _T_2519 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:83] + node _T_2520 = and(_T_2518, _T_2519) @[lsu_bus_buffer.scala 408:71] + node _T_2521 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:104] + node _T_2522 = and(_T_2520, _T_2521) @[lsu_bus_buffer.scala 408:92] + node _T_2523 = or(_T_2515, _T_2522) @[lsu_bus_buffer.scala 407:86] + node _T_2524 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2525 = and(_T_2524, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2526 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 409:64] + node _T_2527 = and(_T_2525, _T_2526) @[lsu_bus_buffer.scala 409:52] + node _T_2528 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 409:85] + node _T_2529 = and(_T_2527, _T_2528) @[lsu_bus_buffer.scala 409:73] + node _T_2530 = or(_T_2523, _T_2529) @[lsu_bus_buffer.scala 408:114] + node _T_2531 = and(_T_2510, _T_2530) @[lsu_bus_buffer.scala 406:113] + node _T_2532 = bits(buf_age[3], 3, 3) @[lsu_bus_buffer.scala 409:109] + node _T_2533 = or(_T_2531, _T_2532) @[lsu_bus_buffer.scala 409:97] + node _T_2534 = cat(_T_2533, _T_2508) @[Cat.scala 29:58] + node _T_2535 = cat(_T_2534, _T_2483) @[Cat.scala 29:58] + node buf_age_in_3 = cat(_T_2535, _T_2458) @[Cat.scala 29:58] + wire buf_ageQ : UInt<4>[4] @[lsu_bus_buffer.scala 410:22] + buf_ageQ[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 411:12] + buf_ageQ[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 411:12] + buf_ageQ[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 411:12] + buf_ageQ[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 411:12] + node _T_2536 = bits(buf_ageQ[0], 0, 0) @[lsu_bus_buffer.scala 412:72] + node _T_2537 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2538 = and(_T_2537, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 412:103] + node _T_2539 = eq(_T_2538, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2540 = and(_T_2536, _T_2539) @[lsu_bus_buffer.scala 412:76] + node _T_2541 = bits(buf_ageQ[0], 1, 1) @[lsu_bus_buffer.scala 412:72] + node _T_2542 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2543 = and(_T_2542, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 412:103] + node _T_2544 = eq(_T_2543, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2545 = and(_T_2541, _T_2544) @[lsu_bus_buffer.scala 412:76] + node _T_2546 = bits(buf_ageQ[0], 2, 2) @[lsu_bus_buffer.scala 412:72] + node _T_2547 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2548 = and(_T_2547, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 412:103] + node _T_2549 = eq(_T_2548, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2550 = and(_T_2546, _T_2549) @[lsu_bus_buffer.scala 412:76] + node _T_2551 = bits(buf_ageQ[0], 3, 3) @[lsu_bus_buffer.scala 412:72] + node _T_2552 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2553 = and(_T_2552, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 412:103] + node _T_2554 = eq(_T_2553, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2555 = and(_T_2551, _T_2554) @[lsu_bus_buffer.scala 412:76] + node _T_2556 = cat(_T_2555, _T_2550) @[Cat.scala 29:58] + node _T_2557 = cat(_T_2556, _T_2545) @[Cat.scala 29:58] + node _T_2558 = cat(_T_2557, _T_2540) @[Cat.scala 29:58] + node _T_2559 = bits(buf_ageQ[1], 0, 0) @[lsu_bus_buffer.scala 412:72] + node _T_2560 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2561 = and(_T_2560, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 412:103] + node _T_2562 = eq(_T_2561, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2563 = and(_T_2559, _T_2562) @[lsu_bus_buffer.scala 412:76] + node _T_2564 = bits(buf_ageQ[1], 1, 1) @[lsu_bus_buffer.scala 412:72] + node _T_2565 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2566 = and(_T_2565, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 412:103] + node _T_2567 = eq(_T_2566, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2568 = and(_T_2564, _T_2567) @[lsu_bus_buffer.scala 412:76] + node _T_2569 = bits(buf_ageQ[1], 2, 2) @[lsu_bus_buffer.scala 412:72] + node _T_2570 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2571 = and(_T_2570, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 412:103] + node _T_2572 = eq(_T_2571, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2573 = and(_T_2569, _T_2572) @[lsu_bus_buffer.scala 412:76] + node _T_2574 = bits(buf_ageQ[1], 3, 3) @[lsu_bus_buffer.scala 412:72] + node _T_2575 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2576 = and(_T_2575, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 412:103] + node _T_2577 = eq(_T_2576, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2578 = and(_T_2574, _T_2577) @[lsu_bus_buffer.scala 412:76] + node _T_2579 = cat(_T_2578, _T_2573) @[Cat.scala 29:58] + node _T_2580 = cat(_T_2579, _T_2568) @[Cat.scala 29:58] + node _T_2581 = cat(_T_2580, _T_2563) @[Cat.scala 29:58] + node _T_2582 = bits(buf_ageQ[2], 0, 0) @[lsu_bus_buffer.scala 412:72] + node _T_2583 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2584 = and(_T_2583, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 412:103] + node _T_2585 = eq(_T_2584, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2586 = and(_T_2582, _T_2585) @[lsu_bus_buffer.scala 412:76] + node _T_2587 = bits(buf_ageQ[2], 1, 1) @[lsu_bus_buffer.scala 412:72] + node _T_2588 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2589 = and(_T_2588, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 412:103] + node _T_2590 = eq(_T_2589, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2591 = and(_T_2587, _T_2590) @[lsu_bus_buffer.scala 412:76] + node _T_2592 = bits(buf_ageQ[2], 2, 2) @[lsu_bus_buffer.scala 412:72] + node _T_2593 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2594 = and(_T_2593, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 412:103] + node _T_2595 = eq(_T_2594, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2596 = and(_T_2592, _T_2595) @[lsu_bus_buffer.scala 412:76] + node _T_2597 = bits(buf_ageQ[2], 3, 3) @[lsu_bus_buffer.scala 412:72] + node _T_2598 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2599 = and(_T_2598, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 412:103] + node _T_2600 = eq(_T_2599, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2601 = and(_T_2597, _T_2600) @[lsu_bus_buffer.scala 412:76] + node _T_2602 = cat(_T_2601, _T_2596) @[Cat.scala 29:58] + node _T_2603 = cat(_T_2602, _T_2591) @[Cat.scala 29:58] + node _T_2604 = cat(_T_2603, _T_2586) @[Cat.scala 29:58] + node _T_2605 = bits(buf_ageQ[3], 0, 0) @[lsu_bus_buffer.scala 412:72] + node _T_2606 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2607 = and(_T_2606, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 412:103] + node _T_2608 = eq(_T_2607, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2609 = and(_T_2605, _T_2608) @[lsu_bus_buffer.scala 412:76] + node _T_2610 = bits(buf_ageQ[3], 1, 1) @[lsu_bus_buffer.scala 412:72] + node _T_2611 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2612 = and(_T_2611, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 412:103] + node _T_2613 = eq(_T_2612, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2614 = and(_T_2610, _T_2613) @[lsu_bus_buffer.scala 412:76] + node _T_2615 = bits(buf_ageQ[3], 2, 2) @[lsu_bus_buffer.scala 412:72] + node _T_2616 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2617 = and(_T_2616, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 412:103] + node _T_2618 = eq(_T_2617, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2619 = and(_T_2615, _T_2618) @[lsu_bus_buffer.scala 412:76] + node _T_2620 = bits(buf_ageQ[3], 3, 3) @[lsu_bus_buffer.scala 412:72] + node _T_2621 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2622 = and(_T_2621, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 412:103] + node _T_2623 = eq(_T_2622, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2624 = and(_T_2620, _T_2623) @[lsu_bus_buffer.scala 412:76] + node _T_2625 = cat(_T_2624, _T_2619) @[Cat.scala 29:58] + node _T_2626 = cat(_T_2625, _T_2614) @[Cat.scala 29:58] + node _T_2627 = cat(_T_2626, _T_2609) @[Cat.scala 29:58] + buf_age[0] <= _T_2558 @[lsu_bus_buffer.scala 412:11] + buf_age[1] <= _T_2581 @[lsu_bus_buffer.scala 412:11] + buf_age[2] <= _T_2604 @[lsu_bus_buffer.scala 412:11] + buf_age[3] <= _T_2627 @[lsu_bus_buffer.scala 412:11] + node _T_2628 = eq(UInt<1>("h00"), UInt<1>("h00")) @[lsu_bus_buffer.scala 413:76] + node _T_2629 = bits(buf_age[0], 0, 0) @[lsu_bus_buffer.scala 413:100] + node _T_2630 = eq(_T_2629, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2631 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2632 = and(_T_2630, _T_2631) @[lsu_bus_buffer.scala 413:104] + node _T_2633 = mux(_T_2628, UInt<1>("h00"), _T_2632) @[lsu_bus_buffer.scala 413:72] + node _T_2634 = eq(UInt<1>("h00"), UInt<1>("h01")) @[lsu_bus_buffer.scala 413:76] + node _T_2635 = bits(buf_age[0], 1, 1) @[lsu_bus_buffer.scala 413:100] + node _T_2636 = eq(_T_2635, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2637 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2638 = and(_T_2636, _T_2637) @[lsu_bus_buffer.scala 413:104] + node _T_2639 = mux(_T_2634, UInt<1>("h00"), _T_2638) @[lsu_bus_buffer.scala 413:72] + node _T_2640 = eq(UInt<1>("h00"), UInt<2>("h02")) @[lsu_bus_buffer.scala 413:76] + node _T_2641 = bits(buf_age[0], 2, 2) @[lsu_bus_buffer.scala 413:100] + node _T_2642 = eq(_T_2641, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2643 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2644 = and(_T_2642, _T_2643) @[lsu_bus_buffer.scala 413:104] + node _T_2645 = mux(_T_2640, UInt<1>("h00"), _T_2644) @[lsu_bus_buffer.scala 413:72] + node _T_2646 = eq(UInt<1>("h00"), UInt<2>("h03")) @[lsu_bus_buffer.scala 413:76] + node _T_2647 = bits(buf_age[0], 3, 3) @[lsu_bus_buffer.scala 413:100] + node _T_2648 = eq(_T_2647, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2649 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2650 = and(_T_2648, _T_2649) @[lsu_bus_buffer.scala 413:104] + node _T_2651 = mux(_T_2646, UInt<1>("h00"), _T_2650) @[lsu_bus_buffer.scala 413:72] + node _T_2652 = cat(_T_2651, _T_2645) @[Cat.scala 29:58] + node _T_2653 = cat(_T_2652, _T_2639) @[Cat.scala 29:58] + node _T_2654 = cat(_T_2653, _T_2633) @[Cat.scala 29:58] + node _T_2655 = eq(UInt<1>("h01"), UInt<1>("h00")) @[lsu_bus_buffer.scala 413:76] + node _T_2656 = bits(buf_age[1], 0, 0) @[lsu_bus_buffer.scala 413:100] + node _T_2657 = eq(_T_2656, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2658 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2659 = and(_T_2657, _T_2658) @[lsu_bus_buffer.scala 413:104] + node _T_2660 = mux(_T_2655, UInt<1>("h00"), _T_2659) @[lsu_bus_buffer.scala 413:72] + node _T_2661 = eq(UInt<1>("h01"), UInt<1>("h01")) @[lsu_bus_buffer.scala 413:76] + node _T_2662 = bits(buf_age[1], 1, 1) @[lsu_bus_buffer.scala 413:100] + node _T_2663 = eq(_T_2662, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2664 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2665 = and(_T_2663, _T_2664) @[lsu_bus_buffer.scala 413:104] + node _T_2666 = mux(_T_2661, UInt<1>("h00"), _T_2665) @[lsu_bus_buffer.scala 413:72] + node _T_2667 = eq(UInt<1>("h01"), UInt<2>("h02")) @[lsu_bus_buffer.scala 413:76] + node _T_2668 = bits(buf_age[1], 2, 2) @[lsu_bus_buffer.scala 413:100] + node _T_2669 = eq(_T_2668, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2670 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2671 = and(_T_2669, _T_2670) @[lsu_bus_buffer.scala 413:104] + node _T_2672 = mux(_T_2667, UInt<1>("h00"), _T_2671) @[lsu_bus_buffer.scala 413:72] + node _T_2673 = eq(UInt<1>("h01"), UInt<2>("h03")) @[lsu_bus_buffer.scala 413:76] + node _T_2674 = bits(buf_age[1], 3, 3) @[lsu_bus_buffer.scala 413:100] + node _T_2675 = eq(_T_2674, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2676 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2677 = and(_T_2675, _T_2676) @[lsu_bus_buffer.scala 413:104] + node _T_2678 = mux(_T_2673, UInt<1>("h00"), _T_2677) @[lsu_bus_buffer.scala 413:72] + node _T_2679 = cat(_T_2678, _T_2672) @[Cat.scala 29:58] + node _T_2680 = cat(_T_2679, _T_2666) @[Cat.scala 29:58] + node _T_2681 = cat(_T_2680, _T_2660) @[Cat.scala 29:58] + node _T_2682 = eq(UInt<2>("h02"), UInt<1>("h00")) @[lsu_bus_buffer.scala 413:76] + node _T_2683 = bits(buf_age[2], 0, 0) @[lsu_bus_buffer.scala 413:100] + node _T_2684 = eq(_T_2683, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2685 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2686 = and(_T_2684, _T_2685) @[lsu_bus_buffer.scala 413:104] + node _T_2687 = mux(_T_2682, UInt<1>("h00"), _T_2686) @[lsu_bus_buffer.scala 413:72] + node _T_2688 = eq(UInt<2>("h02"), UInt<1>("h01")) @[lsu_bus_buffer.scala 413:76] + node _T_2689 = bits(buf_age[2], 1, 1) @[lsu_bus_buffer.scala 413:100] + node _T_2690 = eq(_T_2689, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2691 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2692 = and(_T_2690, _T_2691) @[lsu_bus_buffer.scala 413:104] + node _T_2693 = mux(_T_2688, UInt<1>("h00"), _T_2692) @[lsu_bus_buffer.scala 413:72] + node _T_2694 = eq(UInt<2>("h02"), UInt<2>("h02")) @[lsu_bus_buffer.scala 413:76] + node _T_2695 = bits(buf_age[2], 2, 2) @[lsu_bus_buffer.scala 413:100] + node _T_2696 = eq(_T_2695, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2697 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2698 = and(_T_2696, _T_2697) @[lsu_bus_buffer.scala 413:104] + node _T_2699 = mux(_T_2694, UInt<1>("h00"), _T_2698) @[lsu_bus_buffer.scala 413:72] + node _T_2700 = eq(UInt<2>("h02"), UInt<2>("h03")) @[lsu_bus_buffer.scala 413:76] + node _T_2701 = bits(buf_age[2], 3, 3) @[lsu_bus_buffer.scala 413:100] + node _T_2702 = eq(_T_2701, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2703 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2704 = and(_T_2702, _T_2703) @[lsu_bus_buffer.scala 413:104] + node _T_2705 = mux(_T_2700, UInt<1>("h00"), _T_2704) @[lsu_bus_buffer.scala 413:72] + node _T_2706 = cat(_T_2705, _T_2699) @[Cat.scala 29:58] + node _T_2707 = cat(_T_2706, _T_2693) @[Cat.scala 29:58] + node _T_2708 = cat(_T_2707, _T_2687) @[Cat.scala 29:58] + node _T_2709 = eq(UInt<2>("h03"), UInt<1>("h00")) @[lsu_bus_buffer.scala 413:76] + node _T_2710 = bits(buf_age[3], 0, 0) @[lsu_bus_buffer.scala 413:100] + node _T_2711 = eq(_T_2710, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2712 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2713 = and(_T_2711, _T_2712) @[lsu_bus_buffer.scala 413:104] + node _T_2714 = mux(_T_2709, UInt<1>("h00"), _T_2713) @[lsu_bus_buffer.scala 413:72] + node _T_2715 = eq(UInt<2>("h03"), UInt<1>("h01")) @[lsu_bus_buffer.scala 413:76] + node _T_2716 = bits(buf_age[3], 1, 1) @[lsu_bus_buffer.scala 413:100] + node _T_2717 = eq(_T_2716, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2718 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2719 = and(_T_2717, _T_2718) @[lsu_bus_buffer.scala 413:104] + node _T_2720 = mux(_T_2715, UInt<1>("h00"), _T_2719) @[lsu_bus_buffer.scala 413:72] + node _T_2721 = eq(UInt<2>("h03"), UInt<2>("h02")) @[lsu_bus_buffer.scala 413:76] + node _T_2722 = bits(buf_age[3], 2, 2) @[lsu_bus_buffer.scala 413:100] + node _T_2723 = eq(_T_2722, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2724 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2725 = and(_T_2723, _T_2724) @[lsu_bus_buffer.scala 413:104] + node _T_2726 = mux(_T_2721, UInt<1>("h00"), _T_2725) @[lsu_bus_buffer.scala 413:72] + node _T_2727 = eq(UInt<2>("h03"), UInt<2>("h03")) @[lsu_bus_buffer.scala 413:76] + node _T_2728 = bits(buf_age[3], 3, 3) @[lsu_bus_buffer.scala 413:100] + node _T_2729 = eq(_T_2728, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2730 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2731 = and(_T_2729, _T_2730) @[lsu_bus_buffer.scala 413:104] + node _T_2732 = mux(_T_2727, UInt<1>("h00"), _T_2731) @[lsu_bus_buffer.scala 413:72] + node _T_2733 = cat(_T_2732, _T_2726) @[Cat.scala 29:58] + node _T_2734 = cat(_T_2733, _T_2720) @[Cat.scala 29:58] + node _T_2735 = cat(_T_2734, _T_2714) @[Cat.scala 29:58] + buf_age_younger[0] <= _T_2654 @[lsu_bus_buffer.scala 413:19] + buf_age_younger[1] <= _T_2681 @[lsu_bus_buffer.scala 413:19] + buf_age_younger[2] <= _T_2708 @[lsu_bus_buffer.scala 413:19] + buf_age_younger[3] <= _T_2735 @[lsu_bus_buffer.scala 413:19] + node _T_2736 = bits(buf_rspageQ[0], 0, 0) @[lsu_bus_buffer.scala 414:83] + node _T_2737 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2738 = and(_T_2736, _T_2737) @[lsu_bus_buffer.scala 414:87] + node _T_2739 = bits(buf_rspageQ[0], 1, 1) @[lsu_bus_buffer.scala 414:83] + node _T_2740 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2741 = and(_T_2739, _T_2740) @[lsu_bus_buffer.scala 414:87] + node _T_2742 = bits(buf_rspageQ[0], 2, 2) @[lsu_bus_buffer.scala 414:83] + node _T_2743 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2744 = and(_T_2742, _T_2743) @[lsu_bus_buffer.scala 414:87] + node _T_2745 = bits(buf_rspageQ[0], 3, 3) @[lsu_bus_buffer.scala 414:83] + node _T_2746 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2747 = and(_T_2745, _T_2746) @[lsu_bus_buffer.scala 414:87] + node _T_2748 = cat(_T_2747, _T_2744) @[Cat.scala 29:58] + node _T_2749 = cat(_T_2748, _T_2741) @[Cat.scala 29:58] + node _T_2750 = cat(_T_2749, _T_2738) @[Cat.scala 29:58] + node _T_2751 = bits(buf_rspageQ[1], 0, 0) @[lsu_bus_buffer.scala 414:83] + node _T_2752 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2753 = and(_T_2751, _T_2752) @[lsu_bus_buffer.scala 414:87] + node _T_2754 = bits(buf_rspageQ[1], 1, 1) @[lsu_bus_buffer.scala 414:83] + node _T_2755 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2756 = and(_T_2754, _T_2755) @[lsu_bus_buffer.scala 414:87] + node _T_2757 = bits(buf_rspageQ[1], 2, 2) @[lsu_bus_buffer.scala 414:83] + node _T_2758 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2759 = and(_T_2757, _T_2758) @[lsu_bus_buffer.scala 414:87] + node _T_2760 = bits(buf_rspageQ[1], 3, 3) @[lsu_bus_buffer.scala 414:83] + node _T_2761 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2762 = and(_T_2760, _T_2761) @[lsu_bus_buffer.scala 414:87] + node _T_2763 = cat(_T_2762, _T_2759) @[Cat.scala 29:58] + node _T_2764 = cat(_T_2763, _T_2756) @[Cat.scala 29:58] + node _T_2765 = cat(_T_2764, _T_2753) @[Cat.scala 29:58] + node _T_2766 = bits(buf_rspageQ[2], 0, 0) @[lsu_bus_buffer.scala 414:83] + node _T_2767 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2768 = and(_T_2766, _T_2767) @[lsu_bus_buffer.scala 414:87] + node _T_2769 = bits(buf_rspageQ[2], 1, 1) @[lsu_bus_buffer.scala 414:83] + node _T_2770 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2771 = and(_T_2769, _T_2770) @[lsu_bus_buffer.scala 414:87] + node _T_2772 = bits(buf_rspageQ[2], 2, 2) @[lsu_bus_buffer.scala 414:83] + node _T_2773 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2774 = and(_T_2772, _T_2773) @[lsu_bus_buffer.scala 414:87] + node _T_2775 = bits(buf_rspageQ[2], 3, 3) @[lsu_bus_buffer.scala 414:83] + node _T_2776 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2777 = and(_T_2775, _T_2776) @[lsu_bus_buffer.scala 414:87] + node _T_2778 = cat(_T_2777, _T_2774) @[Cat.scala 29:58] + node _T_2779 = cat(_T_2778, _T_2771) @[Cat.scala 29:58] + node _T_2780 = cat(_T_2779, _T_2768) @[Cat.scala 29:58] + node _T_2781 = bits(buf_rspageQ[3], 0, 0) @[lsu_bus_buffer.scala 414:83] + node _T_2782 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2783 = and(_T_2781, _T_2782) @[lsu_bus_buffer.scala 414:87] + node _T_2784 = bits(buf_rspageQ[3], 1, 1) @[lsu_bus_buffer.scala 414:83] + node _T_2785 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2786 = and(_T_2784, _T_2785) @[lsu_bus_buffer.scala 414:87] + node _T_2787 = bits(buf_rspageQ[3], 2, 2) @[lsu_bus_buffer.scala 414:83] + node _T_2788 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2789 = and(_T_2787, _T_2788) @[lsu_bus_buffer.scala 414:87] + node _T_2790 = bits(buf_rspageQ[3], 3, 3) @[lsu_bus_buffer.scala 414:83] + node _T_2791 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2792 = and(_T_2790, _T_2791) @[lsu_bus_buffer.scala 414:87] + node _T_2793 = cat(_T_2792, _T_2789) @[Cat.scala 29:58] + node _T_2794 = cat(_T_2793, _T_2786) @[Cat.scala 29:58] + node _T_2795 = cat(_T_2794, _T_2783) @[Cat.scala 29:58] + buf_rsp_pickage[0] <= _T_2750 @[lsu_bus_buffer.scala 414:19] + buf_rsp_pickage[1] <= _T_2765 @[lsu_bus_buffer.scala 414:19] + buf_rsp_pickage[2] <= _T_2780 @[lsu_bus_buffer.scala 414:19] + buf_rsp_pickage[3] <= _T_2795 @[lsu_bus_buffer.scala 414:19] + node _T_2796 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_2797 = and(_T_2796, buf_state_en[0]) @[lsu_bus_buffer.scala 416:93] + node _T_2798 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_2799 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_2800 = or(_T_2798, _T_2799) @[lsu_bus_buffer.scala 417:32] + node _T_2801 = eq(_T_2800, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_2802 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_2803 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_2804 = and(_T_2802, _T_2803) @[lsu_bus_buffer.scala 418:41] + node _T_2805 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2806 = and(_T_2804, _T_2805) @[lsu_bus_buffer.scala 418:71] + node _T_2807 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:101] + node _T_2808 = and(_T_2806, _T_2807) @[lsu_bus_buffer.scala 418:90] + node _T_2809 = or(_T_2801, _T_2808) @[lsu_bus_buffer.scala 417:59] + node _T_2810 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_2811 = and(_T_2810, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_2812 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:63] + node _T_2813 = and(_T_2811, _T_2812) @[lsu_bus_buffer.scala 419:52] + node _T_2814 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:82] + node _T_2815 = and(_T_2813, _T_2814) @[lsu_bus_buffer.scala 419:71] + node _T_2816 = or(_T_2809, _T_2815) @[lsu_bus_buffer.scala 418:110] + node _T_2817 = and(_T_2797, _T_2816) @[lsu_bus_buffer.scala 416:112] + node _T_2818 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_2819 = and(_T_2818, buf_state_en[0]) @[lsu_bus_buffer.scala 416:93] + node _T_2820 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_2821 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_2822 = or(_T_2820, _T_2821) @[lsu_bus_buffer.scala 417:32] + node _T_2823 = eq(_T_2822, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_2824 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_2825 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_2826 = and(_T_2824, _T_2825) @[lsu_bus_buffer.scala 418:41] + node _T_2827 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2828 = and(_T_2826, _T_2827) @[lsu_bus_buffer.scala 418:71] + node _T_2829 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:101] + node _T_2830 = and(_T_2828, _T_2829) @[lsu_bus_buffer.scala 418:90] + node _T_2831 = or(_T_2823, _T_2830) @[lsu_bus_buffer.scala 417:59] + node _T_2832 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_2833 = and(_T_2832, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_2834 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:63] + node _T_2835 = and(_T_2833, _T_2834) @[lsu_bus_buffer.scala 419:52] + node _T_2836 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 419:82] + node _T_2837 = and(_T_2835, _T_2836) @[lsu_bus_buffer.scala 419:71] + node _T_2838 = or(_T_2831, _T_2837) @[lsu_bus_buffer.scala 418:110] + node _T_2839 = and(_T_2819, _T_2838) @[lsu_bus_buffer.scala 416:112] + node _T_2840 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_2841 = and(_T_2840, buf_state_en[0]) @[lsu_bus_buffer.scala 416:93] + node _T_2842 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_2843 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_2844 = or(_T_2842, _T_2843) @[lsu_bus_buffer.scala 417:32] + node _T_2845 = eq(_T_2844, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_2846 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_2847 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_2848 = and(_T_2846, _T_2847) @[lsu_bus_buffer.scala 418:41] + node _T_2849 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2850 = and(_T_2848, _T_2849) @[lsu_bus_buffer.scala 418:71] + node _T_2851 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:101] + node _T_2852 = and(_T_2850, _T_2851) @[lsu_bus_buffer.scala 418:90] + node _T_2853 = or(_T_2845, _T_2852) @[lsu_bus_buffer.scala 417:59] + node _T_2854 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_2855 = and(_T_2854, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_2856 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:63] + node _T_2857 = and(_T_2855, _T_2856) @[lsu_bus_buffer.scala 419:52] + node _T_2858 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 419:82] + node _T_2859 = and(_T_2857, _T_2858) @[lsu_bus_buffer.scala 419:71] + node _T_2860 = or(_T_2853, _T_2859) @[lsu_bus_buffer.scala 418:110] + node _T_2861 = and(_T_2841, _T_2860) @[lsu_bus_buffer.scala 416:112] + node _T_2862 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_2863 = and(_T_2862, buf_state_en[0]) @[lsu_bus_buffer.scala 416:93] + node _T_2864 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_2865 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_2866 = or(_T_2864, _T_2865) @[lsu_bus_buffer.scala 417:32] + node _T_2867 = eq(_T_2866, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_2868 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_2869 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_2870 = and(_T_2868, _T_2869) @[lsu_bus_buffer.scala 418:41] + node _T_2871 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2872 = and(_T_2870, _T_2871) @[lsu_bus_buffer.scala 418:71] + node _T_2873 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:101] + node _T_2874 = and(_T_2872, _T_2873) @[lsu_bus_buffer.scala 418:90] + node _T_2875 = or(_T_2867, _T_2874) @[lsu_bus_buffer.scala 417:59] + node _T_2876 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_2877 = and(_T_2876, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_2878 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:63] + node _T_2879 = and(_T_2877, _T_2878) @[lsu_bus_buffer.scala 419:52] + node _T_2880 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 419:82] + node _T_2881 = and(_T_2879, _T_2880) @[lsu_bus_buffer.scala 419:71] + node _T_2882 = or(_T_2875, _T_2881) @[lsu_bus_buffer.scala 418:110] + node _T_2883 = and(_T_2863, _T_2882) @[lsu_bus_buffer.scala 416:112] + node _T_2884 = cat(_T_2883, _T_2861) @[Cat.scala 29:58] + node _T_2885 = cat(_T_2884, _T_2839) @[Cat.scala 29:58] + node _T_2886 = cat(_T_2885, _T_2817) @[Cat.scala 29:58] + node _T_2887 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_2888 = and(_T_2887, buf_state_en[1]) @[lsu_bus_buffer.scala 416:93] + node _T_2889 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_2890 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_2891 = or(_T_2889, _T_2890) @[lsu_bus_buffer.scala 417:32] + node _T_2892 = eq(_T_2891, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_2893 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_2894 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_2895 = and(_T_2893, _T_2894) @[lsu_bus_buffer.scala 418:41] + node _T_2896 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:82] + node _T_2897 = and(_T_2895, _T_2896) @[lsu_bus_buffer.scala 418:71] + node _T_2898 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:101] + node _T_2899 = and(_T_2897, _T_2898) @[lsu_bus_buffer.scala 418:90] + node _T_2900 = or(_T_2892, _T_2899) @[lsu_bus_buffer.scala 417:59] + node _T_2901 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_2902 = and(_T_2901, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_2903 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 419:63] + node _T_2904 = and(_T_2902, _T_2903) @[lsu_bus_buffer.scala 419:52] + node _T_2905 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:82] + node _T_2906 = and(_T_2904, _T_2905) @[lsu_bus_buffer.scala 419:71] + node _T_2907 = or(_T_2900, _T_2906) @[lsu_bus_buffer.scala 418:110] + node _T_2908 = and(_T_2888, _T_2907) @[lsu_bus_buffer.scala 416:112] + node _T_2909 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_2910 = and(_T_2909, buf_state_en[1]) @[lsu_bus_buffer.scala 416:93] + node _T_2911 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_2912 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_2913 = or(_T_2911, _T_2912) @[lsu_bus_buffer.scala 417:32] + node _T_2914 = eq(_T_2913, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_2915 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_2916 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_2917 = and(_T_2915, _T_2916) @[lsu_bus_buffer.scala 418:41] + node _T_2918 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:82] + node _T_2919 = and(_T_2917, _T_2918) @[lsu_bus_buffer.scala 418:71] + node _T_2920 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:101] + node _T_2921 = and(_T_2919, _T_2920) @[lsu_bus_buffer.scala 418:90] + node _T_2922 = or(_T_2914, _T_2921) @[lsu_bus_buffer.scala 417:59] + node _T_2923 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_2924 = and(_T_2923, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_2925 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 419:63] + node _T_2926 = and(_T_2924, _T_2925) @[lsu_bus_buffer.scala 419:52] + node _T_2927 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 419:82] + node _T_2928 = and(_T_2926, _T_2927) @[lsu_bus_buffer.scala 419:71] + node _T_2929 = or(_T_2922, _T_2928) @[lsu_bus_buffer.scala 418:110] + node _T_2930 = and(_T_2910, _T_2929) @[lsu_bus_buffer.scala 416:112] + node _T_2931 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_2932 = and(_T_2931, buf_state_en[1]) @[lsu_bus_buffer.scala 416:93] + node _T_2933 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_2934 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_2935 = or(_T_2933, _T_2934) @[lsu_bus_buffer.scala 417:32] + node _T_2936 = eq(_T_2935, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_2937 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_2938 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_2939 = and(_T_2937, _T_2938) @[lsu_bus_buffer.scala 418:41] + node _T_2940 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:82] + node _T_2941 = and(_T_2939, _T_2940) @[lsu_bus_buffer.scala 418:71] + node _T_2942 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:101] + node _T_2943 = and(_T_2941, _T_2942) @[lsu_bus_buffer.scala 418:90] + node _T_2944 = or(_T_2936, _T_2943) @[lsu_bus_buffer.scala 417:59] + node _T_2945 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_2946 = and(_T_2945, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_2947 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 419:63] + node _T_2948 = and(_T_2946, _T_2947) @[lsu_bus_buffer.scala 419:52] + node _T_2949 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 419:82] + node _T_2950 = and(_T_2948, _T_2949) @[lsu_bus_buffer.scala 419:71] + node _T_2951 = or(_T_2944, _T_2950) @[lsu_bus_buffer.scala 418:110] + node _T_2952 = and(_T_2932, _T_2951) @[lsu_bus_buffer.scala 416:112] + node _T_2953 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_2954 = and(_T_2953, buf_state_en[1]) @[lsu_bus_buffer.scala 416:93] + node _T_2955 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_2956 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_2957 = or(_T_2955, _T_2956) @[lsu_bus_buffer.scala 417:32] + node _T_2958 = eq(_T_2957, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_2959 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_2960 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_2961 = and(_T_2959, _T_2960) @[lsu_bus_buffer.scala 418:41] + node _T_2962 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:82] + node _T_2963 = and(_T_2961, _T_2962) @[lsu_bus_buffer.scala 418:71] + node _T_2964 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:101] + node _T_2965 = and(_T_2963, _T_2964) @[lsu_bus_buffer.scala 418:90] + node _T_2966 = or(_T_2958, _T_2965) @[lsu_bus_buffer.scala 417:59] + node _T_2967 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_2968 = and(_T_2967, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_2969 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 419:63] + node _T_2970 = and(_T_2968, _T_2969) @[lsu_bus_buffer.scala 419:52] + node _T_2971 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 419:82] + node _T_2972 = and(_T_2970, _T_2971) @[lsu_bus_buffer.scala 419:71] + node _T_2973 = or(_T_2966, _T_2972) @[lsu_bus_buffer.scala 418:110] + node _T_2974 = and(_T_2954, _T_2973) @[lsu_bus_buffer.scala 416:112] + node _T_2975 = cat(_T_2974, _T_2952) @[Cat.scala 29:58] + node _T_2976 = cat(_T_2975, _T_2930) @[Cat.scala 29:58] + node _T_2977 = cat(_T_2976, _T_2908) @[Cat.scala 29:58] + node _T_2978 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_2979 = and(_T_2978, buf_state_en[2]) @[lsu_bus_buffer.scala 416:93] + node _T_2980 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_2981 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_2982 = or(_T_2980, _T_2981) @[lsu_bus_buffer.scala 417:32] + node _T_2983 = eq(_T_2982, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_2984 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_2985 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_2986 = and(_T_2984, _T_2985) @[lsu_bus_buffer.scala 418:41] + node _T_2987 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:82] + node _T_2988 = and(_T_2986, _T_2987) @[lsu_bus_buffer.scala 418:71] + node _T_2989 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:101] + node _T_2990 = and(_T_2988, _T_2989) @[lsu_bus_buffer.scala 418:90] + node _T_2991 = or(_T_2983, _T_2990) @[lsu_bus_buffer.scala 417:59] + node _T_2992 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_2993 = and(_T_2992, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_2994 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 419:63] + node _T_2995 = and(_T_2993, _T_2994) @[lsu_bus_buffer.scala 419:52] + node _T_2996 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:82] + node _T_2997 = and(_T_2995, _T_2996) @[lsu_bus_buffer.scala 419:71] + node _T_2998 = or(_T_2991, _T_2997) @[lsu_bus_buffer.scala 418:110] + node _T_2999 = and(_T_2979, _T_2998) @[lsu_bus_buffer.scala 416:112] + node _T_3000 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_3001 = and(_T_3000, buf_state_en[2]) @[lsu_bus_buffer.scala 416:93] + node _T_3002 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_3003 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_3004 = or(_T_3002, _T_3003) @[lsu_bus_buffer.scala 417:32] + node _T_3005 = eq(_T_3004, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_3006 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_3007 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_3008 = and(_T_3006, _T_3007) @[lsu_bus_buffer.scala 418:41] + node _T_3009 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:82] + node _T_3010 = and(_T_3008, _T_3009) @[lsu_bus_buffer.scala 418:71] + node _T_3011 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:101] + node _T_3012 = and(_T_3010, _T_3011) @[lsu_bus_buffer.scala 418:90] + node _T_3013 = or(_T_3005, _T_3012) @[lsu_bus_buffer.scala 417:59] + node _T_3014 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_3015 = and(_T_3014, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_3016 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 419:63] + node _T_3017 = and(_T_3015, _T_3016) @[lsu_bus_buffer.scala 419:52] + node _T_3018 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 419:82] + node _T_3019 = and(_T_3017, _T_3018) @[lsu_bus_buffer.scala 419:71] + node _T_3020 = or(_T_3013, _T_3019) @[lsu_bus_buffer.scala 418:110] + node _T_3021 = and(_T_3001, _T_3020) @[lsu_bus_buffer.scala 416:112] + node _T_3022 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_3023 = and(_T_3022, buf_state_en[2]) @[lsu_bus_buffer.scala 416:93] + node _T_3024 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_3025 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_3026 = or(_T_3024, _T_3025) @[lsu_bus_buffer.scala 417:32] + node _T_3027 = eq(_T_3026, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_3028 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_3029 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_3030 = and(_T_3028, _T_3029) @[lsu_bus_buffer.scala 418:41] + node _T_3031 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:82] + node _T_3032 = and(_T_3030, _T_3031) @[lsu_bus_buffer.scala 418:71] + node _T_3033 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:101] + node _T_3034 = and(_T_3032, _T_3033) @[lsu_bus_buffer.scala 418:90] + node _T_3035 = or(_T_3027, _T_3034) @[lsu_bus_buffer.scala 417:59] + node _T_3036 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_3037 = and(_T_3036, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_3038 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 419:63] + node _T_3039 = and(_T_3037, _T_3038) @[lsu_bus_buffer.scala 419:52] + node _T_3040 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 419:82] + node _T_3041 = and(_T_3039, _T_3040) @[lsu_bus_buffer.scala 419:71] + node _T_3042 = or(_T_3035, _T_3041) @[lsu_bus_buffer.scala 418:110] + node _T_3043 = and(_T_3023, _T_3042) @[lsu_bus_buffer.scala 416:112] + node _T_3044 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_3045 = and(_T_3044, buf_state_en[2]) @[lsu_bus_buffer.scala 416:93] + node _T_3046 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_3047 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_3048 = or(_T_3046, _T_3047) @[lsu_bus_buffer.scala 417:32] + node _T_3049 = eq(_T_3048, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_3050 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_3051 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_3052 = and(_T_3050, _T_3051) @[lsu_bus_buffer.scala 418:41] + node _T_3053 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:82] + node _T_3054 = and(_T_3052, _T_3053) @[lsu_bus_buffer.scala 418:71] + node _T_3055 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:101] + node _T_3056 = and(_T_3054, _T_3055) @[lsu_bus_buffer.scala 418:90] + node _T_3057 = or(_T_3049, _T_3056) @[lsu_bus_buffer.scala 417:59] + node _T_3058 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_3059 = and(_T_3058, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_3060 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 419:63] + node _T_3061 = and(_T_3059, _T_3060) @[lsu_bus_buffer.scala 419:52] + node _T_3062 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 419:82] + node _T_3063 = and(_T_3061, _T_3062) @[lsu_bus_buffer.scala 419:71] + node _T_3064 = or(_T_3057, _T_3063) @[lsu_bus_buffer.scala 418:110] + node _T_3065 = and(_T_3045, _T_3064) @[lsu_bus_buffer.scala 416:112] + node _T_3066 = cat(_T_3065, _T_3043) @[Cat.scala 29:58] + node _T_3067 = cat(_T_3066, _T_3021) @[Cat.scala 29:58] + node _T_3068 = cat(_T_3067, _T_2999) @[Cat.scala 29:58] + node _T_3069 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_3070 = and(_T_3069, buf_state_en[3]) @[lsu_bus_buffer.scala 416:93] + node _T_3071 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_3072 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_3073 = or(_T_3071, _T_3072) @[lsu_bus_buffer.scala 417:32] + node _T_3074 = eq(_T_3073, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_3075 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_3076 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_3077 = and(_T_3075, _T_3076) @[lsu_bus_buffer.scala 418:41] + node _T_3078 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:82] + node _T_3079 = and(_T_3077, _T_3078) @[lsu_bus_buffer.scala 418:71] + node _T_3080 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:101] + node _T_3081 = and(_T_3079, _T_3080) @[lsu_bus_buffer.scala 418:90] + node _T_3082 = or(_T_3074, _T_3081) @[lsu_bus_buffer.scala 417:59] + node _T_3083 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_3084 = and(_T_3083, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_3085 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 419:63] + node _T_3086 = and(_T_3084, _T_3085) @[lsu_bus_buffer.scala 419:52] + node _T_3087 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:82] + node _T_3088 = and(_T_3086, _T_3087) @[lsu_bus_buffer.scala 419:71] + node _T_3089 = or(_T_3082, _T_3088) @[lsu_bus_buffer.scala 418:110] + node _T_3090 = and(_T_3070, _T_3089) @[lsu_bus_buffer.scala 416:112] + node _T_3091 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_3092 = and(_T_3091, buf_state_en[3]) @[lsu_bus_buffer.scala 416:93] + node _T_3093 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_3094 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_3095 = or(_T_3093, _T_3094) @[lsu_bus_buffer.scala 417:32] + node _T_3096 = eq(_T_3095, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_3097 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_3098 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_3099 = and(_T_3097, _T_3098) @[lsu_bus_buffer.scala 418:41] + node _T_3100 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:82] + node _T_3101 = and(_T_3099, _T_3100) @[lsu_bus_buffer.scala 418:71] + node _T_3102 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:101] + node _T_3103 = and(_T_3101, _T_3102) @[lsu_bus_buffer.scala 418:90] + node _T_3104 = or(_T_3096, _T_3103) @[lsu_bus_buffer.scala 417:59] + node _T_3105 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_3106 = and(_T_3105, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_3107 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 419:63] + node _T_3108 = and(_T_3106, _T_3107) @[lsu_bus_buffer.scala 419:52] + node _T_3109 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 419:82] + node _T_3110 = and(_T_3108, _T_3109) @[lsu_bus_buffer.scala 419:71] + node _T_3111 = or(_T_3104, _T_3110) @[lsu_bus_buffer.scala 418:110] + node _T_3112 = and(_T_3092, _T_3111) @[lsu_bus_buffer.scala 416:112] + node _T_3113 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_3114 = and(_T_3113, buf_state_en[3]) @[lsu_bus_buffer.scala 416:93] + node _T_3115 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_3116 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_3117 = or(_T_3115, _T_3116) @[lsu_bus_buffer.scala 417:32] + node _T_3118 = eq(_T_3117, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_3119 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_3120 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_3121 = and(_T_3119, _T_3120) @[lsu_bus_buffer.scala 418:41] + node _T_3122 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:82] + node _T_3123 = and(_T_3121, _T_3122) @[lsu_bus_buffer.scala 418:71] + node _T_3124 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:101] + node _T_3125 = and(_T_3123, _T_3124) @[lsu_bus_buffer.scala 418:90] + node _T_3126 = or(_T_3118, _T_3125) @[lsu_bus_buffer.scala 417:59] + node _T_3127 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_3128 = and(_T_3127, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_3129 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 419:63] + node _T_3130 = and(_T_3128, _T_3129) @[lsu_bus_buffer.scala 419:52] + node _T_3131 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 419:82] + node _T_3132 = and(_T_3130, _T_3131) @[lsu_bus_buffer.scala 419:71] + node _T_3133 = or(_T_3126, _T_3132) @[lsu_bus_buffer.scala 418:110] + node _T_3134 = and(_T_3114, _T_3133) @[lsu_bus_buffer.scala 416:112] + node _T_3135 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_3136 = and(_T_3135, buf_state_en[3]) @[lsu_bus_buffer.scala 416:93] + node _T_3137 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_3138 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_3139 = or(_T_3137, _T_3138) @[lsu_bus_buffer.scala 417:32] + node _T_3140 = eq(_T_3139, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_3141 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_3142 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_3143 = and(_T_3141, _T_3142) @[lsu_bus_buffer.scala 418:41] + node _T_3144 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:82] + node _T_3145 = and(_T_3143, _T_3144) @[lsu_bus_buffer.scala 418:71] + node _T_3146 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:101] + node _T_3147 = and(_T_3145, _T_3146) @[lsu_bus_buffer.scala 418:90] + node _T_3148 = or(_T_3140, _T_3147) @[lsu_bus_buffer.scala 417:59] + node _T_3149 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_3150 = and(_T_3149, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_3151 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 419:63] + node _T_3152 = and(_T_3150, _T_3151) @[lsu_bus_buffer.scala 419:52] + node _T_3153 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 419:82] + node _T_3154 = and(_T_3152, _T_3153) @[lsu_bus_buffer.scala 419:71] + node _T_3155 = or(_T_3148, _T_3154) @[lsu_bus_buffer.scala 418:110] + node _T_3156 = and(_T_3136, _T_3155) @[lsu_bus_buffer.scala 416:112] + node _T_3157 = cat(_T_3156, _T_3134) @[Cat.scala 29:58] + node _T_3158 = cat(_T_3157, _T_3112) @[Cat.scala 29:58] + node _T_3159 = cat(_T_3158, _T_3090) @[Cat.scala 29:58] + buf_rspage_set[0] <= _T_2886 @[lsu_bus_buffer.scala 416:18] + buf_rspage_set[1] <= _T_2977 @[lsu_bus_buffer.scala 416:18] + buf_rspage_set[2] <= _T_3068 @[lsu_bus_buffer.scala 416:18] + buf_rspage_set[3] <= _T_3159 @[lsu_bus_buffer.scala 416:18] + node _T_3160 = bits(buf_rspage_set[0], 0, 0) @[lsu_bus_buffer.scala 420:84] + node _T_3161 = bits(buf_rspage[0], 0, 0) @[lsu_bus_buffer.scala 420:103] + node _T_3162 = or(_T_3160, _T_3161) @[lsu_bus_buffer.scala 420:88] + node _T_3163 = bits(buf_rspage_set[0], 1, 1) @[lsu_bus_buffer.scala 420:84] + node _T_3164 = bits(buf_rspage[0], 1, 1) @[lsu_bus_buffer.scala 420:103] + node _T_3165 = or(_T_3163, _T_3164) @[lsu_bus_buffer.scala 420:88] + node _T_3166 = bits(buf_rspage_set[0], 2, 2) @[lsu_bus_buffer.scala 420:84] + node _T_3167 = bits(buf_rspage[0], 2, 2) @[lsu_bus_buffer.scala 420:103] + node _T_3168 = or(_T_3166, _T_3167) @[lsu_bus_buffer.scala 420:88] + node _T_3169 = bits(buf_rspage_set[0], 3, 3) @[lsu_bus_buffer.scala 420:84] + node _T_3170 = bits(buf_rspage[0], 3, 3) @[lsu_bus_buffer.scala 420:103] + node _T_3171 = or(_T_3169, _T_3170) @[lsu_bus_buffer.scala 420:88] + node _T_3172 = cat(_T_3171, _T_3168) @[Cat.scala 29:58] + node _T_3173 = cat(_T_3172, _T_3165) @[Cat.scala 29:58] + node _T_3174 = cat(_T_3173, _T_3162) @[Cat.scala 29:58] + node _T_3175 = bits(buf_rspage_set[1], 0, 0) @[lsu_bus_buffer.scala 420:84] + node _T_3176 = bits(buf_rspage[1], 0, 0) @[lsu_bus_buffer.scala 420:103] + node _T_3177 = or(_T_3175, _T_3176) @[lsu_bus_buffer.scala 420:88] + node _T_3178 = bits(buf_rspage_set[1], 1, 1) @[lsu_bus_buffer.scala 420:84] + node _T_3179 = bits(buf_rspage[1], 1, 1) @[lsu_bus_buffer.scala 420:103] + node _T_3180 = or(_T_3178, _T_3179) @[lsu_bus_buffer.scala 420:88] + node _T_3181 = bits(buf_rspage_set[1], 2, 2) @[lsu_bus_buffer.scala 420:84] + node _T_3182 = bits(buf_rspage[1], 2, 2) @[lsu_bus_buffer.scala 420:103] + node _T_3183 = or(_T_3181, _T_3182) @[lsu_bus_buffer.scala 420:88] + node _T_3184 = bits(buf_rspage_set[1], 3, 3) @[lsu_bus_buffer.scala 420:84] + node _T_3185 = bits(buf_rspage[1], 3, 3) @[lsu_bus_buffer.scala 420:103] + node _T_3186 = or(_T_3184, _T_3185) @[lsu_bus_buffer.scala 420:88] + node _T_3187 = cat(_T_3186, _T_3183) @[Cat.scala 29:58] + node _T_3188 = cat(_T_3187, _T_3180) @[Cat.scala 29:58] + node _T_3189 = cat(_T_3188, _T_3177) @[Cat.scala 29:58] + node _T_3190 = bits(buf_rspage_set[2], 0, 0) @[lsu_bus_buffer.scala 420:84] + node _T_3191 = bits(buf_rspage[2], 0, 0) @[lsu_bus_buffer.scala 420:103] + node _T_3192 = or(_T_3190, _T_3191) @[lsu_bus_buffer.scala 420:88] + node _T_3193 = bits(buf_rspage_set[2], 1, 1) @[lsu_bus_buffer.scala 420:84] + node _T_3194 = bits(buf_rspage[2], 1, 1) @[lsu_bus_buffer.scala 420:103] + node _T_3195 = or(_T_3193, _T_3194) @[lsu_bus_buffer.scala 420:88] + node _T_3196 = bits(buf_rspage_set[2], 2, 2) @[lsu_bus_buffer.scala 420:84] + node _T_3197 = bits(buf_rspage[2], 2, 2) @[lsu_bus_buffer.scala 420:103] + node _T_3198 = or(_T_3196, _T_3197) @[lsu_bus_buffer.scala 420:88] + node _T_3199 = bits(buf_rspage_set[2], 3, 3) @[lsu_bus_buffer.scala 420:84] + node _T_3200 = bits(buf_rspage[2], 3, 3) @[lsu_bus_buffer.scala 420:103] + node _T_3201 = or(_T_3199, _T_3200) @[lsu_bus_buffer.scala 420:88] + node _T_3202 = cat(_T_3201, _T_3198) @[Cat.scala 29:58] + node _T_3203 = cat(_T_3202, _T_3195) @[Cat.scala 29:58] + node _T_3204 = cat(_T_3203, _T_3192) @[Cat.scala 29:58] + node _T_3205 = bits(buf_rspage_set[3], 0, 0) @[lsu_bus_buffer.scala 420:84] + node _T_3206 = bits(buf_rspage[3], 0, 0) @[lsu_bus_buffer.scala 420:103] + node _T_3207 = or(_T_3205, _T_3206) @[lsu_bus_buffer.scala 420:88] + node _T_3208 = bits(buf_rspage_set[3], 1, 1) @[lsu_bus_buffer.scala 420:84] + node _T_3209 = bits(buf_rspage[3], 1, 1) @[lsu_bus_buffer.scala 420:103] + node _T_3210 = or(_T_3208, _T_3209) @[lsu_bus_buffer.scala 420:88] + node _T_3211 = bits(buf_rspage_set[3], 2, 2) @[lsu_bus_buffer.scala 420:84] + node _T_3212 = bits(buf_rspage[3], 2, 2) @[lsu_bus_buffer.scala 420:103] + node _T_3213 = or(_T_3211, _T_3212) @[lsu_bus_buffer.scala 420:88] + node _T_3214 = bits(buf_rspage_set[3], 3, 3) @[lsu_bus_buffer.scala 420:84] + node _T_3215 = bits(buf_rspage[3], 3, 3) @[lsu_bus_buffer.scala 420:103] + node _T_3216 = or(_T_3214, _T_3215) @[lsu_bus_buffer.scala 420:88] + node _T_3217 = cat(_T_3216, _T_3213) @[Cat.scala 29:58] + node _T_3218 = cat(_T_3217, _T_3210) @[Cat.scala 29:58] + node _T_3219 = cat(_T_3218, _T_3207) @[Cat.scala 29:58] + buf_rspage_in[0] <= _T_3174 @[lsu_bus_buffer.scala 420:17] + buf_rspage_in[1] <= _T_3189 @[lsu_bus_buffer.scala 420:17] + buf_rspage_in[2] <= _T_3204 @[lsu_bus_buffer.scala 420:17] + buf_rspage_in[3] <= _T_3219 @[lsu_bus_buffer.scala 420:17] + node _T_3220 = bits(buf_rspageQ[0], 0, 0) @[lsu_bus_buffer.scala 421:78] + node _T_3221 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3222 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3223 = or(_T_3221, _T_3222) @[lsu_bus_buffer.scala 421:110] + node _T_3224 = eq(_T_3223, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3225 = and(_T_3220, _T_3224) @[lsu_bus_buffer.scala 421:82] + node _T_3226 = bits(buf_rspageQ[0], 1, 1) @[lsu_bus_buffer.scala 421:78] + node _T_3227 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3228 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3229 = or(_T_3227, _T_3228) @[lsu_bus_buffer.scala 421:110] + node _T_3230 = eq(_T_3229, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3231 = and(_T_3226, _T_3230) @[lsu_bus_buffer.scala 421:82] + node _T_3232 = bits(buf_rspageQ[0], 2, 2) @[lsu_bus_buffer.scala 421:78] + node _T_3233 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3234 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3235 = or(_T_3233, _T_3234) @[lsu_bus_buffer.scala 421:110] + node _T_3236 = eq(_T_3235, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3237 = and(_T_3232, _T_3236) @[lsu_bus_buffer.scala 421:82] + node _T_3238 = bits(buf_rspageQ[0], 3, 3) @[lsu_bus_buffer.scala 421:78] + node _T_3239 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3240 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3241 = or(_T_3239, _T_3240) @[lsu_bus_buffer.scala 421:110] + node _T_3242 = eq(_T_3241, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3243 = and(_T_3238, _T_3242) @[lsu_bus_buffer.scala 421:82] + node _T_3244 = cat(_T_3243, _T_3237) @[Cat.scala 29:58] + node _T_3245 = cat(_T_3244, _T_3231) @[Cat.scala 29:58] + node _T_3246 = cat(_T_3245, _T_3225) @[Cat.scala 29:58] + node _T_3247 = bits(buf_rspageQ[1], 0, 0) @[lsu_bus_buffer.scala 421:78] + node _T_3248 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3249 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3250 = or(_T_3248, _T_3249) @[lsu_bus_buffer.scala 421:110] + node _T_3251 = eq(_T_3250, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3252 = and(_T_3247, _T_3251) @[lsu_bus_buffer.scala 421:82] + node _T_3253 = bits(buf_rspageQ[1], 1, 1) @[lsu_bus_buffer.scala 421:78] + node _T_3254 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3255 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3256 = or(_T_3254, _T_3255) @[lsu_bus_buffer.scala 421:110] + node _T_3257 = eq(_T_3256, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3258 = and(_T_3253, _T_3257) @[lsu_bus_buffer.scala 421:82] + node _T_3259 = bits(buf_rspageQ[1], 2, 2) @[lsu_bus_buffer.scala 421:78] + node _T_3260 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3261 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3262 = or(_T_3260, _T_3261) @[lsu_bus_buffer.scala 421:110] + node _T_3263 = eq(_T_3262, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3264 = and(_T_3259, _T_3263) @[lsu_bus_buffer.scala 421:82] + node _T_3265 = bits(buf_rspageQ[1], 3, 3) @[lsu_bus_buffer.scala 421:78] + node _T_3266 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3267 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3268 = or(_T_3266, _T_3267) @[lsu_bus_buffer.scala 421:110] + node _T_3269 = eq(_T_3268, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3270 = and(_T_3265, _T_3269) @[lsu_bus_buffer.scala 421:82] + node _T_3271 = cat(_T_3270, _T_3264) @[Cat.scala 29:58] + node _T_3272 = cat(_T_3271, _T_3258) @[Cat.scala 29:58] + node _T_3273 = cat(_T_3272, _T_3252) @[Cat.scala 29:58] + node _T_3274 = bits(buf_rspageQ[2], 0, 0) @[lsu_bus_buffer.scala 421:78] + node _T_3275 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3276 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3277 = or(_T_3275, _T_3276) @[lsu_bus_buffer.scala 421:110] + node _T_3278 = eq(_T_3277, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3279 = and(_T_3274, _T_3278) @[lsu_bus_buffer.scala 421:82] + node _T_3280 = bits(buf_rspageQ[2], 1, 1) @[lsu_bus_buffer.scala 421:78] + node _T_3281 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3282 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3283 = or(_T_3281, _T_3282) @[lsu_bus_buffer.scala 421:110] + node _T_3284 = eq(_T_3283, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3285 = and(_T_3280, _T_3284) @[lsu_bus_buffer.scala 421:82] + node _T_3286 = bits(buf_rspageQ[2], 2, 2) @[lsu_bus_buffer.scala 421:78] + node _T_3287 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3288 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3289 = or(_T_3287, _T_3288) @[lsu_bus_buffer.scala 421:110] + node _T_3290 = eq(_T_3289, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3291 = and(_T_3286, _T_3290) @[lsu_bus_buffer.scala 421:82] + node _T_3292 = bits(buf_rspageQ[2], 3, 3) @[lsu_bus_buffer.scala 421:78] + node _T_3293 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3294 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3295 = or(_T_3293, _T_3294) @[lsu_bus_buffer.scala 421:110] + node _T_3296 = eq(_T_3295, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3297 = and(_T_3292, _T_3296) @[lsu_bus_buffer.scala 421:82] + node _T_3298 = cat(_T_3297, _T_3291) @[Cat.scala 29:58] + node _T_3299 = cat(_T_3298, _T_3285) @[Cat.scala 29:58] + node _T_3300 = cat(_T_3299, _T_3279) @[Cat.scala 29:58] + node _T_3301 = bits(buf_rspageQ[3], 0, 0) @[lsu_bus_buffer.scala 421:78] + node _T_3302 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3303 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3304 = or(_T_3302, _T_3303) @[lsu_bus_buffer.scala 421:110] + node _T_3305 = eq(_T_3304, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3306 = and(_T_3301, _T_3305) @[lsu_bus_buffer.scala 421:82] + node _T_3307 = bits(buf_rspageQ[3], 1, 1) @[lsu_bus_buffer.scala 421:78] + node _T_3308 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3309 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3310 = or(_T_3308, _T_3309) @[lsu_bus_buffer.scala 421:110] + node _T_3311 = eq(_T_3310, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3312 = and(_T_3307, _T_3311) @[lsu_bus_buffer.scala 421:82] + node _T_3313 = bits(buf_rspageQ[3], 2, 2) @[lsu_bus_buffer.scala 421:78] + node _T_3314 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3315 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3316 = or(_T_3314, _T_3315) @[lsu_bus_buffer.scala 421:110] + node _T_3317 = eq(_T_3316, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3318 = and(_T_3313, _T_3317) @[lsu_bus_buffer.scala 421:82] + node _T_3319 = bits(buf_rspageQ[3], 3, 3) @[lsu_bus_buffer.scala 421:78] + node _T_3320 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3321 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3322 = or(_T_3320, _T_3321) @[lsu_bus_buffer.scala 421:110] + node _T_3323 = eq(_T_3322, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3324 = and(_T_3319, _T_3323) @[lsu_bus_buffer.scala 421:82] + node _T_3325 = cat(_T_3324, _T_3318) @[Cat.scala 29:58] + node _T_3326 = cat(_T_3325, _T_3312) @[Cat.scala 29:58] + node _T_3327 = cat(_T_3326, _T_3306) @[Cat.scala 29:58] + buf_rspage[0] <= _T_3246 @[lsu_bus_buffer.scala 421:14] + buf_rspage[1] <= _T_3273 @[lsu_bus_buffer.scala 421:14] + buf_rspage[2] <= _T_3300 @[lsu_bus_buffer.scala 421:14] + buf_rspage[3] <= _T_3327 @[lsu_bus_buffer.scala 421:14] + node _T_3328 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:75] + node _T_3329 = and(ibuf_drain_vld, _T_3328) @[lsu_bus_buffer.scala 426:63] + node _T_3330 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 426:75] + node _T_3331 = and(ibuf_drain_vld, _T_3330) @[lsu_bus_buffer.scala 426:63] + node _T_3332 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 426:75] + node _T_3333 = and(ibuf_drain_vld, _T_3332) @[lsu_bus_buffer.scala 426:63] + node _T_3334 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 426:75] + node _T_3335 = and(ibuf_drain_vld, _T_3334) @[lsu_bus_buffer.scala 426:63] + node _T_3336 = cat(_T_3335, _T_3333) @[Cat.scala 29:58] + node _T_3337 = cat(_T_3336, _T_3331) @[Cat.scala 29:58] + node _T_3338 = cat(_T_3337, _T_3329) @[Cat.scala 29:58] + ibuf_drainvec_vld <= _T_3338 @[lsu_bus_buffer.scala 426:21] + node _T_3339 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 427:64] + node _T_3340 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 427:84] + node _T_3341 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 428:18] + node _T_3342 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:46] + node _T_3343 = and(_T_3341, _T_3342) @[lsu_bus_buffer.scala 428:35] + node _T_3344 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 428:71] + node _T_3345 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 428:94] + node _T_3346 = mux(_T_3343, _T_3344, _T_3345) @[lsu_bus_buffer.scala 428:8] + node _T_3347 = mux(_T_3339, _T_3340, _T_3346) @[lsu_bus_buffer.scala 427:46] + node _T_3348 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 427:64] + node _T_3349 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 427:84] + node _T_3350 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 428:18] + node _T_3351 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 428:46] + node _T_3352 = and(_T_3350, _T_3351) @[lsu_bus_buffer.scala 428:35] + node _T_3353 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 428:71] + node _T_3354 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 428:94] + node _T_3355 = mux(_T_3352, _T_3353, _T_3354) @[lsu_bus_buffer.scala 428:8] + node _T_3356 = mux(_T_3348, _T_3349, _T_3355) @[lsu_bus_buffer.scala 427:46] + node _T_3357 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 427:64] + node _T_3358 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 427:84] + node _T_3359 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 428:18] + node _T_3360 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 428:46] + node _T_3361 = and(_T_3359, _T_3360) @[lsu_bus_buffer.scala 428:35] + node _T_3362 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 428:71] + node _T_3363 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 428:94] + node _T_3364 = mux(_T_3361, _T_3362, _T_3363) @[lsu_bus_buffer.scala 428:8] + node _T_3365 = mux(_T_3357, _T_3358, _T_3364) @[lsu_bus_buffer.scala 427:46] + node _T_3366 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 427:64] + node _T_3367 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 427:84] + node _T_3368 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 428:18] + node _T_3369 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 428:46] + node _T_3370 = and(_T_3368, _T_3369) @[lsu_bus_buffer.scala 428:35] + node _T_3371 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 428:71] + node _T_3372 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 428:94] + node _T_3373 = mux(_T_3370, _T_3371, _T_3372) @[lsu_bus_buffer.scala 428:8] + node _T_3374 = mux(_T_3366, _T_3367, _T_3373) @[lsu_bus_buffer.scala 427:46] + buf_byteen_in[0] <= _T_3347 @[lsu_bus_buffer.scala 427:17] + buf_byteen_in[1] <= _T_3356 @[lsu_bus_buffer.scala 427:17] + buf_byteen_in[2] <= _T_3365 @[lsu_bus_buffer.scala 427:17] + buf_byteen_in[3] <= _T_3374 @[lsu_bus_buffer.scala 427:17] + node _T_3375 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 429:62] + node _T_3376 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:91] + node _T_3377 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 429:119] + node _T_3378 = and(_T_3376, _T_3377) @[lsu_bus_buffer.scala 429:108] + node _T_3379 = mux(_T_3378, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 429:81] + node _T_3380 = mux(_T_3375, ibuf_addr, _T_3379) @[lsu_bus_buffer.scala 429:44] + node _T_3381 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 429:62] + node _T_3382 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:91] + node _T_3383 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 429:119] + node _T_3384 = and(_T_3382, _T_3383) @[lsu_bus_buffer.scala 429:108] + node _T_3385 = mux(_T_3384, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 429:81] + node _T_3386 = mux(_T_3381, ibuf_addr, _T_3385) @[lsu_bus_buffer.scala 429:44] + node _T_3387 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 429:62] + node _T_3388 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:91] + node _T_3389 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 429:119] + node _T_3390 = and(_T_3388, _T_3389) @[lsu_bus_buffer.scala 429:108] + node _T_3391 = mux(_T_3390, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 429:81] + node _T_3392 = mux(_T_3387, ibuf_addr, _T_3391) @[lsu_bus_buffer.scala 429:44] + node _T_3393 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 429:62] + node _T_3394 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:91] + node _T_3395 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 429:119] + node _T_3396 = and(_T_3394, _T_3395) @[lsu_bus_buffer.scala 429:108] + node _T_3397 = mux(_T_3396, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 429:81] + node _T_3398 = mux(_T_3393, ibuf_addr, _T_3397) @[lsu_bus_buffer.scala 429:44] + buf_addr_in[0] <= _T_3380 @[lsu_bus_buffer.scala 429:15] + buf_addr_in[1] <= _T_3386 @[lsu_bus_buffer.scala 429:15] + buf_addr_in[2] <= _T_3392 @[lsu_bus_buffer.scala 429:15] + buf_addr_in[3] <= _T_3398 @[lsu_bus_buffer.scala 429:15] + node _T_3399 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 430:63] + node _T_3400 = mux(_T_3399, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 430:45] + node _T_3401 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 430:63] + node _T_3402 = mux(_T_3401, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 430:45] + node _T_3403 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 430:63] + node _T_3404 = mux(_T_3403, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 430:45] + node _T_3405 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 430:63] + node _T_3406 = mux(_T_3405, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 430:45] + node _T_3407 = cat(_T_3406, _T_3404) @[Cat.scala 29:58] + node _T_3408 = cat(_T_3407, _T_3402) @[Cat.scala 29:58] + node _T_3409 = cat(_T_3408, _T_3400) @[Cat.scala 29:58] + buf_dual_in <= _T_3409 @[lsu_bus_buffer.scala 430:15] + node _T_3410 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 431:65] + node _T_3411 = mux(_T_3410, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 431:47] + node _T_3412 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 431:65] + node _T_3413 = mux(_T_3412, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 431:47] + node _T_3414 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 431:65] + node _T_3415 = mux(_T_3414, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 431:47] + node _T_3416 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 431:65] + node _T_3417 = mux(_T_3416, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 431:47] + node _T_3418 = cat(_T_3417, _T_3415) @[Cat.scala 29:58] + node _T_3419 = cat(_T_3418, _T_3413) @[Cat.scala 29:58] + node _T_3420 = cat(_T_3419, _T_3411) @[Cat.scala 29:58] + buf_samedw_in <= _T_3420 @[lsu_bus_buffer.scala 431:17] + node _T_3421 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 432:66] + node _T_3422 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 432:84] + node _T_3423 = mux(_T_3421, _T_3422, io.no_dword_merge_r) @[lsu_bus_buffer.scala 432:48] + node _T_3424 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 432:66] + node _T_3425 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 432:84] + node _T_3426 = mux(_T_3424, _T_3425, io.no_dword_merge_r) @[lsu_bus_buffer.scala 432:48] + node _T_3427 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 432:66] + node _T_3428 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 432:84] + node _T_3429 = mux(_T_3427, _T_3428, io.no_dword_merge_r) @[lsu_bus_buffer.scala 432:48] + node _T_3430 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 432:66] + node _T_3431 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 432:84] + node _T_3432 = mux(_T_3430, _T_3431, io.no_dword_merge_r) @[lsu_bus_buffer.scala 432:48] + node _T_3433 = cat(_T_3432, _T_3429) @[Cat.scala 29:58] + node _T_3434 = cat(_T_3433, _T_3426) @[Cat.scala 29:58] + node _T_3435 = cat(_T_3434, _T_3423) @[Cat.scala 29:58] + buf_nomerge_in <= _T_3435 @[lsu_bus_buffer.scala 432:18] + node _T_3436 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 433:65] + node _T_3437 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:90] + node _T_3438 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 433:118] + node _T_3439 = and(_T_3437, _T_3438) @[lsu_bus_buffer.scala 433:107] + node _T_3440 = mux(_T_3436, ibuf_dual, _T_3439) @[lsu_bus_buffer.scala 433:47] + node _T_3441 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 433:65] + node _T_3442 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:90] + node _T_3443 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 433:118] + node _T_3444 = and(_T_3442, _T_3443) @[lsu_bus_buffer.scala 433:107] + node _T_3445 = mux(_T_3441, ibuf_dual, _T_3444) @[lsu_bus_buffer.scala 433:47] + node _T_3446 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 433:65] + node _T_3447 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:90] + node _T_3448 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 433:118] + node _T_3449 = and(_T_3447, _T_3448) @[lsu_bus_buffer.scala 433:107] + node _T_3450 = mux(_T_3446, ibuf_dual, _T_3449) @[lsu_bus_buffer.scala 433:47] + node _T_3451 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 433:65] + node _T_3452 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:90] + node _T_3453 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 433:118] + node _T_3454 = and(_T_3452, _T_3453) @[lsu_bus_buffer.scala 433:107] + node _T_3455 = mux(_T_3451, ibuf_dual, _T_3454) @[lsu_bus_buffer.scala 433:47] + node _T_3456 = cat(_T_3455, _T_3450) @[Cat.scala 29:58] + node _T_3457 = cat(_T_3456, _T_3445) @[Cat.scala 29:58] + node _T_3458 = cat(_T_3457, _T_3440) @[Cat.scala 29:58] + buf_dualhi_in <= _T_3458 @[lsu_bus_buffer.scala 433:17] + node _T_3459 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 434:65] + node _T_3460 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 434:97] + node _T_3461 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 434:125] + node _T_3462 = and(_T_3460, _T_3461) @[lsu_bus_buffer.scala 434:114] + node _T_3463 = mux(_T_3462, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 434:87] + node _T_3464 = mux(_T_3459, ibuf_dualtag, _T_3463) @[lsu_bus_buffer.scala 434:47] + node _T_3465 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 434:65] + node _T_3466 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 434:97] + node _T_3467 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 434:125] + node _T_3468 = and(_T_3466, _T_3467) @[lsu_bus_buffer.scala 434:114] + node _T_3469 = mux(_T_3468, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 434:87] + node _T_3470 = mux(_T_3465, ibuf_dualtag, _T_3469) @[lsu_bus_buffer.scala 434:47] + node _T_3471 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 434:65] + node _T_3472 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 434:97] + node _T_3473 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 434:125] + node _T_3474 = and(_T_3472, _T_3473) @[lsu_bus_buffer.scala 434:114] + node _T_3475 = mux(_T_3474, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 434:87] + node _T_3476 = mux(_T_3471, ibuf_dualtag, _T_3475) @[lsu_bus_buffer.scala 434:47] + node _T_3477 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 434:65] + node _T_3478 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 434:97] + node _T_3479 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 434:125] + node _T_3480 = and(_T_3478, _T_3479) @[lsu_bus_buffer.scala 434:114] + node _T_3481 = mux(_T_3480, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 434:87] + node _T_3482 = mux(_T_3477, ibuf_dualtag, _T_3481) @[lsu_bus_buffer.scala 434:47] + buf_dualtag_in[0] <= _T_3464 @[lsu_bus_buffer.scala 434:18] + buf_dualtag_in[1] <= _T_3470 @[lsu_bus_buffer.scala 434:18] + buf_dualtag_in[2] <= _T_3476 @[lsu_bus_buffer.scala 434:18] + buf_dualtag_in[3] <= _T_3482 @[lsu_bus_buffer.scala 434:18] + node _T_3483 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 435:69] + node _T_3484 = mux(_T_3483, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 435:51] + node _T_3485 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 435:69] + node _T_3486 = mux(_T_3485, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 435:51] + node _T_3487 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 435:69] + node _T_3488 = mux(_T_3487, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 435:51] + node _T_3489 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 435:69] + node _T_3490 = mux(_T_3489, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 435:51] + node _T_3491 = cat(_T_3490, _T_3488) @[Cat.scala 29:58] + node _T_3492 = cat(_T_3491, _T_3486) @[Cat.scala 29:58] + node _T_3493 = cat(_T_3492, _T_3484) @[Cat.scala 29:58] + buf_sideeffect_in <= _T_3493 @[lsu_bus_buffer.scala 435:21] + node _T_3494 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 436:65] + node _T_3495 = mux(_T_3494, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 436:47] + node _T_3496 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 436:65] + node _T_3497 = mux(_T_3496, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 436:47] + node _T_3498 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 436:65] + node _T_3499 = mux(_T_3498, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 436:47] + node _T_3500 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 436:65] + node _T_3501 = mux(_T_3500, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 436:47] + node _T_3502 = cat(_T_3501, _T_3499) @[Cat.scala 29:58] + node _T_3503 = cat(_T_3502, _T_3497) @[Cat.scala 29:58] + node _T_3504 = cat(_T_3503, _T_3495) @[Cat.scala 29:58] + buf_unsign_in <= _T_3504 @[lsu_bus_buffer.scala 436:17] + node _T_3505 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 437:60] + node _T_3506 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node _T_3507 = mux(_T_3505, ibuf_sz, _T_3506) @[lsu_bus_buffer.scala 437:42] + node _T_3508 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 437:60] + node _T_3509 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node _T_3510 = mux(_T_3508, ibuf_sz, _T_3509) @[lsu_bus_buffer.scala 437:42] + node _T_3511 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 437:60] + node _T_3512 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node _T_3513 = mux(_T_3511, ibuf_sz, _T_3512) @[lsu_bus_buffer.scala 437:42] + node _T_3514 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 437:60] + node _T_3515 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node _T_3516 = mux(_T_3514, ibuf_sz, _T_3515) @[lsu_bus_buffer.scala 437:42] + buf_sz_in[0] <= _T_3507 @[lsu_bus_buffer.scala 437:13] + buf_sz_in[1] <= _T_3510 @[lsu_bus_buffer.scala 437:13] + buf_sz_in[2] <= _T_3513 @[lsu_bus_buffer.scala 437:13] + buf_sz_in[3] <= _T_3516 @[lsu_bus_buffer.scala 437:13] + node _T_3517 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 438:64] + node _T_3518 = mux(_T_3517, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 438:46] + node _T_3519 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 438:64] + node _T_3520 = mux(_T_3519, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 438:46] + node _T_3521 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 438:64] + node _T_3522 = mux(_T_3521, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 438:46] + node _T_3523 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 438:64] + node _T_3524 = mux(_T_3523, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 438:46] + node _T_3525 = cat(_T_3524, _T_3522) @[Cat.scala 29:58] + node _T_3526 = cat(_T_3525, _T_3520) @[Cat.scala 29:58] + node _T_3527 = cat(_T_3526, _T_3518) @[Cat.scala 29:58] + buf_write_in <= _T_3527 @[lsu_bus_buffer.scala 438:16] + node _T_3528 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3528 : @[Conditional.scala 40:58] + node _T_3529 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 443:56] + node _T_3530 = mux(_T_3529, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 443:31] + buf_nxtstate[0] <= _T_3530 @[lsu_bus_buffer.scala 443:25] + node _T_3531 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 444:45] + node _T_3532 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 444:77] + node _T_3533 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 444:97] + node _T_3534 = and(_T_3532, _T_3533) @[lsu_bus_buffer.scala 444:95] + node _T_3535 = eq(UInt<1>("h00"), WrPtr0_r) @[lsu_bus_buffer.scala 444:117] + node _T_3536 = and(_T_3534, _T_3535) @[lsu_bus_buffer.scala 444:112] + node _T_3537 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 444:144] + node _T_3538 = eq(UInt<1>("h00"), WrPtr1_r) @[lsu_bus_buffer.scala 444:166] + node _T_3539 = and(_T_3537, _T_3538) @[lsu_bus_buffer.scala 444:161] + node _T_3540 = or(_T_3536, _T_3539) @[lsu_bus_buffer.scala 444:132] + node _T_3541 = and(_T_3531, _T_3540) @[lsu_bus_buffer.scala 444:63] + node _T_3542 = eq(UInt<1>("h00"), ibuf_tag) @[lsu_bus_buffer.scala 444:206] + node _T_3543 = and(ibuf_drain_vld, _T_3542) @[lsu_bus_buffer.scala 444:201] + node _T_3544 = or(_T_3541, _T_3543) @[lsu_bus_buffer.scala 444:183] + buf_state_en[0] <= _T_3544 @[lsu_bus_buffer.scala 444:25] + buf_wr_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 445:22] + buf_data_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 446:24] + node _T_3545 = eq(UInt<1>("h00"), ibuf_tag) @[lsu_bus_buffer.scala 447:52] + node _T_3546 = and(ibuf_drain_vld, _T_3545) @[lsu_bus_buffer.scala 447:47] + node _T_3547 = bits(_T_3546, 0, 0) @[lsu_bus_buffer.scala 447:73] + node _T_3548 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 447:90] + node _T_3549 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 447:114] + node _T_3550 = mux(_T_3547, _T_3548, _T_3549) @[lsu_bus_buffer.scala 447:30] + buf_data_in[0] <= _T_3550 @[lsu_bus_buffer.scala 447:24] + skip @[Conditional.scala 40:58] + else : @[Conditional.scala 39:67] + node _T_3551 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3551 : @[Conditional.scala 39:67] + node _T_3552 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 450:60] + node _T_3553 = mux(_T_3552, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 450:31] + buf_nxtstate[0] <= _T_3553 @[lsu_bus_buffer.scala 450:25] + node _T_3554 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 451:46] + buf_state_en[0] <= _T_3554 @[lsu_bus_buffer.scala 451:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3555 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3555 : @[Conditional.scala 39:67] + node _T_3556 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 454:60] + node _T_3557 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 454:89] + node _T_3558 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 454:124] + node _T_3559 = and(_T_3557, _T_3558) @[lsu_bus_buffer.scala 454:104] + node _T_3560 = mux(_T_3559, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 454:75] + node _T_3561 = mux(_T_3556, UInt<3>("h00"), _T_3560) @[lsu_bus_buffer.scala 454:31] + buf_nxtstate[0] <= _T_3561 @[lsu_bus_buffer.scala 454:25] + node _T_3562 = eq(obuf_tag0, UInt<3>("h00")) @[lsu_bus_buffer.scala 455:48] + node _T_3563 = eq(obuf_tag1, UInt<3>("h00")) @[lsu_bus_buffer.scala 455:104] + node _T_3564 = and(obuf_merge, _T_3563) @[lsu_bus_buffer.scala 455:91] + node _T_3565 = or(_T_3562, _T_3564) @[lsu_bus_buffer.scala 455:77] + node _T_3566 = and(_T_3565, obuf_valid) @[lsu_bus_buffer.scala 455:135] + node _T_3567 = and(_T_3566, obuf_wr_enQ) @[lsu_bus_buffer.scala 455:148] + buf_cmd_state_bus_en[0] <= _T_3567 @[lsu_bus_buffer.scala 455:33] + buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[lsu_bus_buffer.scala 456:29] + node _T_3568 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 457:49] + node _T_3569 = or(_T_3568, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 457:70] + buf_state_en[0] <= _T_3569 @[lsu_bus_buffer.scala 457:25] + buf_ldfwd_in[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 458:25] + node _T_3570 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 459:56] + node _T_3571 = eq(_T_3570, UInt<1>("h00")) @[lsu_bus_buffer.scala 459:46] + node _T_3572 = and(buf_state_en[0], _T_3571) @[lsu_bus_buffer.scala 459:44] + node _T_3573 = and(_T_3572, obuf_nosend) @[lsu_bus_buffer.scala 459:60] + node _T_3574 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 459:76] + node _T_3575 = and(_T_3573, _T_3574) @[lsu_bus_buffer.scala 459:74] + buf_ldfwd_en[0] <= _T_3575 @[lsu_bus_buffer.scala 459:25] + node _T_3576 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 460:46] + buf_ldfwdtag_in[0] <= _T_3576 @[lsu_bus_buffer.scala 460:28] + node _T_3577 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:47] + node _T_3578 = and(_T_3577, obuf_nosend) @[lsu_bus_buffer.scala 461:67] + node _T_3579 = and(_T_3578, bus_rsp_read) @[lsu_bus_buffer.scala 461:81] + buf_data_en[0] <= _T_3579 @[lsu_bus_buffer.scala 461:24] + node _T_3580 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 462:48] + node _T_3581 = and(_T_3580, obuf_nosend) @[lsu_bus_buffer.scala 462:68] + node _T_3582 = and(_T_3581, bus_rsp_read_error) @[lsu_bus_buffer.scala 462:82] + buf_error_en[0] <= _T_3582 @[lsu_bus_buffer.scala 462:25] + node _T_3583 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 463:61] + node _T_3584 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 463:85] + node _T_3585 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 463:103] + node _T_3586 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 463:126] + node _T_3587 = mux(_T_3584, _T_3585, _T_3586) @[lsu_bus_buffer.scala 463:73] + node _T_3588 = mux(buf_error_en[0], _T_3583, _T_3587) @[lsu_bus_buffer.scala 463:30] + buf_data_in[0] <= _T_3588 @[lsu_bus_buffer.scala 463:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3589 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3589 : @[Conditional.scala 39:67] + node _T_3590 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 466:67] + node _T_3591 = and(UInt<1>("h01"), bus_rsp_write_error) @[lsu_bus_buffer.scala 466:94] + node _T_3592 = eq(_T_3591, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:73] + node _T_3593 = and(_T_3590, _T_3592) @[lsu_bus_buffer.scala 466:71] + node _T_3594 = or(io.dec_tlu_force_halt, _T_3593) @[lsu_bus_buffer.scala 466:55] + node _T_3595 = bits(_T_3594, 0, 0) @[lsu_bus_buffer.scala 466:125] + node _T_3596 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 467:30] + node _T_3597 = and(buf_dual[0], _T_3596) @[lsu_bus_buffer.scala 467:28] + node _T_3598 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 467:57] + node _T_3599 = eq(_T_3598, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:47] + node _T_3600 = and(_T_3597, _T_3599) @[lsu_bus_buffer.scala 467:45] + node _T_3601 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 467:90] + node _T_3602 = and(_T_3600, _T_3601) @[lsu_bus_buffer.scala 467:61] + node _T_3603 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 468:27] + node _T_3604 = or(_T_3603, any_done_wait_state) @[lsu_bus_buffer.scala 468:31] + node _T_3605 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 468:70] + node _T_3606 = and(buf_dual[0], _T_3605) @[lsu_bus_buffer.scala 468:68] + node _T_3607 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 468:97] + node _T_3608 = eq(_T_3607, UInt<1>("h00")) @[lsu_bus_buffer.scala 468:87] + node _T_3609 = and(_T_3606, _T_3608) @[lsu_bus_buffer.scala 468:85] + node _T_3610 = eq(buf_dualtag[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_3611 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_3612 = eq(buf_dualtag[0], UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_3613 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_3614 = eq(buf_dualtag[0], UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_3615 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_3616 = eq(buf_dualtag[0], UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_3617 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_3618 = mux(_T_3610, _T_3611, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3619 = mux(_T_3612, _T_3613, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3620 = mux(_T_3614, _T_3615, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3621 = mux(_T_3616, _T_3617, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3622 = or(_T_3618, _T_3619) @[Mux.scala 27:72] + node _T_3623 = or(_T_3622, _T_3620) @[Mux.scala 27:72] + node _T_3624 = or(_T_3623, _T_3621) @[Mux.scala 27:72] + wire _T_3625 : UInt<1> @[Mux.scala 27:72] + _T_3625 <= _T_3624 @[Mux.scala 27:72] + node _T_3626 = and(_T_3609, _T_3625) @[lsu_bus_buffer.scala 468:101] + node _T_3627 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 468:167] + node _T_3628 = and(_T_3626, _T_3627) @[lsu_bus_buffer.scala 468:138] + node _T_3629 = and(_T_3628, any_done_wait_state) @[lsu_bus_buffer.scala 468:187] + node _T_3630 = or(_T_3604, _T_3629) @[lsu_bus_buffer.scala 468:53] + node _T_3631 = mux(_T_3630, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 468:16] + node _T_3632 = mux(_T_3602, UInt<3>("h04"), _T_3631) @[lsu_bus_buffer.scala 467:14] + node _T_3633 = mux(_T_3595, UInt<3>("h00"), _T_3632) @[lsu_bus_buffer.scala 466:31] + buf_nxtstate[0] <= _T_3633 @[lsu_bus_buffer.scala 466:25] + node _T_3634 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 469:73] + node _T_3635 = and(bus_rsp_write, _T_3634) @[lsu_bus_buffer.scala 469:52] + node _T_3636 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 470:46] + node _T_3637 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 471:23] + node _T_3638 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 471:47] + node _T_3639 = and(_T_3637, _T_3638) @[lsu_bus_buffer.scala 471:27] + node _T_3640 = or(_T_3636, _T_3639) @[lsu_bus_buffer.scala 470:77] + node _T_3641 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 472:26] + node _T_3642 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 472:54] + node _T_3643 = not(_T_3642) @[lsu_bus_buffer.scala 472:44] + node _T_3644 = and(_T_3641, _T_3643) @[lsu_bus_buffer.scala 472:42] + node _T_3645 = and(_T_3644, buf_samedw[0]) @[lsu_bus_buffer.scala 472:58] + node _T_3646 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 472:94] + node _T_3647 = and(_T_3645, _T_3646) @[lsu_bus_buffer.scala 472:74] + node _T_3648 = or(_T_3640, _T_3647) @[lsu_bus_buffer.scala 471:71] + node _T_3649 = and(bus_rsp_read, _T_3648) @[lsu_bus_buffer.scala 470:25] + node _T_3650 = or(_T_3635, _T_3649) @[lsu_bus_buffer.scala 469:105] + buf_resp_state_bus_en[0] <= _T_3650 @[lsu_bus_buffer.scala 469:34] + buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[lsu_bus_buffer.scala 473:29] + node _T_3651 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 474:49] + node _T_3652 = or(_T_3651, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 474:70] + buf_state_en[0] <= _T_3652 @[lsu_bus_buffer.scala 474:25] + node _T_3653 = and(buf_state_bus_en[0], bus_rsp_read) @[lsu_bus_buffer.scala 475:47] + node _T_3654 = and(_T_3653, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:62] + buf_data_en[0] <= _T_3654 @[lsu_bus_buffer.scala 475:24] + node _T_3655 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 476:48] + node _T_3656 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 476:111] + node _T_3657 = and(bus_rsp_read_error, _T_3656) @[lsu_bus_buffer.scala 476:91] + node _T_3658 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 477:42] + node _T_3659 = and(bus_rsp_read_error, _T_3658) @[lsu_bus_buffer.scala 477:31] + node _T_3660 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 477:66] + node _T_3661 = and(_T_3659, _T_3660) @[lsu_bus_buffer.scala 477:46] + node _T_3662 = or(_T_3657, _T_3661) @[lsu_bus_buffer.scala 476:143] + node _T_3663 = and(bus_rsp_write_error, UInt<1>("h01")) @[lsu_bus_buffer.scala 478:32] + node _T_3664 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 478:74] + node _T_3665 = and(_T_3663, _T_3664) @[lsu_bus_buffer.scala 478:53] + node _T_3666 = or(_T_3662, _T_3665) @[lsu_bus_buffer.scala 477:88] + node _T_3667 = and(_T_3655, _T_3666) @[lsu_bus_buffer.scala 476:68] + buf_error_en[0] <= _T_3667 @[lsu_bus_buffer.scala 476:25] + node _T_3668 = eq(buf_error_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 479:50] + node _T_3669 = and(buf_state_en[0], _T_3668) @[lsu_bus_buffer.scala 479:48] + node _T_3670 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 479:84] + node _T_3671 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 479:102] + node _T_3672 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 479:125] + node _T_3673 = mux(_T_3670, _T_3671, _T_3672) @[lsu_bus_buffer.scala 479:72] + node _T_3674 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 479:148] + node _T_3675 = mux(_T_3669, _T_3673, _T_3674) @[lsu_bus_buffer.scala 479:30] + buf_data_in[0] <= _T_3675 @[lsu_bus_buffer.scala 479:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3676 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3676 : @[Conditional.scala 39:67] + node _T_3677 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 482:60] + node _T_3678 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 482:86] + node _T_3679 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 482:101] + node _T_3680 = bits(_T_3679, 0, 0) @[lsu_bus_buffer.scala 482:101] + node _T_3681 = or(_T_3678, _T_3680) @[lsu_bus_buffer.scala 482:90] + node _T_3682 = or(_T_3681, any_done_wait_state) @[lsu_bus_buffer.scala 482:118] + node _T_3683 = mux(_T_3682, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 482:75] + node _T_3684 = mux(_T_3677, UInt<3>("h00"), _T_3683) @[lsu_bus_buffer.scala 482:31] + buf_nxtstate[0] <= _T_3684 @[lsu_bus_buffer.scala 482:25] + node _T_3685 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 483:66] + node _T_3686 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 484:21] + node _T_3687 = bits(_T_3686, 0, 0) @[lsu_bus_buffer.scala 484:21] + node _T_3688 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[lsu_bus_buffer.scala 484:58] + node _T_3689 = and(_T_3687, _T_3688) @[lsu_bus_buffer.scala 484:38] + node _T_3690 = or(_T_3685, _T_3689) @[lsu_bus_buffer.scala 483:95] + node _T_3691 = and(bus_rsp_read, _T_3690) @[lsu_bus_buffer.scala 483:45] + buf_state_bus_en[0] <= _T_3691 @[lsu_bus_buffer.scala 483:29] + node _T_3692 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 485:49] + node _T_3693 = or(_T_3692, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 485:70] + buf_state_en[0] <= _T_3693 @[lsu_bus_buffer.scala 485:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3694 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3694 : @[Conditional.scala 39:67] + node _T_3695 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 488:60] + node _T_3696 = mux(_T_3695, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 488:31] + buf_nxtstate[0] <= _T_3696 @[lsu_bus_buffer.scala 488:25] + node _T_3697 = eq(RspPtr, UInt<2>("h00")) @[lsu_bus_buffer.scala 489:37] + node _T_3698 = eq(buf_dualtag[0], RspPtr) @[lsu_bus_buffer.scala 489:98] + node _T_3699 = and(buf_dual[0], _T_3698) @[lsu_bus_buffer.scala 489:80] + node _T_3700 = or(_T_3697, _T_3699) @[lsu_bus_buffer.scala 489:65] + node _T_3701 = or(_T_3700, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 489:112] + buf_state_en[0] <= _T_3701 @[lsu_bus_buffer.scala 489:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3702 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3702 : @[Conditional.scala 39:67] + buf_nxtstate[0] <= UInt<3>("h00") @[lsu_bus_buffer.scala 492:25] + buf_rst[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 493:20] + buf_state_en[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 494:25] + buf_ldfwd_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 495:25] + buf_ldfwd_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 496:25] + skip @[Conditional.scala 39:67] + node _T_3703 = bits(buf_state_en[0], 0, 0) @[lsu_bus_buffer.scala 499:108] + reg _T_3704 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3703 : @[Reg.scala 28:19] + _T_3704 <= buf_nxtstate[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_state[0] <= _T_3704 @[lsu_bus_buffer.scala 499:18] + reg _T_3705 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 500:60] + _T_3705 <= buf_age_in_0 @[lsu_bus_buffer.scala 500:60] + buf_ageQ[0] <= _T_3705 @[lsu_bus_buffer.scala 500:17] + reg _T_3706 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 501:63] + _T_3706 <= buf_rspage_in[0] @[lsu_bus_buffer.scala 501:63] + buf_rspageQ[0] <= _T_3706 @[lsu_bus_buffer.scala 501:20] + node _T_3707 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 502:109] + reg _T_3708 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3707 : @[Reg.scala 28:19] + _T_3708 <= buf_dualtag_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualtag[0] <= _T_3708 @[lsu_bus_buffer.scala 502:20] + node _T_3709 = bits(buf_dual_in, 0, 0) @[lsu_bus_buffer.scala 503:74] + node _T_3710 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 503:107] + reg _T_3711 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3710 : @[Reg.scala 28:19] + _T_3711 <= _T_3709 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dual[0] <= _T_3711 @[lsu_bus_buffer.scala 503:17] + node _T_3712 = bits(buf_samedw_in, 0, 0) @[lsu_bus_buffer.scala 504:78] + node _T_3713 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 504:111] + reg _T_3714 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3713 : @[Reg.scala 28:19] + _T_3714 <= _T_3712 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_samedw[0] <= _T_3714 @[lsu_bus_buffer.scala 504:19] + node _T_3715 = bits(buf_nomerge_in, 0, 0) @[lsu_bus_buffer.scala 505:80] + node _T_3716 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 505:113] + reg _T_3717 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3716 : @[Reg.scala 28:19] + _T_3717 <= _T_3715 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_nomerge[0] <= _T_3717 @[lsu_bus_buffer.scala 505:20] + node _T_3718 = bits(buf_dualhi_in, 0, 0) @[lsu_bus_buffer.scala 506:78] + node _T_3719 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 506:111] + reg _T_3720 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3719 : @[Reg.scala 28:19] + _T_3720 <= _T_3718 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[0] <= _T_3720 @[lsu_bus_buffer.scala 506:19] + node _T_3721 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3721 : @[Conditional.scala 40:58] + node _T_3722 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 443:56] + node _T_3723 = mux(_T_3722, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 443:31] + buf_nxtstate[1] <= _T_3723 @[lsu_bus_buffer.scala 443:25] + node _T_3724 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 444:45] + node _T_3725 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 444:77] + node _T_3726 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 444:97] + node _T_3727 = and(_T_3725, _T_3726) @[lsu_bus_buffer.scala 444:95] + node _T_3728 = eq(UInt<1>("h01"), WrPtr0_r) @[lsu_bus_buffer.scala 444:117] + node _T_3729 = and(_T_3727, _T_3728) @[lsu_bus_buffer.scala 444:112] + node _T_3730 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 444:144] + node _T_3731 = eq(UInt<1>("h01"), WrPtr1_r) @[lsu_bus_buffer.scala 444:166] + node _T_3732 = and(_T_3730, _T_3731) @[lsu_bus_buffer.scala 444:161] + node _T_3733 = or(_T_3729, _T_3732) @[lsu_bus_buffer.scala 444:132] + node _T_3734 = and(_T_3724, _T_3733) @[lsu_bus_buffer.scala 444:63] + node _T_3735 = eq(UInt<1>("h01"), ibuf_tag) @[lsu_bus_buffer.scala 444:206] + node _T_3736 = and(ibuf_drain_vld, _T_3735) @[lsu_bus_buffer.scala 444:201] + node _T_3737 = or(_T_3734, _T_3736) @[lsu_bus_buffer.scala 444:183] + buf_state_en[1] <= _T_3737 @[lsu_bus_buffer.scala 444:25] + buf_wr_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 445:22] + buf_data_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 446:24] + node _T_3738 = eq(UInt<1>("h01"), ibuf_tag) @[lsu_bus_buffer.scala 447:52] + node _T_3739 = and(ibuf_drain_vld, _T_3738) @[lsu_bus_buffer.scala 447:47] + node _T_3740 = bits(_T_3739, 0, 0) @[lsu_bus_buffer.scala 447:73] + node _T_3741 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 447:90] + node _T_3742 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 447:114] + node _T_3743 = mux(_T_3740, _T_3741, _T_3742) @[lsu_bus_buffer.scala 447:30] + buf_data_in[1] <= _T_3743 @[lsu_bus_buffer.scala 447:24] + skip @[Conditional.scala 40:58] + else : @[Conditional.scala 39:67] + node _T_3744 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3744 : @[Conditional.scala 39:67] + node _T_3745 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 450:60] + node _T_3746 = mux(_T_3745, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 450:31] + buf_nxtstate[1] <= _T_3746 @[lsu_bus_buffer.scala 450:25] + node _T_3747 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 451:46] + buf_state_en[1] <= _T_3747 @[lsu_bus_buffer.scala 451:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3748 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3748 : @[Conditional.scala 39:67] + node _T_3749 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 454:60] + node _T_3750 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 454:89] + node _T_3751 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 454:124] + node _T_3752 = and(_T_3750, _T_3751) @[lsu_bus_buffer.scala 454:104] + node _T_3753 = mux(_T_3752, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 454:75] + node _T_3754 = mux(_T_3749, UInt<3>("h00"), _T_3753) @[lsu_bus_buffer.scala 454:31] + buf_nxtstate[1] <= _T_3754 @[lsu_bus_buffer.scala 454:25] + node _T_3755 = eq(obuf_tag0, UInt<3>("h01")) @[lsu_bus_buffer.scala 455:48] + node _T_3756 = eq(obuf_tag1, UInt<3>("h01")) @[lsu_bus_buffer.scala 455:104] + node _T_3757 = and(obuf_merge, _T_3756) @[lsu_bus_buffer.scala 455:91] + node _T_3758 = or(_T_3755, _T_3757) @[lsu_bus_buffer.scala 455:77] + node _T_3759 = and(_T_3758, obuf_valid) @[lsu_bus_buffer.scala 455:135] + node _T_3760 = and(_T_3759, obuf_wr_enQ) @[lsu_bus_buffer.scala 455:148] + buf_cmd_state_bus_en[1] <= _T_3760 @[lsu_bus_buffer.scala 455:33] + buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[lsu_bus_buffer.scala 456:29] + node _T_3761 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 457:49] + node _T_3762 = or(_T_3761, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 457:70] + buf_state_en[1] <= _T_3762 @[lsu_bus_buffer.scala 457:25] + buf_ldfwd_in[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 458:25] + node _T_3763 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 459:56] + node _T_3764 = eq(_T_3763, UInt<1>("h00")) @[lsu_bus_buffer.scala 459:46] + node _T_3765 = and(buf_state_en[1], _T_3764) @[lsu_bus_buffer.scala 459:44] + node _T_3766 = and(_T_3765, obuf_nosend) @[lsu_bus_buffer.scala 459:60] + node _T_3767 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 459:76] + node _T_3768 = and(_T_3766, _T_3767) @[lsu_bus_buffer.scala 459:74] + buf_ldfwd_en[1] <= _T_3768 @[lsu_bus_buffer.scala 459:25] + node _T_3769 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 460:46] + buf_ldfwdtag_in[1] <= _T_3769 @[lsu_bus_buffer.scala 460:28] + node _T_3770 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:47] + node _T_3771 = and(_T_3770, obuf_nosend) @[lsu_bus_buffer.scala 461:67] + node _T_3772 = and(_T_3771, bus_rsp_read) @[lsu_bus_buffer.scala 461:81] + buf_data_en[1] <= _T_3772 @[lsu_bus_buffer.scala 461:24] + node _T_3773 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 462:48] + node _T_3774 = and(_T_3773, obuf_nosend) @[lsu_bus_buffer.scala 462:68] + node _T_3775 = and(_T_3774, bus_rsp_read_error) @[lsu_bus_buffer.scala 462:82] + buf_error_en[1] <= _T_3775 @[lsu_bus_buffer.scala 462:25] + node _T_3776 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 463:61] + node _T_3777 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 463:85] + node _T_3778 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 463:103] + node _T_3779 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 463:126] + node _T_3780 = mux(_T_3777, _T_3778, _T_3779) @[lsu_bus_buffer.scala 463:73] + node _T_3781 = mux(buf_error_en[1], _T_3776, _T_3780) @[lsu_bus_buffer.scala 463:30] + buf_data_in[1] <= _T_3781 @[lsu_bus_buffer.scala 463:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3782 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3782 : @[Conditional.scala 39:67] + node _T_3783 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 466:67] + node _T_3784 = and(UInt<1>("h01"), bus_rsp_write_error) @[lsu_bus_buffer.scala 466:94] + node _T_3785 = eq(_T_3784, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:73] + node _T_3786 = and(_T_3783, _T_3785) @[lsu_bus_buffer.scala 466:71] + node _T_3787 = or(io.dec_tlu_force_halt, _T_3786) @[lsu_bus_buffer.scala 466:55] + node _T_3788 = bits(_T_3787, 0, 0) @[lsu_bus_buffer.scala 466:125] + node _T_3789 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 467:30] + node _T_3790 = and(buf_dual[1], _T_3789) @[lsu_bus_buffer.scala 467:28] + node _T_3791 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 467:57] + node _T_3792 = eq(_T_3791, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:47] + node _T_3793 = and(_T_3790, _T_3792) @[lsu_bus_buffer.scala 467:45] + node _T_3794 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 467:90] + node _T_3795 = and(_T_3793, _T_3794) @[lsu_bus_buffer.scala 467:61] + node _T_3796 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 468:27] + node _T_3797 = or(_T_3796, any_done_wait_state) @[lsu_bus_buffer.scala 468:31] + node _T_3798 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 468:70] + node _T_3799 = and(buf_dual[1], _T_3798) @[lsu_bus_buffer.scala 468:68] + node _T_3800 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 468:97] + node _T_3801 = eq(_T_3800, UInt<1>("h00")) @[lsu_bus_buffer.scala 468:87] + node _T_3802 = and(_T_3799, _T_3801) @[lsu_bus_buffer.scala 468:85] + node _T_3803 = eq(buf_dualtag[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_3804 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_3805 = eq(buf_dualtag[1], UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_3806 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_3807 = eq(buf_dualtag[1], UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_3808 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_3809 = eq(buf_dualtag[1], UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_3810 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_3811 = mux(_T_3803, _T_3804, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3812 = mux(_T_3805, _T_3806, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3813 = mux(_T_3807, _T_3808, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3814 = mux(_T_3809, _T_3810, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3815 = or(_T_3811, _T_3812) @[Mux.scala 27:72] + node _T_3816 = or(_T_3815, _T_3813) @[Mux.scala 27:72] + node _T_3817 = or(_T_3816, _T_3814) @[Mux.scala 27:72] + wire _T_3818 : UInt<1> @[Mux.scala 27:72] + _T_3818 <= _T_3817 @[Mux.scala 27:72] + node _T_3819 = and(_T_3802, _T_3818) @[lsu_bus_buffer.scala 468:101] + node _T_3820 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 468:167] + node _T_3821 = and(_T_3819, _T_3820) @[lsu_bus_buffer.scala 468:138] + node _T_3822 = and(_T_3821, any_done_wait_state) @[lsu_bus_buffer.scala 468:187] + node _T_3823 = or(_T_3797, _T_3822) @[lsu_bus_buffer.scala 468:53] + node _T_3824 = mux(_T_3823, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 468:16] + node _T_3825 = mux(_T_3795, UInt<3>("h04"), _T_3824) @[lsu_bus_buffer.scala 467:14] + node _T_3826 = mux(_T_3788, UInt<3>("h00"), _T_3825) @[lsu_bus_buffer.scala 466:31] + buf_nxtstate[1] <= _T_3826 @[lsu_bus_buffer.scala 466:25] + node _T_3827 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 469:73] + node _T_3828 = and(bus_rsp_write, _T_3827) @[lsu_bus_buffer.scala 469:52] + node _T_3829 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 470:46] + node _T_3830 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 471:23] + node _T_3831 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 471:47] + node _T_3832 = and(_T_3830, _T_3831) @[lsu_bus_buffer.scala 471:27] + node _T_3833 = or(_T_3829, _T_3832) @[lsu_bus_buffer.scala 470:77] + node _T_3834 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 472:26] + node _T_3835 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 472:54] + node _T_3836 = not(_T_3835) @[lsu_bus_buffer.scala 472:44] + node _T_3837 = and(_T_3834, _T_3836) @[lsu_bus_buffer.scala 472:42] + node _T_3838 = and(_T_3837, buf_samedw[1]) @[lsu_bus_buffer.scala 472:58] + node _T_3839 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 472:94] + node _T_3840 = and(_T_3838, _T_3839) @[lsu_bus_buffer.scala 472:74] + node _T_3841 = or(_T_3833, _T_3840) @[lsu_bus_buffer.scala 471:71] + node _T_3842 = and(bus_rsp_read, _T_3841) @[lsu_bus_buffer.scala 470:25] + node _T_3843 = or(_T_3828, _T_3842) @[lsu_bus_buffer.scala 469:105] + buf_resp_state_bus_en[1] <= _T_3843 @[lsu_bus_buffer.scala 469:34] + buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[lsu_bus_buffer.scala 473:29] + node _T_3844 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 474:49] + node _T_3845 = or(_T_3844, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 474:70] + buf_state_en[1] <= _T_3845 @[lsu_bus_buffer.scala 474:25] + node _T_3846 = and(buf_state_bus_en[1], bus_rsp_read) @[lsu_bus_buffer.scala 475:47] + node _T_3847 = and(_T_3846, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:62] + buf_data_en[1] <= _T_3847 @[lsu_bus_buffer.scala 475:24] + node _T_3848 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 476:48] + node _T_3849 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 476:111] + node _T_3850 = and(bus_rsp_read_error, _T_3849) @[lsu_bus_buffer.scala 476:91] + node _T_3851 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 477:42] + node _T_3852 = and(bus_rsp_read_error, _T_3851) @[lsu_bus_buffer.scala 477:31] + node _T_3853 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 477:66] + node _T_3854 = and(_T_3852, _T_3853) @[lsu_bus_buffer.scala 477:46] + node _T_3855 = or(_T_3850, _T_3854) @[lsu_bus_buffer.scala 476:143] + node _T_3856 = and(bus_rsp_write_error, UInt<1>("h01")) @[lsu_bus_buffer.scala 478:32] + node _T_3857 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 478:74] + node _T_3858 = and(_T_3856, _T_3857) @[lsu_bus_buffer.scala 478:53] + node _T_3859 = or(_T_3855, _T_3858) @[lsu_bus_buffer.scala 477:88] + node _T_3860 = and(_T_3848, _T_3859) @[lsu_bus_buffer.scala 476:68] + buf_error_en[1] <= _T_3860 @[lsu_bus_buffer.scala 476:25] + node _T_3861 = eq(buf_error_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 479:50] + node _T_3862 = and(buf_state_en[1], _T_3861) @[lsu_bus_buffer.scala 479:48] + node _T_3863 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 479:84] + node _T_3864 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 479:102] + node _T_3865 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 479:125] + node _T_3866 = mux(_T_3863, _T_3864, _T_3865) @[lsu_bus_buffer.scala 479:72] + node _T_3867 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 479:148] + node _T_3868 = mux(_T_3862, _T_3866, _T_3867) @[lsu_bus_buffer.scala 479:30] + buf_data_in[1] <= _T_3868 @[lsu_bus_buffer.scala 479:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3869 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3869 : @[Conditional.scala 39:67] + node _T_3870 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 482:60] + node _T_3871 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 482:86] + node _T_3872 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 482:101] + node _T_3873 = bits(_T_3872, 0, 0) @[lsu_bus_buffer.scala 482:101] + node _T_3874 = or(_T_3871, _T_3873) @[lsu_bus_buffer.scala 482:90] + node _T_3875 = or(_T_3874, any_done_wait_state) @[lsu_bus_buffer.scala 482:118] + node _T_3876 = mux(_T_3875, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 482:75] + node _T_3877 = mux(_T_3870, UInt<3>("h00"), _T_3876) @[lsu_bus_buffer.scala 482:31] + buf_nxtstate[1] <= _T_3877 @[lsu_bus_buffer.scala 482:25] + node _T_3878 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 483:66] + node _T_3879 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 484:21] + node _T_3880 = bits(_T_3879, 0, 0) @[lsu_bus_buffer.scala 484:21] + node _T_3881 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[lsu_bus_buffer.scala 484:58] + node _T_3882 = and(_T_3880, _T_3881) @[lsu_bus_buffer.scala 484:38] + node _T_3883 = or(_T_3878, _T_3882) @[lsu_bus_buffer.scala 483:95] + node _T_3884 = and(bus_rsp_read, _T_3883) @[lsu_bus_buffer.scala 483:45] + buf_state_bus_en[1] <= _T_3884 @[lsu_bus_buffer.scala 483:29] + node _T_3885 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 485:49] + node _T_3886 = or(_T_3885, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 485:70] + buf_state_en[1] <= _T_3886 @[lsu_bus_buffer.scala 485:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3887 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3887 : @[Conditional.scala 39:67] + node _T_3888 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 488:60] + node _T_3889 = mux(_T_3888, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 488:31] + buf_nxtstate[1] <= _T_3889 @[lsu_bus_buffer.scala 488:25] + node _T_3890 = eq(RspPtr, UInt<2>("h01")) @[lsu_bus_buffer.scala 489:37] + node _T_3891 = eq(buf_dualtag[1], RspPtr) @[lsu_bus_buffer.scala 489:98] + node _T_3892 = and(buf_dual[1], _T_3891) @[lsu_bus_buffer.scala 489:80] + node _T_3893 = or(_T_3890, _T_3892) @[lsu_bus_buffer.scala 489:65] + node _T_3894 = or(_T_3893, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 489:112] + buf_state_en[1] <= _T_3894 @[lsu_bus_buffer.scala 489:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3895 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3895 : @[Conditional.scala 39:67] + buf_nxtstate[1] <= UInt<3>("h00") @[lsu_bus_buffer.scala 492:25] + buf_rst[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 493:20] + buf_state_en[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 494:25] + buf_ldfwd_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 495:25] + buf_ldfwd_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 496:25] + skip @[Conditional.scala 39:67] + node _T_3896 = bits(buf_state_en[1], 0, 0) @[lsu_bus_buffer.scala 499:108] + reg _T_3897 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3896 : @[Reg.scala 28:19] + _T_3897 <= buf_nxtstate[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_state[1] <= _T_3897 @[lsu_bus_buffer.scala 499:18] + reg _T_3898 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 500:60] + _T_3898 <= buf_age_in_1 @[lsu_bus_buffer.scala 500:60] + buf_ageQ[1] <= _T_3898 @[lsu_bus_buffer.scala 500:17] + reg _T_3899 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 501:63] + _T_3899 <= buf_rspage_in[1] @[lsu_bus_buffer.scala 501:63] + buf_rspageQ[1] <= _T_3899 @[lsu_bus_buffer.scala 501:20] + node _T_3900 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 502:109] + reg _T_3901 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3900 : @[Reg.scala 28:19] + _T_3901 <= buf_dualtag_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualtag[1] <= _T_3901 @[lsu_bus_buffer.scala 502:20] + node _T_3902 = bits(buf_dual_in, 1, 1) @[lsu_bus_buffer.scala 503:74] + node _T_3903 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 503:107] + reg _T_3904 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3903 : @[Reg.scala 28:19] + _T_3904 <= _T_3902 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dual[1] <= _T_3904 @[lsu_bus_buffer.scala 503:17] + node _T_3905 = bits(buf_samedw_in, 1, 1) @[lsu_bus_buffer.scala 504:78] + node _T_3906 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 504:111] + reg _T_3907 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3906 : @[Reg.scala 28:19] + _T_3907 <= _T_3905 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_samedw[1] <= _T_3907 @[lsu_bus_buffer.scala 504:19] + node _T_3908 = bits(buf_nomerge_in, 1, 1) @[lsu_bus_buffer.scala 505:80] + node _T_3909 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 505:113] + reg _T_3910 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3909 : @[Reg.scala 28:19] + _T_3910 <= _T_3908 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_nomerge[1] <= _T_3910 @[lsu_bus_buffer.scala 505:20] + node _T_3911 = bits(buf_dualhi_in, 1, 1) @[lsu_bus_buffer.scala 506:78] + node _T_3912 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 506:111] + reg _T_3913 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3912 : @[Reg.scala 28:19] + _T_3913 <= _T_3911 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[1] <= _T_3913 @[lsu_bus_buffer.scala 506:19] + node _T_3914 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3914 : @[Conditional.scala 40:58] + node _T_3915 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 443:56] + node _T_3916 = mux(_T_3915, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 443:31] + buf_nxtstate[2] <= _T_3916 @[lsu_bus_buffer.scala 443:25] + node _T_3917 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 444:45] + node _T_3918 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 444:77] + node _T_3919 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 444:97] + node _T_3920 = and(_T_3918, _T_3919) @[lsu_bus_buffer.scala 444:95] + node _T_3921 = eq(UInt<2>("h02"), WrPtr0_r) @[lsu_bus_buffer.scala 444:117] + node _T_3922 = and(_T_3920, _T_3921) @[lsu_bus_buffer.scala 444:112] + node _T_3923 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 444:144] + node _T_3924 = eq(UInt<2>("h02"), WrPtr1_r) @[lsu_bus_buffer.scala 444:166] + node _T_3925 = and(_T_3923, _T_3924) @[lsu_bus_buffer.scala 444:161] + node _T_3926 = or(_T_3922, _T_3925) @[lsu_bus_buffer.scala 444:132] + node _T_3927 = and(_T_3917, _T_3926) @[lsu_bus_buffer.scala 444:63] + node _T_3928 = eq(UInt<2>("h02"), ibuf_tag) @[lsu_bus_buffer.scala 444:206] + node _T_3929 = and(ibuf_drain_vld, _T_3928) @[lsu_bus_buffer.scala 444:201] + node _T_3930 = or(_T_3927, _T_3929) @[lsu_bus_buffer.scala 444:183] + buf_state_en[2] <= _T_3930 @[lsu_bus_buffer.scala 444:25] + buf_wr_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 445:22] + buf_data_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 446:24] + node _T_3931 = eq(UInt<2>("h02"), ibuf_tag) @[lsu_bus_buffer.scala 447:52] + node _T_3932 = and(ibuf_drain_vld, _T_3931) @[lsu_bus_buffer.scala 447:47] + node _T_3933 = bits(_T_3932, 0, 0) @[lsu_bus_buffer.scala 447:73] + node _T_3934 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 447:90] + node _T_3935 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 447:114] + node _T_3936 = mux(_T_3933, _T_3934, _T_3935) @[lsu_bus_buffer.scala 447:30] + buf_data_in[2] <= _T_3936 @[lsu_bus_buffer.scala 447:24] + skip @[Conditional.scala 40:58] + else : @[Conditional.scala 39:67] + node _T_3937 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3937 : @[Conditional.scala 39:67] + node _T_3938 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 450:60] + node _T_3939 = mux(_T_3938, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 450:31] + buf_nxtstate[2] <= _T_3939 @[lsu_bus_buffer.scala 450:25] + node _T_3940 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 451:46] + buf_state_en[2] <= _T_3940 @[lsu_bus_buffer.scala 451:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3941 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3941 : @[Conditional.scala 39:67] + node _T_3942 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 454:60] + node _T_3943 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 454:89] + node _T_3944 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 454:124] + node _T_3945 = and(_T_3943, _T_3944) @[lsu_bus_buffer.scala 454:104] + node _T_3946 = mux(_T_3945, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 454:75] + node _T_3947 = mux(_T_3942, UInt<3>("h00"), _T_3946) @[lsu_bus_buffer.scala 454:31] + buf_nxtstate[2] <= _T_3947 @[lsu_bus_buffer.scala 454:25] + node _T_3948 = eq(obuf_tag0, UInt<3>("h02")) @[lsu_bus_buffer.scala 455:48] + node _T_3949 = eq(obuf_tag1, UInt<3>("h02")) @[lsu_bus_buffer.scala 455:104] + node _T_3950 = and(obuf_merge, _T_3949) @[lsu_bus_buffer.scala 455:91] + node _T_3951 = or(_T_3948, _T_3950) @[lsu_bus_buffer.scala 455:77] + node _T_3952 = and(_T_3951, obuf_valid) @[lsu_bus_buffer.scala 455:135] + node _T_3953 = and(_T_3952, obuf_wr_enQ) @[lsu_bus_buffer.scala 455:148] + buf_cmd_state_bus_en[2] <= _T_3953 @[lsu_bus_buffer.scala 455:33] + buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[lsu_bus_buffer.scala 456:29] + node _T_3954 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 457:49] + node _T_3955 = or(_T_3954, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 457:70] + buf_state_en[2] <= _T_3955 @[lsu_bus_buffer.scala 457:25] + buf_ldfwd_in[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 458:25] + node _T_3956 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 459:56] + node _T_3957 = eq(_T_3956, UInt<1>("h00")) @[lsu_bus_buffer.scala 459:46] + node _T_3958 = and(buf_state_en[2], _T_3957) @[lsu_bus_buffer.scala 459:44] + node _T_3959 = and(_T_3958, obuf_nosend) @[lsu_bus_buffer.scala 459:60] + node _T_3960 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 459:76] + node _T_3961 = and(_T_3959, _T_3960) @[lsu_bus_buffer.scala 459:74] + buf_ldfwd_en[2] <= _T_3961 @[lsu_bus_buffer.scala 459:25] + node _T_3962 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 460:46] + buf_ldfwdtag_in[2] <= _T_3962 @[lsu_bus_buffer.scala 460:28] + node _T_3963 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:47] + node _T_3964 = and(_T_3963, obuf_nosend) @[lsu_bus_buffer.scala 461:67] + node _T_3965 = and(_T_3964, bus_rsp_read) @[lsu_bus_buffer.scala 461:81] + buf_data_en[2] <= _T_3965 @[lsu_bus_buffer.scala 461:24] + node _T_3966 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 462:48] + node _T_3967 = and(_T_3966, obuf_nosend) @[lsu_bus_buffer.scala 462:68] + node _T_3968 = and(_T_3967, bus_rsp_read_error) @[lsu_bus_buffer.scala 462:82] + buf_error_en[2] <= _T_3968 @[lsu_bus_buffer.scala 462:25] + node _T_3969 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 463:61] + node _T_3970 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 463:85] + node _T_3971 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 463:103] + node _T_3972 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 463:126] + node _T_3973 = mux(_T_3970, _T_3971, _T_3972) @[lsu_bus_buffer.scala 463:73] + node _T_3974 = mux(buf_error_en[2], _T_3969, _T_3973) @[lsu_bus_buffer.scala 463:30] + buf_data_in[2] <= _T_3974 @[lsu_bus_buffer.scala 463:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3975 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3975 : @[Conditional.scala 39:67] + node _T_3976 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 466:67] + node _T_3977 = and(UInt<1>("h01"), bus_rsp_write_error) @[lsu_bus_buffer.scala 466:94] + node _T_3978 = eq(_T_3977, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:73] + node _T_3979 = and(_T_3976, _T_3978) @[lsu_bus_buffer.scala 466:71] + node _T_3980 = or(io.dec_tlu_force_halt, _T_3979) @[lsu_bus_buffer.scala 466:55] + node _T_3981 = bits(_T_3980, 0, 0) @[lsu_bus_buffer.scala 466:125] + node _T_3982 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 467:30] + node _T_3983 = and(buf_dual[2], _T_3982) @[lsu_bus_buffer.scala 467:28] + node _T_3984 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 467:57] + node _T_3985 = eq(_T_3984, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:47] + node _T_3986 = and(_T_3983, _T_3985) @[lsu_bus_buffer.scala 467:45] + node _T_3987 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 467:90] + node _T_3988 = and(_T_3986, _T_3987) @[lsu_bus_buffer.scala 467:61] + node _T_3989 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 468:27] + node _T_3990 = or(_T_3989, any_done_wait_state) @[lsu_bus_buffer.scala 468:31] + node _T_3991 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 468:70] + node _T_3992 = and(buf_dual[2], _T_3991) @[lsu_bus_buffer.scala 468:68] + node _T_3993 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 468:97] + node _T_3994 = eq(_T_3993, UInt<1>("h00")) @[lsu_bus_buffer.scala 468:87] + node _T_3995 = and(_T_3992, _T_3994) @[lsu_bus_buffer.scala 468:85] + node _T_3996 = eq(buf_dualtag[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_3997 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_3998 = eq(buf_dualtag[2], UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_3999 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_4000 = eq(buf_dualtag[2], UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_4001 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_4002 = eq(buf_dualtag[2], UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_4003 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_4004 = mux(_T_3996, _T_3997, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4005 = mux(_T_3998, _T_3999, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4006 = mux(_T_4000, _T_4001, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4007 = mux(_T_4002, _T_4003, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4008 = or(_T_4004, _T_4005) @[Mux.scala 27:72] + node _T_4009 = or(_T_4008, _T_4006) @[Mux.scala 27:72] + node _T_4010 = or(_T_4009, _T_4007) @[Mux.scala 27:72] + wire _T_4011 : UInt<1> @[Mux.scala 27:72] + _T_4011 <= _T_4010 @[Mux.scala 27:72] + node _T_4012 = and(_T_3995, _T_4011) @[lsu_bus_buffer.scala 468:101] + node _T_4013 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 468:167] + node _T_4014 = and(_T_4012, _T_4013) @[lsu_bus_buffer.scala 468:138] + node _T_4015 = and(_T_4014, any_done_wait_state) @[lsu_bus_buffer.scala 468:187] + node _T_4016 = or(_T_3990, _T_4015) @[lsu_bus_buffer.scala 468:53] + node _T_4017 = mux(_T_4016, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 468:16] + node _T_4018 = mux(_T_3988, UInt<3>("h04"), _T_4017) @[lsu_bus_buffer.scala 467:14] + node _T_4019 = mux(_T_3981, UInt<3>("h00"), _T_4018) @[lsu_bus_buffer.scala 466:31] + buf_nxtstate[2] <= _T_4019 @[lsu_bus_buffer.scala 466:25] + node _T_4020 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 469:73] + node _T_4021 = and(bus_rsp_write, _T_4020) @[lsu_bus_buffer.scala 469:52] + node _T_4022 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 470:46] + node _T_4023 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 471:23] + node _T_4024 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 471:47] + node _T_4025 = and(_T_4023, _T_4024) @[lsu_bus_buffer.scala 471:27] + node _T_4026 = or(_T_4022, _T_4025) @[lsu_bus_buffer.scala 470:77] + node _T_4027 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 472:26] + node _T_4028 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 472:54] + node _T_4029 = not(_T_4028) @[lsu_bus_buffer.scala 472:44] + node _T_4030 = and(_T_4027, _T_4029) @[lsu_bus_buffer.scala 472:42] + node _T_4031 = and(_T_4030, buf_samedw[2]) @[lsu_bus_buffer.scala 472:58] + node _T_4032 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 472:94] + node _T_4033 = and(_T_4031, _T_4032) @[lsu_bus_buffer.scala 472:74] + node _T_4034 = or(_T_4026, _T_4033) @[lsu_bus_buffer.scala 471:71] + node _T_4035 = and(bus_rsp_read, _T_4034) @[lsu_bus_buffer.scala 470:25] + node _T_4036 = or(_T_4021, _T_4035) @[lsu_bus_buffer.scala 469:105] + buf_resp_state_bus_en[2] <= _T_4036 @[lsu_bus_buffer.scala 469:34] + buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[lsu_bus_buffer.scala 473:29] + node _T_4037 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 474:49] + node _T_4038 = or(_T_4037, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 474:70] + buf_state_en[2] <= _T_4038 @[lsu_bus_buffer.scala 474:25] + node _T_4039 = and(buf_state_bus_en[2], bus_rsp_read) @[lsu_bus_buffer.scala 475:47] + node _T_4040 = and(_T_4039, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:62] + buf_data_en[2] <= _T_4040 @[lsu_bus_buffer.scala 475:24] + node _T_4041 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 476:48] + node _T_4042 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 476:111] + node _T_4043 = and(bus_rsp_read_error, _T_4042) @[lsu_bus_buffer.scala 476:91] + node _T_4044 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 477:42] + node _T_4045 = and(bus_rsp_read_error, _T_4044) @[lsu_bus_buffer.scala 477:31] + node _T_4046 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 477:66] + node _T_4047 = and(_T_4045, _T_4046) @[lsu_bus_buffer.scala 477:46] + node _T_4048 = or(_T_4043, _T_4047) @[lsu_bus_buffer.scala 476:143] + node _T_4049 = and(bus_rsp_write_error, UInt<1>("h01")) @[lsu_bus_buffer.scala 478:32] + node _T_4050 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 478:74] + node _T_4051 = and(_T_4049, _T_4050) @[lsu_bus_buffer.scala 478:53] + node _T_4052 = or(_T_4048, _T_4051) @[lsu_bus_buffer.scala 477:88] + node _T_4053 = and(_T_4041, _T_4052) @[lsu_bus_buffer.scala 476:68] + buf_error_en[2] <= _T_4053 @[lsu_bus_buffer.scala 476:25] + node _T_4054 = eq(buf_error_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 479:50] + node _T_4055 = and(buf_state_en[2], _T_4054) @[lsu_bus_buffer.scala 479:48] + node _T_4056 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 479:84] + node _T_4057 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 479:102] + node _T_4058 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 479:125] + node _T_4059 = mux(_T_4056, _T_4057, _T_4058) @[lsu_bus_buffer.scala 479:72] + node _T_4060 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 479:148] + node _T_4061 = mux(_T_4055, _T_4059, _T_4060) @[lsu_bus_buffer.scala 479:30] + buf_data_in[2] <= _T_4061 @[lsu_bus_buffer.scala 479:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4062 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4062 : @[Conditional.scala 39:67] + node _T_4063 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 482:60] + node _T_4064 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 482:86] + node _T_4065 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 482:101] + node _T_4066 = bits(_T_4065, 0, 0) @[lsu_bus_buffer.scala 482:101] + node _T_4067 = or(_T_4064, _T_4066) @[lsu_bus_buffer.scala 482:90] + node _T_4068 = or(_T_4067, any_done_wait_state) @[lsu_bus_buffer.scala 482:118] + node _T_4069 = mux(_T_4068, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 482:75] + node _T_4070 = mux(_T_4063, UInt<3>("h00"), _T_4069) @[lsu_bus_buffer.scala 482:31] + buf_nxtstate[2] <= _T_4070 @[lsu_bus_buffer.scala 482:25] + node _T_4071 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 483:66] + node _T_4072 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 484:21] + node _T_4073 = bits(_T_4072, 0, 0) @[lsu_bus_buffer.scala 484:21] + node _T_4074 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[lsu_bus_buffer.scala 484:58] + node _T_4075 = and(_T_4073, _T_4074) @[lsu_bus_buffer.scala 484:38] + node _T_4076 = or(_T_4071, _T_4075) @[lsu_bus_buffer.scala 483:95] + node _T_4077 = and(bus_rsp_read, _T_4076) @[lsu_bus_buffer.scala 483:45] + buf_state_bus_en[2] <= _T_4077 @[lsu_bus_buffer.scala 483:29] + node _T_4078 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 485:49] + node _T_4079 = or(_T_4078, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 485:70] + buf_state_en[2] <= _T_4079 @[lsu_bus_buffer.scala 485:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4080 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4080 : @[Conditional.scala 39:67] + node _T_4081 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 488:60] + node _T_4082 = mux(_T_4081, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 488:31] + buf_nxtstate[2] <= _T_4082 @[lsu_bus_buffer.scala 488:25] + node _T_4083 = eq(RspPtr, UInt<2>("h02")) @[lsu_bus_buffer.scala 489:37] + node _T_4084 = eq(buf_dualtag[2], RspPtr) @[lsu_bus_buffer.scala 489:98] + node _T_4085 = and(buf_dual[2], _T_4084) @[lsu_bus_buffer.scala 489:80] + node _T_4086 = or(_T_4083, _T_4085) @[lsu_bus_buffer.scala 489:65] + node _T_4087 = or(_T_4086, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 489:112] + buf_state_en[2] <= _T_4087 @[lsu_bus_buffer.scala 489:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4088 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4088 : @[Conditional.scala 39:67] + buf_nxtstate[2] <= UInt<3>("h00") @[lsu_bus_buffer.scala 492:25] + buf_rst[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 493:20] + buf_state_en[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 494:25] + buf_ldfwd_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 495:25] + buf_ldfwd_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 496:25] + skip @[Conditional.scala 39:67] + node _T_4089 = bits(buf_state_en[2], 0, 0) @[lsu_bus_buffer.scala 499:108] + reg _T_4090 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4089 : @[Reg.scala 28:19] + _T_4090 <= buf_nxtstate[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_state[2] <= _T_4090 @[lsu_bus_buffer.scala 499:18] + reg _T_4091 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 500:60] + _T_4091 <= buf_age_in_2 @[lsu_bus_buffer.scala 500:60] + buf_ageQ[2] <= _T_4091 @[lsu_bus_buffer.scala 500:17] + reg _T_4092 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 501:63] + _T_4092 <= buf_rspage_in[2] @[lsu_bus_buffer.scala 501:63] + buf_rspageQ[2] <= _T_4092 @[lsu_bus_buffer.scala 501:20] + node _T_4093 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 502:109] + reg _T_4094 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4093 : @[Reg.scala 28:19] + _T_4094 <= buf_dualtag_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualtag[2] <= _T_4094 @[lsu_bus_buffer.scala 502:20] + node _T_4095 = bits(buf_dual_in, 2, 2) @[lsu_bus_buffer.scala 503:74] + node _T_4096 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 503:107] + reg _T_4097 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4096 : @[Reg.scala 28:19] + _T_4097 <= _T_4095 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dual[2] <= _T_4097 @[lsu_bus_buffer.scala 503:17] + node _T_4098 = bits(buf_samedw_in, 2, 2) @[lsu_bus_buffer.scala 504:78] + node _T_4099 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 504:111] + reg _T_4100 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4099 : @[Reg.scala 28:19] + _T_4100 <= _T_4098 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_samedw[2] <= _T_4100 @[lsu_bus_buffer.scala 504:19] + node _T_4101 = bits(buf_nomerge_in, 2, 2) @[lsu_bus_buffer.scala 505:80] + node _T_4102 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 505:113] + reg _T_4103 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4102 : @[Reg.scala 28:19] + _T_4103 <= _T_4101 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_nomerge[2] <= _T_4103 @[lsu_bus_buffer.scala 505:20] + node _T_4104 = bits(buf_dualhi_in, 2, 2) @[lsu_bus_buffer.scala 506:78] + node _T_4105 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 506:111] + reg _T_4106 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4105 : @[Reg.scala 28:19] + _T_4106 <= _T_4104 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[2] <= _T_4106 @[lsu_bus_buffer.scala 506:19] + node _T_4107 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4107 : @[Conditional.scala 40:58] + node _T_4108 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 443:56] + node _T_4109 = mux(_T_4108, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 443:31] + buf_nxtstate[3] <= _T_4109 @[lsu_bus_buffer.scala 443:25] + node _T_4110 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 444:45] + node _T_4111 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 444:77] + node _T_4112 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 444:97] + node _T_4113 = and(_T_4111, _T_4112) @[lsu_bus_buffer.scala 444:95] + node _T_4114 = eq(UInt<2>("h03"), WrPtr0_r) @[lsu_bus_buffer.scala 444:117] + node _T_4115 = and(_T_4113, _T_4114) @[lsu_bus_buffer.scala 444:112] + node _T_4116 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 444:144] + node _T_4117 = eq(UInt<2>("h03"), WrPtr1_r) @[lsu_bus_buffer.scala 444:166] + node _T_4118 = and(_T_4116, _T_4117) @[lsu_bus_buffer.scala 444:161] + node _T_4119 = or(_T_4115, _T_4118) @[lsu_bus_buffer.scala 444:132] + node _T_4120 = and(_T_4110, _T_4119) @[lsu_bus_buffer.scala 444:63] + node _T_4121 = eq(UInt<2>("h03"), ibuf_tag) @[lsu_bus_buffer.scala 444:206] + node _T_4122 = and(ibuf_drain_vld, _T_4121) @[lsu_bus_buffer.scala 444:201] + node _T_4123 = or(_T_4120, _T_4122) @[lsu_bus_buffer.scala 444:183] + buf_state_en[3] <= _T_4123 @[lsu_bus_buffer.scala 444:25] + buf_wr_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 445:22] + buf_data_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 446:24] + node _T_4124 = eq(UInt<2>("h03"), ibuf_tag) @[lsu_bus_buffer.scala 447:52] + node _T_4125 = and(ibuf_drain_vld, _T_4124) @[lsu_bus_buffer.scala 447:47] + node _T_4126 = bits(_T_4125, 0, 0) @[lsu_bus_buffer.scala 447:73] + node _T_4127 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 447:90] + node _T_4128 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 447:114] + node _T_4129 = mux(_T_4126, _T_4127, _T_4128) @[lsu_bus_buffer.scala 447:30] + buf_data_in[3] <= _T_4129 @[lsu_bus_buffer.scala 447:24] + skip @[Conditional.scala 40:58] + else : @[Conditional.scala 39:67] + node _T_4130 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4130 : @[Conditional.scala 39:67] + node _T_4131 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 450:60] + node _T_4132 = mux(_T_4131, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 450:31] + buf_nxtstate[3] <= _T_4132 @[lsu_bus_buffer.scala 450:25] + node _T_4133 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 451:46] + buf_state_en[3] <= _T_4133 @[lsu_bus_buffer.scala 451:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4134 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4134 : @[Conditional.scala 39:67] + node _T_4135 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 454:60] + node _T_4136 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 454:89] + node _T_4137 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 454:124] + node _T_4138 = and(_T_4136, _T_4137) @[lsu_bus_buffer.scala 454:104] + node _T_4139 = mux(_T_4138, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 454:75] + node _T_4140 = mux(_T_4135, UInt<3>("h00"), _T_4139) @[lsu_bus_buffer.scala 454:31] + buf_nxtstate[3] <= _T_4140 @[lsu_bus_buffer.scala 454:25] + node _T_4141 = eq(obuf_tag0, UInt<3>("h03")) @[lsu_bus_buffer.scala 455:48] + node _T_4142 = eq(obuf_tag1, UInt<3>("h03")) @[lsu_bus_buffer.scala 455:104] + node _T_4143 = and(obuf_merge, _T_4142) @[lsu_bus_buffer.scala 455:91] + node _T_4144 = or(_T_4141, _T_4143) @[lsu_bus_buffer.scala 455:77] + node _T_4145 = and(_T_4144, obuf_valid) @[lsu_bus_buffer.scala 455:135] + node _T_4146 = and(_T_4145, obuf_wr_enQ) @[lsu_bus_buffer.scala 455:148] + buf_cmd_state_bus_en[3] <= _T_4146 @[lsu_bus_buffer.scala 455:33] + buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[lsu_bus_buffer.scala 456:29] + node _T_4147 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 457:49] + node _T_4148 = or(_T_4147, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 457:70] + buf_state_en[3] <= _T_4148 @[lsu_bus_buffer.scala 457:25] + buf_ldfwd_in[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 458:25] + node _T_4149 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 459:56] + node _T_4150 = eq(_T_4149, UInt<1>("h00")) @[lsu_bus_buffer.scala 459:46] + node _T_4151 = and(buf_state_en[3], _T_4150) @[lsu_bus_buffer.scala 459:44] + node _T_4152 = and(_T_4151, obuf_nosend) @[lsu_bus_buffer.scala 459:60] + node _T_4153 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 459:76] + node _T_4154 = and(_T_4152, _T_4153) @[lsu_bus_buffer.scala 459:74] + buf_ldfwd_en[3] <= _T_4154 @[lsu_bus_buffer.scala 459:25] + node _T_4155 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 460:46] + buf_ldfwdtag_in[3] <= _T_4155 @[lsu_bus_buffer.scala 460:28] + node _T_4156 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:47] + node _T_4157 = and(_T_4156, obuf_nosend) @[lsu_bus_buffer.scala 461:67] + node _T_4158 = and(_T_4157, bus_rsp_read) @[lsu_bus_buffer.scala 461:81] + buf_data_en[3] <= _T_4158 @[lsu_bus_buffer.scala 461:24] + node _T_4159 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 462:48] + node _T_4160 = and(_T_4159, obuf_nosend) @[lsu_bus_buffer.scala 462:68] + node _T_4161 = and(_T_4160, bus_rsp_read_error) @[lsu_bus_buffer.scala 462:82] + buf_error_en[3] <= _T_4161 @[lsu_bus_buffer.scala 462:25] + node _T_4162 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 463:61] + node _T_4163 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 463:85] + node _T_4164 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 463:103] + node _T_4165 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 463:126] + node _T_4166 = mux(_T_4163, _T_4164, _T_4165) @[lsu_bus_buffer.scala 463:73] + node _T_4167 = mux(buf_error_en[3], _T_4162, _T_4166) @[lsu_bus_buffer.scala 463:30] + buf_data_in[3] <= _T_4167 @[lsu_bus_buffer.scala 463:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4168 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4168 : @[Conditional.scala 39:67] + node _T_4169 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 466:67] + node _T_4170 = and(UInt<1>("h01"), bus_rsp_write_error) @[lsu_bus_buffer.scala 466:94] + node _T_4171 = eq(_T_4170, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:73] + node _T_4172 = and(_T_4169, _T_4171) @[lsu_bus_buffer.scala 466:71] + node _T_4173 = or(io.dec_tlu_force_halt, _T_4172) @[lsu_bus_buffer.scala 466:55] + node _T_4174 = bits(_T_4173, 0, 0) @[lsu_bus_buffer.scala 466:125] + node _T_4175 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 467:30] + node _T_4176 = and(buf_dual[3], _T_4175) @[lsu_bus_buffer.scala 467:28] + node _T_4177 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 467:57] + node _T_4178 = eq(_T_4177, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:47] + node _T_4179 = and(_T_4176, _T_4178) @[lsu_bus_buffer.scala 467:45] + node _T_4180 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 467:90] + node _T_4181 = and(_T_4179, _T_4180) @[lsu_bus_buffer.scala 467:61] + node _T_4182 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 468:27] + node _T_4183 = or(_T_4182, any_done_wait_state) @[lsu_bus_buffer.scala 468:31] + node _T_4184 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 468:70] + node _T_4185 = and(buf_dual[3], _T_4184) @[lsu_bus_buffer.scala 468:68] + node _T_4186 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 468:97] + node _T_4187 = eq(_T_4186, UInt<1>("h00")) @[lsu_bus_buffer.scala 468:87] + node _T_4188 = and(_T_4185, _T_4187) @[lsu_bus_buffer.scala 468:85] + node _T_4189 = eq(buf_dualtag[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_4190 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_4191 = eq(buf_dualtag[3], UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_4192 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_4193 = eq(buf_dualtag[3], UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_4194 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_4195 = eq(buf_dualtag[3], UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_4196 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_4197 = mux(_T_4189, _T_4190, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4198 = mux(_T_4191, _T_4192, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4199 = mux(_T_4193, _T_4194, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4200 = mux(_T_4195, _T_4196, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4201 = or(_T_4197, _T_4198) @[Mux.scala 27:72] + node _T_4202 = or(_T_4201, _T_4199) @[Mux.scala 27:72] + node _T_4203 = or(_T_4202, _T_4200) @[Mux.scala 27:72] + wire _T_4204 : UInt<1> @[Mux.scala 27:72] + _T_4204 <= _T_4203 @[Mux.scala 27:72] + node _T_4205 = and(_T_4188, _T_4204) @[lsu_bus_buffer.scala 468:101] + node _T_4206 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 468:167] + node _T_4207 = and(_T_4205, _T_4206) @[lsu_bus_buffer.scala 468:138] + node _T_4208 = and(_T_4207, any_done_wait_state) @[lsu_bus_buffer.scala 468:187] + node _T_4209 = or(_T_4183, _T_4208) @[lsu_bus_buffer.scala 468:53] + node _T_4210 = mux(_T_4209, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 468:16] + node _T_4211 = mux(_T_4181, UInt<3>("h04"), _T_4210) @[lsu_bus_buffer.scala 467:14] + node _T_4212 = mux(_T_4174, UInt<3>("h00"), _T_4211) @[lsu_bus_buffer.scala 466:31] + buf_nxtstate[3] <= _T_4212 @[lsu_bus_buffer.scala 466:25] + node _T_4213 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 469:73] + node _T_4214 = and(bus_rsp_write, _T_4213) @[lsu_bus_buffer.scala 469:52] + node _T_4215 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 470:46] + node _T_4216 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 471:23] + node _T_4217 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 471:47] + node _T_4218 = and(_T_4216, _T_4217) @[lsu_bus_buffer.scala 471:27] + node _T_4219 = or(_T_4215, _T_4218) @[lsu_bus_buffer.scala 470:77] + node _T_4220 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 472:26] + node _T_4221 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 472:54] + node _T_4222 = not(_T_4221) @[lsu_bus_buffer.scala 472:44] + node _T_4223 = and(_T_4220, _T_4222) @[lsu_bus_buffer.scala 472:42] + node _T_4224 = and(_T_4223, buf_samedw[3]) @[lsu_bus_buffer.scala 472:58] + node _T_4225 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 472:94] + node _T_4226 = and(_T_4224, _T_4225) @[lsu_bus_buffer.scala 472:74] + node _T_4227 = or(_T_4219, _T_4226) @[lsu_bus_buffer.scala 471:71] + node _T_4228 = and(bus_rsp_read, _T_4227) @[lsu_bus_buffer.scala 470:25] + node _T_4229 = or(_T_4214, _T_4228) @[lsu_bus_buffer.scala 469:105] + buf_resp_state_bus_en[3] <= _T_4229 @[lsu_bus_buffer.scala 469:34] + buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[lsu_bus_buffer.scala 473:29] + node _T_4230 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 474:49] + node _T_4231 = or(_T_4230, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 474:70] + buf_state_en[3] <= _T_4231 @[lsu_bus_buffer.scala 474:25] + node _T_4232 = and(buf_state_bus_en[3], bus_rsp_read) @[lsu_bus_buffer.scala 475:47] + node _T_4233 = and(_T_4232, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:62] + buf_data_en[3] <= _T_4233 @[lsu_bus_buffer.scala 475:24] + node _T_4234 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 476:48] + node _T_4235 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 476:111] + node _T_4236 = and(bus_rsp_read_error, _T_4235) @[lsu_bus_buffer.scala 476:91] + node _T_4237 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 477:42] + node _T_4238 = and(bus_rsp_read_error, _T_4237) @[lsu_bus_buffer.scala 477:31] + node _T_4239 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 477:66] + node _T_4240 = and(_T_4238, _T_4239) @[lsu_bus_buffer.scala 477:46] + node _T_4241 = or(_T_4236, _T_4240) @[lsu_bus_buffer.scala 476:143] + node _T_4242 = and(bus_rsp_write_error, UInt<1>("h01")) @[lsu_bus_buffer.scala 478:32] + node _T_4243 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 478:74] + node _T_4244 = and(_T_4242, _T_4243) @[lsu_bus_buffer.scala 478:53] + node _T_4245 = or(_T_4241, _T_4244) @[lsu_bus_buffer.scala 477:88] + node _T_4246 = and(_T_4234, _T_4245) @[lsu_bus_buffer.scala 476:68] + buf_error_en[3] <= _T_4246 @[lsu_bus_buffer.scala 476:25] + node _T_4247 = eq(buf_error_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 479:50] + node _T_4248 = and(buf_state_en[3], _T_4247) @[lsu_bus_buffer.scala 479:48] + node _T_4249 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 479:84] + node _T_4250 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 479:102] + node _T_4251 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 479:125] + node _T_4252 = mux(_T_4249, _T_4250, _T_4251) @[lsu_bus_buffer.scala 479:72] + node _T_4253 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 479:148] + node _T_4254 = mux(_T_4248, _T_4252, _T_4253) @[lsu_bus_buffer.scala 479:30] + buf_data_in[3] <= _T_4254 @[lsu_bus_buffer.scala 479:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4255 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4255 : @[Conditional.scala 39:67] + node _T_4256 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 482:60] + node _T_4257 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 482:86] + node _T_4258 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 482:101] + node _T_4259 = bits(_T_4258, 0, 0) @[lsu_bus_buffer.scala 482:101] + node _T_4260 = or(_T_4257, _T_4259) @[lsu_bus_buffer.scala 482:90] + node _T_4261 = or(_T_4260, any_done_wait_state) @[lsu_bus_buffer.scala 482:118] + node _T_4262 = mux(_T_4261, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 482:75] + node _T_4263 = mux(_T_4256, UInt<3>("h00"), _T_4262) @[lsu_bus_buffer.scala 482:31] + buf_nxtstate[3] <= _T_4263 @[lsu_bus_buffer.scala 482:25] + node _T_4264 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 483:66] + node _T_4265 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 484:21] + node _T_4266 = bits(_T_4265, 0, 0) @[lsu_bus_buffer.scala 484:21] + node _T_4267 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[lsu_bus_buffer.scala 484:58] + node _T_4268 = and(_T_4266, _T_4267) @[lsu_bus_buffer.scala 484:38] + node _T_4269 = or(_T_4264, _T_4268) @[lsu_bus_buffer.scala 483:95] + node _T_4270 = and(bus_rsp_read, _T_4269) @[lsu_bus_buffer.scala 483:45] + buf_state_bus_en[3] <= _T_4270 @[lsu_bus_buffer.scala 483:29] + node _T_4271 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 485:49] + node _T_4272 = or(_T_4271, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 485:70] + buf_state_en[3] <= _T_4272 @[lsu_bus_buffer.scala 485:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4273 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4273 : @[Conditional.scala 39:67] + node _T_4274 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 488:60] + node _T_4275 = mux(_T_4274, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 488:31] + buf_nxtstate[3] <= _T_4275 @[lsu_bus_buffer.scala 488:25] + node _T_4276 = eq(RspPtr, UInt<2>("h03")) @[lsu_bus_buffer.scala 489:37] + node _T_4277 = eq(buf_dualtag[3], RspPtr) @[lsu_bus_buffer.scala 489:98] + node _T_4278 = and(buf_dual[3], _T_4277) @[lsu_bus_buffer.scala 489:80] + node _T_4279 = or(_T_4276, _T_4278) @[lsu_bus_buffer.scala 489:65] + node _T_4280 = or(_T_4279, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 489:112] + buf_state_en[3] <= _T_4280 @[lsu_bus_buffer.scala 489:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4281 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4281 : @[Conditional.scala 39:67] + buf_nxtstate[3] <= UInt<3>("h00") @[lsu_bus_buffer.scala 492:25] + buf_rst[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 493:20] + buf_state_en[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 494:25] + buf_ldfwd_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 495:25] + buf_ldfwd_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 496:25] + skip @[Conditional.scala 39:67] + node _T_4282 = bits(buf_state_en[3], 0, 0) @[lsu_bus_buffer.scala 499:108] + reg _T_4283 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4282 : @[Reg.scala 28:19] + _T_4283 <= buf_nxtstate[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_state[3] <= _T_4283 @[lsu_bus_buffer.scala 499:18] + reg _T_4284 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 500:60] + _T_4284 <= buf_age_in_3 @[lsu_bus_buffer.scala 500:60] + buf_ageQ[3] <= _T_4284 @[lsu_bus_buffer.scala 500:17] + reg _T_4285 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 501:63] + _T_4285 <= buf_rspage_in[3] @[lsu_bus_buffer.scala 501:63] + buf_rspageQ[3] <= _T_4285 @[lsu_bus_buffer.scala 501:20] + node _T_4286 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 502:109] + reg _T_4287 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4286 : @[Reg.scala 28:19] + _T_4287 <= buf_dualtag_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualtag[3] <= _T_4287 @[lsu_bus_buffer.scala 502:20] + node _T_4288 = bits(buf_dual_in, 3, 3) @[lsu_bus_buffer.scala 503:74] + node _T_4289 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 503:107] + reg _T_4290 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4289 : @[Reg.scala 28:19] + _T_4290 <= _T_4288 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dual[3] <= _T_4290 @[lsu_bus_buffer.scala 503:17] + node _T_4291 = bits(buf_samedw_in, 3, 3) @[lsu_bus_buffer.scala 504:78] + node _T_4292 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 504:111] + reg _T_4293 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4292 : @[Reg.scala 28:19] + _T_4293 <= _T_4291 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_samedw[3] <= _T_4293 @[lsu_bus_buffer.scala 504:19] + node _T_4294 = bits(buf_nomerge_in, 3, 3) @[lsu_bus_buffer.scala 505:80] + node _T_4295 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 505:113] + reg _T_4296 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4295 : @[Reg.scala 28:19] + _T_4296 <= _T_4294 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_nomerge[3] <= _T_4296 @[lsu_bus_buffer.scala 505:20] + node _T_4297 = bits(buf_dualhi_in, 3, 3) @[lsu_bus_buffer.scala 506:78] + node _T_4298 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 506:111] + reg _T_4299 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4298 : @[Reg.scala 28:19] + _T_4299 <= _T_4297 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[3] <= _T_4299 @[lsu_bus_buffer.scala 506:19] + node _T_4300 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 509:131] + reg _T_4301 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4300 : @[Reg.scala 28:19] + _T_4301 <= buf_ldfwd_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4302 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 509:131] + reg _T_4303 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4302 : @[Reg.scala 28:19] + _T_4303 <= buf_ldfwd_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4304 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 509:131] + reg _T_4305 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4304 : @[Reg.scala 28:19] + _T_4305 <= buf_ldfwd_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4306 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 509:131] + reg _T_4307 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4306 : @[Reg.scala 28:19] + _T_4307 <= buf_ldfwd_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4308 = cat(_T_4307, _T_4305) @[Cat.scala 29:58] + node _T_4309 = cat(_T_4308, _T_4303) @[Cat.scala 29:58] + node _T_4310 = cat(_T_4309, _T_4301) @[Cat.scala 29:58] + buf_ldfwd <= _T_4310 @[lsu_bus_buffer.scala 509:13] + node _T_4311 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 510:132] + reg _T_4312 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4311 : @[Reg.scala 28:19] + _T_4312 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4313 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 510:132] + reg _T_4314 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4313 : @[Reg.scala 28:19] + _T_4314 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4315 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 510:132] + reg _T_4316 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4315 : @[Reg.scala 28:19] + _T_4316 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4317 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 510:132] + reg _T_4318 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4317 : @[Reg.scala 28:19] + _T_4318 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_ldfwdtag[0] <= _T_4312 @[lsu_bus_buffer.scala 510:16] + buf_ldfwdtag[1] <= _T_4314 @[lsu_bus_buffer.scala 510:16] + buf_ldfwdtag[2] <= _T_4316 @[lsu_bus_buffer.scala 510:16] + buf_ldfwdtag[3] <= _T_4318 @[lsu_bus_buffer.scala 510:16] + node _T_4319 = bits(buf_sideeffect_in, 0, 0) @[lsu_bus_buffer.scala 511:105] + node _T_4320 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 511:138] + reg _T_4321 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4320 : @[Reg.scala 28:19] + _T_4321 <= _T_4319 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4322 = bits(buf_sideeffect_in, 1, 1) @[lsu_bus_buffer.scala 511:105] + node _T_4323 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 511:138] + reg _T_4324 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4323 : @[Reg.scala 28:19] + _T_4324 <= _T_4322 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4325 = bits(buf_sideeffect_in, 2, 2) @[lsu_bus_buffer.scala 511:105] + node _T_4326 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 511:138] + reg _T_4327 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4326 : @[Reg.scala 28:19] + _T_4327 <= _T_4325 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4328 = bits(buf_sideeffect_in, 3, 3) @[lsu_bus_buffer.scala 511:105] + node _T_4329 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 511:138] + reg _T_4330 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4329 : @[Reg.scala 28:19] + _T_4330 <= _T_4328 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4331 = cat(_T_4330, _T_4327) @[Cat.scala 29:58] + node _T_4332 = cat(_T_4331, _T_4324) @[Cat.scala 29:58] + node _T_4333 = cat(_T_4332, _T_4321) @[Cat.scala 29:58] + buf_sideeffect <= _T_4333 @[lsu_bus_buffer.scala 511:18] + node _T_4334 = bits(buf_unsign_in, 0, 0) @[lsu_bus_buffer.scala 512:97] + node _T_4335 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 512:130] + reg _T_4336 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4335 : @[Reg.scala 28:19] + _T_4336 <= _T_4334 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4337 = bits(buf_unsign_in, 1, 1) @[lsu_bus_buffer.scala 512:97] + node _T_4338 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 512:130] + reg _T_4339 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4338 : @[Reg.scala 28:19] + _T_4339 <= _T_4337 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4340 = bits(buf_unsign_in, 2, 2) @[lsu_bus_buffer.scala 512:97] + node _T_4341 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 512:130] + reg _T_4342 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4341 : @[Reg.scala 28:19] + _T_4342 <= _T_4340 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4343 = bits(buf_unsign_in, 3, 3) @[lsu_bus_buffer.scala 512:97] + node _T_4344 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 512:130] + reg _T_4345 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4344 : @[Reg.scala 28:19] + _T_4345 <= _T_4343 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4346 = cat(_T_4345, _T_4342) @[Cat.scala 29:58] + node _T_4347 = cat(_T_4346, _T_4339) @[Cat.scala 29:58] + node _T_4348 = cat(_T_4347, _T_4336) @[Cat.scala 29:58] + buf_unsign <= _T_4348 @[lsu_bus_buffer.scala 512:14] + node _T_4349 = bits(buf_write_in, 0, 0) @[lsu_bus_buffer.scala 513:95] + node _T_4350 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 513:128] + reg _T_4351 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4350 : @[Reg.scala 28:19] + _T_4351 <= _T_4349 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4352 = bits(buf_write_in, 1, 1) @[lsu_bus_buffer.scala 513:95] + node _T_4353 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 513:128] + reg _T_4354 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4353 : @[Reg.scala 28:19] + _T_4354 <= _T_4352 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4355 = bits(buf_write_in, 2, 2) @[lsu_bus_buffer.scala 513:95] + node _T_4356 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 513:128] + reg _T_4357 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4356 : @[Reg.scala 28:19] + _T_4357 <= _T_4355 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4358 = bits(buf_write_in, 3, 3) @[lsu_bus_buffer.scala 513:95] + node _T_4359 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 513:128] + reg _T_4360 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4359 : @[Reg.scala 28:19] + _T_4360 <= _T_4358 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4361 = cat(_T_4360, _T_4357) @[Cat.scala 29:58] + node _T_4362 = cat(_T_4361, _T_4354) @[Cat.scala 29:58] + node _T_4363 = cat(_T_4362, _T_4351) @[Cat.scala 29:58] + buf_write <= _T_4363 @[lsu_bus_buffer.scala 513:13] + node _T_4364 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 514:117] + reg _T_4365 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4364 : @[Reg.scala 28:19] + _T_4365 <= buf_sz_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4366 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 514:117] + reg _T_4367 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4366 : @[Reg.scala 28:19] + _T_4367 <= buf_sz_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4368 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 514:117] + reg _T_4369 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4368 : @[Reg.scala 28:19] + _T_4369 <= buf_sz_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4370 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 514:117] + reg _T_4371 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4370 : @[Reg.scala 28:19] + _T_4371 <= buf_sz_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_sz[0] <= _T_4365 @[lsu_bus_buffer.scala 514:10] + buf_sz[1] <= _T_4367 @[lsu_bus_buffer.scala 514:10] + buf_sz[2] <= _T_4369 @[lsu_bus_buffer.scala 514:10] + buf_sz[3] <= _T_4371 @[lsu_bus_buffer.scala 514:10] + node _T_4372 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 515:80] + inst rvclkhdr_4 of rvclkhdr_28 @[lib.scala 368:23] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_4.io.en <= _T_4372 @[lib.scala 371:17] + rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4373 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4373 <= buf_addr_in[0] @[lib.scala 374:16] + node _T_4374 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 515:80] + inst rvclkhdr_5 of rvclkhdr_29 @[lib.scala 368:23] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_5.io.en <= _T_4374 @[lib.scala 371:17] + rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4375 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4375 <= buf_addr_in[1] @[lib.scala 374:16] + node _T_4376 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 515:80] + inst rvclkhdr_6 of rvclkhdr_30 @[lib.scala 368:23] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= reset + rvclkhdr_6.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_6.io.en <= _T_4376 @[lib.scala 371:17] + rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4377 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4377 <= buf_addr_in[2] @[lib.scala 374:16] + node _T_4378 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 515:80] + inst rvclkhdr_7 of rvclkhdr_31 @[lib.scala 368:23] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= reset + rvclkhdr_7.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_7.io.en <= _T_4378 @[lib.scala 371:17] + rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4379 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4379 <= buf_addr_in[3] @[lib.scala 374:16] + buf_addr[0] <= _T_4373 @[lsu_bus_buffer.scala 515:12] + buf_addr[1] <= _T_4375 @[lsu_bus_buffer.scala 515:12] + buf_addr[2] <= _T_4377 @[lsu_bus_buffer.scala 515:12] + buf_addr[3] <= _T_4379 @[lsu_bus_buffer.scala 515:12] + node _T_4380 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 516:125] + reg _T_4381 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4380 : @[Reg.scala 28:19] + _T_4381 <= buf_byteen_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4382 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 516:125] + reg _T_4383 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4382 : @[Reg.scala 28:19] + _T_4383 <= buf_byteen_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4384 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 516:125] + reg _T_4385 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4384 : @[Reg.scala 28:19] + _T_4385 <= buf_byteen_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4386 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 516:125] + reg _T_4387 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4386 : @[Reg.scala 28:19] + _T_4387 <= buf_byteen_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_byteen[0] <= _T_4381 @[lsu_bus_buffer.scala 516:14] + buf_byteen[1] <= _T_4383 @[lsu_bus_buffer.scala 516:14] + buf_byteen[2] <= _T_4385 @[lsu_bus_buffer.scala 516:14] + buf_byteen[3] <= _T_4387 @[lsu_bus_buffer.scala 516:14] + inst rvclkhdr_8 of rvclkhdr_32 @[lib.scala 368:23] + rvclkhdr_8.clock <= clock + rvclkhdr_8.reset <= reset + rvclkhdr_8.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_8.io.en <= buf_data_en[0] @[lib.scala 371:17] + rvclkhdr_8.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4388 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4388 <= buf_data_in[0] @[lib.scala 374:16] + inst rvclkhdr_9 of rvclkhdr_33 @[lib.scala 368:23] + rvclkhdr_9.clock <= clock + rvclkhdr_9.reset <= reset + rvclkhdr_9.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_9.io.en <= buf_data_en[1] @[lib.scala 371:17] + rvclkhdr_9.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4389 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4389 <= buf_data_in[1] @[lib.scala 374:16] + inst rvclkhdr_10 of rvclkhdr_34 @[lib.scala 368:23] + rvclkhdr_10.clock <= clock + rvclkhdr_10.reset <= reset + rvclkhdr_10.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_10.io.en <= buf_data_en[2] @[lib.scala 371:17] + rvclkhdr_10.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4390 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4390 <= buf_data_in[2] @[lib.scala 374:16] + inst rvclkhdr_11 of rvclkhdr_35 @[lib.scala 368:23] + rvclkhdr_11.clock <= clock + rvclkhdr_11.reset <= reset + rvclkhdr_11.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_11.io.en <= buf_data_en[3] @[lib.scala 371:17] + rvclkhdr_11.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4391 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4391 <= buf_data_in[3] @[lib.scala 374:16] + buf_data[0] <= _T_4388 @[lsu_bus_buffer.scala 517:12] + buf_data[1] <= _T_4389 @[lsu_bus_buffer.scala 517:12] + buf_data[2] <= _T_4390 @[lsu_bus_buffer.scala 517:12] + buf_data[3] <= _T_4391 @[lsu_bus_buffer.scala 517:12] + node _T_4392 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 518:119] + node _T_4393 = mux(buf_error_en[0], UInt<1>("h01"), _T_4392) @[lsu_bus_buffer.scala 518:84] + node _T_4394 = eq(buf_rst[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 518:126] + node _T_4395 = and(_T_4393, _T_4394) @[lsu_bus_buffer.scala 518:124] + reg _T_4396 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 518:80] + _T_4396 <= _T_4395 @[lsu_bus_buffer.scala 518:80] + node _T_4397 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 518:119] + node _T_4398 = mux(buf_error_en[1], UInt<1>("h01"), _T_4397) @[lsu_bus_buffer.scala 518:84] + node _T_4399 = eq(buf_rst[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 518:126] + node _T_4400 = and(_T_4398, _T_4399) @[lsu_bus_buffer.scala 518:124] + reg _T_4401 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 518:80] + _T_4401 <= _T_4400 @[lsu_bus_buffer.scala 518:80] + node _T_4402 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 518:119] + node _T_4403 = mux(buf_error_en[2], UInt<1>("h01"), _T_4402) @[lsu_bus_buffer.scala 518:84] + node _T_4404 = eq(buf_rst[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 518:126] + node _T_4405 = and(_T_4403, _T_4404) @[lsu_bus_buffer.scala 518:124] + reg _T_4406 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 518:80] + _T_4406 <= _T_4405 @[lsu_bus_buffer.scala 518:80] + node _T_4407 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 518:119] + node _T_4408 = mux(buf_error_en[3], UInt<1>("h01"), _T_4407) @[lsu_bus_buffer.scala 518:84] + node _T_4409 = eq(buf_rst[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 518:126] + node _T_4410 = and(_T_4408, _T_4409) @[lsu_bus_buffer.scala 518:124] + reg _T_4411 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 518:80] + _T_4411 <= _T_4410 @[lsu_bus_buffer.scala 518:80] + node _T_4412 = cat(_T_4411, _T_4406) @[Cat.scala 29:58] + node _T_4413 = cat(_T_4412, _T_4401) @[Cat.scala 29:58] + node _T_4414 = cat(_T_4413, _T_4396) @[Cat.scala 29:58] + buf_error <= _T_4414 @[lsu_bus_buffer.scala 518:13] + node _T_4415 = cat(io.lsu_busreq_m, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_4416 = mux(io.ldst_dual_m, _T_4415, io.lsu_busreq_m) @[lsu_bus_buffer.scala 521:28] + node _T_4417 = cat(io.lsu_busreq_r, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_4418 = mux(io.ldst_dual_r, _T_4417, io.lsu_busreq_r) @[lsu_bus_buffer.scala 521:94] + node _T_4419 = add(_T_4416, _T_4418) @[lsu_bus_buffer.scala 521:88] + node _T_4420 = add(_T_4419, ibuf_valid) @[lsu_bus_buffer.scala 521:154] + node _T_4421 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 521:190] + node _T_4422 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 521:190] + node _T_4423 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 521:190] + node _T_4424 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 521:190] + node _T_4425 = add(_T_4421, _T_4422) @[lsu_bus_buffer.scala 521:217] + node _T_4426 = add(_T_4425, _T_4423) @[lsu_bus_buffer.scala 521:217] + node _T_4427 = add(_T_4426, _T_4424) @[lsu_bus_buffer.scala 521:217] + node _T_4428 = add(_T_4420, _T_4427) @[lsu_bus_buffer.scala 521:169] + node buf_numvld_any = tail(_T_4428, 1) @[lsu_bus_buffer.scala 521:169] + node _T_4429 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 522:60] + node _T_4430 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 522:79] + node _T_4431 = and(_T_4429, _T_4430) @[lsu_bus_buffer.scala 522:64] + node _T_4432 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 522:91] + node _T_4433 = and(_T_4431, _T_4432) @[lsu_bus_buffer.scala 522:89] + node _T_4434 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 522:60] + node _T_4435 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 522:79] + node _T_4436 = and(_T_4434, _T_4435) @[lsu_bus_buffer.scala 522:64] + node _T_4437 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 522:91] + node _T_4438 = and(_T_4436, _T_4437) @[lsu_bus_buffer.scala 522:89] + node _T_4439 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 522:60] + node _T_4440 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 522:79] + node _T_4441 = and(_T_4439, _T_4440) @[lsu_bus_buffer.scala 522:64] + node _T_4442 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 522:91] + node _T_4443 = and(_T_4441, _T_4442) @[lsu_bus_buffer.scala 522:89] + node _T_4444 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 522:60] + node _T_4445 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 522:79] + node _T_4446 = and(_T_4444, _T_4445) @[lsu_bus_buffer.scala 522:64] + node _T_4447 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 522:91] + node _T_4448 = and(_T_4446, _T_4447) @[lsu_bus_buffer.scala 522:89] + node _T_4449 = add(_T_4448, _T_4443) @[lsu_bus_buffer.scala 522:142] + node _T_4450 = add(_T_4449, _T_4438) @[lsu_bus_buffer.scala 522:142] + node _T_4451 = add(_T_4450, _T_4433) @[lsu_bus_buffer.scala 522:142] + buf_numvld_wrcmd_any <= _T_4451 @[lsu_bus_buffer.scala 522:24] + node _T_4452 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 523:63] + node _T_4453 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:75] + node _T_4454 = and(_T_4452, _T_4453) @[lsu_bus_buffer.scala 523:73] + node _T_4455 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 523:63] + node _T_4456 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:75] + node _T_4457 = and(_T_4455, _T_4456) @[lsu_bus_buffer.scala 523:73] + node _T_4458 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 523:63] + node _T_4459 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:75] + node _T_4460 = and(_T_4458, _T_4459) @[lsu_bus_buffer.scala 523:73] + node _T_4461 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 523:63] + node _T_4462 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:75] + node _T_4463 = and(_T_4461, _T_4462) @[lsu_bus_buffer.scala 523:73] + node _T_4464 = add(_T_4463, _T_4460) @[lsu_bus_buffer.scala 523:126] + node _T_4465 = add(_T_4464, _T_4457) @[lsu_bus_buffer.scala 523:126] + node _T_4466 = add(_T_4465, _T_4454) @[lsu_bus_buffer.scala 523:126] + buf_numvld_cmd_any <= _T_4466 @[lsu_bus_buffer.scala 523:22] + node _T_4467 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 524:63] + node _T_4468 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 524:90] + node _T_4469 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 524:102] + node _T_4470 = and(_T_4468, _T_4469) @[lsu_bus_buffer.scala 524:100] + node _T_4471 = or(_T_4467, _T_4470) @[lsu_bus_buffer.scala 524:74] + node _T_4472 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 524:63] + node _T_4473 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 524:90] + node _T_4474 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 524:102] + node _T_4475 = and(_T_4473, _T_4474) @[lsu_bus_buffer.scala 524:100] + node _T_4476 = or(_T_4472, _T_4475) @[lsu_bus_buffer.scala 524:74] + node _T_4477 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 524:63] + node _T_4478 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 524:90] + node _T_4479 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 524:102] + node _T_4480 = and(_T_4478, _T_4479) @[lsu_bus_buffer.scala 524:100] + node _T_4481 = or(_T_4477, _T_4480) @[lsu_bus_buffer.scala 524:74] + node _T_4482 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 524:63] + node _T_4483 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 524:90] + node _T_4484 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 524:102] + node _T_4485 = and(_T_4483, _T_4484) @[lsu_bus_buffer.scala 524:100] + node _T_4486 = or(_T_4482, _T_4485) @[lsu_bus_buffer.scala 524:74] + node _T_4487 = add(_T_4486, _T_4481) @[lsu_bus_buffer.scala 524:154] + node _T_4488 = add(_T_4487, _T_4476) @[lsu_bus_buffer.scala 524:154] + node _T_4489 = add(_T_4488, _T_4471) @[lsu_bus_buffer.scala 524:154] + buf_numvld_pend_any <= _T_4489 @[lsu_bus_buffer.scala 524:23] + node _T_4490 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 525:61] + node _T_4491 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 525:61] + node _T_4492 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 525:61] + node _T_4493 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 525:61] + node _T_4494 = or(_T_4493, _T_4492) @[lsu_bus_buffer.scala 525:93] + node _T_4495 = or(_T_4494, _T_4491) @[lsu_bus_buffer.scala 525:93] + node _T_4496 = or(_T_4495, _T_4490) @[lsu_bus_buffer.scala 525:93] + any_done_wait_state <= _T_4496 @[lsu_bus_buffer.scala 525:23] + node _T_4497 = orr(buf_numvld_pend_any) @[lsu_bus_buffer.scala 526:53] + io.lsu_bus_buffer_pend_any <= _T_4497 @[lsu_bus_buffer.scala 526:30] + node _T_4498 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[lsu_bus_buffer.scala 527:52] + node _T_4499 = geq(buf_numvld_any, UInt<2>("h03")) @[lsu_bus_buffer.scala 527:92] + node _T_4500 = eq(buf_numvld_any, UInt<3>("h04")) @[lsu_bus_buffer.scala 527:121] + node _T_4501 = mux(_T_4498, _T_4499, _T_4500) @[lsu_bus_buffer.scala 527:36] + io.lsu_bus_buffer_full_any <= _T_4501 @[lsu_bus_buffer.scala 527:30] + node _T_4502 = orr(buf_state[0]) @[lsu_bus_buffer.scala 528:52] + node _T_4503 = orr(buf_state[1]) @[lsu_bus_buffer.scala 528:52] + node _T_4504 = orr(buf_state[2]) @[lsu_bus_buffer.scala 528:52] + node _T_4505 = orr(buf_state[3]) @[lsu_bus_buffer.scala 528:52] + node _T_4506 = or(_T_4502, _T_4503) @[lsu_bus_buffer.scala 528:65] + node _T_4507 = or(_T_4506, _T_4504) @[lsu_bus_buffer.scala 528:65] + node _T_4508 = or(_T_4507, _T_4505) @[lsu_bus_buffer.scala 528:65] + node _T_4509 = eq(_T_4508, UInt<1>("h00")) @[lsu_bus_buffer.scala 528:34] + node _T_4510 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 528:72] + node _T_4511 = and(_T_4509, _T_4510) @[lsu_bus_buffer.scala 528:70] + node _T_4512 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 528:86] + node _T_4513 = and(_T_4511, _T_4512) @[lsu_bus_buffer.scala 528:84] + io.lsu_bus_buffer_empty_any <= _T_4513 @[lsu_bus_buffer.scala 528:31] + node _T_4514 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[lsu_bus_buffer.scala 530:64] + node _T_4515 = and(_T_4514, io.lsu_pkt_m.bits.load) @[lsu_bus_buffer.scala 530:85] + node _T_4516 = eq(io.flush_m_up, UInt<1>("h00")) @[lsu_bus_buffer.scala 530:112] + node _T_4517 = and(_T_4515, _T_4516) @[lsu_bus_buffer.scala 530:110] + node _T_4518 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 530:129] + node _T_4519 = and(_T_4517, _T_4518) @[lsu_bus_buffer.scala 530:127] + io.dctl_busbuff.lsu_nonblock_load_valid_m <= _T_4519 @[lsu_bus_buffer.scala 530:45] + io.dctl_busbuff.lsu_nonblock_load_tag_m <= WrPtr0_m @[lsu_bus_buffer.scala 531:43] + wire lsu_nonblock_load_valid_r : UInt<1> + lsu_nonblock_load_valid_r <= UInt<1>("h00") + node _T_4520 = eq(io.lsu_commit_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 533:74] + node _T_4521 = and(lsu_nonblock_load_valid_r, _T_4520) @[lsu_bus_buffer.scala 533:72] + io.dctl_busbuff.lsu_nonblock_load_inv_r <= _T_4521 @[lsu_bus_buffer.scala 533:43] + io.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[lsu_bus_buffer.scala 534:47] + node _T_4522 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 535:80] + node _T_4523 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 535:127] + node _T_4524 = and(UInt<1>("h01"), _T_4523) @[lsu_bus_buffer.scala 535:116] + node _T_4525 = eq(_T_4524, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:95] + node _T_4526 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 535:80] + node _T_4527 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 535:127] + node _T_4528 = and(UInt<1>("h01"), _T_4527) @[lsu_bus_buffer.scala 535:116] + node _T_4529 = eq(_T_4528, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:95] + node _T_4530 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 535:80] + node _T_4531 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 535:127] + node _T_4532 = and(UInt<1>("h01"), _T_4531) @[lsu_bus_buffer.scala 535:116] + node _T_4533 = eq(_T_4532, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:95] + node _T_4534 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 535:80] + node _T_4535 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 535:127] + node _T_4536 = and(UInt<1>("h01"), _T_4535) @[lsu_bus_buffer.scala 535:116] + node _T_4537 = eq(_T_4536, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:95] + node _T_4538 = mux(_T_4522, _T_4525, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4539 = mux(_T_4526, _T_4529, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4540 = mux(_T_4530, _T_4533, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4541 = mux(_T_4534, _T_4537, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4542 = or(_T_4538, _T_4539) @[Mux.scala 27:72] + node _T_4543 = or(_T_4542, _T_4540) @[Mux.scala 27:72] + node _T_4544 = or(_T_4543, _T_4541) @[Mux.scala 27:72] + wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] + lsu_nonblock_load_data_ready <= _T_4544 @[Mux.scala 27:72] + node _T_4545 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 536:93] + node _T_4546 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 536:117] + node _T_4547 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 536:133] + node _T_4548 = eq(_T_4547, UInt<1>("h00")) @[lsu_bus_buffer.scala 536:123] + node _T_4549 = and(_T_4546, _T_4548) @[lsu_bus_buffer.scala 536:121] + node _T_4550 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 536:93] + node _T_4551 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 536:117] + node _T_4552 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 536:133] + node _T_4553 = eq(_T_4552, UInt<1>("h00")) @[lsu_bus_buffer.scala 536:123] + node _T_4554 = and(_T_4551, _T_4553) @[lsu_bus_buffer.scala 536:121] + node _T_4555 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 536:93] + node _T_4556 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 536:117] + node _T_4557 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 536:133] + node _T_4558 = eq(_T_4557, UInt<1>("h00")) @[lsu_bus_buffer.scala 536:123] + node _T_4559 = and(_T_4556, _T_4558) @[lsu_bus_buffer.scala 536:121] + node _T_4560 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 536:93] + node _T_4561 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 536:117] + node _T_4562 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 536:133] + node _T_4563 = eq(_T_4562, UInt<1>("h00")) @[lsu_bus_buffer.scala 536:123] + node _T_4564 = and(_T_4561, _T_4563) @[lsu_bus_buffer.scala 536:121] + node _T_4565 = mux(_T_4545, _T_4549, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4566 = mux(_T_4550, _T_4554, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4567 = mux(_T_4555, _T_4559, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4568 = mux(_T_4560, _T_4564, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4569 = or(_T_4565, _T_4566) @[Mux.scala 27:72] + node _T_4570 = or(_T_4569, _T_4567) @[Mux.scala 27:72] + node _T_4571 = or(_T_4570, _T_4568) @[Mux.scala 27:72] + wire _T_4572 : UInt<1> @[Mux.scala 27:72] + _T_4572 <= _T_4571 @[Mux.scala 27:72] + io.dctl_busbuff.lsu_nonblock_load_data_error <= _T_4572 @[lsu_bus_buffer.scala 536:48] + node _T_4573 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 537:92] + node _T_4574 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 537:115] + node _T_4575 = eq(_T_4574, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:105] + node _T_4576 = and(_T_4573, _T_4575) @[lsu_bus_buffer.scala 537:103] + node _T_4577 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:122] + node _T_4578 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:137] + node _T_4579 = or(_T_4577, _T_4578) @[lsu_bus_buffer.scala 537:135] + node _T_4580 = and(_T_4576, _T_4579) @[lsu_bus_buffer.scala 537:119] + node _T_4581 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 537:92] + node _T_4582 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 537:115] + node _T_4583 = eq(_T_4582, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:105] + node _T_4584 = and(_T_4581, _T_4583) @[lsu_bus_buffer.scala 537:103] + node _T_4585 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:122] + node _T_4586 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:137] + node _T_4587 = or(_T_4585, _T_4586) @[lsu_bus_buffer.scala 537:135] + node _T_4588 = and(_T_4584, _T_4587) @[lsu_bus_buffer.scala 537:119] + node _T_4589 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 537:92] + node _T_4590 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 537:115] + node _T_4591 = eq(_T_4590, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:105] + node _T_4592 = and(_T_4589, _T_4591) @[lsu_bus_buffer.scala 537:103] + node _T_4593 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:122] + node _T_4594 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:137] + node _T_4595 = or(_T_4593, _T_4594) @[lsu_bus_buffer.scala 537:135] + node _T_4596 = and(_T_4592, _T_4595) @[lsu_bus_buffer.scala 537:119] + node _T_4597 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 537:92] + node _T_4598 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 537:115] + node _T_4599 = eq(_T_4598, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:105] + node _T_4600 = and(_T_4597, _T_4599) @[lsu_bus_buffer.scala 537:103] + node _T_4601 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:122] + node _T_4602 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:137] + node _T_4603 = or(_T_4601, _T_4602) @[lsu_bus_buffer.scala 537:135] + node _T_4604 = and(_T_4600, _T_4603) @[lsu_bus_buffer.scala 537:119] + node _T_4605 = mux(_T_4580, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4606 = mux(_T_4588, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4607 = mux(_T_4596, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4608 = mux(_T_4604, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4609 = or(_T_4605, _T_4606) @[Mux.scala 27:72] + node _T_4610 = or(_T_4609, _T_4607) @[Mux.scala 27:72] + node _T_4611 = or(_T_4610, _T_4608) @[Mux.scala 27:72] + wire _T_4612 : UInt<2> @[Mux.scala 27:72] + _T_4612 <= _T_4611 @[Mux.scala 27:72] + io.dctl_busbuff.lsu_nonblock_load_data_tag <= _T_4612 @[lsu_bus_buffer.scala 537:46] + node _T_4613 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:78] + node _T_4614 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 538:101] + node _T_4615 = eq(_T_4614, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:91] + node _T_4616 = and(_T_4613, _T_4615) @[lsu_bus_buffer.scala 538:89] + node _T_4617 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 538:108] + node _T_4618 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 538:123] + node _T_4619 = or(_T_4617, _T_4618) @[lsu_bus_buffer.scala 538:121] + node _T_4620 = and(_T_4616, _T_4619) @[lsu_bus_buffer.scala 538:105] + node _T_4621 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:78] + node _T_4622 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 538:101] + node _T_4623 = eq(_T_4622, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:91] + node _T_4624 = and(_T_4621, _T_4623) @[lsu_bus_buffer.scala 538:89] + node _T_4625 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 538:108] + node _T_4626 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 538:123] + node _T_4627 = or(_T_4625, _T_4626) @[lsu_bus_buffer.scala 538:121] + node _T_4628 = and(_T_4624, _T_4627) @[lsu_bus_buffer.scala 538:105] + node _T_4629 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:78] + node _T_4630 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 538:101] + node _T_4631 = eq(_T_4630, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:91] + node _T_4632 = and(_T_4629, _T_4631) @[lsu_bus_buffer.scala 538:89] + node _T_4633 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 538:108] + node _T_4634 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 538:123] + node _T_4635 = or(_T_4633, _T_4634) @[lsu_bus_buffer.scala 538:121] + node _T_4636 = and(_T_4632, _T_4635) @[lsu_bus_buffer.scala 538:105] + node _T_4637 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:78] + node _T_4638 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 538:101] + node _T_4639 = eq(_T_4638, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:91] + node _T_4640 = and(_T_4637, _T_4639) @[lsu_bus_buffer.scala 538:89] + node _T_4641 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 538:108] + node _T_4642 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 538:123] + node _T_4643 = or(_T_4641, _T_4642) @[lsu_bus_buffer.scala 538:121] + node _T_4644 = and(_T_4640, _T_4643) @[lsu_bus_buffer.scala 538:105] + node _T_4645 = mux(_T_4620, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4646 = mux(_T_4628, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4647 = mux(_T_4636, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4648 = mux(_T_4644, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4649 = or(_T_4645, _T_4646) @[Mux.scala 27:72] + node _T_4650 = or(_T_4649, _T_4647) @[Mux.scala 27:72] + node _T_4651 = or(_T_4650, _T_4648) @[Mux.scala 27:72] + wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] + lsu_nonblock_load_data_lo <= _T_4651 @[Mux.scala 27:72] + node _T_4652 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 539:78] + node _T_4653 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 539:101] + node _T_4654 = eq(_T_4653, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:91] + node _T_4655 = and(_T_4652, _T_4654) @[lsu_bus_buffer.scala 539:89] + node _T_4656 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 539:120] + node _T_4657 = and(_T_4655, _T_4656) @[lsu_bus_buffer.scala 539:105] + node _T_4658 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 539:78] + node _T_4659 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 539:101] + node _T_4660 = eq(_T_4659, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:91] + node _T_4661 = and(_T_4658, _T_4660) @[lsu_bus_buffer.scala 539:89] + node _T_4662 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 539:120] + node _T_4663 = and(_T_4661, _T_4662) @[lsu_bus_buffer.scala 539:105] + node _T_4664 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 539:78] + node _T_4665 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 539:101] + node _T_4666 = eq(_T_4665, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:91] + node _T_4667 = and(_T_4664, _T_4666) @[lsu_bus_buffer.scala 539:89] + node _T_4668 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 539:120] + node _T_4669 = and(_T_4667, _T_4668) @[lsu_bus_buffer.scala 539:105] + node _T_4670 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 539:78] + node _T_4671 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 539:101] + node _T_4672 = eq(_T_4671, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:91] + node _T_4673 = and(_T_4670, _T_4672) @[lsu_bus_buffer.scala 539:89] + node _T_4674 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 539:120] + node _T_4675 = and(_T_4673, _T_4674) @[lsu_bus_buffer.scala 539:105] + node _T_4676 = mux(_T_4657, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4677 = mux(_T_4663, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4678 = mux(_T_4669, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4679 = mux(_T_4675, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4680 = or(_T_4676, _T_4677) @[Mux.scala 27:72] + node _T_4681 = or(_T_4680, _T_4678) @[Mux.scala 27:72] + node _T_4682 = or(_T_4681, _T_4679) @[Mux.scala 27:72] + wire lsu_nonblock_load_data_hi : UInt<32> @[Mux.scala 27:72] + lsu_nonblock_load_data_hi <= _T_4682 @[Mux.scala 27:72] + node _T_4683 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_4684 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_4685 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_4686 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_4687 = mux(_T_4683, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4688 = mux(_T_4684, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4689 = mux(_T_4685, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4690 = mux(_T_4686, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4691 = or(_T_4687, _T_4688) @[Mux.scala 27:72] + node _T_4692 = or(_T_4691, _T_4689) @[Mux.scala 27:72] + node _T_4693 = or(_T_4692, _T_4690) @[Mux.scala 27:72] + wire _T_4694 : UInt<32> @[Mux.scala 27:72] + _T_4694 <= _T_4693 @[Mux.scala 27:72] + node lsu_nonblock_addr_offset = bits(_T_4694, 1, 0) @[lsu_bus_buffer.scala 540:96] + node _T_4695 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_4696 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_4697 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_4698 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_4699 = mux(_T_4695, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4700 = mux(_T_4696, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4701 = mux(_T_4697, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4702 = mux(_T_4698, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4703 = or(_T_4699, _T_4700) @[Mux.scala 27:72] + node _T_4704 = or(_T_4703, _T_4701) @[Mux.scala 27:72] + node _T_4705 = or(_T_4704, _T_4702) @[Mux.scala 27:72] + wire lsu_nonblock_sz : UInt<2> @[Mux.scala 27:72] + lsu_nonblock_sz <= _T_4705 @[Mux.scala 27:72] + node _T_4706 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_4707 = bits(buf_unsign, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_4708 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_4709 = bits(buf_unsign, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_4710 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_4711 = bits(buf_unsign, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_4712 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_4713 = bits(buf_unsign, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_4714 = mux(_T_4706, _T_4707, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4715 = mux(_T_4708, _T_4709, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4716 = mux(_T_4710, _T_4711, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4717 = mux(_T_4712, _T_4713, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4718 = or(_T_4714, _T_4715) @[Mux.scala 27:72] + node _T_4719 = or(_T_4718, _T_4716) @[Mux.scala 27:72] + node _T_4720 = or(_T_4719, _T_4717) @[Mux.scala 27:72] + wire lsu_nonblock_unsign : UInt<1> @[Mux.scala 27:72] + lsu_nonblock_unsign <= _T_4720 @[Mux.scala 27:72] + node _T_4721 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_4722 = cat(_T_4721, buf_dual[1]) @[Cat.scala 29:58] + node _T_4723 = cat(_T_4722, buf_dual[0]) @[Cat.scala 29:58] + node _T_4724 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_4725 = bits(_T_4723, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_4726 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_4727 = bits(_T_4723, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_4728 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_4729 = bits(_T_4723, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_4730 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_4731 = bits(_T_4723, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_4732 = mux(_T_4724, _T_4725, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4733 = mux(_T_4726, _T_4727, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4734 = mux(_T_4728, _T_4729, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4735 = mux(_T_4730, _T_4731, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4736 = or(_T_4732, _T_4733) @[Mux.scala 27:72] + node _T_4737 = or(_T_4736, _T_4734) @[Mux.scala 27:72] + node _T_4738 = or(_T_4737, _T_4735) @[Mux.scala 27:72] + wire lsu_nonblock_dual : UInt<1> @[Mux.scala 27:72] + lsu_nonblock_dual <= _T_4738 @[Mux.scala 27:72] + node _T_4739 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] + node _T_4740 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[lsu_bus_buffer.scala 544:121] + node lsu_nonblock_data_unalgn = dshr(_T_4739, _T_4740) @[lsu_bus_buffer.scala 544:92] + node _T_4741 = eq(io.dctl_busbuff.lsu_nonblock_load_data_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:82] + node _T_4742 = and(lsu_nonblock_load_data_ready, _T_4741) @[lsu_bus_buffer.scala 546:80] + io.dctl_busbuff.lsu_nonblock_load_data_valid <= _T_4742 @[lsu_bus_buffer.scala 546:48] + node _T_4743 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 547:94] + node _T_4744 = and(lsu_nonblock_unsign, _T_4743) @[lsu_bus_buffer.scala 547:76] + node _T_4745 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 547:144] + node _T_4746 = cat(UInt<24>("h00"), _T_4745) @[Cat.scala 29:58] + node _T_4747 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 548:45] + node _T_4748 = and(lsu_nonblock_unsign, _T_4747) @[lsu_bus_buffer.scala 548:26] + node _T_4749 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 548:95] + node _T_4750 = cat(UInt<16>("h00"), _T_4749) @[Cat.scala 29:58] + node _T_4751 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 549:6] + node _T_4752 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 549:45] + node _T_4753 = and(_T_4751, _T_4752) @[lsu_bus_buffer.scala 549:27] + node _T_4754 = bits(lsu_nonblock_data_unalgn, 7, 7) @[lsu_bus_buffer.scala 549:93] + node _T_4755 = bits(_T_4754, 0, 0) @[Bitwise.scala 72:15] + node _T_4756 = mux(_T_4755, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_4757 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 549:123] + node _T_4758 = cat(_T_4756, _T_4757) @[Cat.scala 29:58] + node _T_4759 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 550:6] + node _T_4760 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 550:45] + node _T_4761 = and(_T_4759, _T_4760) @[lsu_bus_buffer.scala 550:27] + node _T_4762 = bits(lsu_nonblock_data_unalgn, 15, 15) @[lsu_bus_buffer.scala 550:93] + node _T_4763 = bits(_T_4762, 0, 0) @[Bitwise.scala 72:15] + node _T_4764 = mux(_T_4763, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_4765 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 550:124] + node _T_4766 = cat(_T_4764, _T_4765) @[Cat.scala 29:58] + node _T_4767 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[lsu_bus_buffer.scala 551:21] + node _T_4768 = mux(_T_4744, _T_4746, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4769 = mux(_T_4748, _T_4750, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4770 = mux(_T_4753, _T_4758, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4771 = mux(_T_4761, _T_4766, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4772 = mux(_T_4767, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4773 = or(_T_4768, _T_4769) @[Mux.scala 27:72] + node _T_4774 = or(_T_4773, _T_4770) @[Mux.scala 27:72] + node _T_4775 = or(_T_4774, _T_4771) @[Mux.scala 27:72] + node _T_4776 = or(_T_4775, _T_4772) @[Mux.scala 27:72] + wire _T_4777 : UInt<64> @[Mux.scala 27:72] + _T_4777 <= _T_4776 @[Mux.scala 27:72] + io.dctl_busbuff.lsu_nonblock_load_data <= _T_4777 @[lsu_bus_buffer.scala 547:42] + node _T_4778 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 552:62] + node _T_4779 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 552:89] + node _T_4780 = and(_T_4778, _T_4779) @[lsu_bus_buffer.scala 552:73] + node _T_4781 = and(_T_4780, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 552:93] + node _T_4782 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 552:62] + node _T_4783 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 552:89] + node _T_4784 = and(_T_4782, _T_4783) @[lsu_bus_buffer.scala 552:73] + node _T_4785 = and(_T_4784, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 552:93] + node _T_4786 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 552:62] + node _T_4787 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 552:89] + node _T_4788 = and(_T_4786, _T_4787) @[lsu_bus_buffer.scala 552:73] + node _T_4789 = and(_T_4788, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 552:93] + node _T_4790 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 552:62] + node _T_4791 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 552:89] + node _T_4792 = and(_T_4790, _T_4791) @[lsu_bus_buffer.scala 552:73] + node _T_4793 = and(_T_4792, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 552:93] + node _T_4794 = or(_T_4781, _T_4785) @[lsu_bus_buffer.scala 552:153] + node _T_4795 = or(_T_4794, _T_4789) @[lsu_bus_buffer.scala 552:153] + node _T_4796 = or(_T_4795, _T_4793) @[lsu_bus_buffer.scala 552:153] + node _T_4797 = and(obuf_valid, obuf_sideeffect) @[lsu_bus_buffer.scala 552:171] + node _T_4798 = and(_T_4797, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 552:189] + node _T_4799 = or(_T_4796, _T_4798) @[lsu_bus_buffer.scala 552:157] + bus_sideeffect_pend <= _T_4799 @[lsu_bus_buffer.scala 552:23] + node _T_4800 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 553:71] + node _T_4801 = and(UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 554:25] + node _T_4802 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 554:50] + node _T_4803 = bits(buf_addr[0], 31, 3) @[lsu_bus_buffer.scala 554:70] + node _T_4804 = eq(_T_4802, _T_4803) @[lsu_bus_buffer.scala 554:56] + node _T_4805 = and(_T_4801, _T_4804) @[lsu_bus_buffer.scala 554:38] + node _T_4806 = eq(obuf_tag0, UInt<1>("h00")) @[lsu_bus_buffer.scala 554:92] + node _T_4807 = eq(obuf_tag1, UInt<1>("h00")) @[lsu_bus_buffer.scala 554:126] + node _T_4808 = and(obuf_merge, _T_4807) @[lsu_bus_buffer.scala 554:114] + node _T_4809 = or(_T_4806, _T_4808) @[lsu_bus_buffer.scala 554:100] + node _T_4810 = eq(_T_4809, UInt<1>("h00")) @[lsu_bus_buffer.scala 554:80] + node _T_4811 = and(_T_4805, _T_4810) @[lsu_bus_buffer.scala 554:78] + node _T_4812 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 553:71] + node _T_4813 = and(UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 554:25] + node _T_4814 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 554:50] + node _T_4815 = bits(buf_addr[1], 31, 3) @[lsu_bus_buffer.scala 554:70] + node _T_4816 = eq(_T_4814, _T_4815) @[lsu_bus_buffer.scala 554:56] + node _T_4817 = and(_T_4813, _T_4816) @[lsu_bus_buffer.scala 554:38] + node _T_4818 = eq(obuf_tag0, UInt<1>("h01")) @[lsu_bus_buffer.scala 554:92] + node _T_4819 = eq(obuf_tag1, UInt<1>("h01")) @[lsu_bus_buffer.scala 554:126] + node _T_4820 = and(obuf_merge, _T_4819) @[lsu_bus_buffer.scala 554:114] + node _T_4821 = or(_T_4818, _T_4820) @[lsu_bus_buffer.scala 554:100] + node _T_4822 = eq(_T_4821, UInt<1>("h00")) @[lsu_bus_buffer.scala 554:80] + node _T_4823 = and(_T_4817, _T_4822) @[lsu_bus_buffer.scala 554:78] + node _T_4824 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 553:71] + node _T_4825 = and(UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 554:25] + node _T_4826 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 554:50] + node _T_4827 = bits(buf_addr[2], 31, 3) @[lsu_bus_buffer.scala 554:70] + node _T_4828 = eq(_T_4826, _T_4827) @[lsu_bus_buffer.scala 554:56] + node _T_4829 = and(_T_4825, _T_4828) @[lsu_bus_buffer.scala 554:38] + node _T_4830 = eq(obuf_tag0, UInt<2>("h02")) @[lsu_bus_buffer.scala 554:92] + node _T_4831 = eq(obuf_tag1, UInt<2>("h02")) @[lsu_bus_buffer.scala 554:126] + node _T_4832 = and(obuf_merge, _T_4831) @[lsu_bus_buffer.scala 554:114] + node _T_4833 = or(_T_4830, _T_4832) @[lsu_bus_buffer.scala 554:100] + node _T_4834 = eq(_T_4833, UInt<1>("h00")) @[lsu_bus_buffer.scala 554:80] + node _T_4835 = and(_T_4829, _T_4834) @[lsu_bus_buffer.scala 554:78] + node _T_4836 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 553:71] + node _T_4837 = and(UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 554:25] + node _T_4838 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 554:50] + node _T_4839 = bits(buf_addr[3], 31, 3) @[lsu_bus_buffer.scala 554:70] + node _T_4840 = eq(_T_4838, _T_4839) @[lsu_bus_buffer.scala 554:56] + node _T_4841 = and(_T_4837, _T_4840) @[lsu_bus_buffer.scala 554:38] + node _T_4842 = eq(obuf_tag0, UInt<2>("h03")) @[lsu_bus_buffer.scala 554:92] + node _T_4843 = eq(obuf_tag1, UInt<2>("h03")) @[lsu_bus_buffer.scala 554:126] + node _T_4844 = and(obuf_merge, _T_4843) @[lsu_bus_buffer.scala 554:114] + node _T_4845 = or(_T_4842, _T_4844) @[lsu_bus_buffer.scala 554:100] + node _T_4846 = eq(_T_4845, UInt<1>("h00")) @[lsu_bus_buffer.scala 554:80] + node _T_4847 = and(_T_4841, _T_4846) @[lsu_bus_buffer.scala 554:78] + node _T_4848 = mux(_T_4800, _T_4811, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4849 = mux(_T_4812, _T_4823, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4850 = mux(_T_4824, _T_4835, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4851 = mux(_T_4836, _T_4847, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4852 = or(_T_4848, _T_4849) @[Mux.scala 27:72] + node _T_4853 = or(_T_4852, _T_4850) @[Mux.scala 27:72] + node _T_4854 = or(_T_4853, _T_4851) @[Mux.scala 27:72] + wire _T_4855 : UInt<1> @[Mux.scala 27:72] + _T_4855 <= _T_4854 @[Mux.scala 27:72] + bus_addr_match_pending <= _T_4855 @[lsu_bus_buffer.scala 553:26] + node _T_4856 = or(obuf_cmd_done, obuf_data_done) @[lsu_bus_buffer.scala 556:54] + node _T_4857 = mux(obuf_cmd_done, io.lsu_axi.w.ready, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 556:75] + node _T_4858 = and(io.lsu_axi.aw.ready, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 556:153] + node _T_4859 = mux(_T_4856, _T_4857, _T_4858) @[lsu_bus_buffer.scala 556:39] + node _T_4860 = mux(obuf_write, _T_4859, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 556:23] + bus_cmd_ready <= _T_4860 @[lsu_bus_buffer.scala 556:17] + node _T_4861 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 557:40] + bus_wcmd_sent <= _T_4861 @[lsu_bus_buffer.scala 557:17] + node _T_4862 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 558:40] + bus_wdata_sent <= _T_4862 @[lsu_bus_buffer.scala 558:18] + node _T_4863 = or(obuf_cmd_done, bus_wcmd_sent) @[lsu_bus_buffer.scala 559:35] + node _T_4864 = or(obuf_data_done, bus_wdata_sent) @[lsu_bus_buffer.scala 559:70] + node _T_4865 = and(_T_4863, _T_4864) @[lsu_bus_buffer.scala 559:52] + node _T_4866 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 559:112] + node _T_4867 = or(_T_4865, _T_4866) @[lsu_bus_buffer.scala 559:89] + bus_cmd_sent <= _T_4867 @[lsu_bus_buffer.scala 559:16] + node _T_4868 = and(io.lsu_axi.r.valid, io.lsu_axi.r.ready) @[lsu_bus_buffer.scala 560:38] + bus_rsp_read <= _T_4868 @[lsu_bus_buffer.scala 560:16] + node _T_4869 = and(io.lsu_axi.b.valid, io.lsu_axi.b.ready) @[lsu_bus_buffer.scala 561:39] + bus_rsp_write <= _T_4869 @[lsu_bus_buffer.scala 561:17] + bus_rsp_read_tag <= io.lsu_axi.r.bits.id @[lsu_bus_buffer.scala 562:20] + bus_rsp_write_tag <= io.lsu_axi.b.bits.id @[lsu_bus_buffer.scala 563:21] + node _T_4870 = neq(io.lsu_axi.b.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 564:66] + node _T_4871 = and(bus_rsp_write, _T_4870) @[lsu_bus_buffer.scala 564:40] + bus_rsp_write_error <= _T_4871 @[lsu_bus_buffer.scala 564:23] + node _T_4872 = neq(io.lsu_axi.r.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 565:64] + node _T_4873 = and(bus_rsp_read, _T_4872) @[lsu_bus_buffer.scala 565:38] + bus_rsp_read_error <= _T_4873 @[lsu_bus_buffer.scala 565:22] + bus_rsp_rdata <= io.lsu_axi.r.bits.data @[lsu_bus_buffer.scala 566:17] + node _T_4874 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 569:37] + node _T_4875 = eq(obuf_cmd_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 569:52] + node _T_4876 = and(_T_4874, _T_4875) @[lsu_bus_buffer.scala 569:50] + node _T_4877 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 569:69] + node _T_4878 = and(_T_4876, _T_4877) @[lsu_bus_buffer.scala 569:67] + io.lsu_axi.aw.valid <= _T_4878 @[lsu_bus_buffer.scala 569:23] + io.lsu_axi.aw.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 570:25] + node _T_4879 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 571:75] + node _T_4880 = cat(_T_4879, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4881 = mux(obuf_sideeffect, obuf_addr, _T_4880) @[lsu_bus_buffer.scala 571:33] + io.lsu_axi.aw.bits.addr <= _T_4881 @[lsu_bus_buffer.scala 571:27] + node _T_4882 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4883 = mux(obuf_sideeffect, _T_4882, UInt<3>("h03")) @[lsu_bus_buffer.scala 572:33] + io.lsu_axi.aw.bits.size <= _T_4883 @[lsu_bus_buffer.scala 572:27] + io.lsu_axi.aw.bits.prot <= UInt<1>("h00") @[lsu_bus_buffer.scala 573:27] + node _T_4884 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 574:34] + io.lsu_axi.aw.bits.cache <= _T_4884 @[lsu_bus_buffer.scala 574:28] + node _T_4885 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 575:41] + io.lsu_axi.aw.bits.region <= _T_4885 @[lsu_bus_buffer.scala 575:29] + io.lsu_axi.aw.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 576:26] + io.lsu_axi.aw.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 577:28] + io.lsu_axi.aw.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 578:26] + io.lsu_axi.aw.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 579:27] + node _T_4886 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 581:36] + node _T_4887 = eq(obuf_data_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 581:51] + node _T_4888 = and(_T_4886, _T_4887) @[lsu_bus_buffer.scala 581:49] + node _T_4889 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 581:69] + node _T_4890 = and(_T_4888, _T_4889) @[lsu_bus_buffer.scala 581:67] + io.lsu_axi.w.valid <= _T_4890 @[lsu_bus_buffer.scala 581:22] + node _T_4891 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] + node _T_4892 = mux(_T_4891, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_4893 = and(obuf_byteen, _T_4892) @[lsu_bus_buffer.scala 582:41] + io.lsu_axi.w.bits.strb <= _T_4893 @[lsu_bus_buffer.scala 582:26] + io.lsu_axi.w.bits.data <= obuf_data @[lsu_bus_buffer.scala 583:26] + io.lsu_axi.w.bits.last <= UInt<1>("h01") @[lsu_bus_buffer.scala 584:26] + node _T_4894 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 586:39] + node _T_4895 = and(obuf_valid, _T_4894) @[lsu_bus_buffer.scala 586:37] + node _T_4896 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 586:53] + node _T_4897 = and(_T_4895, _T_4896) @[lsu_bus_buffer.scala 586:51] + node _T_4898 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 586:68] + node _T_4899 = and(_T_4897, _T_4898) @[lsu_bus_buffer.scala 586:66] + io.lsu_axi.ar.valid <= _T_4899 @[lsu_bus_buffer.scala 586:23] + io.lsu_axi.ar.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 587:25] + node _T_4900 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 588:75] + node _T_4901 = cat(_T_4900, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4902 = mux(obuf_sideeffect, obuf_addr, _T_4901) @[lsu_bus_buffer.scala 588:33] + io.lsu_axi.ar.bits.addr <= _T_4902 @[lsu_bus_buffer.scala 588:27] + node _T_4903 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4904 = mux(obuf_sideeffect, _T_4903, UInt<3>("h03")) @[lsu_bus_buffer.scala 589:33] + io.lsu_axi.ar.bits.size <= _T_4904 @[lsu_bus_buffer.scala 589:27] + io.lsu_axi.ar.bits.prot <= UInt<1>("h00") @[lsu_bus_buffer.scala 590:27] + node _T_4905 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 591:34] + io.lsu_axi.ar.bits.cache <= _T_4905 @[lsu_bus_buffer.scala 591:28] + node _T_4906 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 592:41] + io.lsu_axi.ar.bits.region <= _T_4906 @[lsu_bus_buffer.scala 592:29] + io.lsu_axi.ar.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 593:26] + io.lsu_axi.ar.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 594:28] + io.lsu_axi.ar.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 595:26] + io.lsu_axi.ar.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 596:27] + io.lsu_axi.b.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 597:22] + io.lsu_axi.r.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 598:22] + node _T_4907 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 599:93] + node _T_4908 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 599:137] + node _T_4909 = and(io.lsu_bus_clk_en_q, _T_4908) @[lsu_bus_buffer.scala 599:126] + node _T_4910 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 599:152] + node _T_4911 = and(_T_4909, _T_4910) @[lsu_bus_buffer.scala 599:141] + node _T_4912 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 599:93] + node _T_4913 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 599:137] + node _T_4914 = and(io.lsu_bus_clk_en_q, _T_4913) @[lsu_bus_buffer.scala 599:126] + node _T_4915 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 599:152] + node _T_4916 = and(_T_4914, _T_4915) @[lsu_bus_buffer.scala 599:141] + node _T_4917 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 599:93] + node _T_4918 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 599:137] + node _T_4919 = and(io.lsu_bus_clk_en_q, _T_4918) @[lsu_bus_buffer.scala 599:126] + node _T_4920 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 599:152] + node _T_4921 = and(_T_4919, _T_4920) @[lsu_bus_buffer.scala 599:141] + node _T_4922 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 599:93] + node _T_4923 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 599:137] + node _T_4924 = and(io.lsu_bus_clk_en_q, _T_4923) @[lsu_bus_buffer.scala 599:126] + node _T_4925 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 599:152] + node _T_4926 = and(_T_4924, _T_4925) @[lsu_bus_buffer.scala 599:141] + node _T_4927 = mux(_T_4907, _T_4911, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4928 = mux(_T_4912, _T_4916, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4929 = mux(_T_4917, _T_4921, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4930 = mux(_T_4922, _T_4926, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4931 = or(_T_4927, _T_4928) @[Mux.scala 27:72] + node _T_4932 = or(_T_4931, _T_4929) @[Mux.scala 27:72] + node _T_4933 = or(_T_4932, _T_4930) @[Mux.scala 27:72] + wire _T_4934 : UInt<1> @[Mux.scala 27:72] + _T_4934 <= _T_4933 @[Mux.scala 27:72] + io.tlu_busbuff.lsu_imprecise_error_store_any <= _T_4934 @[lsu_bus_buffer.scala 599:48] + node _T_4935 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 600:82] + node _T_4936 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 600:104] + node _T_4937 = and(_T_4935, _T_4936) @[lsu_bus_buffer.scala 600:93] + node _T_4938 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 600:119] + node _T_4939 = and(_T_4937, _T_4938) @[lsu_bus_buffer.scala 600:108] + node _T_4940 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 600:82] + node _T_4941 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 600:104] + node _T_4942 = and(_T_4940, _T_4941) @[lsu_bus_buffer.scala 600:93] + node _T_4943 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 600:119] + node _T_4944 = and(_T_4942, _T_4943) @[lsu_bus_buffer.scala 600:108] + node _T_4945 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 600:82] + node _T_4946 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 600:104] + node _T_4947 = and(_T_4945, _T_4946) @[lsu_bus_buffer.scala 600:93] + node _T_4948 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 600:119] + node _T_4949 = and(_T_4947, _T_4948) @[lsu_bus_buffer.scala 600:108] + node _T_4950 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 600:82] + node _T_4951 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 600:104] + node _T_4952 = and(_T_4950, _T_4951) @[lsu_bus_buffer.scala 600:93] + node _T_4953 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 600:119] + node _T_4954 = and(_T_4952, _T_4953) @[lsu_bus_buffer.scala 600:108] + node _T_4955 = mux(_T_4939, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4956 = mux(_T_4944, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4957 = mux(_T_4949, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4958 = mux(_T_4954, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4959 = or(_T_4955, _T_4956) @[Mux.scala 27:72] + node _T_4960 = or(_T_4959, _T_4957) @[Mux.scala 27:72] + node _T_4961 = or(_T_4960, _T_4958) @[Mux.scala 27:72] + wire lsu_imprecise_error_store_tag : UInt<2> @[Mux.scala 27:72] + lsu_imprecise_error_store_tag <= _T_4961 @[Mux.scala 27:72] + node _T_4962 = eq(io.tlu_busbuff.lsu_imprecise_error_store_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 602:97] + node _T_4963 = and(io.dctl_busbuff.lsu_nonblock_load_data_error, _T_4962) @[lsu_bus_buffer.scala 602:95] + io.tlu_busbuff.lsu_imprecise_error_load_any <= _T_4963 @[lsu_bus_buffer.scala 602:47] + node _T_4964 = mux(io.tlu_busbuff.lsu_imprecise_error_store_any, buf_addr[lsu_imprecise_error_store_tag], buf_addr[io.dctl_busbuff.lsu_nonblock_load_data_tag]) @[lsu_bus_buffer.scala 603:53] + io.tlu_busbuff.lsu_imprecise_error_addr_any <= _T_4964 @[lsu_bus_buffer.scala 603:47] + lsu_bus_cntr_overflow <= UInt<1>("h00") @[lsu_bus_buffer.scala 604:25] + io.lsu_bus_idle_any <= UInt<1>("h01") @[lsu_bus_buffer.scala 606:23] + node _T_4965 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 609:59] + node _T_4966 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 609:104] + node _T_4967 = or(_T_4965, _T_4966) @[lsu_bus_buffer.scala 609:82] + node _T_4968 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 609:149] + node _T_4969 = or(_T_4967, _T_4968) @[lsu_bus_buffer.scala 609:126] + io.tlu_busbuff.lsu_pmu_bus_trxn <= _T_4969 @[lsu_bus_buffer.scala 609:35] + node _T_4970 = and(io.lsu_busreq_r, io.ldst_dual_r) @[lsu_bus_buffer.scala 610:60] + node _T_4971 = and(_T_4970, io.lsu_commit_r) @[lsu_bus_buffer.scala 610:77] + io.tlu_busbuff.lsu_pmu_bus_misaligned <= _T_4971 @[lsu_bus_buffer.scala 610:41] + node _T_4972 = or(io.tlu_busbuff.lsu_imprecise_error_load_any, io.tlu_busbuff.lsu_imprecise_error_store_any) @[lsu_bus_buffer.scala 611:83] + io.tlu_busbuff.lsu_pmu_bus_error <= _T_4972 @[lsu_bus_buffer.scala 611:36] + node _T_4973 = eq(io.lsu_axi.aw.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 613:61] + node _T_4974 = and(io.lsu_axi.aw.valid, _T_4973) @[lsu_bus_buffer.scala 613:59] + node _T_4975 = eq(io.lsu_axi.w.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 613:107] + node _T_4976 = and(io.lsu_axi.w.valid, _T_4975) @[lsu_bus_buffer.scala 613:105] + node _T_4977 = or(_T_4974, _T_4976) @[lsu_bus_buffer.scala 613:83] + node _T_4978 = eq(io.lsu_axi.ar.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 613:153] + node _T_4979 = and(io.lsu_axi.ar.valid, _T_4978) @[lsu_bus_buffer.scala 613:151] + node _T_4980 = or(_T_4977, _T_4979) @[lsu_bus_buffer.scala 613:128] + io.tlu_busbuff.lsu_pmu_bus_busy <= _T_4980 @[lsu_bus_buffer.scala 613:35] + reg _T_4981 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 615:49] + _T_4981 <= WrPtr0_m @[lsu_bus_buffer.scala 615:49] + WrPtr0_r <= _T_4981 @[lsu_bus_buffer.scala 615:12] + reg _T_4982 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 616:49] + _T_4982 <= WrPtr1_m @[lsu_bus_buffer.scala 616:49] + WrPtr1_r <= _T_4982 @[lsu_bus_buffer.scala 616:12] + node _T_4983 = eq(io.flush_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 617:75] + node _T_4984 = and(io.lsu_busreq_m, _T_4983) @[lsu_bus_buffer.scala 617:73] + node _T_4985 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 617:89] + node _T_4986 = and(_T_4984, _T_4985) @[lsu_bus_buffer.scala 617:87] + reg _T_4987 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 617:56] + _T_4987 <= _T_4986 @[lsu_bus_buffer.scala 617:56] + io.lsu_busreq_r <= _T_4987 @[lsu_bus_buffer.scala 617:19] + reg _T_4988 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 618:66] + _T_4988 <= io.dctl_busbuff.lsu_nonblock_load_valid_m @[lsu_bus_buffer.scala 618:66] + lsu_nonblock_load_valid_r <= _T_4988 @[lsu_bus_buffer.scala 618:29] + + module lsu_bus_intf : + input clock : Clock + input reset : AsyncReset + output io : {flip scan_mode : UInt<1>, tlu_busbuff : {lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>}, flip lsu_c1_m_clk : Clock, flip lsu_c1_r_clk : Clock, flip lsu_c2_r_clk : Clock, flip lsu_bus_ibuf_c1_clk : Clock, flip lsu_bus_obuf_c1_clk : Clock, flip lsu_bus_buf_c1_clk : Clock, flip lsu_free_c2_clk : Clock, flip free_clk : Clock, flip lsu_busm_clk : Clock, axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip dec_lsu_valid_raw_d : UInt<1>, flip lsu_busreq_m : UInt<1>, flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_addr_d : UInt<32>, flip lsu_addr_m : UInt<32>, flip lsu_addr_r : UInt<32>, flip end_addr_d : UInt<32>, flip end_addr_m : UInt<32>, flip end_addr_r : UInt<32>, flip store_data_r : UInt<32>, flip dec_tlu_force_halt : UInt<1>, flip lsu_commit_r : UInt<1>, flip is_sideeffects_m : UInt<1>, flip flush_m_up : UInt<1>, flip flush_r : UInt<1>, lsu_busreq_r : UInt<1>, lsu_bus_buffer_pend_any : UInt<1>, lsu_bus_buffer_full_any : UInt<1>, lsu_bus_buffer_empty_any : UInt<1>, lsu_bus_idle_any : UInt<1>, bus_read_data_m : UInt<32>, dctl_busbuff : {lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>}, flip lsu_bus_clk_en : UInt<1>} + + wire lsu_bus_clk_en_q : UInt<1> + lsu_bus_clk_en_q <= UInt<1>("h00") + wire ldst_dual_d : UInt<1> + ldst_dual_d <= UInt<1>("h00") + wire ldst_dual_m : UInt<1> + ldst_dual_m <= UInt<1>("h00") + wire ldst_dual_r : UInt<1> + ldst_dual_r <= UInt<1>("h00") + wire ldst_byteen_m : UInt<4> + ldst_byteen_m <= UInt<1>("h00") + wire ldst_byteen_r : UInt<4> + ldst_byteen_r <= UInt<1>("h00") + wire ldst_byteen_ext_m : UInt<8> + ldst_byteen_ext_m <= UInt<1>("h00") + wire ldst_byteen_ext_r : UInt<8> + ldst_byteen_ext_r <= UInt<1>("h00") + wire ldst_byteen_hi_m : UInt<4> + ldst_byteen_hi_m <= UInt<1>("h00") + wire ldst_byteen_hi_r : UInt<4> + ldst_byteen_hi_r <= UInt<1>("h00") + wire ldst_byteen_lo_m : UInt<4> + ldst_byteen_lo_m <= UInt<1>("h00") + wire ldst_byteen_lo_r : UInt<4> + ldst_byteen_lo_r <= UInt<1>("h00") + wire is_sideeffects_r : UInt<1> + is_sideeffects_r <= UInt<1>("h00") + wire store_data_ext_r : UInt<64> + store_data_ext_r <= UInt<1>("h00") + wire store_data_hi_r : UInt<32> + store_data_hi_r <= UInt<1>("h00") + wire store_data_lo_r : UInt<32> + store_data_lo_r <= UInt<1>("h00") + wire addr_match_dw_lo_r_m : UInt<1> + addr_match_dw_lo_r_m <= UInt<1>("h00") + wire addr_match_word_lo_r_m : UInt<1> + addr_match_word_lo_r_m <= UInt<1>("h00") + wire no_word_merge_r : UInt<1> + no_word_merge_r <= UInt<1>("h00") + wire no_dword_merge_r : UInt<1> + no_dword_merge_r <= UInt<1>("h00") + wire ld_addr_rhit_lo_lo : UInt<1> + ld_addr_rhit_lo_lo <= UInt<1>("h00") + wire ld_addr_rhit_hi_lo : UInt<1> + ld_addr_rhit_hi_lo <= UInt<1>("h00") + wire ld_addr_rhit_lo_hi : UInt<1> + ld_addr_rhit_lo_hi <= UInt<1>("h00") + wire ld_addr_rhit_hi_hi : UInt<1> + ld_addr_rhit_hi_hi <= UInt<1>("h00") + wire ld_byte_rhit_lo_lo : UInt<4> + ld_byte_rhit_lo_lo <= UInt<1>("h00") + wire ld_byte_rhit_hi_lo : UInt<4> + ld_byte_rhit_hi_lo <= UInt<1>("h00") + wire ld_byte_rhit_lo_hi : UInt<4> + ld_byte_rhit_lo_hi <= UInt<1>("h00") + wire ld_byte_rhit_hi_hi : UInt<4> + ld_byte_rhit_hi_hi <= UInt<1>("h00") + wire ld_byte_hit_lo : UInt<4> + ld_byte_hit_lo <= UInt<1>("h00") + wire ld_byte_rhit_lo : UInt<4> + ld_byte_rhit_lo <= UInt<1>("h00") + wire ld_byte_hit_hi : UInt<4> + ld_byte_hit_hi <= UInt<1>("h00") + wire ld_byte_rhit_hi : UInt<4> + ld_byte_rhit_hi <= UInt<1>("h00") + wire ld_fwddata_rpipe_lo : UInt<32> + ld_fwddata_rpipe_lo <= UInt<1>("h00") + wire ld_fwddata_rpipe_hi : UInt<32> + ld_fwddata_rpipe_hi <= UInt<1>("h00") + wire ld_byte_hit_buf_lo : UInt<4> + ld_byte_hit_buf_lo <= UInt<1>("h00") + wire ld_byte_hit_buf_hi : UInt<4> + ld_byte_hit_buf_hi <= UInt<1>("h00") + wire ld_fwddata_buf_lo : UInt<32> + ld_fwddata_buf_lo <= UInt<1>("h00") + wire ld_fwddata_buf_hi : UInt<32> + ld_fwddata_buf_hi <= UInt<1>("h00") + wire ld_fwddata_lo : UInt<64> + ld_fwddata_lo <= UInt<1>("h00") + wire ld_fwddata_hi : UInt<64> + ld_fwddata_hi <= UInt<1>("h00") + wire ld_fwddata_m : UInt<64> + ld_fwddata_m <= UInt<1>("h00") + wire ld_full_hit_hi_m : UInt<1> + ld_full_hit_hi_m <= UInt<1>("h01") + wire ld_full_hit_lo_m : UInt<1> + ld_full_hit_lo_m <= UInt<1>("h01") + wire ld_full_hit_m : UInt<1> + ld_full_hit_m <= UInt<1>("h00") + inst bus_buffer of lsu_bus_buffer @[lsu_bus_intf.scala 100:39] + bus_buffer.clock <= clock + bus_buffer.reset <= reset + bus_buffer.io.scan_mode <= io.scan_mode @[lsu_bus_intf.scala 102:29] + io.tlu_busbuff.lsu_imprecise_error_addr_any <= bus_buffer.io.tlu_busbuff.lsu_imprecise_error_addr_any @[lsu_bus_intf.scala 103:18] + io.tlu_busbuff.lsu_imprecise_error_store_any <= bus_buffer.io.tlu_busbuff.lsu_imprecise_error_store_any @[lsu_bus_intf.scala 103:18] + io.tlu_busbuff.lsu_imprecise_error_load_any <= bus_buffer.io.tlu_busbuff.lsu_imprecise_error_load_any @[lsu_bus_intf.scala 103:18] + bus_buffer.io.tlu_busbuff.dec_tlu_sideeffect_posted_disable <= io.tlu_busbuff.dec_tlu_sideeffect_posted_disable @[lsu_bus_intf.scala 103:18] + bus_buffer.io.tlu_busbuff.dec_tlu_wb_coalescing_disable <= io.tlu_busbuff.dec_tlu_wb_coalescing_disable @[lsu_bus_intf.scala 103:18] + bus_buffer.io.tlu_busbuff.dec_tlu_external_ldfwd_disable <= io.tlu_busbuff.dec_tlu_external_ldfwd_disable @[lsu_bus_intf.scala 103:18] + io.tlu_busbuff.lsu_pmu_bus_busy <= bus_buffer.io.tlu_busbuff.lsu_pmu_bus_busy @[lsu_bus_intf.scala 103:18] + io.tlu_busbuff.lsu_pmu_bus_error <= bus_buffer.io.tlu_busbuff.lsu_pmu_bus_error @[lsu_bus_intf.scala 103:18] + io.tlu_busbuff.lsu_pmu_bus_misaligned <= bus_buffer.io.tlu_busbuff.lsu_pmu_bus_misaligned @[lsu_bus_intf.scala 103:18] + io.tlu_busbuff.lsu_pmu_bus_trxn <= bus_buffer.io.tlu_busbuff.lsu_pmu_bus_trxn @[lsu_bus_intf.scala 103:18] + bus_buffer.io.dec_tlu_force_halt <= io.dec_tlu_force_halt @[lsu_bus_intf.scala 105:51] + bus_buffer.io.lsu_c2_r_clk <= io.lsu_c2_r_clk @[lsu_bus_intf.scala 106:51] + bus_buffer.io.lsu_bus_ibuf_c1_clk <= io.lsu_bus_ibuf_c1_clk @[lsu_bus_intf.scala 107:51] + bus_buffer.io.lsu_bus_obuf_c1_clk <= io.lsu_bus_obuf_c1_clk @[lsu_bus_intf.scala 108:51] + bus_buffer.io.lsu_bus_buf_c1_clk <= io.lsu_bus_buf_c1_clk @[lsu_bus_intf.scala 109:51] + bus_buffer.io.lsu_free_c2_clk <= io.lsu_free_c2_clk @[lsu_bus_intf.scala 110:51] + bus_buffer.io.lsu_busm_clk <= io.lsu_busm_clk @[lsu_bus_intf.scala 111:51] + bus_buffer.io.dec_lsu_valid_raw_d <= io.dec_lsu_valid_raw_d @[lsu_bus_intf.scala 112:51] + bus_buffer.io.lsu_pkt_m.bits.store_data_bypass_m <= io.lsu_pkt_m.bits.store_data_bypass_m @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.load_ldst_bypass_d <= io.lsu_pkt_m.bits.load_ldst_bypass_d @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.store_data_bypass_d <= io.lsu_pkt_m.bits.store_data_bypass_d @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.dma <= io.lsu_pkt_m.bits.dma @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.unsign <= io.lsu_pkt_m.bits.unsign @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.store <= io.lsu_pkt_m.bits.store @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.load <= io.lsu_pkt_m.bits.load @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.dword <= io.lsu_pkt_m.bits.dword @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.word <= io.lsu_pkt_m.bits.word @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.half <= io.lsu_pkt_m.bits.half @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.by <= io.lsu_pkt_m.bits.by @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.fast_int <= io.lsu_pkt_m.bits.fast_int @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.valid <= io.lsu_pkt_m.valid @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_r.bits.store_data_bypass_m <= io.lsu_pkt_r.bits.store_data_bypass_m @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.load_ldst_bypass_d <= io.lsu_pkt_r.bits.load_ldst_bypass_d @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.store_data_bypass_d <= io.lsu_pkt_r.bits.store_data_bypass_d @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.dma <= io.lsu_pkt_r.bits.dma @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.unsign <= io.lsu_pkt_r.bits.unsign @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.store <= io.lsu_pkt_r.bits.store @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.load <= io.lsu_pkt_r.bits.load @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.dword <= io.lsu_pkt_r.bits.dword @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.word <= io.lsu_pkt_r.bits.word @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.half <= io.lsu_pkt_r.bits.half @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.by <= io.lsu_pkt_r.bits.by @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.fast_int <= io.lsu_pkt_r.bits.fast_int @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.valid <= io.lsu_pkt_r.valid @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_addr_m <= io.lsu_addr_m @[lsu_bus_intf.scala 119:51] + bus_buffer.io.end_addr_m <= io.end_addr_m @[lsu_bus_intf.scala 120:51] + bus_buffer.io.lsu_addr_r <= io.lsu_addr_r @[lsu_bus_intf.scala 121:51] + bus_buffer.io.end_addr_r <= io.end_addr_r @[lsu_bus_intf.scala 122:51] + bus_buffer.io.store_data_r <= io.store_data_r @[lsu_bus_intf.scala 123:51] + bus_buffer.io.lsu_busreq_m <= io.lsu_busreq_m @[lsu_bus_intf.scala 125:51] + bus_buffer.io.flush_m_up <= io.flush_m_up @[lsu_bus_intf.scala 126:51] + bus_buffer.io.flush_r <= io.flush_r @[lsu_bus_intf.scala 127:51] + bus_buffer.io.lsu_commit_r <= io.lsu_commit_r @[lsu_bus_intf.scala 128:51] + bus_buffer.io.lsu_axi.r.bits.last <= io.axi.r.bits.last @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_axi.r.bits.resp <= io.axi.r.bits.resp @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_axi.r.bits.data <= io.axi.r.bits.data @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_axi.r.bits.id <= io.axi.r.bits.id @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_axi.r.valid <= io.axi.r.valid @[lsu_bus_intf.scala 129:43] + io.axi.r.ready <= bus_buffer.io.lsu_axi.r.ready @[lsu_bus_intf.scala 129:43] + io.axi.ar.bits.qos <= bus_buffer.io.lsu_axi.ar.bits.qos @[lsu_bus_intf.scala 129:43] + io.axi.ar.bits.prot <= bus_buffer.io.lsu_axi.ar.bits.prot @[lsu_bus_intf.scala 129:43] + io.axi.ar.bits.cache <= bus_buffer.io.lsu_axi.ar.bits.cache @[lsu_bus_intf.scala 129:43] + io.axi.ar.bits.lock <= bus_buffer.io.lsu_axi.ar.bits.lock @[lsu_bus_intf.scala 129:43] + io.axi.ar.bits.burst <= bus_buffer.io.lsu_axi.ar.bits.burst @[lsu_bus_intf.scala 129:43] + io.axi.ar.bits.size <= bus_buffer.io.lsu_axi.ar.bits.size @[lsu_bus_intf.scala 129:43] + io.axi.ar.bits.len <= bus_buffer.io.lsu_axi.ar.bits.len @[lsu_bus_intf.scala 129:43] + io.axi.ar.bits.region <= bus_buffer.io.lsu_axi.ar.bits.region @[lsu_bus_intf.scala 129:43] + io.axi.ar.bits.addr <= bus_buffer.io.lsu_axi.ar.bits.addr @[lsu_bus_intf.scala 129:43] + io.axi.ar.bits.id <= bus_buffer.io.lsu_axi.ar.bits.id @[lsu_bus_intf.scala 129:43] + io.axi.ar.valid <= bus_buffer.io.lsu_axi.ar.valid @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_axi.ar.ready <= io.axi.ar.ready @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_axi.b.bits.id <= io.axi.b.bits.id @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_axi.b.bits.resp <= io.axi.b.bits.resp @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_axi.b.valid <= io.axi.b.valid @[lsu_bus_intf.scala 129:43] + io.axi.b.ready <= bus_buffer.io.lsu_axi.b.ready @[lsu_bus_intf.scala 129:43] + io.axi.w.bits.last <= bus_buffer.io.lsu_axi.w.bits.last @[lsu_bus_intf.scala 129:43] + io.axi.w.bits.strb <= bus_buffer.io.lsu_axi.w.bits.strb @[lsu_bus_intf.scala 129:43] + io.axi.w.bits.data <= bus_buffer.io.lsu_axi.w.bits.data @[lsu_bus_intf.scala 129:43] + io.axi.w.valid <= bus_buffer.io.lsu_axi.w.valid @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_axi.w.ready <= io.axi.w.ready @[lsu_bus_intf.scala 129:43] + io.axi.aw.bits.qos <= bus_buffer.io.lsu_axi.aw.bits.qos @[lsu_bus_intf.scala 129:43] + io.axi.aw.bits.prot <= bus_buffer.io.lsu_axi.aw.bits.prot @[lsu_bus_intf.scala 129:43] + io.axi.aw.bits.cache <= bus_buffer.io.lsu_axi.aw.bits.cache @[lsu_bus_intf.scala 129:43] + io.axi.aw.bits.lock <= bus_buffer.io.lsu_axi.aw.bits.lock @[lsu_bus_intf.scala 129:43] + io.axi.aw.bits.burst <= bus_buffer.io.lsu_axi.aw.bits.burst @[lsu_bus_intf.scala 129:43] + io.axi.aw.bits.size <= bus_buffer.io.lsu_axi.aw.bits.size @[lsu_bus_intf.scala 129:43] + io.axi.aw.bits.len <= bus_buffer.io.lsu_axi.aw.bits.len @[lsu_bus_intf.scala 129:43] + io.axi.aw.bits.region <= bus_buffer.io.lsu_axi.aw.bits.region @[lsu_bus_intf.scala 129:43] + io.axi.aw.bits.addr <= bus_buffer.io.lsu_axi.aw.bits.addr @[lsu_bus_intf.scala 129:43] + io.axi.aw.bits.id <= bus_buffer.io.lsu_axi.aw.bits.id @[lsu_bus_intf.scala 129:43] + io.axi.aw.valid <= bus_buffer.io.lsu_axi.aw.valid @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_axi.aw.ready <= io.axi.aw.ready @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_bus_clk_en <= io.lsu_bus_clk_en @[lsu_bus_intf.scala 130:51] + io.lsu_busreq_r <= bus_buffer.io.lsu_busreq_r @[lsu_bus_intf.scala 132:38] + io.lsu_bus_buffer_pend_any <= bus_buffer.io.lsu_bus_buffer_pend_any @[lsu_bus_intf.scala 133:38] + io.lsu_bus_buffer_full_any <= bus_buffer.io.lsu_bus_buffer_full_any @[lsu_bus_intf.scala 134:38] + io.lsu_bus_buffer_empty_any <= bus_buffer.io.lsu_bus_buffer_empty_any @[lsu_bus_intf.scala 135:38] + io.lsu_bus_idle_any <= bus_buffer.io.lsu_bus_idle_any @[lsu_bus_intf.scala 136:38] + ld_byte_hit_buf_lo <= bus_buffer.io.ld_byte_hit_buf_lo @[lsu_bus_intf.scala 137:38] + ld_byte_hit_buf_hi <= bus_buffer.io.ld_byte_hit_buf_hi @[lsu_bus_intf.scala 138:38] + ld_fwddata_buf_lo <= bus_buffer.io.ld_fwddata_buf_lo @[lsu_bus_intf.scala 139:38] + ld_fwddata_buf_hi <= bus_buffer.io.ld_fwddata_buf_hi @[lsu_bus_intf.scala 140:38] + io.dctl_busbuff.lsu_nonblock_load_data <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_data @[lsu_bus_intf.scala 141:19] + io.dctl_busbuff.lsu_nonblock_load_data_tag <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_data_tag @[lsu_bus_intf.scala 141:19] + io.dctl_busbuff.lsu_nonblock_load_data_error <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_data_error @[lsu_bus_intf.scala 141:19] + io.dctl_busbuff.lsu_nonblock_load_data_valid <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_data_valid @[lsu_bus_intf.scala 141:19] + io.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_inv_tag_r @[lsu_bus_intf.scala 141:19] + io.dctl_busbuff.lsu_nonblock_load_inv_r <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_inv_r @[lsu_bus_intf.scala 141:19] + io.dctl_busbuff.lsu_nonblock_load_tag_m <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_tag_m @[lsu_bus_intf.scala 141:19] + io.dctl_busbuff.lsu_nonblock_load_valid_m <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_valid_m @[lsu_bus_intf.scala 141:19] + bus_buffer.io.no_word_merge_r <= no_word_merge_r @[lsu_bus_intf.scala 142:51] + bus_buffer.io.no_dword_merge_r <= no_dword_merge_r @[lsu_bus_intf.scala 143:51] + bus_buffer.io.is_sideeffects_r <= is_sideeffects_r @[lsu_bus_intf.scala 144:51] + bus_buffer.io.ldst_dual_d <= ldst_dual_d @[lsu_bus_intf.scala 145:51] + bus_buffer.io.ldst_dual_m <= ldst_dual_m @[lsu_bus_intf.scala 146:51] + bus_buffer.io.ldst_dual_r <= ldst_dual_r @[lsu_bus_intf.scala 147:51] + bus_buffer.io.ldst_byteen_ext_m <= ldst_byteen_ext_m @[lsu_bus_intf.scala 148:51] + bus_buffer.io.ld_full_hit_m <= ld_full_hit_m @[lsu_bus_intf.scala 149:51] + bus_buffer.io.lsu_bus_clk_en_q <= lsu_bus_clk_en_q @[lsu_bus_intf.scala 150:51] + node _T = bits(io.lsu_pkt_m.bits.word, 0, 0) @[lsu_bus_intf.scala 152:63] + node _T_1 = bits(io.lsu_pkt_m.bits.half, 0, 0) @[lsu_bus_intf.scala 152:107] + node _T_2 = bits(io.lsu_pkt_m.bits.by, 0, 0) @[lsu_bus_intf.scala 152:148] + node _T_3 = mux(_T, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4 = mux(_T_1, UInt<4>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5 = mux(_T_2, UInt<4>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_6 = or(_T_3, _T_4) @[Mux.scala 27:72] + node _T_7 = or(_T_6, _T_5) @[Mux.scala 27:72] + wire _T_8 : UInt<4> @[Mux.scala 27:72] + _T_8 <= _T_7 @[Mux.scala 27:72] + ldst_byteen_m <= _T_8 @[lsu_bus_intf.scala 152:27] + node _T_9 = bits(io.lsu_addr_d, 2, 2) @[lsu_bus_intf.scala 153:43] + node _T_10 = bits(io.end_addr_d, 2, 2) @[lsu_bus_intf.scala 153:64] + node _T_11 = neq(_T_9, _T_10) @[lsu_bus_intf.scala 153:47] + ldst_dual_d <= _T_11 @[lsu_bus_intf.scala 153:27] + node _T_12 = bits(io.lsu_addr_r, 31, 3) @[lsu_bus_intf.scala 154:44] + node _T_13 = bits(io.lsu_addr_m, 31, 3) @[lsu_bus_intf.scala 154:68] + node _T_14 = eq(_T_12, _T_13) @[lsu_bus_intf.scala 154:51] + addr_match_dw_lo_r_m <= _T_14 @[lsu_bus_intf.scala 154:27] + node _T_15 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_intf.scala 155:68] + node _T_16 = bits(io.lsu_addr_m, 2, 2) @[lsu_bus_intf.scala 155:85] + node _T_17 = xor(_T_15, _T_16) @[lsu_bus_intf.scala 155:71] + node _T_18 = eq(_T_17, UInt<1>("h00")) @[lsu_bus_intf.scala 155:53] + node _T_19 = and(addr_match_dw_lo_r_m, _T_18) @[lsu_bus_intf.scala 155:51] + addr_match_word_lo_r_m <= _T_19 @[lsu_bus_intf.scala 155:27] + node _T_20 = eq(ldst_dual_r, UInt<1>("h00")) @[lsu_bus_intf.scala 156:48] + node _T_21 = and(io.lsu_busreq_r, _T_20) @[lsu_bus_intf.scala 156:46] + node _T_22 = and(_T_21, io.lsu_busreq_m) @[lsu_bus_intf.scala 156:61] + node _T_23 = eq(addr_match_word_lo_r_m, UInt<1>("h00")) @[lsu_bus_intf.scala 156:107] + node _T_24 = or(io.lsu_pkt_m.bits.load, _T_23) @[lsu_bus_intf.scala 156:105] + node _T_25 = and(_T_22, _T_24) @[lsu_bus_intf.scala 156:79] + no_word_merge_r <= _T_25 @[lsu_bus_intf.scala 156:27] + node _T_26 = eq(ldst_dual_r, UInt<1>("h00")) @[lsu_bus_intf.scala 157:48] + node _T_27 = and(io.lsu_busreq_r, _T_26) @[lsu_bus_intf.scala 157:46] + node _T_28 = and(_T_27, io.lsu_busreq_m) @[lsu_bus_intf.scala 157:61] + node _T_29 = eq(addr_match_dw_lo_r_m, UInt<1>("h00")) @[lsu_bus_intf.scala 157:107] + node _T_30 = or(io.lsu_pkt_m.bits.load, _T_29) @[lsu_bus_intf.scala 157:105] + node _T_31 = and(_T_28, _T_30) @[lsu_bus_intf.scala 157:79] + no_dword_merge_r <= _T_31 @[lsu_bus_intf.scala 157:27] + node _T_32 = bits(ldst_byteen_m, 3, 0) @[lsu_bus_intf.scala 159:43] + node _T_33 = bits(io.lsu_addr_m, 1, 0) @[lsu_bus_intf.scala 159:65] + node _T_34 = dshl(_T_32, _T_33) @[lsu_bus_intf.scala 159:49] + ldst_byteen_ext_m <= _T_34 @[lsu_bus_intf.scala 159:27] + node _T_35 = bits(ldst_byteen_r, 3, 0) @[lsu_bus_intf.scala 160:43] + node _T_36 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_intf.scala 160:65] + node _T_37 = dshl(_T_35, _T_36) @[lsu_bus_intf.scala 160:49] + ldst_byteen_ext_r <= _T_37 @[lsu_bus_intf.scala 160:27] + node _T_38 = bits(io.store_data_r, 31, 0) @[lsu_bus_intf.scala 161:45] + node _T_39 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_intf.scala 161:72] + node _T_40 = cat(_T_39, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_41 = dshl(_T_38, _T_40) @[lsu_bus_intf.scala 161:52] + store_data_ext_r <= _T_41 @[lsu_bus_intf.scala 161:27] + node _T_42 = bits(ldst_byteen_ext_m, 7, 4) @[lsu_bus_intf.scala 162:47] + ldst_byteen_hi_m <= _T_42 @[lsu_bus_intf.scala 162:27] + node _T_43 = bits(ldst_byteen_ext_m, 3, 0) @[lsu_bus_intf.scala 163:47] + ldst_byteen_lo_m <= _T_43 @[lsu_bus_intf.scala 163:27] + node _T_44 = bits(ldst_byteen_ext_r, 7, 4) @[lsu_bus_intf.scala 164:47] + ldst_byteen_hi_r <= _T_44 @[lsu_bus_intf.scala 164:27] + node _T_45 = bits(ldst_byteen_ext_r, 3, 0) @[lsu_bus_intf.scala 165:47] + ldst_byteen_lo_r <= _T_45 @[lsu_bus_intf.scala 165:27] + node _T_46 = bits(store_data_ext_r, 63, 32) @[lsu_bus_intf.scala 167:46] + store_data_hi_r <= _T_46 @[lsu_bus_intf.scala 167:27] + node _T_47 = bits(store_data_ext_r, 31, 0) @[lsu_bus_intf.scala 168:46] + store_data_lo_r <= _T_47 @[lsu_bus_intf.scala 168:27] + node _T_48 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_intf.scala 169:44] + node _T_49 = bits(io.lsu_addr_r, 31, 2) @[lsu_bus_intf.scala 169:68] + node _T_50 = eq(_T_48, _T_49) @[lsu_bus_intf.scala 169:51] + node _T_51 = and(_T_50, io.lsu_pkt_r.valid) @[lsu_bus_intf.scala 169:76] + node _T_52 = and(_T_51, io.lsu_pkt_r.bits.store) @[lsu_bus_intf.scala 169:97] + node _T_53 = and(_T_52, io.lsu_busreq_m) @[lsu_bus_intf.scala 169:123] + ld_addr_rhit_lo_lo <= _T_53 @[lsu_bus_intf.scala 169:27] + node _T_54 = bits(io.end_addr_m, 31, 2) @[lsu_bus_intf.scala 170:44] + node _T_55 = bits(io.lsu_addr_r, 31, 2) @[lsu_bus_intf.scala 170:68] + node _T_56 = eq(_T_54, _T_55) @[lsu_bus_intf.scala 170:51] + node _T_57 = and(_T_56, io.lsu_pkt_r.valid) @[lsu_bus_intf.scala 170:76] + node _T_58 = and(_T_57, io.lsu_pkt_r.bits.store) @[lsu_bus_intf.scala 170:97] + node _T_59 = and(_T_58, io.lsu_busreq_m) @[lsu_bus_intf.scala 170:123] + ld_addr_rhit_lo_hi <= _T_59 @[lsu_bus_intf.scala 170:27] + node _T_60 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_intf.scala 171:44] + node _T_61 = bits(io.end_addr_r, 31, 2) @[lsu_bus_intf.scala 171:68] + node _T_62 = eq(_T_60, _T_61) @[lsu_bus_intf.scala 171:51] + node _T_63 = and(_T_62, io.lsu_pkt_r.valid) @[lsu_bus_intf.scala 171:76] + node _T_64 = and(_T_63, io.lsu_pkt_r.bits.store) @[lsu_bus_intf.scala 171:97] + node _T_65 = and(_T_64, io.lsu_busreq_m) @[lsu_bus_intf.scala 171:123] + ld_addr_rhit_hi_lo <= _T_65 @[lsu_bus_intf.scala 171:27] + node _T_66 = bits(io.end_addr_m, 31, 2) @[lsu_bus_intf.scala 172:44] + node _T_67 = bits(io.end_addr_r, 31, 2) @[lsu_bus_intf.scala 172:68] + node _T_68 = eq(_T_66, _T_67) @[lsu_bus_intf.scala 172:51] + node _T_69 = and(_T_68, io.lsu_pkt_r.valid) @[lsu_bus_intf.scala 172:76] + node _T_70 = and(_T_69, io.lsu_pkt_r.bits.store) @[lsu_bus_intf.scala 172:97] + node _T_71 = and(_T_70, io.lsu_busreq_m) @[lsu_bus_intf.scala 172:123] + ld_addr_rhit_hi_hi <= _T_71 @[lsu_bus_intf.scala 172:27] + node _T_72 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_intf.scala 174:88] + node _T_73 = and(ld_addr_rhit_lo_lo, _T_72) @[lsu_bus_intf.scala 174:70] + node _T_74 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_intf.scala 174:110] + node _T_75 = and(_T_73, _T_74) @[lsu_bus_intf.scala 174:92] + node _T_76 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_intf.scala 174:88] + node _T_77 = and(ld_addr_rhit_lo_lo, _T_76) @[lsu_bus_intf.scala 174:70] + node _T_78 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_intf.scala 174:110] + node _T_79 = and(_T_77, _T_78) @[lsu_bus_intf.scala 174:92] + node _T_80 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_intf.scala 174:88] + node _T_81 = and(ld_addr_rhit_lo_lo, _T_80) @[lsu_bus_intf.scala 174:70] + node _T_82 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_intf.scala 174:110] + node _T_83 = and(_T_81, _T_82) @[lsu_bus_intf.scala 174:92] + node _T_84 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_intf.scala 174:88] + node _T_85 = and(ld_addr_rhit_lo_lo, _T_84) @[lsu_bus_intf.scala 174:70] + node _T_86 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_intf.scala 174:110] + node _T_87 = and(_T_85, _T_86) @[lsu_bus_intf.scala 174:92] + node _T_88 = cat(_T_87, _T_83) @[Cat.scala 29:58] + node _T_89 = cat(_T_88, _T_79) @[Cat.scala 29:58] + node _T_90 = cat(_T_89, _T_75) @[Cat.scala 29:58] + ld_byte_rhit_lo_lo <= _T_90 @[lsu_bus_intf.scala 174:27] + node _T_91 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_intf.scala 175:88] + node _T_92 = and(ld_addr_rhit_lo_hi, _T_91) @[lsu_bus_intf.scala 175:70] + node _T_93 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_intf.scala 175:110] + node _T_94 = and(_T_92, _T_93) @[lsu_bus_intf.scala 175:92] + node _T_95 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_intf.scala 175:88] + node _T_96 = and(ld_addr_rhit_lo_hi, _T_95) @[lsu_bus_intf.scala 175:70] + node _T_97 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_intf.scala 175:110] + node _T_98 = and(_T_96, _T_97) @[lsu_bus_intf.scala 175:92] + node _T_99 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_intf.scala 175:88] + node _T_100 = and(ld_addr_rhit_lo_hi, _T_99) @[lsu_bus_intf.scala 175:70] + node _T_101 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_intf.scala 175:110] + node _T_102 = and(_T_100, _T_101) @[lsu_bus_intf.scala 175:92] + node _T_103 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_intf.scala 175:88] + node _T_104 = and(ld_addr_rhit_lo_hi, _T_103) @[lsu_bus_intf.scala 175:70] + node _T_105 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_intf.scala 175:110] + node _T_106 = and(_T_104, _T_105) @[lsu_bus_intf.scala 175:92] + node _T_107 = cat(_T_106, _T_102) @[Cat.scala 29:58] + node _T_108 = cat(_T_107, _T_98) @[Cat.scala 29:58] + node _T_109 = cat(_T_108, _T_94) @[Cat.scala 29:58] + ld_byte_rhit_lo_hi <= _T_109 @[lsu_bus_intf.scala 175:27] + node _T_110 = bits(ldst_byteen_hi_r, 0, 0) @[lsu_bus_intf.scala 176:88] + node _T_111 = and(ld_addr_rhit_hi_lo, _T_110) @[lsu_bus_intf.scala 176:70] + node _T_112 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_intf.scala 176:110] + node _T_113 = and(_T_111, _T_112) @[lsu_bus_intf.scala 176:92] + node _T_114 = bits(ldst_byteen_hi_r, 1, 1) @[lsu_bus_intf.scala 176:88] + node _T_115 = and(ld_addr_rhit_hi_lo, _T_114) @[lsu_bus_intf.scala 176:70] + node _T_116 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_intf.scala 176:110] + node _T_117 = and(_T_115, _T_116) @[lsu_bus_intf.scala 176:92] + node _T_118 = bits(ldst_byteen_hi_r, 2, 2) @[lsu_bus_intf.scala 176:88] + node _T_119 = and(ld_addr_rhit_hi_lo, _T_118) @[lsu_bus_intf.scala 176:70] + node _T_120 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_intf.scala 176:110] + node _T_121 = and(_T_119, _T_120) @[lsu_bus_intf.scala 176:92] + node _T_122 = bits(ldst_byteen_hi_r, 3, 3) @[lsu_bus_intf.scala 176:88] + node _T_123 = and(ld_addr_rhit_hi_lo, _T_122) @[lsu_bus_intf.scala 176:70] + node _T_124 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_intf.scala 176:110] + node _T_125 = and(_T_123, _T_124) @[lsu_bus_intf.scala 176:92] + node _T_126 = cat(_T_125, _T_121) @[Cat.scala 29:58] + node _T_127 = cat(_T_126, _T_117) @[Cat.scala 29:58] + node _T_128 = cat(_T_127, _T_113) @[Cat.scala 29:58] + ld_byte_rhit_hi_lo <= _T_128 @[lsu_bus_intf.scala 176:27] + node _T_129 = bits(ldst_byteen_hi_r, 0, 0) @[lsu_bus_intf.scala 177:88] + node _T_130 = and(ld_addr_rhit_hi_hi, _T_129) @[lsu_bus_intf.scala 177:70] + node _T_131 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_intf.scala 177:110] + node _T_132 = and(_T_130, _T_131) @[lsu_bus_intf.scala 177:92] + node _T_133 = bits(ldst_byteen_hi_r, 1, 1) @[lsu_bus_intf.scala 177:88] + node _T_134 = and(ld_addr_rhit_hi_hi, _T_133) @[lsu_bus_intf.scala 177:70] + node _T_135 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_intf.scala 177:110] + node _T_136 = and(_T_134, _T_135) @[lsu_bus_intf.scala 177:92] + node _T_137 = bits(ldst_byteen_hi_r, 2, 2) @[lsu_bus_intf.scala 177:88] + node _T_138 = and(ld_addr_rhit_hi_hi, _T_137) @[lsu_bus_intf.scala 177:70] + node _T_139 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_intf.scala 177:110] + node _T_140 = and(_T_138, _T_139) @[lsu_bus_intf.scala 177:92] + node _T_141 = bits(ldst_byteen_hi_r, 3, 3) @[lsu_bus_intf.scala 177:88] + node _T_142 = and(ld_addr_rhit_hi_hi, _T_141) @[lsu_bus_intf.scala 177:70] + node _T_143 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_intf.scala 177:110] + node _T_144 = and(_T_142, _T_143) @[lsu_bus_intf.scala 177:92] + node _T_145 = cat(_T_144, _T_140) @[Cat.scala 29:58] + node _T_146 = cat(_T_145, _T_136) @[Cat.scala 29:58] + node _T_147 = cat(_T_146, _T_132) @[Cat.scala 29:58] + ld_byte_rhit_hi_hi <= _T_147 @[lsu_bus_intf.scala 177:27] + node _T_148 = bits(ld_byte_rhit_lo_lo, 0, 0) @[lsu_bus_intf.scala 179:69] + node _T_149 = bits(ld_byte_rhit_hi_lo, 0, 0) @[lsu_bus_intf.scala 179:93] + node _T_150 = or(_T_148, _T_149) @[lsu_bus_intf.scala 179:73] + node _T_151 = bits(ld_byte_hit_buf_lo, 0, 0) @[lsu_bus_intf.scala 179:117] + node _T_152 = or(_T_150, _T_151) @[lsu_bus_intf.scala 179:97] + node _T_153 = bits(ld_byte_rhit_lo_lo, 1, 1) @[lsu_bus_intf.scala 179:69] + node _T_154 = bits(ld_byte_rhit_hi_lo, 1, 1) @[lsu_bus_intf.scala 179:93] + node _T_155 = or(_T_153, _T_154) @[lsu_bus_intf.scala 179:73] + node _T_156 = bits(ld_byte_hit_buf_lo, 1, 1) @[lsu_bus_intf.scala 179:117] + node _T_157 = or(_T_155, _T_156) @[lsu_bus_intf.scala 179:97] + node _T_158 = bits(ld_byte_rhit_lo_lo, 2, 2) @[lsu_bus_intf.scala 179:69] + node _T_159 = bits(ld_byte_rhit_hi_lo, 2, 2) @[lsu_bus_intf.scala 179:93] + node _T_160 = or(_T_158, _T_159) @[lsu_bus_intf.scala 179:73] + node _T_161 = bits(ld_byte_hit_buf_lo, 2, 2) @[lsu_bus_intf.scala 179:117] + node _T_162 = or(_T_160, _T_161) @[lsu_bus_intf.scala 179:97] + node _T_163 = bits(ld_byte_rhit_lo_lo, 3, 3) @[lsu_bus_intf.scala 179:69] + node _T_164 = bits(ld_byte_rhit_hi_lo, 3, 3) @[lsu_bus_intf.scala 179:93] + node _T_165 = or(_T_163, _T_164) @[lsu_bus_intf.scala 179:73] + node _T_166 = bits(ld_byte_hit_buf_lo, 3, 3) @[lsu_bus_intf.scala 179:117] + node _T_167 = or(_T_165, _T_166) @[lsu_bus_intf.scala 179:97] + node _T_168 = cat(_T_167, _T_162) @[Cat.scala 29:58] + node _T_169 = cat(_T_168, _T_157) @[Cat.scala 29:58] + node _T_170 = cat(_T_169, _T_152) @[Cat.scala 29:58] + ld_byte_hit_lo <= _T_170 @[lsu_bus_intf.scala 179:27] + node _T_171 = bits(ld_byte_rhit_lo_hi, 0, 0) @[lsu_bus_intf.scala 180:69] + node _T_172 = bits(ld_byte_rhit_hi_hi, 0, 0) @[lsu_bus_intf.scala 180:93] + node _T_173 = or(_T_171, _T_172) @[lsu_bus_intf.scala 180:73] + node _T_174 = bits(ld_byte_hit_buf_hi, 0, 0) @[lsu_bus_intf.scala 180:117] + node _T_175 = or(_T_173, _T_174) @[lsu_bus_intf.scala 180:97] + node _T_176 = bits(ld_byte_rhit_lo_hi, 1, 1) @[lsu_bus_intf.scala 180:69] + node _T_177 = bits(ld_byte_rhit_hi_hi, 1, 1) @[lsu_bus_intf.scala 180:93] + node _T_178 = or(_T_176, _T_177) @[lsu_bus_intf.scala 180:73] + node _T_179 = bits(ld_byte_hit_buf_hi, 1, 1) @[lsu_bus_intf.scala 180:117] + node _T_180 = or(_T_178, _T_179) @[lsu_bus_intf.scala 180:97] + node _T_181 = bits(ld_byte_rhit_lo_hi, 2, 2) @[lsu_bus_intf.scala 180:69] + node _T_182 = bits(ld_byte_rhit_hi_hi, 2, 2) @[lsu_bus_intf.scala 180:93] + node _T_183 = or(_T_181, _T_182) @[lsu_bus_intf.scala 180:73] + node _T_184 = bits(ld_byte_hit_buf_hi, 2, 2) @[lsu_bus_intf.scala 180:117] + node _T_185 = or(_T_183, _T_184) @[lsu_bus_intf.scala 180:97] + node _T_186 = bits(ld_byte_rhit_lo_hi, 3, 3) @[lsu_bus_intf.scala 180:69] + node _T_187 = bits(ld_byte_rhit_hi_hi, 3, 3) @[lsu_bus_intf.scala 180:93] + node _T_188 = or(_T_186, _T_187) @[lsu_bus_intf.scala 180:73] + node _T_189 = bits(ld_byte_hit_buf_hi, 3, 3) @[lsu_bus_intf.scala 180:117] + node _T_190 = or(_T_188, _T_189) @[lsu_bus_intf.scala 180:97] + node _T_191 = cat(_T_190, _T_185) @[Cat.scala 29:58] + node _T_192 = cat(_T_191, _T_180) @[Cat.scala 29:58] + node _T_193 = cat(_T_192, _T_175) @[Cat.scala 29:58] + ld_byte_hit_hi <= _T_193 @[lsu_bus_intf.scala 180:27] + node _T_194 = bits(ld_byte_rhit_lo_lo, 0, 0) @[lsu_bus_intf.scala 181:69] + node _T_195 = bits(ld_byte_rhit_hi_lo, 0, 0) @[lsu_bus_intf.scala 181:93] + node _T_196 = or(_T_194, _T_195) @[lsu_bus_intf.scala 181:73] + node _T_197 = bits(ld_byte_rhit_lo_lo, 1, 1) @[lsu_bus_intf.scala 181:69] + node _T_198 = bits(ld_byte_rhit_hi_lo, 1, 1) @[lsu_bus_intf.scala 181:93] + node _T_199 = or(_T_197, _T_198) @[lsu_bus_intf.scala 181:73] + node _T_200 = bits(ld_byte_rhit_lo_lo, 2, 2) @[lsu_bus_intf.scala 181:69] + node _T_201 = bits(ld_byte_rhit_hi_lo, 2, 2) @[lsu_bus_intf.scala 181:93] + node _T_202 = or(_T_200, _T_201) @[lsu_bus_intf.scala 181:73] + node _T_203 = bits(ld_byte_rhit_lo_lo, 3, 3) @[lsu_bus_intf.scala 181:69] + node _T_204 = bits(ld_byte_rhit_hi_lo, 3, 3) @[lsu_bus_intf.scala 181:93] + node _T_205 = or(_T_203, _T_204) @[lsu_bus_intf.scala 181:73] + node _T_206 = cat(_T_205, _T_202) @[Cat.scala 29:58] + node _T_207 = cat(_T_206, _T_199) @[Cat.scala 29:58] + node _T_208 = cat(_T_207, _T_196) @[Cat.scala 29:58] + ld_byte_rhit_lo <= _T_208 @[lsu_bus_intf.scala 181:27] + node _T_209 = bits(ld_byte_rhit_lo_hi, 0, 0) @[lsu_bus_intf.scala 182:69] + node _T_210 = bits(ld_byte_rhit_hi_hi, 0, 0) @[lsu_bus_intf.scala 182:93] + node _T_211 = or(_T_209, _T_210) @[lsu_bus_intf.scala 182:73] + node _T_212 = bits(ld_byte_rhit_lo_hi, 1, 1) @[lsu_bus_intf.scala 182:69] + node _T_213 = bits(ld_byte_rhit_hi_hi, 1, 1) @[lsu_bus_intf.scala 182:93] + node _T_214 = or(_T_212, _T_213) @[lsu_bus_intf.scala 182:73] + node _T_215 = bits(ld_byte_rhit_lo_hi, 2, 2) @[lsu_bus_intf.scala 182:69] + node _T_216 = bits(ld_byte_rhit_hi_hi, 2, 2) @[lsu_bus_intf.scala 182:93] + node _T_217 = or(_T_215, _T_216) @[lsu_bus_intf.scala 182:73] + node _T_218 = bits(ld_byte_rhit_lo_hi, 3, 3) @[lsu_bus_intf.scala 182:69] + node _T_219 = bits(ld_byte_rhit_hi_hi, 3, 3) @[lsu_bus_intf.scala 182:93] + node _T_220 = or(_T_218, _T_219) @[lsu_bus_intf.scala 182:73] + node _T_221 = cat(_T_220, _T_217) @[Cat.scala 29:58] + node _T_222 = cat(_T_221, _T_214) @[Cat.scala 29:58] + node _T_223 = cat(_T_222, _T_211) @[Cat.scala 29:58] + ld_byte_rhit_hi <= _T_223 @[lsu_bus_intf.scala 182:27] + node _T_224 = bits(ld_byte_rhit_lo_lo, 0, 0) @[lsu_bus_intf.scala 183:79] + node _T_225 = bits(store_data_lo_r, 7, 0) @[lsu_bus_intf.scala 183:101] + node _T_226 = bits(ld_byte_rhit_hi_lo, 0, 0) @[lsu_bus_intf.scala 183:136] + node _T_227 = bits(store_data_hi_r, 7, 0) @[lsu_bus_intf.scala 183:158] + node _T_228 = mux(_T_224, _T_225, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_229 = mux(_T_226, _T_227, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_230 = or(_T_228, _T_229) @[Mux.scala 27:72] + wire _T_231 : UInt<8> @[Mux.scala 27:72] + _T_231 <= _T_230 @[Mux.scala 27:72] + node _T_232 = bits(ld_byte_rhit_lo_lo, 1, 1) @[lsu_bus_intf.scala 183:79] + node _T_233 = bits(store_data_lo_r, 15, 8) @[lsu_bus_intf.scala 183:101] + node _T_234 = bits(ld_byte_rhit_hi_lo, 1, 1) @[lsu_bus_intf.scala 183:136] + node _T_235 = bits(store_data_hi_r, 15, 8) @[lsu_bus_intf.scala 183:158] + node _T_236 = mux(_T_232, _T_233, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_237 = mux(_T_234, _T_235, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_238 = or(_T_236, _T_237) @[Mux.scala 27:72] + wire _T_239 : UInt<8> @[Mux.scala 27:72] + _T_239 <= _T_238 @[Mux.scala 27:72] + node _T_240 = bits(ld_byte_rhit_lo_lo, 2, 2) @[lsu_bus_intf.scala 183:79] + node _T_241 = bits(store_data_lo_r, 23, 16) @[lsu_bus_intf.scala 183:101] + node _T_242 = bits(ld_byte_rhit_hi_lo, 2, 2) @[lsu_bus_intf.scala 183:136] + node _T_243 = bits(store_data_hi_r, 23, 16) @[lsu_bus_intf.scala 183:158] + node _T_244 = mux(_T_240, _T_241, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_245 = mux(_T_242, _T_243, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_246 = or(_T_244, _T_245) @[Mux.scala 27:72] + wire _T_247 : UInt<8> @[Mux.scala 27:72] + _T_247 <= _T_246 @[Mux.scala 27:72] + node _T_248 = bits(ld_byte_rhit_lo_lo, 3, 3) @[lsu_bus_intf.scala 183:79] + node _T_249 = bits(store_data_lo_r, 31, 24) @[lsu_bus_intf.scala 183:101] + node _T_250 = bits(ld_byte_rhit_hi_lo, 3, 3) @[lsu_bus_intf.scala 183:136] + node _T_251 = bits(store_data_hi_r, 31, 24) @[lsu_bus_intf.scala 183:158] + node _T_252 = mux(_T_248, _T_249, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_253 = mux(_T_250, _T_251, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_254 = or(_T_252, _T_253) @[Mux.scala 27:72] + wire _T_255 : UInt<8> @[Mux.scala 27:72] + _T_255 <= _T_254 @[Mux.scala 27:72] + node _T_256 = cat(_T_255, _T_247) @[Cat.scala 29:58] + node _T_257 = cat(_T_256, _T_239) @[Cat.scala 29:58] + node _T_258 = cat(_T_257, _T_231) @[Cat.scala 29:58] + ld_fwddata_rpipe_lo <= _T_258 @[lsu_bus_intf.scala 183:27] + node _T_259 = bits(ld_byte_rhit_lo_hi, 0, 0) @[lsu_bus_intf.scala 184:79] + node _T_260 = bits(store_data_lo_r, 7, 0) @[lsu_bus_intf.scala 184:101] + node _T_261 = bits(ld_byte_rhit_hi_hi, 0, 0) @[lsu_bus_intf.scala 184:136] + node _T_262 = bits(store_data_hi_r, 7, 0) @[lsu_bus_intf.scala 184:158] + node _T_263 = mux(_T_259, _T_260, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_264 = mux(_T_261, _T_262, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_265 = or(_T_263, _T_264) @[Mux.scala 27:72] + wire _T_266 : UInt<8> @[Mux.scala 27:72] + _T_266 <= _T_265 @[Mux.scala 27:72] + node _T_267 = bits(ld_byte_rhit_lo_hi, 1, 1) @[lsu_bus_intf.scala 184:79] + node _T_268 = bits(store_data_lo_r, 15, 8) @[lsu_bus_intf.scala 184:101] + node _T_269 = bits(ld_byte_rhit_hi_hi, 1, 1) @[lsu_bus_intf.scala 184:136] + node _T_270 = bits(store_data_hi_r, 15, 8) @[lsu_bus_intf.scala 184:158] + node _T_271 = mux(_T_267, _T_268, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_272 = mux(_T_269, _T_270, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_273 = or(_T_271, _T_272) @[Mux.scala 27:72] + wire _T_274 : UInt<8> @[Mux.scala 27:72] + _T_274 <= _T_273 @[Mux.scala 27:72] + node _T_275 = bits(ld_byte_rhit_lo_hi, 2, 2) @[lsu_bus_intf.scala 184:79] + node _T_276 = bits(store_data_lo_r, 23, 16) @[lsu_bus_intf.scala 184:101] + node _T_277 = bits(ld_byte_rhit_hi_hi, 2, 2) @[lsu_bus_intf.scala 184:136] + node _T_278 = bits(store_data_hi_r, 23, 16) @[lsu_bus_intf.scala 184:158] + node _T_279 = mux(_T_275, _T_276, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_280 = mux(_T_277, _T_278, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_281 = or(_T_279, _T_280) @[Mux.scala 27:72] + wire _T_282 : UInt<8> @[Mux.scala 27:72] + _T_282 <= _T_281 @[Mux.scala 27:72] + node _T_283 = bits(ld_byte_rhit_lo_hi, 3, 3) @[lsu_bus_intf.scala 184:79] + node _T_284 = bits(store_data_lo_r, 31, 24) @[lsu_bus_intf.scala 184:101] + node _T_285 = bits(ld_byte_rhit_hi_hi, 3, 3) @[lsu_bus_intf.scala 184:136] + node _T_286 = bits(store_data_hi_r, 31, 24) @[lsu_bus_intf.scala 184:158] + node _T_287 = mux(_T_283, _T_284, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_288 = mux(_T_285, _T_286, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_289 = or(_T_287, _T_288) @[Mux.scala 27:72] + wire _T_290 : UInt<8> @[Mux.scala 27:72] + _T_290 <= _T_289 @[Mux.scala 27:72] + node _T_291 = cat(_T_290, _T_282) @[Cat.scala 29:58] + node _T_292 = cat(_T_291, _T_274) @[Cat.scala 29:58] + node _T_293 = cat(_T_292, _T_266) @[Cat.scala 29:58] + ld_fwddata_rpipe_hi <= _T_293 @[lsu_bus_intf.scala 184:27] + node _T_294 = bits(ld_byte_rhit_lo, 0, 0) @[lsu_bus_intf.scala 185:70] + node _T_295 = bits(ld_fwddata_rpipe_lo, 7, 0) @[lsu_bus_intf.scala 185:94] + node _T_296 = bits(ld_fwddata_buf_lo, 7, 0) @[lsu_bus_intf.scala 185:128] + node _T_297 = mux(_T_294, _T_295, _T_296) @[lsu_bus_intf.scala 185:54] + node _T_298 = bits(ld_byte_rhit_lo, 1, 1) @[lsu_bus_intf.scala 185:70] + node _T_299 = bits(ld_fwddata_rpipe_lo, 15, 8) @[lsu_bus_intf.scala 185:94] + node _T_300 = bits(ld_fwddata_buf_lo, 15, 8) @[lsu_bus_intf.scala 185:128] + node _T_301 = mux(_T_298, _T_299, _T_300) @[lsu_bus_intf.scala 185:54] + node _T_302 = bits(ld_byte_rhit_lo, 2, 2) @[lsu_bus_intf.scala 185:70] + node _T_303 = bits(ld_fwddata_rpipe_lo, 23, 16) @[lsu_bus_intf.scala 185:94] + node _T_304 = bits(ld_fwddata_buf_lo, 23, 16) @[lsu_bus_intf.scala 185:128] + node _T_305 = mux(_T_302, _T_303, _T_304) @[lsu_bus_intf.scala 185:54] + node _T_306 = bits(ld_byte_rhit_lo, 3, 3) @[lsu_bus_intf.scala 185:70] + node _T_307 = bits(ld_fwddata_rpipe_lo, 31, 24) @[lsu_bus_intf.scala 185:94] + node _T_308 = bits(ld_fwddata_buf_lo, 31, 24) @[lsu_bus_intf.scala 185:128] + node _T_309 = mux(_T_306, _T_307, _T_308) @[lsu_bus_intf.scala 185:54] + node _T_310 = cat(_T_309, _T_305) @[Cat.scala 29:58] + node _T_311 = cat(_T_310, _T_301) @[Cat.scala 29:58] + node _T_312 = cat(_T_311, _T_297) @[Cat.scala 29:58] + ld_fwddata_lo <= _T_312 @[lsu_bus_intf.scala 185:27] + node _T_313 = bits(ld_byte_rhit_hi, 0, 0) @[lsu_bus_intf.scala 186:70] + node _T_314 = bits(ld_fwddata_rpipe_hi, 7, 0) @[lsu_bus_intf.scala 186:94] + node _T_315 = bits(ld_fwddata_buf_hi, 7, 0) @[lsu_bus_intf.scala 186:128] + node _T_316 = mux(_T_313, _T_314, _T_315) @[lsu_bus_intf.scala 186:54] + node _T_317 = bits(ld_byte_rhit_hi, 1, 1) @[lsu_bus_intf.scala 186:70] + node _T_318 = bits(ld_fwddata_rpipe_hi, 15, 8) @[lsu_bus_intf.scala 186:94] + node _T_319 = bits(ld_fwddata_buf_hi, 15, 8) @[lsu_bus_intf.scala 186:128] + node _T_320 = mux(_T_317, _T_318, _T_319) @[lsu_bus_intf.scala 186:54] + node _T_321 = bits(ld_byte_rhit_hi, 2, 2) @[lsu_bus_intf.scala 186:70] + node _T_322 = bits(ld_fwddata_rpipe_hi, 23, 16) @[lsu_bus_intf.scala 186:94] + node _T_323 = bits(ld_fwddata_buf_hi, 23, 16) @[lsu_bus_intf.scala 186:128] + node _T_324 = mux(_T_321, _T_322, _T_323) @[lsu_bus_intf.scala 186:54] + node _T_325 = bits(ld_byte_rhit_hi, 3, 3) @[lsu_bus_intf.scala 186:70] + node _T_326 = bits(ld_fwddata_rpipe_hi, 31, 24) @[lsu_bus_intf.scala 186:94] + node _T_327 = bits(ld_fwddata_buf_hi, 31, 24) @[lsu_bus_intf.scala 186:128] + node _T_328 = mux(_T_325, _T_326, _T_327) @[lsu_bus_intf.scala 186:54] + node _T_329 = cat(_T_328, _T_324) @[Cat.scala 29:58] + node _T_330 = cat(_T_329, _T_320) @[Cat.scala 29:58] + node _T_331 = cat(_T_330, _T_316) @[Cat.scala 29:58] + ld_fwddata_hi <= _T_331 @[lsu_bus_intf.scala 186:27] + node _T_332 = bits(ld_byte_hit_lo, 0, 0) @[lsu_bus_intf.scala 187:66] + node _T_333 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_intf.scala 187:89] + node _T_334 = eq(_T_333, UInt<1>("h00")) @[lsu_bus_intf.scala 187:72] + node _T_335 = or(_T_332, _T_334) @[lsu_bus_intf.scala 187:70] + node _T_336 = bits(ld_byte_hit_lo, 1, 1) @[lsu_bus_intf.scala 187:66] + node _T_337 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_intf.scala 187:89] + node _T_338 = eq(_T_337, UInt<1>("h00")) @[lsu_bus_intf.scala 187:72] + node _T_339 = or(_T_336, _T_338) @[lsu_bus_intf.scala 187:70] + node _T_340 = bits(ld_byte_hit_lo, 2, 2) @[lsu_bus_intf.scala 187:66] + node _T_341 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_intf.scala 187:89] + node _T_342 = eq(_T_341, UInt<1>("h00")) @[lsu_bus_intf.scala 187:72] + node _T_343 = or(_T_340, _T_342) @[lsu_bus_intf.scala 187:70] + node _T_344 = bits(ld_byte_hit_lo, 3, 3) @[lsu_bus_intf.scala 187:66] + node _T_345 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_intf.scala 187:89] + node _T_346 = eq(_T_345, UInt<1>("h00")) @[lsu_bus_intf.scala 187:72] + node _T_347 = or(_T_344, _T_346) @[lsu_bus_intf.scala 187:70] + node _T_348 = and(_T_335, _T_339) @[lsu_bus_intf.scala 187:111] + node _T_349 = and(_T_348, _T_343) @[lsu_bus_intf.scala 187:111] + node _T_350 = and(_T_349, _T_347) @[lsu_bus_intf.scala 187:111] + ld_full_hit_lo_m <= _T_350 @[lsu_bus_intf.scala 187:27] + node _T_351 = bits(ld_byte_hit_hi, 0, 0) @[lsu_bus_intf.scala 188:66] + node _T_352 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_intf.scala 188:89] + node _T_353 = eq(_T_352, UInt<1>("h00")) @[lsu_bus_intf.scala 188:72] + node _T_354 = or(_T_351, _T_353) @[lsu_bus_intf.scala 188:70] + node _T_355 = bits(ld_byte_hit_hi, 1, 1) @[lsu_bus_intf.scala 188:66] + node _T_356 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_intf.scala 188:89] + node _T_357 = eq(_T_356, UInt<1>("h00")) @[lsu_bus_intf.scala 188:72] + node _T_358 = or(_T_355, _T_357) @[lsu_bus_intf.scala 188:70] + node _T_359 = bits(ld_byte_hit_hi, 2, 2) @[lsu_bus_intf.scala 188:66] + node _T_360 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_intf.scala 188:89] + node _T_361 = eq(_T_360, UInt<1>("h00")) @[lsu_bus_intf.scala 188:72] + node _T_362 = or(_T_359, _T_361) @[lsu_bus_intf.scala 188:70] + node _T_363 = bits(ld_byte_hit_hi, 3, 3) @[lsu_bus_intf.scala 188:66] + node _T_364 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_intf.scala 188:89] + node _T_365 = eq(_T_364, UInt<1>("h00")) @[lsu_bus_intf.scala 188:72] + node _T_366 = or(_T_363, _T_365) @[lsu_bus_intf.scala 188:70] + node _T_367 = and(_T_354, _T_358) @[lsu_bus_intf.scala 188:111] + node _T_368 = and(_T_367, _T_362) @[lsu_bus_intf.scala 188:111] + node _T_369 = and(_T_368, _T_366) @[lsu_bus_intf.scala 188:111] + ld_full_hit_hi_m <= _T_369 @[lsu_bus_intf.scala 188:27] + node _T_370 = and(ld_full_hit_lo_m, ld_full_hit_hi_m) @[lsu_bus_intf.scala 189:47] + node _T_371 = and(_T_370, io.lsu_busreq_m) @[lsu_bus_intf.scala 189:66] + node _T_372 = and(_T_371, io.lsu_pkt_m.bits.load) @[lsu_bus_intf.scala 189:84] + node _T_373 = eq(io.is_sideeffects_m, UInt<1>("h00")) @[lsu_bus_intf.scala 189:111] + node _T_374 = and(_T_372, _T_373) @[lsu_bus_intf.scala 189:109] + ld_full_hit_m <= _T_374 @[lsu_bus_intf.scala 189:27] + node _T_375 = bits(ld_fwddata_hi, 31, 0) @[lsu_bus_intf.scala 190:47] + node _T_376 = bits(ld_fwddata_lo, 31, 0) @[lsu_bus_intf.scala 190:68] + node _T_377 = cat(_T_375, _T_376) @[Cat.scala 29:58] + node _T_378 = bits(io.lsu_addr_m, 1, 0) @[lsu_bus_intf.scala 190:97] + node _T_379 = mul(UInt<4>("h08"), _T_378) @[lsu_bus_intf.scala 190:83] + node _T_380 = dshr(_T_377, _T_379) @[lsu_bus_intf.scala 190:76] + ld_fwddata_m <= _T_380 @[lsu_bus_intf.scala 190:27] + node _T_381 = bits(ld_fwddata_m, 31, 0) @[lsu_bus_intf.scala 191:42] + io.bus_read_data_m <= _T_381 @[lsu_bus_intf.scala 191:27] + reg _T_382 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_intf.scala 194:32] + _T_382 <= io.lsu_bus_clk_en @[lsu_bus_intf.scala 194:32] + lsu_bus_clk_en_q <= _T_382 @[lsu_bus_intf.scala 194:22] + reg _T_383 : UInt<1>, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_intf.scala 197:27] + _T_383 <= ldst_dual_d @[lsu_bus_intf.scala 197:27] + ldst_dual_m <= _T_383 @[lsu_bus_intf.scala 197:17] + reg _T_384 : UInt<1>, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_intf.scala 200:33] + _T_384 <= ldst_dual_m @[lsu_bus_intf.scala 200:33] + ldst_dual_r <= _T_384 @[lsu_bus_intf.scala 200:23] + reg _T_385 : UInt<1>, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_intf.scala 201:33] + _T_385 <= io.is_sideeffects_m @[lsu_bus_intf.scala 201:33] + is_sideeffects_r <= _T_385 @[lsu_bus_intf.scala 201:23] + reg _T_386 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<4>("h00"))) @[lsu_bus_intf.scala 202:33] + _T_386 <= ldst_byteen_m @[lsu_bus_intf.scala 202:33] + ldst_byteen_r <= _T_386 @[lsu_bus_intf.scala 202:23] + + module lsu : + input clock : Clock + input reset : AsyncReset + output io : {flip clk_override : UInt<1>, lsu_dma : {dma_lsc_ctl : {flip dma_dccm_req : UInt<1>, flip dma_mem_addr : UInt<32>, flip dma_mem_sz : UInt<3>, flip dma_mem_write : UInt<1>, flip dma_mem_wdata : UInt<64>}, dma_dccm_ctl : {flip dma_mem_addr : UInt<32>, flip dma_mem_wdata : UInt<64>, dccm_dma_rvalid : UInt<1>, dccm_dma_ecc_error : UInt<1>, dccm_dma_rtag : UInt<3>, dccm_dma_rdata : UInt<64>}, dccm_ready : UInt<1>, flip dma_mem_tag : UInt<3>}, lsu_pic : {picm_wren : UInt<1>, picm_rden : UInt<1>, picm_mken : UInt<1>, picm_rdaddr : UInt<32>, picm_wraddr : UInt<32>, picm_wr_data : UInt<32>, flip picm_rd_data : UInt<32>}, lsu_exu : {flip exu_lsu_rs1_d : UInt<32>, flip exu_lsu_rs2_d : UInt<32>}, lsu_dec : {tlu_busbuff : {lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>}, dctl_busbuff : {lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>}}, flip dccm : {flip wren : UInt<1>, flip rden : UInt<1>, flip wr_addr_lo : UInt<16>, flip wr_addr_hi : UInt<16>, flip rd_addr_lo : UInt<16>, flip rd_addr_hi : UInt<16>, flip wr_data_lo : UInt<39>, flip wr_data_hi : UInt<39>, rd_data_lo : UInt<39>, rd_data_hi : UInt<39>}, lsu_tlu : {lsu_pmu_load_external_m : UInt<1>, lsu_pmu_store_external_m : UInt<1>}, axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip dec_tlu_flush_lower_r : UInt<1>, flip dec_tlu_i0_kill_writeb_r : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip dec_tlu_core_ecc_disable : UInt<1>, flip dec_lsu_offset_d : UInt<12>, flip lsu_p : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip trigger_pkt_any : {select : UInt<1>, match_pkt : UInt<1>, store : UInt<1>, load : UInt<1>, execute : UInt<1>, m : UInt<1>, tdata2 : UInt<32>}[4], flip dec_lsu_valid_raw_d : UInt<1>, flip dec_tlu_mrac_ff : UInt<32>, lsu_result_m : UInt<32>, lsu_result_corr_r : UInt<32>, lsu_load_stall_any : UInt<1>, lsu_store_stall_any : UInt<1>, lsu_fastint_stall_any : UInt<1>, lsu_idle_any : UInt<1>, lsu_fir_addr : UInt<31>, lsu_fir_error : UInt<2>, lsu_single_ecc_error_incr : UInt<1>, lsu_error_pkt_r : {valid : UInt<1>, bits : {single_ecc_error : UInt<1>, inst_type : UInt<1>, exc_type : UInt<1>, mscause : UInt<4>, addr : UInt<32>}}, lsu_pmu_misaligned_m : UInt<1>, lsu_trigger_match_m : UInt<4>, flip lsu_bus_clk_en : UInt<1>, flip scan_mode : UInt<1>, flip free_clk : Clock} + + wire dma_dccm_wdata : UInt<64> + dma_dccm_wdata <= UInt<64>("h00") + wire dma_dccm_wdata_lo : UInt<32> + dma_dccm_wdata_lo <= UInt<32>("h00") + wire dma_dccm_wdata_hi : UInt<32> + dma_dccm_wdata_hi <= UInt<32>("h00") + wire dma_mem_tag_m : UInt<3> + dma_mem_tag_m <= UInt<3>("h00") + wire lsu_raw_fwd_lo_r : UInt<1> + lsu_raw_fwd_lo_r <= UInt<1>("h00") + wire lsu_raw_fwd_hi_r : UInt<1> + lsu_raw_fwd_hi_r <= UInt<1>("h00") + inst lsu_lsc_ctl of lsu_lsc_ctl @[lsu.scala 60:30] + lsu_lsc_ctl.clock <= clock + lsu_lsc_ctl.reset <= reset + io.lsu_result_m <= lsu_lsc_ctl.io.lsu_result_m @[lsu.scala 61:19] + io.lsu_result_corr_r <= lsu_lsc_ctl.io.lsu_result_corr_r @[lsu.scala 62:24] + inst dccm_ctl of lsu_dccm_ctl @[lsu.scala 63:30] + dccm_ctl.clock <= clock + dccm_ctl.reset <= reset + inst stbuf of lsu_stbuf @[lsu.scala 64:30] + stbuf.clock <= clock + stbuf.reset <= reset + inst ecc of lsu_ecc @[lsu.scala 65:30] + ecc.clock <= clock + ecc.reset <= reset + inst trigger of lsu_trigger @[lsu.scala 66:30] + trigger.clock <= clock + trigger.reset <= reset + inst clkdomain of lsu_clkdomain @[lsu.scala 67:30] + clkdomain.clock <= clock + clkdomain.reset <= reset + inst bus_intf of lsu_bus_intf @[lsu.scala 68:30] + bus_intf.clock <= clock + bus_intf.reset <= reset + node lsu_raw_fwd_lo_m = orr(stbuf.io.stbuf_fwdbyteen_lo_m) @[lsu.scala 70:56] + node lsu_raw_fwd_hi_m = orr(stbuf.io.stbuf_fwdbyteen_hi_m) @[lsu.scala 71:56] + node _T = or(stbuf.io.lsu_stbuf_full_any, bus_intf.io.lsu_bus_buffer_full_any) @[lsu.scala 74:57] + node _T_1 = or(_T, dccm_ctl.io.ld_single_ecc_error_r_ff) @[lsu.scala 74:95] + io.lsu_store_stall_any <= _T_1 @[lsu.scala 74:26] + node _T_2 = or(bus_intf.io.lsu_bus_buffer_full_any, dccm_ctl.io.ld_single_ecc_error_r_ff) @[lsu.scala 75:64] + io.lsu_load_stall_any <= _T_2 @[lsu.scala 75:25] + io.lsu_fastint_stall_any <= dccm_ctl.io.ld_single_ecc_error_r @[lsu.scala 76:28] + node _T_3 = eq(lsu_lsc_ctl.io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu.scala 81:58] + node _T_4 = and(lsu_lsc_ctl.io.lsu_pkt_m.valid, _T_3) @[lsu.scala 81:56] + node _T_5 = or(lsu_lsc_ctl.io.addr_in_dccm_m, lsu_lsc_ctl.io.addr_in_pic_m) @[lsu.scala 81:126] + node _T_6 = and(_T_4, _T_5) @[lsu.scala 81:93] + node ldst_nodma_mtor = and(_T_6, lsu_lsc_ctl.io.lsu_pkt_m.bits.store) @[lsu.scala 81:158] + node _T_7 = or(io.dec_lsu_valid_raw_d, ldst_nodma_mtor) @[lsu.scala 82:53] + node _T_8 = or(_T_7, dccm_ctl.io.ld_single_ecc_error_r_ff) @[lsu.scala 82:71] + node _T_9 = eq(_T_8, UInt<1>("h00")) @[lsu.scala 82:28] + io.lsu_dma.dccm_ready <= _T_9 @[lsu.scala 82:25] + node _T_10 = and(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[lsu.scala 83:58] + node dma_dccm_wen = and(_T_10, lsu_lsc_ctl.io.addr_in_dccm_d) @[lsu.scala 83:97] + node _T_11 = and(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[lsu.scala 84:58] + node dma_pic_wen = and(_T_11, lsu_lsc_ctl.io.addr_in_pic_d) @[lsu.scala 84:97] + node _T_12 = bits(io.lsu_dma.dma_lsc_ctl.dma_mem_addr, 2, 0) @[lsu.scala 85:100] + node _T_13 = cat(_T_12, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_14 = dshr(io.lsu_dma.dma_lsc_ctl.dma_mem_wdata, _T_13) @[lsu.scala 85:58] + dma_dccm_wdata <= _T_14 @[lsu.scala 85:18] + node _T_15 = bits(dma_dccm_wdata, 63, 32) @[lsu.scala 86:38] + dma_dccm_wdata_hi <= _T_15 @[lsu.scala 86:21] + node _T_16 = bits(dma_dccm_wdata, 31, 0) @[lsu.scala 87:38] + dma_dccm_wdata_lo <= _T_16 @[lsu.scala 87:21] + node _T_17 = eq(lsu_lsc_ctl.io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu.scala 96:58] + node _T_18 = and(lsu_lsc_ctl.io.lsu_pkt_m.valid, _T_17) @[lsu.scala 96:56] + node _T_19 = eq(lsu_lsc_ctl.io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu.scala 96:130] + node _T_20 = and(lsu_lsc_ctl.io.lsu_pkt_r.valid, _T_19) @[lsu.scala 96:128] + node _T_21 = or(_T_18, _T_20) @[lsu.scala 96:94] + node _T_22 = eq(_T_21, UInt<1>("h00")) @[lsu.scala 96:22] + node _T_23 = and(_T_22, bus_intf.io.lsu_bus_buffer_empty_any) @[lsu.scala 96:167] + node _T_24 = and(_T_23, bus_intf.io.lsu_bus_idle_any) @[lsu.scala 96:206] + io.lsu_idle_any <= _T_24 @[lsu.scala 96:19] + node _T_25 = and(lsu_lsc_ctl.io.lsu_pkt_r.valid, lsu_lsc_ctl.io.lsu_pkt_r.bits.store) @[lsu.scala 98:61] + node _T_26 = and(_T_25, lsu_lsc_ctl.io.addr_in_dccm_r) @[lsu.scala 98:99] + node _T_27 = eq(io.dec_tlu_i0_kill_writeb_r, UInt<1>("h00")) @[lsu.scala 98:133] + node _T_28 = and(_T_26, _T_27) @[lsu.scala 98:131] + node _T_29 = eq(lsu_lsc_ctl.io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu.scala 98:144] + node store_stbuf_reqvld_r = and(_T_28, _T_29) @[lsu.scala 98:142] + node _T_30 = or(lsu_lsc_ctl.io.lsu_pkt_m.bits.load, lsu_lsc_ctl.io.lsu_pkt_m.bits.store) @[lsu.scala 100:90] + node _T_31 = and(lsu_lsc_ctl.io.lsu_pkt_m.valid, _T_30) @[lsu.scala 100:52] + node _T_32 = or(lsu_lsc_ctl.io.addr_in_dccm_m, lsu_lsc_ctl.io.addr_in_pic_m) @[lsu.scala 100:162] + node lsu_cmpen_m = and(_T_31, _T_32) @[lsu.scala 100:129] + node _T_33 = or(lsu_lsc_ctl.io.lsu_pkt_m.bits.load, lsu_lsc_ctl.io.lsu_pkt_m.bits.store) @[lsu.scala 102:92] + node _T_34 = and(_T_33, lsu_lsc_ctl.io.addr_external_m) @[lsu.scala 102:131] + node _T_35 = and(lsu_lsc_ctl.io.lsu_pkt_m.valid, _T_34) @[lsu.scala 102:53] + node _T_36 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[lsu.scala 102:167] + node _T_37 = and(_T_35, _T_36) @[lsu.scala 102:165] + node _T_38 = eq(lsu_lsc_ctl.io.lsu_exc_m, UInt<1>("h00")) @[lsu.scala 102:181] + node _T_39 = and(_T_37, _T_38) @[lsu.scala 102:179] + node _T_40 = eq(lsu_lsc_ctl.io.lsu_pkt_m.bits.fast_int, UInt<1>("h00")) @[lsu.scala 102:209] + node lsu_busreq_m = and(_T_39, _T_40) @[lsu.scala 102:207] + node _T_41 = bits(lsu_lsc_ctl.io.lsu_addr_m, 0, 0) @[lsu.scala 104:127] + node _T_42 = and(lsu_lsc_ctl.io.lsu_pkt_m.bits.half, _T_41) @[lsu.scala 104:100] + node _T_43 = bits(lsu_lsc_ctl.io.lsu_addr_m, 1, 0) @[lsu.scala 104:197] + node _T_44 = orr(_T_43) @[lsu.scala 104:203] + node _T_45 = and(lsu_lsc_ctl.io.lsu_pkt_m.bits.word, _T_44) @[lsu.scala 104:170] + node _T_46 = or(_T_42, _T_45) @[lsu.scala 104:132] + node _T_47 = and(lsu_lsc_ctl.io.lsu_pkt_m.valid, _T_46) @[lsu.scala 104:61] + io.lsu_pmu_misaligned_m <= _T_47 @[lsu.scala 104:27] + node _T_48 = and(lsu_lsc_ctl.io.lsu_pkt_m.valid, lsu_lsc_ctl.io.lsu_pkt_m.bits.load) @[lsu.scala 105:73] + node _T_49 = and(_T_48, lsu_lsc_ctl.io.addr_external_m) @[lsu.scala 105:110] + io.lsu_tlu.lsu_pmu_load_external_m <= _T_49 @[lsu.scala 105:39] + node _T_50 = and(lsu_lsc_ctl.io.lsu_pkt_m.valid, lsu_lsc_ctl.io.lsu_pkt_m.bits.store) @[lsu.scala 106:73] + node _T_51 = and(_T_50, lsu_lsc_ctl.io.addr_external_m) @[lsu.scala 106:111] + io.lsu_tlu.lsu_pmu_store_external_m <= _T_51 @[lsu.scala 106:39] + lsu_lsc_ctl.io.lsu_c1_m_clk <= clkdomain.io.lsu_c1_m_clk @[lsu.scala 110:46] + lsu_lsc_ctl.io.lsu_c1_r_clk <= clkdomain.io.lsu_c1_r_clk @[lsu.scala 111:46] + lsu_lsc_ctl.io.lsu_c2_m_clk <= clkdomain.io.lsu_c2_m_clk @[lsu.scala 112:46] + lsu_lsc_ctl.io.lsu_c2_r_clk <= clkdomain.io.lsu_c2_r_clk @[lsu.scala 113:46] + lsu_lsc_ctl.io.lsu_store_c1_m_clk <= clkdomain.io.lsu_store_c1_m_clk @[lsu.scala 114:46] + lsu_lsc_ctl.io.lsu_ld_data_r <= dccm_ctl.io.lsu_ld_data_r @[lsu.scala 115:46] + lsu_lsc_ctl.io.lsu_ld_data_corr_r <= dccm_ctl.io.lsu_ld_data_corr_r @[lsu.scala 116:46] + lsu_lsc_ctl.io.lsu_single_ecc_error_r <= ecc.io.lsu_single_ecc_error_r @[lsu.scala 117:46] + lsu_lsc_ctl.io.lsu_double_ecc_error_r <= ecc.io.lsu_double_ecc_error_r @[lsu.scala 118:46] + lsu_lsc_ctl.io.lsu_ld_data_m <= dccm_ctl.io.lsu_ld_data_m @[lsu.scala 119:46] + lsu_lsc_ctl.io.lsu_single_ecc_error_m <= ecc.io.lsu_single_ecc_error_m @[lsu.scala 120:46] + lsu_lsc_ctl.io.lsu_double_ecc_error_m <= ecc.io.lsu_double_ecc_error_m @[lsu.scala 121:46] + lsu_lsc_ctl.io.flush_m_up <= io.dec_tlu_flush_lower_r @[lsu.scala 122:46] + lsu_lsc_ctl.io.flush_r <= io.dec_tlu_i0_kill_writeb_r @[lsu.scala 123:46] + lsu_lsc_ctl.io.lsu_exu.exu_lsu_rs2_d <= io.lsu_exu.exu_lsu_rs2_d @[lsu.scala 124:46] + lsu_lsc_ctl.io.lsu_exu.exu_lsu_rs1_d <= io.lsu_exu.exu_lsu_rs1_d @[lsu.scala 124:46] + lsu_lsc_ctl.io.lsu_p.bits.store_data_bypass_m <= io.lsu_p.bits.store_data_bypass_m @[lsu.scala 125:46] + lsu_lsc_ctl.io.lsu_p.bits.load_ldst_bypass_d <= io.lsu_p.bits.load_ldst_bypass_d @[lsu.scala 125:46] + lsu_lsc_ctl.io.lsu_p.bits.store_data_bypass_d <= io.lsu_p.bits.store_data_bypass_d @[lsu.scala 125:46] + lsu_lsc_ctl.io.lsu_p.bits.dma <= io.lsu_p.bits.dma @[lsu.scala 125:46] + lsu_lsc_ctl.io.lsu_p.bits.unsign <= io.lsu_p.bits.unsign @[lsu.scala 125:46] + lsu_lsc_ctl.io.lsu_p.bits.store <= io.lsu_p.bits.store @[lsu.scala 125:46] + lsu_lsc_ctl.io.lsu_p.bits.load <= io.lsu_p.bits.load @[lsu.scala 125:46] + lsu_lsc_ctl.io.lsu_p.bits.dword <= io.lsu_p.bits.dword @[lsu.scala 125:46] + lsu_lsc_ctl.io.lsu_p.bits.word <= io.lsu_p.bits.word @[lsu.scala 125:46] + lsu_lsc_ctl.io.lsu_p.bits.half <= io.lsu_p.bits.half @[lsu.scala 125:46] + lsu_lsc_ctl.io.lsu_p.bits.by <= io.lsu_p.bits.by @[lsu.scala 125:46] + lsu_lsc_ctl.io.lsu_p.bits.fast_int <= io.lsu_p.bits.fast_int @[lsu.scala 125:46] + lsu_lsc_ctl.io.lsu_p.valid <= io.lsu_p.valid @[lsu.scala 125:46] + lsu_lsc_ctl.io.dec_lsu_valid_raw_d <= io.dec_lsu_valid_raw_d @[lsu.scala 126:46] + lsu_lsc_ctl.io.dec_lsu_offset_d <= io.dec_lsu_offset_d @[lsu.scala 127:46] + lsu_lsc_ctl.io.picm_mask_data_m <= dccm_ctl.io.picm_mask_data_m @[lsu.scala 128:46] + lsu_lsc_ctl.io.bus_read_data_m <= bus_intf.io.bus_read_data_m @[lsu.scala 129:46] + lsu_lsc_ctl.io.dma_lsc_ctl.dma_mem_wdata <= io.lsu_dma.dma_lsc_ctl.dma_mem_wdata @[lsu.scala 130:38] + lsu_lsc_ctl.io.dma_lsc_ctl.dma_mem_write <= io.lsu_dma.dma_lsc_ctl.dma_mem_write @[lsu.scala 130:38] + lsu_lsc_ctl.io.dma_lsc_ctl.dma_mem_sz <= io.lsu_dma.dma_lsc_ctl.dma_mem_sz @[lsu.scala 130:38] + lsu_lsc_ctl.io.dma_lsc_ctl.dma_mem_addr <= io.lsu_dma.dma_lsc_ctl.dma_mem_addr @[lsu.scala 130:38] + lsu_lsc_ctl.io.dma_lsc_ctl.dma_dccm_req <= io.lsu_dma.dma_lsc_ctl.dma_dccm_req @[lsu.scala 130:38] + lsu_lsc_ctl.io.dec_tlu_mrac_ff <= io.dec_tlu_mrac_ff @[lsu.scala 131:46] + lsu_lsc_ctl.io.scan_mode <= io.scan_mode @[lsu.scala 132:46] + io.lsu_single_ecc_error_incr <= lsu_lsc_ctl.io.lsu_single_ecc_error_incr @[lsu.scala 135:49] + io.lsu_error_pkt_r.bits.addr <= lsu_lsc_ctl.io.lsu_error_pkt_r.bits.addr @[lsu.scala 136:49] + io.lsu_error_pkt_r.bits.mscause <= lsu_lsc_ctl.io.lsu_error_pkt_r.bits.mscause @[lsu.scala 136:49] + io.lsu_error_pkt_r.bits.exc_type <= lsu_lsc_ctl.io.lsu_error_pkt_r.bits.exc_type @[lsu.scala 136:49] + io.lsu_error_pkt_r.bits.inst_type <= lsu_lsc_ctl.io.lsu_error_pkt_r.bits.inst_type @[lsu.scala 136:49] + io.lsu_error_pkt_r.bits.single_ecc_error <= lsu_lsc_ctl.io.lsu_error_pkt_r.bits.single_ecc_error @[lsu.scala 136:49] + io.lsu_error_pkt_r.valid <= lsu_lsc_ctl.io.lsu_error_pkt_r.valid @[lsu.scala 136:49] + io.lsu_fir_addr <= lsu_lsc_ctl.io.lsu_fir_addr @[lsu.scala 137:49] + io.lsu_fir_error <= lsu_lsc_ctl.io.lsu_fir_error @[lsu.scala 138:49] + dccm_ctl.io.lsu_c2_m_clk <= clkdomain.io.lsu_c2_m_clk @[lsu.scala 141:46] + dccm_ctl.io.lsu_c2_r_clk <= clkdomain.io.lsu_c2_r_clk @[lsu.scala 142:46] + dccm_ctl.io.lsu_free_c2_clk <= clkdomain.io.lsu_free_c2_clk @[lsu.scala 143:46] + dccm_ctl.io.lsu_c1_r_clk <= clkdomain.io.lsu_c1_r_clk @[lsu.scala 144:46] + dccm_ctl.io.lsu_store_c1_r_clk <= clkdomain.io.lsu_store_c1_r_clk @[lsu.scala 145:46] + dccm_ctl.io.lsu_pkt_d.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_d.bits.store_data_bypass_m @[lsu.scala 146:46] + dccm_ctl.io.lsu_pkt_d.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_d.bits.load_ldst_bypass_d @[lsu.scala 146:46] + dccm_ctl.io.lsu_pkt_d.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_d.bits.store_data_bypass_d @[lsu.scala 146:46] + dccm_ctl.io.lsu_pkt_d.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_d.bits.dma @[lsu.scala 146:46] + dccm_ctl.io.lsu_pkt_d.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_d.bits.unsign @[lsu.scala 146:46] + dccm_ctl.io.lsu_pkt_d.bits.store <= lsu_lsc_ctl.io.lsu_pkt_d.bits.store @[lsu.scala 146:46] + dccm_ctl.io.lsu_pkt_d.bits.load <= lsu_lsc_ctl.io.lsu_pkt_d.bits.load @[lsu.scala 146:46] + dccm_ctl.io.lsu_pkt_d.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_d.bits.dword @[lsu.scala 146:46] + dccm_ctl.io.lsu_pkt_d.bits.word <= lsu_lsc_ctl.io.lsu_pkt_d.bits.word @[lsu.scala 146:46] + dccm_ctl.io.lsu_pkt_d.bits.half <= lsu_lsc_ctl.io.lsu_pkt_d.bits.half @[lsu.scala 146:46] + dccm_ctl.io.lsu_pkt_d.bits.by <= lsu_lsc_ctl.io.lsu_pkt_d.bits.by @[lsu.scala 146:46] + dccm_ctl.io.lsu_pkt_d.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_d.bits.fast_int @[lsu.scala 146:46] + dccm_ctl.io.lsu_pkt_d.valid <= lsu_lsc_ctl.io.lsu_pkt_d.valid @[lsu.scala 146:46] + dccm_ctl.io.lsu_pkt_m.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_m @[lsu.scala 147:46] + dccm_ctl.io.lsu_pkt_m.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load_ldst_bypass_d @[lsu.scala 147:46] + dccm_ctl.io.lsu_pkt_m.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_d @[lsu.scala 147:46] + dccm_ctl.io.lsu_pkt_m.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dma @[lsu.scala 147:46] + dccm_ctl.io.lsu_pkt_m.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_m.bits.unsign @[lsu.scala 147:46] + dccm_ctl.io.lsu_pkt_m.bits.store <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store @[lsu.scala 147:46] + dccm_ctl.io.lsu_pkt_m.bits.load <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load @[lsu.scala 147:46] + dccm_ctl.io.lsu_pkt_m.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dword @[lsu.scala 147:46] + dccm_ctl.io.lsu_pkt_m.bits.word <= lsu_lsc_ctl.io.lsu_pkt_m.bits.word @[lsu.scala 147:46] + dccm_ctl.io.lsu_pkt_m.bits.half <= lsu_lsc_ctl.io.lsu_pkt_m.bits.half @[lsu.scala 147:46] + dccm_ctl.io.lsu_pkt_m.bits.by <= lsu_lsc_ctl.io.lsu_pkt_m.bits.by @[lsu.scala 147:46] + dccm_ctl.io.lsu_pkt_m.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_m.bits.fast_int @[lsu.scala 147:46] + dccm_ctl.io.lsu_pkt_m.valid <= lsu_lsc_ctl.io.lsu_pkt_m.valid @[lsu.scala 147:46] + dccm_ctl.io.lsu_pkt_r.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store_data_bypass_m @[lsu.scala 148:46] + dccm_ctl.io.lsu_pkt_r.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_r.bits.load_ldst_bypass_d @[lsu.scala 148:46] + dccm_ctl.io.lsu_pkt_r.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store_data_bypass_d @[lsu.scala 148:46] + dccm_ctl.io.lsu_pkt_r.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_r.bits.dma @[lsu.scala 148:46] + dccm_ctl.io.lsu_pkt_r.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_r.bits.unsign @[lsu.scala 148:46] + dccm_ctl.io.lsu_pkt_r.bits.store <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store @[lsu.scala 148:46] + dccm_ctl.io.lsu_pkt_r.bits.load <= lsu_lsc_ctl.io.lsu_pkt_r.bits.load @[lsu.scala 148:46] + dccm_ctl.io.lsu_pkt_r.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_r.bits.dword @[lsu.scala 148:46] + dccm_ctl.io.lsu_pkt_r.bits.word <= lsu_lsc_ctl.io.lsu_pkt_r.bits.word @[lsu.scala 148:46] + dccm_ctl.io.lsu_pkt_r.bits.half <= lsu_lsc_ctl.io.lsu_pkt_r.bits.half @[lsu.scala 148:46] + dccm_ctl.io.lsu_pkt_r.bits.by <= lsu_lsc_ctl.io.lsu_pkt_r.bits.by @[lsu.scala 148:46] + dccm_ctl.io.lsu_pkt_r.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_r.bits.fast_int @[lsu.scala 148:46] + dccm_ctl.io.lsu_pkt_r.valid <= lsu_lsc_ctl.io.lsu_pkt_r.valid @[lsu.scala 148:46] + dccm_ctl.io.addr_in_dccm_d <= lsu_lsc_ctl.io.addr_in_dccm_d @[lsu.scala 149:46] + dccm_ctl.io.addr_in_dccm_m <= lsu_lsc_ctl.io.addr_in_dccm_m @[lsu.scala 150:46] + dccm_ctl.io.addr_in_dccm_r <= lsu_lsc_ctl.io.addr_in_dccm_r @[lsu.scala 151:46] + dccm_ctl.io.addr_in_pic_d <= lsu_lsc_ctl.io.addr_in_pic_d @[lsu.scala 152:46] + dccm_ctl.io.addr_in_pic_m <= lsu_lsc_ctl.io.addr_in_pic_m @[lsu.scala 153:46] + dccm_ctl.io.addr_in_pic_r <= lsu_lsc_ctl.io.addr_in_pic_r @[lsu.scala 154:46] + dccm_ctl.io.lsu_raw_fwd_lo_r <= lsu_raw_fwd_lo_r @[lsu.scala 155:46] + dccm_ctl.io.lsu_raw_fwd_hi_r <= lsu_raw_fwd_hi_r @[lsu.scala 156:46] + dccm_ctl.io.lsu_commit_r <= lsu_lsc_ctl.io.lsu_commit_r @[lsu.scala 157:46] + dccm_ctl.io.lsu_addr_d <= lsu_lsc_ctl.io.lsu_addr_d @[lsu.scala 158:46] + dccm_ctl.io.lsu_addr_m <= lsu_lsc_ctl.io.lsu_addr_m @[lsu.scala 159:46] + dccm_ctl.io.lsu_addr_r <= lsu_lsc_ctl.io.lsu_addr_r @[lsu.scala 160:46] + dccm_ctl.io.end_addr_d <= lsu_lsc_ctl.io.end_addr_d @[lsu.scala 161:46] + dccm_ctl.io.end_addr_m <= lsu_lsc_ctl.io.end_addr_m @[lsu.scala 162:46] + dccm_ctl.io.end_addr_r <= lsu_lsc_ctl.io.end_addr_r @[lsu.scala 163:46] + dccm_ctl.io.stbuf_reqvld_any <= stbuf.io.stbuf_reqvld_any @[lsu.scala 164:46] + dccm_ctl.io.stbuf_addr_any <= stbuf.io.stbuf_addr_any @[lsu.scala 165:46] + dccm_ctl.io.stbuf_data_any <= stbuf.io.stbuf_data_any @[lsu.scala 166:46] + dccm_ctl.io.stbuf_ecc_any <= ecc.io.stbuf_ecc_any @[lsu.scala 167:46] + dccm_ctl.io.stbuf_fwddata_hi_m <= stbuf.io.stbuf_fwddata_hi_m @[lsu.scala 168:46] + dccm_ctl.io.stbuf_fwddata_lo_m <= stbuf.io.stbuf_fwddata_lo_m @[lsu.scala 169:46] + dccm_ctl.io.stbuf_fwdbyteen_lo_m <= stbuf.io.stbuf_fwdbyteen_lo_m @[lsu.scala 170:46] + dccm_ctl.io.stbuf_fwdbyteen_hi_m <= stbuf.io.stbuf_fwdbyteen_hi_m @[lsu.scala 171:46] + dccm_ctl.io.lsu_double_ecc_error_r <= ecc.io.lsu_double_ecc_error_r @[lsu.scala 172:46] + dccm_ctl.io.single_ecc_error_hi_r <= ecc.io.single_ecc_error_hi_r @[lsu.scala 173:46] + dccm_ctl.io.single_ecc_error_lo_r <= ecc.io.single_ecc_error_lo_r @[lsu.scala 174:46] + dccm_ctl.io.sec_data_hi_r <= ecc.io.sec_data_hi_r @[lsu.scala 175:46] + dccm_ctl.io.sec_data_lo_r <= ecc.io.sec_data_lo_r @[lsu.scala 176:46] + dccm_ctl.io.sec_data_hi_r_ff <= ecc.io.sec_data_hi_r_ff @[lsu.scala 177:46] + dccm_ctl.io.sec_data_lo_r_ff <= ecc.io.sec_data_lo_r_ff @[lsu.scala 178:46] + dccm_ctl.io.sec_data_ecc_hi_r_ff <= ecc.io.sec_data_ecc_hi_r_ff @[lsu.scala 179:46] + dccm_ctl.io.sec_data_ecc_lo_r_ff <= ecc.io.sec_data_ecc_lo_r_ff @[lsu.scala 180:46] + dccm_ctl.io.lsu_double_ecc_error_m <= ecc.io.lsu_double_ecc_error_m @[lsu.scala 181:46] + dccm_ctl.io.sec_data_hi_m <= ecc.io.sec_data_hi_m @[lsu.scala 182:46] + dccm_ctl.io.sec_data_lo_m <= ecc.io.sec_data_lo_m @[lsu.scala 183:46] + dccm_ctl.io.store_data_m <= lsu_lsc_ctl.io.store_data_m @[lsu.scala 184:46] + dccm_ctl.io.dma_dccm_wen <= dma_dccm_wen @[lsu.scala 185:46] + dccm_ctl.io.dma_pic_wen <= dma_pic_wen @[lsu.scala 186:46] + dccm_ctl.io.dma_mem_tag_m <= dma_mem_tag_m @[lsu.scala 187:46] + dccm_ctl.io.dma_dccm_wdata_lo <= dma_dccm_wdata_lo @[lsu.scala 188:46] + dccm_ctl.io.dma_dccm_wdata_hi <= dma_dccm_wdata_hi @[lsu.scala 189:46] + dccm_ctl.io.dma_dccm_wdata_ecc_hi <= ecc.io.dma_dccm_wdata_ecc_hi @[lsu.scala 190:46] + dccm_ctl.io.dma_dccm_wdata_ecc_lo <= ecc.io.dma_dccm_wdata_ecc_lo @[lsu.scala 191:46] + dccm_ctl.io.scan_mode <= io.scan_mode @[lsu.scala 192:46] + io.lsu_dma.dma_dccm_ctl.dccm_dma_rdata <= dccm_ctl.io.dma_dccm_ctl.dccm_dma_rdata @[lsu.scala 194:27] + io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag <= dccm_ctl.io.dma_dccm_ctl.dccm_dma_rtag @[lsu.scala 194:27] + io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error <= dccm_ctl.io.dma_dccm_ctl.dccm_dma_ecc_error @[lsu.scala 194:27] + io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid <= dccm_ctl.io.dma_dccm_ctl.dccm_dma_rvalid @[lsu.scala 194:27] + dccm_ctl.io.dma_dccm_ctl.dma_mem_wdata <= io.lsu_dma.dma_dccm_ctl.dma_mem_wdata @[lsu.scala 194:27] + dccm_ctl.io.dma_dccm_ctl.dma_mem_addr <= io.lsu_dma.dma_dccm_ctl.dma_mem_addr @[lsu.scala 194:27] + dccm_ctl.io.dccm.rd_data_hi <= io.dccm.rd_data_hi @[lsu.scala 195:11] + dccm_ctl.io.dccm.rd_data_lo <= io.dccm.rd_data_lo @[lsu.scala 195:11] + io.dccm.wr_data_hi <= dccm_ctl.io.dccm.wr_data_hi @[lsu.scala 195:11] + io.dccm.wr_data_lo <= dccm_ctl.io.dccm.wr_data_lo @[lsu.scala 195:11] + io.dccm.rd_addr_hi <= dccm_ctl.io.dccm.rd_addr_hi @[lsu.scala 195:11] + io.dccm.rd_addr_lo <= dccm_ctl.io.dccm.rd_addr_lo @[lsu.scala 195:11] + io.dccm.wr_addr_hi <= dccm_ctl.io.dccm.wr_addr_hi @[lsu.scala 195:11] + io.dccm.wr_addr_lo <= dccm_ctl.io.dccm.wr_addr_lo @[lsu.scala 195:11] + io.dccm.rden <= dccm_ctl.io.dccm.rden @[lsu.scala 195:11] + io.dccm.wren <= dccm_ctl.io.dccm.wren @[lsu.scala 195:11] + dccm_ctl.io.lsu_pic.picm_rd_data <= io.lsu_pic.picm_rd_data @[lsu.scala 196:14] + io.lsu_pic.picm_wr_data <= dccm_ctl.io.lsu_pic.picm_wr_data @[lsu.scala 196:14] + io.lsu_pic.picm_wraddr <= dccm_ctl.io.lsu_pic.picm_wraddr @[lsu.scala 196:14] + io.lsu_pic.picm_rdaddr <= dccm_ctl.io.lsu_pic.picm_rdaddr @[lsu.scala 196:14] + io.lsu_pic.picm_mken <= dccm_ctl.io.lsu_pic.picm_mken @[lsu.scala 196:14] + io.lsu_pic.picm_rden <= dccm_ctl.io.lsu_pic.picm_rden @[lsu.scala 196:14] + io.lsu_pic.picm_wren <= dccm_ctl.io.lsu_pic.picm_wren @[lsu.scala 196:14] + stbuf.io.lsu_c1_m_clk <= clkdomain.io.lsu_c1_m_clk @[lsu.scala 199:49] + stbuf.io.lsu_c1_r_clk <= clkdomain.io.lsu_c1_r_clk @[lsu.scala 200:48] + stbuf.io.lsu_stbuf_c1_clk <= clkdomain.io.lsu_stbuf_c1_clk @[lsu.scala 201:54] + stbuf.io.lsu_free_c2_clk <= clkdomain.io.lsu_free_c2_clk @[lsu.scala 202:54] + stbuf.io.lsu_pkt_m.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_m @[lsu.scala 203:48] + stbuf.io.lsu_pkt_m.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load_ldst_bypass_d @[lsu.scala 203:48] + stbuf.io.lsu_pkt_m.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_d @[lsu.scala 203:48] + stbuf.io.lsu_pkt_m.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dma @[lsu.scala 203:48] + stbuf.io.lsu_pkt_m.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_m.bits.unsign @[lsu.scala 203:48] + stbuf.io.lsu_pkt_m.bits.store <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store @[lsu.scala 203:48] + stbuf.io.lsu_pkt_m.bits.load <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load @[lsu.scala 203:48] + stbuf.io.lsu_pkt_m.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dword @[lsu.scala 203:48] + stbuf.io.lsu_pkt_m.bits.word <= lsu_lsc_ctl.io.lsu_pkt_m.bits.word @[lsu.scala 203:48] + stbuf.io.lsu_pkt_m.bits.half <= lsu_lsc_ctl.io.lsu_pkt_m.bits.half @[lsu.scala 203:48] + stbuf.io.lsu_pkt_m.bits.by <= lsu_lsc_ctl.io.lsu_pkt_m.bits.by @[lsu.scala 203:48] + stbuf.io.lsu_pkt_m.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_m.bits.fast_int @[lsu.scala 203:48] + stbuf.io.lsu_pkt_m.valid <= lsu_lsc_ctl.io.lsu_pkt_m.valid @[lsu.scala 203:48] + stbuf.io.lsu_pkt_r.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store_data_bypass_m @[lsu.scala 204:48] + stbuf.io.lsu_pkt_r.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_r.bits.load_ldst_bypass_d @[lsu.scala 204:48] + stbuf.io.lsu_pkt_r.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store_data_bypass_d @[lsu.scala 204:48] + stbuf.io.lsu_pkt_r.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_r.bits.dma @[lsu.scala 204:48] + stbuf.io.lsu_pkt_r.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_r.bits.unsign @[lsu.scala 204:48] + stbuf.io.lsu_pkt_r.bits.store <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store @[lsu.scala 204:48] + stbuf.io.lsu_pkt_r.bits.load <= lsu_lsc_ctl.io.lsu_pkt_r.bits.load @[lsu.scala 204:48] + stbuf.io.lsu_pkt_r.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_r.bits.dword @[lsu.scala 204:48] + stbuf.io.lsu_pkt_r.bits.word <= lsu_lsc_ctl.io.lsu_pkt_r.bits.word @[lsu.scala 204:48] + stbuf.io.lsu_pkt_r.bits.half <= lsu_lsc_ctl.io.lsu_pkt_r.bits.half @[lsu.scala 204:48] + stbuf.io.lsu_pkt_r.bits.by <= lsu_lsc_ctl.io.lsu_pkt_r.bits.by @[lsu.scala 204:48] + stbuf.io.lsu_pkt_r.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_r.bits.fast_int @[lsu.scala 204:48] + stbuf.io.lsu_pkt_r.valid <= lsu_lsc_ctl.io.lsu_pkt_r.valid @[lsu.scala 204:48] + stbuf.io.store_stbuf_reqvld_r <= store_stbuf_reqvld_r @[lsu.scala 205:48] + stbuf.io.lsu_commit_r <= lsu_lsc_ctl.io.lsu_commit_r @[lsu.scala 206:49] + stbuf.io.dec_lsu_valid_raw_d <= io.dec_lsu_valid_raw_d @[lsu.scala 207:49] + stbuf.io.store_data_hi_r <= dccm_ctl.io.store_data_hi_r @[lsu.scala 208:62] + stbuf.io.store_data_lo_r <= dccm_ctl.io.store_data_lo_r @[lsu.scala 209:62] + stbuf.io.store_datafn_hi_r <= dccm_ctl.io.store_datafn_hi_r @[lsu.scala 210:49] + stbuf.io.store_datafn_lo_r <= dccm_ctl.io.store_datafn_lo_r @[lsu.scala 211:56] + stbuf.io.lsu_stbuf_commit_any <= dccm_ctl.io.lsu_stbuf_commit_any @[lsu.scala 212:52] + stbuf.io.lsu_addr_d <= lsu_lsc_ctl.io.lsu_addr_d @[lsu.scala 213:64] + stbuf.io.lsu_addr_m <= lsu_lsc_ctl.io.lsu_addr_m @[lsu.scala 214:64] + stbuf.io.lsu_addr_r <= lsu_lsc_ctl.io.lsu_addr_r @[lsu.scala 215:64] + stbuf.io.end_addr_d <= lsu_lsc_ctl.io.end_addr_d @[lsu.scala 216:64] + stbuf.io.end_addr_m <= lsu_lsc_ctl.io.end_addr_m @[lsu.scala 217:64] + stbuf.io.end_addr_r <= lsu_lsc_ctl.io.end_addr_r @[lsu.scala 218:64] + stbuf.io.addr_in_dccm_m <= lsu_lsc_ctl.io.addr_in_dccm_m @[lsu.scala 219:49] + stbuf.io.addr_in_dccm_r <= lsu_lsc_ctl.io.addr_in_dccm_r @[lsu.scala 220:56] + stbuf.io.lsu_cmpen_m <= lsu_cmpen_m @[lsu.scala 221:54] + stbuf.io.scan_mode <= io.scan_mode @[lsu.scala 222:49] + ecc.io.lsu_c2_r_clk <= clkdomain.io.lsu_c2_r_clk @[lsu.scala 226:52] + ecc.io.lsu_pkt_m.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_m @[lsu.scala 227:52] + ecc.io.lsu_pkt_m.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load_ldst_bypass_d @[lsu.scala 227:52] + ecc.io.lsu_pkt_m.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_d @[lsu.scala 227:52] + ecc.io.lsu_pkt_m.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dma @[lsu.scala 227:52] + ecc.io.lsu_pkt_m.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_m.bits.unsign @[lsu.scala 227:52] + ecc.io.lsu_pkt_m.bits.store <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store @[lsu.scala 227:52] + ecc.io.lsu_pkt_m.bits.load <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load @[lsu.scala 227:52] + ecc.io.lsu_pkt_m.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dword @[lsu.scala 227:52] + ecc.io.lsu_pkt_m.bits.word <= lsu_lsc_ctl.io.lsu_pkt_m.bits.word @[lsu.scala 227:52] + ecc.io.lsu_pkt_m.bits.half <= lsu_lsc_ctl.io.lsu_pkt_m.bits.half @[lsu.scala 227:52] + ecc.io.lsu_pkt_m.bits.by <= lsu_lsc_ctl.io.lsu_pkt_m.bits.by @[lsu.scala 227:52] + ecc.io.lsu_pkt_m.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_m.bits.fast_int @[lsu.scala 227:52] + ecc.io.lsu_pkt_m.valid <= lsu_lsc_ctl.io.lsu_pkt_m.valid @[lsu.scala 227:52] + ecc.io.lsu_pkt_r.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store_data_bypass_m @[lsu.scala 228:52] + ecc.io.lsu_pkt_r.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_r.bits.load_ldst_bypass_d @[lsu.scala 228:52] + ecc.io.lsu_pkt_r.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store_data_bypass_d @[lsu.scala 228:52] + ecc.io.lsu_pkt_r.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_r.bits.dma @[lsu.scala 228:52] + ecc.io.lsu_pkt_r.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_r.bits.unsign @[lsu.scala 228:52] + ecc.io.lsu_pkt_r.bits.store <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store @[lsu.scala 228:52] + ecc.io.lsu_pkt_r.bits.load <= lsu_lsc_ctl.io.lsu_pkt_r.bits.load @[lsu.scala 228:52] + ecc.io.lsu_pkt_r.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_r.bits.dword @[lsu.scala 228:52] + ecc.io.lsu_pkt_r.bits.word <= lsu_lsc_ctl.io.lsu_pkt_r.bits.word @[lsu.scala 228:52] + ecc.io.lsu_pkt_r.bits.half <= lsu_lsc_ctl.io.lsu_pkt_r.bits.half @[lsu.scala 228:52] + ecc.io.lsu_pkt_r.bits.by <= lsu_lsc_ctl.io.lsu_pkt_r.bits.by @[lsu.scala 228:52] + ecc.io.lsu_pkt_r.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_r.bits.fast_int @[lsu.scala 228:52] + ecc.io.lsu_pkt_r.valid <= lsu_lsc_ctl.io.lsu_pkt_r.valid @[lsu.scala 228:52] + ecc.io.stbuf_data_any <= stbuf.io.stbuf_data_any @[lsu.scala 229:54] + ecc.io.dec_tlu_core_ecc_disable <= io.dec_tlu_core_ecc_disable @[lsu.scala 230:50] + ecc.io.lsu_dccm_rden_r <= dccm_ctl.io.lsu_dccm_rden_r @[lsu.scala 231:56] + ecc.io.addr_in_dccm_r <= lsu_lsc_ctl.io.addr_in_dccm_r @[lsu.scala 232:50] + ecc.io.lsu_addr_r <= lsu_lsc_ctl.io.lsu_addr_r @[lsu.scala 233:58] + ecc.io.end_addr_r <= lsu_lsc_ctl.io.end_addr_r @[lsu.scala 234:58] + ecc.io.lsu_addr_m <= lsu_lsc_ctl.io.lsu_addr_m @[lsu.scala 235:58] + ecc.io.end_addr_m <= lsu_lsc_ctl.io.end_addr_m @[lsu.scala 236:58] + ecc.io.dccm_rdata_hi_r <= dccm_ctl.io.dccm_rdata_hi_r @[lsu.scala 237:54] + ecc.io.dccm_rdata_lo_r <= dccm_ctl.io.dccm_rdata_lo_r @[lsu.scala 238:54] + ecc.io.dccm_rdata_hi_m <= dccm_ctl.io.dccm_rdata_hi_m @[lsu.scala 239:54] + ecc.io.dccm_rdata_lo_m <= dccm_ctl.io.dccm_rdata_lo_m @[lsu.scala 240:54] + ecc.io.dccm_data_ecc_hi_r <= dccm_ctl.io.dccm_data_ecc_hi_r @[lsu.scala 241:50] + ecc.io.dccm_data_ecc_lo_r <= dccm_ctl.io.dccm_data_ecc_lo_r @[lsu.scala 242:50] + ecc.io.dccm_data_ecc_hi_m <= dccm_ctl.io.dccm_data_ecc_hi_m @[lsu.scala 243:50] + ecc.io.dccm_data_ecc_lo_m <= dccm_ctl.io.dccm_data_ecc_lo_m @[lsu.scala 244:50] + ecc.io.ld_single_ecc_error_r <= dccm_ctl.io.ld_single_ecc_error_r @[lsu.scala 245:50] + ecc.io.ld_single_ecc_error_r_ff <= dccm_ctl.io.ld_single_ecc_error_r_ff @[lsu.scala 246:50] + ecc.io.lsu_dccm_rden_m <= dccm_ctl.io.lsu_dccm_rden_m @[lsu.scala 247:50] + ecc.io.addr_in_dccm_m <= lsu_lsc_ctl.io.addr_in_dccm_m @[lsu.scala 248:50] + ecc.io.dma_dccm_wen <= dma_dccm_wen @[lsu.scala 249:50] + ecc.io.dma_dccm_wdata_lo <= dma_dccm_wdata_lo @[lsu.scala 250:50] + ecc.io.dma_dccm_wdata_hi <= dma_dccm_wdata_hi @[lsu.scala 251:50] + ecc.io.scan_mode <= io.scan_mode @[lsu.scala 252:50] + trigger.io.trigger_pkt_any[0].tdata2 <= io.trigger_pkt_any[0].tdata2 @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[0].m <= io.trigger_pkt_any[0].m @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[0].execute <= io.trigger_pkt_any[0].execute @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[0].load <= io.trigger_pkt_any[0].load @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[0].store <= io.trigger_pkt_any[0].store @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[0].match_pkt <= io.trigger_pkt_any[0].match_pkt @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[0].select <= io.trigger_pkt_any[0].select @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[1].tdata2 <= io.trigger_pkt_any[1].tdata2 @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[1].m <= io.trigger_pkt_any[1].m @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[1].execute <= io.trigger_pkt_any[1].execute @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[1].load <= io.trigger_pkt_any[1].load @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[1].store <= io.trigger_pkt_any[1].store @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[1].match_pkt <= io.trigger_pkt_any[1].match_pkt @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[1].select <= io.trigger_pkt_any[1].select @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[2].tdata2 <= io.trigger_pkt_any[2].tdata2 @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[2].m <= io.trigger_pkt_any[2].m @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[2].execute <= io.trigger_pkt_any[2].execute @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[2].load <= io.trigger_pkt_any[2].load @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[2].store <= io.trigger_pkt_any[2].store @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[2].match_pkt <= io.trigger_pkt_any[2].match_pkt @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[2].select <= io.trigger_pkt_any[2].select @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[3].tdata2 <= io.trigger_pkt_any[3].tdata2 @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[3].m <= io.trigger_pkt_any[3].m @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[3].execute <= io.trigger_pkt_any[3].execute @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[3].load <= io.trigger_pkt_any[3].load @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[3].store <= io.trigger_pkt_any[3].store @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[3].match_pkt <= io.trigger_pkt_any[3].match_pkt @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[3].select <= io.trigger_pkt_any[3].select @[lsu.scala 256:50] + trigger.io.lsu_pkt_m.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_m @[lsu.scala 257:50] + trigger.io.lsu_pkt_m.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load_ldst_bypass_d @[lsu.scala 257:50] + trigger.io.lsu_pkt_m.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_d @[lsu.scala 257:50] + trigger.io.lsu_pkt_m.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dma @[lsu.scala 257:50] + trigger.io.lsu_pkt_m.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_m.bits.unsign @[lsu.scala 257:50] + trigger.io.lsu_pkt_m.bits.store <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store @[lsu.scala 257:50] + trigger.io.lsu_pkt_m.bits.load <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load @[lsu.scala 257:50] + trigger.io.lsu_pkt_m.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dword @[lsu.scala 257:50] + trigger.io.lsu_pkt_m.bits.word <= lsu_lsc_ctl.io.lsu_pkt_m.bits.word @[lsu.scala 257:50] + trigger.io.lsu_pkt_m.bits.half <= lsu_lsc_ctl.io.lsu_pkt_m.bits.half @[lsu.scala 257:50] + trigger.io.lsu_pkt_m.bits.by <= lsu_lsc_ctl.io.lsu_pkt_m.bits.by @[lsu.scala 257:50] + trigger.io.lsu_pkt_m.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_m.bits.fast_int @[lsu.scala 257:50] + trigger.io.lsu_pkt_m.valid <= lsu_lsc_ctl.io.lsu_pkt_m.valid @[lsu.scala 257:50] + trigger.io.lsu_addr_m <= lsu_lsc_ctl.io.lsu_addr_m @[lsu.scala 258:50] + trigger.io.store_data_m <= lsu_lsc_ctl.io.store_data_m @[lsu.scala 259:50] + io.lsu_trigger_match_m <= trigger.io.lsu_trigger_match_m @[lsu.scala 261:50] + clkdomain.io.free_clk <= io.free_clk @[lsu.scala 265:50] + clkdomain.io.clk_override <= io.clk_override @[lsu.scala 266:50] + clkdomain.io.addr_in_dccm_m <= lsu_lsc_ctl.io.addr_in_dccm_m @[lsu.scala 267:50] + clkdomain.io.dma_dccm_req <= io.lsu_dma.dma_lsc_ctl.dma_dccm_req @[lsu.scala 268:50] + clkdomain.io.ldst_stbuf_reqvld_r <= stbuf.io.ldst_stbuf_reqvld_r @[lsu.scala 269:50] + clkdomain.io.stbuf_reqvld_any <= stbuf.io.stbuf_reqvld_any @[lsu.scala 270:50] + clkdomain.io.stbuf_reqvld_flushed_any <= stbuf.io.stbuf_reqvld_flushed_any @[lsu.scala 271:50] + clkdomain.io.lsu_busreq_r <= bus_intf.io.lsu_busreq_r @[lsu.scala 272:50] + clkdomain.io.lsu_bus_buffer_pend_any <= bus_intf.io.lsu_bus_buffer_pend_any @[lsu.scala 273:50] + clkdomain.io.lsu_bus_buffer_empty_any <= bus_intf.io.lsu_bus_buffer_empty_any @[lsu.scala 274:50] + clkdomain.io.lsu_stbuf_empty_any <= stbuf.io.lsu_stbuf_empty_any @[lsu.scala 275:50] + clkdomain.io.lsu_bus_clk_en <= io.lsu_bus_clk_en @[lsu.scala 276:50] + clkdomain.io.lsu_p.bits.store_data_bypass_m <= io.lsu_p.bits.store_data_bypass_m @[lsu.scala 277:50] + clkdomain.io.lsu_p.bits.load_ldst_bypass_d <= io.lsu_p.bits.load_ldst_bypass_d @[lsu.scala 277:50] + clkdomain.io.lsu_p.bits.store_data_bypass_d <= io.lsu_p.bits.store_data_bypass_d @[lsu.scala 277:50] + clkdomain.io.lsu_p.bits.dma <= io.lsu_p.bits.dma @[lsu.scala 277:50] + clkdomain.io.lsu_p.bits.unsign <= io.lsu_p.bits.unsign @[lsu.scala 277:50] + clkdomain.io.lsu_p.bits.store <= io.lsu_p.bits.store @[lsu.scala 277:50] + clkdomain.io.lsu_p.bits.load <= io.lsu_p.bits.load @[lsu.scala 277:50] + clkdomain.io.lsu_p.bits.dword <= io.lsu_p.bits.dword @[lsu.scala 277:50] + clkdomain.io.lsu_p.bits.word <= io.lsu_p.bits.word @[lsu.scala 277:50] + clkdomain.io.lsu_p.bits.half <= io.lsu_p.bits.half @[lsu.scala 277:50] + clkdomain.io.lsu_p.bits.by <= io.lsu_p.bits.by @[lsu.scala 277:50] + clkdomain.io.lsu_p.bits.fast_int <= io.lsu_p.bits.fast_int @[lsu.scala 277:50] + clkdomain.io.lsu_p.valid <= io.lsu_p.valid @[lsu.scala 277:50] + clkdomain.io.lsu_pkt_d.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_d.bits.store_data_bypass_m @[lsu.scala 278:50] + clkdomain.io.lsu_pkt_d.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_d.bits.load_ldst_bypass_d @[lsu.scala 278:50] + clkdomain.io.lsu_pkt_d.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_d.bits.store_data_bypass_d @[lsu.scala 278:50] + clkdomain.io.lsu_pkt_d.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_d.bits.dma @[lsu.scala 278:50] + clkdomain.io.lsu_pkt_d.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_d.bits.unsign @[lsu.scala 278:50] + clkdomain.io.lsu_pkt_d.bits.store <= lsu_lsc_ctl.io.lsu_pkt_d.bits.store @[lsu.scala 278:50] + clkdomain.io.lsu_pkt_d.bits.load <= lsu_lsc_ctl.io.lsu_pkt_d.bits.load @[lsu.scala 278:50] + clkdomain.io.lsu_pkt_d.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_d.bits.dword @[lsu.scala 278:50] + clkdomain.io.lsu_pkt_d.bits.word <= lsu_lsc_ctl.io.lsu_pkt_d.bits.word @[lsu.scala 278:50] + clkdomain.io.lsu_pkt_d.bits.half <= lsu_lsc_ctl.io.lsu_pkt_d.bits.half @[lsu.scala 278:50] + clkdomain.io.lsu_pkt_d.bits.by <= lsu_lsc_ctl.io.lsu_pkt_d.bits.by @[lsu.scala 278:50] + clkdomain.io.lsu_pkt_d.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_d.bits.fast_int @[lsu.scala 278:50] + clkdomain.io.lsu_pkt_d.valid <= lsu_lsc_ctl.io.lsu_pkt_d.valid @[lsu.scala 278:50] + clkdomain.io.lsu_pkt_m.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_m @[lsu.scala 279:50] + clkdomain.io.lsu_pkt_m.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load_ldst_bypass_d @[lsu.scala 279:50] + clkdomain.io.lsu_pkt_m.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_d @[lsu.scala 279:50] + clkdomain.io.lsu_pkt_m.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dma @[lsu.scala 279:50] + clkdomain.io.lsu_pkt_m.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_m.bits.unsign @[lsu.scala 279:50] + clkdomain.io.lsu_pkt_m.bits.store <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store @[lsu.scala 279:50] + clkdomain.io.lsu_pkt_m.bits.load <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load @[lsu.scala 279:50] + clkdomain.io.lsu_pkt_m.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dword @[lsu.scala 279:50] + clkdomain.io.lsu_pkt_m.bits.word <= lsu_lsc_ctl.io.lsu_pkt_m.bits.word @[lsu.scala 279:50] + clkdomain.io.lsu_pkt_m.bits.half <= lsu_lsc_ctl.io.lsu_pkt_m.bits.half @[lsu.scala 279:50] + clkdomain.io.lsu_pkt_m.bits.by <= lsu_lsc_ctl.io.lsu_pkt_m.bits.by @[lsu.scala 279:50] + clkdomain.io.lsu_pkt_m.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_m.bits.fast_int @[lsu.scala 279:50] + clkdomain.io.lsu_pkt_m.valid <= lsu_lsc_ctl.io.lsu_pkt_m.valid @[lsu.scala 279:50] + clkdomain.io.lsu_pkt_r.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store_data_bypass_m @[lsu.scala 280:50] + clkdomain.io.lsu_pkt_r.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_r.bits.load_ldst_bypass_d @[lsu.scala 280:50] + clkdomain.io.lsu_pkt_r.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store_data_bypass_d @[lsu.scala 280:50] + clkdomain.io.lsu_pkt_r.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_r.bits.dma @[lsu.scala 280:50] + clkdomain.io.lsu_pkt_r.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_r.bits.unsign @[lsu.scala 280:50] + clkdomain.io.lsu_pkt_r.bits.store <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store @[lsu.scala 280:50] + clkdomain.io.lsu_pkt_r.bits.load <= lsu_lsc_ctl.io.lsu_pkt_r.bits.load @[lsu.scala 280:50] + clkdomain.io.lsu_pkt_r.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_r.bits.dword @[lsu.scala 280:50] + clkdomain.io.lsu_pkt_r.bits.word <= lsu_lsc_ctl.io.lsu_pkt_r.bits.word @[lsu.scala 280:50] + clkdomain.io.lsu_pkt_r.bits.half <= lsu_lsc_ctl.io.lsu_pkt_r.bits.half @[lsu.scala 280:50] + clkdomain.io.lsu_pkt_r.bits.by <= lsu_lsc_ctl.io.lsu_pkt_r.bits.by @[lsu.scala 280:50] + clkdomain.io.lsu_pkt_r.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_r.bits.fast_int @[lsu.scala 280:50] + clkdomain.io.lsu_pkt_r.valid <= lsu_lsc_ctl.io.lsu_pkt_r.valid @[lsu.scala 280:50] + clkdomain.io.scan_mode <= io.scan_mode @[lsu.scala 281:50] + bus_intf.io.scan_mode <= io.scan_mode @[lsu.scala 285:49] + io.lsu_dec.tlu_busbuff.lsu_imprecise_error_addr_any <= bus_intf.io.tlu_busbuff.lsu_imprecise_error_addr_any @[lsu.scala 286:26] + io.lsu_dec.tlu_busbuff.lsu_imprecise_error_store_any <= bus_intf.io.tlu_busbuff.lsu_imprecise_error_store_any @[lsu.scala 286:26] + io.lsu_dec.tlu_busbuff.lsu_imprecise_error_load_any <= bus_intf.io.tlu_busbuff.lsu_imprecise_error_load_any @[lsu.scala 286:26] + bus_intf.io.tlu_busbuff.dec_tlu_sideeffect_posted_disable <= io.lsu_dec.tlu_busbuff.dec_tlu_sideeffect_posted_disable @[lsu.scala 286:26] + bus_intf.io.tlu_busbuff.dec_tlu_wb_coalescing_disable <= io.lsu_dec.tlu_busbuff.dec_tlu_wb_coalescing_disable @[lsu.scala 286:26] + bus_intf.io.tlu_busbuff.dec_tlu_external_ldfwd_disable <= io.lsu_dec.tlu_busbuff.dec_tlu_external_ldfwd_disable @[lsu.scala 286:26] + io.lsu_dec.tlu_busbuff.lsu_pmu_bus_busy <= bus_intf.io.tlu_busbuff.lsu_pmu_bus_busy @[lsu.scala 286:26] + io.lsu_dec.tlu_busbuff.lsu_pmu_bus_error <= bus_intf.io.tlu_busbuff.lsu_pmu_bus_error @[lsu.scala 286:26] + io.lsu_dec.tlu_busbuff.lsu_pmu_bus_misaligned <= bus_intf.io.tlu_busbuff.lsu_pmu_bus_misaligned @[lsu.scala 286:26] + io.lsu_dec.tlu_busbuff.lsu_pmu_bus_trxn <= bus_intf.io.tlu_busbuff.lsu_pmu_bus_trxn @[lsu.scala 286:26] + bus_intf.io.lsu_c1_m_clk <= clkdomain.io.lsu_c1_m_clk @[lsu.scala 287:49] + bus_intf.io.lsu_c1_r_clk <= clkdomain.io.lsu_c1_r_clk @[lsu.scala 288:49] + bus_intf.io.lsu_c2_r_clk <= clkdomain.io.lsu_c2_r_clk @[lsu.scala 289:49] + bus_intf.io.lsu_bus_ibuf_c1_clk <= clkdomain.io.lsu_bus_ibuf_c1_clk @[lsu.scala 290:49] + bus_intf.io.lsu_bus_obuf_c1_clk <= clkdomain.io.lsu_bus_obuf_c1_clk @[lsu.scala 291:49] + bus_intf.io.lsu_bus_buf_c1_clk <= clkdomain.io.lsu_bus_buf_c1_clk @[lsu.scala 292:49] + bus_intf.io.lsu_free_c2_clk <= clkdomain.io.lsu_free_c2_clk @[lsu.scala 293:49] + bus_intf.io.free_clk <= io.free_clk @[lsu.scala 294:49] + bus_intf.io.lsu_busm_clk <= clkdomain.io.lsu_busm_clk @[lsu.scala 295:49] + bus_intf.io.dec_lsu_valid_raw_d <= io.dec_lsu_valid_raw_d @[lsu.scala 296:49] + bus_intf.io.lsu_busreq_m <= lsu_busreq_m @[lsu.scala 297:49] + bus_intf.io.lsu_addr_d <= lsu_lsc_ctl.io.lsu_addr_d @[lsu.scala 298:49] + bus_intf.io.lsu_addr_m <= lsu_lsc_ctl.io.lsu_addr_m @[lsu.scala 299:49] + bus_intf.io.lsu_addr_r <= lsu_lsc_ctl.io.lsu_addr_r @[lsu.scala 300:49] + bus_intf.io.end_addr_d <= lsu_lsc_ctl.io.end_addr_d @[lsu.scala 301:49] + bus_intf.io.end_addr_m <= lsu_lsc_ctl.io.end_addr_m @[lsu.scala 302:49] + bus_intf.io.end_addr_r <= lsu_lsc_ctl.io.end_addr_r @[lsu.scala 303:49] + bus_intf.io.store_data_r <= dccm_ctl.io.store_data_r @[lsu.scala 304:49] + bus_intf.io.lsu_pkt_m.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_m @[lsu.scala 305:49] + bus_intf.io.lsu_pkt_m.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load_ldst_bypass_d @[lsu.scala 305:49] + bus_intf.io.lsu_pkt_m.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_d @[lsu.scala 305:49] + bus_intf.io.lsu_pkt_m.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dma @[lsu.scala 305:49] + bus_intf.io.lsu_pkt_m.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_m.bits.unsign @[lsu.scala 305:49] + bus_intf.io.lsu_pkt_m.bits.store <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store @[lsu.scala 305:49] + bus_intf.io.lsu_pkt_m.bits.load <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load @[lsu.scala 305:49] + bus_intf.io.lsu_pkt_m.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dword @[lsu.scala 305:49] + bus_intf.io.lsu_pkt_m.bits.word <= lsu_lsc_ctl.io.lsu_pkt_m.bits.word @[lsu.scala 305:49] + bus_intf.io.lsu_pkt_m.bits.half <= lsu_lsc_ctl.io.lsu_pkt_m.bits.half @[lsu.scala 305:49] + bus_intf.io.lsu_pkt_m.bits.by <= lsu_lsc_ctl.io.lsu_pkt_m.bits.by @[lsu.scala 305:49] + bus_intf.io.lsu_pkt_m.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_m.bits.fast_int @[lsu.scala 305:49] + bus_intf.io.lsu_pkt_m.valid <= lsu_lsc_ctl.io.lsu_pkt_m.valid @[lsu.scala 305:49] + bus_intf.io.lsu_pkt_r.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store_data_bypass_m @[lsu.scala 306:49] + bus_intf.io.lsu_pkt_r.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_r.bits.load_ldst_bypass_d @[lsu.scala 306:49] + bus_intf.io.lsu_pkt_r.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store_data_bypass_d @[lsu.scala 306:49] + bus_intf.io.lsu_pkt_r.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_r.bits.dma @[lsu.scala 306:49] + bus_intf.io.lsu_pkt_r.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_r.bits.unsign @[lsu.scala 306:49] + bus_intf.io.lsu_pkt_r.bits.store <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store @[lsu.scala 306:49] + bus_intf.io.lsu_pkt_r.bits.load <= lsu_lsc_ctl.io.lsu_pkt_r.bits.load @[lsu.scala 306:49] + bus_intf.io.lsu_pkt_r.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_r.bits.dword @[lsu.scala 306:49] + bus_intf.io.lsu_pkt_r.bits.word <= lsu_lsc_ctl.io.lsu_pkt_r.bits.word @[lsu.scala 306:49] + bus_intf.io.lsu_pkt_r.bits.half <= lsu_lsc_ctl.io.lsu_pkt_r.bits.half @[lsu.scala 306:49] + bus_intf.io.lsu_pkt_r.bits.by <= lsu_lsc_ctl.io.lsu_pkt_r.bits.by @[lsu.scala 306:49] + bus_intf.io.lsu_pkt_r.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_r.bits.fast_int @[lsu.scala 306:49] + bus_intf.io.lsu_pkt_r.valid <= lsu_lsc_ctl.io.lsu_pkt_r.valid @[lsu.scala 306:49] + bus_intf.io.dec_tlu_force_halt <= io.dec_tlu_force_halt @[lsu.scala 307:49] + bus_intf.io.lsu_commit_r <= lsu_lsc_ctl.io.lsu_commit_r @[lsu.scala 308:49] + bus_intf.io.is_sideeffects_m <= lsu_lsc_ctl.io.is_sideeffects_m @[lsu.scala 309:49] + bus_intf.io.flush_m_up <= io.dec_tlu_flush_lower_r @[lsu.scala 310:49] + bus_intf.io.flush_r <= io.dec_tlu_i0_kill_writeb_r @[lsu.scala 311:49] + io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data <= bus_intf.io.dctl_busbuff.lsu_nonblock_load_data @[lsu.scala 313:27] + io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_tag <= bus_intf.io.dctl_busbuff.lsu_nonblock_load_data_tag @[lsu.scala 313:27] + io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_error <= bus_intf.io.dctl_busbuff.lsu_nonblock_load_data_error @[lsu.scala 313:27] + io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_valid <= bus_intf.io.dctl_busbuff.lsu_nonblock_load_data_valid @[lsu.scala 313:27] + io.lsu_dec.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= bus_intf.io.dctl_busbuff.lsu_nonblock_load_inv_tag_r @[lsu.scala 313:27] + io.lsu_dec.dctl_busbuff.lsu_nonblock_load_inv_r <= bus_intf.io.dctl_busbuff.lsu_nonblock_load_inv_r @[lsu.scala 313:27] + io.lsu_dec.dctl_busbuff.lsu_nonblock_load_tag_m <= bus_intf.io.dctl_busbuff.lsu_nonblock_load_tag_m @[lsu.scala 313:27] + io.lsu_dec.dctl_busbuff.lsu_nonblock_load_valid_m <= bus_intf.io.dctl_busbuff.lsu_nonblock_load_valid_m @[lsu.scala 313:27] + bus_intf.io.axi.r.bits.last <= io.axi.r.bits.last @[lsu.scala 314:49] + bus_intf.io.axi.r.bits.resp <= io.axi.r.bits.resp @[lsu.scala 314:49] + bus_intf.io.axi.r.bits.data <= io.axi.r.bits.data @[lsu.scala 314:49] + bus_intf.io.axi.r.bits.id <= io.axi.r.bits.id @[lsu.scala 314:49] + bus_intf.io.axi.r.valid <= io.axi.r.valid @[lsu.scala 314:49] + io.axi.r.ready <= bus_intf.io.axi.r.ready @[lsu.scala 314:49] + io.axi.ar.bits.qos <= bus_intf.io.axi.ar.bits.qos @[lsu.scala 314:49] + io.axi.ar.bits.prot <= bus_intf.io.axi.ar.bits.prot @[lsu.scala 314:49] + io.axi.ar.bits.cache <= bus_intf.io.axi.ar.bits.cache @[lsu.scala 314:49] + io.axi.ar.bits.lock <= bus_intf.io.axi.ar.bits.lock @[lsu.scala 314:49] + io.axi.ar.bits.burst <= bus_intf.io.axi.ar.bits.burst @[lsu.scala 314:49] + io.axi.ar.bits.size <= bus_intf.io.axi.ar.bits.size @[lsu.scala 314:49] + io.axi.ar.bits.len <= bus_intf.io.axi.ar.bits.len @[lsu.scala 314:49] + io.axi.ar.bits.region <= bus_intf.io.axi.ar.bits.region @[lsu.scala 314:49] + io.axi.ar.bits.addr <= bus_intf.io.axi.ar.bits.addr @[lsu.scala 314:49] + io.axi.ar.bits.id <= bus_intf.io.axi.ar.bits.id @[lsu.scala 314:49] + io.axi.ar.valid <= bus_intf.io.axi.ar.valid @[lsu.scala 314:49] + bus_intf.io.axi.ar.ready <= io.axi.ar.ready @[lsu.scala 314:49] + bus_intf.io.axi.b.bits.id <= io.axi.b.bits.id @[lsu.scala 314:49] + bus_intf.io.axi.b.bits.resp <= io.axi.b.bits.resp @[lsu.scala 314:49] + bus_intf.io.axi.b.valid <= io.axi.b.valid @[lsu.scala 314:49] + io.axi.b.ready <= bus_intf.io.axi.b.ready @[lsu.scala 314:49] + io.axi.w.bits.last <= bus_intf.io.axi.w.bits.last @[lsu.scala 314:49] + io.axi.w.bits.strb <= bus_intf.io.axi.w.bits.strb @[lsu.scala 314:49] + io.axi.w.bits.data <= bus_intf.io.axi.w.bits.data @[lsu.scala 314:49] + io.axi.w.valid <= bus_intf.io.axi.w.valid @[lsu.scala 314:49] + bus_intf.io.axi.w.ready <= io.axi.w.ready @[lsu.scala 314:49] + io.axi.aw.bits.qos <= bus_intf.io.axi.aw.bits.qos @[lsu.scala 314:49] + io.axi.aw.bits.prot <= bus_intf.io.axi.aw.bits.prot @[lsu.scala 314:49] + io.axi.aw.bits.cache <= bus_intf.io.axi.aw.bits.cache @[lsu.scala 314:49] + io.axi.aw.bits.lock <= bus_intf.io.axi.aw.bits.lock @[lsu.scala 314:49] + io.axi.aw.bits.burst <= bus_intf.io.axi.aw.bits.burst @[lsu.scala 314:49] + io.axi.aw.bits.size <= bus_intf.io.axi.aw.bits.size @[lsu.scala 314:49] + io.axi.aw.bits.len <= bus_intf.io.axi.aw.bits.len @[lsu.scala 314:49] + io.axi.aw.bits.region <= bus_intf.io.axi.aw.bits.region @[lsu.scala 314:49] + io.axi.aw.bits.addr <= bus_intf.io.axi.aw.bits.addr @[lsu.scala 314:49] + io.axi.aw.bits.id <= bus_intf.io.axi.aw.bits.id @[lsu.scala 314:49] + io.axi.aw.valid <= bus_intf.io.axi.aw.valid @[lsu.scala 314:49] + bus_intf.io.axi.aw.ready <= io.axi.aw.ready @[lsu.scala 314:49] + bus_intf.io.lsu_bus_clk_en <= io.lsu_bus_clk_en @[lsu.scala 315:49] + reg _T_52 : UInt, clkdomain.io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu.scala 317:67] + _T_52 <= io.lsu_dma.dma_mem_tag @[lsu.scala 317:67] + dma_mem_tag_m <= _T_52 @[lsu.scala 317:57] + reg _T_53 : UInt<1>, clkdomain.io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu.scala 318:67] + _T_53 <= lsu_raw_fwd_hi_m @[lsu.scala 318:67] + lsu_raw_fwd_hi_r <= _T_53 @[lsu.scala 318:57] + reg _T_54 : UInt<1>, clkdomain.io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu.scala 319:67] + _T_54 <= lsu_raw_fwd_lo_m @[lsu.scala 319:67] + lsu_raw_fwd_lo_r <= _T_54 @[lsu.scala 319:57] + diff --git a/lsu.v b/lsu.v new file mode 100644 index 00000000..cc5a287f --- /dev/null +++ b/lsu.v @@ -0,0 +1,11868 @@ +module lsu_addrcheck( + input reset, + input io_lsu_c2_m_clk, + input [31:0] io_start_addr_d, + input [31:0] io_end_addr_d, + input io_lsu_pkt_d_valid, + input io_lsu_pkt_d_bits_fast_int, + input io_lsu_pkt_d_bits_by, + input io_lsu_pkt_d_bits_half, + input io_lsu_pkt_d_bits_word, + input io_lsu_pkt_d_bits_load, + input io_lsu_pkt_d_bits_store, + input io_lsu_pkt_d_bits_dma, + input [31:0] io_dec_tlu_mrac_ff, + input [3:0] io_rs1_region_d, + output io_is_sideeffects_m, + output io_addr_in_dccm_d, + output io_addr_in_pic_d, + output io_addr_external_d, + output io_access_fault_d, + output io_misaligned_fault_d, + output [3:0] io_exc_mscause_d, + output io_fir_dccm_access_error_d, + output io_fir_nondccm_access_error_d +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; +`endif // RANDOMIZE_REG_INIT + wire start_addr_in_dccm_region_d = io_start_addr_d[31:28] == 4'hf; // @[lib.scala 356:49] + wire start_addr_in_dccm_d = io_start_addr_d[31:16] == 16'hf004; // @[lib.scala 361:39] + wire end_addr_in_dccm_region_d = io_end_addr_d[31:28] == 4'hf; // @[lib.scala 356:49] + wire end_addr_in_dccm_d = io_end_addr_d[31:16] == 16'hf004; // @[lib.scala 361:39] + wire addr_in_iccm = io_start_addr_d[31:28] == 4'he; // @[lsu_addrcheck.scala 42:45] + wire start_addr_in_pic_d = io_start_addr_d[31:15] == 17'h1e018; // @[lib.scala 361:39] + wire end_addr_in_pic_d = io_end_addr_d[31:15] == 17'h1e018; // @[lib.scala 361:39] + wire start_addr_dccm_or_pic = start_addr_in_dccm_region_d | start_addr_in_dccm_region_d; // @[lsu_addrcheck.scala 54:60] + wire _T_17 = io_rs1_region_d == 4'hf; // @[lsu_addrcheck.scala 55:54] + wire base_reg_dccm_or_pic = _T_17 | _T_17; // @[lsu_addrcheck.scala 55:73] + wire [4:0] csr_idx = {io_start_addr_d[31:28],1'h1}; // @[Cat.scala 29:58] + wire [31:0] _T_25 = io_dec_tlu_mrac_ff >> csr_idx; // @[lsu_addrcheck.scala 61:50] + wire _T_28 = start_addr_dccm_or_pic | addr_in_iccm; // @[lsu_addrcheck.scala 61:121] + wire _T_29 = ~_T_28; // @[lsu_addrcheck.scala 61:62] + wire _T_30 = _T_25[0] & _T_29; // @[lsu_addrcheck.scala 61:60] + wire _T_31 = _T_30 & io_lsu_pkt_d_valid; // @[lsu_addrcheck.scala 61:137] + wire _T_32 = io_lsu_pkt_d_bits_store | io_lsu_pkt_d_bits_load; // @[lsu_addrcheck.scala 61:185] + wire is_sideeffects_d = _T_31 & _T_32; // @[lsu_addrcheck.scala 61:158] + wire _T_34 = io_start_addr_d[1:0] == 2'h0; // @[lsu_addrcheck.scala 62:80] + wire _T_35 = io_lsu_pkt_d_bits_word & _T_34; // @[lsu_addrcheck.scala 62:56] + wire _T_37 = ~io_start_addr_d[0]; // @[lsu_addrcheck.scala 62:138] + wire _T_38 = io_lsu_pkt_d_bits_half & _T_37; // @[lsu_addrcheck.scala 62:116] + wire _T_39 = _T_35 | _T_38; // @[lsu_addrcheck.scala 62:90] + wire is_aligned_d = _T_39 | io_lsu_pkt_d_bits_by; // @[lsu_addrcheck.scala 62:148] + wire [31:0] _T_50 = io_start_addr_d | 32'h7fffffff; // @[lsu_addrcheck.scala 67:56] + wire _T_52 = _T_50 == 32'h7fffffff; // @[lsu_addrcheck.scala 67:88] + wire [31:0] _T_55 = io_start_addr_d | 32'h3fffffff; // @[lsu_addrcheck.scala 68:56] + wire _T_57 = _T_55 == 32'hffffffff; // @[lsu_addrcheck.scala 68:88] + wire _T_59 = _T_52 | _T_57; // @[lsu_addrcheck.scala 67:153] + wire [31:0] _T_61 = io_start_addr_d | 32'h1fffffff; // @[lsu_addrcheck.scala 69:56] + wire _T_63 = _T_61 == 32'hbfffffff; // @[lsu_addrcheck.scala 69:88] + wire _T_65 = _T_59 | _T_63; // @[lsu_addrcheck.scala 68:153] + wire [31:0] _T_67 = io_start_addr_d | 32'hfffffff; // @[lsu_addrcheck.scala 70:56] + wire _T_69 = _T_67 == 32'h8fffffff; // @[lsu_addrcheck.scala 70:88] + wire _T_71 = _T_65 | _T_69; // @[lsu_addrcheck.scala 69:153] + wire [31:0] _T_97 = io_end_addr_d | 32'h7fffffff; // @[lsu_addrcheck.scala 76:57] + wire _T_99 = _T_97 == 32'h7fffffff; // @[lsu_addrcheck.scala 76:89] + wire [31:0] _T_102 = io_end_addr_d | 32'h3fffffff; // @[lsu_addrcheck.scala 77:58] + wire _T_104 = _T_102 == 32'hffffffff; // @[lsu_addrcheck.scala 77:90] + wire _T_106 = _T_99 | _T_104; // @[lsu_addrcheck.scala 76:154] + wire [31:0] _T_108 = io_end_addr_d | 32'h1fffffff; // @[lsu_addrcheck.scala 78:58] + wire _T_110 = _T_108 == 32'hbfffffff; // @[lsu_addrcheck.scala 78:90] + wire _T_112 = _T_106 | _T_110; // @[lsu_addrcheck.scala 77:155] + wire [31:0] _T_114 = io_end_addr_d | 32'hfffffff; // @[lsu_addrcheck.scala 79:58] + wire _T_116 = _T_114 == 32'h8fffffff; // @[lsu_addrcheck.scala 79:90] + wire _T_118 = _T_112 | _T_116; // @[lsu_addrcheck.scala 78:155] + wire non_dccm_access_ok = _T_71 & _T_118; // @[lsu_addrcheck.scala 75:7] + wire regpred_access_fault_d = start_addr_dccm_or_pic ^ base_reg_dccm_or_pic; // @[lsu_addrcheck.scala 85:57] + wire _T_145 = io_start_addr_d[1:0] != 2'h0; // @[lsu_addrcheck.scala 86:76] + wire _T_146 = ~io_lsu_pkt_d_bits_word; // @[lsu_addrcheck.scala 86:92] + wire _T_147 = _T_145 | _T_146; // @[lsu_addrcheck.scala 86:90] + wire picm_access_fault_d = io_addr_in_pic_d & _T_147; // @[lsu_addrcheck.scala 86:51] + wire _T_148 = start_addr_in_dccm_d | start_addr_in_pic_d; // @[lsu_addrcheck.scala 91:87] + wire _T_149 = ~_T_148; // @[lsu_addrcheck.scala 91:64] + wire _T_150 = start_addr_in_dccm_region_d & _T_149; // @[lsu_addrcheck.scala 91:62] + wire _T_151 = end_addr_in_dccm_d | end_addr_in_pic_d; // @[lsu_addrcheck.scala 93:57] + wire _T_152 = ~_T_151; // @[lsu_addrcheck.scala 93:36] + wire _T_153 = end_addr_in_dccm_region_d & _T_152; // @[lsu_addrcheck.scala 93:34] + wire _T_154 = _T_150 | _T_153; // @[lsu_addrcheck.scala 91:112] + wire _T_155 = start_addr_in_dccm_d & end_addr_in_pic_d; // @[lsu_addrcheck.scala 95:29] + wire _T_156 = _T_154 | _T_155; // @[lsu_addrcheck.scala 93:85] + wire _T_157 = start_addr_in_pic_d & end_addr_in_dccm_d; // @[lsu_addrcheck.scala 97:29] + wire unmapped_access_fault_d = _T_156 | _T_157; // @[lsu_addrcheck.scala 95:85] + wire _T_159 = ~start_addr_in_dccm_region_d; // @[lsu_addrcheck.scala 99:33] + wire _T_160 = ~non_dccm_access_ok; // @[lsu_addrcheck.scala 99:64] + wire mpu_access_fault_d = _T_159 & _T_160; // @[lsu_addrcheck.scala 99:62] + wire _T_162 = unmapped_access_fault_d | mpu_access_fault_d; // @[lsu_addrcheck.scala 111:49] + wire _T_163 = _T_162 | picm_access_fault_d; // @[lsu_addrcheck.scala 111:70] + wire _T_164 = _T_163 | regpred_access_fault_d; // @[lsu_addrcheck.scala 111:92] + wire _T_165 = _T_164 & io_lsu_pkt_d_valid; // @[lsu_addrcheck.scala 111:118] + wire _T_166 = ~io_lsu_pkt_d_bits_dma; // @[lsu_addrcheck.scala 111:141] + wire [3:0] _T_172 = picm_access_fault_d ? 4'h6 : 4'h0; // @[lsu_addrcheck.scala 112:164] + wire [3:0] _T_173 = regpred_access_fault_d ? 4'h5 : _T_172; // @[lsu_addrcheck.scala 112:120] + wire [3:0] _T_174 = mpu_access_fault_d ? 4'h3 : _T_173; // @[lsu_addrcheck.scala 112:80] + wire [3:0] access_fault_mscause_d = unmapped_access_fault_d ? 4'h2 : _T_174; // @[lsu_addrcheck.scala 112:35] + wire regcross_misaligned_fault_d = io_start_addr_d[31:28] != io_end_addr_d[31:28]; // @[lsu_addrcheck.scala 113:61] + wire _T_177 = ~is_aligned_d; // @[lsu_addrcheck.scala 114:59] + wire sideeffect_misaligned_fault_d = is_sideeffects_d & _T_177; // @[lsu_addrcheck.scala 114:57] + wire _T_178 = sideeffect_misaligned_fault_d & io_addr_external_d; // @[lsu_addrcheck.scala 115:90] + wire _T_179 = regcross_misaligned_fault_d | _T_178; // @[lsu_addrcheck.scala 115:57] + wire _T_180 = _T_179 & io_lsu_pkt_d_valid; // @[lsu_addrcheck.scala 115:113] + wire [3:0] _T_184 = sideeffect_misaligned_fault_d ? 4'h1 : 4'h0; // @[lsu_addrcheck.scala 116:80] + wire [3:0] misaligned_fault_mscause_d = regcross_misaligned_fault_d ? 4'h2 : _T_184; // @[lsu_addrcheck.scala 116:39] + wire _T_189 = ~start_addr_in_dccm_d; // @[lsu_addrcheck.scala 118:66] + wire _T_190 = start_addr_in_dccm_region_d & _T_189; // @[lsu_addrcheck.scala 118:64] + wire _T_191 = ~end_addr_in_dccm_d; // @[lsu_addrcheck.scala 118:120] + wire _T_192 = end_addr_in_dccm_region_d & _T_191; // @[lsu_addrcheck.scala 118:118] + wire _T_193 = _T_190 | _T_192; // @[lsu_addrcheck.scala 118:88] + wire _T_194 = _T_193 & io_lsu_pkt_d_valid; // @[lsu_addrcheck.scala 118:142] + wire _T_196 = start_addr_in_dccm_region_d & end_addr_in_dccm_region_d; // @[lsu_addrcheck.scala 119:66] + wire _T_197 = ~_T_196; // @[lsu_addrcheck.scala 119:36] + wire _T_198 = _T_197 & io_lsu_pkt_d_valid; // @[lsu_addrcheck.scala 119:95] + reg _T_200; // @[lsu_addrcheck.scala 121:60] + assign io_is_sideeffects_m = _T_200; // @[lsu_addrcheck.scala 121:50] + assign io_addr_in_dccm_d = start_addr_in_dccm_d & end_addr_in_dccm_d; // @[lsu_addrcheck.scala 56:32] + assign io_addr_in_pic_d = start_addr_in_pic_d & end_addr_in_pic_d; // @[lsu_addrcheck.scala 57:32] + assign io_addr_external_d = ~start_addr_dccm_or_pic; // @[lsu_addrcheck.scala 59:30] + assign io_access_fault_d = _T_165 & _T_166; // @[lsu_addrcheck.scala 111:21] + assign io_misaligned_fault_d = _T_180 & _T_166; // @[lsu_addrcheck.scala 115:25] + assign io_exc_mscause_d = io_misaligned_fault_d ? misaligned_fault_mscause_d : access_fault_mscause_d; // @[lsu_addrcheck.scala 117:21] + assign io_fir_dccm_access_error_d = _T_194 & io_lsu_pkt_d_bits_fast_int; // @[lsu_addrcheck.scala 118:31] + assign io_fir_nondccm_access_error_d = _T_198 & io_lsu_pkt_d_bits_fast_int; // @[lsu_addrcheck.scala 119:33] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + _T_200 = _RAND_0[0:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + _T_200 = 1'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge io_lsu_c2_m_clk or posedge reset) begin + if (reset) begin + _T_200 <= 1'h0; + end else begin + _T_200 <= _T_31 & _T_32; + end + end +endmodule +module lsu_lsc_ctl( + input reset, + input io_lsu_c1_m_clk, + input io_lsu_c1_r_clk, + input io_lsu_c2_m_clk, + input io_lsu_c2_r_clk, + input io_lsu_store_c1_m_clk, + input [31:0] io_lsu_ld_data_corr_r, + input io_lsu_single_ecc_error_r, + input io_lsu_double_ecc_error_r, + input [31:0] io_lsu_ld_data_m, + input io_lsu_single_ecc_error_m, + input io_lsu_double_ecc_error_m, + input io_flush_m_up, + input io_flush_r, + input [31:0] io_lsu_exu_exu_lsu_rs1_d, + input [31:0] io_lsu_exu_exu_lsu_rs2_d, + input io_lsu_p_valid, + input io_lsu_p_bits_fast_int, + input io_lsu_p_bits_by, + input io_lsu_p_bits_half, + input io_lsu_p_bits_word, + input io_lsu_p_bits_dword, + input io_lsu_p_bits_load, + input io_lsu_p_bits_store, + input io_lsu_p_bits_unsign, + input io_lsu_p_bits_dma, + input io_lsu_p_bits_store_data_bypass_d, + input io_lsu_p_bits_load_ldst_bypass_d, + input io_lsu_p_bits_store_data_bypass_m, + input io_dec_lsu_valid_raw_d, + input [11:0] io_dec_lsu_offset_d, + input [31:0] io_picm_mask_data_m, + input [31:0] io_bus_read_data_m, + output [31:0] io_lsu_result_m, + output [31:0] io_lsu_result_corr_r, + output [31:0] io_lsu_addr_d, + output [31:0] io_lsu_addr_m, + output [31:0] io_lsu_addr_r, + output [31:0] io_end_addr_d, + output [31:0] io_end_addr_m, + output [31:0] io_end_addr_r, + output [31:0] io_store_data_m, + input [31:0] io_dec_tlu_mrac_ff, + output io_lsu_exc_m, + output io_is_sideeffects_m, + output io_lsu_commit_r, + output io_lsu_single_ecc_error_incr, + output io_lsu_error_pkt_r_valid, + output io_lsu_error_pkt_r_bits_single_ecc_error, + output io_lsu_error_pkt_r_bits_inst_type, + output io_lsu_error_pkt_r_bits_exc_type, + output [3:0] io_lsu_error_pkt_r_bits_mscause, + output [31:0] io_lsu_error_pkt_r_bits_addr, + output [30:0] io_lsu_fir_addr, + output [1:0] io_lsu_fir_error, + output io_addr_in_dccm_d, + output io_addr_in_dccm_m, + output io_addr_in_dccm_r, + output io_addr_in_pic_d, + output io_addr_in_pic_m, + output io_addr_in_pic_r, + output io_addr_external_m, + input io_dma_lsc_ctl_dma_dccm_req, + input [31:0] io_dma_lsc_ctl_dma_mem_addr, + input [2:0] io_dma_lsc_ctl_dma_mem_sz, + input io_dma_lsc_ctl_dma_mem_write, + input [63:0] io_dma_lsc_ctl_dma_mem_wdata, + output io_lsu_pkt_d_valid, + output io_lsu_pkt_d_bits_fast_int, + output io_lsu_pkt_d_bits_by, + output io_lsu_pkt_d_bits_half, + output io_lsu_pkt_d_bits_word, + output io_lsu_pkt_d_bits_dword, + output io_lsu_pkt_d_bits_load, + output io_lsu_pkt_d_bits_store, + output io_lsu_pkt_d_bits_unsign, + output io_lsu_pkt_d_bits_dma, + output io_lsu_pkt_d_bits_store_data_bypass_d, + output io_lsu_pkt_d_bits_load_ldst_bypass_d, + output io_lsu_pkt_d_bits_store_data_bypass_m, + output io_lsu_pkt_m_valid, + output io_lsu_pkt_m_bits_fast_int, + output io_lsu_pkt_m_bits_by, + output io_lsu_pkt_m_bits_half, + output io_lsu_pkt_m_bits_word, + output io_lsu_pkt_m_bits_dword, + output io_lsu_pkt_m_bits_load, + output io_lsu_pkt_m_bits_store, + output io_lsu_pkt_m_bits_unsign, + output io_lsu_pkt_m_bits_dma, + output io_lsu_pkt_m_bits_store_data_bypass_m, + output io_lsu_pkt_r_valid, + output io_lsu_pkt_r_bits_by, + output io_lsu_pkt_r_bits_half, + output io_lsu_pkt_r_bits_word, + output io_lsu_pkt_r_bits_dword, + output io_lsu_pkt_r_bits_load, + output io_lsu_pkt_r_bits_store, + output io_lsu_pkt_r_bits_unsign, + output io_lsu_pkt_r_bits_dma +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; + reg [31:0] _RAND_11; + reg [31:0] _RAND_12; + reg [31:0] _RAND_13; + reg [31:0] _RAND_14; + reg [31:0] _RAND_15; + reg [31:0] _RAND_16; + reg [31:0] _RAND_17; + reg [31:0] _RAND_18; + reg [31:0] _RAND_19; + reg [31:0] _RAND_20; + reg [31:0] _RAND_21; + reg [31:0] _RAND_22; + reg [31:0] _RAND_23; + reg [31:0] _RAND_24; + reg [31:0] _RAND_25; + reg [31:0] _RAND_26; + reg [31:0] _RAND_27; + reg [31:0] _RAND_28; + reg [31:0] _RAND_29; + reg [31:0] _RAND_30; + reg [31:0] _RAND_31; + reg [31:0] _RAND_32; + reg [31:0] _RAND_33; + reg [31:0] _RAND_34; + reg [31:0] _RAND_35; + reg [31:0] _RAND_36; + reg [31:0] _RAND_37; + reg [31:0] _RAND_38; + reg [31:0] _RAND_39; + reg [31:0] _RAND_40; + reg [31:0] _RAND_41; + reg [31:0] _RAND_42; + reg [31:0] _RAND_43; +`endif // RANDOMIZE_REG_INIT + wire addrcheck_reset; // @[lsu_lsc_ctl.scala 113:25] + wire addrcheck_io_lsu_c2_m_clk; // @[lsu_lsc_ctl.scala 113:25] + wire [31:0] addrcheck_io_start_addr_d; // @[lsu_lsc_ctl.scala 113:25] + wire [31:0] addrcheck_io_end_addr_d; // @[lsu_lsc_ctl.scala 113:25] + wire addrcheck_io_lsu_pkt_d_valid; // @[lsu_lsc_ctl.scala 113:25] + wire addrcheck_io_lsu_pkt_d_bits_fast_int; // @[lsu_lsc_ctl.scala 113:25] + wire addrcheck_io_lsu_pkt_d_bits_by; // @[lsu_lsc_ctl.scala 113:25] + wire addrcheck_io_lsu_pkt_d_bits_half; // @[lsu_lsc_ctl.scala 113:25] + wire addrcheck_io_lsu_pkt_d_bits_word; // @[lsu_lsc_ctl.scala 113:25] + wire addrcheck_io_lsu_pkt_d_bits_load; // @[lsu_lsc_ctl.scala 113:25] + wire addrcheck_io_lsu_pkt_d_bits_store; // @[lsu_lsc_ctl.scala 113:25] + wire addrcheck_io_lsu_pkt_d_bits_dma; // @[lsu_lsc_ctl.scala 113:25] + wire [31:0] addrcheck_io_dec_tlu_mrac_ff; // @[lsu_lsc_ctl.scala 113:25] + wire [3:0] addrcheck_io_rs1_region_d; // @[lsu_lsc_ctl.scala 113:25] + wire addrcheck_io_is_sideeffects_m; // @[lsu_lsc_ctl.scala 113:25] + wire addrcheck_io_addr_in_dccm_d; // @[lsu_lsc_ctl.scala 113:25] + wire addrcheck_io_addr_in_pic_d; // @[lsu_lsc_ctl.scala 113:25] + wire addrcheck_io_addr_external_d; // @[lsu_lsc_ctl.scala 113:25] + wire addrcheck_io_access_fault_d; // @[lsu_lsc_ctl.scala 113:25] + wire addrcheck_io_misaligned_fault_d; // @[lsu_lsc_ctl.scala 113:25] + wire [3:0] addrcheck_io_exc_mscause_d; // @[lsu_lsc_ctl.scala 113:25] + wire addrcheck_io_fir_dccm_access_error_d; // @[lsu_lsc_ctl.scala 113:25] + wire addrcheck_io_fir_nondccm_access_error_d; // @[lsu_lsc_ctl.scala 113:25] + wire [31:0] lsu_rs1_d = io_dec_lsu_valid_raw_d ? io_lsu_exu_exu_lsu_rs1_d : io_dma_lsc_ctl_dma_mem_addr; // @[lsu_lsc_ctl.scala 95:28] + wire [11:0] _T_3 = io_dec_lsu_valid_raw_d ? 12'hfff : 12'h0; // @[Bitwise.scala 72:12] + wire [11:0] lsu_offset_d = io_dec_lsu_offset_d & _T_3; // @[lsu_lsc_ctl.scala 96:51] + wire [31:0] rs1_d = io_lsu_pkt_d_bits_load_ldst_bypass_d ? io_lsu_result_m : lsu_rs1_d; // @[lsu_lsc_ctl.scala 99:28] + wire [12:0] _T_6 = {1'h0,rs1_d[11:0]}; // @[Cat.scala 29:58] + wire [12:0] _T_8 = {1'h0,lsu_offset_d}; // @[Cat.scala 29:58] + wire [12:0] _T_10 = _T_6 + _T_8; // @[lib.scala 92:39] + wire _T_13 = lsu_offset_d[11] ^ _T_10[12]; // @[lib.scala 93:46] + wire _T_14 = ~_T_13; // @[lib.scala 93:33] + wire [19:0] _T_16 = _T_14 ? 20'hfffff : 20'h0; // @[Bitwise.scala 72:12] + wire [19:0] _T_18 = _T_16 & rs1_d[31:12]; // @[lib.scala 93:58] + wire _T_20 = ~lsu_offset_d[11]; // @[lib.scala 94:18] + wire _T_22 = _T_20 & _T_10[12]; // @[lib.scala 94:30] + wire [19:0] _T_24 = _T_22 ? 20'hfffff : 20'h0; // @[Bitwise.scala 72:12] + wire [19:0] _T_27 = rs1_d[31:12] + 20'h1; // @[lib.scala 94:54] + wire [19:0] _T_28 = _T_24 & _T_27; // @[lib.scala 94:41] + wire [19:0] _T_29 = _T_18 | _T_28; // @[lib.scala 93:72] + wire _T_32 = ~_T_10[12]; // @[lib.scala 95:31] + wire _T_33 = lsu_offset_d[11] & _T_32; // @[lib.scala 95:29] + wire [19:0] _T_35 = _T_33 ? 20'hfffff : 20'h0; // @[Bitwise.scala 72:12] + wire [19:0] _T_38 = rs1_d[31:12] - 20'h1; // @[lib.scala 95:54] + wire [19:0] _T_39 = _T_35 & _T_38; // @[lib.scala 95:41] + wire [19:0] _T_40 = _T_29 | _T_39; // @[lib.scala 94:61] + wire [2:0] _T_43 = io_lsu_pkt_d_bits_half ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_44 = _T_43 & 3'h1; // @[lsu_lsc_ctl.scala 104:58] + wire [2:0] _T_46 = io_lsu_pkt_d_bits_word ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_47 = _T_46 & 3'h3; // @[lsu_lsc_ctl.scala 105:40] + wire [2:0] _T_48 = _T_44 | _T_47; // @[lsu_lsc_ctl.scala 104:70] + wire [2:0] _T_50 = io_lsu_pkt_d_bits_dword ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] addr_offset_d = _T_48 | _T_50; // @[lsu_lsc_ctl.scala 105:52] + wire [12:0] _T_54 = {lsu_offset_d[11],lsu_offset_d}; // @[Cat.scala 29:58] + wire [11:0] _T_57 = {9'h0,addr_offset_d}; // @[Cat.scala 29:58] + wire [12:0] _GEN_0 = {{1'd0}, _T_57}; // @[lsu_lsc_ctl.scala 108:60] + wire [12:0] end_addr_offset_d = _T_54 + _GEN_0; // @[lsu_lsc_ctl.scala 108:60] + wire [18:0] _T_62 = end_addr_offset_d[12] ? 19'h7ffff : 19'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_64 = {_T_62,end_addr_offset_d}; // @[Cat.scala 29:58] + reg access_fault_m; // @[lsu_lsc_ctl.scala 144:75] + reg misaligned_fault_m; // @[lsu_lsc_ctl.scala 145:75] + reg [3:0] exc_mscause_m; // @[lsu_lsc_ctl.scala 146:75] + reg fir_dccm_access_error_m; // @[lsu_lsc_ctl.scala 147:75] + reg fir_nondccm_access_error_m; // @[lsu_lsc_ctl.scala 148:75] + wire _T_69 = access_fault_m | misaligned_fault_m; // @[lsu_lsc_ctl.scala 150:34] + wire _T_70 = ~io_lsu_double_ecc_error_r; // @[lsu_lsc_ctl.scala 151:64] + wire _T_71 = io_lsu_single_ecc_error_r & _T_70; // @[lsu_lsc_ctl.scala 151:62] + wire _T_72 = io_lsu_commit_r | io_lsu_pkt_r_bits_dma; // @[lsu_lsc_ctl.scala 151:111] + wire _T_73 = _T_71 & _T_72; // @[lsu_lsc_ctl.scala 151:92] + wire _T_76 = _T_69 | io_lsu_double_ecc_error_m; // @[lsu_lsc_ctl.scala 173:67] + wire _T_77 = _T_76 & io_lsu_pkt_m_valid; // @[lsu_lsc_ctl.scala 173:96] + wire _T_78 = ~io_lsu_pkt_m_bits_dma; // @[lsu_lsc_ctl.scala 173:119] + wire _T_79 = _T_77 & _T_78; // @[lsu_lsc_ctl.scala 173:117] + wire _T_80 = ~io_lsu_pkt_m_bits_fast_int; // @[lsu_lsc_ctl.scala 173:144] + wire _T_81 = _T_79 & _T_80; // @[lsu_lsc_ctl.scala 173:142] + wire _T_82 = ~io_flush_m_up; // @[lsu_lsc_ctl.scala 173:174] + wire lsu_error_pkt_m_valid = _T_81 & _T_82; // @[lsu_lsc_ctl.scala 173:172] + wire _T_84 = ~lsu_error_pkt_m_valid; // @[lsu_lsc_ctl.scala 174:75] + wire _T_85 = io_lsu_single_ecc_error_m & _T_84; // @[lsu_lsc_ctl.scala 174:73] + wire lsu_error_pkt_m_bits_exc_type = ~misaligned_fault_m; // @[lsu_lsc_ctl.scala 176:46] + wire _T_90 = io_lsu_double_ecc_error_m & lsu_error_pkt_m_bits_exc_type; // @[lsu_lsc_ctl.scala 177:78] + wire _T_91 = ~access_fault_m; // @[lsu_lsc_ctl.scala 177:102] + wire _T_92 = _T_90 & _T_91; // @[lsu_lsc_ctl.scala 177:100] + wire _T_99 = io_lsu_pkt_m_bits_fast_int & io_lsu_double_ecc_error_m; // @[lsu_lsc_ctl.scala 179:166] + reg _T_105_valid; // @[lsu_lsc_ctl.scala 180:75] + reg _T_105_bits_single_ecc_error; // @[lsu_lsc_ctl.scala 180:75] + reg _T_105_bits_inst_type; // @[lsu_lsc_ctl.scala 180:75] + reg _T_105_bits_exc_type; // @[lsu_lsc_ctl.scala 180:75] + reg [3:0] _T_105_bits_mscause; // @[lsu_lsc_ctl.scala 180:75] + reg [31:0] _T_105_bits_addr; // @[lsu_lsc_ctl.scala 180:75] + reg [1:0] _T_106; // @[lsu_lsc_ctl.scala 181:75] + wire dma_pkt_d_bits_load = ~io_dma_lsc_ctl_dma_mem_write; // @[lsu_lsc_ctl.scala 188:30] + wire dma_pkt_d_bits_by = io_dma_lsc_ctl_dma_mem_sz == 3'h0; // @[lsu_lsc_ctl.scala 189:62] + wire dma_pkt_d_bits_half = io_dma_lsc_ctl_dma_mem_sz == 3'h1; // @[lsu_lsc_ctl.scala 190:62] + wire dma_pkt_d_bits_word = io_dma_lsc_ctl_dma_mem_sz == 3'h2; // @[lsu_lsc_ctl.scala 191:62] + wire dma_pkt_d_bits_dword = io_dma_lsc_ctl_dma_mem_sz == 3'h3; // @[lsu_lsc_ctl.scala 192:62] + wire _T_118 = ~io_lsu_p_bits_fast_int; // @[lsu_lsc_ctl.scala 205:64] + wire _T_119 = io_flush_m_up & _T_118; // @[lsu_lsc_ctl.scala 205:61] + wire _T_120 = ~_T_119; // @[lsu_lsc_ctl.scala 205:45] + wire _T_121 = io_lsu_p_valid & _T_120; // @[lsu_lsc_ctl.scala 205:43] + wire _T_123 = ~io_lsu_pkt_d_bits_dma; // @[lsu_lsc_ctl.scala 206:68] + wire _T_124 = io_flush_m_up & _T_123; // @[lsu_lsc_ctl.scala 206:65] + wire _T_125 = ~_T_124; // @[lsu_lsc_ctl.scala 206:49] + wire _T_128 = io_flush_m_up & _T_78; // @[lsu_lsc_ctl.scala 207:65] + wire _T_129 = ~_T_128; // @[lsu_lsc_ctl.scala 207:49] + reg _T_132_bits_fast_int; // @[lsu_lsc_ctl.scala 209:65] + reg _T_132_bits_by; // @[lsu_lsc_ctl.scala 209:65] + reg _T_132_bits_half; // @[lsu_lsc_ctl.scala 209:65] + reg _T_132_bits_word; // @[lsu_lsc_ctl.scala 209:65] + reg _T_132_bits_dword; // @[lsu_lsc_ctl.scala 209:65] + reg _T_132_bits_load; // @[lsu_lsc_ctl.scala 209:65] + reg _T_132_bits_store; // @[lsu_lsc_ctl.scala 209:65] + reg _T_132_bits_unsign; // @[lsu_lsc_ctl.scala 209:65] + reg _T_132_bits_dma; // @[lsu_lsc_ctl.scala 209:65] + reg _T_132_bits_store_data_bypass_m; // @[lsu_lsc_ctl.scala 209:65] + reg _T_134_bits_by; // @[lsu_lsc_ctl.scala 210:65] + reg _T_134_bits_half; // @[lsu_lsc_ctl.scala 210:65] + reg _T_134_bits_word; // @[lsu_lsc_ctl.scala 210:65] + reg _T_134_bits_dword; // @[lsu_lsc_ctl.scala 210:65] + reg _T_134_bits_load; // @[lsu_lsc_ctl.scala 210:65] + reg _T_134_bits_store; // @[lsu_lsc_ctl.scala 210:65] + reg _T_134_bits_unsign; // @[lsu_lsc_ctl.scala 210:65] + reg _T_134_bits_dma; // @[lsu_lsc_ctl.scala 210:65] + reg _T_135; // @[lsu_lsc_ctl.scala 211:65] + reg _T_136; // @[lsu_lsc_ctl.scala 212:65] + wire [5:0] _T_139 = {io_dma_lsc_ctl_dma_mem_addr[2:0],3'h0}; // @[Cat.scala 29:58] + wire [63:0] dma_mem_wdata_shifted = io_dma_lsc_ctl_dma_mem_wdata >> _T_139; // @[lsu_lsc_ctl.scala 214:66] + reg [31:0] store_data_pre_m; // @[lsu_lsc_ctl.scala 218:72] + reg [31:0] _T_146; // @[lsu_lsc_ctl.scala 219:62] + reg [31:0] _T_147; // @[lsu_lsc_ctl.scala 220:62] + reg [31:0] _T_148; // @[lsu_lsc_ctl.scala 221:62] + reg [31:0] _T_149; // @[lsu_lsc_ctl.scala 222:62] + reg _T_150; // @[lsu_lsc_ctl.scala 223:62] + reg _T_151; // @[lsu_lsc_ctl.scala 224:62] + reg _T_152; // @[lsu_lsc_ctl.scala 225:62] + reg _T_153; // @[lsu_lsc_ctl.scala 226:62] + reg _T_154; // @[lsu_lsc_ctl.scala 227:62] + reg addr_external_r; // @[lsu_lsc_ctl.scala 228:66] + reg [31:0] bus_read_data_r; // @[lsu_lsc_ctl.scala 229:66] + wire _T_156 = io_lsu_pkt_r_bits_store | io_lsu_pkt_r_bits_load; // @[lsu_lsc_ctl.scala 235:68] + wire _T_157 = io_lsu_pkt_r_valid & _T_156; // @[lsu_lsc_ctl.scala 235:41] + wire _T_158 = ~io_flush_r; // @[lsu_lsc_ctl.scala 235:96] + wire _T_159 = _T_157 & _T_158; // @[lsu_lsc_ctl.scala 235:94] + wire _T_160 = ~io_lsu_pkt_r_bits_dma; // @[lsu_lsc_ctl.scala 235:110] + wire _T_163 = ~io_addr_in_pic_m; // @[lsu_lsc_ctl.scala 236:69] + wire [31:0] _T_165 = _T_163 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_166 = io_picm_mask_data_m | _T_165; // @[lsu_lsc_ctl.scala 236:59] + wire [31:0] _T_168 = io_lsu_pkt_m_bits_store_data_bypass_m ? io_lsu_result_m : store_data_pre_m; // @[lsu_lsc_ctl.scala 236:94] + wire [31:0] lsu_ld_datafn_m = io_addr_external_m ? io_bus_read_data_m : io_lsu_ld_data_m; // @[lsu_lsc_ctl.scala 257:33] + wire [31:0] lsu_ld_datafn_corr_r = addr_external_r ? bus_read_data_r : io_lsu_ld_data_corr_r; // @[lsu_lsc_ctl.scala 258:33] + wire _T_174 = io_lsu_pkt_m_bits_unsign & io_lsu_pkt_m_bits_by; // @[lsu_lsc_ctl.scala 259:66] + wire [31:0] _T_176 = _T_174 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_178 = {24'h0,lsu_ld_datafn_m[7:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_179 = _T_176 & _T_178; // @[lsu_lsc_ctl.scala 259:94] + wire _T_180 = io_lsu_pkt_m_bits_unsign & io_lsu_pkt_m_bits_half; // @[lsu_lsc_ctl.scala 260:43] + wire [31:0] _T_182 = _T_180 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_184 = {16'h0,lsu_ld_datafn_m[15:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_185 = _T_182 & _T_184; // @[lsu_lsc_ctl.scala 260:71] + wire [31:0] _T_186 = _T_179 | _T_185; // @[lsu_lsc_ctl.scala 259:133] + wire _T_187 = ~io_lsu_pkt_m_bits_unsign; // @[lsu_lsc_ctl.scala 261:17] + wire _T_188 = _T_187 & io_lsu_pkt_m_bits_by; // @[lsu_lsc_ctl.scala 261:43] + wire [31:0] _T_190 = _T_188 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [23:0] _T_193 = lsu_ld_datafn_m[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_195 = {_T_193,lsu_ld_datafn_m[7:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_196 = _T_190 & _T_195; // @[lsu_lsc_ctl.scala 261:71] + wire [31:0] _T_197 = _T_186 | _T_196; // @[lsu_lsc_ctl.scala 260:114] + wire _T_199 = _T_187 & io_lsu_pkt_m_bits_half; // @[lsu_lsc_ctl.scala 262:43] + wire [31:0] _T_201 = _T_199 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [15:0] _T_204 = lsu_ld_datafn_m[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_206 = {_T_204,lsu_ld_datafn_m[15:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_207 = _T_201 & _T_206; // @[lsu_lsc_ctl.scala 262:71] + wire [31:0] _T_208 = _T_197 | _T_207; // @[lsu_lsc_ctl.scala 261:134] + wire [31:0] _T_210 = io_lsu_pkt_m_bits_word ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_212 = _T_210 & lsu_ld_datafn_m; // @[lsu_lsc_ctl.scala 263:43] + wire _T_214 = io_lsu_pkt_r_bits_unsign & io_lsu_pkt_r_bits_by; // @[lsu_lsc_ctl.scala 264:66] + wire [31:0] _T_216 = _T_214 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_218 = {24'h0,lsu_ld_datafn_corr_r[7:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_219 = _T_216 & _T_218; // @[lsu_lsc_ctl.scala 264:94] + wire _T_220 = io_lsu_pkt_r_bits_unsign & io_lsu_pkt_r_bits_half; // @[lsu_lsc_ctl.scala 265:43] + wire [31:0] _T_222 = _T_220 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_224 = {16'h0,lsu_ld_datafn_corr_r[15:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_225 = _T_222 & _T_224; // @[lsu_lsc_ctl.scala 265:71] + wire [31:0] _T_226 = _T_219 | _T_225; // @[lsu_lsc_ctl.scala 264:138] + wire _T_227 = ~io_lsu_pkt_r_bits_unsign; // @[lsu_lsc_ctl.scala 266:17] + wire _T_228 = _T_227 & io_lsu_pkt_r_bits_by; // @[lsu_lsc_ctl.scala 266:43] + wire [31:0] _T_230 = _T_228 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [23:0] _T_233 = lsu_ld_datafn_corr_r[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_235 = {_T_233,lsu_ld_datafn_corr_r[7:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_236 = _T_230 & _T_235; // @[lsu_lsc_ctl.scala 266:71] + wire [31:0] _T_237 = _T_226 | _T_236; // @[lsu_lsc_ctl.scala 265:119] + wire _T_239 = _T_227 & io_lsu_pkt_r_bits_half; // @[lsu_lsc_ctl.scala 267:43] + wire [31:0] _T_241 = _T_239 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [15:0] _T_244 = lsu_ld_datafn_corr_r[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_246 = {_T_244,lsu_ld_datafn_corr_r[15:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_247 = _T_241 & _T_246; // @[lsu_lsc_ctl.scala 267:71] + wire [31:0] _T_248 = _T_237 | _T_247; // @[lsu_lsc_ctl.scala 266:144] + wire [31:0] _T_250 = io_lsu_pkt_r_bits_word ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_252 = _T_250 & lsu_ld_datafn_corr_r; // @[lsu_lsc_ctl.scala 268:43] + lsu_addrcheck addrcheck ( // @[lsu_lsc_ctl.scala 113:25] + .reset(addrcheck_reset), + .io_lsu_c2_m_clk(addrcheck_io_lsu_c2_m_clk), + .io_start_addr_d(addrcheck_io_start_addr_d), + .io_end_addr_d(addrcheck_io_end_addr_d), + .io_lsu_pkt_d_valid(addrcheck_io_lsu_pkt_d_valid), + .io_lsu_pkt_d_bits_fast_int(addrcheck_io_lsu_pkt_d_bits_fast_int), + .io_lsu_pkt_d_bits_by(addrcheck_io_lsu_pkt_d_bits_by), + .io_lsu_pkt_d_bits_half(addrcheck_io_lsu_pkt_d_bits_half), + .io_lsu_pkt_d_bits_word(addrcheck_io_lsu_pkt_d_bits_word), + .io_lsu_pkt_d_bits_load(addrcheck_io_lsu_pkt_d_bits_load), + .io_lsu_pkt_d_bits_store(addrcheck_io_lsu_pkt_d_bits_store), + .io_lsu_pkt_d_bits_dma(addrcheck_io_lsu_pkt_d_bits_dma), + .io_dec_tlu_mrac_ff(addrcheck_io_dec_tlu_mrac_ff), + .io_rs1_region_d(addrcheck_io_rs1_region_d), + .io_is_sideeffects_m(addrcheck_io_is_sideeffects_m), + .io_addr_in_dccm_d(addrcheck_io_addr_in_dccm_d), + .io_addr_in_pic_d(addrcheck_io_addr_in_pic_d), + .io_addr_external_d(addrcheck_io_addr_external_d), + .io_access_fault_d(addrcheck_io_access_fault_d), + .io_misaligned_fault_d(addrcheck_io_misaligned_fault_d), + .io_exc_mscause_d(addrcheck_io_exc_mscause_d), + .io_fir_dccm_access_error_d(addrcheck_io_fir_dccm_access_error_d), + .io_fir_nondccm_access_error_d(addrcheck_io_fir_nondccm_access_error_d) + ); + assign io_lsu_result_m = _T_208 | _T_212; // @[lsu_lsc_ctl.scala 259:27] + assign io_lsu_result_corr_r = _T_248 | _T_252; // @[lsu_lsc_ctl.scala 264:27] + assign io_lsu_addr_d = {_T_40,_T_10[11:0]}; // @[lsu_lsc_ctl.scala 233:28] + assign io_lsu_addr_m = _T_146; // @[lsu_lsc_ctl.scala 219:24] + assign io_lsu_addr_r = _T_147; // @[lsu_lsc_ctl.scala 220:24] + assign io_end_addr_d = rs1_d + _T_64; // @[lsu_lsc_ctl.scala 110:24] + assign io_end_addr_m = _T_148; // @[lsu_lsc_ctl.scala 221:24] + assign io_end_addr_r = _T_149; // @[lsu_lsc_ctl.scala 222:24] + assign io_store_data_m = _T_166 & _T_168; // @[lsu_lsc_ctl.scala 236:29] + assign io_lsu_exc_m = access_fault_m | misaligned_fault_m; // @[lsu_lsc_ctl.scala 150:16] + assign io_is_sideeffects_m = addrcheck_io_is_sideeffects_m; // @[lsu_lsc_ctl.scala 123:42] + assign io_lsu_commit_r = _T_159 & _T_160; // @[lsu_lsc_ctl.scala 235:19] + assign io_lsu_single_ecc_error_incr = _T_73 & io_lsu_pkt_r_valid; // @[lsu_lsc_ctl.scala 151:32] + assign io_lsu_error_pkt_r_valid = _T_105_valid; // @[lsu_lsc_ctl.scala 180:38] + assign io_lsu_error_pkt_r_bits_single_ecc_error = _T_105_bits_single_ecc_error; // @[lsu_lsc_ctl.scala 180:38] + assign io_lsu_error_pkt_r_bits_inst_type = _T_105_bits_inst_type; // @[lsu_lsc_ctl.scala 180:38] + assign io_lsu_error_pkt_r_bits_exc_type = _T_105_bits_exc_type; // @[lsu_lsc_ctl.scala 180:38] + assign io_lsu_error_pkt_r_bits_mscause = _T_105_bits_mscause; // @[lsu_lsc_ctl.scala 180:38] + assign io_lsu_error_pkt_r_bits_addr = _T_105_bits_addr; // @[lsu_lsc_ctl.scala 180:38] + assign io_lsu_fir_addr = io_lsu_ld_data_corr_r[31:1]; // @[lsu_lsc_ctl.scala 231:28] + assign io_lsu_fir_error = _T_106; // @[lsu_lsc_ctl.scala 181:38] + assign io_addr_in_dccm_d = addrcheck_io_addr_in_dccm_d; // @[lsu_lsc_ctl.scala 124:42] + assign io_addr_in_dccm_m = _T_150; // @[lsu_lsc_ctl.scala 223:24] + assign io_addr_in_dccm_r = _T_151; // @[lsu_lsc_ctl.scala 224:24] + assign io_addr_in_pic_d = addrcheck_io_addr_in_pic_d; // @[lsu_lsc_ctl.scala 125:42] + assign io_addr_in_pic_m = _T_152; // @[lsu_lsc_ctl.scala 225:24] + assign io_addr_in_pic_r = _T_153; // @[lsu_lsc_ctl.scala 226:24] + assign io_addr_external_m = _T_154; // @[lsu_lsc_ctl.scala 227:24] + assign io_lsu_pkt_d_valid = _T_121 | io_dma_lsc_ctl_dma_dccm_req; // @[lsu_lsc_ctl.scala 201:20 lsu_lsc_ctl.scala 205:24] + assign io_lsu_pkt_d_bits_fast_int = io_dec_lsu_valid_raw_d & io_lsu_p_bits_fast_int; // @[lsu_lsc_ctl.scala 201:20] + assign io_lsu_pkt_d_bits_by = io_dec_lsu_valid_raw_d ? io_lsu_p_bits_by : dma_pkt_d_bits_by; // @[lsu_lsc_ctl.scala 201:20] + assign io_lsu_pkt_d_bits_half = io_dec_lsu_valid_raw_d ? io_lsu_p_bits_half : dma_pkt_d_bits_half; // @[lsu_lsc_ctl.scala 201:20] + assign io_lsu_pkt_d_bits_word = io_dec_lsu_valid_raw_d ? io_lsu_p_bits_word : dma_pkt_d_bits_word; // @[lsu_lsc_ctl.scala 201:20] + assign io_lsu_pkt_d_bits_dword = io_dec_lsu_valid_raw_d ? io_lsu_p_bits_dword : dma_pkt_d_bits_dword; // @[lsu_lsc_ctl.scala 201:20] + assign io_lsu_pkt_d_bits_load = io_dec_lsu_valid_raw_d ? io_lsu_p_bits_load : dma_pkt_d_bits_load; // @[lsu_lsc_ctl.scala 201:20] + assign io_lsu_pkt_d_bits_store = io_dec_lsu_valid_raw_d ? io_lsu_p_bits_store : io_dma_lsc_ctl_dma_mem_write; // @[lsu_lsc_ctl.scala 201:20] + assign io_lsu_pkt_d_bits_unsign = io_dec_lsu_valid_raw_d & io_lsu_p_bits_unsign; // @[lsu_lsc_ctl.scala 201:20] + assign io_lsu_pkt_d_bits_dma = io_dec_lsu_valid_raw_d ? io_lsu_p_bits_dma : 1'h1; // @[lsu_lsc_ctl.scala 201:20] + assign io_lsu_pkt_d_bits_store_data_bypass_d = io_dec_lsu_valid_raw_d & io_lsu_p_bits_store_data_bypass_d; // @[lsu_lsc_ctl.scala 201:20] + assign io_lsu_pkt_d_bits_load_ldst_bypass_d = io_dec_lsu_valid_raw_d & io_lsu_p_bits_load_ldst_bypass_d; // @[lsu_lsc_ctl.scala 201:20] + assign io_lsu_pkt_d_bits_store_data_bypass_m = io_dec_lsu_valid_raw_d & io_lsu_p_bits_store_data_bypass_m; // @[lsu_lsc_ctl.scala 201:20] + assign io_lsu_pkt_m_valid = _T_135; // @[lsu_lsc_ctl.scala 209:28 lsu_lsc_ctl.scala 211:28] + assign io_lsu_pkt_m_bits_fast_int = _T_132_bits_fast_int; // @[lsu_lsc_ctl.scala 209:28] + assign io_lsu_pkt_m_bits_by = _T_132_bits_by; // @[lsu_lsc_ctl.scala 209:28] + assign io_lsu_pkt_m_bits_half = _T_132_bits_half; // @[lsu_lsc_ctl.scala 209:28] + assign io_lsu_pkt_m_bits_word = _T_132_bits_word; // @[lsu_lsc_ctl.scala 209:28] + assign io_lsu_pkt_m_bits_dword = _T_132_bits_dword; // @[lsu_lsc_ctl.scala 209:28] + assign io_lsu_pkt_m_bits_load = _T_132_bits_load; // @[lsu_lsc_ctl.scala 209:28] + assign io_lsu_pkt_m_bits_store = _T_132_bits_store; // @[lsu_lsc_ctl.scala 209:28] + assign io_lsu_pkt_m_bits_unsign = _T_132_bits_unsign; // @[lsu_lsc_ctl.scala 209:28] + assign io_lsu_pkt_m_bits_dma = _T_132_bits_dma; // @[lsu_lsc_ctl.scala 209:28] + assign io_lsu_pkt_m_bits_store_data_bypass_m = _T_132_bits_store_data_bypass_m; // @[lsu_lsc_ctl.scala 209:28] + assign io_lsu_pkt_r_valid = _T_136; // @[lsu_lsc_ctl.scala 210:28 lsu_lsc_ctl.scala 212:28] + assign io_lsu_pkt_r_bits_by = _T_134_bits_by; // @[lsu_lsc_ctl.scala 210:28] + assign io_lsu_pkt_r_bits_half = _T_134_bits_half; // @[lsu_lsc_ctl.scala 210:28] + assign io_lsu_pkt_r_bits_word = _T_134_bits_word; // @[lsu_lsc_ctl.scala 210:28] + assign io_lsu_pkt_r_bits_dword = _T_134_bits_dword; // @[lsu_lsc_ctl.scala 210:28] + assign io_lsu_pkt_r_bits_load = _T_134_bits_load; // @[lsu_lsc_ctl.scala 210:28] + assign io_lsu_pkt_r_bits_store = _T_134_bits_store; // @[lsu_lsc_ctl.scala 210:28] + assign io_lsu_pkt_r_bits_unsign = _T_134_bits_unsign; // @[lsu_lsc_ctl.scala 210:28] + assign io_lsu_pkt_r_bits_dma = _T_134_bits_dma; // @[lsu_lsc_ctl.scala 210:28] + assign addrcheck_reset = reset; + assign addrcheck_io_lsu_c2_m_clk = io_lsu_c2_m_clk; // @[lsu_lsc_ctl.scala 115:42] + assign addrcheck_io_start_addr_d = {_T_40,_T_10[11:0]}; // @[lsu_lsc_ctl.scala 117:42] + assign addrcheck_io_end_addr_d = rs1_d + _T_64; // @[lsu_lsc_ctl.scala 118:42] + assign addrcheck_io_lsu_pkt_d_valid = io_lsu_pkt_d_valid; // @[lsu_lsc_ctl.scala 119:42] + assign addrcheck_io_lsu_pkt_d_bits_fast_int = io_lsu_pkt_d_bits_fast_int; // @[lsu_lsc_ctl.scala 119:42] + assign addrcheck_io_lsu_pkt_d_bits_by = io_lsu_pkt_d_bits_by; // @[lsu_lsc_ctl.scala 119:42] + assign addrcheck_io_lsu_pkt_d_bits_half = io_lsu_pkt_d_bits_half; // @[lsu_lsc_ctl.scala 119:42] + assign addrcheck_io_lsu_pkt_d_bits_word = io_lsu_pkt_d_bits_word; // @[lsu_lsc_ctl.scala 119:42] + assign addrcheck_io_lsu_pkt_d_bits_load = io_lsu_pkt_d_bits_load; // @[lsu_lsc_ctl.scala 119:42] + assign addrcheck_io_lsu_pkt_d_bits_store = io_lsu_pkt_d_bits_store; // @[lsu_lsc_ctl.scala 119:42] + assign addrcheck_io_lsu_pkt_d_bits_dma = io_lsu_pkt_d_bits_dma; // @[lsu_lsc_ctl.scala 119:42] + assign addrcheck_io_dec_tlu_mrac_ff = io_dec_tlu_mrac_ff; // @[lsu_lsc_ctl.scala 120:42] + assign addrcheck_io_rs1_region_d = rs1_d[31:28]; // @[lsu_lsc_ctl.scala 121:42] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + access_fault_m = _RAND_0[0:0]; + _RAND_1 = {1{`RANDOM}}; + misaligned_fault_m = _RAND_1[0:0]; + _RAND_2 = {1{`RANDOM}}; + exc_mscause_m = _RAND_2[3:0]; + _RAND_3 = {1{`RANDOM}}; + fir_dccm_access_error_m = _RAND_3[0:0]; + _RAND_4 = {1{`RANDOM}}; + fir_nondccm_access_error_m = _RAND_4[0:0]; + _RAND_5 = {1{`RANDOM}}; + _T_105_valid = _RAND_5[0:0]; + _RAND_6 = {1{`RANDOM}}; + _T_105_bits_single_ecc_error = _RAND_6[0:0]; + _RAND_7 = {1{`RANDOM}}; + _T_105_bits_inst_type = _RAND_7[0:0]; + _RAND_8 = {1{`RANDOM}}; + _T_105_bits_exc_type = _RAND_8[0:0]; + _RAND_9 = {1{`RANDOM}}; + _T_105_bits_mscause = _RAND_9[3:0]; + _RAND_10 = {1{`RANDOM}}; + _T_105_bits_addr = _RAND_10[31:0]; + _RAND_11 = {1{`RANDOM}}; + _T_106 = _RAND_11[1:0]; + _RAND_12 = {1{`RANDOM}}; + _T_132_bits_fast_int = _RAND_12[0:0]; + _RAND_13 = {1{`RANDOM}}; + _T_132_bits_by = _RAND_13[0:0]; + _RAND_14 = {1{`RANDOM}}; + _T_132_bits_half = _RAND_14[0:0]; + _RAND_15 = {1{`RANDOM}}; + _T_132_bits_word = _RAND_15[0:0]; + _RAND_16 = {1{`RANDOM}}; + _T_132_bits_dword = _RAND_16[0:0]; + _RAND_17 = {1{`RANDOM}}; + _T_132_bits_load = _RAND_17[0:0]; + _RAND_18 = {1{`RANDOM}}; + _T_132_bits_store = _RAND_18[0:0]; + _RAND_19 = {1{`RANDOM}}; + _T_132_bits_unsign = _RAND_19[0:0]; + _RAND_20 = {1{`RANDOM}}; + _T_132_bits_dma = _RAND_20[0:0]; + _RAND_21 = {1{`RANDOM}}; + _T_132_bits_store_data_bypass_m = _RAND_21[0:0]; + _RAND_22 = {1{`RANDOM}}; + _T_134_bits_by = _RAND_22[0:0]; + _RAND_23 = {1{`RANDOM}}; + _T_134_bits_half = _RAND_23[0:0]; + _RAND_24 = {1{`RANDOM}}; + _T_134_bits_word = _RAND_24[0:0]; + _RAND_25 = {1{`RANDOM}}; + _T_134_bits_dword = _RAND_25[0:0]; + _RAND_26 = {1{`RANDOM}}; + _T_134_bits_load = _RAND_26[0:0]; + _RAND_27 = {1{`RANDOM}}; + _T_134_bits_store = _RAND_27[0:0]; + _RAND_28 = {1{`RANDOM}}; + _T_134_bits_unsign = _RAND_28[0:0]; + _RAND_29 = {1{`RANDOM}}; + _T_134_bits_dma = _RAND_29[0:0]; + _RAND_30 = {1{`RANDOM}}; + _T_135 = _RAND_30[0:0]; + _RAND_31 = {1{`RANDOM}}; + _T_136 = _RAND_31[0:0]; + _RAND_32 = {1{`RANDOM}}; + store_data_pre_m = _RAND_32[31:0]; + _RAND_33 = {1{`RANDOM}}; + _T_146 = _RAND_33[31:0]; + _RAND_34 = {1{`RANDOM}}; + _T_147 = _RAND_34[31:0]; + _RAND_35 = {1{`RANDOM}}; + _T_148 = _RAND_35[31:0]; + _RAND_36 = {1{`RANDOM}}; + _T_149 = _RAND_36[31:0]; + _RAND_37 = {1{`RANDOM}}; + _T_150 = _RAND_37[0:0]; + _RAND_38 = {1{`RANDOM}}; + _T_151 = _RAND_38[0:0]; + _RAND_39 = {1{`RANDOM}}; + _T_152 = _RAND_39[0:0]; + _RAND_40 = {1{`RANDOM}}; + _T_153 = _RAND_40[0:0]; + _RAND_41 = {1{`RANDOM}}; + _T_154 = _RAND_41[0:0]; + _RAND_42 = {1{`RANDOM}}; + addr_external_r = _RAND_42[0:0]; + _RAND_43 = {1{`RANDOM}}; + bus_read_data_r = _RAND_43[31:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + access_fault_m = 1'h0; + end + if (reset) begin + misaligned_fault_m = 1'h0; + end + if (reset) begin + exc_mscause_m = 4'h0; + end + if (reset) begin + fir_dccm_access_error_m = 1'h0; + end + if (reset) begin + fir_nondccm_access_error_m = 1'h0; + end + if (reset) begin + _T_105_valid = 1'h0; + end + if (reset) begin + _T_105_bits_single_ecc_error = 1'h0; + end + if (reset) begin + _T_105_bits_inst_type = 1'h0; + end + if (reset) begin + _T_105_bits_exc_type = 1'h0; + end + if (reset) begin + _T_105_bits_mscause = 4'h0; + end + if (reset) begin + _T_105_bits_addr = 32'h0; + end + if (reset) begin + _T_106 = 2'h0; + end + if (reset) begin + _T_132_bits_fast_int = 1'h0; + end + if (reset) begin + _T_132_bits_by = 1'h0; + end + if (reset) begin + _T_132_bits_half = 1'h0; + end + if (reset) begin + _T_132_bits_word = 1'h0; + end + if (reset) begin + _T_132_bits_dword = 1'h0; + end + if (reset) begin + _T_132_bits_load = 1'h0; + end + if (reset) begin + _T_132_bits_store = 1'h0; + end + if (reset) begin + _T_132_bits_unsign = 1'h0; + end + if (reset) begin + _T_132_bits_dma = 1'h0; + end + if (reset) begin + _T_132_bits_store_data_bypass_m = 1'h0; + end + if (reset) begin + _T_134_bits_by = 1'h0; + end + if (reset) begin + _T_134_bits_half = 1'h0; + end + if (reset) begin + _T_134_bits_word = 1'h0; + end + if (reset) begin + _T_134_bits_dword = 1'h0; + end + if (reset) begin + _T_134_bits_load = 1'h0; + end + if (reset) begin + _T_134_bits_store = 1'h0; + end + if (reset) begin + _T_134_bits_unsign = 1'h0; + end + if (reset) begin + _T_134_bits_dma = 1'h0; + end + if (reset) begin + _T_135 = 1'h0; + end + if (reset) begin + _T_136 = 1'h0; + end + if (reset) begin + store_data_pre_m = 32'h0; + end + if (reset) begin + _T_146 = 32'h0; + end + if (reset) begin + _T_147 = 32'h0; + end + if (reset) begin + _T_148 = 32'h0; + end + if (reset) begin + _T_149 = 32'h0; + end + if (reset) begin + _T_150 = 1'h0; + end + if (reset) begin + _T_151 = 1'h0; + end + if (reset) begin + _T_152 = 1'h0; + end + if (reset) begin + _T_153 = 1'h0; + end + if (reset) begin + _T_154 = 1'h0; + end + if (reset) begin + addr_external_r = 1'h0; + end + if (reset) begin + bus_read_data_r = 32'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + access_fault_m <= 1'h0; + end else begin + access_fault_m <= addrcheck_io_access_fault_d; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + misaligned_fault_m <= 1'h0; + end else begin + misaligned_fault_m <= addrcheck_io_misaligned_fault_d; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + exc_mscause_m <= 4'h0; + end else begin + exc_mscause_m <= addrcheck_io_exc_mscause_d; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + fir_dccm_access_error_m <= 1'h0; + end else begin + fir_dccm_access_error_m <= addrcheck_io_fir_dccm_access_error_d; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + fir_nondccm_access_error_m <= 1'h0; + end else begin + fir_nondccm_access_error_m <= addrcheck_io_fir_nondccm_access_error_d; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_105_valid <= 1'h0; + end else begin + _T_105_valid <= _T_81 & _T_82; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_105_bits_single_ecc_error <= 1'h0; + end else begin + _T_105_bits_single_ecc_error <= _T_85 & _T_78; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_105_bits_inst_type <= 1'h0; + end else begin + _T_105_bits_inst_type <= io_lsu_pkt_m_bits_store; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_105_bits_exc_type <= 1'h0; + end else begin + _T_105_bits_exc_type <= ~misaligned_fault_m; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_105_bits_mscause <= 4'h0; + end else if (_T_92) begin + _T_105_bits_mscause <= 4'h1; + end else begin + _T_105_bits_mscause <= exc_mscause_m; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_105_bits_addr <= 32'h0; + end else begin + _T_105_bits_addr <= io_lsu_addr_m; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_106 <= 2'h0; + end else if (fir_nondccm_access_error_m) begin + _T_106 <= 2'h3; + end else if (fir_dccm_access_error_m) begin + _T_106 <= 2'h2; + end else if (_T_99) begin + _T_106 <= 2'h1; + end else begin + _T_106 <= 2'h0; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_132_bits_fast_int <= 1'h0; + end else begin + _T_132_bits_fast_int <= io_lsu_pkt_d_bits_fast_int; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_132_bits_by <= 1'h0; + end else begin + _T_132_bits_by <= io_lsu_pkt_d_bits_by; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_132_bits_half <= 1'h0; + end else begin + _T_132_bits_half <= io_lsu_pkt_d_bits_half; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_132_bits_word <= 1'h0; + end else begin + _T_132_bits_word <= io_lsu_pkt_d_bits_word; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_132_bits_dword <= 1'h0; + end else begin + _T_132_bits_dword <= io_lsu_pkt_d_bits_dword; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_132_bits_load <= 1'h0; + end else begin + _T_132_bits_load <= io_lsu_pkt_d_bits_load; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_132_bits_store <= 1'h0; + end else begin + _T_132_bits_store <= io_lsu_pkt_d_bits_store; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_132_bits_unsign <= 1'h0; + end else begin + _T_132_bits_unsign <= io_lsu_pkt_d_bits_unsign; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_132_bits_dma <= 1'h0; + end else begin + _T_132_bits_dma <= io_lsu_pkt_d_bits_dma; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_132_bits_store_data_bypass_m <= 1'h0; + end else begin + _T_132_bits_store_data_bypass_m <= io_lsu_pkt_d_bits_store_data_bypass_m; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_134_bits_by <= 1'h0; + end else begin + _T_134_bits_by <= io_lsu_pkt_m_bits_by; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_134_bits_half <= 1'h0; + end else begin + _T_134_bits_half <= io_lsu_pkt_m_bits_half; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_134_bits_word <= 1'h0; + end else begin + _T_134_bits_word <= io_lsu_pkt_m_bits_word; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_134_bits_dword <= 1'h0; + end else begin + _T_134_bits_dword <= io_lsu_pkt_m_bits_dword; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_134_bits_load <= 1'h0; + end else begin + _T_134_bits_load <= io_lsu_pkt_m_bits_load; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_134_bits_store <= 1'h0; + end else begin + _T_134_bits_store <= io_lsu_pkt_m_bits_store; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_134_bits_unsign <= 1'h0; + end else begin + _T_134_bits_unsign <= io_lsu_pkt_m_bits_unsign; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_134_bits_dma <= 1'h0; + end else begin + _T_134_bits_dma <= io_lsu_pkt_m_bits_dma; + end + end + always @(posedge io_lsu_c2_m_clk or posedge reset) begin + if (reset) begin + _T_135 <= 1'h0; + end else begin + _T_135 <= io_lsu_pkt_d_valid & _T_125; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_136 <= 1'h0; + end else begin + _T_136 <= io_lsu_pkt_m_valid & _T_129; + end + end + always @(posedge io_lsu_store_c1_m_clk or posedge reset) begin + if (reset) begin + store_data_pre_m <= 32'h0; + end else if (io_lsu_pkt_d_bits_store_data_bypass_d) begin + store_data_pre_m <= io_lsu_result_m; + end else if (io_dma_lsc_ctl_dma_dccm_req) begin + store_data_pre_m <= dma_mem_wdata_shifted[31:0]; + end else begin + store_data_pre_m <= io_lsu_exu_exu_lsu_rs2_d; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_146 <= 32'h0; + end else begin + _T_146 <= io_lsu_addr_d; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_147 <= 32'h0; + end else begin + _T_147 <= io_lsu_addr_m; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_148 <= 32'h0; + end else begin + _T_148 <= io_end_addr_d; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_149 <= 32'h0; + end else begin + _T_149 <= io_end_addr_m; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_150 <= 1'h0; + end else begin + _T_150 <= io_addr_in_dccm_d; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_151 <= 1'h0; + end else begin + _T_151 <= io_addr_in_dccm_m; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_152 <= 1'h0; + end else begin + _T_152 <= io_addr_in_pic_d; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_153 <= 1'h0; + end else begin + _T_153 <= io_addr_in_pic_m; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_154 <= 1'h0; + end else begin + _T_154 <= addrcheck_io_addr_external_d; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + addr_external_r <= 1'h0; + end else begin + addr_external_r <= io_addr_external_m; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + bus_read_data_r <= 32'h0; + end else begin + bus_read_data_r <= io_bus_read_data_m; + end + end +endmodule +module rvclkhdr( + output io_l1clk, + input io_clk, + input io_en, + input io_scan_mode +); + wire clkhdr_Q; // @[lib.scala 334:26] + wire clkhdr_CK; // @[lib.scala 334:26] + wire clkhdr_EN; // @[lib.scala 334:26] + wire clkhdr_SE; // @[lib.scala 334:26] + gated_latch clkhdr ( // @[lib.scala 334:26] + .Q(clkhdr_Q), + .CK(clkhdr_CK), + .EN(clkhdr_EN), + .SE(clkhdr_SE) + ); + assign io_l1clk = clkhdr_Q; // @[lib.scala 335:14] + assign clkhdr_CK = io_clk; // @[lib.scala 336:18] + assign clkhdr_EN = io_en; // @[lib.scala 337:18] + assign clkhdr_SE = io_scan_mode; // @[lib.scala 338:18] +endmodule +module lsu_dccm_ctl( + input clock, + input reset, + input io_lsu_c2_m_clk, + input io_lsu_c2_r_clk, + input io_lsu_free_c2_clk, + input io_lsu_store_c1_r_clk, + input io_lsu_pkt_d_valid, + input io_lsu_pkt_d_bits_word, + input io_lsu_pkt_d_bits_dword, + input io_lsu_pkt_d_bits_load, + input io_lsu_pkt_d_bits_store, + input io_lsu_pkt_d_bits_dma, + input io_lsu_pkt_m_valid, + input io_lsu_pkt_m_bits_by, + input io_lsu_pkt_m_bits_half, + input io_lsu_pkt_m_bits_word, + input io_lsu_pkt_m_bits_load, + input io_lsu_pkt_m_bits_store, + input io_lsu_pkt_m_bits_dma, + input io_lsu_pkt_r_valid, + input io_lsu_pkt_r_bits_by, + input io_lsu_pkt_r_bits_half, + input io_lsu_pkt_r_bits_word, + input io_lsu_pkt_r_bits_load, + input io_lsu_pkt_r_bits_store, + input io_lsu_pkt_r_bits_dma, + input io_addr_in_dccm_d, + input io_addr_in_dccm_m, + input io_addr_in_dccm_r, + input io_addr_in_pic_d, + input io_addr_in_pic_m, + input io_addr_in_pic_r, + input io_lsu_raw_fwd_lo_r, + input io_lsu_raw_fwd_hi_r, + input io_lsu_commit_r, + input [31:0] io_lsu_addr_d, + input [15:0] io_lsu_addr_m, + input [31:0] io_lsu_addr_r, + input [15:0] io_end_addr_d, + input [15:0] io_end_addr_m, + input [15:0] io_end_addr_r, + input io_stbuf_reqvld_any, + input [15:0] io_stbuf_addr_any, + input [31:0] io_stbuf_data_any, + input [6:0] io_stbuf_ecc_any, + input [31:0] io_stbuf_fwddata_hi_m, + input [31:0] io_stbuf_fwddata_lo_m, + input [3:0] io_stbuf_fwdbyteen_lo_m, + input [3:0] io_stbuf_fwdbyteen_hi_m, + output [31:0] io_lsu_ld_data_corr_r, + input io_lsu_double_ecc_error_r, + input io_single_ecc_error_hi_r, + input io_single_ecc_error_lo_r, + input [31:0] io_sec_data_hi_r_ff, + input [31:0] io_sec_data_lo_r_ff, + input [6:0] io_sec_data_ecc_hi_r_ff, + input [6:0] io_sec_data_ecc_lo_r_ff, + output [31:0] io_dccm_rdata_hi_m, + output [31:0] io_dccm_rdata_lo_m, + output [6:0] io_dccm_data_ecc_hi_m, + output [6:0] io_dccm_data_ecc_lo_m, + output [31:0] io_lsu_ld_data_m, + input io_lsu_double_ecc_error_m, + input [31:0] io_sec_data_hi_m, + input [31:0] io_sec_data_lo_m, + input [31:0] io_store_data_m, + input io_dma_dccm_wen, + input io_dma_pic_wen, + input [2:0] io_dma_mem_tag_m, + input [31:0] io_dma_dccm_wdata_lo, + input [31:0] io_dma_dccm_wdata_hi, + input [6:0] io_dma_dccm_wdata_ecc_hi, + input [6:0] io_dma_dccm_wdata_ecc_lo, + output [31:0] io_store_data_hi_r, + output [31:0] io_store_data_lo_r, + output [31:0] io_store_datafn_hi_r, + output [31:0] io_store_datafn_lo_r, + output [31:0] io_store_data_r, + output io_ld_single_ecc_error_r, + output io_ld_single_ecc_error_r_ff, + output [31:0] io_picm_mask_data_m, + output io_lsu_stbuf_commit_any, + output io_lsu_dccm_rden_m, + input [31:0] io_dma_dccm_ctl_dma_mem_addr, + input [63:0] io_dma_dccm_ctl_dma_mem_wdata, + output io_dma_dccm_ctl_dccm_dma_rvalid, + output io_dma_dccm_ctl_dccm_dma_ecc_error, + output [2:0] io_dma_dccm_ctl_dccm_dma_rtag, + output [63:0] io_dma_dccm_ctl_dccm_dma_rdata, + output io_dccm_wren, + output io_dccm_rden, + output [15:0] io_dccm_wr_addr_lo, + output [15:0] io_dccm_wr_addr_hi, + output [15:0] io_dccm_rd_addr_lo, + output [15:0] io_dccm_rd_addr_hi, + output [38:0] io_dccm_wr_data_lo, + output [38:0] io_dccm_wr_data_hi, + input [38:0] io_dccm_rd_data_lo, + input [38:0] io_dccm_rd_data_hi, + output io_lsu_pic_picm_wren, + output io_lsu_pic_picm_rden, + output io_lsu_pic_picm_mken, + output [31:0] io_lsu_pic_picm_rdaddr, + output [31:0] io_lsu_pic_picm_wraddr, + output [31:0] io_lsu_pic_picm_wr_data, + input [31:0] io_lsu_pic_picm_rd_data, + input io_scan_mode +); +`ifdef RANDOMIZE_REG_INIT + reg [63:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_io_en; // @[lib.scala 368:23] + wire rvclkhdr_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_en; // @[lib.scala 368:23] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 368:23] + wire [63:0] picm_rd_data_m = {io_lsu_pic_picm_rd_data,io_lsu_pic_picm_rd_data}; // @[Cat.scala 29:58] + wire [63:0] dccm_rdata_corr_m = {io_sec_data_hi_m,io_sec_data_lo_m}; // @[Cat.scala 29:58] + wire [63:0] dccm_rdata_m = {io_dccm_rdata_hi_m,io_dccm_rdata_lo_m}; // @[Cat.scala 29:58] + wire _T = io_lsu_pkt_m_valid & io_lsu_pkt_m_bits_load; // @[lsu_dccm_ctl.scala 137:63] + reg [63:0] _T_2; // @[lsu_dccm_ctl.scala 147:65] + wire [7:0] _T_3 = {io_stbuf_fwdbyteen_hi_m,io_stbuf_fwdbyteen_lo_m}; // @[Cat.scala 29:58] + wire [63:0] _T_6 = {io_stbuf_fwddata_hi_m,io_stbuf_fwddata_lo_m}; // @[Cat.scala 29:58] + wire [7:0] _T_11 = io_addr_in_pic_m ? picm_rd_data_m[7:0] : dccm_rdata_corr_m[7:0]; // @[lsu_dccm_ctl.scala 148:213] + wire [7:0] _T_12 = _T_3[0] ? _T_6[7:0] : _T_11; // @[lsu_dccm_ctl.scala 148:78] + wire [7:0] _T_16 = {{4'd0}, _T_12[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_18 = {_T_12[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_20 = _T_18 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_21 = _T_16 | _T_20; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_0 = {{2'd0}, _T_21[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_26 = _GEN_0 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_28 = {_T_21[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_30 = _T_28 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_31 = _T_26 | _T_30; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_1 = {{1'd0}, _T_31[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_36 = _GEN_1 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_38 = {_T_31[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_40 = _T_38 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_41 = _T_36 | _T_40; // @[Bitwise.scala 103:39] + wire [7:0] _T_50 = io_addr_in_pic_m ? picm_rd_data_m[15:8] : dccm_rdata_corr_m[15:8]; // @[lsu_dccm_ctl.scala 148:213] + wire [7:0] _T_51 = _T_3[1] ? _T_6[15:8] : _T_50; // @[lsu_dccm_ctl.scala 148:78] + wire [7:0] _T_55 = {{4'd0}, _T_51[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_57 = {_T_51[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_59 = _T_57 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_60 = _T_55 | _T_59; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_2 = {{2'd0}, _T_60[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_65 = _GEN_2 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_67 = {_T_60[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_69 = _T_67 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_70 = _T_65 | _T_69; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_3 = {{1'd0}, _T_70[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_75 = _GEN_3 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_77 = {_T_70[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_79 = _T_77 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_80 = _T_75 | _T_79; // @[Bitwise.scala 103:39] + wire [7:0] _T_89 = io_addr_in_pic_m ? picm_rd_data_m[23:16] : dccm_rdata_corr_m[23:16]; // @[lsu_dccm_ctl.scala 148:213] + wire [7:0] _T_90 = _T_3[2] ? _T_6[23:16] : _T_89; // @[lsu_dccm_ctl.scala 148:78] + wire [7:0] _T_94 = {{4'd0}, _T_90[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_96 = {_T_90[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_98 = _T_96 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_99 = _T_94 | _T_98; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_4 = {{2'd0}, _T_99[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_104 = _GEN_4 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_106 = {_T_99[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_108 = _T_106 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_109 = _T_104 | _T_108; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_5 = {{1'd0}, _T_109[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_114 = _GEN_5 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_116 = {_T_109[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_118 = _T_116 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_119 = _T_114 | _T_118; // @[Bitwise.scala 103:39] + wire [7:0] _T_128 = io_addr_in_pic_m ? picm_rd_data_m[31:24] : dccm_rdata_corr_m[31:24]; // @[lsu_dccm_ctl.scala 148:213] + wire [7:0] _T_129 = _T_3[3] ? _T_6[31:24] : _T_128; // @[lsu_dccm_ctl.scala 148:78] + wire [7:0] _T_133 = {{4'd0}, _T_129[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_135 = {_T_129[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_137 = _T_135 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_138 = _T_133 | _T_137; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_6 = {{2'd0}, _T_138[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_143 = _GEN_6 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_145 = {_T_138[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_147 = _T_145 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_148 = _T_143 | _T_147; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_7 = {{1'd0}, _T_148[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_153 = _GEN_7 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_155 = {_T_148[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_157 = _T_155 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_158 = _T_153 | _T_157; // @[Bitwise.scala 103:39] + wire [7:0] _T_167 = io_addr_in_pic_m ? picm_rd_data_m[39:32] : dccm_rdata_corr_m[39:32]; // @[lsu_dccm_ctl.scala 148:213] + wire [7:0] _T_168 = _T_3[4] ? _T_6[39:32] : _T_167; // @[lsu_dccm_ctl.scala 148:78] + wire [7:0] _T_172 = {{4'd0}, _T_168[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_174 = {_T_168[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_176 = _T_174 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_177 = _T_172 | _T_176; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_8 = {{2'd0}, _T_177[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_182 = _GEN_8 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_184 = {_T_177[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_186 = _T_184 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_187 = _T_182 | _T_186; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_9 = {{1'd0}, _T_187[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_192 = _GEN_9 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_194 = {_T_187[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_196 = _T_194 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_197 = _T_192 | _T_196; // @[Bitwise.scala 103:39] + wire [7:0] _T_206 = io_addr_in_pic_m ? picm_rd_data_m[47:40] : dccm_rdata_corr_m[47:40]; // @[lsu_dccm_ctl.scala 148:213] + wire [7:0] _T_207 = _T_3[5] ? _T_6[47:40] : _T_206; // @[lsu_dccm_ctl.scala 148:78] + wire [7:0] _T_211 = {{4'd0}, _T_207[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_213 = {_T_207[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_215 = _T_213 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_216 = _T_211 | _T_215; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_10 = {{2'd0}, _T_216[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_221 = _GEN_10 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_223 = {_T_216[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_225 = _T_223 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_226 = _T_221 | _T_225; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_11 = {{1'd0}, _T_226[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_231 = _GEN_11 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_233 = {_T_226[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_235 = _T_233 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_236 = _T_231 | _T_235; // @[Bitwise.scala 103:39] + wire [7:0] _T_245 = io_addr_in_pic_m ? picm_rd_data_m[55:48] : dccm_rdata_corr_m[55:48]; // @[lsu_dccm_ctl.scala 148:213] + wire [7:0] _T_246 = _T_3[6] ? _T_6[55:48] : _T_245; // @[lsu_dccm_ctl.scala 148:78] + wire [7:0] _T_250 = {{4'd0}, _T_246[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_252 = {_T_246[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_254 = _T_252 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_255 = _T_250 | _T_254; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_12 = {{2'd0}, _T_255[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_260 = _GEN_12 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_262 = {_T_255[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_264 = _T_262 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_265 = _T_260 | _T_264; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_13 = {{1'd0}, _T_265[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_270 = _GEN_13 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_272 = {_T_265[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_274 = _T_272 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_275 = _T_270 | _T_274; // @[Bitwise.scala 103:39] + wire [7:0] _T_284 = io_addr_in_pic_m ? picm_rd_data_m[63:56] : dccm_rdata_corr_m[63:56]; // @[lsu_dccm_ctl.scala 148:213] + wire [7:0] _T_285 = _T_3[7] ? _T_6[63:56] : _T_284; // @[lsu_dccm_ctl.scala 148:78] + wire [7:0] _T_289 = {{4'd0}, _T_285[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_291 = {_T_285[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_293 = _T_291 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_294 = _T_289 | _T_293; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_14 = {{2'd0}, _T_294[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_299 = _GEN_14 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_301 = {_T_294[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_303 = _T_301 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_304 = _T_299 | _T_303; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_15 = {{1'd0}, _T_304[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_309 = _GEN_15 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_311 = {_T_304[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_313 = _T_311 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_314 = _T_309 | _T_313; // @[Bitwise.scala 103:39] + wire [63:0] _T_322 = {_T_41,_T_80,_T_119,_T_158,_T_197,_T_236,_T_275,_T_314}; // @[Cat.scala 29:58] + wire [63:0] _T_326 = {{32'd0}, _T_322[63:32]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_328 = {_T_322[31:0], 32'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_330 = _T_328 & 64'hffffffff00000000; // @[Bitwise.scala 103:75] + wire [63:0] _T_331 = _T_326 | _T_330; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_16 = {{16'd0}, _T_331[63:16]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_336 = _GEN_16 & 64'hffff0000ffff; // @[Bitwise.scala 103:31] + wire [63:0] _T_338 = {_T_331[47:0], 16'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_340 = _T_338 & 64'hffff0000ffff0000; // @[Bitwise.scala 103:75] + wire [63:0] _T_341 = _T_336 | _T_340; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_17 = {{8'd0}, _T_341[63:8]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_346 = _GEN_17 & 64'hff00ff00ff00ff; // @[Bitwise.scala 103:31] + wire [63:0] _T_348 = {_T_341[55:0], 8'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_350 = _T_348 & 64'hff00ff00ff00ff00; // @[Bitwise.scala 103:75] + wire [63:0] _T_351 = _T_346 | _T_350; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_18 = {{4'd0}, _T_351[63:4]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_356 = _GEN_18 & 64'hf0f0f0f0f0f0f0f; // @[Bitwise.scala 103:31] + wire [63:0] _T_358 = {_T_351[59:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_360 = _T_358 & 64'hf0f0f0f0f0f0f0f0; // @[Bitwise.scala 103:75] + wire [63:0] _T_361 = _T_356 | _T_360; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_19 = {{2'd0}, _T_361[63:2]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_366 = _GEN_19 & 64'h3333333333333333; // @[Bitwise.scala 103:31] + wire [63:0] _T_368 = {_T_361[61:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_370 = _T_368 & 64'hcccccccccccccccc; // @[Bitwise.scala 103:75] + wire [63:0] _T_371 = _T_366 | _T_370; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_20 = {{1'd0}, _T_371[63:1]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_376 = _GEN_20 & 64'h5555555555555555; // @[Bitwise.scala 103:31] + wire [63:0] _T_378 = {_T_371[62:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_380 = _T_378 & 64'haaaaaaaaaaaaaaaa; // @[Bitwise.scala 103:75] + wire [63:0] lsu_rdata_corr_m = _T_376 | _T_380; // @[Bitwise.scala 103:39] + wire [7:0] _T_390 = io_addr_in_pic_m ? picm_rd_data_m[7:0] : dccm_rdata_m[7:0]; // @[lsu_dccm_ctl.scala 149:213] + wire [7:0] _T_391 = _T_3[0] ? _T_6[7:0] : _T_390; // @[lsu_dccm_ctl.scala 149:78] + wire [7:0] _T_395 = {{4'd0}, _T_391[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_397 = {_T_391[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_399 = _T_397 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_400 = _T_395 | _T_399; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_21 = {{2'd0}, _T_400[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_405 = _GEN_21 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_407 = {_T_400[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_409 = _T_407 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_410 = _T_405 | _T_409; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_22 = {{1'd0}, _T_410[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_415 = _GEN_22 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_417 = {_T_410[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_419 = _T_417 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_420 = _T_415 | _T_419; // @[Bitwise.scala 103:39] + wire [7:0] _T_429 = io_addr_in_pic_m ? picm_rd_data_m[15:8] : dccm_rdata_m[15:8]; // @[lsu_dccm_ctl.scala 149:213] + wire [7:0] _T_430 = _T_3[1] ? _T_6[15:8] : _T_429; // @[lsu_dccm_ctl.scala 149:78] + wire [7:0] _T_434 = {{4'd0}, _T_430[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_436 = {_T_430[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_438 = _T_436 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_439 = _T_434 | _T_438; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_23 = {{2'd0}, _T_439[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_444 = _GEN_23 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_446 = {_T_439[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_448 = _T_446 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_449 = _T_444 | _T_448; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_24 = {{1'd0}, _T_449[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_454 = _GEN_24 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_456 = {_T_449[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_458 = _T_456 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_459 = _T_454 | _T_458; // @[Bitwise.scala 103:39] + wire [7:0] _T_468 = io_addr_in_pic_m ? picm_rd_data_m[23:16] : dccm_rdata_m[23:16]; // @[lsu_dccm_ctl.scala 149:213] + wire [7:0] _T_469 = _T_3[2] ? _T_6[23:16] : _T_468; // @[lsu_dccm_ctl.scala 149:78] + wire [7:0] _T_473 = {{4'd0}, _T_469[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_475 = {_T_469[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_477 = _T_475 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_478 = _T_473 | _T_477; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_25 = {{2'd0}, _T_478[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_483 = _GEN_25 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_485 = {_T_478[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_487 = _T_485 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_488 = _T_483 | _T_487; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_26 = {{1'd0}, _T_488[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_493 = _GEN_26 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_495 = {_T_488[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_497 = _T_495 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_498 = _T_493 | _T_497; // @[Bitwise.scala 103:39] + wire [7:0] _T_507 = io_addr_in_pic_m ? picm_rd_data_m[31:24] : dccm_rdata_m[31:24]; // @[lsu_dccm_ctl.scala 149:213] + wire [7:0] _T_508 = _T_3[3] ? _T_6[31:24] : _T_507; // @[lsu_dccm_ctl.scala 149:78] + wire [7:0] _T_512 = {{4'd0}, _T_508[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_514 = {_T_508[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_516 = _T_514 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_517 = _T_512 | _T_516; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_27 = {{2'd0}, _T_517[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_522 = _GEN_27 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_524 = {_T_517[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_526 = _T_524 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_527 = _T_522 | _T_526; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_28 = {{1'd0}, _T_527[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_532 = _GEN_28 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_534 = {_T_527[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_536 = _T_534 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_537 = _T_532 | _T_536; // @[Bitwise.scala 103:39] + wire [7:0] _T_546 = io_addr_in_pic_m ? picm_rd_data_m[39:32] : dccm_rdata_m[39:32]; // @[lsu_dccm_ctl.scala 149:213] + wire [7:0] _T_547 = _T_3[4] ? _T_6[39:32] : _T_546; // @[lsu_dccm_ctl.scala 149:78] + wire [7:0] _T_551 = {{4'd0}, _T_547[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_553 = {_T_547[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_555 = _T_553 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_556 = _T_551 | _T_555; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_29 = {{2'd0}, _T_556[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_561 = _GEN_29 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_563 = {_T_556[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_565 = _T_563 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_566 = _T_561 | _T_565; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_30 = {{1'd0}, _T_566[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_571 = _GEN_30 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_573 = {_T_566[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_575 = _T_573 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_576 = _T_571 | _T_575; // @[Bitwise.scala 103:39] + wire [7:0] _T_585 = io_addr_in_pic_m ? picm_rd_data_m[47:40] : dccm_rdata_m[47:40]; // @[lsu_dccm_ctl.scala 149:213] + wire [7:0] _T_586 = _T_3[5] ? _T_6[47:40] : _T_585; // @[lsu_dccm_ctl.scala 149:78] + wire [7:0] _T_590 = {{4'd0}, _T_586[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_592 = {_T_586[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_594 = _T_592 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_595 = _T_590 | _T_594; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_31 = {{2'd0}, _T_595[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_600 = _GEN_31 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_602 = {_T_595[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_604 = _T_602 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_605 = _T_600 | _T_604; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_32 = {{1'd0}, _T_605[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_610 = _GEN_32 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_612 = {_T_605[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_614 = _T_612 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_615 = _T_610 | _T_614; // @[Bitwise.scala 103:39] + wire [7:0] _T_624 = io_addr_in_pic_m ? picm_rd_data_m[55:48] : dccm_rdata_m[55:48]; // @[lsu_dccm_ctl.scala 149:213] + wire [7:0] _T_625 = _T_3[6] ? _T_6[55:48] : _T_624; // @[lsu_dccm_ctl.scala 149:78] + wire [7:0] _T_629 = {{4'd0}, _T_625[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_631 = {_T_625[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_633 = _T_631 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_634 = _T_629 | _T_633; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_33 = {{2'd0}, _T_634[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_639 = _GEN_33 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_641 = {_T_634[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_643 = _T_641 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_644 = _T_639 | _T_643; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_34 = {{1'd0}, _T_644[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_649 = _GEN_34 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_651 = {_T_644[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_653 = _T_651 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_654 = _T_649 | _T_653; // @[Bitwise.scala 103:39] + wire [7:0] _T_663 = io_addr_in_pic_m ? picm_rd_data_m[63:56] : dccm_rdata_m[63:56]; // @[lsu_dccm_ctl.scala 149:213] + wire [7:0] _T_664 = _T_3[7] ? _T_6[63:56] : _T_663; // @[lsu_dccm_ctl.scala 149:78] + wire [7:0] _T_668 = {{4'd0}, _T_664[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_670 = {_T_664[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_672 = _T_670 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_673 = _T_668 | _T_672; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_35 = {{2'd0}, _T_673[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_678 = _GEN_35 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_680 = {_T_673[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_682 = _T_680 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_683 = _T_678 | _T_682; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_36 = {{1'd0}, _T_683[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_688 = _GEN_36 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_690 = {_T_683[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_692 = _T_690 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_693 = _T_688 | _T_692; // @[Bitwise.scala 103:39] + wire [63:0] _T_701 = {_T_420,_T_459,_T_498,_T_537,_T_576,_T_615,_T_654,_T_693}; // @[Cat.scala 29:58] + wire [63:0] _T_705 = {{32'd0}, _T_701[63:32]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_707 = {_T_701[31:0], 32'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_709 = _T_707 & 64'hffffffff00000000; // @[Bitwise.scala 103:75] + wire [63:0] _T_710 = _T_705 | _T_709; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_37 = {{16'd0}, _T_710[63:16]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_715 = _GEN_37 & 64'hffff0000ffff; // @[Bitwise.scala 103:31] + wire [63:0] _T_717 = {_T_710[47:0], 16'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_719 = _T_717 & 64'hffff0000ffff0000; // @[Bitwise.scala 103:75] + wire [63:0] _T_720 = _T_715 | _T_719; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_38 = {{8'd0}, _T_720[63:8]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_725 = _GEN_38 & 64'hff00ff00ff00ff; // @[Bitwise.scala 103:31] + wire [63:0] _T_727 = {_T_720[55:0], 8'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_729 = _T_727 & 64'hff00ff00ff00ff00; // @[Bitwise.scala 103:75] + wire [63:0] _T_730 = _T_725 | _T_729; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_39 = {{4'd0}, _T_730[63:4]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_735 = _GEN_39 & 64'hf0f0f0f0f0f0f0f; // @[Bitwise.scala 103:31] + wire [63:0] _T_737 = {_T_730[59:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_739 = _T_737 & 64'hf0f0f0f0f0f0f0f0; // @[Bitwise.scala 103:75] + wire [63:0] _T_740 = _T_735 | _T_739; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_40 = {{2'd0}, _T_740[63:2]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_745 = _GEN_40 & 64'h3333333333333333; // @[Bitwise.scala 103:31] + wire [63:0] _T_747 = {_T_740[61:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_749 = _T_747 & 64'hcccccccccccccccc; // @[Bitwise.scala 103:75] + wire [63:0] _T_750 = _T_745 | _T_749; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_41 = {{1'd0}, _T_750[63:1]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_755 = _GEN_41 & 64'h5555555555555555; // @[Bitwise.scala 103:31] + wire [63:0] _T_757 = {_T_750[62:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_759 = _T_757 & 64'haaaaaaaaaaaaaaaa; // @[Bitwise.scala 103:75] + wire [63:0] lsu_rdata_m = _T_755 | _T_759; // @[Bitwise.scala 103:39] + wire [3:0] _GEN_42 = {{2'd0}, io_lsu_addr_m[1:0]}; // @[lsu_dccm_ctl.scala 150:49] + wire [5:0] _T_762 = 4'h8 * _GEN_42; // @[lsu_dccm_ctl.scala 150:49] + wire [63:0] _T_763 = lsu_rdata_m >> _T_762; // @[lsu_dccm_ctl.scala 150:43] + wire _T_769 = io_lsu_addr_d[15:2] == io_lsu_addr_r[15:2]; // @[lsu_dccm_ctl.scala 155:60] + wire _T_772 = io_end_addr_d[15:2] == io_lsu_addr_r[15:2]; // @[lsu_dccm_ctl.scala 155:133] + wire _T_773 = _T_769 | _T_772; // @[lsu_dccm_ctl.scala 155:101] + wire _T_774 = _T_773 & io_lsu_pkt_d_valid; // @[lsu_dccm_ctl.scala 155:175] + wire _T_775 = _T_774 & io_lsu_pkt_d_bits_store; // @[lsu_dccm_ctl.scala 155:196] + wire _T_776 = _T_775 & io_lsu_pkt_d_bits_dma; // @[lsu_dccm_ctl.scala 155:222] + wire _T_777 = _T_776 & io_addr_in_dccm_d; // @[lsu_dccm_ctl.scala 155:246] + wire _T_780 = io_lsu_addr_m[15:2] == io_lsu_addr_r[15:2]; // @[lsu_dccm_ctl.scala 156:37] + wire _T_783 = io_end_addr_m[15:2] == io_lsu_addr_r[15:2]; // @[lsu_dccm_ctl.scala 156:110] + wire _T_784 = _T_780 | _T_783; // @[lsu_dccm_ctl.scala 156:78] + wire _T_785 = _T_784 & io_lsu_pkt_m_valid; // @[lsu_dccm_ctl.scala 156:152] + wire _T_786 = _T_785 & io_lsu_pkt_m_bits_store; // @[lsu_dccm_ctl.scala 156:173] + wire _T_787 = _T_786 & io_lsu_pkt_m_bits_dma; // @[lsu_dccm_ctl.scala 156:199] + wire _T_788 = _T_787 & io_addr_in_dccm_m; // @[lsu_dccm_ctl.scala 156:223] + wire kill_ecc_corr_lo_r = _T_777 | _T_788; // @[lsu_dccm_ctl.scala 155:267] + wire _T_791 = io_lsu_addr_d[15:2] == io_end_addr_r[15:2]; // @[lsu_dccm_ctl.scala 158:60] + wire _T_794 = io_end_addr_d[15:2] == io_end_addr_r[15:2]; // @[lsu_dccm_ctl.scala 158:133] + wire _T_795 = _T_791 | _T_794; // @[lsu_dccm_ctl.scala 158:101] + wire _T_796 = _T_795 & io_lsu_pkt_d_valid; // @[lsu_dccm_ctl.scala 158:175] + wire _T_797 = _T_796 & io_lsu_pkt_d_bits_store; // @[lsu_dccm_ctl.scala 158:196] + wire _T_798 = _T_797 & io_lsu_pkt_d_bits_dma; // @[lsu_dccm_ctl.scala 158:222] + wire _T_799 = _T_798 & io_addr_in_dccm_d; // @[lsu_dccm_ctl.scala 158:246] + wire _T_802 = io_lsu_addr_m[15:2] == io_end_addr_r[15:2]; // @[lsu_dccm_ctl.scala 159:37] + wire _T_805 = io_end_addr_m[15:2] == io_end_addr_r[15:2]; // @[lsu_dccm_ctl.scala 159:110] + wire _T_806 = _T_802 | _T_805; // @[lsu_dccm_ctl.scala 159:78] + wire _T_807 = _T_806 & io_lsu_pkt_m_valid; // @[lsu_dccm_ctl.scala 159:152] + wire _T_808 = _T_807 & io_lsu_pkt_m_bits_store; // @[lsu_dccm_ctl.scala 159:173] + wire _T_809 = _T_808 & io_lsu_pkt_m_bits_dma; // @[lsu_dccm_ctl.scala 159:199] + wire _T_810 = _T_809 & io_addr_in_dccm_m; // @[lsu_dccm_ctl.scala 159:223] + wire kill_ecc_corr_hi_r = _T_799 | _T_810; // @[lsu_dccm_ctl.scala 158:267] + wire _T_811 = io_lsu_pkt_r_bits_load & io_single_ecc_error_lo_r; // @[lsu_dccm_ctl.scala 161:60] + wire _T_812 = ~io_lsu_raw_fwd_lo_r; // @[lsu_dccm_ctl.scala 161:89] + wire ld_single_ecc_error_lo_r = _T_811 & _T_812; // @[lsu_dccm_ctl.scala 161:87] + wire _T_813 = io_lsu_pkt_r_bits_load & io_single_ecc_error_hi_r; // @[lsu_dccm_ctl.scala 162:60] + wire _T_814 = ~io_lsu_raw_fwd_hi_r; // @[lsu_dccm_ctl.scala 162:89] + wire ld_single_ecc_error_hi_r = _T_813 & _T_814; // @[lsu_dccm_ctl.scala 162:87] + wire _T_815 = ld_single_ecc_error_lo_r | ld_single_ecc_error_hi_r; // @[lsu_dccm_ctl.scala 163:63] + wire _T_816 = ~io_lsu_double_ecc_error_r; // @[lsu_dccm_ctl.scala 163:93] + wire _T_818 = io_lsu_commit_r | io_lsu_pkt_r_bits_dma; // @[lsu_dccm_ctl.scala 164:81] + wire _T_819 = ld_single_ecc_error_lo_r & _T_818; // @[lsu_dccm_ctl.scala 164:62] + wire _T_820 = ~kill_ecc_corr_lo_r; // @[lsu_dccm_ctl.scala 164:108] + wire _T_822 = ld_single_ecc_error_hi_r & _T_818; // @[lsu_dccm_ctl.scala 165:62] + wire _T_823 = ~kill_ecc_corr_hi_r; // @[lsu_dccm_ctl.scala 165:108] + reg lsu_double_ecc_error_r_ff; // @[lsu_dccm_ctl.scala 167:74] + reg ld_single_ecc_error_hi_r_ff; // @[lsu_dccm_ctl.scala 168:74] + reg ld_single_ecc_error_lo_r_ff; // @[lsu_dccm_ctl.scala 169:74] + reg [15:0] ld_sec_addr_hi_r_ff; // @[lib.scala 374:16] + reg [15:0] ld_sec_addr_lo_r_ff; // @[lib.scala 374:16] + wire _T_830 = io_lsu_pkt_d_bits_word | io_lsu_pkt_d_bits_dword; // @[lsu_dccm_ctl.scala 173:125] + wire _T_831 = ~_T_830; // @[lsu_dccm_ctl.scala 173:100] + wire _T_833 = io_lsu_addr_d[1:0] != 2'h0; // @[lsu_dccm_ctl.scala 173:174] + wire _T_834 = _T_831 | _T_833; // @[lsu_dccm_ctl.scala 173:152] + wire _T_835 = io_lsu_pkt_d_bits_store & _T_834; // @[lsu_dccm_ctl.scala 173:97] + wire _T_836 = io_lsu_pkt_d_bits_load | _T_835; // @[lsu_dccm_ctl.scala 173:70] + wire _T_837 = io_lsu_pkt_d_valid & _T_836; // @[lsu_dccm_ctl.scala 173:44] + wire lsu_dccm_rden_d = _T_837 & io_addr_in_dccm_d; // @[lsu_dccm_ctl.scala 173:191] + wire _T_838 = ld_single_ecc_error_lo_r_ff | ld_single_ecc_error_hi_r_ff; // @[lsu_dccm_ctl.scala 176:63] + wire _T_839 = ~lsu_double_ecc_error_r_ff; // @[lsu_dccm_ctl.scala 176:96] + wire _T_841 = lsu_dccm_rden_d | io_dma_dccm_wen; // @[lsu_dccm_ctl.scala 177:75] + wire _T_842 = _T_841 | io_ld_single_ecc_error_r_ff; // @[lsu_dccm_ctl.scala 177:93] + wire _T_843 = ~_T_842; // @[lsu_dccm_ctl.scala 177:57] + wire _T_846 = io_stbuf_addr_any[3:2] == io_lsu_addr_d[3:2]; // @[lsu_dccm_ctl.scala 178:95] + wire _T_849 = io_stbuf_addr_any[3:2] == io_end_addr_d[3:2]; // @[lsu_dccm_ctl.scala 179:76] + wire _T_850 = _T_846 | _T_849; // @[lsu_dccm_ctl.scala 178:171] + wire _T_851 = ~_T_850; // @[lsu_dccm_ctl.scala 178:24] + wire _T_852 = lsu_dccm_rden_d & _T_851; // @[lsu_dccm_ctl.scala 178:22] + wire _T_853 = _T_843 | _T_852; // @[lsu_dccm_ctl.scala 177:124] + wire _T_855 = io_dma_dccm_wen | io_lsu_stbuf_commit_any; // @[lsu_dccm_ctl.scala 183:41] + wire [15:0] _T_862 = ld_single_ecc_error_lo_r_ff ? ld_sec_addr_lo_r_ff : ld_sec_addr_hi_r_ff; // @[lsu_dccm_ctl.scala 187:8] + wire [15:0] _T_866 = io_dma_dccm_wen ? io_lsu_addr_d[15:0] : io_stbuf_addr_any; // @[lsu_dccm_ctl.scala 188:8] + wire [15:0] _T_872 = ld_single_ecc_error_hi_r_ff ? ld_sec_addr_hi_r_ff : ld_sec_addr_lo_r_ff; // @[lsu_dccm_ctl.scala 191:8] + wire [15:0] _T_876 = io_dma_dccm_wen ? io_end_addr_d : io_stbuf_addr_any; // @[lsu_dccm_ctl.scala 192:8] + wire [38:0] _T_884 = {io_sec_data_ecc_lo_r_ff,io_sec_data_lo_r_ff}; // @[Cat.scala 29:58] + wire [38:0] _T_887 = {io_sec_data_ecc_hi_r_ff,io_sec_data_hi_r_ff}; // @[Cat.scala 29:58] + wire [38:0] _T_888 = ld_single_ecc_error_lo_r_ff ? _T_884 : _T_887; // @[lsu_dccm_ctl.scala 198:8] + wire [38:0] _T_892 = {io_dma_dccm_wdata_ecc_lo,io_dma_dccm_wdata_lo}; // @[Cat.scala 29:58] + wire [38:0] _T_895 = {io_stbuf_ecc_any,io_stbuf_data_any}; // @[Cat.scala 29:58] + wire [38:0] _T_896 = io_dma_dccm_wen ? _T_892 : _T_895; // @[lsu_dccm_ctl.scala 200:8] + wire [38:0] _T_906 = ld_single_ecc_error_hi_r_ff ? _T_887 : _T_884; // @[lsu_dccm_ctl.scala 204:8] + wire [38:0] _T_910 = {io_dma_dccm_wdata_ecc_hi,io_dma_dccm_wdata_hi}; // @[Cat.scala 29:58] + wire [38:0] _T_914 = io_dma_dccm_wen ? _T_910 : _T_895; // @[lsu_dccm_ctl.scala 206:8] + wire [3:0] _T_917 = io_lsu_pkt_m_bits_store ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_919 = io_lsu_pkt_m_bits_by ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_920 = _T_919 & 4'h1; // @[lsu_dccm_ctl.scala 210:94] + wire [3:0] _T_922 = io_lsu_pkt_m_bits_half ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_923 = _T_922 & 4'h3; // @[lsu_dccm_ctl.scala 211:38] + wire [3:0] _T_924 = _T_920 | _T_923; // @[lsu_dccm_ctl.scala 210:107] + wire [3:0] _T_926 = io_lsu_pkt_m_bits_word ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_928 = _T_924 | _T_926; // @[lsu_dccm_ctl.scala 211:51] + wire [3:0] store_byteen_m = _T_917 & _T_928; // @[lsu_dccm_ctl.scala 210:58] + wire [3:0] _T_930 = io_lsu_pkt_r_bits_store ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_932 = io_lsu_pkt_r_bits_by ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_933 = _T_932 & 4'h1; // @[lsu_dccm_ctl.scala 214:94] + wire [3:0] _T_935 = io_lsu_pkt_r_bits_half ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_936 = _T_935 & 4'h3; // @[lsu_dccm_ctl.scala 215:38] + wire [3:0] _T_937 = _T_933 | _T_936; // @[lsu_dccm_ctl.scala 214:107] + wire [3:0] _T_939 = io_lsu_pkt_r_bits_word ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_941 = _T_937 | _T_939; // @[lsu_dccm_ctl.scala 215:51] + wire [3:0] store_byteen_r = _T_930 & _T_941; // @[lsu_dccm_ctl.scala 214:58] + wire [6:0] _GEN_44 = {{3'd0}, store_byteen_m}; // @[lsu_dccm_ctl.scala 218:45] + wire [6:0] _T_944 = _GEN_44 << io_lsu_addr_m[1:0]; // @[lsu_dccm_ctl.scala 218:45] + wire [6:0] _GEN_45 = {{3'd0}, store_byteen_r}; // @[lsu_dccm_ctl.scala 220:45] + wire [6:0] _T_947 = _GEN_45 << io_lsu_addr_r[1:0]; // @[lsu_dccm_ctl.scala 220:45] + wire _T_950 = io_stbuf_addr_any[15:2] == io_lsu_addr_m[15:2]; // @[lsu_dccm_ctl.scala 223:67] + wire dccm_wr_bypass_d_m_lo = _T_950 & io_addr_in_dccm_m; // @[lsu_dccm_ctl.scala 223:101] + wire _T_953 = io_stbuf_addr_any[15:2] == io_end_addr_m[15:2]; // @[lsu_dccm_ctl.scala 224:67] + wire dccm_wr_bypass_d_m_hi = _T_953 & io_addr_in_dccm_m; // @[lsu_dccm_ctl.scala 224:101] + wire _T_956 = io_stbuf_addr_any[15:2] == io_lsu_addr_r[15:2]; // @[lsu_dccm_ctl.scala 226:67] + wire dccm_wr_bypass_d_r_lo = _T_956 & io_addr_in_dccm_r; // @[lsu_dccm_ctl.scala 226:101] + wire _T_959 = io_stbuf_addr_any[15:2] == io_end_addr_r[15:2]; // @[lsu_dccm_ctl.scala 227:67] + wire dccm_wr_bypass_d_r_hi = _T_959 & io_addr_in_dccm_r; // @[lsu_dccm_ctl.scala 227:101] + wire [63:0] _T_962 = {32'h0,io_store_data_m}; // @[Cat.scala 29:58] + wire [126:0] _GEN_47 = {{63'd0}, _T_962}; // @[lsu_dccm_ctl.scala 256:72] + wire [126:0] _T_965 = _GEN_47 << _T_762; // @[lsu_dccm_ctl.scala 256:72] + wire [63:0] store_data_pre_m = _T_965[63:0]; // @[lsu_dccm_ctl.scala 256:29] + wire [31:0] store_data_hi_m = store_data_pre_m[63:32]; // @[lsu_dccm_ctl.scala 257:48] + wire [31:0] store_data_lo_m = store_data_pre_m[31:0]; // @[lsu_dccm_ctl.scala 258:48] + wire [7:0] store_byteen_ext_m = {{1'd0}, _T_944}; // @[lsu_dccm_ctl.scala 218:22] + wire _T_971 = io_lsu_stbuf_commit_any & dccm_wr_bypass_d_m_lo; // @[lsu_dccm_ctl.scala 259:211] + wire [7:0] _T_975 = _T_971 ? io_stbuf_data_any[7:0] : io_sec_data_lo_m[7:0]; // @[lsu_dccm_ctl.scala 259:185] + wire [7:0] _T_976 = store_byteen_ext_m[0] ? store_data_lo_m[7:0] : _T_975; // @[lsu_dccm_ctl.scala 259:120] + wire [7:0] _T_980 = {{4'd0}, _T_976[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_982 = {_T_976[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_984 = _T_982 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_985 = _T_980 | _T_984; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_48 = {{2'd0}, _T_985[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_990 = _GEN_48 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_992 = {_T_985[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_994 = _T_992 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_995 = _T_990 | _T_994; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_49 = {{1'd0}, _T_995[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1000 = _GEN_49 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1002 = {_T_995[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1004 = _T_1002 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1005 = _T_1000 | _T_1004; // @[Bitwise.scala 103:39] + wire [7:0] _T_1013 = _T_971 ? io_stbuf_data_any[15:8] : io_sec_data_lo_m[15:8]; // @[lsu_dccm_ctl.scala 259:185] + wire [7:0] _T_1014 = store_byteen_ext_m[1] ? store_data_lo_m[15:8] : _T_1013; // @[lsu_dccm_ctl.scala 259:120] + wire [7:0] _T_1018 = {{4'd0}, _T_1014[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1020 = {_T_1014[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1022 = _T_1020 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1023 = _T_1018 | _T_1022; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_50 = {{2'd0}, _T_1023[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1028 = _GEN_50 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1030 = {_T_1023[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1032 = _T_1030 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1033 = _T_1028 | _T_1032; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_51 = {{1'd0}, _T_1033[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1038 = _GEN_51 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1040 = {_T_1033[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1042 = _T_1040 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1043 = _T_1038 | _T_1042; // @[Bitwise.scala 103:39] + wire [7:0] _T_1051 = _T_971 ? io_stbuf_data_any[23:16] : io_sec_data_lo_m[23:16]; // @[lsu_dccm_ctl.scala 259:185] + wire [7:0] _T_1052 = store_byteen_ext_m[2] ? store_data_lo_m[23:16] : _T_1051; // @[lsu_dccm_ctl.scala 259:120] + wire [7:0] _T_1056 = {{4'd0}, _T_1052[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1058 = {_T_1052[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1060 = _T_1058 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1061 = _T_1056 | _T_1060; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_52 = {{2'd0}, _T_1061[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1066 = _GEN_52 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1068 = {_T_1061[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1070 = _T_1068 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1071 = _T_1066 | _T_1070; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_53 = {{1'd0}, _T_1071[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1076 = _GEN_53 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1078 = {_T_1071[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1080 = _T_1078 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1081 = _T_1076 | _T_1080; // @[Bitwise.scala 103:39] + wire [7:0] _T_1089 = _T_971 ? io_stbuf_data_any[31:24] : io_sec_data_lo_m[31:24]; // @[lsu_dccm_ctl.scala 259:185] + wire [7:0] _T_1090 = store_byteen_ext_m[3] ? store_data_lo_m[31:24] : _T_1089; // @[lsu_dccm_ctl.scala 259:120] + wire [7:0] _T_1094 = {{4'd0}, _T_1090[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1096 = {_T_1090[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1098 = _T_1096 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1099 = _T_1094 | _T_1098; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_54 = {{2'd0}, _T_1099[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1104 = _GEN_54 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1106 = {_T_1099[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1108 = _T_1106 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1109 = _T_1104 | _T_1108; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_55 = {{1'd0}, _T_1109[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1114 = _GEN_55 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1116 = {_T_1109[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1118 = _T_1116 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1119 = _T_1114 | _T_1118; // @[Bitwise.scala 103:39] + wire [31:0] _T_1123 = {_T_1005,_T_1043,_T_1081,_T_1119}; // @[Cat.scala 29:58] + wire [31:0] _T_1127 = {{16'd0}, _T_1123[31:16]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1129 = {_T_1123[15:0], 16'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1131 = _T_1129 & 32'hffff0000; // @[Bitwise.scala 103:75] + wire [31:0] _T_1132 = _T_1127 | _T_1131; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_56 = {{8'd0}, _T_1132[31:8]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1137 = _GEN_56 & 32'hff00ff; // @[Bitwise.scala 103:31] + wire [31:0] _T_1139 = {_T_1132[23:0], 8'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1141 = _T_1139 & 32'hff00ff00; // @[Bitwise.scala 103:75] + wire [31:0] _T_1142 = _T_1137 | _T_1141; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_57 = {{4'd0}, _T_1142[31:4]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1147 = _GEN_57 & 32'hf0f0f0f; // @[Bitwise.scala 103:31] + wire [31:0] _T_1149 = {_T_1142[27:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1151 = _T_1149 & 32'hf0f0f0f0; // @[Bitwise.scala 103:75] + wire [31:0] _T_1152 = _T_1147 | _T_1151; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_58 = {{2'd0}, _T_1152[31:2]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1157 = _GEN_58 & 32'h33333333; // @[Bitwise.scala 103:31] + wire [31:0] _T_1159 = {_T_1152[29:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1161 = _T_1159 & 32'hcccccccc; // @[Bitwise.scala 103:75] + wire [31:0] _T_1162 = _T_1157 | _T_1161; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_59 = {{1'd0}, _T_1162[31:1]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1167 = _GEN_59 & 32'h55555555; // @[Bitwise.scala 103:31] + wire [31:0] _T_1169 = {_T_1162[30:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1171 = _T_1169 & 32'haaaaaaaa; // @[Bitwise.scala 103:75] + reg [31:0] _T_1173; // @[lsu_dccm_ctl.scala 259:72] + wire _T_1177 = io_lsu_stbuf_commit_any & dccm_wr_bypass_d_m_hi; // @[lsu_dccm_ctl.scala 260:211] + wire [7:0] _T_1181 = _T_1177 ? io_stbuf_data_any[7:0] : io_sec_data_hi_m[7:0]; // @[lsu_dccm_ctl.scala 260:185] + wire [7:0] _T_1182 = store_byteen_ext_m[4] ? store_data_hi_m[7:0] : _T_1181; // @[lsu_dccm_ctl.scala 260:120] + wire [7:0] _T_1186 = {{4'd0}, _T_1182[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1188 = {_T_1182[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1190 = _T_1188 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1191 = _T_1186 | _T_1190; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_60 = {{2'd0}, _T_1191[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1196 = _GEN_60 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1198 = {_T_1191[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1200 = _T_1198 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1201 = _T_1196 | _T_1200; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_61 = {{1'd0}, _T_1201[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1206 = _GEN_61 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1208 = {_T_1201[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1210 = _T_1208 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1211 = _T_1206 | _T_1210; // @[Bitwise.scala 103:39] + wire [7:0] _T_1219 = _T_1177 ? io_stbuf_data_any[15:8] : io_sec_data_hi_m[15:8]; // @[lsu_dccm_ctl.scala 260:185] + wire [7:0] _T_1220 = store_byteen_ext_m[5] ? store_data_hi_m[15:8] : _T_1219; // @[lsu_dccm_ctl.scala 260:120] + wire [7:0] _T_1224 = {{4'd0}, _T_1220[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1226 = {_T_1220[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1228 = _T_1226 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1229 = _T_1224 | _T_1228; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_62 = {{2'd0}, _T_1229[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1234 = _GEN_62 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1236 = {_T_1229[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1238 = _T_1236 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1239 = _T_1234 | _T_1238; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_63 = {{1'd0}, _T_1239[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1244 = _GEN_63 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1246 = {_T_1239[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1248 = _T_1246 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1249 = _T_1244 | _T_1248; // @[Bitwise.scala 103:39] + wire [7:0] _T_1257 = _T_1177 ? io_stbuf_data_any[23:16] : io_sec_data_hi_m[23:16]; // @[lsu_dccm_ctl.scala 260:185] + wire [7:0] _T_1258 = store_byteen_ext_m[6] ? store_data_hi_m[23:16] : _T_1257; // @[lsu_dccm_ctl.scala 260:120] + wire [7:0] _T_1262 = {{4'd0}, _T_1258[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1264 = {_T_1258[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1266 = _T_1264 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1267 = _T_1262 | _T_1266; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_64 = {{2'd0}, _T_1267[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1272 = _GEN_64 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1274 = {_T_1267[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1276 = _T_1274 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1277 = _T_1272 | _T_1276; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_65 = {{1'd0}, _T_1277[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1282 = _GEN_65 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1284 = {_T_1277[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1286 = _T_1284 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1287 = _T_1282 | _T_1286; // @[Bitwise.scala 103:39] + wire [7:0] _T_1295 = _T_1177 ? io_stbuf_data_any[31:24] : io_sec_data_hi_m[31:24]; // @[lsu_dccm_ctl.scala 260:185] + wire [7:0] _T_1296 = store_byteen_ext_m[7] ? store_data_hi_m[31:24] : _T_1295; // @[lsu_dccm_ctl.scala 260:120] + wire [7:0] _T_1300 = {{4'd0}, _T_1296[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1302 = {_T_1296[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1304 = _T_1302 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1305 = _T_1300 | _T_1304; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_66 = {{2'd0}, _T_1305[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1310 = _GEN_66 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1312 = {_T_1305[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1314 = _T_1312 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1315 = _T_1310 | _T_1314; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_67 = {{1'd0}, _T_1315[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1320 = _GEN_67 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1322 = {_T_1315[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1324 = _T_1322 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1325 = _T_1320 | _T_1324; // @[Bitwise.scala 103:39] + wire [31:0] _T_1329 = {_T_1211,_T_1249,_T_1287,_T_1325}; // @[Cat.scala 29:58] + wire [31:0] _T_1333 = {{16'd0}, _T_1329[31:16]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1335 = {_T_1329[15:0], 16'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1337 = _T_1335 & 32'hffff0000; // @[Bitwise.scala 103:75] + wire [31:0] _T_1338 = _T_1333 | _T_1337; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_68 = {{8'd0}, _T_1338[31:8]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1343 = _GEN_68 & 32'hff00ff; // @[Bitwise.scala 103:31] + wire [31:0] _T_1345 = {_T_1338[23:0], 8'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1347 = _T_1345 & 32'hff00ff00; // @[Bitwise.scala 103:75] + wire [31:0] _T_1348 = _T_1343 | _T_1347; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_69 = {{4'd0}, _T_1348[31:4]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1353 = _GEN_69 & 32'hf0f0f0f; // @[Bitwise.scala 103:31] + wire [31:0] _T_1355 = {_T_1348[27:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1357 = _T_1355 & 32'hf0f0f0f0; // @[Bitwise.scala 103:75] + wire [31:0] _T_1358 = _T_1353 | _T_1357; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_70 = {{2'd0}, _T_1358[31:2]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1363 = _GEN_70 & 32'h33333333; // @[Bitwise.scala 103:31] + wire [31:0] _T_1365 = {_T_1358[29:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1367 = _T_1365 & 32'hcccccccc; // @[Bitwise.scala 103:75] + wire [31:0] _T_1368 = _T_1363 | _T_1367; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_71 = {{1'd0}, _T_1368[31:1]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1373 = _GEN_71 & 32'h55555555; // @[Bitwise.scala 103:31] + wire [31:0] _T_1375 = {_T_1368[30:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1377 = _T_1375 & 32'haaaaaaaa; // @[Bitwise.scala 103:75] + reg [31:0] _T_1379; // @[lsu_dccm_ctl.scala 260:72] + wire _T_1380 = io_lsu_stbuf_commit_any & dccm_wr_bypass_d_r_lo; // @[lsu_dccm_ctl.scala 261:105] + wire [7:0] store_byteen_ext_r = {{1'd0}, _T_947}; // @[lsu_dccm_ctl.scala 220:22] + wire _T_1382 = ~store_byteen_ext_r[0]; // @[lsu_dccm_ctl.scala 261:131] + wire _T_1383 = _T_1380 & _T_1382; // @[lsu_dccm_ctl.scala 261:129] + wire [7:0] _T_1387 = _T_1383 ? io_stbuf_data_any[7:0] : io_store_data_lo_r[7:0]; // @[lsu_dccm_ctl.scala 261:79] + wire [7:0] _T_1391 = {{4'd0}, _T_1387[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1393 = {_T_1387[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1395 = _T_1393 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1396 = _T_1391 | _T_1395; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_72 = {{2'd0}, _T_1396[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1401 = _GEN_72 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1403 = {_T_1396[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1405 = _T_1403 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1406 = _T_1401 | _T_1405; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_73 = {{1'd0}, _T_1406[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1411 = _GEN_73 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1413 = {_T_1406[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1415 = _T_1413 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1416 = _T_1411 | _T_1415; // @[Bitwise.scala 103:39] + wire _T_1419 = ~store_byteen_ext_r[1]; // @[lsu_dccm_ctl.scala 261:131] + wire _T_1420 = _T_1380 & _T_1419; // @[lsu_dccm_ctl.scala 261:129] + wire [7:0] _T_1424 = _T_1420 ? io_stbuf_data_any[15:8] : io_store_data_lo_r[15:8]; // @[lsu_dccm_ctl.scala 261:79] + wire [7:0] _T_1428 = {{4'd0}, _T_1424[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1430 = {_T_1424[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1432 = _T_1430 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1433 = _T_1428 | _T_1432; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_74 = {{2'd0}, _T_1433[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1438 = _GEN_74 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1440 = {_T_1433[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1442 = _T_1440 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1443 = _T_1438 | _T_1442; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_75 = {{1'd0}, _T_1443[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1448 = _GEN_75 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1450 = {_T_1443[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1452 = _T_1450 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1453 = _T_1448 | _T_1452; // @[Bitwise.scala 103:39] + wire _T_1456 = ~store_byteen_ext_r[2]; // @[lsu_dccm_ctl.scala 261:131] + wire _T_1457 = _T_1380 & _T_1456; // @[lsu_dccm_ctl.scala 261:129] + wire [7:0] _T_1461 = _T_1457 ? io_stbuf_data_any[23:16] : io_store_data_lo_r[23:16]; // @[lsu_dccm_ctl.scala 261:79] + wire [7:0] _T_1465 = {{4'd0}, _T_1461[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1467 = {_T_1461[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1469 = _T_1467 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1470 = _T_1465 | _T_1469; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_76 = {{2'd0}, _T_1470[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1475 = _GEN_76 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1477 = {_T_1470[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1479 = _T_1477 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1480 = _T_1475 | _T_1479; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_77 = {{1'd0}, _T_1480[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1485 = _GEN_77 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1487 = {_T_1480[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1489 = _T_1487 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1490 = _T_1485 | _T_1489; // @[Bitwise.scala 103:39] + wire _T_1493 = ~store_byteen_ext_r[3]; // @[lsu_dccm_ctl.scala 261:131] + wire _T_1494 = _T_1380 & _T_1493; // @[lsu_dccm_ctl.scala 261:129] + wire [7:0] _T_1498 = _T_1494 ? io_stbuf_data_any[31:24] : io_store_data_lo_r[31:24]; // @[lsu_dccm_ctl.scala 261:79] + wire [7:0] _T_1502 = {{4'd0}, _T_1498[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1504 = {_T_1498[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1506 = _T_1504 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1507 = _T_1502 | _T_1506; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_78 = {{2'd0}, _T_1507[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1512 = _GEN_78 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1514 = {_T_1507[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1516 = _T_1514 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1517 = _T_1512 | _T_1516; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_79 = {{1'd0}, _T_1517[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1522 = _GEN_79 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1524 = {_T_1517[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1526 = _T_1524 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1527 = _T_1522 | _T_1526; // @[Bitwise.scala 103:39] + wire [31:0] _T_1531 = {_T_1416,_T_1453,_T_1490,_T_1527}; // @[Cat.scala 29:58] + wire [31:0] _T_1535 = {{16'd0}, _T_1531[31:16]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1537 = {_T_1531[15:0], 16'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1539 = _T_1537 & 32'hffff0000; // @[Bitwise.scala 103:75] + wire [31:0] _T_1540 = _T_1535 | _T_1539; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_80 = {{8'd0}, _T_1540[31:8]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1545 = _GEN_80 & 32'hff00ff; // @[Bitwise.scala 103:31] + wire [31:0] _T_1547 = {_T_1540[23:0], 8'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1549 = _T_1547 & 32'hff00ff00; // @[Bitwise.scala 103:75] + wire [31:0] _T_1550 = _T_1545 | _T_1549; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_81 = {{4'd0}, _T_1550[31:4]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1555 = _GEN_81 & 32'hf0f0f0f; // @[Bitwise.scala 103:31] + wire [31:0] _T_1557 = {_T_1550[27:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1559 = _T_1557 & 32'hf0f0f0f0; // @[Bitwise.scala 103:75] + wire [31:0] _T_1560 = _T_1555 | _T_1559; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_82 = {{2'd0}, _T_1560[31:2]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1565 = _GEN_82 & 32'h33333333; // @[Bitwise.scala 103:31] + wire [31:0] _T_1567 = {_T_1560[29:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1569 = _T_1567 & 32'hcccccccc; // @[Bitwise.scala 103:75] + wire [31:0] _T_1570 = _T_1565 | _T_1569; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_83 = {{1'd0}, _T_1570[31:1]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1575 = _GEN_83 & 32'h55555555; // @[Bitwise.scala 103:31] + wire [31:0] _T_1577 = {_T_1570[30:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1579 = _T_1577 & 32'haaaaaaaa; // @[Bitwise.scala 103:75] + wire _T_1581 = io_lsu_stbuf_commit_any & dccm_wr_bypass_d_r_hi; // @[lsu_dccm_ctl.scala 262:105] + wire _T_1583 = ~store_byteen_ext_r[4]; // @[lsu_dccm_ctl.scala 262:131] + wire _T_1584 = _T_1581 & _T_1583; // @[lsu_dccm_ctl.scala 262:129] + wire [7:0] _T_1588 = _T_1584 ? io_stbuf_data_any[7:0] : io_store_data_hi_r[7:0]; // @[lsu_dccm_ctl.scala 262:79] + wire [7:0] _T_1592 = {{4'd0}, _T_1588[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1594 = {_T_1588[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1596 = _T_1594 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1597 = _T_1592 | _T_1596; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_84 = {{2'd0}, _T_1597[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1602 = _GEN_84 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1604 = {_T_1597[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1606 = _T_1604 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1607 = _T_1602 | _T_1606; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_85 = {{1'd0}, _T_1607[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1612 = _GEN_85 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1614 = {_T_1607[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1616 = _T_1614 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1617 = _T_1612 | _T_1616; // @[Bitwise.scala 103:39] + wire _T_1620 = ~store_byteen_ext_r[5]; // @[lsu_dccm_ctl.scala 262:131] + wire _T_1621 = _T_1581 & _T_1620; // @[lsu_dccm_ctl.scala 262:129] + wire [7:0] _T_1625 = _T_1621 ? io_stbuf_data_any[15:8] : io_store_data_hi_r[15:8]; // @[lsu_dccm_ctl.scala 262:79] + wire [7:0] _T_1629 = {{4'd0}, _T_1625[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1631 = {_T_1625[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1633 = _T_1631 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1634 = _T_1629 | _T_1633; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_86 = {{2'd0}, _T_1634[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1639 = _GEN_86 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1641 = {_T_1634[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1643 = _T_1641 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1644 = _T_1639 | _T_1643; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_87 = {{1'd0}, _T_1644[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1649 = _GEN_87 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1651 = {_T_1644[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1653 = _T_1651 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1654 = _T_1649 | _T_1653; // @[Bitwise.scala 103:39] + wire _T_1657 = ~store_byteen_ext_r[6]; // @[lsu_dccm_ctl.scala 262:131] + wire _T_1658 = _T_1581 & _T_1657; // @[lsu_dccm_ctl.scala 262:129] + wire [7:0] _T_1662 = _T_1658 ? io_stbuf_data_any[23:16] : io_store_data_hi_r[23:16]; // @[lsu_dccm_ctl.scala 262:79] + wire [7:0] _T_1666 = {{4'd0}, _T_1662[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1668 = {_T_1662[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1670 = _T_1668 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1671 = _T_1666 | _T_1670; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_88 = {{2'd0}, _T_1671[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1676 = _GEN_88 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1678 = {_T_1671[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1680 = _T_1678 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1681 = _T_1676 | _T_1680; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_89 = {{1'd0}, _T_1681[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1686 = _GEN_89 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1688 = {_T_1681[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1690 = _T_1688 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1691 = _T_1686 | _T_1690; // @[Bitwise.scala 103:39] + wire _T_1694 = ~store_byteen_ext_r[7]; // @[lsu_dccm_ctl.scala 262:131] + wire _T_1695 = _T_1581 & _T_1694; // @[lsu_dccm_ctl.scala 262:129] + wire [7:0] _T_1699 = _T_1695 ? io_stbuf_data_any[31:24] : io_store_data_hi_r[31:24]; // @[lsu_dccm_ctl.scala 262:79] + wire [7:0] _T_1703 = {{4'd0}, _T_1699[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1705 = {_T_1699[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1707 = _T_1705 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1708 = _T_1703 | _T_1707; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_90 = {{2'd0}, _T_1708[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1713 = _GEN_90 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1715 = {_T_1708[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1717 = _T_1715 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1718 = _T_1713 | _T_1717; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_91 = {{1'd0}, _T_1718[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1723 = _GEN_91 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1725 = {_T_1718[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1727 = _T_1725 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1728 = _T_1723 | _T_1727; // @[Bitwise.scala 103:39] + wire [31:0] _T_1732 = {_T_1617,_T_1654,_T_1691,_T_1728}; // @[Cat.scala 29:58] + wire [31:0] _T_1736 = {{16'd0}, _T_1732[31:16]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1738 = {_T_1732[15:0], 16'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1740 = _T_1738 & 32'hffff0000; // @[Bitwise.scala 103:75] + wire [31:0] _T_1741 = _T_1736 | _T_1740; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_92 = {{8'd0}, _T_1741[31:8]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1746 = _GEN_92 & 32'hff00ff; // @[Bitwise.scala 103:31] + wire [31:0] _T_1748 = {_T_1741[23:0], 8'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1750 = _T_1748 & 32'hff00ff00; // @[Bitwise.scala 103:75] + wire [31:0] _T_1751 = _T_1746 | _T_1750; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_93 = {{4'd0}, _T_1751[31:4]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1756 = _GEN_93 & 32'hf0f0f0f; // @[Bitwise.scala 103:31] + wire [31:0] _T_1758 = {_T_1751[27:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1760 = _T_1758 & 32'hf0f0f0f0; // @[Bitwise.scala 103:75] + wire [31:0] _T_1761 = _T_1756 | _T_1760; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_94 = {{2'd0}, _T_1761[31:2]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1766 = _GEN_94 & 32'h33333333; // @[Bitwise.scala 103:31] + wire [31:0] _T_1768 = {_T_1761[29:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1770 = _T_1768 & 32'hcccccccc; // @[Bitwise.scala 103:75] + wire [31:0] _T_1771 = _T_1766 | _T_1770; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_95 = {{1'd0}, _T_1771[31:1]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1776 = _GEN_95 & 32'h55555555; // @[Bitwise.scala 103:31] + wire [31:0] _T_1778 = {_T_1771[30:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1780 = _T_1778 & 32'haaaaaaaa; // @[Bitwise.scala 103:75] + wire [63:0] _T_1784 = {io_store_data_hi_r,io_store_data_lo_r}; // @[Cat.scala 29:58] + wire [3:0] _GEN_96 = {{2'd0}, io_lsu_addr_r[1:0]}; // @[lsu_dccm_ctl.scala 263:94] + wire [5:0] _T_1786 = 4'h8 * _GEN_96; // @[lsu_dccm_ctl.scala 263:94] + wire [63:0] _T_1787 = _T_1784 >> _T_1786; // @[lsu_dccm_ctl.scala 263:88] + wire [7:0] _T_1790 = store_byteen_r[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1793 = store_byteen_r[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1796 = store_byteen_r[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1799 = store_byteen_r[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_1803 = {_T_1790,_T_1793,_T_1796,_T_1799}; // @[Cat.scala 29:58] + wire [31:0] _T_1807 = {{16'd0}, _T_1803[31:16]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1809 = {_T_1803[15:0], 16'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1811 = _T_1809 & 32'hffff0000; // @[Bitwise.scala 103:75] + wire [31:0] _T_1812 = _T_1807 | _T_1811; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_97 = {{8'd0}, _T_1812[31:8]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1817 = _GEN_97 & 32'hff00ff; // @[Bitwise.scala 103:31] + wire [31:0] _T_1819 = {_T_1812[23:0], 8'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1821 = _T_1819 & 32'hff00ff00; // @[Bitwise.scala 103:75] + wire [31:0] _T_1822 = _T_1817 | _T_1821; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_98 = {{4'd0}, _T_1822[31:4]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1827 = _GEN_98 & 32'hf0f0f0f; // @[Bitwise.scala 103:31] + wire [31:0] _T_1829 = {_T_1822[27:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1831 = _T_1829 & 32'hf0f0f0f0; // @[Bitwise.scala 103:75] + wire [31:0] _T_1832 = _T_1827 | _T_1831; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_99 = {{2'd0}, _T_1832[31:2]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1837 = _GEN_99 & 32'h33333333; // @[Bitwise.scala 103:31] + wire [31:0] _T_1839 = {_T_1832[29:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1841 = _T_1839 & 32'hcccccccc; // @[Bitwise.scala 103:75] + wire [31:0] _T_1842 = _T_1837 | _T_1841; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_100 = {{1'd0}, _T_1842[31:1]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1847 = _GEN_100 & 32'h55555555; // @[Bitwise.scala 103:31] + wire [31:0] _T_1849 = {_T_1842[30:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1851 = _T_1849 & 32'haaaaaaaa; // @[Bitwise.scala 103:75] + wire [31:0] _T_1852 = _T_1847 | _T_1851; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_101 = {{32'd0}, _T_1852}; // @[lsu_dccm_ctl.scala 263:115] + wire [63:0] _T_1853 = _T_1787 & _GEN_101; // @[lsu_dccm_ctl.scala 263:115] + wire _T_1858 = io_lsu_pkt_r_valid & io_lsu_pkt_r_bits_store; // @[lsu_dccm_ctl.scala 270:58] + wire _T_1859 = _T_1858 & io_addr_in_pic_r; // @[lsu_dccm_ctl.scala 270:84] + wire _T_1860 = _T_1859 & io_lsu_commit_r; // @[lsu_dccm_ctl.scala 270:103] + wire _T_1862 = io_lsu_pkt_d_valid & io_lsu_pkt_d_bits_load; // @[lsu_dccm_ctl.scala 271:58] + wire _T_1864 = io_lsu_pkt_d_valid & io_lsu_pkt_d_bits_store; // @[lsu_dccm_ctl.scala 272:58] + wire [31:0] _T_1868 = {17'h0,io_lsu_addr_d[14:0]}; // @[Cat.scala 29:58] + wire [14:0] _T_1874 = io_dma_pic_wen ? io_dma_dccm_ctl_dma_mem_addr[14:0] : io_lsu_addr_r[14:0]; // @[lsu_dccm_ctl.scala 274:93] + wire [31:0] _T_1875 = {17'h0,_T_1874}; // @[Cat.scala 29:58] + reg _T_1882; // @[lsu_dccm_ctl.scala 279:61] + rvclkhdr rvclkhdr ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + assign io_lsu_ld_data_corr_r = _T_2[31:0]; // @[lsu_dccm_ctl.scala 147:28] + assign io_dccm_rdata_hi_m = io_dccm_rd_data_hi[31:0]; // @[lsu_dccm_ctl.scala 266:27] + assign io_dccm_rdata_lo_m = io_dccm_rd_data_lo[31:0]; // @[lsu_dccm_ctl.scala 265:27] + assign io_dccm_data_ecc_hi_m = io_dccm_rd_data_hi[38:32]; // @[lsu_dccm_ctl.scala 268:27] + assign io_dccm_data_ecc_lo_m = io_dccm_rd_data_lo[38:32]; // @[lsu_dccm_ctl.scala 267:27] + assign io_lsu_ld_data_m = _T_763[31:0]; // @[lsu_dccm_ctl.scala 150:28] + assign io_store_data_hi_r = _T_1379; // @[lsu_dccm_ctl.scala 260:29] + assign io_store_data_lo_r = _T_1173; // @[lsu_dccm_ctl.scala 259:29] + assign io_store_datafn_hi_r = _T_1776 | _T_1780; // @[lsu_dccm_ctl.scala 262:29] + assign io_store_datafn_lo_r = _T_1575 | _T_1579; // @[lsu_dccm_ctl.scala 261:29] + assign io_store_data_r = _T_1853[31:0]; // @[lsu_dccm_ctl.scala 263:29] + assign io_ld_single_ecc_error_r = _T_815 & _T_816; // @[lsu_dccm_ctl.scala 163:34] + assign io_ld_single_ecc_error_r_ff = _T_838 & _T_839; // @[lsu_dccm_ctl.scala 176:31] + assign io_picm_mask_data_m = picm_rd_data_m[31:0]; // @[lsu_dccm_ctl.scala 275:27] + assign io_lsu_stbuf_commit_any = io_stbuf_reqvld_any & _T_853; // @[lsu_dccm_ctl.scala 177:31] + assign io_lsu_dccm_rden_m = _T_1882; // @[lsu_dccm_ctl.scala 279:24] + assign io_dma_dccm_ctl_dccm_dma_rvalid = _T & io_lsu_pkt_m_bits_dma; // @[lsu_dccm_ctl.scala 137:41] + assign io_dma_dccm_ctl_dccm_dma_ecc_error = io_lsu_double_ecc_error_m; // @[lsu_dccm_ctl.scala 138:41] + assign io_dma_dccm_ctl_dccm_dma_rtag = io_dma_mem_tag_m; // @[lsu_dccm_ctl.scala 140:41] + assign io_dma_dccm_ctl_dccm_dma_rdata = _T_376 | _T_380; // @[lsu_dccm_ctl.scala 139:41] + assign io_dccm_wren = _T_855 | io_ld_single_ecc_error_r_ff; // @[lsu_dccm_ctl.scala 183:22] + assign io_dccm_rden = lsu_dccm_rden_d & io_addr_in_dccm_d; // @[lsu_dccm_ctl.scala 184:22] + assign io_dccm_wr_addr_lo = io_ld_single_ecc_error_r_ff ? _T_862 : _T_866; // @[lsu_dccm_ctl.scala 186:22] + assign io_dccm_wr_addr_hi = io_ld_single_ecc_error_r_ff ? _T_872 : _T_876; // @[lsu_dccm_ctl.scala 190:22] + assign io_dccm_rd_addr_lo = io_lsu_addr_d[15:0]; // @[lsu_dccm_ctl.scala 194:22] + assign io_dccm_rd_addr_hi = io_end_addr_d; // @[lsu_dccm_ctl.scala 195:22] + assign io_dccm_wr_data_lo = io_ld_single_ecc_error_r_ff ? _T_888 : _T_896; // @[lsu_dccm_ctl.scala 197:22] + assign io_dccm_wr_data_hi = io_ld_single_ecc_error_r_ff ? _T_906 : _T_914; // @[lsu_dccm_ctl.scala 203:22] + assign io_lsu_pic_picm_wren = _T_1860 | io_dma_pic_wen; // @[lsu_dccm_ctl.scala 270:35] + assign io_lsu_pic_picm_rden = _T_1862 & io_addr_in_pic_d; // @[lsu_dccm_ctl.scala 271:35] + assign io_lsu_pic_picm_mken = _T_1864 & io_addr_in_pic_d; // @[lsu_dccm_ctl.scala 272:35] + assign io_lsu_pic_picm_rdaddr = 32'hf00c0000 | _T_1868; // @[lsu_dccm_ctl.scala 273:35] + assign io_lsu_pic_picm_wraddr = 32'hf00c0000 | _T_1875; // @[lsu_dccm_ctl.scala 274:35] + assign io_lsu_pic_picm_wr_data = io_dma_pic_wen ? io_dma_dccm_ctl_dma_mem_wdata[31:0] : io_store_datafn_lo_r; // @[lsu_dccm_ctl.scala 276:35] + assign rvclkhdr_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_io_en = io_ld_single_ecc_error_r; // @[lib.scala 371:17] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_1_io_en = io_ld_single_ecc_error_r; // @[lib.scala 371:17] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {2{`RANDOM}}; + _T_2 = _RAND_0[63:0]; + _RAND_1 = {1{`RANDOM}}; + lsu_double_ecc_error_r_ff = _RAND_1[0:0]; + _RAND_2 = {1{`RANDOM}}; + ld_single_ecc_error_hi_r_ff = _RAND_2[0:0]; + _RAND_3 = {1{`RANDOM}}; + ld_single_ecc_error_lo_r_ff = _RAND_3[0:0]; + _RAND_4 = {1{`RANDOM}}; + ld_sec_addr_hi_r_ff = _RAND_4[15:0]; + _RAND_5 = {1{`RANDOM}}; + ld_sec_addr_lo_r_ff = _RAND_5[15:0]; + _RAND_6 = {1{`RANDOM}}; + _T_1173 = _RAND_6[31:0]; + _RAND_7 = {1{`RANDOM}}; + _T_1379 = _RAND_7[31:0]; + _RAND_8 = {1{`RANDOM}}; + _T_1882 = _RAND_8[0:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + _T_2 = 64'h0; + end + if (reset) begin + lsu_double_ecc_error_r_ff = 1'h0; + end + if (reset) begin + ld_single_ecc_error_hi_r_ff = 1'h0; + end + if (reset) begin + ld_single_ecc_error_lo_r_ff = 1'h0; + end + if (reset) begin + ld_sec_addr_hi_r_ff = 16'h0; + end + if (reset) begin + ld_sec_addr_lo_r_ff = 16'h0; + end + if (reset) begin + _T_1173 = 32'h0; + end + if (reset) begin + _T_1379 = 32'h0; + end + if (reset) begin + _T_1882 = 1'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_2 <= 64'h0; + end else begin + _T_2 <= lsu_rdata_corr_m >> _T_762; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + lsu_double_ecc_error_r_ff <= 1'h0; + end else begin + lsu_double_ecc_error_r_ff <= io_lsu_double_ecc_error_r; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + ld_single_ecc_error_hi_r_ff <= 1'h0; + end else begin + ld_single_ecc_error_hi_r_ff <= _T_822 & _T_823; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + ld_single_ecc_error_lo_r_ff <= 1'h0; + end else begin + ld_single_ecc_error_lo_r_ff <= _T_819 & _T_820; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + ld_sec_addr_hi_r_ff <= 16'h0; + end else begin + ld_sec_addr_hi_r_ff <= io_end_addr_r; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + ld_sec_addr_lo_r_ff <= 16'h0; + end else begin + ld_sec_addr_lo_r_ff <= io_lsu_addr_r[15:0]; + end + end + always @(posedge io_lsu_store_c1_r_clk or posedge reset) begin + if (reset) begin + _T_1173 <= 32'h0; + end else begin + _T_1173 <= _T_1167 | _T_1171; + end + end + always @(posedge io_lsu_store_c1_r_clk or posedge reset) begin + if (reset) begin + _T_1379 <= 32'h0; + end else begin + _T_1379 <= _T_1373 | _T_1377; + end + end + always @(posedge io_lsu_c2_m_clk or posedge reset) begin + if (reset) begin + _T_1882 <= 1'h0; + end else begin + _T_1882 <= _T_837 & io_addr_in_dccm_d; + end + end +endmodule +module lsu_stbuf( + input clock, + input reset, + input io_lsu_c1_m_clk, + input io_lsu_c1_r_clk, + input io_lsu_stbuf_c1_clk, + input io_lsu_free_c2_clk, + input io_lsu_pkt_m_valid, + input io_lsu_pkt_m_bits_store, + input io_lsu_pkt_m_bits_dma, + input io_lsu_pkt_r_valid, + input io_lsu_pkt_r_bits_by, + input io_lsu_pkt_r_bits_half, + input io_lsu_pkt_r_bits_word, + input io_lsu_pkt_r_bits_dword, + input io_lsu_pkt_r_bits_store, + input io_lsu_pkt_r_bits_dma, + input io_store_stbuf_reqvld_r, + input io_lsu_commit_r, + input io_dec_lsu_valid_raw_d, + input [31:0] io_store_data_hi_r, + input [31:0] io_store_data_lo_r, + input [31:0] io_store_datafn_hi_r, + input [31:0] io_store_datafn_lo_r, + input io_lsu_stbuf_commit_any, + input [15:0] io_lsu_addr_d, + input [31:0] io_lsu_addr_m, + input [31:0] io_lsu_addr_r, + input [15:0] io_end_addr_d, + input [31:0] io_end_addr_m, + input [31:0] io_end_addr_r, + input io_addr_in_dccm_m, + input io_addr_in_dccm_r, + input io_scan_mode, + output io_stbuf_reqvld_any, + output io_stbuf_reqvld_flushed_any, + output [15:0] io_stbuf_addr_any, + output [31:0] io_stbuf_data_any, + output io_lsu_stbuf_full_any, + output io_lsu_stbuf_empty_any, + output io_ldst_stbuf_reqvld_r, + output [31:0] io_stbuf_fwddata_hi_m, + output [31:0] io_stbuf_fwddata_lo_m, + output [3:0] io_stbuf_fwdbyteen_hi_m, + output [3:0] io_stbuf_fwdbyteen_lo_m +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; + reg [31:0] _RAND_11; + reg [31:0] _RAND_12; + reg [31:0] _RAND_13; + reg [31:0] _RAND_14; + reg [31:0] _RAND_15; + reg [31:0] _RAND_16; + reg [31:0] _RAND_17; + reg [31:0] _RAND_18; + reg [31:0] _RAND_19; + reg [31:0] _RAND_20; + reg [31:0] _RAND_21; + reg [31:0] _RAND_22; + reg [31:0] _RAND_23; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_io_en; // @[lib.scala 368:23] + wire rvclkhdr_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_en; // @[lib.scala 368:23] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_en; // @[lib.scala 368:23] + wire rvclkhdr_2_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_en; // @[lib.scala 368:23] + wire rvclkhdr_3_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_4_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_en; // @[lib.scala 368:23] + wire rvclkhdr_4_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_5_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_en; // @[lib.scala 368:23] + wire rvclkhdr_5_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_6_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_6_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_6_io_en; // @[lib.scala 368:23] + wire rvclkhdr_6_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_7_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_7_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_7_io_en; // @[lib.scala 368:23] + wire rvclkhdr_7_io_scan_mode; // @[lib.scala 368:23] + wire [1:0] _T_5 = io_lsu_pkt_r_bits_half ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [3:0] _T_6 = io_lsu_pkt_r_bits_word ? 4'hf : 4'h0; // @[Mux.scala 27:72] + wire [7:0] _T_7 = io_lsu_pkt_r_bits_dword ? 8'hff : 8'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_10 = {{1'd0}, io_lsu_pkt_r_bits_by}; // @[Mux.scala 27:72] + wire [1:0] _T_8 = _GEN_10 | _T_5; // @[Mux.scala 27:72] + wire [3:0] _GEN_11 = {{2'd0}, _T_8}; // @[Mux.scala 27:72] + wire [3:0] _T_9 = _GEN_11 | _T_6; // @[Mux.scala 27:72] + wire [7:0] _GEN_12 = {{4'd0}, _T_9}; // @[Mux.scala 27:72] + wire [7:0] ldst_byteen_r = _GEN_12 | _T_7; // @[Mux.scala 27:72] + wire ldst_dual_d = io_lsu_addr_d[2] != io_end_addr_d[2]; // @[lsu_stbuf.scala 117:39] + reg ldst_dual_r; // @[lsu_stbuf.scala 171:52] + wire dual_stbuf_write_r = ldst_dual_r & io_store_stbuf_reqvld_r; // @[lsu_stbuf.scala 118:40] + wire [10:0] _GEN_13 = {{3'd0}, ldst_byteen_r}; // @[lsu_stbuf.scala 120:39] + wire [10:0] _T_14 = _GEN_13 << io_lsu_addr_r[1:0]; // @[lsu_stbuf.scala 120:39] + wire [7:0] store_byteen_ext_r = _T_14[7:0]; // @[lsu_stbuf.scala 120:22] + wire [3:0] _T_17 = io_lsu_pkt_r_bits_store ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] store_byteen_hi_r = store_byteen_ext_r[7:4] & _T_17; // @[lsu_stbuf.scala 121:52] + wire [3:0] store_byteen_lo_r = store_byteen_ext_r[3:0] & _T_17; // @[lsu_stbuf.scala 122:52] + reg [1:0] RdPtr; // @[Reg.scala 27:20] + wire [1:0] RdPtrPlus1 = RdPtr + 2'h1; // @[lsu_stbuf.scala 124:26] + reg [1:0] WrPtr; // @[Reg.scala 27:20] + wire [1:0] WrPtrPlus1 = WrPtr + 2'h1; // @[lsu_stbuf.scala 125:26] + wire [1:0] WrPtrPlus2 = WrPtr + 2'h2; // @[lsu_stbuf.scala 126:26] + reg [15:0] stbuf_addr_0; // @[lib.scala 374:16] + wire _T_27 = stbuf_addr_0[15:2] == io_lsu_addr_r[15:2]; // @[lsu_stbuf.scala 130:120] + reg _T_588; // @[lsu_stbuf.scala 163:88] + reg _T_580; // @[lsu_stbuf.scala 163:88] + reg _T_572; // @[lsu_stbuf.scala 163:88] + reg _T_564; // @[lsu_stbuf.scala 163:88] + wire [3:0] stbuf_vld = {_T_588,_T_580,_T_572,_T_564}; // @[Cat.scala 29:58] + wire _T_29 = _T_27 & stbuf_vld[0]; // @[lsu_stbuf.scala 130:179] + reg _T_623; // @[lsu_stbuf.scala 164:92] + reg _T_615; // @[lsu_stbuf.scala 164:92] + reg _T_607; // @[lsu_stbuf.scala 164:92] + reg _T_599; // @[lsu_stbuf.scala 164:92] + wire [3:0] stbuf_dma_kill = {_T_623,_T_615,_T_607,_T_599}; // @[Cat.scala 29:58] + wire _T_31 = ~stbuf_dma_kill[0]; // @[lsu_stbuf.scala 130:197] + wire _T_32 = _T_29 & _T_31; // @[lsu_stbuf.scala 130:195] + wire _T_212 = io_lsu_stbuf_commit_any | io_stbuf_reqvld_flushed_any; // @[lsu_stbuf.scala 141:78] + wire _T_213 = 2'h3 == RdPtr; // @[lsu_stbuf.scala 141:121] + wire _T_215 = _T_212 & _T_213; // @[lsu_stbuf.scala 141:109] + wire _T_209 = 2'h2 == RdPtr; // @[lsu_stbuf.scala 141:121] + wire _T_211 = _T_212 & _T_209; // @[lsu_stbuf.scala 141:109] + wire _T_205 = 2'h1 == RdPtr; // @[lsu_stbuf.scala 141:121] + wire _T_207 = _T_212 & _T_205; // @[lsu_stbuf.scala 141:109] + wire _T_201 = 2'h0 == RdPtr; // @[lsu_stbuf.scala 141:121] + wire _T_203 = _T_212 & _T_201; // @[lsu_stbuf.scala 141:109] + wire [3:0] stbuf_reset = {_T_215,_T_211,_T_207,_T_203}; // @[Cat.scala 29:58] + wire _T_34 = ~stbuf_reset[0]; // @[lsu_stbuf.scala 130:218] + wire _T_35 = _T_32 & _T_34; // @[lsu_stbuf.scala 130:216] + reg [15:0] stbuf_addr_1; // @[lib.scala 374:16] + wire _T_38 = stbuf_addr_1[15:2] == io_lsu_addr_r[15:2]; // @[lsu_stbuf.scala 130:120] + wire _T_40 = _T_38 & stbuf_vld[1]; // @[lsu_stbuf.scala 130:179] + wire _T_42 = ~stbuf_dma_kill[1]; // @[lsu_stbuf.scala 130:197] + wire _T_43 = _T_40 & _T_42; // @[lsu_stbuf.scala 130:195] + wire _T_45 = ~stbuf_reset[1]; // @[lsu_stbuf.scala 130:218] + wire _T_46 = _T_43 & _T_45; // @[lsu_stbuf.scala 130:216] + reg [15:0] stbuf_addr_2; // @[lib.scala 374:16] + wire _T_49 = stbuf_addr_2[15:2] == io_lsu_addr_r[15:2]; // @[lsu_stbuf.scala 130:120] + wire _T_51 = _T_49 & stbuf_vld[2]; // @[lsu_stbuf.scala 130:179] + wire _T_53 = ~stbuf_dma_kill[2]; // @[lsu_stbuf.scala 130:197] + wire _T_54 = _T_51 & _T_53; // @[lsu_stbuf.scala 130:195] + wire _T_56 = ~stbuf_reset[2]; // @[lsu_stbuf.scala 130:218] + wire _T_57 = _T_54 & _T_56; // @[lsu_stbuf.scala 130:216] + reg [15:0] stbuf_addr_3; // @[lib.scala 374:16] + wire _T_60 = stbuf_addr_3[15:2] == io_lsu_addr_r[15:2]; // @[lsu_stbuf.scala 130:120] + wire _T_62 = _T_60 & stbuf_vld[3]; // @[lsu_stbuf.scala 130:179] + wire _T_64 = ~stbuf_dma_kill[3]; // @[lsu_stbuf.scala 130:197] + wire _T_65 = _T_62 & _T_64; // @[lsu_stbuf.scala 130:195] + wire _T_67 = ~stbuf_reset[3]; // @[lsu_stbuf.scala 130:218] + wire _T_68 = _T_65 & _T_67; // @[lsu_stbuf.scala 130:216] + wire [3:0] store_matchvec_lo_r = {_T_68,_T_57,_T_46,_T_35}; // @[Cat.scala 29:58] + wire _T_73 = stbuf_addr_0[15:2] == io_end_addr_r[15:2]; // @[lsu_stbuf.scala 131:120] + wire _T_75 = _T_73 & stbuf_vld[0]; // @[lsu_stbuf.scala 131:179] + wire _T_78 = _T_75 & _T_31; // @[lsu_stbuf.scala 131:194] + wire _T_79 = _T_78 & dual_stbuf_write_r; // @[lsu_stbuf.scala 131:215] + wire _T_82 = _T_79 & _T_34; // @[lsu_stbuf.scala 131:236] + wire _T_85 = stbuf_addr_1[15:2] == io_end_addr_r[15:2]; // @[lsu_stbuf.scala 131:120] + wire _T_87 = _T_85 & stbuf_vld[1]; // @[lsu_stbuf.scala 131:179] + wire _T_90 = _T_87 & _T_42; // @[lsu_stbuf.scala 131:194] + wire _T_91 = _T_90 & dual_stbuf_write_r; // @[lsu_stbuf.scala 131:215] + wire _T_94 = _T_91 & _T_45; // @[lsu_stbuf.scala 131:236] + wire _T_97 = stbuf_addr_2[15:2] == io_end_addr_r[15:2]; // @[lsu_stbuf.scala 131:120] + wire _T_99 = _T_97 & stbuf_vld[2]; // @[lsu_stbuf.scala 131:179] + wire _T_102 = _T_99 & _T_53; // @[lsu_stbuf.scala 131:194] + wire _T_103 = _T_102 & dual_stbuf_write_r; // @[lsu_stbuf.scala 131:215] + wire _T_106 = _T_103 & _T_56; // @[lsu_stbuf.scala 131:236] + wire _T_109 = stbuf_addr_3[15:2] == io_end_addr_r[15:2]; // @[lsu_stbuf.scala 131:120] + wire _T_111 = _T_109 & stbuf_vld[3]; // @[lsu_stbuf.scala 131:179] + wire _T_114 = _T_111 & _T_64; // @[lsu_stbuf.scala 131:194] + wire _T_115 = _T_114 & dual_stbuf_write_r; // @[lsu_stbuf.scala 131:215] + wire _T_118 = _T_115 & _T_67; // @[lsu_stbuf.scala 131:236] + wire [3:0] store_matchvec_hi_r = {_T_118,_T_106,_T_94,_T_82}; // @[Cat.scala 29:58] + wire store_coalesce_lo_r = |store_matchvec_lo_r; // @[lsu_stbuf.scala 133:49] + wire store_coalesce_hi_r = |store_matchvec_hi_r; // @[lsu_stbuf.scala 134:49] + wire _T_121 = 2'h0 == WrPtr; // @[lsu_stbuf.scala 137:16] + wire _T_122 = ~store_coalesce_lo_r; // @[lsu_stbuf.scala 137:29] + wire _T_123 = _T_121 & _T_122; // @[lsu_stbuf.scala 137:27] + wire _T_125 = _T_121 & dual_stbuf_write_r; // @[lsu_stbuf.scala 138:29] + wire _T_126 = ~store_coalesce_hi_r; // @[lsu_stbuf.scala 138:52] + wire _T_127 = _T_125 & _T_126; // @[lsu_stbuf.scala 138:50] + wire _T_128 = _T_123 | _T_127; // @[lsu_stbuf.scala 137:51] + wire _T_129 = 2'h0 == WrPtrPlus1; // @[lsu_stbuf.scala 139:18] + wire _T_130 = _T_129 & dual_stbuf_write_r; // @[lsu_stbuf.scala 139:34] + wire _T_131 = store_coalesce_lo_r | store_coalesce_hi_r; // @[lsu_stbuf.scala 139:79] + wire _T_132 = ~_T_131; // @[lsu_stbuf.scala 139:57] + wire _T_133 = _T_130 & _T_132; // @[lsu_stbuf.scala 139:55] + wire _T_134 = _T_128 | _T_133; // @[lsu_stbuf.scala 138:74] + wire _T_136 = _T_134 | store_matchvec_lo_r[0]; // @[lsu_stbuf.scala 139:103] + wire _T_138 = _T_136 | store_matchvec_hi_r[0]; // @[lsu_stbuf.scala 140:30] + wire _T_139 = io_ldst_stbuf_reqvld_r & _T_138; // @[lsu_stbuf.scala 136:76] + wire _T_140 = 2'h1 == WrPtr; // @[lsu_stbuf.scala 137:16] + wire _T_142 = _T_140 & _T_122; // @[lsu_stbuf.scala 137:27] + wire _T_144 = _T_140 & dual_stbuf_write_r; // @[lsu_stbuf.scala 138:29] + wire _T_146 = _T_144 & _T_126; // @[lsu_stbuf.scala 138:50] + wire _T_147 = _T_142 | _T_146; // @[lsu_stbuf.scala 137:51] + wire _T_148 = 2'h1 == WrPtrPlus1; // @[lsu_stbuf.scala 139:18] + wire _T_149 = _T_148 & dual_stbuf_write_r; // @[lsu_stbuf.scala 139:34] + wire _T_152 = _T_149 & _T_132; // @[lsu_stbuf.scala 139:55] + wire _T_153 = _T_147 | _T_152; // @[lsu_stbuf.scala 138:74] + wire _T_155 = _T_153 | store_matchvec_lo_r[1]; // @[lsu_stbuf.scala 139:103] + wire _T_157 = _T_155 | store_matchvec_hi_r[1]; // @[lsu_stbuf.scala 140:30] + wire _T_158 = io_ldst_stbuf_reqvld_r & _T_157; // @[lsu_stbuf.scala 136:76] + wire _T_159 = 2'h2 == WrPtr; // @[lsu_stbuf.scala 137:16] + wire _T_161 = _T_159 & _T_122; // @[lsu_stbuf.scala 137:27] + wire _T_163 = _T_159 & dual_stbuf_write_r; // @[lsu_stbuf.scala 138:29] + wire _T_165 = _T_163 & _T_126; // @[lsu_stbuf.scala 138:50] + wire _T_166 = _T_161 | _T_165; // @[lsu_stbuf.scala 137:51] + wire _T_167 = 2'h2 == WrPtrPlus1; // @[lsu_stbuf.scala 139:18] + wire _T_168 = _T_167 & dual_stbuf_write_r; // @[lsu_stbuf.scala 139:34] + wire _T_171 = _T_168 & _T_132; // @[lsu_stbuf.scala 139:55] + wire _T_172 = _T_166 | _T_171; // @[lsu_stbuf.scala 138:74] + wire _T_174 = _T_172 | store_matchvec_lo_r[2]; // @[lsu_stbuf.scala 139:103] + wire _T_176 = _T_174 | store_matchvec_hi_r[2]; // @[lsu_stbuf.scala 140:30] + wire _T_177 = io_ldst_stbuf_reqvld_r & _T_176; // @[lsu_stbuf.scala 136:76] + wire _T_178 = 2'h3 == WrPtr; // @[lsu_stbuf.scala 137:16] + wire _T_180 = _T_178 & _T_122; // @[lsu_stbuf.scala 137:27] + wire _T_182 = _T_178 & dual_stbuf_write_r; // @[lsu_stbuf.scala 138:29] + wire _T_184 = _T_182 & _T_126; // @[lsu_stbuf.scala 138:50] + wire _T_185 = _T_180 | _T_184; // @[lsu_stbuf.scala 137:51] + wire _T_186 = 2'h3 == WrPtrPlus1; // @[lsu_stbuf.scala 139:18] + wire _T_187 = _T_186 & dual_stbuf_write_r; // @[lsu_stbuf.scala 139:34] + wire _T_190 = _T_187 & _T_132; // @[lsu_stbuf.scala 139:55] + wire _T_191 = _T_185 | _T_190; // @[lsu_stbuf.scala 138:74] + wire _T_193 = _T_191 | store_matchvec_lo_r[3]; // @[lsu_stbuf.scala 139:103] + wire _T_195 = _T_193 | store_matchvec_hi_r[3]; // @[lsu_stbuf.scala 140:30] + wire _T_196 = io_ldst_stbuf_reqvld_r & _T_195; // @[lsu_stbuf.scala 136:76] + wire [3:0] stbuf_wr_en = {_T_196,_T_177,_T_158,_T_139}; // @[Cat.scala 29:58] + wire _T_219 = ~ldst_dual_r; // @[lsu_stbuf.scala 142:53] + wire _T_220 = _T_219 | io_store_stbuf_reqvld_r; // @[lsu_stbuf.scala 142:66] + wire _T_223 = _T_220 & _T_121; // @[lsu_stbuf.scala 142:93] + wire _T_225 = _T_223 & _T_122; // @[lsu_stbuf.scala 142:123] + wire _T_227 = _T_225 | store_matchvec_lo_r[0]; // @[lsu_stbuf.scala 142:147] + wire _T_232 = _T_220 & _T_140; // @[lsu_stbuf.scala 142:93] + wire _T_234 = _T_232 & _T_122; // @[lsu_stbuf.scala 142:123] + wire _T_236 = _T_234 | store_matchvec_lo_r[1]; // @[lsu_stbuf.scala 142:147] + wire _T_241 = _T_220 & _T_159; // @[lsu_stbuf.scala 142:93] + wire _T_243 = _T_241 & _T_122; // @[lsu_stbuf.scala 142:123] + wire _T_245 = _T_243 | store_matchvec_lo_r[2]; // @[lsu_stbuf.scala 142:147] + wire _T_250 = _T_220 & _T_178; // @[lsu_stbuf.scala 142:93] + wire _T_252 = _T_250 & _T_122; // @[lsu_stbuf.scala 142:123] + wire _T_254 = _T_252 | store_matchvec_lo_r[3]; // @[lsu_stbuf.scala 142:147] + wire [3:0] sel_lo = {_T_254,_T_245,_T_236,_T_227}; // @[Cat.scala 29:58] + reg [3:0] stbuf_byteen_0; // @[lsu_stbuf.scala 165:92] + wire [3:0] _T_274 = stbuf_byteen_0 | store_byteen_lo_r; // @[lsu_stbuf.scala 145:86] + wire [3:0] _T_275 = stbuf_byteen_0 | store_byteen_hi_r; // @[lsu_stbuf.scala 145:123] + wire [3:0] stbuf_byteenin_0 = sel_lo[0] ? _T_274 : _T_275; // @[lsu_stbuf.scala 145:58] + reg [3:0] stbuf_byteen_1; // @[lsu_stbuf.scala 165:92] + wire [3:0] _T_278 = stbuf_byteen_1 | store_byteen_lo_r; // @[lsu_stbuf.scala 145:86] + wire [3:0] _T_279 = stbuf_byteen_1 | store_byteen_hi_r; // @[lsu_stbuf.scala 145:123] + wire [3:0] stbuf_byteenin_1 = sel_lo[1] ? _T_278 : _T_279; // @[lsu_stbuf.scala 145:58] + reg [3:0] stbuf_byteen_2; // @[lsu_stbuf.scala 165:92] + wire [3:0] _T_282 = stbuf_byteen_2 | store_byteen_lo_r; // @[lsu_stbuf.scala 145:86] + wire [3:0] _T_283 = stbuf_byteen_2 | store_byteen_hi_r; // @[lsu_stbuf.scala 145:123] + wire [3:0] stbuf_byteenin_2 = sel_lo[2] ? _T_282 : _T_283; // @[lsu_stbuf.scala 145:58] + reg [3:0] stbuf_byteen_3; // @[lsu_stbuf.scala 165:92] + wire [3:0] _T_286 = stbuf_byteen_3 | store_byteen_lo_r; // @[lsu_stbuf.scala 145:86] + wire [3:0] _T_287 = stbuf_byteen_3 | store_byteen_hi_r; // @[lsu_stbuf.scala 145:123] + wire [3:0] stbuf_byteenin_3 = sel_lo[3] ? _T_286 : _T_287; // @[lsu_stbuf.scala 145:58] + wire _T_291 = ~stbuf_byteen_0[0]; // @[lsu_stbuf.scala 147:67] + wire _T_293 = _T_291 | store_byteen_lo_r[0]; // @[lsu_stbuf.scala 147:87] + reg [31:0] stbuf_data_0; // @[lib.scala 374:16] + wire [7:0] _T_296 = _T_293 ? io_store_datafn_lo_r[7:0] : stbuf_data_0[7:0]; // @[lsu_stbuf.scala 147:66] + wire _T_300 = _T_291 | store_byteen_hi_r[0]; // @[lsu_stbuf.scala 148:29] + wire [7:0] _T_303 = _T_300 ? io_store_datafn_hi_r[7:0] : stbuf_data_0[7:0]; // @[lsu_stbuf.scala 148:8] + wire [7:0] datain1_0 = sel_lo[0] ? _T_296 : _T_303; // @[lsu_stbuf.scala 147:51] + wire _T_307 = ~stbuf_byteen_1[0]; // @[lsu_stbuf.scala 147:67] + wire _T_309 = _T_307 | store_byteen_lo_r[0]; // @[lsu_stbuf.scala 147:87] + reg [31:0] stbuf_data_1; // @[lib.scala 374:16] + wire [7:0] _T_312 = _T_309 ? io_store_datafn_lo_r[7:0] : stbuf_data_1[7:0]; // @[lsu_stbuf.scala 147:66] + wire _T_316 = _T_307 | store_byteen_hi_r[0]; // @[lsu_stbuf.scala 148:29] + wire [7:0] _T_319 = _T_316 ? io_store_datafn_hi_r[7:0] : stbuf_data_1[7:0]; // @[lsu_stbuf.scala 148:8] + wire [7:0] datain1_1 = sel_lo[1] ? _T_312 : _T_319; // @[lsu_stbuf.scala 147:51] + wire _T_323 = ~stbuf_byteen_2[0]; // @[lsu_stbuf.scala 147:67] + wire _T_325 = _T_323 | store_byteen_lo_r[0]; // @[lsu_stbuf.scala 147:87] + reg [31:0] stbuf_data_2; // @[lib.scala 374:16] + wire [7:0] _T_328 = _T_325 ? io_store_datafn_lo_r[7:0] : stbuf_data_2[7:0]; // @[lsu_stbuf.scala 147:66] + wire _T_332 = _T_323 | store_byteen_hi_r[0]; // @[lsu_stbuf.scala 148:29] + wire [7:0] _T_335 = _T_332 ? io_store_datafn_hi_r[7:0] : stbuf_data_2[7:0]; // @[lsu_stbuf.scala 148:8] + wire [7:0] datain1_2 = sel_lo[2] ? _T_328 : _T_335; // @[lsu_stbuf.scala 147:51] + wire _T_339 = ~stbuf_byteen_3[0]; // @[lsu_stbuf.scala 147:67] + wire _T_341 = _T_339 | store_byteen_lo_r[0]; // @[lsu_stbuf.scala 147:87] + reg [31:0] stbuf_data_3; // @[lib.scala 374:16] + wire [7:0] _T_344 = _T_341 ? io_store_datafn_lo_r[7:0] : stbuf_data_3[7:0]; // @[lsu_stbuf.scala 147:66] + wire _T_348 = _T_339 | store_byteen_hi_r[0]; // @[lsu_stbuf.scala 148:29] + wire [7:0] _T_351 = _T_348 ? io_store_datafn_hi_r[7:0] : stbuf_data_3[7:0]; // @[lsu_stbuf.scala 148:8] + wire [7:0] datain1_3 = sel_lo[3] ? _T_344 : _T_351; // @[lsu_stbuf.scala 147:51] + wire _T_355 = ~stbuf_byteen_0[1]; // @[lsu_stbuf.scala 150:68] + wire _T_357 = _T_355 | store_byteen_lo_r[1]; // @[lsu_stbuf.scala 150:88] + wire [7:0] _T_360 = _T_357 ? io_store_datafn_lo_r[15:8] : stbuf_data_0[15:8]; // @[lsu_stbuf.scala 150:67] + wire _T_364 = _T_355 | store_byteen_hi_r[1]; // @[lsu_stbuf.scala 151:29] + wire [7:0] _T_367 = _T_364 ? io_store_datafn_hi_r[15:8] : stbuf_data_0[15:8]; // @[lsu_stbuf.scala 151:8] + wire [7:0] datain2_0 = sel_lo[0] ? _T_360 : _T_367; // @[lsu_stbuf.scala 150:52] + wire _T_371 = ~stbuf_byteen_1[1]; // @[lsu_stbuf.scala 150:68] + wire _T_373 = _T_371 | store_byteen_lo_r[1]; // @[lsu_stbuf.scala 150:88] + wire [7:0] _T_376 = _T_373 ? io_store_datafn_lo_r[15:8] : stbuf_data_1[15:8]; // @[lsu_stbuf.scala 150:67] + wire _T_380 = _T_371 | store_byteen_hi_r[1]; // @[lsu_stbuf.scala 151:29] + wire [7:0] _T_383 = _T_380 ? io_store_datafn_hi_r[15:8] : stbuf_data_1[15:8]; // @[lsu_stbuf.scala 151:8] + wire [7:0] datain2_1 = sel_lo[1] ? _T_376 : _T_383; // @[lsu_stbuf.scala 150:52] + wire _T_387 = ~stbuf_byteen_2[1]; // @[lsu_stbuf.scala 150:68] + wire _T_389 = _T_387 | store_byteen_lo_r[1]; // @[lsu_stbuf.scala 150:88] + wire [7:0] _T_392 = _T_389 ? io_store_datafn_lo_r[15:8] : stbuf_data_2[15:8]; // @[lsu_stbuf.scala 150:67] + wire _T_396 = _T_387 | store_byteen_hi_r[1]; // @[lsu_stbuf.scala 151:29] + wire [7:0] _T_399 = _T_396 ? io_store_datafn_hi_r[15:8] : stbuf_data_2[15:8]; // @[lsu_stbuf.scala 151:8] + wire [7:0] datain2_2 = sel_lo[2] ? _T_392 : _T_399; // @[lsu_stbuf.scala 150:52] + wire _T_403 = ~stbuf_byteen_3[1]; // @[lsu_stbuf.scala 150:68] + wire _T_405 = _T_403 | store_byteen_lo_r[1]; // @[lsu_stbuf.scala 150:88] + wire [7:0] _T_408 = _T_405 ? io_store_datafn_lo_r[15:8] : stbuf_data_3[15:8]; // @[lsu_stbuf.scala 150:67] + wire _T_412 = _T_403 | store_byteen_hi_r[1]; // @[lsu_stbuf.scala 151:29] + wire [7:0] _T_415 = _T_412 ? io_store_datafn_hi_r[15:8] : stbuf_data_3[15:8]; // @[lsu_stbuf.scala 151:8] + wire [7:0] datain2_3 = sel_lo[3] ? _T_408 : _T_415; // @[lsu_stbuf.scala 150:52] + wire _T_419 = ~stbuf_byteen_0[2]; // @[lsu_stbuf.scala 153:68] + wire _T_421 = _T_419 | store_byteen_lo_r[2]; // @[lsu_stbuf.scala 153:88] + wire [7:0] _T_424 = _T_421 ? io_store_datafn_lo_r[23:16] : stbuf_data_0[23:16]; // @[lsu_stbuf.scala 153:67] + wire _T_428 = _T_419 | store_byteen_hi_r[2]; // @[lsu_stbuf.scala 154:29] + wire [7:0] _T_431 = _T_428 ? io_store_datafn_hi_r[23:16] : stbuf_data_0[23:16]; // @[lsu_stbuf.scala 154:8] + wire [7:0] datain3_0 = sel_lo[0] ? _T_424 : _T_431; // @[lsu_stbuf.scala 153:52] + wire _T_435 = ~stbuf_byteen_1[2]; // @[lsu_stbuf.scala 153:68] + wire _T_437 = _T_435 | store_byteen_lo_r[2]; // @[lsu_stbuf.scala 153:88] + wire [7:0] _T_440 = _T_437 ? io_store_datafn_lo_r[23:16] : stbuf_data_1[23:16]; // @[lsu_stbuf.scala 153:67] + wire _T_444 = _T_435 | store_byteen_hi_r[2]; // @[lsu_stbuf.scala 154:29] + wire [7:0] _T_447 = _T_444 ? io_store_datafn_hi_r[23:16] : stbuf_data_1[23:16]; // @[lsu_stbuf.scala 154:8] + wire [7:0] datain3_1 = sel_lo[1] ? _T_440 : _T_447; // @[lsu_stbuf.scala 153:52] + wire _T_451 = ~stbuf_byteen_2[2]; // @[lsu_stbuf.scala 153:68] + wire _T_453 = _T_451 | store_byteen_lo_r[2]; // @[lsu_stbuf.scala 153:88] + wire [7:0] _T_456 = _T_453 ? io_store_datafn_lo_r[23:16] : stbuf_data_2[23:16]; // @[lsu_stbuf.scala 153:67] + wire _T_460 = _T_451 | store_byteen_hi_r[2]; // @[lsu_stbuf.scala 154:29] + wire [7:0] _T_463 = _T_460 ? io_store_datafn_hi_r[23:16] : stbuf_data_2[23:16]; // @[lsu_stbuf.scala 154:8] + wire [7:0] datain3_2 = sel_lo[2] ? _T_456 : _T_463; // @[lsu_stbuf.scala 153:52] + wire _T_467 = ~stbuf_byteen_3[2]; // @[lsu_stbuf.scala 153:68] + wire _T_469 = _T_467 | store_byteen_lo_r[2]; // @[lsu_stbuf.scala 153:88] + wire [7:0] _T_472 = _T_469 ? io_store_datafn_lo_r[23:16] : stbuf_data_3[23:16]; // @[lsu_stbuf.scala 153:67] + wire _T_476 = _T_467 | store_byteen_hi_r[2]; // @[lsu_stbuf.scala 154:29] + wire [7:0] _T_479 = _T_476 ? io_store_datafn_hi_r[23:16] : stbuf_data_3[23:16]; // @[lsu_stbuf.scala 154:8] + wire [7:0] datain3_3 = sel_lo[3] ? _T_472 : _T_479; // @[lsu_stbuf.scala 153:52] + wire _T_483 = ~stbuf_byteen_0[3]; // @[lsu_stbuf.scala 156:68] + wire _T_485 = _T_483 | store_byteen_lo_r[3]; // @[lsu_stbuf.scala 156:88] + wire [7:0] _T_488 = _T_485 ? io_store_datafn_lo_r[31:24] : stbuf_data_0[31:24]; // @[lsu_stbuf.scala 156:67] + wire _T_492 = _T_483 | store_byteen_hi_r[3]; // @[lsu_stbuf.scala 157:29] + wire [7:0] _T_495 = _T_492 ? io_store_datafn_hi_r[31:24] : stbuf_data_0[31:24]; // @[lsu_stbuf.scala 157:8] + wire [7:0] datain4_0 = sel_lo[0] ? _T_488 : _T_495; // @[lsu_stbuf.scala 156:52] + wire _T_499 = ~stbuf_byteen_1[3]; // @[lsu_stbuf.scala 156:68] + wire _T_501 = _T_499 | store_byteen_lo_r[3]; // @[lsu_stbuf.scala 156:88] + wire [7:0] _T_504 = _T_501 ? io_store_datafn_lo_r[31:24] : stbuf_data_1[31:24]; // @[lsu_stbuf.scala 156:67] + wire _T_508 = _T_499 | store_byteen_hi_r[3]; // @[lsu_stbuf.scala 157:29] + wire [7:0] _T_511 = _T_508 ? io_store_datafn_hi_r[31:24] : stbuf_data_1[31:24]; // @[lsu_stbuf.scala 157:8] + wire [7:0] datain4_1 = sel_lo[1] ? _T_504 : _T_511; // @[lsu_stbuf.scala 156:52] + wire _T_515 = ~stbuf_byteen_2[3]; // @[lsu_stbuf.scala 156:68] + wire _T_517 = _T_515 | store_byteen_lo_r[3]; // @[lsu_stbuf.scala 156:88] + wire [7:0] _T_520 = _T_517 ? io_store_datafn_lo_r[31:24] : stbuf_data_2[31:24]; // @[lsu_stbuf.scala 156:67] + wire _T_524 = _T_515 | store_byteen_hi_r[3]; // @[lsu_stbuf.scala 157:29] + wire [7:0] _T_527 = _T_524 ? io_store_datafn_hi_r[31:24] : stbuf_data_2[31:24]; // @[lsu_stbuf.scala 157:8] + wire [7:0] datain4_2 = sel_lo[2] ? _T_520 : _T_527; // @[lsu_stbuf.scala 156:52] + wire _T_531 = ~stbuf_byteen_3[3]; // @[lsu_stbuf.scala 156:68] + wire _T_533 = _T_531 | store_byteen_lo_r[3]; // @[lsu_stbuf.scala 156:88] + wire [7:0] _T_536 = _T_533 ? io_store_datafn_lo_r[31:24] : stbuf_data_3[31:24]; // @[lsu_stbuf.scala 156:67] + wire _T_540 = _T_531 | store_byteen_hi_r[3]; // @[lsu_stbuf.scala 157:29] + wire [7:0] _T_543 = _T_540 ? io_store_datafn_hi_r[31:24] : stbuf_data_3[31:24]; // @[lsu_stbuf.scala 157:8] + wire [7:0] datain4_3 = sel_lo[3] ? _T_536 : _T_543; // @[lsu_stbuf.scala 156:52] + wire [15:0] _T_545 = {datain2_0,datain1_0}; // @[Cat.scala 29:58] + wire [15:0] _T_546 = {datain4_0,datain3_0}; // @[Cat.scala 29:58] + wire [15:0] _T_548 = {datain2_1,datain1_1}; // @[Cat.scala 29:58] + wire [15:0] _T_549 = {datain4_1,datain3_1}; // @[Cat.scala 29:58] + wire [15:0] _T_551 = {datain2_2,datain1_2}; // @[Cat.scala 29:58] + wire [15:0] _T_552 = {datain4_2,datain3_2}; // @[Cat.scala 29:58] + wire [15:0] _T_554 = {datain2_3,datain1_3}; // @[Cat.scala 29:58] + wire [15:0] _T_555 = {datain4_3,datain3_3}; // @[Cat.scala 29:58] + wire _T_560 = stbuf_wr_en[0] | stbuf_vld[0]; // @[lsu_stbuf.scala 163:92] + wire _T_568 = stbuf_wr_en[1] | stbuf_vld[1]; // @[lsu_stbuf.scala 163:92] + wire _T_576 = stbuf_wr_en[2] | stbuf_vld[2]; // @[lsu_stbuf.scala 163:92] + wire _T_584 = stbuf_wr_en[3] | stbuf_vld[3]; // @[lsu_stbuf.scala 163:92] + wire [15:0] cmpaddr_hi_m = {{2'd0}, io_end_addr_m[15:2]}; // @[lsu_stbuf.scala 200:16] + wire _T_789 = stbuf_addr_3[15:2] == cmpaddr_hi_m[13:0]; // @[lsu_stbuf.scala 206:115] + wire _T_791 = _T_789 & stbuf_vld[3]; // @[lsu_stbuf.scala 206:139] + wire _T_794 = _T_791 & _T_64; // @[lsu_stbuf.scala 206:154] + wire _T_795 = _T_794 & io_addr_in_dccm_m; // @[lsu_stbuf.scala 206:175] + wire _T_780 = stbuf_addr_2[15:2] == cmpaddr_hi_m[13:0]; // @[lsu_stbuf.scala 206:115] + wire _T_782 = _T_780 & stbuf_vld[2]; // @[lsu_stbuf.scala 206:139] + wire _T_785 = _T_782 & _T_53; // @[lsu_stbuf.scala 206:154] + wire _T_786 = _T_785 & io_addr_in_dccm_m; // @[lsu_stbuf.scala 206:175] + wire _T_771 = stbuf_addr_1[15:2] == cmpaddr_hi_m[13:0]; // @[lsu_stbuf.scala 206:115] + wire _T_773 = _T_771 & stbuf_vld[1]; // @[lsu_stbuf.scala 206:139] + wire _T_776 = _T_773 & _T_42; // @[lsu_stbuf.scala 206:154] + wire _T_777 = _T_776 & io_addr_in_dccm_m; // @[lsu_stbuf.scala 206:175] + wire _T_762 = stbuf_addr_0[15:2] == cmpaddr_hi_m[13:0]; // @[lsu_stbuf.scala 206:115] + wire _T_764 = _T_762 & stbuf_vld[0]; // @[lsu_stbuf.scala 206:139] + wire _T_767 = _T_764 & _T_31; // @[lsu_stbuf.scala 206:154] + wire _T_768 = _T_767 & io_addr_in_dccm_m; // @[lsu_stbuf.scala 206:175] + wire [3:0] stbuf_match_hi = {_T_795,_T_786,_T_777,_T_768}; // @[Cat.scala 29:58] + wire [15:0] cmpaddr_lo_m = {{2'd0}, io_lsu_addr_m[15:2]}; // @[lsu_stbuf.scala 203:17] + wire _T_827 = stbuf_addr_3[15:2] == cmpaddr_lo_m[13:0]; // @[lsu_stbuf.scala 207:115] + wire _T_829 = _T_827 & stbuf_vld[3]; // @[lsu_stbuf.scala 207:139] + wire _T_832 = _T_829 & _T_64; // @[lsu_stbuf.scala 207:154] + wire _T_833 = _T_832 & io_addr_in_dccm_m; // @[lsu_stbuf.scala 207:175] + wire _T_818 = stbuf_addr_2[15:2] == cmpaddr_lo_m[13:0]; // @[lsu_stbuf.scala 207:115] + wire _T_820 = _T_818 & stbuf_vld[2]; // @[lsu_stbuf.scala 207:139] + wire _T_823 = _T_820 & _T_53; // @[lsu_stbuf.scala 207:154] + wire _T_824 = _T_823 & io_addr_in_dccm_m; // @[lsu_stbuf.scala 207:175] + wire _T_809 = stbuf_addr_1[15:2] == cmpaddr_lo_m[13:0]; // @[lsu_stbuf.scala 207:115] + wire _T_811 = _T_809 & stbuf_vld[1]; // @[lsu_stbuf.scala 207:139] + wire _T_814 = _T_811 & _T_42; // @[lsu_stbuf.scala 207:154] + wire _T_815 = _T_814 & io_addr_in_dccm_m; // @[lsu_stbuf.scala 207:175] + wire _T_800 = stbuf_addr_0[15:2] == cmpaddr_lo_m[13:0]; // @[lsu_stbuf.scala 207:115] + wire _T_802 = _T_800 & stbuf_vld[0]; // @[lsu_stbuf.scala 207:139] + wire _T_805 = _T_802 & _T_31; // @[lsu_stbuf.scala 207:154] + wire _T_806 = _T_805 & io_addr_in_dccm_m; // @[lsu_stbuf.scala 207:175] + wire [3:0] stbuf_match_lo = {_T_833,_T_824,_T_815,_T_806}; // @[Cat.scala 29:58] + wire _T_856 = stbuf_match_hi[3] | stbuf_match_lo[3]; // @[lsu_stbuf.scala 208:78] + wire _T_857 = _T_856 & io_lsu_pkt_m_valid; // @[lsu_stbuf.scala 208:99] + wire _T_858 = _T_857 & io_lsu_pkt_m_bits_dma; // @[lsu_stbuf.scala 208:120] + wire _T_859 = _T_858 & io_lsu_pkt_m_bits_store; // @[lsu_stbuf.scala 208:144] + wire _T_850 = stbuf_match_hi[2] | stbuf_match_lo[2]; // @[lsu_stbuf.scala 208:78] + wire _T_851 = _T_850 & io_lsu_pkt_m_valid; // @[lsu_stbuf.scala 208:99] + wire _T_852 = _T_851 & io_lsu_pkt_m_bits_dma; // @[lsu_stbuf.scala 208:120] + wire _T_853 = _T_852 & io_lsu_pkt_m_bits_store; // @[lsu_stbuf.scala 208:144] + wire _T_844 = stbuf_match_hi[1] | stbuf_match_lo[1]; // @[lsu_stbuf.scala 208:78] + wire _T_845 = _T_844 & io_lsu_pkt_m_valid; // @[lsu_stbuf.scala 208:99] + wire _T_846 = _T_845 & io_lsu_pkt_m_bits_dma; // @[lsu_stbuf.scala 208:120] + wire _T_847 = _T_846 & io_lsu_pkt_m_bits_store; // @[lsu_stbuf.scala 208:144] + wire _T_838 = stbuf_match_hi[0] | stbuf_match_lo[0]; // @[lsu_stbuf.scala 208:78] + wire _T_839 = _T_838 & io_lsu_pkt_m_valid; // @[lsu_stbuf.scala 208:99] + wire _T_840 = _T_839 & io_lsu_pkt_m_bits_dma; // @[lsu_stbuf.scala 208:120] + wire _T_841 = _T_840 & io_lsu_pkt_m_bits_store; // @[lsu_stbuf.scala 208:144] + wire [3:0] stbuf_dma_kill_en = {_T_859,_T_853,_T_847,_T_841}; // @[Cat.scala 29:58] + wire _T_595 = stbuf_dma_kill_en[0] | stbuf_dma_kill[0]; // @[lsu_stbuf.scala 164:96] + wire _T_603 = stbuf_dma_kill_en[1] | stbuf_dma_kill[1]; // @[lsu_stbuf.scala 164:96] + wire _T_611 = stbuf_dma_kill_en[2] | stbuf_dma_kill[2]; // @[lsu_stbuf.scala 164:96] + wire _T_619 = stbuf_dma_kill_en[3] | stbuf_dma_kill[3]; // @[lsu_stbuf.scala 164:96] + wire [3:0] _T_629 = stbuf_wr_en[0] ? stbuf_byteenin_0 : stbuf_byteen_0; // @[lsu_stbuf.scala 165:96] + wire [3:0] _T_633 = _T_34 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_638 = stbuf_wr_en[1] ? stbuf_byteenin_1 : stbuf_byteen_1; // @[lsu_stbuf.scala 165:96] + wire [3:0] _T_642 = _T_45 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_647 = stbuf_wr_en[2] ? stbuf_byteenin_2 : stbuf_byteen_2; // @[lsu_stbuf.scala 165:96] + wire [3:0] _T_651 = _T_56 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_656 = stbuf_wr_en[3] ? stbuf_byteenin_3 : stbuf_byteen_3; // @[lsu_stbuf.scala 165:96] + wire [3:0] _T_660 = _T_67 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + reg ldst_dual_m; // @[lsu_stbuf.scala 170:52] + wire [3:0] _T_689 = stbuf_vld >> RdPtr; // @[lsu_stbuf.scala 174:43] + wire [3:0] _T_691 = stbuf_dma_kill >> RdPtr; // @[lsu_stbuf.scala 174:67] + wire _T_698 = ~_T_691[0]; // @[lsu_stbuf.scala 175:46] + wire _T_699 = _T_689[0] & _T_698; // @[lsu_stbuf.scala 175:44] + wire _T_700 = |stbuf_dma_kill_en; // @[lsu_stbuf.scala 175:91] + wire _T_701 = ~_T_700; // @[lsu_stbuf.scala 175:71] + wire [15:0] _GEN_1 = 2'h1 == RdPtr ? stbuf_addr_1 : stbuf_addr_0; // @[lsu_stbuf.scala 176:22] + wire [15:0] _GEN_2 = 2'h2 == RdPtr ? stbuf_addr_2 : _GEN_1; // @[lsu_stbuf.scala 176:22] + wire [31:0] _GEN_5 = 2'h1 == RdPtr ? stbuf_data_1 : stbuf_data_0; // @[lsu_stbuf.scala 177:22] + wire [31:0] _GEN_6 = 2'h2 == RdPtr ? stbuf_data_2 : _GEN_5; // @[lsu_stbuf.scala 177:22] + wire _T_703 = ~dual_stbuf_write_r; // @[lsu_stbuf.scala 179:44] + wire _T_704 = io_ldst_stbuf_reqvld_r & _T_703; // @[lsu_stbuf.scala 179:42] + wire _T_705 = store_coalesce_hi_r | store_coalesce_lo_r; // @[lsu_stbuf.scala 179:88] + wire _T_706 = ~_T_705; // @[lsu_stbuf.scala 179:66] + wire _T_707 = _T_704 & _T_706; // @[lsu_stbuf.scala 179:64] + wire _T_708 = io_ldst_stbuf_reqvld_r & dual_stbuf_write_r; // @[lsu_stbuf.scala 180:30] + wire _T_709 = store_coalesce_hi_r & store_coalesce_lo_r; // @[lsu_stbuf.scala 180:76] + wire _T_710 = ~_T_709; // @[lsu_stbuf.scala 180:54] + wire _T_711 = _T_708 & _T_710; // @[lsu_stbuf.scala 180:52] + wire WrPtrEn = _T_707 | _T_711; // @[lsu_stbuf.scala 179:113] + wire _T_716 = _T_708 & _T_706; // @[lsu_stbuf.scala 181:67] + wire [3:0] _T_721 = {3'h0,stbuf_vld[0]}; // @[Cat.scala 29:58] + wire [3:0] _T_723 = {3'h0,stbuf_vld[1]}; // @[Cat.scala 29:58] + wire [3:0] _T_725 = {3'h0,stbuf_vld[2]}; // @[Cat.scala 29:58] + wire [3:0] _T_727 = {3'h0,stbuf_vld[3]}; // @[Cat.scala 29:58] + wire [3:0] _T_730 = _T_721 + _T_723; // @[lsu_stbuf.scala 188:101] + wire [3:0] _T_732 = _T_730 + _T_725; // @[lsu_stbuf.scala 188:101] + wire [3:0] stbuf_numvld_any = _T_732 + _T_727; // @[lsu_stbuf.scala 188:101] + wire _T_734 = io_lsu_pkt_m_valid & io_lsu_pkt_m_bits_store; // @[lsu_stbuf.scala 189:39] + wire _T_735 = _T_734 & io_addr_in_dccm_m; // @[lsu_stbuf.scala 189:65] + wire _T_736 = ~io_lsu_pkt_m_bits_dma; // @[lsu_stbuf.scala 189:87] + wire isdccmst_m = _T_735 & _T_736; // @[lsu_stbuf.scala 189:85] + wire _T_737 = io_lsu_pkt_r_valid & io_lsu_pkt_r_bits_store; // @[lsu_stbuf.scala 190:39] + wire _T_738 = _T_737 & io_addr_in_dccm_r; // @[lsu_stbuf.scala 190:65] + wire _T_739 = ~io_lsu_pkt_r_bits_dma; // @[lsu_stbuf.scala 190:87] + wire isdccmst_r = _T_738 & _T_739; // @[lsu_stbuf.scala 190:85] + wire [1:0] _T_740 = {1'h0,isdccmst_m}; // @[Cat.scala 29:58] + wire _T_741 = isdccmst_m & ldst_dual_m; // @[lsu_stbuf.scala 192:62] + wire [2:0] _GEN_14 = {{1'd0}, _T_740}; // @[lsu_stbuf.scala 192:47] + wire [2:0] _T_742 = _GEN_14 << _T_741; // @[lsu_stbuf.scala 192:47] + wire [1:0] _T_743 = {1'h0,isdccmst_r}; // @[Cat.scala 29:58] + wire _T_744 = isdccmst_r & ldst_dual_r; // @[lsu_stbuf.scala 193:62] + wire [2:0] _GEN_15 = {{1'd0}, _T_743}; // @[lsu_stbuf.scala 193:47] + wire [2:0] _T_745 = _GEN_15 << _T_744; // @[lsu_stbuf.scala 193:47] + wire [1:0] stbuf_specvld_m = _T_742[1:0]; // @[lsu_stbuf.scala 192:19] + wire [3:0] _T_746 = {2'h0,stbuf_specvld_m}; // @[Cat.scala 29:58] + wire [3:0] _T_748 = stbuf_numvld_any + _T_746; // @[lsu_stbuf.scala 194:44] + wire [1:0] stbuf_specvld_r = _T_745[1:0]; // @[lsu_stbuf.scala 193:19] + wire [3:0] _T_749 = {2'h0,stbuf_specvld_r}; // @[Cat.scala 29:58] + wire [3:0] stbuf_specvld_any = _T_748 + _T_749; // @[lsu_stbuf.scala 194:78] + wire _T_751 = ~ldst_dual_d; // @[lsu_stbuf.scala 196:34] + wire _T_752 = _T_751 & io_dec_lsu_valid_raw_d; // @[lsu_stbuf.scala 196:47] + wire _T_754 = stbuf_specvld_any >= 4'h4; // @[lsu_stbuf.scala 196:99] + wire _T_755 = stbuf_specvld_any >= 4'h3; // @[lsu_stbuf.scala 196:140] + wire _T_865 = stbuf_match_hi[0] & stbuf_byteen_0[0]; // @[lsu_stbuf.scala 211:116] + wire stbuf_fwdbyteenvec_hi_0_0 = _T_865 & stbuf_vld[0]; // @[lsu_stbuf.scala 211:137] + wire _T_869 = stbuf_match_hi[0] & stbuf_byteen_0[1]; // @[lsu_stbuf.scala 211:116] + wire stbuf_fwdbyteenvec_hi_0_1 = _T_869 & stbuf_vld[0]; // @[lsu_stbuf.scala 211:137] + wire _T_873 = stbuf_match_hi[0] & stbuf_byteen_0[2]; // @[lsu_stbuf.scala 211:116] + wire stbuf_fwdbyteenvec_hi_0_2 = _T_873 & stbuf_vld[0]; // @[lsu_stbuf.scala 211:137] + wire _T_877 = stbuf_match_hi[0] & stbuf_byteen_0[3]; // @[lsu_stbuf.scala 211:116] + wire stbuf_fwdbyteenvec_hi_0_3 = _T_877 & stbuf_vld[0]; // @[lsu_stbuf.scala 211:137] + wire _T_881 = stbuf_match_hi[1] & stbuf_byteen_1[0]; // @[lsu_stbuf.scala 211:116] + wire stbuf_fwdbyteenvec_hi_1_0 = _T_881 & stbuf_vld[1]; // @[lsu_stbuf.scala 211:137] + wire _T_885 = stbuf_match_hi[1] & stbuf_byteen_1[1]; // @[lsu_stbuf.scala 211:116] + wire stbuf_fwdbyteenvec_hi_1_1 = _T_885 & stbuf_vld[1]; // @[lsu_stbuf.scala 211:137] + wire _T_889 = stbuf_match_hi[1] & stbuf_byteen_1[2]; // @[lsu_stbuf.scala 211:116] + wire stbuf_fwdbyteenvec_hi_1_2 = _T_889 & stbuf_vld[1]; // @[lsu_stbuf.scala 211:137] + wire _T_893 = stbuf_match_hi[1] & stbuf_byteen_1[3]; // @[lsu_stbuf.scala 211:116] + wire stbuf_fwdbyteenvec_hi_1_3 = _T_893 & stbuf_vld[1]; // @[lsu_stbuf.scala 211:137] + wire _T_897 = stbuf_match_hi[2] & stbuf_byteen_2[0]; // @[lsu_stbuf.scala 211:116] + wire stbuf_fwdbyteenvec_hi_2_0 = _T_897 & stbuf_vld[2]; // @[lsu_stbuf.scala 211:137] + wire _T_901 = stbuf_match_hi[2] & stbuf_byteen_2[1]; // @[lsu_stbuf.scala 211:116] + wire stbuf_fwdbyteenvec_hi_2_1 = _T_901 & stbuf_vld[2]; // @[lsu_stbuf.scala 211:137] + wire _T_905 = stbuf_match_hi[2] & stbuf_byteen_2[2]; // @[lsu_stbuf.scala 211:116] + wire stbuf_fwdbyteenvec_hi_2_2 = _T_905 & stbuf_vld[2]; // @[lsu_stbuf.scala 211:137] + wire _T_909 = stbuf_match_hi[2] & stbuf_byteen_2[3]; // @[lsu_stbuf.scala 211:116] + wire stbuf_fwdbyteenvec_hi_2_3 = _T_909 & stbuf_vld[2]; // @[lsu_stbuf.scala 211:137] + wire _T_913 = stbuf_match_hi[3] & stbuf_byteen_3[0]; // @[lsu_stbuf.scala 211:116] + wire stbuf_fwdbyteenvec_hi_3_0 = _T_913 & stbuf_vld[3]; // @[lsu_stbuf.scala 211:137] + wire _T_917 = stbuf_match_hi[3] & stbuf_byteen_3[1]; // @[lsu_stbuf.scala 211:116] + wire stbuf_fwdbyteenvec_hi_3_1 = _T_917 & stbuf_vld[3]; // @[lsu_stbuf.scala 211:137] + wire _T_921 = stbuf_match_hi[3] & stbuf_byteen_3[2]; // @[lsu_stbuf.scala 211:116] + wire stbuf_fwdbyteenvec_hi_3_2 = _T_921 & stbuf_vld[3]; // @[lsu_stbuf.scala 211:137] + wire _T_925 = stbuf_match_hi[3] & stbuf_byteen_3[3]; // @[lsu_stbuf.scala 211:116] + wire stbuf_fwdbyteenvec_hi_3_3 = _T_925 & stbuf_vld[3]; // @[lsu_stbuf.scala 211:137] + wire _T_929 = stbuf_match_lo[0] & stbuf_byteen_0[0]; // @[lsu_stbuf.scala 212:116] + wire stbuf_fwdbyteenvec_lo_0_0 = _T_929 & stbuf_vld[0]; // @[lsu_stbuf.scala 212:137] + wire _T_933 = stbuf_match_lo[0] & stbuf_byteen_0[1]; // @[lsu_stbuf.scala 212:116] + wire stbuf_fwdbyteenvec_lo_0_1 = _T_933 & stbuf_vld[0]; // @[lsu_stbuf.scala 212:137] + wire _T_937 = stbuf_match_lo[0] & stbuf_byteen_0[2]; // @[lsu_stbuf.scala 212:116] + wire stbuf_fwdbyteenvec_lo_0_2 = _T_937 & stbuf_vld[0]; // @[lsu_stbuf.scala 212:137] + wire _T_941 = stbuf_match_lo[0] & stbuf_byteen_0[3]; // @[lsu_stbuf.scala 212:116] + wire stbuf_fwdbyteenvec_lo_0_3 = _T_941 & stbuf_vld[0]; // @[lsu_stbuf.scala 212:137] + wire _T_945 = stbuf_match_lo[1] & stbuf_byteen_1[0]; // @[lsu_stbuf.scala 212:116] + wire stbuf_fwdbyteenvec_lo_1_0 = _T_945 & stbuf_vld[1]; // @[lsu_stbuf.scala 212:137] + wire _T_949 = stbuf_match_lo[1] & stbuf_byteen_1[1]; // @[lsu_stbuf.scala 212:116] + wire stbuf_fwdbyteenvec_lo_1_1 = _T_949 & stbuf_vld[1]; // @[lsu_stbuf.scala 212:137] + wire _T_953 = stbuf_match_lo[1] & stbuf_byteen_1[2]; // @[lsu_stbuf.scala 212:116] + wire stbuf_fwdbyteenvec_lo_1_2 = _T_953 & stbuf_vld[1]; // @[lsu_stbuf.scala 212:137] + wire _T_957 = stbuf_match_lo[1] & stbuf_byteen_1[3]; // @[lsu_stbuf.scala 212:116] + wire stbuf_fwdbyteenvec_lo_1_3 = _T_957 & stbuf_vld[1]; // @[lsu_stbuf.scala 212:137] + wire _T_961 = stbuf_match_lo[2] & stbuf_byteen_2[0]; // @[lsu_stbuf.scala 212:116] + wire stbuf_fwdbyteenvec_lo_2_0 = _T_961 & stbuf_vld[2]; // @[lsu_stbuf.scala 212:137] + wire _T_965 = stbuf_match_lo[2] & stbuf_byteen_2[1]; // @[lsu_stbuf.scala 212:116] + wire stbuf_fwdbyteenvec_lo_2_1 = _T_965 & stbuf_vld[2]; // @[lsu_stbuf.scala 212:137] + wire _T_969 = stbuf_match_lo[2] & stbuf_byteen_2[2]; // @[lsu_stbuf.scala 212:116] + wire stbuf_fwdbyteenvec_lo_2_2 = _T_969 & stbuf_vld[2]; // @[lsu_stbuf.scala 212:137] + wire _T_973 = stbuf_match_lo[2] & stbuf_byteen_2[3]; // @[lsu_stbuf.scala 212:116] + wire stbuf_fwdbyteenvec_lo_2_3 = _T_973 & stbuf_vld[2]; // @[lsu_stbuf.scala 212:137] + wire _T_977 = stbuf_match_lo[3] & stbuf_byteen_3[0]; // @[lsu_stbuf.scala 212:116] + wire stbuf_fwdbyteenvec_lo_3_0 = _T_977 & stbuf_vld[3]; // @[lsu_stbuf.scala 212:137] + wire _T_981 = stbuf_match_lo[3] & stbuf_byteen_3[1]; // @[lsu_stbuf.scala 212:116] + wire stbuf_fwdbyteenvec_lo_3_1 = _T_981 & stbuf_vld[3]; // @[lsu_stbuf.scala 212:137] + wire _T_985 = stbuf_match_lo[3] & stbuf_byteen_3[2]; // @[lsu_stbuf.scala 212:116] + wire stbuf_fwdbyteenvec_lo_3_2 = _T_985 & stbuf_vld[3]; // @[lsu_stbuf.scala 212:137] + wire _T_989 = stbuf_match_lo[3] & stbuf_byteen_3[3]; // @[lsu_stbuf.scala 212:116] + wire stbuf_fwdbyteenvec_lo_3_3 = _T_989 & stbuf_vld[3]; // @[lsu_stbuf.scala 212:137] + wire _T_991 = stbuf_fwdbyteenvec_hi_0_0 | stbuf_fwdbyteenvec_hi_1_0; // @[lsu_stbuf.scala 213:147] + wire _T_992 = _T_991 | stbuf_fwdbyteenvec_hi_2_0; // @[lsu_stbuf.scala 213:147] + wire stbuf_fwdbyteen_hi_pre_m_0 = _T_992 | stbuf_fwdbyteenvec_hi_3_0; // @[lsu_stbuf.scala 213:147] + wire _T_993 = stbuf_fwdbyteenvec_hi_0_1 | stbuf_fwdbyteenvec_hi_1_1; // @[lsu_stbuf.scala 213:147] + wire _T_994 = _T_993 | stbuf_fwdbyteenvec_hi_2_1; // @[lsu_stbuf.scala 213:147] + wire stbuf_fwdbyteen_hi_pre_m_1 = _T_994 | stbuf_fwdbyteenvec_hi_3_1; // @[lsu_stbuf.scala 213:147] + wire _T_995 = stbuf_fwdbyteenvec_hi_0_2 | stbuf_fwdbyteenvec_hi_1_2; // @[lsu_stbuf.scala 213:147] + wire _T_996 = _T_995 | stbuf_fwdbyteenvec_hi_2_2; // @[lsu_stbuf.scala 213:147] + wire stbuf_fwdbyteen_hi_pre_m_2 = _T_996 | stbuf_fwdbyteenvec_hi_3_2; // @[lsu_stbuf.scala 213:147] + wire _T_997 = stbuf_fwdbyteenvec_hi_0_3 | stbuf_fwdbyteenvec_hi_1_3; // @[lsu_stbuf.scala 213:147] + wire _T_998 = _T_997 | stbuf_fwdbyteenvec_hi_2_3; // @[lsu_stbuf.scala 213:147] + wire stbuf_fwdbyteen_hi_pre_m_3 = _T_998 | stbuf_fwdbyteenvec_hi_3_3; // @[lsu_stbuf.scala 213:147] + wire _T_999 = stbuf_fwdbyteenvec_lo_0_0 | stbuf_fwdbyteenvec_lo_1_0; // @[lsu_stbuf.scala 214:147] + wire _T_1000 = _T_999 | stbuf_fwdbyteenvec_lo_2_0; // @[lsu_stbuf.scala 214:147] + wire stbuf_fwdbyteen_lo_pre_m_0 = _T_1000 | stbuf_fwdbyteenvec_lo_3_0; // @[lsu_stbuf.scala 214:147] + wire _T_1001 = stbuf_fwdbyteenvec_lo_0_1 | stbuf_fwdbyteenvec_lo_1_1; // @[lsu_stbuf.scala 214:147] + wire _T_1002 = _T_1001 | stbuf_fwdbyteenvec_lo_2_1; // @[lsu_stbuf.scala 214:147] + wire stbuf_fwdbyteen_lo_pre_m_1 = _T_1002 | stbuf_fwdbyteenvec_lo_3_1; // @[lsu_stbuf.scala 214:147] + wire _T_1003 = stbuf_fwdbyteenvec_lo_0_2 | stbuf_fwdbyteenvec_lo_1_2; // @[lsu_stbuf.scala 214:147] + wire _T_1004 = _T_1003 | stbuf_fwdbyteenvec_lo_2_2; // @[lsu_stbuf.scala 214:147] + wire stbuf_fwdbyteen_lo_pre_m_2 = _T_1004 | stbuf_fwdbyteenvec_lo_3_2; // @[lsu_stbuf.scala 214:147] + wire _T_1005 = stbuf_fwdbyteenvec_lo_0_3 | stbuf_fwdbyteenvec_lo_1_3; // @[lsu_stbuf.scala 214:147] + wire _T_1006 = _T_1005 | stbuf_fwdbyteenvec_lo_2_3; // @[lsu_stbuf.scala 214:147] + wire stbuf_fwdbyteen_lo_pre_m_3 = _T_1006 | stbuf_fwdbyteenvec_lo_3_3; // @[lsu_stbuf.scala 214:147] + wire [31:0] _T_1009 = stbuf_match_hi[0] ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_1010 = _T_1009 & stbuf_data_0; // @[lsu_stbuf.scala 216:97] + wire [31:0] _T_1013 = stbuf_match_hi[1] ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_1014 = _T_1013 & stbuf_data_1; // @[lsu_stbuf.scala 216:97] + wire [31:0] _T_1017 = stbuf_match_hi[2] ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_1018 = _T_1017 & stbuf_data_2; // @[lsu_stbuf.scala 216:97] + wire [31:0] _T_1021 = stbuf_match_hi[3] ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_1022 = _T_1021 & stbuf_data_3; // @[lsu_stbuf.scala 216:97] + wire [31:0] _T_1024 = _T_1022 | _T_1018; // @[lsu_stbuf.scala 216:130] + wire [31:0] _T_1025 = _T_1024 | _T_1014; // @[lsu_stbuf.scala 216:130] + wire [31:0] stbuf_fwddata_hi_pre_m = _T_1025 | _T_1010; // @[lsu_stbuf.scala 216:130] + wire [31:0] _T_1028 = stbuf_match_lo[0] ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_1029 = _T_1028 & stbuf_data_0; // @[lsu_stbuf.scala 217:97] + wire [31:0] _T_1032 = stbuf_match_lo[1] ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_1033 = _T_1032 & stbuf_data_1; // @[lsu_stbuf.scala 217:97] + wire [31:0] _T_1036 = stbuf_match_lo[2] ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_1037 = _T_1036 & stbuf_data_2; // @[lsu_stbuf.scala 217:97] + wire [31:0] _T_1040 = stbuf_match_lo[3] ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_1041 = _T_1040 & stbuf_data_3; // @[lsu_stbuf.scala 217:97] + wire [31:0] _T_1043 = _T_1041 | _T_1037; // @[lsu_stbuf.scala 217:130] + wire [31:0] _T_1044 = _T_1043 | _T_1033; // @[lsu_stbuf.scala 217:130] + wire [31:0] stbuf_fwddata_lo_pre_m = _T_1044 | _T_1029; // @[lsu_stbuf.scala 217:130] + wire _T_1049 = io_lsu_addr_m[31:2] == io_lsu_addr_r[31:2]; // @[lsu_stbuf.scala 224:49] + wire _T_1050 = _T_1049 & io_lsu_pkt_r_valid; // @[lsu_stbuf.scala 224:74] + wire _T_1051 = _T_1050 & io_lsu_pkt_r_bits_store; // @[lsu_stbuf.scala 224:95] + wire ld_addr_rhit_lo_lo = _T_1051 & _T_739; // @[lsu_stbuf.scala 224:121] + wire _T_1055 = io_end_addr_m[31:2] == io_lsu_addr_r[31:2]; // @[lsu_stbuf.scala 225:49] + wire _T_1056 = _T_1055 & io_lsu_pkt_r_valid; // @[lsu_stbuf.scala 225:74] + wire _T_1057 = _T_1056 & io_lsu_pkt_r_bits_store; // @[lsu_stbuf.scala 225:95] + wire ld_addr_rhit_lo_hi = _T_1057 & _T_739; // @[lsu_stbuf.scala 225:121] + wire _T_1061 = io_lsu_addr_m[31:2] == io_end_addr_r[31:2]; // @[lsu_stbuf.scala 226:49] + wire _T_1062 = _T_1061 & io_lsu_pkt_r_valid; // @[lsu_stbuf.scala 226:74] + wire _T_1063 = _T_1062 & io_lsu_pkt_r_bits_store; // @[lsu_stbuf.scala 226:95] + wire _T_1065 = _T_1063 & _T_739; // @[lsu_stbuf.scala 226:121] + wire ld_addr_rhit_hi_lo = _T_1065 & dual_stbuf_write_r; // @[lsu_stbuf.scala 226:146] + wire _T_1068 = io_end_addr_m[31:2] == io_end_addr_r[31:2]; // @[lsu_stbuf.scala 227:49] + wire _T_1069 = _T_1068 & io_lsu_pkt_r_valid; // @[lsu_stbuf.scala 227:74] + wire _T_1070 = _T_1069 & io_lsu_pkt_r_bits_store; // @[lsu_stbuf.scala 227:95] + wire _T_1072 = _T_1070 & _T_739; // @[lsu_stbuf.scala 227:121] + wire ld_addr_rhit_hi_hi = _T_1072 & dual_stbuf_write_r; // @[lsu_stbuf.scala 227:146] + wire _T_1074 = ld_addr_rhit_lo_lo & store_byteen_ext_r[0]; // @[lsu_stbuf.scala 229:79] + wire _T_1076 = ld_addr_rhit_lo_lo & store_byteen_ext_r[1]; // @[lsu_stbuf.scala 229:79] + wire _T_1078 = ld_addr_rhit_lo_lo & store_byteen_ext_r[2]; // @[lsu_stbuf.scala 229:79] + wire _T_1080 = ld_addr_rhit_lo_lo & store_byteen_ext_r[3]; // @[lsu_stbuf.scala 229:79] + wire [3:0] ld_byte_rhit_lo_lo = {_T_1080,_T_1078,_T_1076,_T_1074}; // @[Cat.scala 29:58] + wire _T_1085 = ld_addr_rhit_lo_hi & store_byteen_ext_r[0]; // @[lsu_stbuf.scala 230:79] + wire _T_1087 = ld_addr_rhit_lo_hi & store_byteen_ext_r[1]; // @[lsu_stbuf.scala 230:79] + wire _T_1089 = ld_addr_rhit_lo_hi & store_byteen_ext_r[2]; // @[lsu_stbuf.scala 230:79] + wire _T_1091 = ld_addr_rhit_lo_hi & store_byteen_ext_r[3]; // @[lsu_stbuf.scala 230:79] + wire [3:0] ld_byte_rhit_lo_hi = {_T_1091,_T_1089,_T_1087,_T_1085}; // @[Cat.scala 29:58] + wire _T_1096 = ld_addr_rhit_hi_lo & store_byteen_ext_r[4]; // @[lsu_stbuf.scala 231:79] + wire _T_1098 = ld_addr_rhit_hi_lo & store_byteen_ext_r[5]; // @[lsu_stbuf.scala 231:79] + wire _T_1100 = ld_addr_rhit_hi_lo & store_byteen_ext_r[6]; // @[lsu_stbuf.scala 231:79] + wire _T_1102 = ld_addr_rhit_hi_lo & store_byteen_ext_r[7]; // @[lsu_stbuf.scala 231:79] + wire [3:0] ld_byte_rhit_hi_lo = {_T_1102,_T_1100,_T_1098,_T_1096}; // @[Cat.scala 29:58] + wire _T_1107 = ld_addr_rhit_hi_hi & store_byteen_ext_r[4]; // @[lsu_stbuf.scala 232:79] + wire _T_1109 = ld_addr_rhit_hi_hi & store_byteen_ext_r[5]; // @[lsu_stbuf.scala 232:79] + wire _T_1111 = ld_addr_rhit_hi_hi & store_byteen_ext_r[6]; // @[lsu_stbuf.scala 232:79] + wire _T_1113 = ld_addr_rhit_hi_hi & store_byteen_ext_r[7]; // @[lsu_stbuf.scala 232:79] + wire [3:0] ld_byte_rhit_hi_hi = {_T_1113,_T_1111,_T_1109,_T_1107}; // @[Cat.scala 29:58] + wire _T_1119 = ld_byte_rhit_lo_lo[0] | ld_byte_rhit_hi_lo[0]; // @[lsu_stbuf.scala 234:79] + wire _T_1122 = ld_byte_rhit_lo_lo[1] | ld_byte_rhit_hi_lo[1]; // @[lsu_stbuf.scala 234:79] + wire _T_1125 = ld_byte_rhit_lo_lo[2] | ld_byte_rhit_hi_lo[2]; // @[lsu_stbuf.scala 234:79] + wire _T_1128 = ld_byte_rhit_lo_lo[3] | ld_byte_rhit_hi_lo[3]; // @[lsu_stbuf.scala 234:79] + wire [3:0] ld_byte_rhit_lo = {_T_1128,_T_1125,_T_1122,_T_1119}; // @[Cat.scala 29:58] + wire _T_1134 = ld_byte_rhit_lo_hi[0] | ld_byte_rhit_hi_hi[0]; // @[lsu_stbuf.scala 235:79] + wire _T_1137 = ld_byte_rhit_lo_hi[1] | ld_byte_rhit_hi_hi[1]; // @[lsu_stbuf.scala 235:79] + wire _T_1140 = ld_byte_rhit_lo_hi[2] | ld_byte_rhit_hi_hi[2]; // @[lsu_stbuf.scala 235:79] + wire _T_1143 = ld_byte_rhit_lo_hi[3] | ld_byte_rhit_hi_hi[3]; // @[lsu_stbuf.scala 235:79] + wire [3:0] ld_byte_rhit_hi = {_T_1143,_T_1140,_T_1137,_T_1134}; // @[Cat.scala 29:58] + wire [7:0] _T_1149 = ld_byte_rhit_lo_lo[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1151 = _T_1149 & io_store_data_lo_r[7:0]; // @[lsu_stbuf.scala 237:53] + wire [7:0] _T_1154 = ld_byte_rhit_hi_lo[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1156 = _T_1154 & io_store_data_hi_r[7:0]; // @[lsu_stbuf.scala 237:114] + wire [7:0] fwdpipe1_lo = _T_1151 | _T_1156; // @[lsu_stbuf.scala 237:80] + wire [7:0] _T_1159 = ld_byte_rhit_lo_lo[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1161 = _T_1159 & io_store_data_lo_r[15:8]; // @[lsu_stbuf.scala 238:53] + wire [7:0] _T_1164 = ld_byte_rhit_hi_lo[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1166 = _T_1164 & io_store_data_hi_r[15:8]; // @[lsu_stbuf.scala 238:115] + wire [7:0] fwdpipe2_lo = _T_1161 | _T_1166; // @[lsu_stbuf.scala 238:81] + wire [7:0] _T_1169 = ld_byte_rhit_lo_lo[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1171 = _T_1169 & io_store_data_lo_r[23:16]; // @[lsu_stbuf.scala 239:53] + wire [7:0] _T_1174 = ld_byte_rhit_hi_lo[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1176 = _T_1174 & io_store_data_hi_r[23:16]; // @[lsu_stbuf.scala 239:116] + wire [7:0] fwdpipe3_lo = _T_1171 | _T_1176; // @[lsu_stbuf.scala 239:82] + wire [7:0] _T_1179 = ld_byte_rhit_lo_lo[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1181 = _T_1179 & io_store_data_lo_r[31:24]; // @[lsu_stbuf.scala 240:53] + wire [7:0] _T_1184 = ld_byte_rhit_hi_lo[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1186 = _T_1184 & io_store_data_hi_r[31:24]; // @[lsu_stbuf.scala 240:116] + wire [7:0] fwdpipe4_lo = _T_1181 | _T_1186; // @[lsu_stbuf.scala 240:82] + wire [31:0] ld_fwddata_rpipe_lo = {fwdpipe4_lo,fwdpipe3_lo,fwdpipe2_lo,fwdpipe1_lo}; // @[Cat.scala 29:58] + wire [7:0] _T_1192 = ld_byte_rhit_lo_hi[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1194 = _T_1192 & io_store_data_lo_r[7:0]; // @[lsu_stbuf.scala 243:53] + wire [7:0] _T_1197 = ld_byte_rhit_hi_hi[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1199 = _T_1197 & io_store_data_hi_r[7:0]; // @[lsu_stbuf.scala 243:114] + wire [7:0] fwdpipe1_hi = _T_1194 | _T_1199; // @[lsu_stbuf.scala 243:80] + wire [7:0] _T_1202 = ld_byte_rhit_lo_hi[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1204 = _T_1202 & io_store_data_lo_r[15:8]; // @[lsu_stbuf.scala 244:53] + wire [7:0] _T_1207 = ld_byte_rhit_hi_hi[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1209 = _T_1207 & io_store_data_hi_r[15:8]; // @[lsu_stbuf.scala 244:115] + wire [7:0] fwdpipe2_hi = _T_1204 | _T_1209; // @[lsu_stbuf.scala 244:81] + wire [7:0] _T_1212 = ld_byte_rhit_lo_hi[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1214 = _T_1212 & io_store_data_lo_r[23:16]; // @[lsu_stbuf.scala 245:53] + wire [7:0] _T_1217 = ld_byte_rhit_hi_hi[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1219 = _T_1217 & io_store_data_hi_r[23:16]; // @[lsu_stbuf.scala 245:116] + wire [7:0] fwdpipe3_hi = _T_1214 | _T_1219; // @[lsu_stbuf.scala 245:82] + wire [7:0] _T_1222 = ld_byte_rhit_lo_hi[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1224 = _T_1222 & io_store_data_lo_r[31:24]; // @[lsu_stbuf.scala 246:53] + wire [7:0] _T_1227 = ld_byte_rhit_hi_hi[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1229 = _T_1227 & io_store_data_hi_r[31:24]; // @[lsu_stbuf.scala 246:116] + wire [7:0] fwdpipe4_hi = _T_1224 | _T_1229; // @[lsu_stbuf.scala 246:82] + wire [31:0] ld_fwddata_rpipe_hi = {fwdpipe4_hi,fwdpipe3_hi,fwdpipe2_hi,fwdpipe1_hi}; // @[Cat.scala 29:58] + wire _T_1264 = ld_byte_rhit_hi[0] | stbuf_fwdbyteen_hi_pre_m_0; // @[lsu_stbuf.scala 252:83] + wire _T_1266 = ld_byte_rhit_hi[1] | stbuf_fwdbyteen_hi_pre_m_1; // @[lsu_stbuf.scala 252:83] + wire _T_1268 = ld_byte_rhit_hi[2] | stbuf_fwdbyteen_hi_pre_m_2; // @[lsu_stbuf.scala 252:83] + wire _T_1270 = ld_byte_rhit_hi[3] | stbuf_fwdbyteen_hi_pre_m_3; // @[lsu_stbuf.scala 252:83] + wire [2:0] _T_1272 = {_T_1270,_T_1268,_T_1266}; // @[Cat.scala 29:58] + wire _T_1275 = ld_byte_rhit_lo[0] | stbuf_fwdbyteen_lo_pre_m_0; // @[lsu_stbuf.scala 253:83] + wire _T_1277 = ld_byte_rhit_lo[1] | stbuf_fwdbyteen_lo_pre_m_1; // @[lsu_stbuf.scala 253:83] + wire _T_1279 = ld_byte_rhit_lo[2] | stbuf_fwdbyteen_lo_pre_m_2; // @[lsu_stbuf.scala 253:83] + wire _T_1281 = ld_byte_rhit_lo[3] | stbuf_fwdbyteen_lo_pre_m_3; // @[lsu_stbuf.scala 253:83] + wire [2:0] _T_1283 = {_T_1281,_T_1279,_T_1277}; // @[Cat.scala 29:58] + wire [7:0] stbuf_fwdpipe1_lo = ld_byte_rhit_lo[0] ? ld_fwddata_rpipe_lo[7:0] : stbuf_fwddata_lo_pre_m[7:0]; // @[lsu_stbuf.scala 256:30] + wire [7:0] stbuf_fwdpipe2_lo = ld_byte_rhit_lo[1] ? ld_fwddata_rpipe_lo[15:8] : stbuf_fwddata_lo_pre_m[15:8]; // @[lsu_stbuf.scala 257:30] + wire [7:0] stbuf_fwdpipe3_lo = ld_byte_rhit_lo[2] ? ld_fwddata_rpipe_lo[23:16] : stbuf_fwddata_lo_pre_m[23:16]; // @[lsu_stbuf.scala 258:30] + wire [7:0] stbuf_fwdpipe4_lo = ld_byte_rhit_lo[3] ? ld_fwddata_rpipe_lo[31:24] : stbuf_fwddata_lo_pre_m[31:24]; // @[lsu_stbuf.scala 259:30] + wire [15:0] _T_1297 = {stbuf_fwdpipe2_lo,stbuf_fwdpipe1_lo}; // @[Cat.scala 29:58] + wire [15:0] _T_1298 = {stbuf_fwdpipe4_lo,stbuf_fwdpipe3_lo}; // @[Cat.scala 29:58] + wire [7:0] stbuf_fwdpipe1_hi = ld_byte_rhit_hi[0] ? ld_fwddata_rpipe_hi[7:0] : stbuf_fwddata_hi_pre_m[7:0]; // @[lsu_stbuf.scala 262:30] + wire [7:0] stbuf_fwdpipe2_hi = ld_byte_rhit_hi[1] ? ld_fwddata_rpipe_hi[15:8] : stbuf_fwddata_hi_pre_m[15:8]; // @[lsu_stbuf.scala 263:30] + wire [7:0] stbuf_fwdpipe3_hi = ld_byte_rhit_hi[2] ? ld_fwddata_rpipe_hi[23:16] : stbuf_fwddata_hi_pre_m[23:16]; // @[lsu_stbuf.scala 264:30] + wire [7:0] stbuf_fwdpipe4_hi = ld_byte_rhit_hi[3] ? ld_fwddata_rpipe_hi[31:24] : stbuf_fwddata_hi_pre_m[31:24]; // @[lsu_stbuf.scala 265:30] + wire [15:0] _T_1312 = {stbuf_fwdpipe2_hi,stbuf_fwdpipe1_hi}; // @[Cat.scala 29:58] + wire [15:0] _T_1313 = {stbuf_fwdpipe4_hi,stbuf_fwdpipe3_hi}; // @[Cat.scala 29:58] + rvclkhdr rvclkhdr ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + rvclkhdr rvclkhdr_4 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_4_io_l1clk), + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en), + .io_scan_mode(rvclkhdr_4_io_scan_mode) + ); + rvclkhdr rvclkhdr_5 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_5_io_l1clk), + .io_clk(rvclkhdr_5_io_clk), + .io_en(rvclkhdr_5_io_en), + .io_scan_mode(rvclkhdr_5_io_scan_mode) + ); + rvclkhdr rvclkhdr_6 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_6_io_l1clk), + .io_clk(rvclkhdr_6_io_clk), + .io_en(rvclkhdr_6_io_en), + .io_scan_mode(rvclkhdr_6_io_scan_mode) + ); + rvclkhdr rvclkhdr_7 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_7_io_l1clk), + .io_clk(rvclkhdr_7_io_clk), + .io_en(rvclkhdr_7_io_en), + .io_scan_mode(rvclkhdr_7_io_scan_mode) + ); + assign io_stbuf_reqvld_any = _T_699 & _T_701; // @[lsu_stbuf.scala 51:47 lsu_stbuf.scala 175:24] + assign io_stbuf_reqvld_flushed_any = _T_689[0] & _T_691[0]; // @[lsu_stbuf.scala 52:35 lsu_stbuf.scala 174:31] + assign io_stbuf_addr_any = 2'h3 == RdPtr ? stbuf_addr_3 : _GEN_2; // @[lsu_stbuf.scala 53:35 lsu_stbuf.scala 176:22] + assign io_stbuf_data_any = 2'h3 == RdPtr ? stbuf_data_3 : _GEN_6; // @[lsu_stbuf.scala 54:35 lsu_stbuf.scala 177:22] + assign io_lsu_stbuf_full_any = _T_752 ? _T_754 : _T_755; // @[lsu_stbuf.scala 55:43 lsu_stbuf.scala 196:26] + assign io_lsu_stbuf_empty_any = stbuf_numvld_any == 4'h0; // @[lsu_stbuf.scala 56:43 lsu_stbuf.scala 197:26] + assign io_ldst_stbuf_reqvld_r = io_lsu_commit_r & io_store_stbuf_reqvld_r; // @[lsu_stbuf.scala 57:43 lsu_stbuf.scala 128:26] + assign io_stbuf_fwddata_hi_m = {_T_1313,_T_1312}; // @[lsu_stbuf.scala 58:43 lsu_stbuf.scala 266:25] + assign io_stbuf_fwddata_lo_m = {_T_1298,_T_1297}; // @[lsu_stbuf.scala 59:43 lsu_stbuf.scala 260:25] + assign io_stbuf_fwdbyteen_hi_m = {_T_1272,_T_1264}; // @[lsu_stbuf.scala 60:37 lsu_stbuf.scala 252:27] + assign io_stbuf_fwdbyteen_lo_m = {_T_1283,_T_1275}; // @[lsu_stbuf.scala 61:37 lsu_stbuf.scala 253:27] + assign rvclkhdr_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_io_en = stbuf_wr_en[0]; // @[lib.scala 371:17] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_1_io_en = stbuf_wr_en[0]; // @[lib.scala 371:17] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_2_io_en = stbuf_wr_en[1]; // @[lib.scala 371:17] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_3_io_en = stbuf_wr_en[1]; // @[lib.scala 371:17] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_4_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_4_io_en = stbuf_wr_en[2]; // @[lib.scala 371:17] + assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_5_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_5_io_en = stbuf_wr_en[2]; // @[lib.scala 371:17] + assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_6_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_6_io_en = stbuf_wr_en[3]; // @[lib.scala 371:17] + assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_7_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_7_io_en = stbuf_wr_en[3]; // @[lib.scala 371:17] + assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + ldst_dual_r = _RAND_0[0:0]; + _RAND_1 = {1{`RANDOM}}; + RdPtr = _RAND_1[1:0]; + _RAND_2 = {1{`RANDOM}}; + WrPtr = _RAND_2[1:0]; + _RAND_3 = {1{`RANDOM}}; + stbuf_addr_0 = _RAND_3[15:0]; + _RAND_4 = {1{`RANDOM}}; + _T_588 = _RAND_4[0:0]; + _RAND_5 = {1{`RANDOM}}; + _T_580 = _RAND_5[0:0]; + _RAND_6 = {1{`RANDOM}}; + _T_572 = _RAND_6[0:0]; + _RAND_7 = {1{`RANDOM}}; + _T_564 = _RAND_7[0:0]; + _RAND_8 = {1{`RANDOM}}; + _T_623 = _RAND_8[0:0]; + _RAND_9 = {1{`RANDOM}}; + _T_615 = _RAND_9[0:0]; + _RAND_10 = {1{`RANDOM}}; + _T_607 = _RAND_10[0:0]; + _RAND_11 = {1{`RANDOM}}; + _T_599 = _RAND_11[0:0]; + _RAND_12 = {1{`RANDOM}}; + stbuf_addr_1 = _RAND_12[15:0]; + _RAND_13 = {1{`RANDOM}}; + stbuf_addr_2 = _RAND_13[15:0]; + _RAND_14 = {1{`RANDOM}}; + stbuf_addr_3 = _RAND_14[15:0]; + _RAND_15 = {1{`RANDOM}}; + stbuf_byteen_0 = _RAND_15[3:0]; + _RAND_16 = {1{`RANDOM}}; + stbuf_byteen_1 = _RAND_16[3:0]; + _RAND_17 = {1{`RANDOM}}; + stbuf_byteen_2 = _RAND_17[3:0]; + _RAND_18 = {1{`RANDOM}}; + stbuf_byteen_3 = _RAND_18[3:0]; + _RAND_19 = {1{`RANDOM}}; + stbuf_data_0 = _RAND_19[31:0]; + _RAND_20 = {1{`RANDOM}}; + stbuf_data_1 = _RAND_20[31:0]; + _RAND_21 = {1{`RANDOM}}; + stbuf_data_2 = _RAND_21[31:0]; + _RAND_22 = {1{`RANDOM}}; + stbuf_data_3 = _RAND_22[31:0]; + _RAND_23 = {1{`RANDOM}}; + ldst_dual_m = _RAND_23[0:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + ldst_dual_r = 1'h0; + end + if (reset) begin + RdPtr = 2'h0; + end + if (reset) begin + WrPtr = 2'h0; + end + if (reset) begin + stbuf_addr_0 = 16'h0; + end + if (reset) begin + _T_588 = 1'h0; + end + if (reset) begin + _T_580 = 1'h0; + end + if (reset) begin + _T_572 = 1'h0; + end + if (reset) begin + _T_564 = 1'h0; + end + if (reset) begin + _T_623 = 1'h0; + end + if (reset) begin + _T_615 = 1'h0; + end + if (reset) begin + _T_607 = 1'h0; + end + if (reset) begin + _T_599 = 1'h0; + end + if (reset) begin + stbuf_addr_1 = 16'h0; + end + if (reset) begin + stbuf_addr_2 = 16'h0; + end + if (reset) begin + stbuf_addr_3 = 16'h0; + end + if (reset) begin + stbuf_byteen_0 = 4'h0; + end + if (reset) begin + stbuf_byteen_1 = 4'h0; + end + if (reset) begin + stbuf_byteen_2 = 4'h0; + end + if (reset) begin + stbuf_byteen_3 = 4'h0; + end + if (reset) begin + stbuf_data_0 = 32'h0; + end + if (reset) begin + stbuf_data_1 = 32'h0; + end + if (reset) begin + stbuf_data_2 = 32'h0; + end + if (reset) begin + stbuf_data_3 = 32'h0; + end + if (reset) begin + ldst_dual_m = 1'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + ldst_dual_r <= 1'h0; + end else begin + ldst_dual_r <= ldst_dual_m; + end + end + always @(posedge io_lsu_stbuf_c1_clk or posedge reset) begin + if (reset) begin + RdPtr <= 2'h0; + end else if (_T_212) begin + RdPtr <= RdPtrPlus1; + end + end + always @(posedge io_lsu_stbuf_c1_clk or posedge reset) begin + if (reset) begin + WrPtr <= 2'h0; + end else if (WrPtrEn) begin + if (_T_716) begin + WrPtr <= WrPtrPlus2; + end else begin + WrPtr <= WrPtrPlus1; + end + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + stbuf_addr_0 <= 16'h0; + end else if (sel_lo[0]) begin + stbuf_addr_0 <= io_lsu_addr_r[15:0]; + end else begin + stbuf_addr_0 <= io_end_addr_r[15:0]; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + _T_588 <= 1'h0; + end else begin + _T_588 <= _T_584 & _T_67; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + _T_580 <= 1'h0; + end else begin + _T_580 <= _T_576 & _T_56; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + _T_572 <= 1'h0; + end else begin + _T_572 <= _T_568 & _T_45; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + _T_564 <= 1'h0; + end else begin + _T_564 <= _T_560 & _T_34; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + _T_623 <= 1'h0; + end else begin + _T_623 <= _T_619 & _T_67; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + _T_615 <= 1'h0; + end else begin + _T_615 <= _T_611 & _T_56; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + _T_607 <= 1'h0; + end else begin + _T_607 <= _T_603 & _T_45; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + _T_599 <= 1'h0; + end else begin + _T_599 <= _T_595 & _T_34; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + stbuf_addr_1 <= 16'h0; + end else if (sel_lo[1]) begin + stbuf_addr_1 <= io_lsu_addr_r[15:0]; + end else begin + stbuf_addr_1 <= io_end_addr_r[15:0]; + end + end + always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + if (reset) begin + stbuf_addr_2 <= 16'h0; + end else if (sel_lo[2]) begin + stbuf_addr_2 <= io_lsu_addr_r[15:0]; + end else begin + stbuf_addr_2 <= io_end_addr_r[15:0]; + end + end + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + if (reset) begin + stbuf_addr_3 <= 16'h0; + end else if (sel_lo[3]) begin + stbuf_addr_3 <= io_lsu_addr_r[15:0]; + end else begin + stbuf_addr_3 <= io_end_addr_r[15:0]; + end + end + always @(posedge io_lsu_stbuf_c1_clk or posedge reset) begin + if (reset) begin + stbuf_byteen_0 <= 4'h0; + end else begin + stbuf_byteen_0 <= _T_629 & _T_633; + end + end + always @(posedge io_lsu_stbuf_c1_clk or posedge reset) begin + if (reset) begin + stbuf_byteen_1 <= 4'h0; + end else begin + stbuf_byteen_1 <= _T_638 & _T_642; + end + end + always @(posedge io_lsu_stbuf_c1_clk or posedge reset) begin + if (reset) begin + stbuf_byteen_2 <= 4'h0; + end else begin + stbuf_byteen_2 <= _T_647 & _T_651; + end + end + always @(posedge io_lsu_stbuf_c1_clk or posedge reset) begin + if (reset) begin + stbuf_byteen_3 <= 4'h0; + end else begin + stbuf_byteen_3 <= _T_656 & _T_660; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + stbuf_data_0 <= 32'h0; + end else begin + stbuf_data_0 <= {_T_546,_T_545}; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + stbuf_data_1 <= 32'h0; + end else begin + stbuf_data_1 <= {_T_549,_T_548}; + end + end + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + if (reset) begin + stbuf_data_2 <= 32'h0; + end else begin + stbuf_data_2 <= {_T_552,_T_551}; + end + end + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + if (reset) begin + stbuf_data_3 <= 32'h0; + end else begin + stbuf_data_3 <= {_T_555,_T_554}; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + ldst_dual_m <= 1'h0; + end else begin + ldst_dual_m <= io_lsu_addr_d[2] != io_end_addr_d[2]; + end + end +endmodule +module lsu_ecc( + input clock, + input reset, + input io_lsu_c2_r_clk, + input io_lsu_pkt_m_valid, + input io_lsu_pkt_m_bits_load, + input io_lsu_pkt_m_bits_store, + input io_lsu_pkt_m_bits_dma, + input [31:0] io_stbuf_data_any, + input io_dec_tlu_core_ecc_disable, + input [15:0] io_lsu_addr_m, + input [15:0] io_end_addr_m, + input [31:0] io_dccm_rdata_hi_m, + input [31:0] io_dccm_rdata_lo_m, + input [6:0] io_dccm_data_ecc_hi_m, + input [6:0] io_dccm_data_ecc_lo_m, + input io_ld_single_ecc_error_r, + input io_ld_single_ecc_error_r_ff, + input io_lsu_dccm_rden_m, + input io_addr_in_dccm_m, + input io_dma_dccm_wen, + input [31:0] io_dma_dccm_wdata_lo, + input [31:0] io_dma_dccm_wdata_hi, + input io_scan_mode, + output [31:0] io_sec_data_hi_r, + output [31:0] io_sec_data_lo_r, + output [31:0] io_sec_data_hi_m, + output [31:0] io_sec_data_lo_m, + output [31:0] io_sec_data_hi_r_ff, + output [31:0] io_sec_data_lo_r_ff, + output [6:0] io_dma_dccm_wdata_ecc_hi, + output [6:0] io_dma_dccm_wdata_ecc_lo, + output [6:0] io_stbuf_ecc_any, + output [6:0] io_sec_data_ecc_hi_r_ff, + output [6:0] io_sec_data_ecc_lo_r_ff, + output io_single_ecc_error_hi_r, + output io_single_ecc_error_lo_r, + output io_lsu_single_ecc_error_r, + output io_lsu_double_ecc_error_r, + output io_lsu_single_ecc_error_m, + output io_lsu_double_ecc_error_m +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_io_en; // @[lib.scala 368:23] + wire rvclkhdr_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_en; // @[lib.scala 368:23] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 368:23] + wire _T_96 = ^io_dccm_rdata_hi_m; // @[lib.scala 193:30] + wire _T_97 = ^io_dccm_data_ecc_hi_m; // @[lib.scala 193:44] + wire _T_98 = _T_96 ^ _T_97; // @[lib.scala 193:35] + wire [5:0] _T_106 = {io_dccm_rdata_hi_m[31],io_dccm_rdata_hi_m[30],io_dccm_rdata_hi_m[29],io_dccm_rdata_hi_m[28],io_dccm_rdata_hi_m[27],io_dccm_rdata_hi_m[26]}; // @[lib.scala 193:76] + wire _T_107 = ^_T_106; // @[lib.scala 193:83] + wire _T_108 = io_dccm_data_ecc_hi_m[5] ^ _T_107; // @[lib.scala 193:71] + wire [6:0] _T_115 = {io_dccm_rdata_hi_m[17],io_dccm_rdata_hi_m[16],io_dccm_rdata_hi_m[15],io_dccm_rdata_hi_m[14],io_dccm_rdata_hi_m[13],io_dccm_rdata_hi_m[12],io_dccm_rdata_hi_m[11]}; // @[lib.scala 193:103] + wire [14:0] _T_123 = {io_dccm_rdata_hi_m[25],io_dccm_rdata_hi_m[24],io_dccm_rdata_hi_m[23],io_dccm_rdata_hi_m[22],io_dccm_rdata_hi_m[21],io_dccm_rdata_hi_m[20],io_dccm_rdata_hi_m[19],io_dccm_rdata_hi_m[18],_T_115}; // @[lib.scala 193:103] + wire _T_124 = ^_T_123; // @[lib.scala 193:110] + wire _T_125 = io_dccm_data_ecc_hi_m[4] ^ _T_124; // @[lib.scala 193:98] + wire [6:0] _T_132 = {io_dccm_rdata_hi_m[10],io_dccm_rdata_hi_m[9],io_dccm_rdata_hi_m[8],io_dccm_rdata_hi_m[7],io_dccm_rdata_hi_m[6],io_dccm_rdata_hi_m[5],io_dccm_rdata_hi_m[4]}; // @[lib.scala 193:130] + wire [14:0] _T_140 = {io_dccm_rdata_hi_m[25],io_dccm_rdata_hi_m[24],io_dccm_rdata_hi_m[23],io_dccm_rdata_hi_m[22],io_dccm_rdata_hi_m[21],io_dccm_rdata_hi_m[20],io_dccm_rdata_hi_m[19],io_dccm_rdata_hi_m[18],_T_132}; // @[lib.scala 193:130] + wire _T_141 = ^_T_140; // @[lib.scala 193:137] + wire _T_142 = io_dccm_data_ecc_hi_m[3] ^ _T_141; // @[lib.scala 193:125] + wire [8:0] _T_151 = {io_dccm_rdata_hi_m[15],io_dccm_rdata_hi_m[14],io_dccm_rdata_hi_m[10],io_dccm_rdata_hi_m[9],io_dccm_rdata_hi_m[8],io_dccm_rdata_hi_m[7],io_dccm_rdata_hi_m[3],io_dccm_rdata_hi_m[2],io_dccm_rdata_hi_m[1]}; // @[lib.scala 193:157] + wire [17:0] _T_160 = {io_dccm_rdata_hi_m[31],io_dccm_rdata_hi_m[30],io_dccm_rdata_hi_m[29],io_dccm_rdata_hi_m[25],io_dccm_rdata_hi_m[24],io_dccm_rdata_hi_m[23],io_dccm_rdata_hi_m[22],io_dccm_rdata_hi_m[17],io_dccm_rdata_hi_m[16],_T_151}; // @[lib.scala 193:157] + wire _T_161 = ^_T_160; // @[lib.scala 193:164] + wire _T_162 = io_dccm_data_ecc_hi_m[2] ^ _T_161; // @[lib.scala 193:152] + wire [8:0] _T_171 = {io_dccm_rdata_hi_m[13],io_dccm_rdata_hi_m[12],io_dccm_rdata_hi_m[10],io_dccm_rdata_hi_m[9],io_dccm_rdata_hi_m[6],io_dccm_rdata_hi_m[5],io_dccm_rdata_hi_m[3],io_dccm_rdata_hi_m[2],io_dccm_rdata_hi_m[0]}; // @[lib.scala 193:184] + wire [17:0] _T_180 = {io_dccm_rdata_hi_m[31],io_dccm_rdata_hi_m[28],io_dccm_rdata_hi_m[27],io_dccm_rdata_hi_m[25],io_dccm_rdata_hi_m[24],io_dccm_rdata_hi_m[21],io_dccm_rdata_hi_m[20],io_dccm_rdata_hi_m[17],io_dccm_rdata_hi_m[16],_T_171}; // @[lib.scala 193:184] + wire _T_181 = ^_T_180; // @[lib.scala 193:191] + wire _T_182 = io_dccm_data_ecc_hi_m[1] ^ _T_181; // @[lib.scala 193:179] + wire [8:0] _T_191 = {io_dccm_rdata_hi_m[13],io_dccm_rdata_hi_m[11],io_dccm_rdata_hi_m[10],io_dccm_rdata_hi_m[8],io_dccm_rdata_hi_m[6],io_dccm_rdata_hi_m[4],io_dccm_rdata_hi_m[3],io_dccm_rdata_hi_m[1],io_dccm_rdata_hi_m[0]}; // @[lib.scala 193:211] + wire [17:0] _T_200 = {io_dccm_rdata_hi_m[30],io_dccm_rdata_hi_m[28],io_dccm_rdata_hi_m[26],io_dccm_rdata_hi_m[25],io_dccm_rdata_hi_m[23],io_dccm_rdata_hi_m[21],io_dccm_rdata_hi_m[19],io_dccm_rdata_hi_m[17],io_dccm_rdata_hi_m[15],_T_191}; // @[lib.scala 193:211] + wire _T_201 = ^_T_200; // @[lib.scala 193:218] + wire _T_202 = io_dccm_data_ecc_hi_m[0] ^ _T_201; // @[lib.scala 193:206] + wire [6:0] _T_208 = {_T_98,_T_108,_T_125,_T_142,_T_162,_T_182,_T_202}; // @[Cat.scala 29:58] + wire _T_209 = _T_208 != 7'h0; // @[lib.scala 194:44] + wire _T_1131 = ~io_dec_tlu_core_ecc_disable; // @[lsu_ecc.scala 107:73] + wire _T_1138 = io_lsu_pkt_m_bits_load | io_lsu_pkt_m_bits_store; // @[lsu_ecc.scala 125:65] + wire _T_1139 = io_lsu_pkt_m_valid & _T_1138; // @[lsu_ecc.scala 125:39] + wire _T_1140 = _T_1139 & io_addr_in_dccm_m; // @[lsu_ecc.scala 125:92] + wire is_ldst_m = _T_1140 & io_lsu_dccm_rden_m; // @[lsu_ecc.scala 125:112] + wire ldst_dual_m = io_lsu_addr_m[2] != io_end_addr_m[2]; // @[lsu_ecc.scala 124:39] + wire _T_1144 = ldst_dual_m | io_lsu_pkt_m_bits_dma; // @[lsu_ecc.scala 127:48] + wire _T_1145 = is_ldst_m & _T_1144; // @[lsu_ecc.scala 127:33] + wire is_ldst_hi_m = _T_1145 & _T_1131; // @[lsu_ecc.scala 127:73] + wire _T_210 = is_ldst_hi_m & _T_209; // @[lib.scala 194:32] + wire single_ecc_error_hi_any = _T_210 & _T_208[6]; // @[lib.scala 194:53] + wire _T_215 = ~_T_208[6]; // @[lib.scala 195:55] + wire double_ecc_error_hi_any = _T_210 & _T_215; // @[lib.scala 195:53] + wire _T_218 = _T_208[5:0] == 6'h1; // @[lib.scala 199:41] + wire _T_220 = _T_208[5:0] == 6'h2; // @[lib.scala 199:41] + wire _T_222 = _T_208[5:0] == 6'h3; // @[lib.scala 199:41] + wire _T_224 = _T_208[5:0] == 6'h4; // @[lib.scala 199:41] + wire _T_226 = _T_208[5:0] == 6'h5; // @[lib.scala 199:41] + wire _T_228 = _T_208[5:0] == 6'h6; // @[lib.scala 199:41] + wire _T_230 = _T_208[5:0] == 6'h7; // @[lib.scala 199:41] + wire _T_232 = _T_208[5:0] == 6'h8; // @[lib.scala 199:41] + wire _T_234 = _T_208[5:0] == 6'h9; // @[lib.scala 199:41] + wire _T_236 = _T_208[5:0] == 6'ha; // @[lib.scala 199:41] + wire _T_238 = _T_208[5:0] == 6'hb; // @[lib.scala 199:41] + wire _T_240 = _T_208[5:0] == 6'hc; // @[lib.scala 199:41] + wire _T_242 = _T_208[5:0] == 6'hd; // @[lib.scala 199:41] + wire _T_244 = _T_208[5:0] == 6'he; // @[lib.scala 199:41] + wire _T_246 = _T_208[5:0] == 6'hf; // @[lib.scala 199:41] + wire _T_248 = _T_208[5:0] == 6'h10; // @[lib.scala 199:41] + wire _T_250 = _T_208[5:0] == 6'h11; // @[lib.scala 199:41] + wire _T_252 = _T_208[5:0] == 6'h12; // @[lib.scala 199:41] + wire _T_254 = _T_208[5:0] == 6'h13; // @[lib.scala 199:41] + wire _T_256 = _T_208[5:0] == 6'h14; // @[lib.scala 199:41] + wire _T_258 = _T_208[5:0] == 6'h15; // @[lib.scala 199:41] + wire _T_260 = _T_208[5:0] == 6'h16; // @[lib.scala 199:41] + wire _T_262 = _T_208[5:0] == 6'h17; // @[lib.scala 199:41] + wire _T_264 = _T_208[5:0] == 6'h18; // @[lib.scala 199:41] + wire _T_266 = _T_208[5:0] == 6'h19; // @[lib.scala 199:41] + wire _T_268 = _T_208[5:0] == 6'h1a; // @[lib.scala 199:41] + wire _T_270 = _T_208[5:0] == 6'h1b; // @[lib.scala 199:41] + wire _T_272 = _T_208[5:0] == 6'h1c; // @[lib.scala 199:41] + wire _T_274 = _T_208[5:0] == 6'h1d; // @[lib.scala 199:41] + wire _T_276 = _T_208[5:0] == 6'h1e; // @[lib.scala 199:41] + wire _T_278 = _T_208[5:0] == 6'h1f; // @[lib.scala 199:41] + wire _T_280 = _T_208[5:0] == 6'h20; // @[lib.scala 199:41] + wire _T_282 = _T_208[5:0] == 6'h21; // @[lib.scala 199:41] + wire _T_284 = _T_208[5:0] == 6'h22; // @[lib.scala 199:41] + wire _T_286 = _T_208[5:0] == 6'h23; // @[lib.scala 199:41] + wire _T_288 = _T_208[5:0] == 6'h24; // @[lib.scala 199:41] + wire _T_290 = _T_208[5:0] == 6'h25; // @[lib.scala 199:41] + wire _T_292 = _T_208[5:0] == 6'h26; // @[lib.scala 199:41] + wire _T_294 = _T_208[5:0] == 6'h27; // @[lib.scala 199:41] + wire [7:0] _T_309 = {io_dccm_data_ecc_hi_m[3],io_dccm_rdata_hi_m[3:1],io_dccm_data_ecc_hi_m[2],io_dccm_rdata_hi_m[0],io_dccm_data_ecc_hi_m[1:0]}; // @[Cat.scala 29:58] + wire [38:0] _T_315 = {io_dccm_data_ecc_hi_m[6],io_dccm_rdata_hi_m[31:26],io_dccm_data_ecc_hi_m[5],io_dccm_rdata_hi_m[25:11],io_dccm_data_ecc_hi_m[4],io_dccm_rdata_hi_m[10:4],_T_309}; // @[Cat.scala 29:58] + wire [9:0] _T_333 = {_T_254,_T_252,_T_250,_T_248,_T_246,_T_244,_T_242,_T_240,_T_238,_T_236}; // @[lib.scala 202:69] + wire [18:0] _T_334 = {_T_333,_T_234,_T_232,_T_230,_T_228,_T_226,_T_224,_T_222,_T_220,_T_218}; // @[lib.scala 202:69] + wire [9:0] _T_343 = {_T_274,_T_272,_T_270,_T_268,_T_266,_T_264,_T_262,_T_260,_T_258,_T_256}; // @[lib.scala 202:69] + wire [9:0] _T_352 = {_T_294,_T_292,_T_290,_T_288,_T_286,_T_284,_T_282,_T_280,_T_278,_T_276}; // @[lib.scala 202:69] + wire [38:0] _T_354 = {_T_352,_T_343,_T_334}; // @[lib.scala 202:69] + wire [38:0] _T_355 = _T_354 ^ _T_315; // @[lib.scala 202:76] + wire [38:0] _T_356 = single_ecc_error_hi_any ? _T_355 : _T_315; // @[lib.scala 202:31] + wire [3:0] _T_362 = {_T_356[6:4],_T_356[2]}; // @[Cat.scala 29:58] + wire [27:0] _T_364 = {_T_356[37:32],_T_356[30:16],_T_356[14:8]}; // @[Cat.scala 29:58] + wire _T_474 = ^io_dccm_rdata_lo_m; // @[lib.scala 193:30] + wire _T_475 = ^io_dccm_data_ecc_lo_m; // @[lib.scala 193:44] + wire _T_476 = _T_474 ^ _T_475; // @[lib.scala 193:35] + wire [5:0] _T_484 = {io_dccm_rdata_lo_m[31],io_dccm_rdata_lo_m[30],io_dccm_rdata_lo_m[29],io_dccm_rdata_lo_m[28],io_dccm_rdata_lo_m[27],io_dccm_rdata_lo_m[26]}; // @[lib.scala 193:76] + wire _T_485 = ^_T_484; // @[lib.scala 193:83] + wire _T_486 = io_dccm_data_ecc_lo_m[5] ^ _T_485; // @[lib.scala 193:71] + wire [6:0] _T_493 = {io_dccm_rdata_lo_m[17],io_dccm_rdata_lo_m[16],io_dccm_rdata_lo_m[15],io_dccm_rdata_lo_m[14],io_dccm_rdata_lo_m[13],io_dccm_rdata_lo_m[12],io_dccm_rdata_lo_m[11]}; // @[lib.scala 193:103] + wire [14:0] _T_501 = {io_dccm_rdata_lo_m[25],io_dccm_rdata_lo_m[24],io_dccm_rdata_lo_m[23],io_dccm_rdata_lo_m[22],io_dccm_rdata_lo_m[21],io_dccm_rdata_lo_m[20],io_dccm_rdata_lo_m[19],io_dccm_rdata_lo_m[18],_T_493}; // @[lib.scala 193:103] + wire _T_502 = ^_T_501; // @[lib.scala 193:110] + wire _T_503 = io_dccm_data_ecc_lo_m[4] ^ _T_502; // @[lib.scala 193:98] + wire [6:0] _T_510 = {io_dccm_rdata_lo_m[10],io_dccm_rdata_lo_m[9],io_dccm_rdata_lo_m[8],io_dccm_rdata_lo_m[7],io_dccm_rdata_lo_m[6],io_dccm_rdata_lo_m[5],io_dccm_rdata_lo_m[4]}; // @[lib.scala 193:130] + wire [14:0] _T_518 = {io_dccm_rdata_lo_m[25],io_dccm_rdata_lo_m[24],io_dccm_rdata_lo_m[23],io_dccm_rdata_lo_m[22],io_dccm_rdata_lo_m[21],io_dccm_rdata_lo_m[20],io_dccm_rdata_lo_m[19],io_dccm_rdata_lo_m[18],_T_510}; // @[lib.scala 193:130] + wire _T_519 = ^_T_518; // @[lib.scala 193:137] + wire _T_520 = io_dccm_data_ecc_lo_m[3] ^ _T_519; // @[lib.scala 193:125] + wire [8:0] _T_529 = {io_dccm_rdata_lo_m[15],io_dccm_rdata_lo_m[14],io_dccm_rdata_lo_m[10],io_dccm_rdata_lo_m[9],io_dccm_rdata_lo_m[8],io_dccm_rdata_lo_m[7],io_dccm_rdata_lo_m[3],io_dccm_rdata_lo_m[2],io_dccm_rdata_lo_m[1]}; // @[lib.scala 193:157] + wire [17:0] _T_538 = {io_dccm_rdata_lo_m[31],io_dccm_rdata_lo_m[30],io_dccm_rdata_lo_m[29],io_dccm_rdata_lo_m[25],io_dccm_rdata_lo_m[24],io_dccm_rdata_lo_m[23],io_dccm_rdata_lo_m[22],io_dccm_rdata_lo_m[17],io_dccm_rdata_lo_m[16],_T_529}; // @[lib.scala 193:157] + wire _T_539 = ^_T_538; // @[lib.scala 193:164] + wire _T_540 = io_dccm_data_ecc_lo_m[2] ^ _T_539; // @[lib.scala 193:152] + wire [8:0] _T_549 = {io_dccm_rdata_lo_m[13],io_dccm_rdata_lo_m[12],io_dccm_rdata_lo_m[10],io_dccm_rdata_lo_m[9],io_dccm_rdata_lo_m[6],io_dccm_rdata_lo_m[5],io_dccm_rdata_lo_m[3],io_dccm_rdata_lo_m[2],io_dccm_rdata_lo_m[0]}; // @[lib.scala 193:184] + wire [17:0] _T_558 = {io_dccm_rdata_lo_m[31],io_dccm_rdata_lo_m[28],io_dccm_rdata_lo_m[27],io_dccm_rdata_lo_m[25],io_dccm_rdata_lo_m[24],io_dccm_rdata_lo_m[21],io_dccm_rdata_lo_m[20],io_dccm_rdata_lo_m[17],io_dccm_rdata_lo_m[16],_T_549}; // @[lib.scala 193:184] + wire _T_559 = ^_T_558; // @[lib.scala 193:191] + wire _T_560 = io_dccm_data_ecc_lo_m[1] ^ _T_559; // @[lib.scala 193:179] + wire [8:0] _T_569 = {io_dccm_rdata_lo_m[13],io_dccm_rdata_lo_m[11],io_dccm_rdata_lo_m[10],io_dccm_rdata_lo_m[8],io_dccm_rdata_lo_m[6],io_dccm_rdata_lo_m[4],io_dccm_rdata_lo_m[3],io_dccm_rdata_lo_m[1],io_dccm_rdata_lo_m[0]}; // @[lib.scala 193:211] + wire [17:0] _T_578 = {io_dccm_rdata_lo_m[30],io_dccm_rdata_lo_m[28],io_dccm_rdata_lo_m[26],io_dccm_rdata_lo_m[25],io_dccm_rdata_lo_m[23],io_dccm_rdata_lo_m[21],io_dccm_rdata_lo_m[19],io_dccm_rdata_lo_m[17],io_dccm_rdata_lo_m[15],_T_569}; // @[lib.scala 193:211] + wire _T_579 = ^_T_578; // @[lib.scala 193:218] + wire _T_580 = io_dccm_data_ecc_lo_m[0] ^ _T_579; // @[lib.scala 193:206] + wire [6:0] _T_586 = {_T_476,_T_486,_T_503,_T_520,_T_540,_T_560,_T_580}; // @[Cat.scala 29:58] + wire _T_587 = _T_586 != 7'h0; // @[lib.scala 194:44] + wire is_ldst_lo_m = is_ldst_m & _T_1131; // @[lsu_ecc.scala 126:33] + wire _T_588 = is_ldst_lo_m & _T_587; // @[lib.scala 194:32] + wire single_ecc_error_lo_any = _T_588 & _T_586[6]; // @[lib.scala 194:53] + wire _T_593 = ~_T_586[6]; // @[lib.scala 195:55] + wire double_ecc_error_lo_any = _T_588 & _T_593; // @[lib.scala 195:53] + wire _T_596 = _T_586[5:0] == 6'h1; // @[lib.scala 199:41] + wire _T_598 = _T_586[5:0] == 6'h2; // @[lib.scala 199:41] + wire _T_600 = _T_586[5:0] == 6'h3; // @[lib.scala 199:41] + wire _T_602 = _T_586[5:0] == 6'h4; // @[lib.scala 199:41] + wire _T_604 = _T_586[5:0] == 6'h5; // @[lib.scala 199:41] + wire _T_606 = _T_586[5:0] == 6'h6; // @[lib.scala 199:41] + wire _T_608 = _T_586[5:0] == 6'h7; // @[lib.scala 199:41] + wire _T_610 = _T_586[5:0] == 6'h8; // @[lib.scala 199:41] + wire _T_612 = _T_586[5:0] == 6'h9; // @[lib.scala 199:41] + wire _T_614 = _T_586[5:0] == 6'ha; // @[lib.scala 199:41] + wire _T_616 = _T_586[5:0] == 6'hb; // @[lib.scala 199:41] + wire _T_618 = _T_586[5:0] == 6'hc; // @[lib.scala 199:41] + wire _T_620 = _T_586[5:0] == 6'hd; // @[lib.scala 199:41] + wire _T_622 = _T_586[5:0] == 6'he; // @[lib.scala 199:41] + wire _T_624 = _T_586[5:0] == 6'hf; // @[lib.scala 199:41] + wire _T_626 = _T_586[5:0] == 6'h10; // @[lib.scala 199:41] + wire _T_628 = _T_586[5:0] == 6'h11; // @[lib.scala 199:41] + wire _T_630 = _T_586[5:0] == 6'h12; // @[lib.scala 199:41] + wire _T_632 = _T_586[5:0] == 6'h13; // @[lib.scala 199:41] + wire _T_634 = _T_586[5:0] == 6'h14; // @[lib.scala 199:41] + wire _T_636 = _T_586[5:0] == 6'h15; // @[lib.scala 199:41] + wire _T_638 = _T_586[5:0] == 6'h16; // @[lib.scala 199:41] + wire _T_640 = _T_586[5:0] == 6'h17; // @[lib.scala 199:41] + wire _T_642 = _T_586[5:0] == 6'h18; // @[lib.scala 199:41] + wire _T_644 = _T_586[5:0] == 6'h19; // @[lib.scala 199:41] + wire _T_646 = _T_586[5:0] == 6'h1a; // @[lib.scala 199:41] + wire _T_648 = _T_586[5:0] == 6'h1b; // @[lib.scala 199:41] + wire _T_650 = _T_586[5:0] == 6'h1c; // @[lib.scala 199:41] + wire _T_652 = _T_586[5:0] == 6'h1d; // @[lib.scala 199:41] + wire _T_654 = _T_586[5:0] == 6'h1e; // @[lib.scala 199:41] + wire _T_656 = _T_586[5:0] == 6'h1f; // @[lib.scala 199:41] + wire _T_658 = _T_586[5:0] == 6'h20; // @[lib.scala 199:41] + wire _T_660 = _T_586[5:0] == 6'h21; // @[lib.scala 199:41] + wire _T_662 = _T_586[5:0] == 6'h22; // @[lib.scala 199:41] + wire _T_664 = _T_586[5:0] == 6'h23; // @[lib.scala 199:41] + wire _T_666 = _T_586[5:0] == 6'h24; // @[lib.scala 199:41] + wire _T_668 = _T_586[5:0] == 6'h25; // @[lib.scala 199:41] + wire _T_670 = _T_586[5:0] == 6'h26; // @[lib.scala 199:41] + wire _T_672 = _T_586[5:0] == 6'h27; // @[lib.scala 199:41] + wire [7:0] _T_687 = {io_dccm_data_ecc_lo_m[3],io_dccm_rdata_lo_m[3:1],io_dccm_data_ecc_lo_m[2],io_dccm_rdata_lo_m[0],io_dccm_data_ecc_lo_m[1:0]}; // @[Cat.scala 29:58] + wire [38:0] _T_693 = {io_dccm_data_ecc_lo_m[6],io_dccm_rdata_lo_m[31:26],io_dccm_data_ecc_lo_m[5],io_dccm_rdata_lo_m[25:11],io_dccm_data_ecc_lo_m[4],io_dccm_rdata_lo_m[10:4],_T_687}; // @[Cat.scala 29:58] + wire [9:0] _T_711 = {_T_632,_T_630,_T_628,_T_626,_T_624,_T_622,_T_620,_T_618,_T_616,_T_614}; // @[lib.scala 202:69] + wire [18:0] _T_712 = {_T_711,_T_612,_T_610,_T_608,_T_606,_T_604,_T_602,_T_600,_T_598,_T_596}; // @[lib.scala 202:69] + wire [9:0] _T_721 = {_T_652,_T_650,_T_648,_T_646,_T_644,_T_642,_T_640,_T_638,_T_636,_T_634}; // @[lib.scala 202:69] + wire [9:0] _T_730 = {_T_672,_T_670,_T_668,_T_666,_T_664,_T_662,_T_660,_T_658,_T_656,_T_654}; // @[lib.scala 202:69] + wire [38:0] _T_732 = {_T_730,_T_721,_T_712}; // @[lib.scala 202:69] + wire [38:0] _T_733 = _T_732 ^ _T_693; // @[lib.scala 202:76] + wire [38:0] _T_734 = single_ecc_error_lo_any ? _T_733 : _T_693; // @[lib.scala 202:31] + wire [3:0] _T_740 = {_T_734[6:4],_T_734[2]}; // @[Cat.scala 29:58] + wire [27:0] _T_742 = {_T_734[37:32],_T_734[30:16],_T_734[14:8]}; // @[Cat.scala 29:58] + wire [31:0] _T_1158 = io_dma_dccm_wen ? io_dma_dccm_wdata_lo : io_stbuf_data_any; // @[lsu_ecc.scala 149:87] + wire [31:0] dccm_wdata_lo_any = io_ld_single_ecc_error_r_ff ? io_sec_data_lo_r_ff : _T_1158; // @[lsu_ecc.scala 149:27] + wire _T_774 = dccm_wdata_lo_any[0] ^ dccm_wdata_lo_any[1]; // @[lib.scala 119:74] + wire _T_775 = _T_774 ^ dccm_wdata_lo_any[3]; // @[lib.scala 119:74] + wire _T_776 = _T_775 ^ dccm_wdata_lo_any[4]; // @[lib.scala 119:74] + wire _T_777 = _T_776 ^ dccm_wdata_lo_any[6]; // @[lib.scala 119:74] + wire _T_778 = _T_777 ^ dccm_wdata_lo_any[8]; // @[lib.scala 119:74] + wire _T_779 = _T_778 ^ dccm_wdata_lo_any[10]; // @[lib.scala 119:74] + wire _T_780 = _T_779 ^ dccm_wdata_lo_any[11]; // @[lib.scala 119:74] + wire _T_781 = _T_780 ^ dccm_wdata_lo_any[13]; // @[lib.scala 119:74] + wire _T_782 = _T_781 ^ dccm_wdata_lo_any[15]; // @[lib.scala 119:74] + wire _T_783 = _T_782 ^ dccm_wdata_lo_any[17]; // @[lib.scala 119:74] + wire _T_784 = _T_783 ^ dccm_wdata_lo_any[19]; // @[lib.scala 119:74] + wire _T_785 = _T_784 ^ dccm_wdata_lo_any[21]; // @[lib.scala 119:74] + wire _T_786 = _T_785 ^ dccm_wdata_lo_any[23]; // @[lib.scala 119:74] + wire _T_787 = _T_786 ^ dccm_wdata_lo_any[25]; // @[lib.scala 119:74] + wire _T_788 = _T_787 ^ dccm_wdata_lo_any[26]; // @[lib.scala 119:74] + wire _T_789 = _T_788 ^ dccm_wdata_lo_any[28]; // @[lib.scala 119:74] + wire _T_790 = _T_789 ^ dccm_wdata_lo_any[30]; // @[lib.scala 119:74] + wire _T_809 = dccm_wdata_lo_any[0] ^ dccm_wdata_lo_any[2]; // @[lib.scala 119:74] + wire _T_810 = _T_809 ^ dccm_wdata_lo_any[3]; // @[lib.scala 119:74] + wire _T_811 = _T_810 ^ dccm_wdata_lo_any[5]; // @[lib.scala 119:74] + wire _T_812 = _T_811 ^ dccm_wdata_lo_any[6]; // @[lib.scala 119:74] + wire _T_813 = _T_812 ^ dccm_wdata_lo_any[9]; // @[lib.scala 119:74] + wire _T_814 = _T_813 ^ dccm_wdata_lo_any[10]; // @[lib.scala 119:74] + wire _T_815 = _T_814 ^ dccm_wdata_lo_any[12]; // @[lib.scala 119:74] + wire _T_816 = _T_815 ^ dccm_wdata_lo_any[13]; // @[lib.scala 119:74] + wire _T_817 = _T_816 ^ dccm_wdata_lo_any[16]; // @[lib.scala 119:74] + wire _T_818 = _T_817 ^ dccm_wdata_lo_any[17]; // @[lib.scala 119:74] + wire _T_819 = _T_818 ^ dccm_wdata_lo_any[20]; // @[lib.scala 119:74] + wire _T_820 = _T_819 ^ dccm_wdata_lo_any[21]; // @[lib.scala 119:74] + wire _T_821 = _T_820 ^ dccm_wdata_lo_any[24]; // @[lib.scala 119:74] + wire _T_822 = _T_821 ^ dccm_wdata_lo_any[25]; // @[lib.scala 119:74] + wire _T_823 = _T_822 ^ dccm_wdata_lo_any[27]; // @[lib.scala 119:74] + wire _T_824 = _T_823 ^ dccm_wdata_lo_any[28]; // @[lib.scala 119:74] + wire _T_825 = _T_824 ^ dccm_wdata_lo_any[31]; // @[lib.scala 119:74] + wire _T_844 = dccm_wdata_lo_any[1] ^ dccm_wdata_lo_any[2]; // @[lib.scala 119:74] + wire _T_845 = _T_844 ^ dccm_wdata_lo_any[3]; // @[lib.scala 119:74] + wire _T_846 = _T_845 ^ dccm_wdata_lo_any[7]; // @[lib.scala 119:74] + wire _T_847 = _T_846 ^ dccm_wdata_lo_any[8]; // @[lib.scala 119:74] + wire _T_848 = _T_847 ^ dccm_wdata_lo_any[9]; // @[lib.scala 119:74] + wire _T_849 = _T_848 ^ dccm_wdata_lo_any[10]; // @[lib.scala 119:74] + wire _T_850 = _T_849 ^ dccm_wdata_lo_any[14]; // @[lib.scala 119:74] + wire _T_851 = _T_850 ^ dccm_wdata_lo_any[15]; // @[lib.scala 119:74] + wire _T_852 = _T_851 ^ dccm_wdata_lo_any[16]; // @[lib.scala 119:74] + wire _T_853 = _T_852 ^ dccm_wdata_lo_any[17]; // @[lib.scala 119:74] + wire _T_854 = _T_853 ^ dccm_wdata_lo_any[22]; // @[lib.scala 119:74] + wire _T_855 = _T_854 ^ dccm_wdata_lo_any[23]; // @[lib.scala 119:74] + wire _T_856 = _T_855 ^ dccm_wdata_lo_any[24]; // @[lib.scala 119:74] + wire _T_857 = _T_856 ^ dccm_wdata_lo_any[25]; // @[lib.scala 119:74] + wire _T_858 = _T_857 ^ dccm_wdata_lo_any[29]; // @[lib.scala 119:74] + wire _T_859 = _T_858 ^ dccm_wdata_lo_any[30]; // @[lib.scala 119:74] + wire _T_860 = _T_859 ^ dccm_wdata_lo_any[31]; // @[lib.scala 119:74] + wire _T_876 = dccm_wdata_lo_any[4] ^ dccm_wdata_lo_any[5]; // @[lib.scala 119:74] + wire _T_877 = _T_876 ^ dccm_wdata_lo_any[6]; // @[lib.scala 119:74] + wire _T_878 = _T_877 ^ dccm_wdata_lo_any[7]; // @[lib.scala 119:74] + wire _T_879 = _T_878 ^ dccm_wdata_lo_any[8]; // @[lib.scala 119:74] + wire _T_880 = _T_879 ^ dccm_wdata_lo_any[9]; // @[lib.scala 119:74] + wire _T_881 = _T_880 ^ dccm_wdata_lo_any[10]; // @[lib.scala 119:74] + wire _T_882 = _T_881 ^ dccm_wdata_lo_any[18]; // @[lib.scala 119:74] + wire _T_883 = _T_882 ^ dccm_wdata_lo_any[19]; // @[lib.scala 119:74] + wire _T_884 = _T_883 ^ dccm_wdata_lo_any[20]; // @[lib.scala 119:74] + wire _T_885 = _T_884 ^ dccm_wdata_lo_any[21]; // @[lib.scala 119:74] + wire _T_886 = _T_885 ^ dccm_wdata_lo_any[22]; // @[lib.scala 119:74] + wire _T_887 = _T_886 ^ dccm_wdata_lo_any[23]; // @[lib.scala 119:74] + wire _T_888 = _T_887 ^ dccm_wdata_lo_any[24]; // @[lib.scala 119:74] + wire _T_889 = _T_888 ^ dccm_wdata_lo_any[25]; // @[lib.scala 119:74] + wire _T_905 = dccm_wdata_lo_any[11] ^ dccm_wdata_lo_any[12]; // @[lib.scala 119:74] + wire _T_906 = _T_905 ^ dccm_wdata_lo_any[13]; // @[lib.scala 119:74] + wire _T_907 = _T_906 ^ dccm_wdata_lo_any[14]; // @[lib.scala 119:74] + wire _T_908 = _T_907 ^ dccm_wdata_lo_any[15]; // @[lib.scala 119:74] + wire _T_909 = _T_908 ^ dccm_wdata_lo_any[16]; // @[lib.scala 119:74] + wire _T_910 = _T_909 ^ dccm_wdata_lo_any[17]; // @[lib.scala 119:74] + wire _T_911 = _T_910 ^ dccm_wdata_lo_any[18]; // @[lib.scala 119:74] + wire _T_912 = _T_911 ^ dccm_wdata_lo_any[19]; // @[lib.scala 119:74] + wire _T_913 = _T_912 ^ dccm_wdata_lo_any[20]; // @[lib.scala 119:74] + wire _T_914 = _T_913 ^ dccm_wdata_lo_any[21]; // @[lib.scala 119:74] + wire _T_915 = _T_914 ^ dccm_wdata_lo_any[22]; // @[lib.scala 119:74] + wire _T_916 = _T_915 ^ dccm_wdata_lo_any[23]; // @[lib.scala 119:74] + wire _T_917 = _T_916 ^ dccm_wdata_lo_any[24]; // @[lib.scala 119:74] + wire _T_918 = _T_917 ^ dccm_wdata_lo_any[25]; // @[lib.scala 119:74] + wire _T_925 = dccm_wdata_lo_any[26] ^ dccm_wdata_lo_any[27]; // @[lib.scala 119:74] + wire _T_926 = _T_925 ^ dccm_wdata_lo_any[28]; // @[lib.scala 119:74] + wire _T_927 = _T_926 ^ dccm_wdata_lo_any[29]; // @[lib.scala 119:74] + wire _T_928 = _T_927 ^ dccm_wdata_lo_any[30]; // @[lib.scala 119:74] + wire _T_929 = _T_928 ^ dccm_wdata_lo_any[31]; // @[lib.scala 119:74] + wire [5:0] _T_934 = {_T_929,_T_918,_T_889,_T_860,_T_825,_T_790}; // @[Cat.scala 29:58] + wire _T_935 = ^dccm_wdata_lo_any; // @[lib.scala 127:13] + wire _T_936 = ^_T_934; // @[lib.scala 127:23] + wire _T_937 = _T_935 ^ _T_936; // @[lib.scala 127:18] + wire [31:0] _T_1162 = io_dma_dccm_wen ? io_dma_dccm_wdata_hi : io_stbuf_data_any; // @[lsu_ecc.scala 150:87] + wire [31:0] dccm_wdata_hi_any = io_ld_single_ecc_error_r_ff ? io_sec_data_hi_r_ff : _T_1162; // @[lsu_ecc.scala 150:27] + wire _T_956 = dccm_wdata_hi_any[0] ^ dccm_wdata_hi_any[1]; // @[lib.scala 119:74] + wire _T_957 = _T_956 ^ dccm_wdata_hi_any[3]; // @[lib.scala 119:74] + wire _T_958 = _T_957 ^ dccm_wdata_hi_any[4]; // @[lib.scala 119:74] + wire _T_959 = _T_958 ^ dccm_wdata_hi_any[6]; // @[lib.scala 119:74] + wire _T_960 = _T_959 ^ dccm_wdata_hi_any[8]; // @[lib.scala 119:74] + wire _T_961 = _T_960 ^ dccm_wdata_hi_any[10]; // @[lib.scala 119:74] + wire _T_962 = _T_961 ^ dccm_wdata_hi_any[11]; // @[lib.scala 119:74] + wire _T_963 = _T_962 ^ dccm_wdata_hi_any[13]; // @[lib.scala 119:74] + wire _T_964 = _T_963 ^ dccm_wdata_hi_any[15]; // @[lib.scala 119:74] + wire _T_965 = _T_964 ^ dccm_wdata_hi_any[17]; // @[lib.scala 119:74] + wire _T_966 = _T_965 ^ dccm_wdata_hi_any[19]; // @[lib.scala 119:74] + wire _T_967 = _T_966 ^ dccm_wdata_hi_any[21]; // @[lib.scala 119:74] + wire _T_968 = _T_967 ^ dccm_wdata_hi_any[23]; // @[lib.scala 119:74] + wire _T_969 = _T_968 ^ dccm_wdata_hi_any[25]; // @[lib.scala 119:74] + wire _T_970 = _T_969 ^ dccm_wdata_hi_any[26]; // @[lib.scala 119:74] + wire _T_971 = _T_970 ^ dccm_wdata_hi_any[28]; // @[lib.scala 119:74] + wire _T_972 = _T_971 ^ dccm_wdata_hi_any[30]; // @[lib.scala 119:74] + wire _T_991 = dccm_wdata_hi_any[0] ^ dccm_wdata_hi_any[2]; // @[lib.scala 119:74] + wire _T_992 = _T_991 ^ dccm_wdata_hi_any[3]; // @[lib.scala 119:74] + wire _T_993 = _T_992 ^ dccm_wdata_hi_any[5]; // @[lib.scala 119:74] + wire _T_994 = _T_993 ^ dccm_wdata_hi_any[6]; // @[lib.scala 119:74] + wire _T_995 = _T_994 ^ dccm_wdata_hi_any[9]; // @[lib.scala 119:74] + wire _T_996 = _T_995 ^ dccm_wdata_hi_any[10]; // @[lib.scala 119:74] + wire _T_997 = _T_996 ^ dccm_wdata_hi_any[12]; // @[lib.scala 119:74] + wire _T_998 = _T_997 ^ dccm_wdata_hi_any[13]; // @[lib.scala 119:74] + wire _T_999 = _T_998 ^ dccm_wdata_hi_any[16]; // @[lib.scala 119:74] + wire _T_1000 = _T_999 ^ dccm_wdata_hi_any[17]; // @[lib.scala 119:74] + wire _T_1001 = _T_1000 ^ dccm_wdata_hi_any[20]; // @[lib.scala 119:74] + wire _T_1002 = _T_1001 ^ dccm_wdata_hi_any[21]; // @[lib.scala 119:74] + wire _T_1003 = _T_1002 ^ dccm_wdata_hi_any[24]; // @[lib.scala 119:74] + wire _T_1004 = _T_1003 ^ dccm_wdata_hi_any[25]; // @[lib.scala 119:74] + wire _T_1005 = _T_1004 ^ dccm_wdata_hi_any[27]; // @[lib.scala 119:74] + wire _T_1006 = _T_1005 ^ dccm_wdata_hi_any[28]; // @[lib.scala 119:74] + wire _T_1007 = _T_1006 ^ dccm_wdata_hi_any[31]; // @[lib.scala 119:74] + wire _T_1026 = dccm_wdata_hi_any[1] ^ dccm_wdata_hi_any[2]; // @[lib.scala 119:74] + wire _T_1027 = _T_1026 ^ dccm_wdata_hi_any[3]; // @[lib.scala 119:74] + wire _T_1028 = _T_1027 ^ dccm_wdata_hi_any[7]; // @[lib.scala 119:74] + wire _T_1029 = _T_1028 ^ dccm_wdata_hi_any[8]; // @[lib.scala 119:74] + wire _T_1030 = _T_1029 ^ dccm_wdata_hi_any[9]; // @[lib.scala 119:74] + wire _T_1031 = _T_1030 ^ dccm_wdata_hi_any[10]; // @[lib.scala 119:74] + wire _T_1032 = _T_1031 ^ dccm_wdata_hi_any[14]; // @[lib.scala 119:74] + wire _T_1033 = _T_1032 ^ dccm_wdata_hi_any[15]; // @[lib.scala 119:74] + wire _T_1034 = _T_1033 ^ dccm_wdata_hi_any[16]; // @[lib.scala 119:74] + wire _T_1035 = _T_1034 ^ dccm_wdata_hi_any[17]; // @[lib.scala 119:74] + wire _T_1036 = _T_1035 ^ dccm_wdata_hi_any[22]; // @[lib.scala 119:74] + wire _T_1037 = _T_1036 ^ dccm_wdata_hi_any[23]; // @[lib.scala 119:74] + wire _T_1038 = _T_1037 ^ dccm_wdata_hi_any[24]; // @[lib.scala 119:74] + wire _T_1039 = _T_1038 ^ dccm_wdata_hi_any[25]; // @[lib.scala 119:74] + wire _T_1040 = _T_1039 ^ dccm_wdata_hi_any[29]; // @[lib.scala 119:74] + wire _T_1041 = _T_1040 ^ dccm_wdata_hi_any[30]; // @[lib.scala 119:74] + wire _T_1042 = _T_1041 ^ dccm_wdata_hi_any[31]; // @[lib.scala 119:74] + wire _T_1058 = dccm_wdata_hi_any[4] ^ dccm_wdata_hi_any[5]; // @[lib.scala 119:74] + wire _T_1059 = _T_1058 ^ dccm_wdata_hi_any[6]; // @[lib.scala 119:74] + wire _T_1060 = _T_1059 ^ dccm_wdata_hi_any[7]; // @[lib.scala 119:74] + wire _T_1061 = _T_1060 ^ dccm_wdata_hi_any[8]; // @[lib.scala 119:74] + wire _T_1062 = _T_1061 ^ dccm_wdata_hi_any[9]; // @[lib.scala 119:74] + wire _T_1063 = _T_1062 ^ dccm_wdata_hi_any[10]; // @[lib.scala 119:74] + wire _T_1064 = _T_1063 ^ dccm_wdata_hi_any[18]; // @[lib.scala 119:74] + wire _T_1065 = _T_1064 ^ dccm_wdata_hi_any[19]; // @[lib.scala 119:74] + wire _T_1066 = _T_1065 ^ dccm_wdata_hi_any[20]; // @[lib.scala 119:74] + wire _T_1067 = _T_1066 ^ dccm_wdata_hi_any[21]; // @[lib.scala 119:74] + wire _T_1068 = _T_1067 ^ dccm_wdata_hi_any[22]; // @[lib.scala 119:74] + wire _T_1069 = _T_1068 ^ dccm_wdata_hi_any[23]; // @[lib.scala 119:74] + wire _T_1070 = _T_1069 ^ dccm_wdata_hi_any[24]; // @[lib.scala 119:74] + wire _T_1071 = _T_1070 ^ dccm_wdata_hi_any[25]; // @[lib.scala 119:74] + wire _T_1087 = dccm_wdata_hi_any[11] ^ dccm_wdata_hi_any[12]; // @[lib.scala 119:74] + wire _T_1088 = _T_1087 ^ dccm_wdata_hi_any[13]; // @[lib.scala 119:74] + wire _T_1089 = _T_1088 ^ dccm_wdata_hi_any[14]; // @[lib.scala 119:74] + wire _T_1090 = _T_1089 ^ dccm_wdata_hi_any[15]; // @[lib.scala 119:74] + wire _T_1091 = _T_1090 ^ dccm_wdata_hi_any[16]; // @[lib.scala 119:74] + wire _T_1092 = _T_1091 ^ dccm_wdata_hi_any[17]; // @[lib.scala 119:74] + wire _T_1093 = _T_1092 ^ dccm_wdata_hi_any[18]; // @[lib.scala 119:74] + wire _T_1094 = _T_1093 ^ dccm_wdata_hi_any[19]; // @[lib.scala 119:74] + wire _T_1095 = _T_1094 ^ dccm_wdata_hi_any[20]; // @[lib.scala 119:74] + wire _T_1096 = _T_1095 ^ dccm_wdata_hi_any[21]; // @[lib.scala 119:74] + wire _T_1097 = _T_1096 ^ dccm_wdata_hi_any[22]; // @[lib.scala 119:74] + wire _T_1098 = _T_1097 ^ dccm_wdata_hi_any[23]; // @[lib.scala 119:74] + wire _T_1099 = _T_1098 ^ dccm_wdata_hi_any[24]; // @[lib.scala 119:74] + wire _T_1100 = _T_1099 ^ dccm_wdata_hi_any[25]; // @[lib.scala 119:74] + wire _T_1107 = dccm_wdata_hi_any[26] ^ dccm_wdata_hi_any[27]; // @[lib.scala 119:74] + wire _T_1108 = _T_1107 ^ dccm_wdata_hi_any[28]; // @[lib.scala 119:74] + wire _T_1109 = _T_1108 ^ dccm_wdata_hi_any[29]; // @[lib.scala 119:74] + wire _T_1110 = _T_1109 ^ dccm_wdata_hi_any[30]; // @[lib.scala 119:74] + wire _T_1111 = _T_1110 ^ dccm_wdata_hi_any[31]; // @[lib.scala 119:74] + wire [5:0] _T_1116 = {_T_1111,_T_1100,_T_1071,_T_1042,_T_1007,_T_972}; // @[Cat.scala 29:58] + wire _T_1117 = ^dccm_wdata_hi_any; // @[lib.scala 127:13] + wire _T_1118 = ^_T_1116; // @[lib.scala 127:23] + wire _T_1119 = _T_1117 ^ _T_1118; // @[lib.scala 127:18] + reg _T_1150; // @[lsu_ecc.scala 141:72] + reg _T_1151; // @[lsu_ecc.scala 142:72] + reg _T_1152; // @[lsu_ecc.scala 143:72] + reg _T_1153; // @[lsu_ecc.scala 144:72] + reg [31:0] _T_1154; // @[lsu_ecc.scala 145:72] + reg [31:0] _T_1155; // @[lsu_ecc.scala 146:72] + reg [31:0] _T_1164; // @[lib.scala 374:16] + reg [31:0] _T_1165; // @[lib.scala 374:16] + rvclkhdr rvclkhdr ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + assign io_sec_data_hi_r = _T_1154; // @[lsu_ecc.scala 114:22 lsu_ecc.scala 145:62] + assign io_sec_data_lo_r = _T_1155; // @[lsu_ecc.scala 117:25 lsu_ecc.scala 146:62] + assign io_sec_data_hi_m = {_T_364,_T_362}; // @[lsu_ecc.scala 90:32 lsu_ecc.scala 134:27] + assign io_sec_data_lo_m = {_T_742,_T_740}; // @[lsu_ecc.scala 91:32 lsu_ecc.scala 136:27] + assign io_sec_data_hi_r_ff = _T_1164; // @[lsu_ecc.scala 157:23] + assign io_sec_data_lo_r_ff = _T_1165; // @[lsu_ecc.scala 158:23] + assign io_dma_dccm_wdata_ecc_hi = {_T_1119,_T_1116}; // @[lsu_ecc.scala 154:28] + assign io_dma_dccm_wdata_ecc_lo = {_T_937,_T_934}; // @[lsu_ecc.scala 155:28] + assign io_stbuf_ecc_any = {_T_937,_T_934}; // @[lsu_ecc.scala 153:28] + assign io_sec_data_ecc_hi_r_ff = {_T_1119,_T_1116}; // @[lsu_ecc.scala 151:28] + assign io_sec_data_ecc_lo_r_ff = {_T_937,_T_934}; // @[lsu_ecc.scala 152:28] + assign io_single_ecc_error_hi_r = _T_1153; // @[lsu_ecc.scala 115:31 lsu_ecc.scala 144:62] + assign io_single_ecc_error_lo_r = _T_1152; // @[lsu_ecc.scala 118:31 lsu_ecc.scala 143:62] + assign io_lsu_single_ecc_error_r = _T_1150; // @[lsu_ecc.scala 120:31 lsu_ecc.scala 141:62] + assign io_lsu_double_ecc_error_r = _T_1151; // @[lsu_ecc.scala 121:31 lsu_ecc.scala 142:62] + assign io_lsu_single_ecc_error_m = single_ecc_error_hi_any | single_ecc_error_lo_any; // @[lsu_ecc.scala 92:30 lsu_ecc.scala 138:33] + assign io_lsu_double_ecc_error_m = double_ecc_error_hi_any | double_ecc_error_lo_any; // @[lsu_ecc.scala 93:30 lsu_ecc.scala 139:33] + assign rvclkhdr_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_io_en = io_ld_single_ecc_error_r; // @[lib.scala 371:17] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_1_io_en = io_ld_single_ecc_error_r; // @[lib.scala 371:17] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + _T_1150 = _RAND_0[0:0]; + _RAND_1 = {1{`RANDOM}}; + _T_1151 = _RAND_1[0:0]; + _RAND_2 = {1{`RANDOM}}; + _T_1152 = _RAND_2[0:0]; + _RAND_3 = {1{`RANDOM}}; + _T_1153 = _RAND_3[0:0]; + _RAND_4 = {1{`RANDOM}}; + _T_1154 = _RAND_4[31:0]; + _RAND_5 = {1{`RANDOM}}; + _T_1155 = _RAND_5[31:0]; + _RAND_6 = {1{`RANDOM}}; + _T_1164 = _RAND_6[31:0]; + _RAND_7 = {1{`RANDOM}}; + _T_1165 = _RAND_7[31:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + _T_1150 = 1'h0; + end + if (reset) begin + _T_1151 = 1'h0; + end + if (reset) begin + _T_1152 = 1'h0; + end + if (reset) begin + _T_1153 = 1'h0; + end + if (reset) begin + _T_1154 = 32'h0; + end + if (reset) begin + _T_1155 = 32'h0; + end + if (reset) begin + _T_1164 = 32'h0; + end + if (reset) begin + _T_1165 = 32'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_1150 <= 1'h0; + end else begin + _T_1150 <= io_lsu_single_ecc_error_m; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_1151 <= 1'h0; + end else begin + _T_1151 <= io_lsu_double_ecc_error_m; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_1152 <= 1'h0; + end else begin + _T_1152 <= _T_588 & _T_586[6]; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_1153 <= 1'h0; + end else begin + _T_1153 <= _T_210 & _T_208[6]; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_1154 <= 32'h0; + end else begin + _T_1154 <= io_sec_data_hi_m; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_1155 <= 32'h0; + end else begin + _T_1155 <= io_sec_data_lo_m; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + _T_1164 <= 32'h0; + end else begin + _T_1164 <= io_sec_data_hi_r; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + _T_1165 <= 32'h0; + end else begin + _T_1165 <= io_sec_data_lo_r; + end + end +endmodule +module lsu_trigger( + input io_trigger_pkt_any_0_select, + input io_trigger_pkt_any_0_match_pkt, + input io_trigger_pkt_any_0_store, + input io_trigger_pkt_any_0_load, + input [31:0] io_trigger_pkt_any_0_tdata2, + input io_trigger_pkt_any_1_select, + input io_trigger_pkt_any_1_match_pkt, + input io_trigger_pkt_any_1_store, + input io_trigger_pkt_any_1_load, + input [31:0] io_trigger_pkt_any_1_tdata2, + input io_trigger_pkt_any_2_select, + input io_trigger_pkt_any_2_match_pkt, + input io_trigger_pkt_any_2_store, + input io_trigger_pkt_any_2_load, + input [31:0] io_trigger_pkt_any_2_tdata2, + input io_trigger_pkt_any_3_select, + input io_trigger_pkt_any_3_match_pkt, + input io_trigger_pkt_any_3_store, + input io_trigger_pkt_any_3_load, + input [31:0] io_trigger_pkt_any_3_tdata2, + input io_lsu_pkt_m_valid, + input io_lsu_pkt_m_bits_half, + input io_lsu_pkt_m_bits_word, + input io_lsu_pkt_m_bits_load, + input io_lsu_pkt_m_bits_store, + input io_lsu_pkt_m_bits_dma, + input [31:0] io_lsu_addr_m, + input [31:0] io_store_data_m, + output [3:0] io_lsu_trigger_match_m +); + wire [15:0] _T_1 = io_lsu_pkt_m_bits_word ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [15:0] _T_3 = _T_1 & io_store_data_m[31:16]; // @[lsu_trigger.scala 16:66] + wire _T_4 = io_lsu_pkt_m_bits_half | io_lsu_pkt_m_bits_word; // @[lsu_trigger.scala 16:124] + wire [7:0] _T_6 = _T_4 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_8 = _T_6 & io_store_data_m[15:8]; // @[lsu_trigger.scala 16:151] + wire [31:0] store_data_trigger_m = {_T_3,_T_8,io_store_data_m[7:0]}; // @[Cat.scala 29:58] + wire _T_12 = ~io_trigger_pkt_any_0_select; // @[lsu_trigger.scala 17:53] + wire _T_13 = io_trigger_pkt_any_0_select & io_trigger_pkt_any_0_store; // @[lsu_trigger.scala 17:136] + wire [31:0] _T_15 = _T_12 ? io_lsu_addr_m : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_16 = _T_13 ? store_data_trigger_m : 32'h0; // @[Mux.scala 27:72] + wire [31:0] lsu_match_data_0 = _T_15 | _T_16; // @[Mux.scala 27:72] + wire _T_19 = ~io_trigger_pkt_any_1_select; // @[lsu_trigger.scala 17:53] + wire _T_20 = io_trigger_pkt_any_1_select & io_trigger_pkt_any_1_store; // @[lsu_trigger.scala 17:136] + wire [31:0] _T_22 = _T_19 ? io_lsu_addr_m : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_23 = _T_20 ? store_data_trigger_m : 32'h0; // @[Mux.scala 27:72] + wire [31:0] lsu_match_data_1 = _T_22 | _T_23; // @[Mux.scala 27:72] + wire _T_26 = ~io_trigger_pkt_any_2_select; // @[lsu_trigger.scala 17:53] + wire _T_27 = io_trigger_pkt_any_2_select & io_trigger_pkt_any_2_store; // @[lsu_trigger.scala 17:136] + wire [31:0] _T_29 = _T_26 ? io_lsu_addr_m : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_30 = _T_27 ? store_data_trigger_m : 32'h0; // @[Mux.scala 27:72] + wire [31:0] lsu_match_data_2 = _T_29 | _T_30; // @[Mux.scala 27:72] + wire _T_33 = ~io_trigger_pkt_any_3_select; // @[lsu_trigger.scala 17:53] + wire _T_34 = io_trigger_pkt_any_3_select & io_trigger_pkt_any_3_store; // @[lsu_trigger.scala 17:136] + wire [31:0] _T_36 = _T_33 ? io_lsu_addr_m : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_37 = _T_34 ? store_data_trigger_m : 32'h0; // @[Mux.scala 27:72] + wire [31:0] lsu_match_data_3 = _T_36 | _T_37; // @[Mux.scala 27:72] + wire _T_39 = ~io_lsu_pkt_m_bits_dma; // @[lsu_trigger.scala 18:71] + wire _T_40 = io_lsu_pkt_m_valid & _T_39; // @[lsu_trigger.scala 18:69] + wire _T_41 = io_trigger_pkt_any_0_store & io_lsu_pkt_m_bits_store; // @[lsu_trigger.scala 18:126] + wire _T_42 = io_trigger_pkt_any_0_load & io_lsu_pkt_m_bits_load; // @[lsu_trigger.scala 19:33] + wire _T_44 = _T_42 & _T_12; // @[lsu_trigger.scala 19:58] + wire _T_45 = _T_41 | _T_44; // @[lsu_trigger.scala 18:152] + wire _T_46 = _T_40 & _T_45; // @[lsu_trigger.scala 18:94] + wire _T_49 = &io_trigger_pkt_any_0_tdata2; // @[lib.scala 101:45] + wire _T_50 = ~_T_49; // @[lib.scala 101:39] + wire _T_51 = io_trigger_pkt_any_0_match_pkt & _T_50; // @[lib.scala 101:37] + wire _T_54 = io_trigger_pkt_any_0_tdata2[0] == lsu_match_data_0[0]; // @[lib.scala 102:52] + wire _T_55 = _T_51 | _T_54; // @[lib.scala 102:41] + wire _T_57 = &io_trigger_pkt_any_0_tdata2[0]; // @[lib.scala 104:36] + wire _T_58 = _T_57 & _T_51; // @[lib.scala 104:41] + wire _T_61 = io_trigger_pkt_any_0_tdata2[1] == lsu_match_data_0[1]; // @[lib.scala 104:78] + wire _T_62 = _T_58 | _T_61; // @[lib.scala 104:23] + wire _T_64 = &io_trigger_pkt_any_0_tdata2[1:0]; // @[lib.scala 104:36] + wire _T_65 = _T_64 & _T_51; // @[lib.scala 104:41] + wire _T_68 = io_trigger_pkt_any_0_tdata2[2] == lsu_match_data_0[2]; // @[lib.scala 104:78] + wire _T_69 = _T_65 | _T_68; // @[lib.scala 104:23] + wire _T_71 = &io_trigger_pkt_any_0_tdata2[2:0]; // @[lib.scala 104:36] + wire _T_72 = _T_71 & _T_51; // @[lib.scala 104:41] + wire _T_75 = io_trigger_pkt_any_0_tdata2[3] == lsu_match_data_0[3]; // @[lib.scala 104:78] + wire _T_76 = _T_72 | _T_75; // @[lib.scala 104:23] + wire _T_78 = &io_trigger_pkt_any_0_tdata2[3:0]; // @[lib.scala 104:36] + wire _T_79 = _T_78 & _T_51; // @[lib.scala 104:41] + wire _T_82 = io_trigger_pkt_any_0_tdata2[4] == lsu_match_data_0[4]; // @[lib.scala 104:78] + wire _T_83 = _T_79 | _T_82; // @[lib.scala 104:23] + wire _T_85 = &io_trigger_pkt_any_0_tdata2[4:0]; // @[lib.scala 104:36] + wire _T_86 = _T_85 & _T_51; // @[lib.scala 104:41] + wire _T_89 = io_trigger_pkt_any_0_tdata2[5] == lsu_match_data_0[5]; // @[lib.scala 104:78] + wire _T_90 = _T_86 | _T_89; // @[lib.scala 104:23] + wire _T_92 = &io_trigger_pkt_any_0_tdata2[5:0]; // @[lib.scala 104:36] + wire _T_93 = _T_92 & _T_51; // @[lib.scala 104:41] + wire _T_96 = io_trigger_pkt_any_0_tdata2[6] == lsu_match_data_0[6]; // @[lib.scala 104:78] + wire _T_97 = _T_93 | _T_96; // @[lib.scala 104:23] + wire _T_99 = &io_trigger_pkt_any_0_tdata2[6:0]; // @[lib.scala 104:36] + wire _T_100 = _T_99 & _T_51; // @[lib.scala 104:41] + wire _T_103 = io_trigger_pkt_any_0_tdata2[7] == lsu_match_data_0[7]; // @[lib.scala 104:78] + wire _T_104 = _T_100 | _T_103; // @[lib.scala 104:23] + wire _T_106 = &io_trigger_pkt_any_0_tdata2[7:0]; // @[lib.scala 104:36] + wire _T_107 = _T_106 & _T_51; // @[lib.scala 104:41] + wire _T_110 = io_trigger_pkt_any_0_tdata2[8] == lsu_match_data_0[8]; // @[lib.scala 104:78] + wire _T_111 = _T_107 | _T_110; // @[lib.scala 104:23] + wire _T_113 = &io_trigger_pkt_any_0_tdata2[8:0]; // @[lib.scala 104:36] + wire _T_114 = _T_113 & _T_51; // @[lib.scala 104:41] + wire _T_117 = io_trigger_pkt_any_0_tdata2[9] == lsu_match_data_0[9]; // @[lib.scala 104:78] + wire _T_118 = _T_114 | _T_117; // @[lib.scala 104:23] + wire _T_120 = &io_trigger_pkt_any_0_tdata2[9:0]; // @[lib.scala 104:36] + wire _T_121 = _T_120 & _T_51; // @[lib.scala 104:41] + wire _T_124 = io_trigger_pkt_any_0_tdata2[10] == lsu_match_data_0[10]; // @[lib.scala 104:78] + wire _T_125 = _T_121 | _T_124; // @[lib.scala 104:23] + wire _T_127 = &io_trigger_pkt_any_0_tdata2[10:0]; // @[lib.scala 104:36] + wire _T_128 = _T_127 & _T_51; // @[lib.scala 104:41] + wire _T_131 = io_trigger_pkt_any_0_tdata2[11] == lsu_match_data_0[11]; // @[lib.scala 104:78] + wire _T_132 = _T_128 | _T_131; // @[lib.scala 104:23] + wire _T_134 = &io_trigger_pkt_any_0_tdata2[11:0]; // @[lib.scala 104:36] + wire _T_135 = _T_134 & _T_51; // @[lib.scala 104:41] + wire _T_138 = io_trigger_pkt_any_0_tdata2[12] == lsu_match_data_0[12]; // @[lib.scala 104:78] + wire _T_139 = _T_135 | _T_138; // @[lib.scala 104:23] + wire _T_141 = &io_trigger_pkt_any_0_tdata2[12:0]; // @[lib.scala 104:36] + wire _T_142 = _T_141 & _T_51; // @[lib.scala 104:41] + wire _T_145 = io_trigger_pkt_any_0_tdata2[13] == lsu_match_data_0[13]; // @[lib.scala 104:78] + wire _T_146 = _T_142 | _T_145; // @[lib.scala 104:23] + wire _T_148 = &io_trigger_pkt_any_0_tdata2[13:0]; // @[lib.scala 104:36] + wire _T_149 = _T_148 & _T_51; // @[lib.scala 104:41] + wire _T_152 = io_trigger_pkt_any_0_tdata2[14] == lsu_match_data_0[14]; // @[lib.scala 104:78] + wire _T_153 = _T_149 | _T_152; // @[lib.scala 104:23] + wire _T_155 = &io_trigger_pkt_any_0_tdata2[14:0]; // @[lib.scala 104:36] + wire _T_156 = _T_155 & _T_51; // @[lib.scala 104:41] + wire _T_159 = io_trigger_pkt_any_0_tdata2[15] == lsu_match_data_0[15]; // @[lib.scala 104:78] + wire _T_160 = _T_156 | _T_159; // @[lib.scala 104:23] + wire _T_162 = &io_trigger_pkt_any_0_tdata2[15:0]; // @[lib.scala 104:36] + wire _T_163 = _T_162 & _T_51; // @[lib.scala 104:41] + wire _T_166 = io_trigger_pkt_any_0_tdata2[16] == lsu_match_data_0[16]; // @[lib.scala 104:78] + wire _T_167 = _T_163 | _T_166; // @[lib.scala 104:23] + wire _T_169 = &io_trigger_pkt_any_0_tdata2[16:0]; // @[lib.scala 104:36] + wire _T_170 = _T_169 & _T_51; // @[lib.scala 104:41] + wire _T_173 = io_trigger_pkt_any_0_tdata2[17] == lsu_match_data_0[17]; // @[lib.scala 104:78] + wire _T_174 = _T_170 | _T_173; // @[lib.scala 104:23] + wire _T_176 = &io_trigger_pkt_any_0_tdata2[17:0]; // @[lib.scala 104:36] + wire _T_177 = _T_176 & _T_51; // @[lib.scala 104:41] + wire _T_180 = io_trigger_pkt_any_0_tdata2[18] == lsu_match_data_0[18]; // @[lib.scala 104:78] + wire _T_181 = _T_177 | _T_180; // @[lib.scala 104:23] + wire _T_183 = &io_trigger_pkt_any_0_tdata2[18:0]; // @[lib.scala 104:36] + wire _T_184 = _T_183 & _T_51; // @[lib.scala 104:41] + wire _T_187 = io_trigger_pkt_any_0_tdata2[19] == lsu_match_data_0[19]; // @[lib.scala 104:78] + wire _T_188 = _T_184 | _T_187; // @[lib.scala 104:23] + wire _T_190 = &io_trigger_pkt_any_0_tdata2[19:0]; // @[lib.scala 104:36] + wire _T_191 = _T_190 & _T_51; // @[lib.scala 104:41] + wire _T_194 = io_trigger_pkt_any_0_tdata2[20] == lsu_match_data_0[20]; // @[lib.scala 104:78] + wire _T_195 = _T_191 | _T_194; // @[lib.scala 104:23] + wire _T_197 = &io_trigger_pkt_any_0_tdata2[20:0]; // @[lib.scala 104:36] + wire _T_198 = _T_197 & _T_51; // @[lib.scala 104:41] + wire _T_201 = io_trigger_pkt_any_0_tdata2[21] == lsu_match_data_0[21]; // @[lib.scala 104:78] + wire _T_202 = _T_198 | _T_201; // @[lib.scala 104:23] + wire _T_204 = &io_trigger_pkt_any_0_tdata2[21:0]; // @[lib.scala 104:36] + wire _T_205 = _T_204 & _T_51; // @[lib.scala 104:41] + wire _T_208 = io_trigger_pkt_any_0_tdata2[22] == lsu_match_data_0[22]; // @[lib.scala 104:78] + wire _T_209 = _T_205 | _T_208; // @[lib.scala 104:23] + wire _T_211 = &io_trigger_pkt_any_0_tdata2[22:0]; // @[lib.scala 104:36] + wire _T_212 = _T_211 & _T_51; // @[lib.scala 104:41] + wire _T_215 = io_trigger_pkt_any_0_tdata2[23] == lsu_match_data_0[23]; // @[lib.scala 104:78] + wire _T_216 = _T_212 | _T_215; // @[lib.scala 104:23] + wire _T_218 = &io_trigger_pkt_any_0_tdata2[23:0]; // @[lib.scala 104:36] + wire _T_219 = _T_218 & _T_51; // @[lib.scala 104:41] + wire _T_222 = io_trigger_pkt_any_0_tdata2[24] == lsu_match_data_0[24]; // @[lib.scala 104:78] + wire _T_223 = _T_219 | _T_222; // @[lib.scala 104:23] + wire _T_225 = &io_trigger_pkt_any_0_tdata2[24:0]; // @[lib.scala 104:36] + wire _T_226 = _T_225 & _T_51; // @[lib.scala 104:41] + wire _T_229 = io_trigger_pkt_any_0_tdata2[25] == lsu_match_data_0[25]; // @[lib.scala 104:78] + wire _T_230 = _T_226 | _T_229; // @[lib.scala 104:23] + wire _T_232 = &io_trigger_pkt_any_0_tdata2[25:0]; // @[lib.scala 104:36] + wire _T_233 = _T_232 & _T_51; // @[lib.scala 104:41] + wire _T_236 = io_trigger_pkt_any_0_tdata2[26] == lsu_match_data_0[26]; // @[lib.scala 104:78] + wire _T_237 = _T_233 | _T_236; // @[lib.scala 104:23] + wire _T_239 = &io_trigger_pkt_any_0_tdata2[26:0]; // @[lib.scala 104:36] + wire _T_240 = _T_239 & _T_51; // @[lib.scala 104:41] + wire _T_243 = io_trigger_pkt_any_0_tdata2[27] == lsu_match_data_0[27]; // @[lib.scala 104:78] + wire _T_244 = _T_240 | _T_243; // @[lib.scala 104:23] + wire _T_246 = &io_trigger_pkt_any_0_tdata2[27:0]; // @[lib.scala 104:36] + wire _T_247 = _T_246 & _T_51; // @[lib.scala 104:41] + wire _T_250 = io_trigger_pkt_any_0_tdata2[28] == lsu_match_data_0[28]; // @[lib.scala 104:78] + wire _T_251 = _T_247 | _T_250; // @[lib.scala 104:23] + wire _T_253 = &io_trigger_pkt_any_0_tdata2[28:0]; // @[lib.scala 104:36] + wire _T_254 = _T_253 & _T_51; // @[lib.scala 104:41] + wire _T_257 = io_trigger_pkt_any_0_tdata2[29] == lsu_match_data_0[29]; // @[lib.scala 104:78] + wire _T_258 = _T_254 | _T_257; // @[lib.scala 104:23] + wire _T_260 = &io_trigger_pkt_any_0_tdata2[29:0]; // @[lib.scala 104:36] + wire _T_261 = _T_260 & _T_51; // @[lib.scala 104:41] + wire _T_264 = io_trigger_pkt_any_0_tdata2[30] == lsu_match_data_0[30]; // @[lib.scala 104:78] + wire _T_265 = _T_261 | _T_264; // @[lib.scala 104:23] + wire _T_267 = &io_trigger_pkt_any_0_tdata2[30:0]; // @[lib.scala 104:36] + wire _T_268 = _T_267 & _T_51; // @[lib.scala 104:41] + wire _T_271 = io_trigger_pkt_any_0_tdata2[31] == lsu_match_data_0[31]; // @[lib.scala 104:78] + wire _T_272 = _T_268 | _T_271; // @[lib.scala 104:23] + wire [7:0] _T_279 = {_T_104,_T_97,_T_90,_T_83,_T_76,_T_69,_T_62,_T_55}; // @[lib.scala 105:14] + wire [15:0] _T_287 = {_T_160,_T_153,_T_146,_T_139,_T_132,_T_125,_T_118,_T_111,_T_279}; // @[lib.scala 105:14] + wire [7:0] _T_294 = {_T_216,_T_209,_T_202,_T_195,_T_188,_T_181,_T_174,_T_167}; // @[lib.scala 105:14] + wire [31:0] _T_303 = {_T_272,_T_265,_T_258,_T_251,_T_244,_T_237,_T_230,_T_223,_T_294,_T_287}; // @[lib.scala 105:14] + wire _T_304 = &_T_303; // @[lib.scala 105:25] + wire _T_305 = _T_46 & _T_304; // @[lsu_trigger.scala 19:92] + wire _T_308 = io_trigger_pkt_any_1_store & io_lsu_pkt_m_bits_store; // @[lsu_trigger.scala 18:126] + wire _T_309 = io_trigger_pkt_any_1_load & io_lsu_pkt_m_bits_load; // @[lsu_trigger.scala 19:33] + wire _T_311 = _T_309 & _T_19; // @[lsu_trigger.scala 19:58] + wire _T_312 = _T_308 | _T_311; // @[lsu_trigger.scala 18:152] + wire _T_313 = _T_40 & _T_312; // @[lsu_trigger.scala 18:94] + wire _T_316 = &io_trigger_pkt_any_1_tdata2; // @[lib.scala 101:45] + wire _T_317 = ~_T_316; // @[lib.scala 101:39] + wire _T_318 = io_trigger_pkt_any_1_match_pkt & _T_317; // @[lib.scala 101:37] + wire _T_321 = io_trigger_pkt_any_1_tdata2[0] == lsu_match_data_1[0]; // @[lib.scala 102:52] + wire _T_322 = _T_318 | _T_321; // @[lib.scala 102:41] + wire _T_324 = &io_trigger_pkt_any_1_tdata2[0]; // @[lib.scala 104:36] + wire _T_325 = _T_324 & _T_318; // @[lib.scala 104:41] + wire _T_328 = io_trigger_pkt_any_1_tdata2[1] == lsu_match_data_1[1]; // @[lib.scala 104:78] + wire _T_329 = _T_325 | _T_328; // @[lib.scala 104:23] + wire _T_331 = &io_trigger_pkt_any_1_tdata2[1:0]; // @[lib.scala 104:36] + wire _T_332 = _T_331 & _T_318; // @[lib.scala 104:41] + wire _T_335 = io_trigger_pkt_any_1_tdata2[2] == lsu_match_data_1[2]; // @[lib.scala 104:78] + wire _T_336 = _T_332 | _T_335; // @[lib.scala 104:23] + wire _T_338 = &io_trigger_pkt_any_1_tdata2[2:0]; // @[lib.scala 104:36] + wire _T_339 = _T_338 & _T_318; // @[lib.scala 104:41] + wire _T_342 = io_trigger_pkt_any_1_tdata2[3] == lsu_match_data_1[3]; // @[lib.scala 104:78] + wire _T_343 = _T_339 | _T_342; // @[lib.scala 104:23] + wire _T_345 = &io_trigger_pkt_any_1_tdata2[3:0]; // @[lib.scala 104:36] + wire _T_346 = _T_345 & _T_318; // @[lib.scala 104:41] + wire _T_349 = io_trigger_pkt_any_1_tdata2[4] == lsu_match_data_1[4]; // @[lib.scala 104:78] + wire _T_350 = _T_346 | _T_349; // @[lib.scala 104:23] + wire _T_352 = &io_trigger_pkt_any_1_tdata2[4:0]; // @[lib.scala 104:36] + wire _T_353 = _T_352 & _T_318; // @[lib.scala 104:41] + wire _T_356 = io_trigger_pkt_any_1_tdata2[5] == lsu_match_data_1[5]; // @[lib.scala 104:78] + wire _T_357 = _T_353 | _T_356; // @[lib.scala 104:23] + wire _T_359 = &io_trigger_pkt_any_1_tdata2[5:0]; // @[lib.scala 104:36] + wire _T_360 = _T_359 & _T_318; // @[lib.scala 104:41] + wire _T_363 = io_trigger_pkt_any_1_tdata2[6] == lsu_match_data_1[6]; // @[lib.scala 104:78] + wire _T_364 = _T_360 | _T_363; // @[lib.scala 104:23] + wire _T_366 = &io_trigger_pkt_any_1_tdata2[6:0]; // @[lib.scala 104:36] + wire _T_367 = _T_366 & _T_318; // @[lib.scala 104:41] + wire _T_370 = io_trigger_pkt_any_1_tdata2[7] == lsu_match_data_1[7]; // @[lib.scala 104:78] + wire _T_371 = _T_367 | _T_370; // @[lib.scala 104:23] + wire _T_373 = &io_trigger_pkt_any_1_tdata2[7:0]; // @[lib.scala 104:36] + wire _T_374 = _T_373 & _T_318; // @[lib.scala 104:41] + wire _T_377 = io_trigger_pkt_any_1_tdata2[8] == lsu_match_data_1[8]; // @[lib.scala 104:78] + wire _T_378 = _T_374 | _T_377; // @[lib.scala 104:23] + wire _T_380 = &io_trigger_pkt_any_1_tdata2[8:0]; // @[lib.scala 104:36] + wire _T_381 = _T_380 & _T_318; // @[lib.scala 104:41] + wire _T_384 = io_trigger_pkt_any_1_tdata2[9] == lsu_match_data_1[9]; // @[lib.scala 104:78] + wire _T_385 = _T_381 | _T_384; // @[lib.scala 104:23] + wire _T_387 = &io_trigger_pkt_any_1_tdata2[9:0]; // @[lib.scala 104:36] + wire _T_388 = _T_387 & _T_318; // @[lib.scala 104:41] + wire _T_391 = io_trigger_pkt_any_1_tdata2[10] == lsu_match_data_1[10]; // @[lib.scala 104:78] + wire _T_392 = _T_388 | _T_391; // @[lib.scala 104:23] + wire _T_394 = &io_trigger_pkt_any_1_tdata2[10:0]; // @[lib.scala 104:36] + wire _T_395 = _T_394 & _T_318; // @[lib.scala 104:41] + wire _T_398 = io_trigger_pkt_any_1_tdata2[11] == lsu_match_data_1[11]; // @[lib.scala 104:78] + wire _T_399 = _T_395 | _T_398; // @[lib.scala 104:23] + wire _T_401 = &io_trigger_pkt_any_1_tdata2[11:0]; // @[lib.scala 104:36] + wire _T_402 = _T_401 & _T_318; // @[lib.scala 104:41] + wire _T_405 = io_trigger_pkt_any_1_tdata2[12] == lsu_match_data_1[12]; // @[lib.scala 104:78] + wire _T_406 = _T_402 | _T_405; // @[lib.scala 104:23] + wire _T_408 = &io_trigger_pkt_any_1_tdata2[12:0]; // @[lib.scala 104:36] + wire _T_409 = _T_408 & _T_318; // @[lib.scala 104:41] + wire _T_412 = io_trigger_pkt_any_1_tdata2[13] == lsu_match_data_1[13]; // @[lib.scala 104:78] + wire _T_413 = _T_409 | _T_412; // @[lib.scala 104:23] + wire _T_415 = &io_trigger_pkt_any_1_tdata2[13:0]; // @[lib.scala 104:36] + wire _T_416 = _T_415 & _T_318; // @[lib.scala 104:41] + wire _T_419 = io_trigger_pkt_any_1_tdata2[14] == lsu_match_data_1[14]; // @[lib.scala 104:78] + wire _T_420 = _T_416 | _T_419; // @[lib.scala 104:23] + wire _T_422 = &io_trigger_pkt_any_1_tdata2[14:0]; // @[lib.scala 104:36] + wire _T_423 = _T_422 & _T_318; // @[lib.scala 104:41] + wire _T_426 = io_trigger_pkt_any_1_tdata2[15] == lsu_match_data_1[15]; // @[lib.scala 104:78] + wire _T_427 = _T_423 | _T_426; // @[lib.scala 104:23] + wire _T_429 = &io_trigger_pkt_any_1_tdata2[15:0]; // @[lib.scala 104:36] + wire _T_430 = _T_429 & _T_318; // @[lib.scala 104:41] + wire _T_433 = io_trigger_pkt_any_1_tdata2[16] == lsu_match_data_1[16]; // @[lib.scala 104:78] + wire _T_434 = _T_430 | _T_433; // @[lib.scala 104:23] + wire _T_436 = &io_trigger_pkt_any_1_tdata2[16:0]; // @[lib.scala 104:36] + wire _T_437 = _T_436 & _T_318; // @[lib.scala 104:41] + wire _T_440 = io_trigger_pkt_any_1_tdata2[17] == lsu_match_data_1[17]; // @[lib.scala 104:78] + wire _T_441 = _T_437 | _T_440; // @[lib.scala 104:23] + wire _T_443 = &io_trigger_pkt_any_1_tdata2[17:0]; // @[lib.scala 104:36] + wire _T_444 = _T_443 & _T_318; // @[lib.scala 104:41] + wire _T_447 = io_trigger_pkt_any_1_tdata2[18] == lsu_match_data_1[18]; // @[lib.scala 104:78] + wire _T_448 = _T_444 | _T_447; // @[lib.scala 104:23] + wire _T_450 = &io_trigger_pkt_any_1_tdata2[18:0]; // @[lib.scala 104:36] + wire _T_451 = _T_450 & _T_318; // @[lib.scala 104:41] + wire _T_454 = io_trigger_pkt_any_1_tdata2[19] == lsu_match_data_1[19]; // @[lib.scala 104:78] + wire _T_455 = _T_451 | _T_454; // @[lib.scala 104:23] + wire _T_457 = &io_trigger_pkt_any_1_tdata2[19:0]; // @[lib.scala 104:36] + wire _T_458 = _T_457 & _T_318; // @[lib.scala 104:41] + wire _T_461 = io_trigger_pkt_any_1_tdata2[20] == lsu_match_data_1[20]; // @[lib.scala 104:78] + wire _T_462 = _T_458 | _T_461; // @[lib.scala 104:23] + wire _T_464 = &io_trigger_pkt_any_1_tdata2[20:0]; // @[lib.scala 104:36] + wire _T_465 = _T_464 & _T_318; // @[lib.scala 104:41] + wire _T_468 = io_trigger_pkt_any_1_tdata2[21] == lsu_match_data_1[21]; // @[lib.scala 104:78] + wire _T_469 = _T_465 | _T_468; // @[lib.scala 104:23] + wire _T_471 = &io_trigger_pkt_any_1_tdata2[21:0]; // @[lib.scala 104:36] + wire _T_472 = _T_471 & _T_318; // @[lib.scala 104:41] + wire _T_475 = io_trigger_pkt_any_1_tdata2[22] == lsu_match_data_1[22]; // @[lib.scala 104:78] + wire _T_476 = _T_472 | _T_475; // @[lib.scala 104:23] + wire _T_478 = &io_trigger_pkt_any_1_tdata2[22:0]; // @[lib.scala 104:36] + wire _T_479 = _T_478 & _T_318; // @[lib.scala 104:41] + wire _T_482 = io_trigger_pkt_any_1_tdata2[23] == lsu_match_data_1[23]; // @[lib.scala 104:78] + wire _T_483 = _T_479 | _T_482; // @[lib.scala 104:23] + wire _T_485 = &io_trigger_pkt_any_1_tdata2[23:0]; // @[lib.scala 104:36] + wire _T_486 = _T_485 & _T_318; // @[lib.scala 104:41] + wire _T_489 = io_trigger_pkt_any_1_tdata2[24] == lsu_match_data_1[24]; // @[lib.scala 104:78] + wire _T_490 = _T_486 | _T_489; // @[lib.scala 104:23] + wire _T_492 = &io_trigger_pkt_any_1_tdata2[24:0]; // @[lib.scala 104:36] + wire _T_493 = _T_492 & _T_318; // @[lib.scala 104:41] + wire _T_496 = io_trigger_pkt_any_1_tdata2[25] == lsu_match_data_1[25]; // @[lib.scala 104:78] + wire _T_497 = _T_493 | _T_496; // @[lib.scala 104:23] + wire _T_499 = &io_trigger_pkt_any_1_tdata2[25:0]; // @[lib.scala 104:36] + wire _T_500 = _T_499 & _T_318; // @[lib.scala 104:41] + wire _T_503 = io_trigger_pkt_any_1_tdata2[26] == lsu_match_data_1[26]; // @[lib.scala 104:78] + wire _T_504 = _T_500 | _T_503; // @[lib.scala 104:23] + wire _T_506 = &io_trigger_pkt_any_1_tdata2[26:0]; // @[lib.scala 104:36] + wire _T_507 = _T_506 & _T_318; // @[lib.scala 104:41] + wire _T_510 = io_trigger_pkt_any_1_tdata2[27] == lsu_match_data_1[27]; // @[lib.scala 104:78] + wire _T_511 = _T_507 | _T_510; // @[lib.scala 104:23] + wire _T_513 = &io_trigger_pkt_any_1_tdata2[27:0]; // @[lib.scala 104:36] + wire _T_514 = _T_513 & _T_318; // @[lib.scala 104:41] + wire _T_517 = io_trigger_pkt_any_1_tdata2[28] == lsu_match_data_1[28]; // @[lib.scala 104:78] + wire _T_518 = _T_514 | _T_517; // @[lib.scala 104:23] + wire _T_520 = &io_trigger_pkt_any_1_tdata2[28:0]; // @[lib.scala 104:36] + wire _T_521 = _T_520 & _T_318; // @[lib.scala 104:41] + wire _T_524 = io_trigger_pkt_any_1_tdata2[29] == lsu_match_data_1[29]; // @[lib.scala 104:78] + wire _T_525 = _T_521 | _T_524; // @[lib.scala 104:23] + wire _T_527 = &io_trigger_pkt_any_1_tdata2[29:0]; // @[lib.scala 104:36] + wire _T_528 = _T_527 & _T_318; // @[lib.scala 104:41] + wire _T_531 = io_trigger_pkt_any_1_tdata2[30] == lsu_match_data_1[30]; // @[lib.scala 104:78] + wire _T_532 = _T_528 | _T_531; // @[lib.scala 104:23] + wire _T_534 = &io_trigger_pkt_any_1_tdata2[30:0]; // @[lib.scala 104:36] + wire _T_535 = _T_534 & _T_318; // @[lib.scala 104:41] + wire _T_538 = io_trigger_pkt_any_1_tdata2[31] == lsu_match_data_1[31]; // @[lib.scala 104:78] + wire _T_539 = _T_535 | _T_538; // @[lib.scala 104:23] + wire [7:0] _T_546 = {_T_371,_T_364,_T_357,_T_350,_T_343,_T_336,_T_329,_T_322}; // @[lib.scala 105:14] + wire [15:0] _T_554 = {_T_427,_T_420,_T_413,_T_406,_T_399,_T_392,_T_385,_T_378,_T_546}; // @[lib.scala 105:14] + wire [7:0] _T_561 = {_T_483,_T_476,_T_469,_T_462,_T_455,_T_448,_T_441,_T_434}; // @[lib.scala 105:14] + wire [31:0] _T_570 = {_T_539,_T_532,_T_525,_T_518,_T_511,_T_504,_T_497,_T_490,_T_561,_T_554}; // @[lib.scala 105:14] + wire _T_571 = &_T_570; // @[lib.scala 105:25] + wire _T_572 = _T_313 & _T_571; // @[lsu_trigger.scala 19:92] + wire _T_575 = io_trigger_pkt_any_2_store & io_lsu_pkt_m_bits_store; // @[lsu_trigger.scala 18:126] + wire _T_576 = io_trigger_pkt_any_2_load & io_lsu_pkt_m_bits_load; // @[lsu_trigger.scala 19:33] + wire _T_578 = _T_576 & _T_26; // @[lsu_trigger.scala 19:58] + wire _T_579 = _T_575 | _T_578; // @[lsu_trigger.scala 18:152] + wire _T_580 = _T_40 & _T_579; // @[lsu_trigger.scala 18:94] + wire _T_583 = &io_trigger_pkt_any_2_tdata2; // @[lib.scala 101:45] + wire _T_584 = ~_T_583; // @[lib.scala 101:39] + wire _T_585 = io_trigger_pkt_any_2_match_pkt & _T_584; // @[lib.scala 101:37] + wire _T_588 = io_trigger_pkt_any_2_tdata2[0] == lsu_match_data_2[0]; // @[lib.scala 102:52] + wire _T_589 = _T_585 | _T_588; // @[lib.scala 102:41] + wire _T_591 = &io_trigger_pkt_any_2_tdata2[0]; // @[lib.scala 104:36] + wire _T_592 = _T_591 & _T_585; // @[lib.scala 104:41] + wire _T_595 = io_trigger_pkt_any_2_tdata2[1] == lsu_match_data_2[1]; // @[lib.scala 104:78] + wire _T_596 = _T_592 | _T_595; // @[lib.scala 104:23] + wire _T_598 = &io_trigger_pkt_any_2_tdata2[1:0]; // @[lib.scala 104:36] + wire _T_599 = _T_598 & _T_585; // @[lib.scala 104:41] + wire _T_602 = io_trigger_pkt_any_2_tdata2[2] == lsu_match_data_2[2]; // @[lib.scala 104:78] + wire _T_603 = _T_599 | _T_602; // @[lib.scala 104:23] + wire _T_605 = &io_trigger_pkt_any_2_tdata2[2:0]; // @[lib.scala 104:36] + wire _T_606 = _T_605 & _T_585; // @[lib.scala 104:41] + wire _T_609 = io_trigger_pkt_any_2_tdata2[3] == lsu_match_data_2[3]; // @[lib.scala 104:78] + wire _T_610 = _T_606 | _T_609; // @[lib.scala 104:23] + wire _T_612 = &io_trigger_pkt_any_2_tdata2[3:0]; // @[lib.scala 104:36] + wire _T_613 = _T_612 & _T_585; // @[lib.scala 104:41] + wire _T_616 = io_trigger_pkt_any_2_tdata2[4] == lsu_match_data_2[4]; // @[lib.scala 104:78] + wire _T_617 = _T_613 | _T_616; // @[lib.scala 104:23] + wire _T_619 = &io_trigger_pkt_any_2_tdata2[4:0]; // @[lib.scala 104:36] + wire _T_620 = _T_619 & _T_585; // @[lib.scala 104:41] + wire _T_623 = io_trigger_pkt_any_2_tdata2[5] == lsu_match_data_2[5]; // @[lib.scala 104:78] + wire _T_624 = _T_620 | _T_623; // @[lib.scala 104:23] + wire _T_626 = &io_trigger_pkt_any_2_tdata2[5:0]; // @[lib.scala 104:36] + wire _T_627 = _T_626 & _T_585; // @[lib.scala 104:41] + wire _T_630 = io_trigger_pkt_any_2_tdata2[6] == lsu_match_data_2[6]; // @[lib.scala 104:78] + wire _T_631 = _T_627 | _T_630; // @[lib.scala 104:23] + wire _T_633 = &io_trigger_pkt_any_2_tdata2[6:0]; // @[lib.scala 104:36] + wire _T_634 = _T_633 & _T_585; // @[lib.scala 104:41] + wire _T_637 = io_trigger_pkt_any_2_tdata2[7] == lsu_match_data_2[7]; // @[lib.scala 104:78] + wire _T_638 = _T_634 | _T_637; // @[lib.scala 104:23] + wire _T_640 = &io_trigger_pkt_any_2_tdata2[7:0]; // @[lib.scala 104:36] + wire _T_641 = _T_640 & _T_585; // @[lib.scala 104:41] + wire _T_644 = io_trigger_pkt_any_2_tdata2[8] == lsu_match_data_2[8]; // @[lib.scala 104:78] + wire _T_645 = _T_641 | _T_644; // @[lib.scala 104:23] + wire _T_647 = &io_trigger_pkt_any_2_tdata2[8:0]; // @[lib.scala 104:36] + wire _T_648 = _T_647 & _T_585; // @[lib.scala 104:41] + wire _T_651 = io_trigger_pkt_any_2_tdata2[9] == lsu_match_data_2[9]; // @[lib.scala 104:78] + wire _T_652 = _T_648 | _T_651; // @[lib.scala 104:23] + wire _T_654 = &io_trigger_pkt_any_2_tdata2[9:0]; // @[lib.scala 104:36] + wire _T_655 = _T_654 & _T_585; // @[lib.scala 104:41] + wire _T_658 = io_trigger_pkt_any_2_tdata2[10] == lsu_match_data_2[10]; // @[lib.scala 104:78] + wire _T_659 = _T_655 | _T_658; // @[lib.scala 104:23] + wire _T_661 = &io_trigger_pkt_any_2_tdata2[10:0]; // @[lib.scala 104:36] + wire _T_662 = _T_661 & _T_585; // @[lib.scala 104:41] + wire _T_665 = io_trigger_pkt_any_2_tdata2[11] == lsu_match_data_2[11]; // @[lib.scala 104:78] + wire _T_666 = _T_662 | _T_665; // @[lib.scala 104:23] + wire _T_668 = &io_trigger_pkt_any_2_tdata2[11:0]; // @[lib.scala 104:36] + wire _T_669 = _T_668 & _T_585; // @[lib.scala 104:41] + wire _T_672 = io_trigger_pkt_any_2_tdata2[12] == lsu_match_data_2[12]; // @[lib.scala 104:78] + wire _T_673 = _T_669 | _T_672; // @[lib.scala 104:23] + wire _T_675 = &io_trigger_pkt_any_2_tdata2[12:0]; // @[lib.scala 104:36] + wire _T_676 = _T_675 & _T_585; // @[lib.scala 104:41] + wire _T_679 = io_trigger_pkt_any_2_tdata2[13] == lsu_match_data_2[13]; // @[lib.scala 104:78] + wire _T_680 = _T_676 | _T_679; // @[lib.scala 104:23] + wire _T_682 = &io_trigger_pkt_any_2_tdata2[13:0]; // @[lib.scala 104:36] + wire _T_683 = _T_682 & _T_585; // @[lib.scala 104:41] + wire _T_686 = io_trigger_pkt_any_2_tdata2[14] == lsu_match_data_2[14]; // @[lib.scala 104:78] + wire _T_687 = _T_683 | _T_686; // @[lib.scala 104:23] + wire _T_689 = &io_trigger_pkt_any_2_tdata2[14:0]; // @[lib.scala 104:36] + wire _T_690 = _T_689 & _T_585; // @[lib.scala 104:41] + wire _T_693 = io_trigger_pkt_any_2_tdata2[15] == lsu_match_data_2[15]; // @[lib.scala 104:78] + wire _T_694 = _T_690 | _T_693; // @[lib.scala 104:23] + wire _T_696 = &io_trigger_pkt_any_2_tdata2[15:0]; // @[lib.scala 104:36] + wire _T_697 = _T_696 & _T_585; // @[lib.scala 104:41] + wire _T_700 = io_trigger_pkt_any_2_tdata2[16] == lsu_match_data_2[16]; // @[lib.scala 104:78] + wire _T_701 = _T_697 | _T_700; // @[lib.scala 104:23] + wire _T_703 = &io_trigger_pkt_any_2_tdata2[16:0]; // @[lib.scala 104:36] + wire _T_704 = _T_703 & _T_585; // @[lib.scala 104:41] + wire _T_707 = io_trigger_pkt_any_2_tdata2[17] == lsu_match_data_2[17]; // @[lib.scala 104:78] + wire _T_708 = _T_704 | _T_707; // @[lib.scala 104:23] + wire _T_710 = &io_trigger_pkt_any_2_tdata2[17:0]; // @[lib.scala 104:36] + wire _T_711 = _T_710 & _T_585; // @[lib.scala 104:41] + wire _T_714 = io_trigger_pkt_any_2_tdata2[18] == lsu_match_data_2[18]; // @[lib.scala 104:78] + wire _T_715 = _T_711 | _T_714; // @[lib.scala 104:23] + wire _T_717 = &io_trigger_pkt_any_2_tdata2[18:0]; // @[lib.scala 104:36] + wire _T_718 = _T_717 & _T_585; // @[lib.scala 104:41] + wire _T_721 = io_trigger_pkt_any_2_tdata2[19] == lsu_match_data_2[19]; // @[lib.scala 104:78] + wire _T_722 = _T_718 | _T_721; // @[lib.scala 104:23] + wire _T_724 = &io_trigger_pkt_any_2_tdata2[19:0]; // @[lib.scala 104:36] + wire _T_725 = _T_724 & _T_585; // @[lib.scala 104:41] + wire _T_728 = io_trigger_pkt_any_2_tdata2[20] == lsu_match_data_2[20]; // @[lib.scala 104:78] + wire _T_729 = _T_725 | _T_728; // @[lib.scala 104:23] + wire _T_731 = &io_trigger_pkt_any_2_tdata2[20:0]; // @[lib.scala 104:36] + wire _T_732 = _T_731 & _T_585; // @[lib.scala 104:41] + wire _T_735 = io_trigger_pkt_any_2_tdata2[21] == lsu_match_data_2[21]; // @[lib.scala 104:78] + wire _T_736 = _T_732 | _T_735; // @[lib.scala 104:23] + wire _T_738 = &io_trigger_pkt_any_2_tdata2[21:0]; // @[lib.scala 104:36] + wire _T_739 = _T_738 & _T_585; // @[lib.scala 104:41] + wire _T_742 = io_trigger_pkt_any_2_tdata2[22] == lsu_match_data_2[22]; // @[lib.scala 104:78] + wire _T_743 = _T_739 | _T_742; // @[lib.scala 104:23] + wire _T_745 = &io_trigger_pkt_any_2_tdata2[22:0]; // @[lib.scala 104:36] + wire _T_746 = _T_745 & _T_585; // @[lib.scala 104:41] + wire _T_749 = io_trigger_pkt_any_2_tdata2[23] == lsu_match_data_2[23]; // @[lib.scala 104:78] + wire _T_750 = _T_746 | _T_749; // @[lib.scala 104:23] + wire _T_752 = &io_trigger_pkt_any_2_tdata2[23:0]; // @[lib.scala 104:36] + wire _T_753 = _T_752 & _T_585; // @[lib.scala 104:41] + wire _T_756 = io_trigger_pkt_any_2_tdata2[24] == lsu_match_data_2[24]; // @[lib.scala 104:78] + wire _T_757 = _T_753 | _T_756; // @[lib.scala 104:23] + wire _T_759 = &io_trigger_pkt_any_2_tdata2[24:0]; // @[lib.scala 104:36] + wire _T_760 = _T_759 & _T_585; // @[lib.scala 104:41] + wire _T_763 = io_trigger_pkt_any_2_tdata2[25] == lsu_match_data_2[25]; // @[lib.scala 104:78] + wire _T_764 = _T_760 | _T_763; // @[lib.scala 104:23] + wire _T_766 = &io_trigger_pkt_any_2_tdata2[25:0]; // @[lib.scala 104:36] + wire _T_767 = _T_766 & _T_585; // @[lib.scala 104:41] + wire _T_770 = io_trigger_pkt_any_2_tdata2[26] == lsu_match_data_2[26]; // @[lib.scala 104:78] + wire _T_771 = _T_767 | _T_770; // @[lib.scala 104:23] + wire _T_773 = &io_trigger_pkt_any_2_tdata2[26:0]; // @[lib.scala 104:36] + wire _T_774 = _T_773 & _T_585; // @[lib.scala 104:41] + wire _T_777 = io_trigger_pkt_any_2_tdata2[27] == lsu_match_data_2[27]; // @[lib.scala 104:78] + wire _T_778 = _T_774 | _T_777; // @[lib.scala 104:23] + wire _T_780 = &io_trigger_pkt_any_2_tdata2[27:0]; // @[lib.scala 104:36] + wire _T_781 = _T_780 & _T_585; // @[lib.scala 104:41] + wire _T_784 = io_trigger_pkt_any_2_tdata2[28] == lsu_match_data_2[28]; // @[lib.scala 104:78] + wire _T_785 = _T_781 | _T_784; // @[lib.scala 104:23] + wire _T_787 = &io_trigger_pkt_any_2_tdata2[28:0]; // @[lib.scala 104:36] + wire _T_788 = _T_787 & _T_585; // @[lib.scala 104:41] + wire _T_791 = io_trigger_pkt_any_2_tdata2[29] == lsu_match_data_2[29]; // @[lib.scala 104:78] + wire _T_792 = _T_788 | _T_791; // @[lib.scala 104:23] + wire _T_794 = &io_trigger_pkt_any_2_tdata2[29:0]; // @[lib.scala 104:36] + wire _T_795 = _T_794 & _T_585; // @[lib.scala 104:41] + wire _T_798 = io_trigger_pkt_any_2_tdata2[30] == lsu_match_data_2[30]; // @[lib.scala 104:78] + wire _T_799 = _T_795 | _T_798; // @[lib.scala 104:23] + wire _T_801 = &io_trigger_pkt_any_2_tdata2[30:0]; // @[lib.scala 104:36] + wire _T_802 = _T_801 & _T_585; // @[lib.scala 104:41] + wire _T_805 = io_trigger_pkt_any_2_tdata2[31] == lsu_match_data_2[31]; // @[lib.scala 104:78] + wire _T_806 = _T_802 | _T_805; // @[lib.scala 104:23] + wire [7:0] _T_813 = {_T_638,_T_631,_T_624,_T_617,_T_610,_T_603,_T_596,_T_589}; // @[lib.scala 105:14] + wire [15:0] _T_821 = {_T_694,_T_687,_T_680,_T_673,_T_666,_T_659,_T_652,_T_645,_T_813}; // @[lib.scala 105:14] + wire [7:0] _T_828 = {_T_750,_T_743,_T_736,_T_729,_T_722,_T_715,_T_708,_T_701}; // @[lib.scala 105:14] + wire [31:0] _T_837 = {_T_806,_T_799,_T_792,_T_785,_T_778,_T_771,_T_764,_T_757,_T_828,_T_821}; // @[lib.scala 105:14] + wire _T_838 = &_T_837; // @[lib.scala 105:25] + wire _T_839 = _T_580 & _T_838; // @[lsu_trigger.scala 19:92] + wire _T_842 = io_trigger_pkt_any_3_store & io_lsu_pkt_m_bits_store; // @[lsu_trigger.scala 18:126] + wire _T_843 = io_trigger_pkt_any_3_load & io_lsu_pkt_m_bits_load; // @[lsu_trigger.scala 19:33] + wire _T_845 = _T_843 & _T_33; // @[lsu_trigger.scala 19:58] + wire _T_846 = _T_842 | _T_845; // @[lsu_trigger.scala 18:152] + wire _T_847 = _T_40 & _T_846; // @[lsu_trigger.scala 18:94] + wire _T_850 = &io_trigger_pkt_any_3_tdata2; // @[lib.scala 101:45] + wire _T_851 = ~_T_850; // @[lib.scala 101:39] + wire _T_852 = io_trigger_pkt_any_3_match_pkt & _T_851; // @[lib.scala 101:37] + wire _T_855 = io_trigger_pkt_any_3_tdata2[0] == lsu_match_data_3[0]; // @[lib.scala 102:52] + wire _T_856 = _T_852 | _T_855; // @[lib.scala 102:41] + wire _T_858 = &io_trigger_pkt_any_3_tdata2[0]; // @[lib.scala 104:36] + wire _T_859 = _T_858 & _T_852; // @[lib.scala 104:41] + wire _T_862 = io_trigger_pkt_any_3_tdata2[1] == lsu_match_data_3[1]; // @[lib.scala 104:78] + wire _T_863 = _T_859 | _T_862; // @[lib.scala 104:23] + wire _T_865 = &io_trigger_pkt_any_3_tdata2[1:0]; // @[lib.scala 104:36] + wire _T_866 = _T_865 & _T_852; // @[lib.scala 104:41] + wire _T_869 = io_trigger_pkt_any_3_tdata2[2] == lsu_match_data_3[2]; // @[lib.scala 104:78] + wire _T_870 = _T_866 | _T_869; // @[lib.scala 104:23] + wire _T_872 = &io_trigger_pkt_any_3_tdata2[2:0]; // @[lib.scala 104:36] + wire _T_873 = _T_872 & _T_852; // @[lib.scala 104:41] + wire _T_876 = io_trigger_pkt_any_3_tdata2[3] == lsu_match_data_3[3]; // @[lib.scala 104:78] + wire _T_877 = _T_873 | _T_876; // @[lib.scala 104:23] + wire _T_879 = &io_trigger_pkt_any_3_tdata2[3:0]; // @[lib.scala 104:36] + wire _T_880 = _T_879 & _T_852; // @[lib.scala 104:41] + wire _T_883 = io_trigger_pkt_any_3_tdata2[4] == lsu_match_data_3[4]; // @[lib.scala 104:78] + wire _T_884 = _T_880 | _T_883; // @[lib.scala 104:23] + wire _T_886 = &io_trigger_pkt_any_3_tdata2[4:0]; // @[lib.scala 104:36] + wire _T_887 = _T_886 & _T_852; // @[lib.scala 104:41] + wire _T_890 = io_trigger_pkt_any_3_tdata2[5] == lsu_match_data_3[5]; // @[lib.scala 104:78] + wire _T_891 = _T_887 | _T_890; // @[lib.scala 104:23] + wire _T_893 = &io_trigger_pkt_any_3_tdata2[5:0]; // @[lib.scala 104:36] + wire _T_894 = _T_893 & _T_852; // @[lib.scala 104:41] + wire _T_897 = io_trigger_pkt_any_3_tdata2[6] == lsu_match_data_3[6]; // @[lib.scala 104:78] + wire _T_898 = _T_894 | _T_897; // @[lib.scala 104:23] + wire _T_900 = &io_trigger_pkt_any_3_tdata2[6:0]; // @[lib.scala 104:36] + wire _T_901 = _T_900 & _T_852; // @[lib.scala 104:41] + wire _T_904 = io_trigger_pkt_any_3_tdata2[7] == lsu_match_data_3[7]; // @[lib.scala 104:78] + wire _T_905 = _T_901 | _T_904; // @[lib.scala 104:23] + wire _T_907 = &io_trigger_pkt_any_3_tdata2[7:0]; // @[lib.scala 104:36] + wire _T_908 = _T_907 & _T_852; // @[lib.scala 104:41] + wire _T_911 = io_trigger_pkt_any_3_tdata2[8] == lsu_match_data_3[8]; // @[lib.scala 104:78] + wire _T_912 = _T_908 | _T_911; // @[lib.scala 104:23] + wire _T_914 = &io_trigger_pkt_any_3_tdata2[8:0]; // @[lib.scala 104:36] + wire _T_915 = _T_914 & _T_852; // @[lib.scala 104:41] + wire _T_918 = io_trigger_pkt_any_3_tdata2[9] == lsu_match_data_3[9]; // @[lib.scala 104:78] + wire _T_919 = _T_915 | _T_918; // @[lib.scala 104:23] + wire _T_921 = &io_trigger_pkt_any_3_tdata2[9:0]; // @[lib.scala 104:36] + wire _T_922 = _T_921 & _T_852; // @[lib.scala 104:41] + wire _T_925 = io_trigger_pkt_any_3_tdata2[10] == lsu_match_data_3[10]; // @[lib.scala 104:78] + wire _T_926 = _T_922 | _T_925; // @[lib.scala 104:23] + wire _T_928 = &io_trigger_pkt_any_3_tdata2[10:0]; // @[lib.scala 104:36] + wire _T_929 = _T_928 & _T_852; // @[lib.scala 104:41] + wire _T_932 = io_trigger_pkt_any_3_tdata2[11] == lsu_match_data_3[11]; // @[lib.scala 104:78] + wire _T_933 = _T_929 | _T_932; // @[lib.scala 104:23] + wire _T_935 = &io_trigger_pkt_any_3_tdata2[11:0]; // @[lib.scala 104:36] + wire _T_936 = _T_935 & _T_852; // @[lib.scala 104:41] + wire _T_939 = io_trigger_pkt_any_3_tdata2[12] == lsu_match_data_3[12]; // @[lib.scala 104:78] + wire _T_940 = _T_936 | _T_939; // @[lib.scala 104:23] + wire _T_942 = &io_trigger_pkt_any_3_tdata2[12:0]; // @[lib.scala 104:36] + wire _T_943 = _T_942 & _T_852; // @[lib.scala 104:41] + wire _T_946 = io_trigger_pkt_any_3_tdata2[13] == lsu_match_data_3[13]; // @[lib.scala 104:78] + wire _T_947 = _T_943 | _T_946; // @[lib.scala 104:23] + wire _T_949 = &io_trigger_pkt_any_3_tdata2[13:0]; // @[lib.scala 104:36] + wire _T_950 = _T_949 & _T_852; // @[lib.scala 104:41] + wire _T_953 = io_trigger_pkt_any_3_tdata2[14] == lsu_match_data_3[14]; // @[lib.scala 104:78] + wire _T_954 = _T_950 | _T_953; // @[lib.scala 104:23] + wire _T_956 = &io_trigger_pkt_any_3_tdata2[14:0]; // @[lib.scala 104:36] + wire _T_957 = _T_956 & _T_852; // @[lib.scala 104:41] + wire _T_960 = io_trigger_pkt_any_3_tdata2[15] == lsu_match_data_3[15]; // @[lib.scala 104:78] + wire _T_961 = _T_957 | _T_960; // @[lib.scala 104:23] + wire _T_963 = &io_trigger_pkt_any_3_tdata2[15:0]; // @[lib.scala 104:36] + wire _T_964 = _T_963 & _T_852; // @[lib.scala 104:41] + wire _T_967 = io_trigger_pkt_any_3_tdata2[16] == lsu_match_data_3[16]; // @[lib.scala 104:78] + wire _T_968 = _T_964 | _T_967; // @[lib.scala 104:23] + wire _T_970 = &io_trigger_pkt_any_3_tdata2[16:0]; // @[lib.scala 104:36] + wire _T_971 = _T_970 & _T_852; // @[lib.scala 104:41] + wire _T_974 = io_trigger_pkt_any_3_tdata2[17] == lsu_match_data_3[17]; // @[lib.scala 104:78] + wire _T_975 = _T_971 | _T_974; // @[lib.scala 104:23] + wire _T_977 = &io_trigger_pkt_any_3_tdata2[17:0]; // @[lib.scala 104:36] + wire _T_978 = _T_977 & _T_852; // @[lib.scala 104:41] + wire _T_981 = io_trigger_pkt_any_3_tdata2[18] == lsu_match_data_3[18]; // @[lib.scala 104:78] + wire _T_982 = _T_978 | _T_981; // @[lib.scala 104:23] + wire _T_984 = &io_trigger_pkt_any_3_tdata2[18:0]; // @[lib.scala 104:36] + wire _T_985 = _T_984 & _T_852; // @[lib.scala 104:41] + wire _T_988 = io_trigger_pkt_any_3_tdata2[19] == lsu_match_data_3[19]; // @[lib.scala 104:78] + wire _T_989 = _T_985 | _T_988; // @[lib.scala 104:23] + wire _T_991 = &io_trigger_pkt_any_3_tdata2[19:0]; // @[lib.scala 104:36] + wire _T_992 = _T_991 & _T_852; // @[lib.scala 104:41] + wire _T_995 = io_trigger_pkt_any_3_tdata2[20] == lsu_match_data_3[20]; // @[lib.scala 104:78] + wire _T_996 = _T_992 | _T_995; // @[lib.scala 104:23] + wire _T_998 = &io_trigger_pkt_any_3_tdata2[20:0]; // @[lib.scala 104:36] + wire _T_999 = _T_998 & _T_852; // @[lib.scala 104:41] + wire _T_1002 = io_trigger_pkt_any_3_tdata2[21] == lsu_match_data_3[21]; // @[lib.scala 104:78] + wire _T_1003 = _T_999 | _T_1002; // @[lib.scala 104:23] + wire _T_1005 = &io_trigger_pkt_any_3_tdata2[21:0]; // @[lib.scala 104:36] + wire _T_1006 = _T_1005 & _T_852; // @[lib.scala 104:41] + wire _T_1009 = io_trigger_pkt_any_3_tdata2[22] == lsu_match_data_3[22]; // @[lib.scala 104:78] + wire _T_1010 = _T_1006 | _T_1009; // @[lib.scala 104:23] + wire _T_1012 = &io_trigger_pkt_any_3_tdata2[22:0]; // @[lib.scala 104:36] + wire _T_1013 = _T_1012 & _T_852; // @[lib.scala 104:41] + wire _T_1016 = io_trigger_pkt_any_3_tdata2[23] == lsu_match_data_3[23]; // @[lib.scala 104:78] + wire _T_1017 = _T_1013 | _T_1016; // @[lib.scala 104:23] + wire _T_1019 = &io_trigger_pkt_any_3_tdata2[23:0]; // @[lib.scala 104:36] + wire _T_1020 = _T_1019 & _T_852; // @[lib.scala 104:41] + wire _T_1023 = io_trigger_pkt_any_3_tdata2[24] == lsu_match_data_3[24]; // @[lib.scala 104:78] + wire _T_1024 = _T_1020 | _T_1023; // @[lib.scala 104:23] + wire _T_1026 = &io_trigger_pkt_any_3_tdata2[24:0]; // @[lib.scala 104:36] + wire _T_1027 = _T_1026 & _T_852; // @[lib.scala 104:41] + wire _T_1030 = io_trigger_pkt_any_3_tdata2[25] == lsu_match_data_3[25]; // @[lib.scala 104:78] + wire _T_1031 = _T_1027 | _T_1030; // @[lib.scala 104:23] + wire _T_1033 = &io_trigger_pkt_any_3_tdata2[25:0]; // @[lib.scala 104:36] + wire _T_1034 = _T_1033 & _T_852; // @[lib.scala 104:41] + wire _T_1037 = io_trigger_pkt_any_3_tdata2[26] == lsu_match_data_3[26]; // @[lib.scala 104:78] + wire _T_1038 = _T_1034 | _T_1037; // @[lib.scala 104:23] + wire _T_1040 = &io_trigger_pkt_any_3_tdata2[26:0]; // @[lib.scala 104:36] + wire _T_1041 = _T_1040 & _T_852; // @[lib.scala 104:41] + wire _T_1044 = io_trigger_pkt_any_3_tdata2[27] == lsu_match_data_3[27]; // @[lib.scala 104:78] + wire _T_1045 = _T_1041 | _T_1044; // @[lib.scala 104:23] + wire _T_1047 = &io_trigger_pkt_any_3_tdata2[27:0]; // @[lib.scala 104:36] + wire _T_1048 = _T_1047 & _T_852; // @[lib.scala 104:41] + wire _T_1051 = io_trigger_pkt_any_3_tdata2[28] == lsu_match_data_3[28]; // @[lib.scala 104:78] + wire _T_1052 = _T_1048 | _T_1051; // @[lib.scala 104:23] + wire _T_1054 = &io_trigger_pkt_any_3_tdata2[28:0]; // @[lib.scala 104:36] + wire _T_1055 = _T_1054 & _T_852; // @[lib.scala 104:41] + wire _T_1058 = io_trigger_pkt_any_3_tdata2[29] == lsu_match_data_3[29]; // @[lib.scala 104:78] + wire _T_1059 = _T_1055 | _T_1058; // @[lib.scala 104:23] + wire _T_1061 = &io_trigger_pkt_any_3_tdata2[29:0]; // @[lib.scala 104:36] + wire _T_1062 = _T_1061 & _T_852; // @[lib.scala 104:41] + wire _T_1065 = io_trigger_pkt_any_3_tdata2[30] == lsu_match_data_3[30]; // @[lib.scala 104:78] + wire _T_1066 = _T_1062 | _T_1065; // @[lib.scala 104:23] + wire _T_1068 = &io_trigger_pkt_any_3_tdata2[30:0]; // @[lib.scala 104:36] + wire _T_1069 = _T_1068 & _T_852; // @[lib.scala 104:41] + wire _T_1072 = io_trigger_pkt_any_3_tdata2[31] == lsu_match_data_3[31]; // @[lib.scala 104:78] + wire _T_1073 = _T_1069 | _T_1072; // @[lib.scala 104:23] + wire [7:0] _T_1080 = {_T_905,_T_898,_T_891,_T_884,_T_877,_T_870,_T_863,_T_856}; // @[lib.scala 105:14] + wire [15:0] _T_1088 = {_T_961,_T_954,_T_947,_T_940,_T_933,_T_926,_T_919,_T_912,_T_1080}; // @[lib.scala 105:14] + wire [7:0] _T_1095 = {_T_1017,_T_1010,_T_1003,_T_996,_T_989,_T_982,_T_975,_T_968}; // @[lib.scala 105:14] + wire [31:0] _T_1104 = {_T_1073,_T_1066,_T_1059,_T_1052,_T_1045,_T_1038,_T_1031,_T_1024,_T_1095,_T_1088}; // @[lib.scala 105:14] + wire _T_1105 = &_T_1104; // @[lib.scala 105:25] + wire _T_1106 = _T_847 & _T_1105; // @[lsu_trigger.scala 19:92] + wire [2:0] _T_1108 = {_T_1106,_T_839,_T_572}; // @[Cat.scala 29:58] + assign io_lsu_trigger_match_m = {_T_1108,_T_305}; // @[lsu_trigger.scala 18:26] +endmodule +module lsu_clkdomain( + input clock, + input reset, + input io_free_clk, + input io_clk_override, + input io_dma_dccm_req, + input io_ldst_stbuf_reqvld_r, + input io_stbuf_reqvld_any, + input io_stbuf_reqvld_flushed_any, + input io_lsu_busreq_r, + input io_lsu_bus_buffer_pend_any, + input io_lsu_bus_buffer_empty_any, + input io_lsu_stbuf_empty_any, + input io_lsu_bus_clk_en, + input io_lsu_p_valid, + input io_lsu_pkt_d_valid, + input io_lsu_pkt_d_bits_store, + input io_lsu_pkt_m_valid, + input io_lsu_pkt_m_bits_store, + input io_lsu_pkt_r_valid, + output io_lsu_c1_m_clk, + output io_lsu_c1_r_clk, + output io_lsu_c2_m_clk, + output io_lsu_c2_r_clk, + output io_lsu_store_c1_m_clk, + output io_lsu_store_c1_r_clk, + output io_lsu_stbuf_c1_clk, + output io_lsu_bus_obuf_c1_clk, + output io_lsu_bus_ibuf_c1_clk, + output io_lsu_bus_buf_c1_clk, + output io_lsu_busm_clk, + output io_lsu_free_c2_clk, + input io_scan_mode +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_io_en; // @[lib.scala 343:22] + wire rvclkhdr_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_1_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_1_io_en; // @[lib.scala 343:22] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_2_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_2_io_en; // @[lib.scala 343:22] + wire rvclkhdr_2_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_3_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_3_io_en; // @[lib.scala 343:22] + wire rvclkhdr_3_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_4_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_4_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_4_io_en; // @[lib.scala 343:22] + wire rvclkhdr_4_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_5_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_5_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_5_io_en; // @[lib.scala 343:22] + wire rvclkhdr_5_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_6_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_6_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_6_io_en; // @[lib.scala 343:22] + wire rvclkhdr_6_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_7_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_7_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_7_io_en; // @[lib.scala 343:22] + wire rvclkhdr_7_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_8_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_8_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_8_io_en; // @[lib.scala 343:22] + wire rvclkhdr_8_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_9_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_9_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_9_io_en; // @[lib.scala 343:22] + wire rvclkhdr_9_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_10_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_10_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_10_io_en; // @[lib.scala 343:22] + wire rvclkhdr_10_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_11_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_11_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_11_io_en; // @[lib.scala 343:22] + wire rvclkhdr_11_io_scan_mode; // @[lib.scala 343:22] + wire _T = io_lsu_p_valid | io_dma_dccm_req; // @[lsu_clkdomain.scala 62:51] + reg lsu_c1_d_clken_q; // @[lsu_clkdomain.scala 81:67] + wire _T_1 = io_lsu_pkt_d_valid | lsu_c1_d_clken_q; // @[lsu_clkdomain.scala 63:51] + wire lsu_c1_m_clken = _T_1 | io_clk_override; // @[lsu_clkdomain.scala 63:70] + reg lsu_c1_m_clken_q; // @[lsu_clkdomain.scala 82:67] + wire _T_2 = io_lsu_pkt_m_valid | lsu_c1_m_clken_q; // @[lsu_clkdomain.scala 64:51] + wire lsu_c1_r_clken = _T_2 | io_clk_override; // @[lsu_clkdomain.scala 64:70] + wire _T_3 = lsu_c1_m_clken | lsu_c1_m_clken_q; // @[lsu_clkdomain.scala 66:47] + reg lsu_c1_r_clken_q; // @[lsu_clkdomain.scala 83:67] + wire _T_4 = lsu_c1_r_clken | lsu_c1_r_clken_q; // @[lsu_clkdomain.scala 67:47] + wire _T_5 = lsu_c1_m_clken & io_lsu_pkt_d_bits_store; // @[lsu_clkdomain.scala 69:49] + wire _T_6 = lsu_c1_r_clken & io_lsu_pkt_m_bits_store; // @[lsu_clkdomain.scala 70:49] + wire _T_7 = io_ldst_stbuf_reqvld_r | io_stbuf_reqvld_any; // @[lsu_clkdomain.scala 71:55] + wire _T_8 = _T_7 | io_stbuf_reqvld_flushed_any; // @[lsu_clkdomain.scala 71:77] + wire _T_9 = io_lsu_bus_buffer_pend_any | io_lsu_busreq_r; // @[lsu_clkdomain.scala 73:61] + wire _T_10 = _T_9 | io_clk_override; // @[lsu_clkdomain.scala 73:79] + wire _T_11 = ~io_lsu_bus_buffer_empty_any; // @[lsu_clkdomain.scala 74:32] + wire _T_12 = _T_11 | io_lsu_busreq_r; // @[lsu_clkdomain.scala 74:61] + wire _T_13 = io_lsu_p_valid | io_lsu_pkt_d_valid; // @[lsu_clkdomain.scala 76:48] + wire _T_14 = _T_13 | io_lsu_pkt_m_valid; // @[lsu_clkdomain.scala 76:69] + wire _T_15 = _T_14 | io_lsu_pkt_r_valid; // @[lsu_clkdomain.scala 76:90] + wire _T_17 = _T_15 | _T_11; // @[lsu_clkdomain.scala 76:112] + wire _T_18 = ~io_lsu_stbuf_empty_any; // @[lsu_clkdomain.scala 76:145] + wire _T_19 = _T_17 | _T_18; // @[lsu_clkdomain.scala 76:143] + wire lsu_free_c1_clken = _T_19 | io_clk_override; // @[lsu_clkdomain.scala 76:169] + reg lsu_free_c1_clken_q; // @[lsu_clkdomain.scala 80:60] + wire _T_20 = lsu_free_c1_clken | lsu_free_c1_clken_q; // @[lsu_clkdomain.scala 77:50] + rvclkhdr rvclkhdr ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + rvclkhdr rvclkhdr_4 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_4_io_l1clk), + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en), + .io_scan_mode(rvclkhdr_4_io_scan_mode) + ); + rvclkhdr rvclkhdr_5 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_5_io_l1clk), + .io_clk(rvclkhdr_5_io_clk), + .io_en(rvclkhdr_5_io_en), + .io_scan_mode(rvclkhdr_5_io_scan_mode) + ); + rvclkhdr rvclkhdr_6 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_6_io_l1clk), + .io_clk(rvclkhdr_6_io_clk), + .io_en(rvclkhdr_6_io_en), + .io_scan_mode(rvclkhdr_6_io_scan_mode) + ); + rvclkhdr rvclkhdr_7 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_7_io_l1clk), + .io_clk(rvclkhdr_7_io_clk), + .io_en(rvclkhdr_7_io_en), + .io_scan_mode(rvclkhdr_7_io_scan_mode) + ); + rvclkhdr rvclkhdr_8 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_8_io_l1clk), + .io_clk(rvclkhdr_8_io_clk), + .io_en(rvclkhdr_8_io_en), + .io_scan_mode(rvclkhdr_8_io_scan_mode) + ); + rvclkhdr rvclkhdr_9 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_9_io_l1clk), + .io_clk(rvclkhdr_9_io_clk), + .io_en(rvclkhdr_9_io_en), + .io_scan_mode(rvclkhdr_9_io_scan_mode) + ); + rvclkhdr rvclkhdr_10 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_10_io_l1clk), + .io_clk(rvclkhdr_10_io_clk), + .io_en(rvclkhdr_10_io_en), + .io_scan_mode(rvclkhdr_10_io_scan_mode) + ); + rvclkhdr rvclkhdr_11 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_11_io_l1clk), + .io_clk(rvclkhdr_11_io_clk), + .io_en(rvclkhdr_11_io_en), + .io_scan_mode(rvclkhdr_11_io_scan_mode) + ); + assign io_lsu_c1_m_clk = rvclkhdr_io_l1clk; // @[lsu_clkdomain.scala 85:26] + assign io_lsu_c1_r_clk = rvclkhdr_1_io_l1clk; // @[lsu_clkdomain.scala 86:26] + assign io_lsu_c2_m_clk = rvclkhdr_2_io_l1clk; // @[lsu_clkdomain.scala 87:26] + assign io_lsu_c2_r_clk = rvclkhdr_3_io_l1clk; // @[lsu_clkdomain.scala 88:26] + assign io_lsu_store_c1_m_clk = rvclkhdr_4_io_l1clk; // @[lsu_clkdomain.scala 89:26] + assign io_lsu_store_c1_r_clk = rvclkhdr_5_io_l1clk; // @[lsu_clkdomain.scala 90:26] + assign io_lsu_stbuf_c1_clk = rvclkhdr_6_io_l1clk; // @[lsu_clkdomain.scala 91:26] + assign io_lsu_bus_obuf_c1_clk = rvclkhdr_8_io_l1clk; // @[lsu_clkdomain.scala 93:26] + assign io_lsu_bus_ibuf_c1_clk = rvclkhdr_7_io_l1clk; // @[lsu_clkdomain.scala 92:26] + assign io_lsu_bus_buf_c1_clk = rvclkhdr_9_io_l1clk; // @[lsu_clkdomain.scala 94:26] + assign io_lsu_busm_clk = rvclkhdr_10_io_l1clk; // @[lsu_clkdomain.scala 95:26] + assign io_lsu_free_c2_clk = rvclkhdr_11_io_l1clk; // @[lsu_clkdomain.scala 96:26] + assign rvclkhdr_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_io_en = _T_1 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_1_io_en = _T_2 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_2_io_en = _T_3 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_3_io_en = _T_4 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_4_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_4_io_en = _T_5 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_5_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_5_io_en = _T_6 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_6_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_6_io_en = _T_8 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_7_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_7_io_en = io_lsu_busreq_r | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_8_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_8_io_en = _T_10 & io_lsu_bus_clk_en; // @[lib.scala 345:16] + assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_9_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_9_io_en = _T_12 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_10_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_10_io_en = io_lsu_bus_clk_en; // @[lib.scala 345:16] + assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_11_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_11_io_en = _T_20 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + lsu_c1_d_clken_q = _RAND_0[0:0]; + _RAND_1 = {1{`RANDOM}}; + lsu_c1_m_clken_q = _RAND_1[0:0]; + _RAND_2 = {1{`RANDOM}}; + lsu_c1_r_clken_q = _RAND_2[0:0]; + _RAND_3 = {1{`RANDOM}}; + lsu_free_c1_clken_q = _RAND_3[0:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + lsu_c1_d_clken_q = 1'h0; + end + if (reset) begin + lsu_c1_m_clken_q = 1'h0; + end + if (reset) begin + lsu_c1_r_clken_q = 1'h0; + end + if (reset) begin + lsu_free_c1_clken_q = 1'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + lsu_c1_d_clken_q <= 1'h0; + end else begin + lsu_c1_d_clken_q <= _T | io_clk_override; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + lsu_c1_m_clken_q <= 1'h0; + end else begin + lsu_c1_m_clken_q <= _T_1 | io_clk_override; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + lsu_c1_r_clken_q <= 1'h0; + end else begin + lsu_c1_r_clken_q <= _T_2 | io_clk_override; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + lsu_free_c1_clken_q <= 1'h0; + end else begin + lsu_free_c1_clken_q <= _T_19 | io_clk_override; + end + end +endmodule +module lsu_bus_buffer( + input clock, + input reset, + input io_scan_mode, + output io_tlu_busbuff_lsu_pmu_bus_trxn, + output io_tlu_busbuff_lsu_pmu_bus_misaligned, + output io_tlu_busbuff_lsu_pmu_bus_error, + output io_tlu_busbuff_lsu_pmu_bus_busy, + input io_tlu_busbuff_dec_tlu_external_ldfwd_disable, + input io_tlu_busbuff_dec_tlu_wb_coalescing_disable, + input io_tlu_busbuff_dec_tlu_sideeffect_posted_disable, + output io_tlu_busbuff_lsu_imprecise_error_load_any, + output io_tlu_busbuff_lsu_imprecise_error_store_any, + output [31:0] io_tlu_busbuff_lsu_imprecise_error_addr_any, + output io_dctl_busbuff_lsu_nonblock_load_valid_m, + output [1:0] io_dctl_busbuff_lsu_nonblock_load_tag_m, + output io_dctl_busbuff_lsu_nonblock_load_inv_r, + output [1:0] io_dctl_busbuff_lsu_nonblock_load_inv_tag_r, + output io_dctl_busbuff_lsu_nonblock_load_data_valid, + output io_dctl_busbuff_lsu_nonblock_load_data_error, + output [1:0] io_dctl_busbuff_lsu_nonblock_load_data_tag, + output [31:0] io_dctl_busbuff_lsu_nonblock_load_data, + input io_dec_tlu_force_halt, + input io_lsu_c2_r_clk, + input io_lsu_bus_ibuf_c1_clk, + input io_lsu_bus_obuf_c1_clk, + input io_lsu_bus_buf_c1_clk, + input io_lsu_free_c2_clk, + input io_lsu_busm_clk, + input io_dec_lsu_valid_raw_d, + input io_lsu_pkt_m_valid, + input io_lsu_pkt_m_bits_load, + input io_lsu_pkt_r_bits_by, + input io_lsu_pkt_r_bits_half, + input io_lsu_pkt_r_bits_word, + input io_lsu_pkt_r_bits_load, + input io_lsu_pkt_r_bits_store, + input io_lsu_pkt_r_bits_unsign, + input [31:0] io_lsu_addr_m, + input [31:0] io_end_addr_m, + input [31:0] io_lsu_addr_r, + input [31:0] io_end_addr_r, + input [31:0] io_store_data_r, + input io_no_word_merge_r, + input io_no_dword_merge_r, + input io_lsu_busreq_m, + input io_ld_full_hit_m, + input io_flush_m_up, + input io_flush_r, + input io_lsu_commit_r, + input io_is_sideeffects_r, + input io_ldst_dual_d, + input io_ldst_dual_m, + input io_ldst_dual_r, + input [7:0] io_ldst_byteen_ext_m, + input io_lsu_axi_aw_ready, + output io_lsu_axi_aw_valid, + output [2:0] io_lsu_axi_aw_bits_id, + output [31:0] io_lsu_axi_aw_bits_addr, + output [3:0] io_lsu_axi_aw_bits_region, + output [2:0] io_lsu_axi_aw_bits_size, + output [3:0] io_lsu_axi_aw_bits_cache, + input io_lsu_axi_w_ready, + output io_lsu_axi_w_valid, + output [63:0] io_lsu_axi_w_bits_data, + output [7:0] io_lsu_axi_w_bits_strb, + output io_lsu_axi_b_ready, + input io_lsu_axi_b_valid, + input [1:0] io_lsu_axi_b_bits_resp, + input [2:0] io_lsu_axi_b_bits_id, + input io_lsu_axi_ar_ready, + output io_lsu_axi_ar_valid, + output [2:0] io_lsu_axi_ar_bits_id, + output [31:0] io_lsu_axi_ar_bits_addr, + output [3:0] io_lsu_axi_ar_bits_region, + output [2:0] io_lsu_axi_ar_bits_size, + output [3:0] io_lsu_axi_ar_bits_cache, + output io_lsu_axi_r_ready, + input io_lsu_axi_r_valid, + input [2:0] io_lsu_axi_r_bits_id, + input [63:0] io_lsu_axi_r_bits_data, + input [1:0] io_lsu_axi_r_bits_resp, + input io_lsu_bus_clk_en, + input io_lsu_bus_clk_en_q, + output io_lsu_busreq_r, + output io_lsu_bus_buffer_pend_any, + output io_lsu_bus_buffer_full_any, + output io_lsu_bus_buffer_empty_any, + output [3:0] io_ld_byte_hit_buf_lo, + output [3:0] io_ld_byte_hit_buf_hi, + output [31:0] io_ld_fwddata_buf_lo, + output [31:0] io_ld_fwddata_buf_hi +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; + reg [31:0] _RAND_11; + reg [31:0] _RAND_12; + reg [31:0] _RAND_13; + reg [31:0] _RAND_14; + reg [31:0] _RAND_15; + reg [31:0] _RAND_16; + reg [31:0] _RAND_17; + reg [31:0] _RAND_18; + reg [31:0] _RAND_19; + reg [31:0] _RAND_20; + reg [31:0] _RAND_21; + reg [31:0] _RAND_22; + reg [31:0] _RAND_23; + reg [31:0] _RAND_24; + reg [31:0] _RAND_25; + reg [31:0] _RAND_26; + reg [31:0] _RAND_27; + reg [31:0] _RAND_28; + reg [31:0] _RAND_29; + reg [31:0] _RAND_30; + reg [31:0] _RAND_31; + reg [31:0] _RAND_32; + reg [31:0] _RAND_33; + reg [31:0] _RAND_34; + reg [31:0] _RAND_35; + reg [31:0] _RAND_36; + reg [31:0] _RAND_37; + reg [31:0] _RAND_38; + reg [31:0] _RAND_39; + reg [31:0] _RAND_40; + reg [31:0] _RAND_41; + reg [31:0] _RAND_42; + reg [31:0] _RAND_43; + reg [31:0] _RAND_44; + reg [31:0] _RAND_45; + reg [31:0] _RAND_46; + reg [31:0] _RAND_47; + reg [31:0] _RAND_48; + reg [31:0] _RAND_49; + reg [31:0] _RAND_50; + reg [31:0] _RAND_51; + reg [31:0] _RAND_52; + reg [31:0] _RAND_53; + reg [31:0] _RAND_54; + reg [31:0] _RAND_55; + reg [31:0] _RAND_56; + reg [31:0] _RAND_57; + reg [31:0] _RAND_58; + reg [31:0] _RAND_59; + reg [31:0] _RAND_60; + reg [31:0] _RAND_61; + reg [31:0] _RAND_62; + reg [31:0] _RAND_63; + reg [31:0] _RAND_64; + reg [31:0] _RAND_65; + reg [31:0] _RAND_66; + reg [31:0] _RAND_67; + reg [31:0] _RAND_68; + reg [31:0] _RAND_69; + reg [31:0] _RAND_70; + reg [31:0] _RAND_71; + reg [31:0] _RAND_72; + reg [31:0] _RAND_73; + reg [31:0] _RAND_74; + reg [31:0] _RAND_75; + reg [31:0] _RAND_76; + reg [31:0] _RAND_77; + reg [31:0] _RAND_78; + reg [31:0] _RAND_79; + reg [63:0] _RAND_80; + reg [31:0] _RAND_81; + reg [31:0] _RAND_82; + reg [31:0] _RAND_83; + reg [31:0] _RAND_84; + reg [31:0] _RAND_85; + reg [31:0] _RAND_86; + reg [31:0] _RAND_87; + reg [31:0] _RAND_88; + reg [31:0] _RAND_89; + reg [31:0] _RAND_90; + reg [31:0] _RAND_91; + reg [31:0] _RAND_92; + reg [31:0] _RAND_93; + reg [31:0] _RAND_94; + reg [31:0] _RAND_95; + reg [31:0] _RAND_96; + reg [31:0] _RAND_97; + reg [31:0] _RAND_98; + reg [31:0] _RAND_99; + reg [31:0] _RAND_100; + reg [31:0] _RAND_101; + reg [31:0] _RAND_102; + reg [31:0] _RAND_103; + reg [31:0] _RAND_104; + reg [31:0] _RAND_105; + reg [31:0] _RAND_106; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_io_en; // @[lib.scala 368:23] + wire rvclkhdr_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_en; // @[lib.scala 368:23] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_en; // @[lib.scala 368:23] + wire rvclkhdr_2_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_en; // @[lib.scala 368:23] + wire rvclkhdr_3_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_4_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_en; // @[lib.scala 368:23] + wire rvclkhdr_4_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_5_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_en; // @[lib.scala 368:23] + wire rvclkhdr_5_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_6_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_6_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_6_io_en; // @[lib.scala 368:23] + wire rvclkhdr_6_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_7_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_7_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_7_io_en; // @[lib.scala 368:23] + wire rvclkhdr_7_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_8_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_8_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_8_io_en; // @[lib.scala 368:23] + wire rvclkhdr_8_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_9_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_9_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_9_io_en; // @[lib.scala 368:23] + wire rvclkhdr_9_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_10_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_10_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_10_io_en; // @[lib.scala 368:23] + wire rvclkhdr_10_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_11_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_11_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_11_io_en; // @[lib.scala 368:23] + wire rvclkhdr_11_io_scan_mode; // @[lib.scala 368:23] + wire [3:0] ldst_byteen_hi_m = io_ldst_byteen_ext_m[7:4]; // @[lsu_bus_buffer.scala 73:46] + wire [3:0] ldst_byteen_lo_m = io_ldst_byteen_ext_m[3:0]; // @[lsu_bus_buffer.scala 74:46] + reg [31:0] buf_addr_0; // @[lib.scala 374:16] + wire _T_2 = io_lsu_addr_m[31:2] == buf_addr_0[31:2]; // @[lsu_bus_buffer.scala 76:74] + reg _T_4360; // @[Reg.scala 27:20] + reg _T_4357; // @[Reg.scala 27:20] + reg _T_4354; // @[Reg.scala 27:20] + reg _T_4351; // @[Reg.scala 27:20] + wire [3:0] buf_write = {_T_4360,_T_4357,_T_4354,_T_4351}; // @[Cat.scala 29:58] + wire _T_4 = _T_2 & buf_write[0]; // @[lsu_bus_buffer.scala 76:98] + reg [2:0] buf_state_0; // @[Reg.scala 27:20] + wire _T_5 = buf_state_0 != 3'h0; // @[lsu_bus_buffer.scala 76:129] + wire _T_6 = _T_4 & _T_5; // @[lsu_bus_buffer.scala 76:113] + wire ld_addr_hitvec_lo_0 = _T_6 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 76:141] + reg [31:0] buf_addr_1; // @[lib.scala 374:16] + wire _T_9 = io_lsu_addr_m[31:2] == buf_addr_1[31:2]; // @[lsu_bus_buffer.scala 76:74] + wire _T_11 = _T_9 & buf_write[1]; // @[lsu_bus_buffer.scala 76:98] + reg [2:0] buf_state_1; // @[Reg.scala 27:20] + wire _T_12 = buf_state_1 != 3'h0; // @[lsu_bus_buffer.scala 76:129] + wire _T_13 = _T_11 & _T_12; // @[lsu_bus_buffer.scala 76:113] + wire ld_addr_hitvec_lo_1 = _T_13 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 76:141] + reg [31:0] buf_addr_2; // @[lib.scala 374:16] + wire _T_16 = io_lsu_addr_m[31:2] == buf_addr_2[31:2]; // @[lsu_bus_buffer.scala 76:74] + wire _T_18 = _T_16 & buf_write[2]; // @[lsu_bus_buffer.scala 76:98] + reg [2:0] buf_state_2; // @[Reg.scala 27:20] + wire _T_19 = buf_state_2 != 3'h0; // @[lsu_bus_buffer.scala 76:129] + wire _T_20 = _T_18 & _T_19; // @[lsu_bus_buffer.scala 76:113] + wire ld_addr_hitvec_lo_2 = _T_20 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 76:141] + reg [31:0] buf_addr_3; // @[lib.scala 374:16] + wire _T_23 = io_lsu_addr_m[31:2] == buf_addr_3[31:2]; // @[lsu_bus_buffer.scala 76:74] + wire _T_25 = _T_23 & buf_write[3]; // @[lsu_bus_buffer.scala 76:98] + reg [2:0] buf_state_3; // @[Reg.scala 27:20] + wire _T_26 = buf_state_3 != 3'h0; // @[lsu_bus_buffer.scala 76:129] + wire _T_27 = _T_25 & _T_26; // @[lsu_bus_buffer.scala 76:113] + wire ld_addr_hitvec_lo_3 = _T_27 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 76:141] + wire _T_30 = io_end_addr_m[31:2] == buf_addr_0[31:2]; // @[lsu_bus_buffer.scala 77:74] + wire _T_32 = _T_30 & buf_write[0]; // @[lsu_bus_buffer.scala 77:98] + wire _T_34 = _T_32 & _T_5; // @[lsu_bus_buffer.scala 77:113] + wire ld_addr_hitvec_hi_0 = _T_34 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 77:141] + wire _T_37 = io_end_addr_m[31:2] == buf_addr_1[31:2]; // @[lsu_bus_buffer.scala 77:74] + wire _T_39 = _T_37 & buf_write[1]; // @[lsu_bus_buffer.scala 77:98] + wire _T_41 = _T_39 & _T_12; // @[lsu_bus_buffer.scala 77:113] + wire ld_addr_hitvec_hi_1 = _T_41 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 77:141] + wire _T_44 = io_end_addr_m[31:2] == buf_addr_2[31:2]; // @[lsu_bus_buffer.scala 77:74] + wire _T_46 = _T_44 & buf_write[2]; // @[lsu_bus_buffer.scala 77:98] + wire _T_48 = _T_46 & _T_19; // @[lsu_bus_buffer.scala 77:113] + wire ld_addr_hitvec_hi_2 = _T_48 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 77:141] + wire _T_51 = io_end_addr_m[31:2] == buf_addr_3[31:2]; // @[lsu_bus_buffer.scala 77:74] + wire _T_53 = _T_51 & buf_write[3]; // @[lsu_bus_buffer.scala 77:98] + wire _T_55 = _T_53 & _T_26; // @[lsu_bus_buffer.scala 77:113] + wire ld_addr_hitvec_hi_3 = _T_55 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 77:141] + reg [3:0] buf_byteen_3; // @[Reg.scala 27:20] + wire _T_99 = ld_addr_hitvec_lo_3 & buf_byteen_3[0]; // @[lsu_bus_buffer.scala 141:95] + wire _T_101 = _T_99 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 141:114] + reg [3:0] buf_byteen_2; // @[Reg.scala 27:20] + wire _T_95 = ld_addr_hitvec_lo_2 & buf_byteen_2[0]; // @[lsu_bus_buffer.scala 141:95] + wire _T_97 = _T_95 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 141:114] + reg [3:0] buf_byteen_1; // @[Reg.scala 27:20] + wire _T_91 = ld_addr_hitvec_lo_1 & buf_byteen_1[0]; // @[lsu_bus_buffer.scala 141:95] + wire _T_93 = _T_91 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 141:114] + reg [3:0] buf_byteen_0; // @[Reg.scala 27:20] + wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[lsu_bus_buffer.scala 141:95] + wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 141:114] + wire [3:0] ld_byte_hitvec_lo_0 = {_T_101,_T_97,_T_93,_T_89}; // @[Cat.scala 29:58] + reg [3:0] buf_ageQ_3; // @[lsu_bus_buffer.scala 500:60] + wire _T_2621 = buf_state_3 == 3'h2; // @[lsu_bus_buffer.scala 412:93] + wire _T_4107 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4130 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4134 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] + reg [1:0] _T_1848; // @[Reg.scala 27:20] + wire [2:0] obuf_tag0 = {{1'd0}, _T_1848}; // @[lsu_bus_buffer.scala 352:13] + wire _T_4141 = obuf_tag0 == 3'h3; // @[lsu_bus_buffer.scala 455:48] + reg obuf_merge; // @[Reg.scala 27:20] + reg [1:0] obuf_tag1; // @[Reg.scala 27:20] + wire [2:0] _GEN_358 = {{1'd0}, obuf_tag1}; // @[lsu_bus_buffer.scala 455:104] + wire _T_4142 = _GEN_358 == 3'h3; // @[lsu_bus_buffer.scala 455:104] + wire _T_4143 = obuf_merge & _T_4142; // @[lsu_bus_buffer.scala 455:91] + wire _T_4144 = _T_4141 | _T_4143; // @[lsu_bus_buffer.scala 455:77] + reg obuf_valid; // @[lsu_bus_buffer.scala 346:54] + wire _T_4145 = _T_4144 & obuf_valid; // @[lsu_bus_buffer.scala 455:135] + reg obuf_wr_enQ; // @[lsu_bus_buffer.scala 345:55] + wire _T_4146 = _T_4145 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 455:148] + wire _GEN_280 = _T_4134 & _T_4146; // @[Conditional.scala 39:67] + wire _GEN_293 = _T_4130 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_3 = _T_4107 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] + wire _T_2622 = _T_2621 & buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 412:103] + wire _T_2623 = ~_T_2622; // @[lsu_bus_buffer.scala 412:78] + wire _T_2624 = buf_ageQ_3[3] & _T_2623; // @[lsu_bus_buffer.scala 412:76] + wire _T_2616 = buf_state_2 == 3'h2; // @[lsu_bus_buffer.scala 412:93] + wire _T_3914 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3937 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3941 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3948 = obuf_tag0 == 3'h2; // @[lsu_bus_buffer.scala 455:48] + wire _T_3949 = _GEN_358 == 3'h2; // @[lsu_bus_buffer.scala 455:104] + wire _T_3950 = obuf_merge & _T_3949; // @[lsu_bus_buffer.scala 455:91] + wire _T_3951 = _T_3948 | _T_3950; // @[lsu_bus_buffer.scala 455:77] + wire _T_3952 = _T_3951 & obuf_valid; // @[lsu_bus_buffer.scala 455:135] + wire _T_3953 = _T_3952 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 455:148] + wire _GEN_204 = _T_3941 & _T_3953; // @[Conditional.scala 39:67] + wire _GEN_217 = _T_3937 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_2 = _T_3914 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] + wire _T_2617 = _T_2616 & buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 412:103] + wire _T_2618 = ~_T_2617; // @[lsu_bus_buffer.scala 412:78] + wire _T_2619 = buf_ageQ_3[2] & _T_2618; // @[lsu_bus_buffer.scala 412:76] + wire _T_2611 = buf_state_1 == 3'h2; // @[lsu_bus_buffer.scala 412:93] + wire _T_3721 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3744 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3748 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3755 = obuf_tag0 == 3'h1; // @[lsu_bus_buffer.scala 455:48] + wire _T_3756 = _GEN_358 == 3'h1; // @[lsu_bus_buffer.scala 455:104] + wire _T_3757 = obuf_merge & _T_3756; // @[lsu_bus_buffer.scala 455:91] + wire _T_3758 = _T_3755 | _T_3757; // @[lsu_bus_buffer.scala 455:77] + wire _T_3759 = _T_3758 & obuf_valid; // @[lsu_bus_buffer.scala 455:135] + wire _T_3760 = _T_3759 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 455:148] + wire _GEN_128 = _T_3748 & _T_3760; // @[Conditional.scala 39:67] + wire _GEN_141 = _T_3744 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_1 = _T_3721 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] + wire _T_2612 = _T_2611 & buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 412:103] + wire _T_2613 = ~_T_2612; // @[lsu_bus_buffer.scala 412:78] + wire _T_2614 = buf_ageQ_3[1] & _T_2613; // @[lsu_bus_buffer.scala 412:76] + wire _T_2606 = buf_state_0 == 3'h2; // @[lsu_bus_buffer.scala 412:93] + wire _T_3528 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3551 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3555 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3562 = obuf_tag0 == 3'h0; // @[lsu_bus_buffer.scala 455:48] + wire _T_3563 = _GEN_358 == 3'h0; // @[lsu_bus_buffer.scala 455:104] + wire _T_3564 = obuf_merge & _T_3563; // @[lsu_bus_buffer.scala 455:91] + wire _T_3565 = _T_3562 | _T_3564; // @[lsu_bus_buffer.scala 455:77] + wire _T_3566 = _T_3565 & obuf_valid; // @[lsu_bus_buffer.scala 455:135] + wire _T_3567 = _T_3566 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 455:148] + wire _GEN_52 = _T_3555 & _T_3567; // @[Conditional.scala 39:67] + wire _GEN_65 = _T_3551 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_0 = _T_3528 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] + wire _T_2607 = _T_2606 & buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 412:103] + wire _T_2608 = ~_T_2607; // @[lsu_bus_buffer.scala 412:78] + wire _T_2609 = buf_ageQ_3[0] & _T_2608; // @[lsu_bus_buffer.scala 412:76] + wire [3:0] buf_age_3 = {_T_2624,_T_2619,_T_2614,_T_2609}; // @[Cat.scala 29:58] + wire _T_2723 = ~buf_age_3[2]; // @[lsu_bus_buffer.scala 413:89] + wire _T_2725 = _T_2723 & _T_19; // @[lsu_bus_buffer.scala 413:104] + wire _T_2717 = ~buf_age_3[1]; // @[lsu_bus_buffer.scala 413:89] + wire _T_2719 = _T_2717 & _T_12; // @[lsu_bus_buffer.scala 413:104] + wire _T_2711 = ~buf_age_3[0]; // @[lsu_bus_buffer.scala 413:89] + wire _T_2713 = _T_2711 & _T_5; // @[lsu_bus_buffer.scala 413:104] + wire [3:0] buf_age_younger_3 = {1'h0,_T_2725,_T_2719,_T_2713}; // @[Cat.scala 29:58] + wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[lsu_bus_buffer.scala 146:122] + wire _T_256 = |_T_255; // @[lsu_bus_buffer.scala 146:144] + wire _T_257 = ~_T_256; // @[lsu_bus_buffer.scala 146:99] + wire _T_258 = ld_byte_hitvec_lo_0[3] & _T_257; // @[lsu_bus_buffer.scala 146:97] + reg [31:0] ibuf_addr; // @[lib.scala 374:16] + wire _T_512 = io_lsu_addr_m[31:2] == ibuf_addr[31:2]; // @[lsu_bus_buffer.scala 152:51] + reg ibuf_write; // @[Reg.scala 27:20] + wire _T_513 = _T_512 & ibuf_write; // @[lsu_bus_buffer.scala 152:73] + reg ibuf_valid; // @[lsu_bus_buffer.scala 240:54] + wire _T_514 = _T_513 & ibuf_valid; // @[lsu_bus_buffer.scala 152:86] + wire ld_addr_ibuf_hit_lo = _T_514 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 152:99] + wire [3:0] _T_521 = ld_addr_ibuf_hit_lo ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + reg [3:0] ibuf_byteen; // @[Reg.scala 27:20] + wire [3:0] _T_522 = _T_521 & ibuf_byteen; // @[lsu_bus_buffer.scala 157:55] + wire [3:0] ld_byte_ibuf_hit_lo = _T_522 & ldst_byteen_lo_m; // @[lsu_bus_buffer.scala 157:69] + wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[lsu_bus_buffer.scala 146:150] + wire _T_261 = _T_258 & _T_260; // @[lsu_bus_buffer.scala 146:148] + reg [3:0] buf_ageQ_2; // @[lsu_bus_buffer.scala 500:60] + wire _T_2601 = buf_ageQ_2[3] & _T_2623; // @[lsu_bus_buffer.scala 412:76] + wire _T_2596 = buf_ageQ_2[2] & _T_2618; // @[lsu_bus_buffer.scala 412:76] + wire _T_2591 = buf_ageQ_2[1] & _T_2613; // @[lsu_bus_buffer.scala 412:76] + wire _T_2586 = buf_ageQ_2[0] & _T_2608; // @[lsu_bus_buffer.scala 412:76] + wire [3:0] buf_age_2 = {_T_2601,_T_2596,_T_2591,_T_2586}; // @[Cat.scala 29:58] + wire _T_2702 = ~buf_age_2[3]; // @[lsu_bus_buffer.scala 413:89] + wire _T_2704 = _T_2702 & _T_26; // @[lsu_bus_buffer.scala 413:104] + wire _T_2690 = ~buf_age_2[1]; // @[lsu_bus_buffer.scala 413:89] + wire _T_2692 = _T_2690 & _T_12; // @[lsu_bus_buffer.scala 413:104] + wire _T_2684 = ~buf_age_2[0]; // @[lsu_bus_buffer.scala 413:89] + wire _T_2686 = _T_2684 & _T_5; // @[lsu_bus_buffer.scala 413:104] + wire [3:0] buf_age_younger_2 = {_T_2704,1'h0,_T_2692,_T_2686}; // @[Cat.scala 29:58] + wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[lsu_bus_buffer.scala 146:122] + wire _T_248 = |_T_247; // @[lsu_bus_buffer.scala 146:144] + wire _T_249 = ~_T_248; // @[lsu_bus_buffer.scala 146:99] + wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[lsu_bus_buffer.scala 146:97] + wire _T_253 = _T_250 & _T_260; // @[lsu_bus_buffer.scala 146:148] + reg [3:0] buf_ageQ_1; // @[lsu_bus_buffer.scala 500:60] + wire _T_2578 = buf_ageQ_1[3] & _T_2623; // @[lsu_bus_buffer.scala 412:76] + wire _T_2573 = buf_ageQ_1[2] & _T_2618; // @[lsu_bus_buffer.scala 412:76] + wire _T_2568 = buf_ageQ_1[1] & _T_2613; // @[lsu_bus_buffer.scala 412:76] + wire _T_2563 = buf_ageQ_1[0] & _T_2608; // @[lsu_bus_buffer.scala 412:76] + wire [3:0] buf_age_1 = {_T_2578,_T_2573,_T_2568,_T_2563}; // @[Cat.scala 29:58] + wire _T_2675 = ~buf_age_1[3]; // @[lsu_bus_buffer.scala 413:89] + wire _T_2677 = _T_2675 & _T_26; // @[lsu_bus_buffer.scala 413:104] + wire _T_2669 = ~buf_age_1[2]; // @[lsu_bus_buffer.scala 413:89] + wire _T_2671 = _T_2669 & _T_19; // @[lsu_bus_buffer.scala 413:104] + wire _T_2657 = ~buf_age_1[0]; // @[lsu_bus_buffer.scala 413:89] + wire _T_2659 = _T_2657 & _T_5; // @[lsu_bus_buffer.scala 413:104] + wire [3:0] buf_age_younger_1 = {_T_2677,_T_2671,1'h0,_T_2659}; // @[Cat.scala 29:58] + wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[lsu_bus_buffer.scala 146:122] + wire _T_240 = |_T_239; // @[lsu_bus_buffer.scala 146:144] + wire _T_241 = ~_T_240; // @[lsu_bus_buffer.scala 146:99] + wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[lsu_bus_buffer.scala 146:97] + wire _T_245 = _T_242 & _T_260; // @[lsu_bus_buffer.scala 146:148] + reg [3:0] buf_ageQ_0; // @[lsu_bus_buffer.scala 500:60] + wire _T_2555 = buf_ageQ_0[3] & _T_2623; // @[lsu_bus_buffer.scala 412:76] + wire _T_2550 = buf_ageQ_0[2] & _T_2618; // @[lsu_bus_buffer.scala 412:76] + wire _T_2545 = buf_ageQ_0[1] & _T_2613; // @[lsu_bus_buffer.scala 412:76] + wire _T_2540 = buf_ageQ_0[0] & _T_2608; // @[lsu_bus_buffer.scala 412:76] + wire [3:0] buf_age_0 = {_T_2555,_T_2550,_T_2545,_T_2540}; // @[Cat.scala 29:58] + wire _T_2648 = ~buf_age_0[3]; // @[lsu_bus_buffer.scala 413:89] + wire _T_2650 = _T_2648 & _T_26; // @[lsu_bus_buffer.scala 413:104] + wire _T_2642 = ~buf_age_0[2]; // @[lsu_bus_buffer.scala 413:89] + wire _T_2644 = _T_2642 & _T_19; // @[lsu_bus_buffer.scala 413:104] + wire _T_2636 = ~buf_age_0[1]; // @[lsu_bus_buffer.scala 413:89] + wire _T_2638 = _T_2636 & _T_12; // @[lsu_bus_buffer.scala 413:104] + wire [3:0] buf_age_younger_0 = {_T_2650,_T_2644,_T_2638,1'h0}; // @[Cat.scala 29:58] + wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[lsu_bus_buffer.scala 146:122] + wire _T_232 = |_T_231; // @[lsu_bus_buffer.scala 146:144] + wire _T_233 = ~_T_232; // @[lsu_bus_buffer.scala 146:99] + wire _T_234 = ld_byte_hitvec_lo_0[0] & _T_233; // @[lsu_bus_buffer.scala 146:97] + wire _T_237 = _T_234 & _T_260; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] ld_byte_hitvecfn_lo_0 = {_T_261,_T_253,_T_245,_T_237}; // @[Cat.scala 29:58] + wire _T_56 = |ld_byte_hitvecfn_lo_0; // @[lsu_bus_buffer.scala 138:73] + wire _T_58 = _T_56 | ld_byte_ibuf_hit_lo[0]; // @[lsu_bus_buffer.scala 138:77] + wire _T_117 = ld_addr_hitvec_lo_3 & buf_byteen_3[1]; // @[lsu_bus_buffer.scala 141:95] + wire _T_119 = _T_117 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 141:114] + wire _T_113 = ld_addr_hitvec_lo_2 & buf_byteen_2[1]; // @[lsu_bus_buffer.scala 141:95] + wire _T_115 = _T_113 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 141:114] + wire _T_109 = ld_addr_hitvec_lo_1 & buf_byteen_1[1]; // @[lsu_bus_buffer.scala 141:95] + wire _T_111 = _T_109 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 141:114] + wire _T_105 = ld_addr_hitvec_lo_0 & buf_byteen_0[1]; // @[lsu_bus_buffer.scala 141:95] + wire _T_107 = _T_105 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 141:114] + wire [3:0] ld_byte_hitvec_lo_1 = {_T_119,_T_115,_T_111,_T_107}; // @[Cat.scala 29:58] + wire [3:0] _T_290 = ld_byte_hitvec_lo_1 & buf_age_younger_3; // @[lsu_bus_buffer.scala 146:122] + wire _T_291 = |_T_290; // @[lsu_bus_buffer.scala 146:144] + wire _T_292 = ~_T_291; // @[lsu_bus_buffer.scala 146:99] + wire _T_293 = ld_byte_hitvec_lo_1[3] & _T_292; // @[lsu_bus_buffer.scala 146:97] + wire _T_295 = ~ld_byte_ibuf_hit_lo[1]; // @[lsu_bus_buffer.scala 146:150] + wire _T_296 = _T_293 & _T_295; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_282 = ld_byte_hitvec_lo_1 & buf_age_younger_2; // @[lsu_bus_buffer.scala 146:122] + wire _T_283 = |_T_282; // @[lsu_bus_buffer.scala 146:144] + wire _T_284 = ~_T_283; // @[lsu_bus_buffer.scala 146:99] + wire _T_285 = ld_byte_hitvec_lo_1[2] & _T_284; // @[lsu_bus_buffer.scala 146:97] + wire _T_288 = _T_285 & _T_295; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_274 = ld_byte_hitvec_lo_1 & buf_age_younger_1; // @[lsu_bus_buffer.scala 146:122] + wire _T_275 = |_T_274; // @[lsu_bus_buffer.scala 146:144] + wire _T_276 = ~_T_275; // @[lsu_bus_buffer.scala 146:99] + wire _T_277 = ld_byte_hitvec_lo_1[1] & _T_276; // @[lsu_bus_buffer.scala 146:97] + wire _T_280 = _T_277 & _T_295; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_266 = ld_byte_hitvec_lo_1 & buf_age_younger_0; // @[lsu_bus_buffer.scala 146:122] + wire _T_267 = |_T_266; // @[lsu_bus_buffer.scala 146:144] + wire _T_268 = ~_T_267; // @[lsu_bus_buffer.scala 146:99] + wire _T_269 = ld_byte_hitvec_lo_1[0] & _T_268; // @[lsu_bus_buffer.scala 146:97] + wire _T_272 = _T_269 & _T_295; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] ld_byte_hitvecfn_lo_1 = {_T_296,_T_288,_T_280,_T_272}; // @[Cat.scala 29:58] + wire _T_59 = |ld_byte_hitvecfn_lo_1; // @[lsu_bus_buffer.scala 138:73] + wire _T_61 = _T_59 | ld_byte_ibuf_hit_lo[1]; // @[lsu_bus_buffer.scala 138:77] + wire _T_135 = ld_addr_hitvec_lo_3 & buf_byteen_3[2]; // @[lsu_bus_buffer.scala 141:95] + wire _T_137 = _T_135 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 141:114] + wire _T_131 = ld_addr_hitvec_lo_2 & buf_byteen_2[2]; // @[lsu_bus_buffer.scala 141:95] + wire _T_133 = _T_131 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 141:114] + wire _T_127 = ld_addr_hitvec_lo_1 & buf_byteen_1[2]; // @[lsu_bus_buffer.scala 141:95] + wire _T_129 = _T_127 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 141:114] + wire _T_123 = ld_addr_hitvec_lo_0 & buf_byteen_0[2]; // @[lsu_bus_buffer.scala 141:95] + wire _T_125 = _T_123 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 141:114] + wire [3:0] ld_byte_hitvec_lo_2 = {_T_137,_T_133,_T_129,_T_125}; // @[Cat.scala 29:58] + wire [3:0] _T_325 = ld_byte_hitvec_lo_2 & buf_age_younger_3; // @[lsu_bus_buffer.scala 146:122] + wire _T_326 = |_T_325; // @[lsu_bus_buffer.scala 146:144] + wire _T_327 = ~_T_326; // @[lsu_bus_buffer.scala 146:99] + wire _T_328 = ld_byte_hitvec_lo_2[3] & _T_327; // @[lsu_bus_buffer.scala 146:97] + wire _T_330 = ~ld_byte_ibuf_hit_lo[2]; // @[lsu_bus_buffer.scala 146:150] + wire _T_331 = _T_328 & _T_330; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_317 = ld_byte_hitvec_lo_2 & buf_age_younger_2; // @[lsu_bus_buffer.scala 146:122] + wire _T_318 = |_T_317; // @[lsu_bus_buffer.scala 146:144] + wire _T_319 = ~_T_318; // @[lsu_bus_buffer.scala 146:99] + wire _T_320 = ld_byte_hitvec_lo_2[2] & _T_319; // @[lsu_bus_buffer.scala 146:97] + wire _T_323 = _T_320 & _T_330; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_309 = ld_byte_hitvec_lo_2 & buf_age_younger_1; // @[lsu_bus_buffer.scala 146:122] + wire _T_310 = |_T_309; // @[lsu_bus_buffer.scala 146:144] + wire _T_311 = ~_T_310; // @[lsu_bus_buffer.scala 146:99] + wire _T_312 = ld_byte_hitvec_lo_2[1] & _T_311; // @[lsu_bus_buffer.scala 146:97] + wire _T_315 = _T_312 & _T_330; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_301 = ld_byte_hitvec_lo_2 & buf_age_younger_0; // @[lsu_bus_buffer.scala 146:122] + wire _T_302 = |_T_301; // @[lsu_bus_buffer.scala 146:144] + wire _T_303 = ~_T_302; // @[lsu_bus_buffer.scala 146:99] + wire _T_304 = ld_byte_hitvec_lo_2[0] & _T_303; // @[lsu_bus_buffer.scala 146:97] + wire _T_307 = _T_304 & _T_330; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] ld_byte_hitvecfn_lo_2 = {_T_331,_T_323,_T_315,_T_307}; // @[Cat.scala 29:58] + wire _T_62 = |ld_byte_hitvecfn_lo_2; // @[lsu_bus_buffer.scala 138:73] + wire _T_64 = _T_62 | ld_byte_ibuf_hit_lo[2]; // @[lsu_bus_buffer.scala 138:77] + wire _T_153 = ld_addr_hitvec_lo_3 & buf_byteen_3[3]; // @[lsu_bus_buffer.scala 141:95] + wire _T_155 = _T_153 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 141:114] + wire _T_149 = ld_addr_hitvec_lo_2 & buf_byteen_2[3]; // @[lsu_bus_buffer.scala 141:95] + wire _T_151 = _T_149 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 141:114] + wire _T_145 = ld_addr_hitvec_lo_1 & buf_byteen_1[3]; // @[lsu_bus_buffer.scala 141:95] + wire _T_147 = _T_145 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 141:114] + wire _T_141 = ld_addr_hitvec_lo_0 & buf_byteen_0[3]; // @[lsu_bus_buffer.scala 141:95] + wire _T_143 = _T_141 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 141:114] + wire [3:0] ld_byte_hitvec_lo_3 = {_T_155,_T_151,_T_147,_T_143}; // @[Cat.scala 29:58] + wire [3:0] _T_360 = ld_byte_hitvec_lo_3 & buf_age_younger_3; // @[lsu_bus_buffer.scala 146:122] + wire _T_361 = |_T_360; // @[lsu_bus_buffer.scala 146:144] + wire _T_362 = ~_T_361; // @[lsu_bus_buffer.scala 146:99] + wire _T_363 = ld_byte_hitvec_lo_3[3] & _T_362; // @[lsu_bus_buffer.scala 146:97] + wire _T_365 = ~ld_byte_ibuf_hit_lo[3]; // @[lsu_bus_buffer.scala 146:150] + wire _T_366 = _T_363 & _T_365; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_352 = ld_byte_hitvec_lo_3 & buf_age_younger_2; // @[lsu_bus_buffer.scala 146:122] + wire _T_353 = |_T_352; // @[lsu_bus_buffer.scala 146:144] + wire _T_354 = ~_T_353; // @[lsu_bus_buffer.scala 146:99] + wire _T_355 = ld_byte_hitvec_lo_3[2] & _T_354; // @[lsu_bus_buffer.scala 146:97] + wire _T_358 = _T_355 & _T_365; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_344 = ld_byte_hitvec_lo_3 & buf_age_younger_1; // @[lsu_bus_buffer.scala 146:122] + wire _T_345 = |_T_344; // @[lsu_bus_buffer.scala 146:144] + wire _T_346 = ~_T_345; // @[lsu_bus_buffer.scala 146:99] + wire _T_347 = ld_byte_hitvec_lo_3[1] & _T_346; // @[lsu_bus_buffer.scala 146:97] + wire _T_350 = _T_347 & _T_365; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_336 = ld_byte_hitvec_lo_3 & buf_age_younger_0; // @[lsu_bus_buffer.scala 146:122] + wire _T_337 = |_T_336; // @[lsu_bus_buffer.scala 146:144] + wire _T_338 = ~_T_337; // @[lsu_bus_buffer.scala 146:99] + wire _T_339 = ld_byte_hitvec_lo_3[0] & _T_338; // @[lsu_bus_buffer.scala 146:97] + wire _T_342 = _T_339 & _T_365; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] ld_byte_hitvecfn_lo_3 = {_T_366,_T_358,_T_350,_T_342}; // @[Cat.scala 29:58] + wire _T_65 = |ld_byte_hitvecfn_lo_3; // @[lsu_bus_buffer.scala 138:73] + wire _T_67 = _T_65 | ld_byte_ibuf_hit_lo[3]; // @[lsu_bus_buffer.scala 138:77] + wire [2:0] _T_69 = {_T_67,_T_64,_T_61}; // @[Cat.scala 29:58] + wire _T_171 = ld_addr_hitvec_hi_3 & buf_byteen_3[0]; // @[lsu_bus_buffer.scala 142:95] + wire _T_173 = _T_171 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 142:114] + wire _T_167 = ld_addr_hitvec_hi_2 & buf_byteen_2[0]; // @[lsu_bus_buffer.scala 142:95] + wire _T_169 = _T_167 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 142:114] + wire _T_163 = ld_addr_hitvec_hi_1 & buf_byteen_1[0]; // @[lsu_bus_buffer.scala 142:95] + wire _T_165 = _T_163 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 142:114] + wire _T_159 = ld_addr_hitvec_hi_0 & buf_byteen_0[0]; // @[lsu_bus_buffer.scala 142:95] + wire _T_161 = _T_159 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 142:114] + wire [3:0] ld_byte_hitvec_hi_0 = {_T_173,_T_169,_T_165,_T_161}; // @[Cat.scala 29:58] + wire [3:0] _T_395 = ld_byte_hitvec_hi_0 & buf_age_younger_3; // @[lsu_bus_buffer.scala 147:122] + wire _T_396 = |_T_395; // @[lsu_bus_buffer.scala 147:144] + wire _T_397 = ~_T_396; // @[lsu_bus_buffer.scala 147:99] + wire _T_398 = ld_byte_hitvec_hi_0[3] & _T_397; // @[lsu_bus_buffer.scala 147:97] + wire _T_517 = io_end_addr_m[31:2] == ibuf_addr[31:2]; // @[lsu_bus_buffer.scala 153:51] + wire _T_518 = _T_517 & ibuf_write; // @[lsu_bus_buffer.scala 153:73] + wire _T_519 = _T_518 & ibuf_valid; // @[lsu_bus_buffer.scala 153:86] + wire ld_addr_ibuf_hit_hi = _T_519 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 153:99] + wire [3:0] _T_525 = ld_addr_ibuf_hit_hi ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_526 = _T_525 & ibuf_byteen; // @[lsu_bus_buffer.scala 158:55] + wire [3:0] ld_byte_ibuf_hit_hi = _T_526 & ldst_byteen_hi_m; // @[lsu_bus_buffer.scala 158:69] + wire _T_400 = ~ld_byte_ibuf_hit_hi[0]; // @[lsu_bus_buffer.scala 147:150] + wire _T_401 = _T_398 & _T_400; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_387 = ld_byte_hitvec_hi_0 & buf_age_younger_2; // @[lsu_bus_buffer.scala 147:122] + wire _T_388 = |_T_387; // @[lsu_bus_buffer.scala 147:144] + wire _T_389 = ~_T_388; // @[lsu_bus_buffer.scala 147:99] + wire _T_390 = ld_byte_hitvec_hi_0[2] & _T_389; // @[lsu_bus_buffer.scala 147:97] + wire _T_393 = _T_390 & _T_400; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_379 = ld_byte_hitvec_hi_0 & buf_age_younger_1; // @[lsu_bus_buffer.scala 147:122] + wire _T_380 = |_T_379; // @[lsu_bus_buffer.scala 147:144] + wire _T_381 = ~_T_380; // @[lsu_bus_buffer.scala 147:99] + wire _T_382 = ld_byte_hitvec_hi_0[1] & _T_381; // @[lsu_bus_buffer.scala 147:97] + wire _T_385 = _T_382 & _T_400; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_371 = ld_byte_hitvec_hi_0 & buf_age_younger_0; // @[lsu_bus_buffer.scala 147:122] + wire _T_372 = |_T_371; // @[lsu_bus_buffer.scala 147:144] + wire _T_373 = ~_T_372; // @[lsu_bus_buffer.scala 147:99] + wire _T_374 = ld_byte_hitvec_hi_0[0] & _T_373; // @[lsu_bus_buffer.scala 147:97] + wire _T_377 = _T_374 & _T_400; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] ld_byte_hitvecfn_hi_0 = {_T_401,_T_393,_T_385,_T_377}; // @[Cat.scala 29:58] + wire _T_71 = |ld_byte_hitvecfn_hi_0; // @[lsu_bus_buffer.scala 139:73] + wire _T_73 = _T_71 | ld_byte_ibuf_hit_hi[0]; // @[lsu_bus_buffer.scala 139:77] + wire _T_189 = ld_addr_hitvec_hi_3 & buf_byteen_3[1]; // @[lsu_bus_buffer.scala 142:95] + wire _T_191 = _T_189 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 142:114] + wire _T_185 = ld_addr_hitvec_hi_2 & buf_byteen_2[1]; // @[lsu_bus_buffer.scala 142:95] + wire _T_187 = _T_185 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 142:114] + wire _T_181 = ld_addr_hitvec_hi_1 & buf_byteen_1[1]; // @[lsu_bus_buffer.scala 142:95] + wire _T_183 = _T_181 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 142:114] + wire _T_177 = ld_addr_hitvec_hi_0 & buf_byteen_0[1]; // @[lsu_bus_buffer.scala 142:95] + wire _T_179 = _T_177 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 142:114] + wire [3:0] ld_byte_hitvec_hi_1 = {_T_191,_T_187,_T_183,_T_179}; // @[Cat.scala 29:58] + wire [3:0] _T_430 = ld_byte_hitvec_hi_1 & buf_age_younger_3; // @[lsu_bus_buffer.scala 147:122] + wire _T_431 = |_T_430; // @[lsu_bus_buffer.scala 147:144] + wire _T_432 = ~_T_431; // @[lsu_bus_buffer.scala 147:99] + wire _T_433 = ld_byte_hitvec_hi_1[3] & _T_432; // @[lsu_bus_buffer.scala 147:97] + wire _T_435 = ~ld_byte_ibuf_hit_hi[1]; // @[lsu_bus_buffer.scala 147:150] + wire _T_436 = _T_433 & _T_435; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_422 = ld_byte_hitvec_hi_1 & buf_age_younger_2; // @[lsu_bus_buffer.scala 147:122] + wire _T_423 = |_T_422; // @[lsu_bus_buffer.scala 147:144] + wire _T_424 = ~_T_423; // @[lsu_bus_buffer.scala 147:99] + wire _T_425 = ld_byte_hitvec_hi_1[2] & _T_424; // @[lsu_bus_buffer.scala 147:97] + wire _T_428 = _T_425 & _T_435; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_414 = ld_byte_hitvec_hi_1 & buf_age_younger_1; // @[lsu_bus_buffer.scala 147:122] + wire _T_415 = |_T_414; // @[lsu_bus_buffer.scala 147:144] + wire _T_416 = ~_T_415; // @[lsu_bus_buffer.scala 147:99] + wire _T_417 = ld_byte_hitvec_hi_1[1] & _T_416; // @[lsu_bus_buffer.scala 147:97] + wire _T_420 = _T_417 & _T_435; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_406 = ld_byte_hitvec_hi_1 & buf_age_younger_0; // @[lsu_bus_buffer.scala 147:122] + wire _T_407 = |_T_406; // @[lsu_bus_buffer.scala 147:144] + wire _T_408 = ~_T_407; // @[lsu_bus_buffer.scala 147:99] + wire _T_409 = ld_byte_hitvec_hi_1[0] & _T_408; // @[lsu_bus_buffer.scala 147:97] + wire _T_412 = _T_409 & _T_435; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] ld_byte_hitvecfn_hi_1 = {_T_436,_T_428,_T_420,_T_412}; // @[Cat.scala 29:58] + wire _T_74 = |ld_byte_hitvecfn_hi_1; // @[lsu_bus_buffer.scala 139:73] + wire _T_76 = _T_74 | ld_byte_ibuf_hit_hi[1]; // @[lsu_bus_buffer.scala 139:77] + wire _T_207 = ld_addr_hitvec_hi_3 & buf_byteen_3[2]; // @[lsu_bus_buffer.scala 142:95] + wire _T_209 = _T_207 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 142:114] + wire _T_203 = ld_addr_hitvec_hi_2 & buf_byteen_2[2]; // @[lsu_bus_buffer.scala 142:95] + wire _T_205 = _T_203 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 142:114] + wire _T_199 = ld_addr_hitvec_hi_1 & buf_byteen_1[2]; // @[lsu_bus_buffer.scala 142:95] + wire _T_201 = _T_199 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 142:114] + wire _T_195 = ld_addr_hitvec_hi_0 & buf_byteen_0[2]; // @[lsu_bus_buffer.scala 142:95] + wire _T_197 = _T_195 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 142:114] + wire [3:0] ld_byte_hitvec_hi_2 = {_T_209,_T_205,_T_201,_T_197}; // @[Cat.scala 29:58] + wire [3:0] _T_465 = ld_byte_hitvec_hi_2 & buf_age_younger_3; // @[lsu_bus_buffer.scala 147:122] + wire _T_466 = |_T_465; // @[lsu_bus_buffer.scala 147:144] + wire _T_467 = ~_T_466; // @[lsu_bus_buffer.scala 147:99] + wire _T_468 = ld_byte_hitvec_hi_2[3] & _T_467; // @[lsu_bus_buffer.scala 147:97] + wire _T_470 = ~ld_byte_ibuf_hit_hi[2]; // @[lsu_bus_buffer.scala 147:150] + wire _T_471 = _T_468 & _T_470; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_457 = ld_byte_hitvec_hi_2 & buf_age_younger_2; // @[lsu_bus_buffer.scala 147:122] + wire _T_458 = |_T_457; // @[lsu_bus_buffer.scala 147:144] + wire _T_459 = ~_T_458; // @[lsu_bus_buffer.scala 147:99] + wire _T_460 = ld_byte_hitvec_hi_2[2] & _T_459; // @[lsu_bus_buffer.scala 147:97] + wire _T_463 = _T_460 & _T_470; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_449 = ld_byte_hitvec_hi_2 & buf_age_younger_1; // @[lsu_bus_buffer.scala 147:122] + wire _T_450 = |_T_449; // @[lsu_bus_buffer.scala 147:144] + wire _T_451 = ~_T_450; // @[lsu_bus_buffer.scala 147:99] + wire _T_452 = ld_byte_hitvec_hi_2[1] & _T_451; // @[lsu_bus_buffer.scala 147:97] + wire _T_455 = _T_452 & _T_470; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_441 = ld_byte_hitvec_hi_2 & buf_age_younger_0; // @[lsu_bus_buffer.scala 147:122] + wire _T_442 = |_T_441; // @[lsu_bus_buffer.scala 147:144] + wire _T_443 = ~_T_442; // @[lsu_bus_buffer.scala 147:99] + wire _T_444 = ld_byte_hitvec_hi_2[0] & _T_443; // @[lsu_bus_buffer.scala 147:97] + wire _T_447 = _T_444 & _T_470; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] ld_byte_hitvecfn_hi_2 = {_T_471,_T_463,_T_455,_T_447}; // @[Cat.scala 29:58] + wire _T_77 = |ld_byte_hitvecfn_hi_2; // @[lsu_bus_buffer.scala 139:73] + wire _T_79 = _T_77 | ld_byte_ibuf_hit_hi[2]; // @[lsu_bus_buffer.scala 139:77] + wire _T_225 = ld_addr_hitvec_hi_3 & buf_byteen_3[3]; // @[lsu_bus_buffer.scala 142:95] + wire _T_227 = _T_225 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 142:114] + wire _T_221 = ld_addr_hitvec_hi_2 & buf_byteen_2[3]; // @[lsu_bus_buffer.scala 142:95] + wire _T_223 = _T_221 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 142:114] + wire _T_217 = ld_addr_hitvec_hi_1 & buf_byteen_1[3]; // @[lsu_bus_buffer.scala 142:95] + wire _T_219 = _T_217 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 142:114] + wire _T_213 = ld_addr_hitvec_hi_0 & buf_byteen_0[3]; // @[lsu_bus_buffer.scala 142:95] + wire _T_215 = _T_213 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 142:114] + wire [3:0] ld_byte_hitvec_hi_3 = {_T_227,_T_223,_T_219,_T_215}; // @[Cat.scala 29:58] + wire [3:0] _T_500 = ld_byte_hitvec_hi_3 & buf_age_younger_3; // @[lsu_bus_buffer.scala 147:122] + wire _T_501 = |_T_500; // @[lsu_bus_buffer.scala 147:144] + wire _T_502 = ~_T_501; // @[lsu_bus_buffer.scala 147:99] + wire _T_503 = ld_byte_hitvec_hi_3[3] & _T_502; // @[lsu_bus_buffer.scala 147:97] + wire _T_505 = ~ld_byte_ibuf_hit_hi[3]; // @[lsu_bus_buffer.scala 147:150] + wire _T_506 = _T_503 & _T_505; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_492 = ld_byte_hitvec_hi_3 & buf_age_younger_2; // @[lsu_bus_buffer.scala 147:122] + wire _T_493 = |_T_492; // @[lsu_bus_buffer.scala 147:144] + wire _T_494 = ~_T_493; // @[lsu_bus_buffer.scala 147:99] + wire _T_495 = ld_byte_hitvec_hi_3[2] & _T_494; // @[lsu_bus_buffer.scala 147:97] + wire _T_498 = _T_495 & _T_505; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_484 = ld_byte_hitvec_hi_3 & buf_age_younger_1; // @[lsu_bus_buffer.scala 147:122] + wire _T_485 = |_T_484; // @[lsu_bus_buffer.scala 147:144] + wire _T_486 = ~_T_485; // @[lsu_bus_buffer.scala 147:99] + wire _T_487 = ld_byte_hitvec_hi_3[1] & _T_486; // @[lsu_bus_buffer.scala 147:97] + wire _T_490 = _T_487 & _T_505; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_476 = ld_byte_hitvec_hi_3 & buf_age_younger_0; // @[lsu_bus_buffer.scala 147:122] + wire _T_477 = |_T_476; // @[lsu_bus_buffer.scala 147:144] + wire _T_478 = ~_T_477; // @[lsu_bus_buffer.scala 147:99] + wire _T_479 = ld_byte_hitvec_hi_3[0] & _T_478; // @[lsu_bus_buffer.scala 147:97] + wire _T_482 = _T_479 & _T_505; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] ld_byte_hitvecfn_hi_3 = {_T_506,_T_498,_T_490,_T_482}; // @[Cat.scala 29:58] + wire _T_80 = |ld_byte_hitvecfn_hi_3; // @[lsu_bus_buffer.scala 139:73] + wire _T_82 = _T_80 | ld_byte_ibuf_hit_hi[3]; // @[lsu_bus_buffer.scala 139:77] + wire [2:0] _T_84 = {_T_82,_T_79,_T_76}; // @[Cat.scala 29:58] + wire [7:0] _T_530 = ld_byte_ibuf_hit_lo[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_533 = ld_byte_ibuf_hit_lo[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_536 = ld_byte_ibuf_hit_lo[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_539 = ld_byte_ibuf_hit_lo[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [31:0] ld_fwddata_buf_lo_initial = {_T_539,_T_536,_T_533,_T_530}; // @[Cat.scala 29:58] + wire [7:0] _T_544 = ld_byte_ibuf_hit_hi[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_547 = ld_byte_ibuf_hit_hi[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_550 = ld_byte_ibuf_hit_hi[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_553 = ld_byte_ibuf_hit_hi[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [31:0] ld_fwddata_buf_hi_initial = {_T_553,_T_550,_T_547,_T_544}; // @[Cat.scala 29:58] + wire [7:0] _T_558 = ld_byte_hitvecfn_lo_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + reg [31:0] buf_data_0; // @[lib.scala 374:16] + wire [7:0] _T_560 = _T_558 & buf_data_0[31:24]; // @[lsu_bus_buffer.scala 165:91] + wire [7:0] _T_563 = ld_byte_hitvecfn_lo_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + reg [31:0] buf_data_1; // @[lib.scala 374:16] + wire [7:0] _T_565 = _T_563 & buf_data_1[31:24]; // @[lsu_bus_buffer.scala 165:91] + wire [7:0] _T_568 = ld_byte_hitvecfn_lo_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + reg [31:0] buf_data_2; // @[lib.scala 374:16] + wire [7:0] _T_570 = _T_568 & buf_data_2[31:24]; // @[lsu_bus_buffer.scala 165:91] + wire [7:0] _T_573 = ld_byte_hitvecfn_lo_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + reg [31:0] buf_data_3; // @[lib.scala 374:16] + wire [7:0] _T_575 = _T_573 & buf_data_3[31:24]; // @[lsu_bus_buffer.scala 165:91] + wire [7:0] _T_576 = _T_560 | _T_565; // @[lsu_bus_buffer.scala 165:123] + wire [7:0] _T_577 = _T_576 | _T_570; // @[lsu_bus_buffer.scala 165:123] + wire [7:0] _T_578 = _T_577 | _T_575; // @[lsu_bus_buffer.scala 165:123] + wire [7:0] _T_581 = ld_byte_hitvecfn_lo_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_583 = _T_581 & buf_data_0[23:16]; // @[lsu_bus_buffer.scala 166:65] + wire [7:0] _T_586 = ld_byte_hitvecfn_lo_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_588 = _T_586 & buf_data_1[23:16]; // @[lsu_bus_buffer.scala 166:65] + wire [7:0] _T_591 = ld_byte_hitvecfn_lo_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_593 = _T_591 & buf_data_2[23:16]; // @[lsu_bus_buffer.scala 166:65] + wire [7:0] _T_596 = ld_byte_hitvecfn_lo_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_598 = _T_596 & buf_data_3[23:16]; // @[lsu_bus_buffer.scala 166:65] + wire [7:0] _T_599 = _T_583 | _T_588; // @[lsu_bus_buffer.scala 166:97] + wire [7:0] _T_600 = _T_599 | _T_593; // @[lsu_bus_buffer.scala 166:97] + wire [7:0] _T_601 = _T_600 | _T_598; // @[lsu_bus_buffer.scala 166:97] + wire [7:0] _T_604 = ld_byte_hitvecfn_lo_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_606 = _T_604 & buf_data_0[15:8]; // @[lsu_bus_buffer.scala 167:65] + wire [7:0] _T_609 = ld_byte_hitvecfn_lo_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_611 = _T_609 & buf_data_1[15:8]; // @[lsu_bus_buffer.scala 167:65] + wire [7:0] _T_614 = ld_byte_hitvecfn_lo_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_616 = _T_614 & buf_data_2[15:8]; // @[lsu_bus_buffer.scala 167:65] + wire [7:0] _T_619 = ld_byte_hitvecfn_lo_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_621 = _T_619 & buf_data_3[15:8]; // @[lsu_bus_buffer.scala 167:65] + wire [7:0] _T_622 = _T_606 | _T_611; // @[lsu_bus_buffer.scala 167:97] + wire [7:0] _T_623 = _T_622 | _T_616; // @[lsu_bus_buffer.scala 167:97] + wire [7:0] _T_624 = _T_623 | _T_621; // @[lsu_bus_buffer.scala 167:97] + wire [7:0] _T_627 = ld_byte_hitvecfn_lo_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_629 = _T_627 & buf_data_0[7:0]; // @[lsu_bus_buffer.scala 168:65] + wire [7:0] _T_632 = ld_byte_hitvecfn_lo_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_634 = _T_632 & buf_data_1[7:0]; // @[lsu_bus_buffer.scala 168:65] + wire [7:0] _T_637 = ld_byte_hitvecfn_lo_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_639 = _T_637 & buf_data_2[7:0]; // @[lsu_bus_buffer.scala 168:65] + wire [7:0] _T_642 = ld_byte_hitvecfn_lo_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_644 = _T_642 & buf_data_3[7:0]; // @[lsu_bus_buffer.scala 168:65] + wire [7:0] _T_645 = _T_629 | _T_634; // @[lsu_bus_buffer.scala 168:97] + wire [7:0] _T_646 = _T_645 | _T_639; // @[lsu_bus_buffer.scala 168:97] + wire [7:0] _T_647 = _T_646 | _T_644; // @[lsu_bus_buffer.scala 168:97] + wire [31:0] _T_650 = {_T_578,_T_601,_T_624,_T_647}; // @[Cat.scala 29:58] + reg [31:0] ibuf_data; // @[lib.scala 374:16] + wire [31:0] _T_651 = ld_fwddata_buf_lo_initial & ibuf_data; // @[lsu_bus_buffer.scala 169:32] + wire [7:0] _T_655 = ld_byte_hitvecfn_hi_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_657 = _T_655 & buf_data_0[31:24]; // @[lsu_bus_buffer.scala 171:91] + wire [7:0] _T_660 = ld_byte_hitvecfn_hi_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_662 = _T_660 & buf_data_1[31:24]; // @[lsu_bus_buffer.scala 171:91] + wire [7:0] _T_665 = ld_byte_hitvecfn_hi_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_667 = _T_665 & buf_data_2[31:24]; // @[lsu_bus_buffer.scala 171:91] + wire [7:0] _T_670 = ld_byte_hitvecfn_hi_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_672 = _T_670 & buf_data_3[31:24]; // @[lsu_bus_buffer.scala 171:91] + wire [7:0] _T_673 = _T_657 | _T_662; // @[lsu_bus_buffer.scala 171:123] + wire [7:0] _T_674 = _T_673 | _T_667; // @[lsu_bus_buffer.scala 171:123] + wire [7:0] _T_675 = _T_674 | _T_672; // @[lsu_bus_buffer.scala 171:123] + wire [7:0] _T_678 = ld_byte_hitvecfn_hi_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_680 = _T_678 & buf_data_0[23:16]; // @[lsu_bus_buffer.scala 172:65] + wire [7:0] _T_683 = ld_byte_hitvecfn_hi_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_685 = _T_683 & buf_data_1[23:16]; // @[lsu_bus_buffer.scala 172:65] + wire [7:0] _T_688 = ld_byte_hitvecfn_hi_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_690 = _T_688 & buf_data_2[23:16]; // @[lsu_bus_buffer.scala 172:65] + wire [7:0] _T_693 = ld_byte_hitvecfn_hi_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_695 = _T_693 & buf_data_3[23:16]; // @[lsu_bus_buffer.scala 172:65] + wire [7:0] _T_696 = _T_680 | _T_685; // @[lsu_bus_buffer.scala 172:97] + wire [7:0] _T_697 = _T_696 | _T_690; // @[lsu_bus_buffer.scala 172:97] + wire [7:0] _T_698 = _T_697 | _T_695; // @[lsu_bus_buffer.scala 172:97] + wire [7:0] _T_701 = ld_byte_hitvecfn_hi_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_703 = _T_701 & buf_data_0[15:8]; // @[lsu_bus_buffer.scala 173:65] + wire [7:0] _T_706 = ld_byte_hitvecfn_hi_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_708 = _T_706 & buf_data_1[15:8]; // @[lsu_bus_buffer.scala 173:65] + wire [7:0] _T_711 = ld_byte_hitvecfn_hi_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_713 = _T_711 & buf_data_2[15:8]; // @[lsu_bus_buffer.scala 173:65] + wire [7:0] _T_716 = ld_byte_hitvecfn_hi_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_718 = _T_716 & buf_data_3[15:8]; // @[lsu_bus_buffer.scala 173:65] + wire [7:0] _T_719 = _T_703 | _T_708; // @[lsu_bus_buffer.scala 173:97] + wire [7:0] _T_720 = _T_719 | _T_713; // @[lsu_bus_buffer.scala 173:97] + wire [7:0] _T_721 = _T_720 | _T_718; // @[lsu_bus_buffer.scala 173:97] + wire [7:0] _T_724 = ld_byte_hitvecfn_hi_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_726 = _T_724 & buf_data_0[7:0]; // @[lsu_bus_buffer.scala 174:65] + wire [7:0] _T_729 = ld_byte_hitvecfn_hi_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_731 = _T_729 & buf_data_1[7:0]; // @[lsu_bus_buffer.scala 174:65] + wire [7:0] _T_734 = ld_byte_hitvecfn_hi_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_736 = _T_734 & buf_data_2[7:0]; // @[lsu_bus_buffer.scala 174:65] + wire [7:0] _T_739 = ld_byte_hitvecfn_hi_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_741 = _T_739 & buf_data_3[7:0]; // @[lsu_bus_buffer.scala 174:65] + wire [7:0] _T_742 = _T_726 | _T_731; // @[lsu_bus_buffer.scala 174:97] + wire [7:0] _T_743 = _T_742 | _T_736; // @[lsu_bus_buffer.scala 174:97] + wire [7:0] _T_744 = _T_743 | _T_741; // @[lsu_bus_buffer.scala 174:97] + wire [31:0] _T_747 = {_T_675,_T_698,_T_721,_T_744}; // @[Cat.scala 29:58] + wire [31:0] _T_748 = ld_fwddata_buf_hi_initial & ibuf_data; // @[lsu_bus_buffer.scala 175:32] + wire [3:0] _T_750 = io_lsu_pkt_r_bits_by ? 4'h1 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_751 = io_lsu_pkt_r_bits_half ? 4'h3 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_752 = io_lsu_pkt_r_bits_word ? 4'hf : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_753 = _T_750 | _T_751; // @[Mux.scala 27:72] + wire [3:0] ldst_byteen_r = _T_753 | _T_752; // @[Mux.scala 27:72] + wire _T_756 = io_lsu_addr_r[1:0] == 2'h0; // @[lsu_bus_buffer.scala 182:55] + wire _T_758 = io_lsu_addr_r[1:0] == 2'h1; // @[lsu_bus_buffer.scala 183:24] + wire [3:0] _T_760 = {3'h0,ldst_byteen_r[3]}; // @[Cat.scala 29:58] + wire _T_762 = io_lsu_addr_r[1:0] == 2'h2; // @[lsu_bus_buffer.scala 184:24] + wire [3:0] _T_764 = {2'h0,ldst_byteen_r[3:2]}; // @[Cat.scala 29:58] + wire _T_766 = io_lsu_addr_r[1:0] == 2'h3; // @[lsu_bus_buffer.scala 185:24] + wire [3:0] _T_768 = {1'h0,ldst_byteen_r[3:1]}; // @[Cat.scala 29:58] + wire [3:0] _T_770 = _T_758 ? _T_760 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_771 = _T_762 ? _T_764 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_772 = _T_766 ? _T_768 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_774 = _T_770 | _T_771; // @[Mux.scala 27:72] + wire [3:0] ldst_byteen_hi_r = _T_774 | _T_772; // @[Mux.scala 27:72] + wire [3:0] _T_781 = {ldst_byteen_r[2:0],1'h0}; // @[Cat.scala 29:58] + wire [3:0] _T_785 = {ldst_byteen_r[1:0],2'h0}; // @[Cat.scala 29:58] + wire [3:0] _T_789 = {ldst_byteen_r[0],3'h0}; // @[Cat.scala 29:58] + wire [3:0] _T_790 = _T_756 ? ldst_byteen_r : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_791 = _T_758 ? _T_781 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_792 = _T_762 ? _T_785 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_793 = _T_766 ? _T_789 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_794 = _T_790 | _T_791; // @[Mux.scala 27:72] + wire [3:0] _T_795 = _T_794 | _T_792; // @[Mux.scala 27:72] + wire [3:0] ldst_byteen_lo_r = _T_795 | _T_793; // @[Mux.scala 27:72] + wire [31:0] _T_802 = {24'h0,io_store_data_r[31:24]}; // @[Cat.scala 29:58] + wire [31:0] _T_806 = {16'h0,io_store_data_r[31:16]}; // @[Cat.scala 29:58] + wire [31:0] _T_810 = {8'h0,io_store_data_r[31:8]}; // @[Cat.scala 29:58] + wire [31:0] _T_812 = _T_758 ? _T_802 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_813 = _T_762 ? _T_806 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_814 = _T_766 ? _T_810 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_816 = _T_812 | _T_813; // @[Mux.scala 27:72] + wire [31:0] store_data_hi_r = _T_816 | _T_814; // @[Mux.scala 27:72] + wire [31:0] _T_823 = {io_store_data_r[23:0],8'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_827 = {io_store_data_r[15:0],16'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_831 = {io_store_data_r[7:0],24'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_832 = _T_756 ? io_store_data_r : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_833 = _T_758 ? _T_823 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_834 = _T_762 ? _T_827 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_835 = _T_766 ? _T_831 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_836 = _T_832 | _T_833; // @[Mux.scala 27:72] + wire [31:0] _T_837 = _T_836 | _T_834; // @[Mux.scala 27:72] + wire [31:0] store_data_lo_r = _T_837 | _T_835; // @[Mux.scala 27:72] + wire ldst_samedw_r = io_lsu_addr_r[3] == io_end_addr_r[3]; // @[lsu_bus_buffer.scala 203:40] + wire _T_844 = ~io_lsu_addr_r[0]; // @[lsu_bus_buffer.scala 205:31] + wire _T_845 = io_lsu_pkt_r_bits_word & _T_756; // @[Mux.scala 27:72] + wire _T_846 = io_lsu_pkt_r_bits_half & _T_844; // @[Mux.scala 27:72] + wire _T_848 = _T_845 | _T_846; // @[Mux.scala 27:72] + wire is_aligned_r = _T_848 | io_lsu_pkt_r_bits_by; // @[Mux.scala 27:72] + wire _T_850 = io_lsu_pkt_r_bits_load | io_no_word_merge_r; // @[lsu_bus_buffer.scala 207:60] + wire _T_851 = io_lsu_busreq_r & _T_850; // @[lsu_bus_buffer.scala 207:34] + wire _T_852 = ~ibuf_valid; // @[lsu_bus_buffer.scala 207:84] + wire ibuf_byp = _T_851 & _T_852; // @[lsu_bus_buffer.scala 207:82] + wire _T_853 = io_lsu_busreq_r & io_lsu_commit_r; // @[lsu_bus_buffer.scala 208:36] + wire _T_854 = ~ibuf_byp; // @[lsu_bus_buffer.scala 208:56] + wire ibuf_wr_en = _T_853 & _T_854; // @[lsu_bus_buffer.scala 208:54] + wire _T_855 = ~ibuf_wr_en; // @[lsu_bus_buffer.scala 210:36] + reg [2:0] ibuf_timer; // @[lsu_bus_buffer.scala 253:55] + wire _T_864 = ibuf_timer == 3'h7; // @[lsu_bus_buffer.scala 216:62] + wire _T_865 = ibuf_wr_en | _T_864; // @[lsu_bus_buffer.scala 216:48] + wire _T_929 = _T_853 & io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 235:54] + wire _T_930 = _T_929 & ibuf_valid; // @[lsu_bus_buffer.scala 235:80] + wire _T_931 = _T_930 & ibuf_write; // @[lsu_bus_buffer.scala 235:93] + wire _T_934 = io_lsu_addr_r[31:2] == ibuf_addr[31:2]; // @[lsu_bus_buffer.scala 235:129] + wire _T_935 = _T_931 & _T_934; // @[lsu_bus_buffer.scala 235:106] + wire _T_936 = ~io_is_sideeffects_r; // @[lsu_bus_buffer.scala 235:152] + wire _T_937 = _T_935 & _T_936; // @[lsu_bus_buffer.scala 235:150] + wire _T_938 = ~io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_buffer.scala 235:175] + wire ibuf_merge_en = _T_937 & _T_938; // @[lsu_bus_buffer.scala 235:173] + wire ibuf_merge_in = ~io_ldst_dual_r; // @[lsu_bus_buffer.scala 236:20] + wire _T_866 = ibuf_merge_en & ibuf_merge_in; // @[lsu_bus_buffer.scala 216:98] + wire _T_867 = ~_T_866; // @[lsu_bus_buffer.scala 216:82] + wire _T_868 = _T_865 & _T_867; // @[lsu_bus_buffer.scala 216:80] + wire _T_869 = _T_868 | ibuf_byp; // @[lsu_bus_buffer.scala 217:5] + wire _T_857 = ~io_lsu_busreq_r; // @[lsu_bus_buffer.scala 211:44] + wire _T_858 = io_lsu_busreq_m & _T_857; // @[lsu_bus_buffer.scala 211:42] + wire _T_859 = _T_858 & ibuf_valid; // @[lsu_bus_buffer.scala 211:61] + wire _T_862 = ibuf_addr[31:2] != io_lsu_addr_m[31:2]; // @[lsu_bus_buffer.scala 211:120] + wire _T_863 = io_lsu_pkt_m_bits_load | _T_862; // @[lsu_bus_buffer.scala 211:100] + wire ibuf_force_drain = _T_859 & _T_863; // @[lsu_bus_buffer.scala 211:74] + wire _T_870 = _T_869 | ibuf_force_drain; // @[lsu_bus_buffer.scala 217:16] + reg ibuf_sideeffect; // @[Reg.scala 27:20] + wire _T_871 = _T_870 | ibuf_sideeffect; // @[lsu_bus_buffer.scala 217:35] + wire _T_872 = ~ibuf_write; // @[lsu_bus_buffer.scala 217:55] + wire _T_873 = _T_871 | _T_872; // @[lsu_bus_buffer.scala 217:53] + wire _T_874 = _T_873 | io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_buffer.scala 217:67] + wire ibuf_drain_vld = ibuf_valid & _T_874; // @[lsu_bus_buffer.scala 216:32] + wire _T_856 = ibuf_drain_vld & _T_855; // @[lsu_bus_buffer.scala 210:34] + wire ibuf_rst = _T_856 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 210:49] + reg [1:0] WrPtr1_r; // @[lsu_bus_buffer.scala 616:49] + reg [1:0] WrPtr0_r; // @[lsu_bus_buffer.scala 615:49] + reg [1:0] ibuf_tag; // @[Reg.scala 27:20] + wire [1:0] ibuf_sz_in = {io_lsu_pkt_r_bits_word,io_lsu_pkt_r_bits_half}; // @[Cat.scala 29:58] + wire [3:0] _T_881 = ibuf_byteen | ldst_byteen_lo_r; // @[lsu_bus_buffer.scala 226:77] + wire [7:0] _T_889 = ldst_byteen_lo_r[0] ? store_data_lo_r[7:0] : ibuf_data[7:0]; // @[lsu_bus_buffer.scala 231:8] + wire [7:0] _T_892 = io_ldst_dual_r ? store_data_hi_r[7:0] : store_data_lo_r[7:0]; // @[lsu_bus_buffer.scala 232:8] + wire [7:0] _T_893 = _T_866 ? _T_889 : _T_892; // @[lsu_bus_buffer.scala 230:46] + wire [7:0] _T_898 = ldst_byteen_lo_r[1] ? store_data_lo_r[15:8] : ibuf_data[15:8]; // @[lsu_bus_buffer.scala 231:8] + wire [7:0] _T_901 = io_ldst_dual_r ? store_data_hi_r[15:8] : store_data_lo_r[15:8]; // @[lsu_bus_buffer.scala 232:8] + wire [7:0] _T_902 = _T_866 ? _T_898 : _T_901; // @[lsu_bus_buffer.scala 230:46] + wire [7:0] _T_907 = ldst_byteen_lo_r[2] ? store_data_lo_r[23:16] : ibuf_data[23:16]; // @[lsu_bus_buffer.scala 231:8] + wire [7:0] _T_910 = io_ldst_dual_r ? store_data_hi_r[23:16] : store_data_lo_r[23:16]; // @[lsu_bus_buffer.scala 232:8] + wire [7:0] _T_911 = _T_866 ? _T_907 : _T_910; // @[lsu_bus_buffer.scala 230:46] + wire [7:0] _T_916 = ldst_byteen_lo_r[3] ? store_data_lo_r[31:24] : ibuf_data[31:24]; // @[lsu_bus_buffer.scala 231:8] + wire [7:0] _T_919 = io_ldst_dual_r ? store_data_hi_r[31:24] : store_data_lo_r[31:24]; // @[lsu_bus_buffer.scala 232:8] + wire [7:0] _T_920 = _T_866 ? _T_916 : _T_919; // @[lsu_bus_buffer.scala 230:46] + wire [23:0] _T_922 = {_T_920,_T_911,_T_902}; // @[Cat.scala 29:58] + wire _T_923 = ibuf_timer < 3'h7; // @[lsu_bus_buffer.scala 233:59] + wire [2:0] _T_926 = ibuf_timer + 3'h1; // @[lsu_bus_buffer.scala 233:93] + wire _T_941 = ~ibuf_merge_in; // @[lsu_bus_buffer.scala 237:65] + wire _T_942 = ibuf_merge_en & _T_941; // @[lsu_bus_buffer.scala 237:63] + wire _T_945 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[lsu_bus_buffer.scala 237:96] + wire _T_947 = _T_942 ? _T_945 : ibuf_byteen[0]; // @[lsu_bus_buffer.scala 237:48] + wire _T_952 = ibuf_byteen[1] | ldst_byteen_lo_r[1]; // @[lsu_bus_buffer.scala 237:96] + wire _T_954 = _T_942 ? _T_952 : ibuf_byteen[1]; // @[lsu_bus_buffer.scala 237:48] + wire _T_959 = ibuf_byteen[2] | ldst_byteen_lo_r[2]; // @[lsu_bus_buffer.scala 237:96] + wire _T_961 = _T_942 ? _T_959 : ibuf_byteen[2]; // @[lsu_bus_buffer.scala 237:48] + wire _T_966 = ibuf_byteen[3] | ldst_byteen_lo_r[3]; // @[lsu_bus_buffer.scala 237:96] + wire _T_968 = _T_942 ? _T_966 : ibuf_byteen[3]; // @[lsu_bus_buffer.scala 237:48] + wire [3:0] ibuf_byteen_out = {_T_968,_T_961,_T_954,_T_947}; // @[Cat.scala 29:58] + wire [7:0] _T_978 = _T_942 ? _T_889 : ibuf_data[7:0]; // @[lsu_bus_buffer.scala 238:45] + wire [7:0] _T_986 = _T_942 ? _T_898 : ibuf_data[15:8]; // @[lsu_bus_buffer.scala 238:45] + wire [7:0] _T_994 = _T_942 ? _T_907 : ibuf_data[23:16]; // @[lsu_bus_buffer.scala 238:45] + wire [7:0] _T_1002 = _T_942 ? _T_916 : ibuf_data[31:24]; // @[lsu_bus_buffer.scala 238:45] + wire [31:0] ibuf_data_out = {_T_1002,_T_994,_T_986,_T_978}; // @[Cat.scala 29:58] + wire _T_1005 = ibuf_wr_en | ibuf_valid; // @[lsu_bus_buffer.scala 240:58] + wire _T_1006 = ~ibuf_rst; // @[lsu_bus_buffer.scala 240:93] + reg [1:0] ibuf_dualtag; // @[Reg.scala 27:20] + reg ibuf_dual; // @[Reg.scala 27:20] + reg ibuf_samedw; // @[Reg.scala 27:20] + reg ibuf_nomerge; // @[Reg.scala 27:20] + reg ibuf_unsign; // @[Reg.scala 27:20] + reg [1:0] ibuf_sz; // @[Reg.scala 27:20] + wire _T_4446 = buf_write[3] & _T_2621; // @[lsu_bus_buffer.scala 522:64] + wire _T_4447 = ~buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 522:91] + wire _T_4448 = _T_4446 & _T_4447; // @[lsu_bus_buffer.scala 522:89] + wire _T_4441 = buf_write[2] & _T_2616; // @[lsu_bus_buffer.scala 522:64] + wire _T_4442 = ~buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 522:91] + wire _T_4443 = _T_4441 & _T_4442; // @[lsu_bus_buffer.scala 522:89] + wire [1:0] _T_4449 = _T_4448 + _T_4443; // @[lsu_bus_buffer.scala 522:142] + wire _T_4436 = buf_write[1] & _T_2611; // @[lsu_bus_buffer.scala 522:64] + wire _T_4437 = ~buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 522:91] + wire _T_4438 = _T_4436 & _T_4437; // @[lsu_bus_buffer.scala 522:89] + wire [1:0] _GEN_362 = {{1'd0}, _T_4438}; // @[lsu_bus_buffer.scala 522:142] + wire [2:0] _T_4450 = _T_4449 + _GEN_362; // @[lsu_bus_buffer.scala 522:142] + wire _T_4431 = buf_write[0] & _T_2606; // @[lsu_bus_buffer.scala 522:64] + wire _T_4432 = ~buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 522:91] + wire _T_4433 = _T_4431 & _T_4432; // @[lsu_bus_buffer.scala 522:89] + wire [2:0] _GEN_363 = {{2'd0}, _T_4433}; // @[lsu_bus_buffer.scala 522:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4450 + _GEN_363; // @[lsu_bus_buffer.scala 522:142] + wire _T_1016 = buf_numvld_wrcmd_any == 4'h1; // @[lsu_bus_buffer.scala 263:43] + wire _T_4463 = _T_2621 & _T_4447; // @[lsu_bus_buffer.scala 523:73] + wire _T_4460 = _T_2616 & _T_4442; // @[lsu_bus_buffer.scala 523:73] + wire [1:0] _T_4464 = _T_4463 + _T_4460; // @[lsu_bus_buffer.scala 523:126] + wire _T_4457 = _T_2611 & _T_4437; // @[lsu_bus_buffer.scala 523:73] + wire [1:0] _GEN_364 = {{1'd0}, _T_4457}; // @[lsu_bus_buffer.scala 523:126] + wire [2:0] _T_4465 = _T_4464 + _GEN_364; // @[lsu_bus_buffer.scala 523:126] + wire _T_4454 = _T_2606 & _T_4432; // @[lsu_bus_buffer.scala 523:73] + wire [2:0] _GEN_365 = {{2'd0}, _T_4454}; // @[lsu_bus_buffer.scala 523:126] + wire [3:0] buf_numvld_cmd_any = _T_4465 + _GEN_365; // @[lsu_bus_buffer.scala 523:126] + wire _T_1017 = buf_numvld_cmd_any == 4'h1; // @[lsu_bus_buffer.scala 263:72] + wire _T_1018 = _T_1016 & _T_1017; // @[lsu_bus_buffer.scala 263:51] + reg [2:0] obuf_wr_timer; // @[lsu_bus_buffer.scala 361:54] + wire _T_1019 = obuf_wr_timer != 3'h7; // @[lsu_bus_buffer.scala 263:97] + wire _T_1020 = _T_1018 & _T_1019; // @[lsu_bus_buffer.scala 263:80] + wire _T_1022 = _T_1020 & _T_938; // @[lsu_bus_buffer.scala 263:114] + wire _T_1979 = |buf_age_3; // @[lsu_bus_buffer.scala 378:58] + wire _T_1980 = ~_T_1979; // @[lsu_bus_buffer.scala 378:45] + wire _T_1982 = _T_1980 & _T_2621; // @[lsu_bus_buffer.scala 378:63] + wire _T_1984 = _T_1982 & _T_4447; // @[lsu_bus_buffer.scala 378:88] + wire _T_1973 = |buf_age_2; // @[lsu_bus_buffer.scala 378:58] + wire _T_1974 = ~_T_1973; // @[lsu_bus_buffer.scala 378:45] + wire _T_1976 = _T_1974 & _T_2616; // @[lsu_bus_buffer.scala 378:63] + wire _T_1978 = _T_1976 & _T_4442; // @[lsu_bus_buffer.scala 378:88] + wire _T_1967 = |buf_age_1; // @[lsu_bus_buffer.scala 378:58] + wire _T_1968 = ~_T_1967; // @[lsu_bus_buffer.scala 378:45] + wire _T_1970 = _T_1968 & _T_2611; // @[lsu_bus_buffer.scala 378:63] + wire _T_1972 = _T_1970 & _T_4437; // @[lsu_bus_buffer.scala 378:88] + wire _T_1961 = |buf_age_0; // @[lsu_bus_buffer.scala 378:58] + wire _T_1962 = ~_T_1961; // @[lsu_bus_buffer.scala 378:45] + wire _T_1964 = _T_1962 & _T_2606; // @[lsu_bus_buffer.scala 378:63] + wire _T_1966 = _T_1964 & _T_4432; // @[lsu_bus_buffer.scala 378:88] + wire [3:0] CmdPtr0Dec = {_T_1984,_T_1978,_T_1972,_T_1966}; // @[Cat.scala 29:58] + wire [7:0] _T_2054 = {4'h0,_T_1984,_T_1978,_T_1972,_T_1966}; // @[Cat.scala 29:58] + wire _T_2057 = _T_2054[4] | _T_2054[5]; // @[lsu_bus_buffer.scala 386:42] + wire _T_2059 = _T_2057 | _T_2054[6]; // @[lsu_bus_buffer.scala 386:48] + wire _T_2061 = _T_2059 | _T_2054[7]; // @[lsu_bus_buffer.scala 386:54] + wire _T_2064 = _T_2054[2] | _T_2054[3]; // @[lsu_bus_buffer.scala 386:67] + wire _T_2066 = _T_2064 | _T_2054[6]; // @[lsu_bus_buffer.scala 386:73] + wire _T_2068 = _T_2066 | _T_2054[7]; // @[lsu_bus_buffer.scala 386:79] + wire _T_2071 = _T_2054[1] | _T_2054[3]; // @[lsu_bus_buffer.scala 386:92] + wire _T_2073 = _T_2071 | _T_2054[5]; // @[lsu_bus_buffer.scala 386:98] + wire _T_2075 = _T_2073 | _T_2054[7]; // @[lsu_bus_buffer.scala 386:104] + wire [2:0] _T_2077 = {_T_2061,_T_2068,_T_2075}; // @[Cat.scala 29:58] + wire [1:0] CmdPtr0 = _T_2077[1:0]; // @[lsu_bus_buffer.scala 391:11] + wire _T_1023 = CmdPtr0 == 2'h0; // @[lsu_bus_buffer.scala 264:114] + wire _T_1024 = CmdPtr0 == 2'h1; // @[lsu_bus_buffer.scala 264:114] + wire _T_1025 = CmdPtr0 == 2'h2; // @[lsu_bus_buffer.scala 264:114] + wire _T_1026 = CmdPtr0 == 2'h3; // @[lsu_bus_buffer.scala 264:114] + reg buf_nomerge_0; // @[Reg.scala 27:20] + wire _T_1027 = _T_1023 & buf_nomerge_0; // @[Mux.scala 27:72] + reg buf_nomerge_1; // @[Reg.scala 27:20] + wire _T_1028 = _T_1024 & buf_nomerge_1; // @[Mux.scala 27:72] + reg buf_nomerge_2; // @[Reg.scala 27:20] + wire _T_1029 = _T_1025 & buf_nomerge_2; // @[Mux.scala 27:72] + reg buf_nomerge_3; // @[Reg.scala 27:20] + wire _T_1030 = _T_1026 & buf_nomerge_3; // @[Mux.scala 27:72] + wire _T_1031 = _T_1027 | _T_1028; // @[Mux.scala 27:72] + wire _T_1032 = _T_1031 | _T_1029; // @[Mux.scala 27:72] + wire _T_1033 = _T_1032 | _T_1030; // @[Mux.scala 27:72] + wire _T_1035 = ~_T_1033; // @[lsu_bus_buffer.scala 264:31] + wire _T_1036 = _T_1022 & _T_1035; // @[lsu_bus_buffer.scala 264:29] + reg _T_4330; // @[Reg.scala 27:20] + reg _T_4327; // @[Reg.scala 27:20] + reg _T_4324; // @[Reg.scala 27:20] + reg _T_4321; // @[Reg.scala 27:20] + wire [3:0] buf_sideeffect = {_T_4330,_T_4327,_T_4324,_T_4321}; // @[Cat.scala 29:58] + wire _T_1045 = _T_1023 & buf_sideeffect[0]; // @[Mux.scala 27:72] + wire _T_1046 = _T_1024 & buf_sideeffect[1]; // @[Mux.scala 27:72] + wire _T_1047 = _T_1025 & buf_sideeffect[2]; // @[Mux.scala 27:72] + wire _T_1048 = _T_1026 & buf_sideeffect[3]; // @[Mux.scala 27:72] + wire _T_1049 = _T_1045 | _T_1046; // @[Mux.scala 27:72] + wire _T_1050 = _T_1049 | _T_1047; // @[Mux.scala 27:72] + wire _T_1051 = _T_1050 | _T_1048; // @[Mux.scala 27:72] + wire _T_1053 = ~_T_1051; // @[lsu_bus_buffer.scala 265:5] + wire _T_1054 = _T_1036 & _T_1053; // @[lsu_bus_buffer.scala 264:140] + wire _T_1065 = _T_858 & _T_852; // @[lsu_bus_buffer.scala 267:58] + wire _T_1067 = _T_1065 & _T_1017; // @[lsu_bus_buffer.scala 267:72] + wire [29:0] _T_1077 = _T_1023 ? buf_addr_0[31:2] : 30'h0; // @[Mux.scala 27:72] + wire [29:0] _T_1078 = _T_1024 ? buf_addr_1[31:2] : 30'h0; // @[Mux.scala 27:72] + wire [29:0] _T_1081 = _T_1077 | _T_1078; // @[Mux.scala 27:72] + wire [29:0] _T_1079 = _T_1025 ? buf_addr_2[31:2] : 30'h0; // @[Mux.scala 27:72] + wire [29:0] _T_1082 = _T_1081 | _T_1079; // @[Mux.scala 27:72] + wire [29:0] _T_1080 = _T_1026 ? buf_addr_3[31:2] : 30'h0; // @[Mux.scala 27:72] + wire [29:0] _T_1083 = _T_1082 | _T_1080; // @[Mux.scala 27:72] + wire _T_1085 = io_lsu_addr_m[31:2] != _T_1083; // @[lsu_bus_buffer.scala 267:123] + wire obuf_force_wr_en = _T_1067 & _T_1085; // @[lsu_bus_buffer.scala 267:101] + wire _T_1055 = ~obuf_force_wr_en; // @[lsu_bus_buffer.scala 265:119] + wire obuf_wr_wait = _T_1054 & _T_1055; // @[lsu_bus_buffer.scala 265:117] + wire _T_1056 = |buf_numvld_cmd_any; // @[lsu_bus_buffer.scala 266:75] + wire _T_1057 = obuf_wr_timer < 3'h7; // @[lsu_bus_buffer.scala 266:95] + wire _T_1058 = _T_1056 & _T_1057; // @[lsu_bus_buffer.scala 266:79] + wire [2:0] _T_1060 = obuf_wr_timer + 3'h1; // @[lsu_bus_buffer.scala 266:123] + wire _T_4482 = buf_state_3 == 3'h1; // @[lsu_bus_buffer.scala 524:63] + wire _T_4486 = _T_4482 | _T_4463; // @[lsu_bus_buffer.scala 524:74] + wire _T_4477 = buf_state_2 == 3'h1; // @[lsu_bus_buffer.scala 524:63] + wire _T_4481 = _T_4477 | _T_4460; // @[lsu_bus_buffer.scala 524:74] + wire [1:0] _T_4487 = _T_4486 + _T_4481; // @[lsu_bus_buffer.scala 524:154] + wire _T_4472 = buf_state_1 == 3'h1; // @[lsu_bus_buffer.scala 524:63] + wire _T_4476 = _T_4472 | _T_4457; // @[lsu_bus_buffer.scala 524:74] + wire [1:0] _GEN_366 = {{1'd0}, _T_4476}; // @[lsu_bus_buffer.scala 524:154] + wire [2:0] _T_4488 = _T_4487 + _GEN_366; // @[lsu_bus_buffer.scala 524:154] + wire _T_4467 = buf_state_0 == 3'h1; // @[lsu_bus_buffer.scala 524:63] + wire _T_4471 = _T_4467 | _T_4454; // @[lsu_bus_buffer.scala 524:74] + wire [2:0] _GEN_367 = {{2'd0}, _T_4471}; // @[lsu_bus_buffer.scala 524:154] + wire [3:0] buf_numvld_pend_any = _T_4488 + _GEN_367; // @[lsu_bus_buffer.scala 524:154] + wire _T_1087 = buf_numvld_pend_any == 4'h0; // @[lsu_bus_buffer.scala 269:53] + wire _T_1088 = ibuf_byp & _T_1087; // @[lsu_bus_buffer.scala 269:31] + wire _T_1089 = ~io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 269:64] + wire _T_1090 = _T_1089 | io_no_dword_merge_r; // @[lsu_bus_buffer.scala 269:89] + wire ibuf_buf_byp = _T_1088 & _T_1090; // @[lsu_bus_buffer.scala 269:61] + wire _T_1091 = ibuf_buf_byp & io_lsu_commit_r; // @[lsu_bus_buffer.scala 284:32] + wire _T_4778 = buf_state_0 == 3'h3; // @[lsu_bus_buffer.scala 552:62] + wire _T_4780 = _T_4778 & buf_sideeffect[0]; // @[lsu_bus_buffer.scala 552:73] + wire _T_4781 = _T_4780 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 552:93] + wire _T_4782 = buf_state_1 == 3'h3; // @[lsu_bus_buffer.scala 552:62] + wire _T_4784 = _T_4782 & buf_sideeffect[1]; // @[lsu_bus_buffer.scala 552:73] + wire _T_4785 = _T_4784 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 552:93] + wire _T_4794 = _T_4781 | _T_4785; // @[lsu_bus_buffer.scala 552:153] + wire _T_4786 = buf_state_2 == 3'h3; // @[lsu_bus_buffer.scala 552:62] + wire _T_4788 = _T_4786 & buf_sideeffect[2]; // @[lsu_bus_buffer.scala 552:73] + wire _T_4789 = _T_4788 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 552:93] + wire _T_4795 = _T_4794 | _T_4789; // @[lsu_bus_buffer.scala 552:153] + wire _T_4790 = buf_state_3 == 3'h3; // @[lsu_bus_buffer.scala 552:62] + wire _T_4792 = _T_4790 & buf_sideeffect[3]; // @[lsu_bus_buffer.scala 552:73] + wire _T_4793 = _T_4792 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 552:93] + wire _T_4796 = _T_4795 | _T_4793; // @[lsu_bus_buffer.scala 552:153] + reg obuf_sideeffect; // @[Reg.scala 27:20] + wire _T_4797 = obuf_valid & obuf_sideeffect; // @[lsu_bus_buffer.scala 552:171] + wire _T_4798 = _T_4797 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 552:189] + wire bus_sideeffect_pend = _T_4796 | _T_4798; // @[lsu_bus_buffer.scala 552:157] + wire _T_1092 = io_is_sideeffects_r & bus_sideeffect_pend; // @[lsu_bus_buffer.scala 284:74] + wire _T_1093 = ~_T_1092; // @[lsu_bus_buffer.scala 284:52] + wire _T_1094 = _T_1091 & _T_1093; // @[lsu_bus_buffer.scala 284:50] + wire [2:0] _T_1099 = _T_1023 ? buf_state_0 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1100 = _T_1024 ? buf_state_1 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1103 = _T_1099 | _T_1100; // @[Mux.scala 27:72] + wire [2:0] _T_1101 = _T_1025 ? buf_state_2 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1104 = _T_1103 | _T_1101; // @[Mux.scala 27:72] + wire [2:0] _T_1102 = _T_1026 ? buf_state_3 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1105 = _T_1104 | _T_1102; // @[Mux.scala 27:72] + wire _T_1107 = _T_1105 == 3'h2; // @[lsu_bus_buffer.scala 285:36] + wire found_cmdptr0 = |CmdPtr0Dec; // @[lsu_bus_buffer.scala 383:31] + wire _T_1108 = _T_1107 & found_cmdptr0; // @[lsu_bus_buffer.scala 285:47] + wire [3:0] _T_1111 = {buf_cmd_state_bus_en_3,buf_cmd_state_bus_en_2,buf_cmd_state_bus_en_1,buf_cmd_state_bus_en_0}; // @[Cat.scala 29:58] + wire _T_1120 = _T_1023 & _T_1111[0]; // @[Mux.scala 27:72] + wire _T_1121 = _T_1024 & _T_1111[1]; // @[Mux.scala 27:72] + wire _T_1124 = _T_1120 | _T_1121; // @[Mux.scala 27:72] + wire _T_1122 = _T_1025 & _T_1111[2]; // @[Mux.scala 27:72] + wire _T_1125 = _T_1124 | _T_1122; // @[Mux.scala 27:72] + wire _T_1123 = _T_1026 & _T_1111[3]; // @[Mux.scala 27:72] + wire _T_1126 = _T_1125 | _T_1123; // @[Mux.scala 27:72] + wire _T_1128 = ~_T_1126; // @[lsu_bus_buffer.scala 286:23] + wire _T_1129 = _T_1108 & _T_1128; // @[lsu_bus_buffer.scala 286:21] + wire _T_1146 = _T_1051 & bus_sideeffect_pend; // @[lsu_bus_buffer.scala 286:141] + wire _T_1147 = ~_T_1146; // @[lsu_bus_buffer.scala 286:105] + wire _T_1148 = _T_1129 & _T_1147; // @[lsu_bus_buffer.scala 286:103] + reg buf_dual_3; // @[Reg.scala 27:20] + reg buf_dual_2; // @[Reg.scala 27:20] + reg buf_dual_1; // @[Reg.scala 27:20] + reg buf_dual_0; // @[Reg.scala 27:20] + wire [3:0] _T_1151 = {buf_dual_3,buf_dual_2,buf_dual_1,buf_dual_0}; // @[Cat.scala 29:58] + wire _T_1160 = _T_1023 & _T_1151[0]; // @[Mux.scala 27:72] + wire _T_1161 = _T_1024 & _T_1151[1]; // @[Mux.scala 27:72] + wire _T_1164 = _T_1160 | _T_1161; // @[Mux.scala 27:72] + wire _T_1162 = _T_1025 & _T_1151[2]; // @[Mux.scala 27:72] + wire _T_1165 = _T_1164 | _T_1162; // @[Mux.scala 27:72] + wire _T_1163 = _T_1026 & _T_1151[3]; // @[Mux.scala 27:72] + wire _T_1166 = _T_1165 | _T_1163; // @[Mux.scala 27:72] + reg buf_samedw_3; // @[Reg.scala 27:20] + reg buf_samedw_2; // @[Reg.scala 27:20] + reg buf_samedw_1; // @[Reg.scala 27:20] + reg buf_samedw_0; // @[Reg.scala 27:20] + wire [3:0] _T_1170 = {buf_samedw_3,buf_samedw_2,buf_samedw_1,buf_samedw_0}; // @[Cat.scala 29:58] + wire _T_1179 = _T_1023 & _T_1170[0]; // @[Mux.scala 27:72] + wire _T_1180 = _T_1024 & _T_1170[1]; // @[Mux.scala 27:72] + wire _T_1183 = _T_1179 | _T_1180; // @[Mux.scala 27:72] + wire _T_1181 = _T_1025 & _T_1170[2]; // @[Mux.scala 27:72] + wire _T_1184 = _T_1183 | _T_1181; // @[Mux.scala 27:72] + wire _T_1182 = _T_1026 & _T_1170[3]; // @[Mux.scala 27:72] + wire _T_1185 = _T_1184 | _T_1182; // @[Mux.scala 27:72] + wire _T_1187 = _T_1166 & _T_1185; // @[lsu_bus_buffer.scala 287:77] + wire _T_1196 = _T_1023 & buf_write[0]; // @[Mux.scala 27:72] + wire _T_1197 = _T_1024 & buf_write[1]; // @[Mux.scala 27:72] + wire _T_1200 = _T_1196 | _T_1197; // @[Mux.scala 27:72] + wire _T_1198 = _T_1025 & buf_write[2]; // @[Mux.scala 27:72] + wire _T_1201 = _T_1200 | _T_1198; // @[Mux.scala 27:72] + wire _T_1199 = _T_1026 & buf_write[3]; // @[Mux.scala 27:72] + wire _T_1202 = _T_1201 | _T_1199; // @[Mux.scala 27:72] + wire _T_1204 = ~_T_1202; // @[lsu_bus_buffer.scala 287:150] + wire _T_1205 = _T_1187 & _T_1204; // @[lsu_bus_buffer.scala 287:148] + wire _T_1206 = ~_T_1205; // @[lsu_bus_buffer.scala 287:8] + wire [3:0] _T_2020 = ~CmdPtr0Dec; // @[lsu_bus_buffer.scala 379:62] + wire [3:0] _T_2021 = buf_age_3 & _T_2020; // @[lsu_bus_buffer.scala 379:59] + wire _T_2022 = |_T_2021; // @[lsu_bus_buffer.scala 379:76] + wire _T_2023 = ~_T_2022; // @[lsu_bus_buffer.scala 379:45] + wire _T_2025 = ~CmdPtr0Dec[3]; // @[lsu_bus_buffer.scala 379:83] + wire _T_2026 = _T_2023 & _T_2025; // @[lsu_bus_buffer.scala 379:81] + wire _T_2028 = _T_2026 & _T_2621; // @[lsu_bus_buffer.scala 379:98] + wire _T_2030 = _T_2028 & _T_4447; // @[lsu_bus_buffer.scala 379:123] + wire [3:0] _T_2010 = buf_age_2 & _T_2020; // @[lsu_bus_buffer.scala 379:59] + wire _T_2011 = |_T_2010; // @[lsu_bus_buffer.scala 379:76] + wire _T_2012 = ~_T_2011; // @[lsu_bus_buffer.scala 379:45] + wire _T_2014 = ~CmdPtr0Dec[2]; // @[lsu_bus_buffer.scala 379:83] + wire _T_2015 = _T_2012 & _T_2014; // @[lsu_bus_buffer.scala 379:81] + wire _T_2017 = _T_2015 & _T_2616; // @[lsu_bus_buffer.scala 379:98] + wire _T_2019 = _T_2017 & _T_4442; // @[lsu_bus_buffer.scala 379:123] + wire [3:0] _T_1999 = buf_age_1 & _T_2020; // @[lsu_bus_buffer.scala 379:59] + wire _T_2000 = |_T_1999; // @[lsu_bus_buffer.scala 379:76] + wire _T_2001 = ~_T_2000; // @[lsu_bus_buffer.scala 379:45] + wire _T_2003 = ~CmdPtr0Dec[1]; // @[lsu_bus_buffer.scala 379:83] + wire _T_2004 = _T_2001 & _T_2003; // @[lsu_bus_buffer.scala 379:81] + wire _T_2006 = _T_2004 & _T_2611; // @[lsu_bus_buffer.scala 379:98] + wire _T_2008 = _T_2006 & _T_4437; // @[lsu_bus_buffer.scala 379:123] + wire [3:0] _T_1988 = buf_age_0 & _T_2020; // @[lsu_bus_buffer.scala 379:59] + wire _T_1989 = |_T_1988; // @[lsu_bus_buffer.scala 379:76] + wire _T_1990 = ~_T_1989; // @[lsu_bus_buffer.scala 379:45] + wire _T_1992 = ~CmdPtr0Dec[0]; // @[lsu_bus_buffer.scala 379:83] + wire _T_1993 = _T_1990 & _T_1992; // @[lsu_bus_buffer.scala 379:81] + wire _T_1995 = _T_1993 & _T_2606; // @[lsu_bus_buffer.scala 379:98] + wire _T_1997 = _T_1995 & _T_4432; // @[lsu_bus_buffer.scala 379:123] + wire [3:0] CmdPtr1Dec = {_T_2030,_T_2019,_T_2008,_T_1997}; // @[Cat.scala 29:58] + wire found_cmdptr1 = |CmdPtr1Dec; // @[lsu_bus_buffer.scala 384:31] + wire _T_1207 = _T_1206 | found_cmdptr1; // @[lsu_bus_buffer.scala 287:181] + wire [3:0] _T_1210 = {buf_nomerge_3,buf_nomerge_2,buf_nomerge_1,buf_nomerge_0}; // @[Cat.scala 29:58] + wire _T_1219 = _T_1023 & _T_1210[0]; // @[Mux.scala 27:72] + wire _T_1220 = _T_1024 & _T_1210[1]; // @[Mux.scala 27:72] + wire _T_1223 = _T_1219 | _T_1220; // @[Mux.scala 27:72] + wire _T_1221 = _T_1025 & _T_1210[2]; // @[Mux.scala 27:72] + wire _T_1224 = _T_1223 | _T_1221; // @[Mux.scala 27:72] + wire _T_1222 = _T_1026 & _T_1210[3]; // @[Mux.scala 27:72] + wire _T_1225 = _T_1224 | _T_1222; // @[Mux.scala 27:72] + wire _T_1227 = _T_1207 | _T_1225; // @[lsu_bus_buffer.scala 287:197] + wire _T_1228 = _T_1227 | obuf_force_wr_en; // @[lsu_bus_buffer.scala 287:269] + wire _T_1229 = _T_1148 & _T_1228; // @[lsu_bus_buffer.scala 286:164] + wire _T_1230 = _T_1094 | _T_1229; // @[lsu_bus_buffer.scala 284:98] + reg obuf_write; // @[Reg.scala 27:20] + reg obuf_cmd_done; // @[lsu_bus_buffer.scala 348:54] + reg obuf_data_done; // @[lsu_bus_buffer.scala 349:55] + wire _T_4856 = obuf_cmd_done | obuf_data_done; // @[lsu_bus_buffer.scala 556:54] + wire _T_4857 = obuf_cmd_done ? io_lsu_axi_w_ready : io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 556:75] + wire _T_4858 = io_lsu_axi_aw_ready & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 556:153] + wire _T_4859 = _T_4856 ? _T_4857 : _T_4858; // @[lsu_bus_buffer.scala 556:39] + wire bus_cmd_ready = obuf_write ? _T_4859 : io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 556:23] + wire _T_1231 = ~obuf_valid; // @[lsu_bus_buffer.scala 288:48] + wire _T_1232 = bus_cmd_ready | _T_1231; // @[lsu_bus_buffer.scala 288:46] + reg obuf_nosend; // @[Reg.scala 27:20] + wire _T_1233 = _T_1232 | obuf_nosend; // @[lsu_bus_buffer.scala 288:60] + wire _T_1234 = _T_1230 & _T_1233; // @[lsu_bus_buffer.scala 288:29] + wire _T_1235 = ~obuf_wr_wait; // @[lsu_bus_buffer.scala 288:77] + wire _T_1236 = _T_1234 & _T_1235; // @[lsu_bus_buffer.scala 288:75] + reg [31:0] obuf_addr; // @[lib.scala 374:16] + wire _T_4804 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[lsu_bus_buffer.scala 554:56] + wire _T_4805 = obuf_valid & _T_4804; // @[lsu_bus_buffer.scala 554:38] + wire _T_4807 = obuf_tag1 == 2'h0; // @[lsu_bus_buffer.scala 554:126] + wire _T_4808 = obuf_merge & _T_4807; // @[lsu_bus_buffer.scala 554:114] + wire _T_4809 = _T_3562 | _T_4808; // @[lsu_bus_buffer.scala 554:100] + wire _T_4810 = ~_T_4809; // @[lsu_bus_buffer.scala 554:80] + wire _T_4811 = _T_4805 & _T_4810; // @[lsu_bus_buffer.scala 554:78] + wire _T_4848 = _T_4778 & _T_4811; // @[Mux.scala 27:72] + wire _T_4816 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[lsu_bus_buffer.scala 554:56] + wire _T_4817 = obuf_valid & _T_4816; // @[lsu_bus_buffer.scala 554:38] + wire _T_4819 = obuf_tag1 == 2'h1; // @[lsu_bus_buffer.scala 554:126] + wire _T_4820 = obuf_merge & _T_4819; // @[lsu_bus_buffer.scala 554:114] + wire _T_4821 = _T_3755 | _T_4820; // @[lsu_bus_buffer.scala 554:100] + wire _T_4822 = ~_T_4821; // @[lsu_bus_buffer.scala 554:80] + wire _T_4823 = _T_4817 & _T_4822; // @[lsu_bus_buffer.scala 554:78] + wire _T_4849 = _T_4782 & _T_4823; // @[Mux.scala 27:72] + wire _T_4852 = _T_4848 | _T_4849; // @[Mux.scala 27:72] + wire _T_4828 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[lsu_bus_buffer.scala 554:56] + wire _T_4829 = obuf_valid & _T_4828; // @[lsu_bus_buffer.scala 554:38] + wire _T_4831 = obuf_tag1 == 2'h2; // @[lsu_bus_buffer.scala 554:126] + wire _T_4832 = obuf_merge & _T_4831; // @[lsu_bus_buffer.scala 554:114] + wire _T_4833 = _T_3948 | _T_4832; // @[lsu_bus_buffer.scala 554:100] + wire _T_4834 = ~_T_4833; // @[lsu_bus_buffer.scala 554:80] + wire _T_4835 = _T_4829 & _T_4834; // @[lsu_bus_buffer.scala 554:78] + wire _T_4850 = _T_4786 & _T_4835; // @[Mux.scala 27:72] + wire _T_4853 = _T_4852 | _T_4850; // @[Mux.scala 27:72] + wire _T_4840 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[lsu_bus_buffer.scala 554:56] + wire _T_4841 = obuf_valid & _T_4840; // @[lsu_bus_buffer.scala 554:38] + wire _T_4843 = obuf_tag1 == 2'h3; // @[lsu_bus_buffer.scala 554:126] + wire _T_4844 = obuf_merge & _T_4843; // @[lsu_bus_buffer.scala 554:114] + wire _T_4845 = _T_4141 | _T_4844; // @[lsu_bus_buffer.scala 554:100] + wire _T_4846 = ~_T_4845; // @[lsu_bus_buffer.scala 554:80] + wire _T_4847 = _T_4841 & _T_4846; // @[lsu_bus_buffer.scala 554:78] + wire _T_4851 = _T_4790 & _T_4847; // @[Mux.scala 27:72] + wire bus_addr_match_pending = _T_4853 | _T_4851; // @[Mux.scala 27:72] + wire _T_1239 = ~bus_addr_match_pending; // @[lsu_bus_buffer.scala 288:118] + wire _T_1240 = _T_1236 & _T_1239; // @[lsu_bus_buffer.scala 288:116] + wire obuf_wr_en = _T_1240 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 288:142] + wire _T_1242 = obuf_valid & obuf_nosend; // @[lsu_bus_buffer.scala 290:47] + wire bus_wcmd_sent = io_lsu_axi_aw_valid & io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 557:40] + wire _T_4863 = obuf_cmd_done | bus_wcmd_sent; // @[lsu_bus_buffer.scala 559:35] + wire bus_wdata_sent = io_lsu_axi_w_valid & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 558:40] + wire _T_4864 = obuf_data_done | bus_wdata_sent; // @[lsu_bus_buffer.scala 559:70] + wire _T_4865 = _T_4863 & _T_4864; // @[lsu_bus_buffer.scala 559:52] + wire _T_4866 = io_lsu_axi_ar_valid & io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 559:112] + wire bus_cmd_sent = _T_4865 | _T_4866; // @[lsu_bus_buffer.scala 559:89] + wire _T_1243 = bus_cmd_sent | _T_1242; // @[lsu_bus_buffer.scala 290:33] + wire _T_1244 = ~obuf_wr_en; // @[lsu_bus_buffer.scala 290:65] + wire _T_1245 = _T_1243 & _T_1244; // @[lsu_bus_buffer.scala 290:63] + wire _T_1246 = _T_1245 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 290:77] + wire obuf_rst = _T_1246 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 290:98] + wire obuf_write_in = ibuf_buf_byp ? io_lsu_pkt_r_bits_store : _T_1202; // @[lsu_bus_buffer.scala 291:26] + wire [31:0] _T_1283 = _T_1023 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1284 = _T_1024 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1285 = _T_1025 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1286 = _T_1026 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1287 = _T_1283 | _T_1284; // @[Mux.scala 27:72] + wire [31:0] _T_1288 = _T_1287 | _T_1285; // @[Mux.scala 27:72] + wire [31:0] _T_1289 = _T_1288 | _T_1286; // @[Mux.scala 27:72] + wire [31:0] obuf_addr_in = ibuf_buf_byp ? io_lsu_addr_r : _T_1289; // @[lsu_bus_buffer.scala 293:25] + reg [1:0] buf_sz_0; // @[Reg.scala 27:20] + wire [1:0] _T_1296 = _T_1023 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] + reg [1:0] buf_sz_1; // @[Reg.scala 27:20] + wire [1:0] _T_1297 = _T_1024 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] + reg [1:0] buf_sz_2; // @[Reg.scala 27:20] + wire [1:0] _T_1298 = _T_1025 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] + reg [1:0] buf_sz_3; // @[Reg.scala 27:20] + wire [1:0] _T_1299 = _T_1026 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_1300 = _T_1296 | _T_1297; // @[Mux.scala 27:72] + wire [1:0] _T_1301 = _T_1300 | _T_1298; // @[Mux.scala 27:72] + wire [1:0] _T_1302 = _T_1301 | _T_1299; // @[Mux.scala 27:72] + wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : _T_1302; // @[lsu_bus_buffer.scala 296:23] + wire [7:0] _T_2079 = {4'h0,_T_2030,_T_2019,_T_2008,_T_1997}; // @[Cat.scala 29:58] + wire _T_2082 = _T_2079[4] | _T_2079[5]; // @[lsu_bus_buffer.scala 386:42] + wire _T_2084 = _T_2082 | _T_2079[6]; // @[lsu_bus_buffer.scala 386:48] + wire _T_2086 = _T_2084 | _T_2079[7]; // @[lsu_bus_buffer.scala 386:54] + wire _T_2089 = _T_2079[2] | _T_2079[3]; // @[lsu_bus_buffer.scala 386:67] + wire _T_2091 = _T_2089 | _T_2079[6]; // @[lsu_bus_buffer.scala 386:73] + wire _T_2093 = _T_2091 | _T_2079[7]; // @[lsu_bus_buffer.scala 386:79] + wire _T_2096 = _T_2079[1] | _T_2079[3]; // @[lsu_bus_buffer.scala 386:92] + wire _T_2098 = _T_2096 | _T_2079[5]; // @[lsu_bus_buffer.scala 386:98] + wire _T_2100 = _T_2098 | _T_2079[7]; // @[lsu_bus_buffer.scala 386:104] + wire [2:0] _T_2102 = {_T_2086,_T_2093,_T_2100}; // @[Cat.scala 29:58] + wire [1:0] CmdPtr1 = _T_2102[1:0]; // @[lsu_bus_buffer.scala 393:11] + wire _T_1304 = obuf_wr_en | obuf_rst; // @[lsu_bus_buffer.scala 304:39] + wire _T_1305 = ~_T_1304; // @[lsu_bus_buffer.scala 304:26] + wire _T_1311 = obuf_sz_in == 2'h0; // @[lsu_bus_buffer.scala 308:72] + wire _T_1314 = ~obuf_addr_in[0]; // @[lsu_bus_buffer.scala 308:98] + wire _T_1315 = obuf_sz_in[0] & _T_1314; // @[lsu_bus_buffer.scala 308:96] + wire _T_1316 = _T_1311 | _T_1315; // @[lsu_bus_buffer.scala 308:79] + wire _T_1319 = |obuf_addr_in[1:0]; // @[lsu_bus_buffer.scala 308:153] + wire _T_1320 = ~_T_1319; // @[lsu_bus_buffer.scala 308:134] + wire _T_1321 = obuf_sz_in[1] & _T_1320; // @[lsu_bus_buffer.scala 308:132] + wire _T_1322 = _T_1316 | _T_1321; // @[lsu_bus_buffer.scala 308:116] + wire obuf_aligned_in = ibuf_buf_byp ? is_aligned_r : _T_1322; // @[lsu_bus_buffer.scala 308:28] + wire _T_1339 = obuf_addr_in[31:3] == obuf_addr[31:3]; // @[lsu_bus_buffer.scala 322:40] + wire _T_1340 = _T_1339 & obuf_aligned_in; // @[lsu_bus_buffer.scala 322:60] + wire _T_1341 = ~obuf_sideeffect; // @[lsu_bus_buffer.scala 322:80] + wire _T_1342 = _T_1340 & _T_1341; // @[lsu_bus_buffer.scala 322:78] + wire _T_1343 = ~obuf_write; // @[lsu_bus_buffer.scala 322:99] + wire _T_1344 = _T_1342 & _T_1343; // @[lsu_bus_buffer.scala 322:97] + wire _T_1345 = ~obuf_write_in; // @[lsu_bus_buffer.scala 322:113] + wire _T_1346 = _T_1344 & _T_1345; // @[lsu_bus_buffer.scala 322:111] + wire _T_1347 = ~io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[lsu_bus_buffer.scala 322:130] + wire _T_1348 = _T_1346 & _T_1347; // @[lsu_bus_buffer.scala 322:128] + wire _T_1349 = ~obuf_nosend; // @[lsu_bus_buffer.scala 323:20] + wire _T_1350 = obuf_valid & _T_1349; // @[lsu_bus_buffer.scala 323:18] + reg obuf_rdrsp_pend; // @[lsu_bus_buffer.scala 350:56] + wire bus_rsp_read = io_lsu_axi_r_valid & io_lsu_axi_r_ready; // @[lsu_bus_buffer.scala 560:38] + reg [2:0] obuf_rdrsp_tag; // @[lsu_bus_buffer.scala 351:55] + wire _T_1351 = io_lsu_axi_r_bits_id == obuf_rdrsp_tag; // @[lsu_bus_buffer.scala 323:90] + wire _T_1352 = bus_rsp_read & _T_1351; // @[lsu_bus_buffer.scala 323:70] + wire _T_1353 = ~_T_1352; // @[lsu_bus_buffer.scala 323:55] + wire _T_1354 = obuf_rdrsp_pend & _T_1353; // @[lsu_bus_buffer.scala 323:53] + wire _T_1355 = _T_1350 | _T_1354; // @[lsu_bus_buffer.scala 323:34] + wire obuf_nosend_in = _T_1348 & _T_1355; // @[lsu_bus_buffer.scala 322:177] + wire _T_1323 = ~obuf_nosend_in; // @[lsu_bus_buffer.scala 316:44] + wire _T_1324 = obuf_wr_en & _T_1323; // @[lsu_bus_buffer.scala 316:42] + wire _T_1325 = ~_T_1324; // @[lsu_bus_buffer.scala 316:29] + wire _T_1326 = _T_1325 & obuf_rdrsp_pend; // @[lsu_bus_buffer.scala 316:61] + wire _T_1330 = _T_1326 & _T_1353; // @[lsu_bus_buffer.scala 316:79] + wire _T_1332 = bus_cmd_sent & _T_1343; // @[lsu_bus_buffer.scala 317:20] + wire _T_1333 = ~io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 317:37] + wire _T_1334 = _T_1332 & _T_1333; // @[lsu_bus_buffer.scala 317:35] + wire [7:0] _T_1358 = {ldst_byteen_lo_r,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1359 = {4'h0,ldst_byteen_lo_r}; // @[Cat.scala 29:58] + wire [7:0] _T_1360 = io_lsu_addr_r[2] ? _T_1358 : _T_1359; // @[lsu_bus_buffer.scala 324:46] + wire [3:0] _T_1379 = _T_1023 ? buf_byteen_0 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1380 = _T_1024 ? buf_byteen_1 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1381 = _T_1025 ? buf_byteen_2 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1382 = _T_1026 ? buf_byteen_3 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1383 = _T_1379 | _T_1380; // @[Mux.scala 27:72] + wire [3:0] _T_1384 = _T_1383 | _T_1381; // @[Mux.scala 27:72] + wire [3:0] _T_1385 = _T_1384 | _T_1382; // @[Mux.scala 27:72] + wire [7:0] _T_1387 = {_T_1385,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1400 = {4'h0,_T_1385}; // @[Cat.scala 29:58] + wire [7:0] _T_1401 = _T_1289[2] ? _T_1387 : _T_1400; // @[lsu_bus_buffer.scala 325:8] + wire [7:0] obuf_byteen0_in = ibuf_buf_byp ? _T_1360 : _T_1401; // @[lsu_bus_buffer.scala 324:28] + wire [7:0] _T_1403 = {ldst_byteen_hi_r,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1404 = {4'h0,ldst_byteen_hi_r}; // @[Cat.scala 29:58] + wire [7:0] _T_1405 = io_end_addr_r[2] ? _T_1403 : _T_1404; // @[lsu_bus_buffer.scala 326:46] + wire _T_1406 = CmdPtr1 == 2'h0; // @[lsu_bus_buffer.scala 58:123] + wire _T_1407 = CmdPtr1 == 2'h1; // @[lsu_bus_buffer.scala 58:123] + wire _T_1408 = CmdPtr1 == 2'h2; // @[lsu_bus_buffer.scala 58:123] + wire _T_1409 = CmdPtr1 == 2'h3; // @[lsu_bus_buffer.scala 58:123] + wire [31:0] _T_1410 = _T_1406 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1411 = _T_1407 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1412 = _T_1408 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1413 = _T_1409 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1414 = _T_1410 | _T_1411; // @[Mux.scala 27:72] + wire [31:0] _T_1415 = _T_1414 | _T_1412; // @[Mux.scala 27:72] + wire [31:0] _T_1416 = _T_1415 | _T_1413; // @[Mux.scala 27:72] + wire [3:0] _T_1424 = _T_1406 ? buf_byteen_0 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1425 = _T_1407 ? buf_byteen_1 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1426 = _T_1408 ? buf_byteen_2 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1427 = _T_1409 ? buf_byteen_3 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1428 = _T_1424 | _T_1425; // @[Mux.scala 27:72] + wire [3:0] _T_1429 = _T_1428 | _T_1426; // @[Mux.scala 27:72] + wire [3:0] _T_1430 = _T_1429 | _T_1427; // @[Mux.scala 27:72] + wire [7:0] _T_1432 = {_T_1430,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1445 = {4'h0,_T_1430}; // @[Cat.scala 29:58] + wire [7:0] _T_1446 = _T_1416[2] ? _T_1432 : _T_1445; // @[lsu_bus_buffer.scala 327:8] + wire [7:0] obuf_byteen1_in = ibuf_buf_byp ? _T_1405 : _T_1446; // @[lsu_bus_buffer.scala 326:28] + wire [63:0] _T_1448 = {store_data_lo_r,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1449 = {32'h0,store_data_lo_r}; // @[Cat.scala 29:58] + wire [63:0] _T_1450 = io_lsu_addr_r[2] ? _T_1448 : _T_1449; // @[lsu_bus_buffer.scala 329:44] + wire [31:0] _T_1469 = _T_1023 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1470 = _T_1024 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1471 = _T_1025 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1472 = _T_1026 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1473 = _T_1469 | _T_1470; // @[Mux.scala 27:72] + wire [31:0] _T_1474 = _T_1473 | _T_1471; // @[Mux.scala 27:72] + wire [31:0] _T_1475 = _T_1474 | _T_1472; // @[Mux.scala 27:72] + wire [63:0] _T_1477 = {_T_1475,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1490 = {32'h0,_T_1475}; // @[Cat.scala 29:58] + wire [63:0] _T_1491 = _T_1289[2] ? _T_1477 : _T_1490; // @[lsu_bus_buffer.scala 330:8] + wire [63:0] obuf_data0_in = ibuf_buf_byp ? _T_1450 : _T_1491; // @[lsu_bus_buffer.scala 329:26] + wire [63:0] _T_1493 = {store_data_hi_r,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1494 = {32'h0,store_data_hi_r}; // @[Cat.scala 29:58] + wire [63:0] _T_1495 = io_lsu_addr_r[2] ? _T_1493 : _T_1494; // @[lsu_bus_buffer.scala 331:44] + wire [31:0] _T_1514 = _T_1406 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1515 = _T_1407 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1516 = _T_1408 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1517 = _T_1409 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1518 = _T_1514 | _T_1515; // @[Mux.scala 27:72] + wire [31:0] _T_1519 = _T_1518 | _T_1516; // @[Mux.scala 27:72] + wire [31:0] _T_1520 = _T_1519 | _T_1517; // @[Mux.scala 27:72] + wire [63:0] _T_1522 = {_T_1520,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1535 = {32'h0,_T_1520}; // @[Cat.scala 29:58] + wire [63:0] _T_1536 = _T_1416[2] ? _T_1522 : _T_1535; // @[lsu_bus_buffer.scala 332:8] + wire [63:0] obuf_data1_in = ibuf_buf_byp ? _T_1495 : _T_1536; // @[lsu_bus_buffer.scala 331:26] + wire _T_1621 = CmdPtr0 != CmdPtr1; // @[lsu_bus_buffer.scala 338:30] + wire _T_1622 = _T_1621 & found_cmdptr0; // @[lsu_bus_buffer.scala 338:43] + wire _T_1623 = _T_1622 & found_cmdptr1; // @[lsu_bus_buffer.scala 338:59] + wire _T_1637 = _T_1623 & _T_1107; // @[lsu_bus_buffer.scala 338:75] + wire [2:0] _T_1642 = _T_1406 ? buf_state_0 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1643 = _T_1407 ? buf_state_1 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1646 = _T_1642 | _T_1643; // @[Mux.scala 27:72] + wire [2:0] _T_1644 = _T_1408 ? buf_state_2 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1647 = _T_1646 | _T_1644; // @[Mux.scala 27:72] + wire [2:0] _T_1645 = _T_1409 ? buf_state_3 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1648 = _T_1647 | _T_1645; // @[Mux.scala 27:72] + wire _T_1650 = _T_1648 == 3'h2; // @[lsu_bus_buffer.scala 338:150] + wire _T_1651 = _T_1637 & _T_1650; // @[lsu_bus_buffer.scala 338:118] + wire _T_1672 = _T_1651 & _T_1128; // @[lsu_bus_buffer.scala 338:161] + wire _T_1690 = _T_1672 & _T_1053; // @[lsu_bus_buffer.scala 339:85] + wire _T_1792 = _T_1204 & _T_1166; // @[lsu_bus_buffer.scala 342:38] + reg buf_dualhi_3; // @[Reg.scala 27:20] + reg buf_dualhi_2; // @[Reg.scala 27:20] + reg buf_dualhi_1; // @[Reg.scala 27:20] + reg buf_dualhi_0; // @[Reg.scala 27:20] + wire [3:0] _T_1795 = {buf_dualhi_3,buf_dualhi_2,buf_dualhi_1,buf_dualhi_0}; // @[Cat.scala 29:58] + wire _T_1804 = _T_1023 & _T_1795[0]; // @[Mux.scala 27:72] + wire _T_1805 = _T_1024 & _T_1795[1]; // @[Mux.scala 27:72] + wire _T_1808 = _T_1804 | _T_1805; // @[Mux.scala 27:72] + wire _T_1806 = _T_1025 & _T_1795[2]; // @[Mux.scala 27:72] + wire _T_1809 = _T_1808 | _T_1806; // @[Mux.scala 27:72] + wire _T_1807 = _T_1026 & _T_1795[3]; // @[Mux.scala 27:72] + wire _T_1810 = _T_1809 | _T_1807; // @[Mux.scala 27:72] + wire _T_1812 = ~_T_1810; // @[lsu_bus_buffer.scala 342:109] + wire _T_1813 = _T_1792 & _T_1812; // @[lsu_bus_buffer.scala 342:107] + wire _T_1833 = _T_1813 & _T_1185; // @[lsu_bus_buffer.scala 342:179] + wire _T_1835 = _T_1690 & _T_1833; // @[lsu_bus_buffer.scala 339:122] + wire _T_1836 = ibuf_buf_byp & ldst_samedw_r; // @[lsu_bus_buffer.scala 343:19] + wire _T_1837 = _T_1836 & io_ldst_dual_r; // @[lsu_bus_buffer.scala 343:35] + wire obuf_merge_en = _T_1835 | _T_1837; // @[lsu_bus_buffer.scala 342:253] + wire _T_1539 = obuf_merge_en & obuf_byteen1_in[0]; // @[lsu_bus_buffer.scala 333:80] + wire _T_1540 = obuf_byteen0_in[0] | _T_1539; // @[lsu_bus_buffer.scala 333:63] + wire _T_1543 = obuf_merge_en & obuf_byteen1_in[1]; // @[lsu_bus_buffer.scala 333:80] + wire _T_1544 = obuf_byteen0_in[1] | _T_1543; // @[lsu_bus_buffer.scala 333:63] + wire _T_1547 = obuf_merge_en & obuf_byteen1_in[2]; // @[lsu_bus_buffer.scala 333:80] + wire _T_1548 = obuf_byteen0_in[2] | _T_1547; // @[lsu_bus_buffer.scala 333:63] + wire _T_1551 = obuf_merge_en & obuf_byteen1_in[3]; // @[lsu_bus_buffer.scala 333:80] + wire _T_1552 = obuf_byteen0_in[3] | _T_1551; // @[lsu_bus_buffer.scala 333:63] + wire _T_1555 = obuf_merge_en & obuf_byteen1_in[4]; // @[lsu_bus_buffer.scala 333:80] + wire _T_1556 = obuf_byteen0_in[4] | _T_1555; // @[lsu_bus_buffer.scala 333:63] + wire _T_1559 = obuf_merge_en & obuf_byteen1_in[5]; // @[lsu_bus_buffer.scala 333:80] + wire _T_1560 = obuf_byteen0_in[5] | _T_1559; // @[lsu_bus_buffer.scala 333:63] + wire _T_1563 = obuf_merge_en & obuf_byteen1_in[6]; // @[lsu_bus_buffer.scala 333:80] + wire _T_1564 = obuf_byteen0_in[6] | _T_1563; // @[lsu_bus_buffer.scala 333:63] + wire _T_1567 = obuf_merge_en & obuf_byteen1_in[7]; // @[lsu_bus_buffer.scala 333:80] + wire _T_1568 = obuf_byteen0_in[7] | _T_1567; // @[lsu_bus_buffer.scala 333:63] + wire [7:0] obuf_byteen_in = {_T_1568,_T_1564,_T_1560,_T_1556,_T_1552,_T_1548,_T_1544,_T_1540}; // @[Cat.scala 29:58] + wire [7:0] _T_1579 = _T_1539 ? obuf_data1_in[7:0] : obuf_data0_in[7:0]; // @[lsu_bus_buffer.scala 334:44] + wire [7:0] _T_1584 = _T_1543 ? obuf_data1_in[15:8] : obuf_data0_in[15:8]; // @[lsu_bus_buffer.scala 334:44] + wire [7:0] _T_1589 = _T_1547 ? obuf_data1_in[23:16] : obuf_data0_in[23:16]; // @[lsu_bus_buffer.scala 334:44] + wire [7:0] _T_1594 = _T_1551 ? obuf_data1_in[31:24] : obuf_data0_in[31:24]; // @[lsu_bus_buffer.scala 334:44] + wire [7:0] _T_1599 = _T_1555 ? obuf_data1_in[39:32] : obuf_data0_in[39:32]; // @[lsu_bus_buffer.scala 334:44] + wire [7:0] _T_1604 = _T_1559 ? obuf_data1_in[47:40] : obuf_data0_in[47:40]; // @[lsu_bus_buffer.scala 334:44] + wire [7:0] _T_1609 = _T_1563 ? obuf_data1_in[55:48] : obuf_data0_in[55:48]; // @[lsu_bus_buffer.scala 334:44] + wire [7:0] _T_1614 = _T_1567 ? obuf_data1_in[63:56] : obuf_data0_in[63:56]; // @[lsu_bus_buffer.scala 334:44] + wire [55:0] _T_1620 = {_T_1614,_T_1609,_T_1604,_T_1599,_T_1594,_T_1589,_T_1584}; // @[Cat.scala 29:58] + wire _T_1839 = obuf_wr_en | obuf_valid; // @[lsu_bus_buffer.scala 346:58] + wire _T_1840 = ~obuf_rst; // @[lsu_bus_buffer.scala 346:93] + reg [1:0] obuf_sz; // @[Reg.scala 27:20] + reg [7:0] obuf_byteen; // @[Reg.scala 27:20] + reg [63:0] obuf_data; // @[lib.scala 374:16] + wire _T_1853 = buf_state_0 == 3'h0; // @[lsu_bus_buffer.scala 364:65] + wire _T_1854 = ibuf_tag == 2'h0; // @[lsu_bus_buffer.scala 365:30] + wire _T_1855 = ibuf_valid & _T_1854; // @[lsu_bus_buffer.scala 365:19] + wire _T_1856 = WrPtr0_r == 2'h0; // @[lsu_bus_buffer.scala 366:18] + wire _T_1857 = WrPtr1_r == 2'h0; // @[lsu_bus_buffer.scala 366:57] + wire _T_1858 = io_ldst_dual_r & _T_1857; // @[lsu_bus_buffer.scala 366:45] + wire _T_1859 = _T_1856 | _T_1858; // @[lsu_bus_buffer.scala 366:27] + wire _T_1860 = io_lsu_busreq_r & _T_1859; // @[lsu_bus_buffer.scala 365:58] + wire _T_1861 = _T_1855 | _T_1860; // @[lsu_bus_buffer.scala 365:39] + wire _T_1862 = ~_T_1861; // @[lsu_bus_buffer.scala 365:5] + wire _T_1863 = _T_1853 & _T_1862; // @[lsu_bus_buffer.scala 364:76] + wire _T_1864 = buf_state_1 == 3'h0; // @[lsu_bus_buffer.scala 364:65] + wire _T_1865 = ibuf_tag == 2'h1; // @[lsu_bus_buffer.scala 365:30] + wire _T_1866 = ibuf_valid & _T_1865; // @[lsu_bus_buffer.scala 365:19] + wire _T_1867 = WrPtr0_r == 2'h1; // @[lsu_bus_buffer.scala 366:18] + wire _T_1868 = WrPtr1_r == 2'h1; // @[lsu_bus_buffer.scala 366:57] + wire _T_1869 = io_ldst_dual_r & _T_1868; // @[lsu_bus_buffer.scala 366:45] + wire _T_1870 = _T_1867 | _T_1869; // @[lsu_bus_buffer.scala 366:27] + wire _T_1871 = io_lsu_busreq_r & _T_1870; // @[lsu_bus_buffer.scala 365:58] + wire _T_1872 = _T_1866 | _T_1871; // @[lsu_bus_buffer.scala 365:39] + wire _T_1873 = ~_T_1872; // @[lsu_bus_buffer.scala 365:5] + wire _T_1874 = _T_1864 & _T_1873; // @[lsu_bus_buffer.scala 364:76] + wire _T_1875 = buf_state_2 == 3'h0; // @[lsu_bus_buffer.scala 364:65] + wire _T_1876 = ibuf_tag == 2'h2; // @[lsu_bus_buffer.scala 365:30] + wire _T_1877 = ibuf_valid & _T_1876; // @[lsu_bus_buffer.scala 365:19] + wire _T_1878 = WrPtr0_r == 2'h2; // @[lsu_bus_buffer.scala 366:18] + wire _T_1879 = WrPtr1_r == 2'h2; // @[lsu_bus_buffer.scala 366:57] + wire _T_1880 = io_ldst_dual_r & _T_1879; // @[lsu_bus_buffer.scala 366:45] + wire _T_1881 = _T_1878 | _T_1880; // @[lsu_bus_buffer.scala 366:27] + wire _T_1882 = io_lsu_busreq_r & _T_1881; // @[lsu_bus_buffer.scala 365:58] + wire _T_1883 = _T_1877 | _T_1882; // @[lsu_bus_buffer.scala 365:39] + wire _T_1884 = ~_T_1883; // @[lsu_bus_buffer.scala 365:5] + wire _T_1885 = _T_1875 & _T_1884; // @[lsu_bus_buffer.scala 364:76] + wire _T_1886 = buf_state_3 == 3'h0; // @[lsu_bus_buffer.scala 364:65] + wire _T_1887 = ibuf_tag == 2'h3; // @[lsu_bus_buffer.scala 365:30] + wire _T_1889 = WrPtr0_r == 2'h3; // @[lsu_bus_buffer.scala 366:18] + wire _T_1890 = WrPtr1_r == 2'h3; // @[lsu_bus_buffer.scala 366:57] + wire [1:0] _T_1898 = _T_1885 ? 2'h2 : 2'h3; // @[Mux.scala 98:16] + wire [1:0] _T_1899 = _T_1874 ? 2'h1 : _T_1898; // @[Mux.scala 98:16] + wire [1:0] WrPtr0_m = _T_1863 ? 2'h0 : _T_1899; // @[Mux.scala 98:16] + wire _T_1904 = WrPtr0_m == 2'h0; // @[lsu_bus_buffer.scala 371:33] + wire _T_1905 = io_lsu_busreq_m & _T_1904; // @[lsu_bus_buffer.scala 371:22] + wire _T_1906 = _T_1855 | _T_1905; // @[lsu_bus_buffer.scala 370:112] + wire _T_1912 = _T_1906 | _T_1860; // @[lsu_bus_buffer.scala 371:42] + wire _T_1913 = ~_T_1912; // @[lsu_bus_buffer.scala 370:78] + wire _T_1914 = _T_1853 & _T_1913; // @[lsu_bus_buffer.scala 370:76] + wire _T_1918 = WrPtr0_m == 2'h1; // @[lsu_bus_buffer.scala 371:33] + wire _T_1919 = io_lsu_busreq_m & _T_1918; // @[lsu_bus_buffer.scala 371:22] + wire _T_1920 = _T_1866 | _T_1919; // @[lsu_bus_buffer.scala 370:112] + wire _T_1926 = _T_1920 | _T_1871; // @[lsu_bus_buffer.scala 371:42] + wire _T_1927 = ~_T_1926; // @[lsu_bus_buffer.scala 370:78] + wire _T_1928 = _T_1864 & _T_1927; // @[lsu_bus_buffer.scala 370:76] + wire _T_1932 = WrPtr0_m == 2'h2; // @[lsu_bus_buffer.scala 371:33] + wire _T_1933 = io_lsu_busreq_m & _T_1932; // @[lsu_bus_buffer.scala 371:22] + wire _T_1934 = _T_1877 | _T_1933; // @[lsu_bus_buffer.scala 370:112] + wire _T_1940 = _T_1934 | _T_1882; // @[lsu_bus_buffer.scala 371:42] + wire _T_1941 = ~_T_1940; // @[lsu_bus_buffer.scala 370:78] + wire _T_1942 = _T_1875 & _T_1941; // @[lsu_bus_buffer.scala 370:76] + reg [3:0] buf_rspageQ_0; // @[lsu_bus_buffer.scala 501:63] + wire _T_2746 = buf_state_3 == 3'h5; // @[lsu_bus_buffer.scala 414:102] + wire _T_2747 = buf_rspageQ_0[3] & _T_2746; // @[lsu_bus_buffer.scala 414:87] + wire _T_2743 = buf_state_2 == 3'h5; // @[lsu_bus_buffer.scala 414:102] + wire _T_2744 = buf_rspageQ_0[2] & _T_2743; // @[lsu_bus_buffer.scala 414:87] + wire _T_2740 = buf_state_1 == 3'h5; // @[lsu_bus_buffer.scala 414:102] + wire _T_2741 = buf_rspageQ_0[1] & _T_2740; // @[lsu_bus_buffer.scala 414:87] + wire _T_2737 = buf_state_0 == 3'h5; // @[lsu_bus_buffer.scala 414:102] + wire _T_2738 = buf_rspageQ_0[0] & _T_2737; // @[lsu_bus_buffer.scala 414:87] + wire [3:0] buf_rsp_pickage_0 = {_T_2747,_T_2744,_T_2741,_T_2738}; // @[Cat.scala 29:58] + wire _T_2033 = |buf_rsp_pickage_0; // @[lsu_bus_buffer.scala 382:65] + wire _T_2034 = ~_T_2033; // @[lsu_bus_buffer.scala 382:44] + wire _T_2036 = _T_2034 & _T_2737; // @[lsu_bus_buffer.scala 382:70] + reg [3:0] buf_rspageQ_1; // @[lsu_bus_buffer.scala 501:63] + wire _T_2762 = buf_rspageQ_1[3] & _T_2746; // @[lsu_bus_buffer.scala 414:87] + wire _T_2759 = buf_rspageQ_1[2] & _T_2743; // @[lsu_bus_buffer.scala 414:87] + wire _T_2756 = buf_rspageQ_1[1] & _T_2740; // @[lsu_bus_buffer.scala 414:87] + wire _T_2753 = buf_rspageQ_1[0] & _T_2737; // @[lsu_bus_buffer.scala 414:87] + wire [3:0] buf_rsp_pickage_1 = {_T_2762,_T_2759,_T_2756,_T_2753}; // @[Cat.scala 29:58] + wire _T_2037 = |buf_rsp_pickage_1; // @[lsu_bus_buffer.scala 382:65] + wire _T_2038 = ~_T_2037; // @[lsu_bus_buffer.scala 382:44] + wire _T_2040 = _T_2038 & _T_2740; // @[lsu_bus_buffer.scala 382:70] + reg [3:0] buf_rspageQ_2; // @[lsu_bus_buffer.scala 501:63] + wire _T_2777 = buf_rspageQ_2[3] & _T_2746; // @[lsu_bus_buffer.scala 414:87] + wire _T_2774 = buf_rspageQ_2[2] & _T_2743; // @[lsu_bus_buffer.scala 414:87] + wire _T_2771 = buf_rspageQ_2[1] & _T_2740; // @[lsu_bus_buffer.scala 414:87] + wire _T_2768 = buf_rspageQ_2[0] & _T_2737; // @[lsu_bus_buffer.scala 414:87] + wire [3:0] buf_rsp_pickage_2 = {_T_2777,_T_2774,_T_2771,_T_2768}; // @[Cat.scala 29:58] + wire _T_2041 = |buf_rsp_pickage_2; // @[lsu_bus_buffer.scala 382:65] + wire _T_2042 = ~_T_2041; // @[lsu_bus_buffer.scala 382:44] + wire _T_2044 = _T_2042 & _T_2743; // @[lsu_bus_buffer.scala 382:70] + reg [3:0] buf_rspageQ_3; // @[lsu_bus_buffer.scala 501:63] + wire _T_2792 = buf_rspageQ_3[3] & _T_2746; // @[lsu_bus_buffer.scala 414:87] + wire _T_2789 = buf_rspageQ_3[2] & _T_2743; // @[lsu_bus_buffer.scala 414:87] + wire _T_2786 = buf_rspageQ_3[1] & _T_2740; // @[lsu_bus_buffer.scala 414:87] + wire _T_2783 = buf_rspageQ_3[0] & _T_2737; // @[lsu_bus_buffer.scala 414:87] + wire [3:0] buf_rsp_pickage_3 = {_T_2792,_T_2789,_T_2786,_T_2783}; // @[Cat.scala 29:58] + wire _T_2045 = |buf_rsp_pickage_3; // @[lsu_bus_buffer.scala 382:65] + wire _T_2046 = ~_T_2045; // @[lsu_bus_buffer.scala 382:44] + wire _T_2048 = _T_2046 & _T_2746; // @[lsu_bus_buffer.scala 382:70] + wire [7:0] _T_2104 = {4'h0,_T_2048,_T_2044,_T_2040,_T_2036}; // @[Cat.scala 29:58] + wire _T_2107 = _T_2104[4] | _T_2104[5]; // @[lsu_bus_buffer.scala 386:42] + wire _T_2109 = _T_2107 | _T_2104[6]; // @[lsu_bus_buffer.scala 386:48] + wire _T_2111 = _T_2109 | _T_2104[7]; // @[lsu_bus_buffer.scala 386:54] + wire _T_2114 = _T_2104[2] | _T_2104[3]; // @[lsu_bus_buffer.scala 386:67] + wire _T_2116 = _T_2114 | _T_2104[6]; // @[lsu_bus_buffer.scala 386:73] + wire _T_2118 = _T_2116 | _T_2104[7]; // @[lsu_bus_buffer.scala 386:79] + wire _T_2121 = _T_2104[1] | _T_2104[3]; // @[lsu_bus_buffer.scala 386:92] + wire _T_2123 = _T_2121 | _T_2104[5]; // @[lsu_bus_buffer.scala 386:98] + wire _T_2125 = _T_2123 | _T_2104[7]; // @[lsu_bus_buffer.scala 386:104] + wire [2:0] _T_2127 = {_T_2111,_T_2118,_T_2125}; // @[Cat.scala 29:58] + wire _T_3532 = ibuf_byp | io_ldst_dual_r; // @[lsu_bus_buffer.scala 444:77] + wire _T_3533 = ~ibuf_merge_en; // @[lsu_bus_buffer.scala 444:97] + wire _T_3534 = _T_3532 & _T_3533; // @[lsu_bus_buffer.scala 444:95] + wire _T_3535 = 2'h0 == WrPtr0_r; // @[lsu_bus_buffer.scala 444:117] + wire _T_3536 = _T_3534 & _T_3535; // @[lsu_bus_buffer.scala 444:112] + wire _T_3537 = ibuf_byp & io_ldst_dual_r; // @[lsu_bus_buffer.scala 444:144] + wire _T_3538 = 2'h0 == WrPtr1_r; // @[lsu_bus_buffer.scala 444:166] + wire _T_3539 = _T_3537 & _T_3538; // @[lsu_bus_buffer.scala 444:161] + wire _T_3540 = _T_3536 | _T_3539; // @[lsu_bus_buffer.scala 444:132] + wire _T_3541 = _T_853 & _T_3540; // @[lsu_bus_buffer.scala 444:63] + wire _T_3542 = 2'h0 == ibuf_tag; // @[lsu_bus_buffer.scala 444:206] + wire _T_3543 = ibuf_drain_vld & _T_3542; // @[lsu_bus_buffer.scala 444:201] + wire _T_3544 = _T_3541 | _T_3543; // @[lsu_bus_buffer.scala 444:183] + wire _T_3554 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 451:46] + wire _T_3589 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] + wire bus_rsp_write = io_lsu_axi_b_valid & io_lsu_axi_b_ready; // @[lsu_bus_buffer.scala 561:39] + wire _T_3634 = io_lsu_axi_b_bits_id == 3'h0; // @[lsu_bus_buffer.scala 469:73] + wire _T_3635 = bus_rsp_write & _T_3634; // @[lsu_bus_buffer.scala 469:52] + wire _T_3636 = io_lsu_axi_r_bits_id == 3'h0; // @[lsu_bus_buffer.scala 470:46] + reg _T_4307; // @[Reg.scala 27:20] + reg _T_4305; // @[Reg.scala 27:20] + reg _T_4303; // @[Reg.scala 27:20] + reg _T_4301; // @[Reg.scala 27:20] + wire [3:0] buf_ldfwd = {_T_4307,_T_4305,_T_4303,_T_4301}; // @[Cat.scala 29:58] + reg [1:0] buf_ldfwdtag_0; // @[Reg.scala 27:20] + wire [2:0] _GEN_368 = {{1'd0}, buf_ldfwdtag_0}; // @[lsu_bus_buffer.scala 471:47] + wire _T_3638 = io_lsu_axi_r_bits_id == _GEN_368; // @[lsu_bus_buffer.scala 471:47] + wire _T_3639 = buf_ldfwd[0] & _T_3638; // @[lsu_bus_buffer.scala 471:27] + wire _T_3640 = _T_3636 | _T_3639; // @[lsu_bus_buffer.scala 470:77] + wire _T_3641 = buf_dual_0 & buf_dualhi_0; // @[lsu_bus_buffer.scala 472:26] + wire _T_3643 = ~buf_write[0]; // @[lsu_bus_buffer.scala 472:44] + wire _T_3644 = _T_3641 & _T_3643; // @[lsu_bus_buffer.scala 472:42] + wire _T_3645 = _T_3644 & buf_samedw_0; // @[lsu_bus_buffer.scala 472:58] + reg [1:0] buf_dualtag_0; // @[Reg.scala 27:20] + wire [2:0] _GEN_369 = {{1'd0}, buf_dualtag_0}; // @[lsu_bus_buffer.scala 472:94] + wire _T_3646 = io_lsu_axi_r_bits_id == _GEN_369; // @[lsu_bus_buffer.scala 472:94] + wire _T_3647 = _T_3645 & _T_3646; // @[lsu_bus_buffer.scala 472:74] + wire _T_3648 = _T_3640 | _T_3647; // @[lsu_bus_buffer.scala 471:71] + wire _T_3649 = bus_rsp_read & _T_3648; // @[lsu_bus_buffer.scala 470:25] + wire _T_3650 = _T_3635 | _T_3649; // @[lsu_bus_buffer.scala 469:105] + wire _GEN_42 = _T_3589 & _T_3650; // @[Conditional.scala 39:67] + wire _GEN_61 = _T_3555 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] + wire _GEN_73 = _T_3551 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_0 = _T_3528 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] + wire _T_3676 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] + wire [3:0] _T_3686 = buf_ldfwd >> buf_dualtag_0; // @[lsu_bus_buffer.scala 484:21] + reg [1:0] buf_ldfwdtag_3; // @[Reg.scala 27:20] + reg [1:0] buf_ldfwdtag_2; // @[Reg.scala 27:20] + reg [1:0] buf_ldfwdtag_1; // @[Reg.scala 27:20] + wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 484:58] + wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[lsu_bus_buffer.scala 484:58] + wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[lsu_bus_buffer.scala 484:58] + wire [2:0] _GEN_371 = {{1'd0}, _GEN_25}; // @[lsu_bus_buffer.scala 484:58] + wire _T_3688 = io_lsu_axi_r_bits_id == _GEN_371; // @[lsu_bus_buffer.scala 484:58] + wire _T_3689 = _T_3686[0] & _T_3688; // @[lsu_bus_buffer.scala 484:38] + wire _T_3690 = _T_3646 | _T_3689; // @[lsu_bus_buffer.scala 483:95] + wire _T_3691 = bus_rsp_read & _T_3690; // @[lsu_bus_buffer.scala 483:45] + wire _GEN_36 = _T_3676 & _T_3691; // @[Conditional.scala 39:67] + wire _GEN_43 = _T_3589 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] + wire _GEN_53 = _T_3555 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] + wire _GEN_66 = _T_3551 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] + wire buf_state_bus_en_0 = _T_3528 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] + wire _T_3568 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 457:49] + wire _T_3569 = _T_3568 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 457:70] + wire _T_3694 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] + wire [1:0] RspPtr = _T_2127[1:0]; // @[lsu_bus_buffer.scala 394:10] + wire _T_3697 = RspPtr == 2'h0; // @[lsu_bus_buffer.scala 489:37] + wire _T_3698 = buf_dualtag_0 == RspPtr; // @[lsu_bus_buffer.scala 489:98] + wire _T_3699 = buf_dual_0 & _T_3698; // @[lsu_bus_buffer.scala 489:80] + wire _T_3700 = _T_3697 | _T_3699; // @[lsu_bus_buffer.scala 489:65] + wire _T_3701 = _T_3700 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 489:112] + wire _T_3702 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] + wire _GEN_31 = _T_3694 ? _T_3701 : _T_3702; // @[Conditional.scala 39:67] + wire _GEN_37 = _T_3676 ? _T_3569 : _GEN_31; // @[Conditional.scala 39:67] + wire _GEN_44 = _T_3589 ? _T_3569 : _GEN_37; // @[Conditional.scala 39:67] + wire _GEN_54 = _T_3555 ? _T_3569 : _GEN_44; // @[Conditional.scala 39:67] + wire _GEN_64 = _T_3551 ? _T_3554 : _GEN_54; // @[Conditional.scala 39:67] + wire buf_state_en_0 = _T_3528 ? _T_3544 : _GEN_64; // @[Conditional.scala 40:58] + wire _T_2129 = _T_1853 & buf_state_en_0; // @[lsu_bus_buffer.scala 406:94] + wire _T_2135 = ibuf_drain_vld & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 408:23] + wire _T_2137 = _T_2135 & _T_3532; // @[lsu_bus_buffer.scala 408:41] + wire _T_2139 = _T_2137 & _T_1856; // @[lsu_bus_buffer.scala 408:71] + wire _T_2141 = _T_2139 & _T_1854; // @[lsu_bus_buffer.scala 408:92] + wire _T_2142 = _T_4471 | _T_2141; // @[lsu_bus_buffer.scala 407:86] + wire _T_2143 = ibuf_byp & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 409:17] + wire _T_2144 = _T_2143 & io_ldst_dual_r; // @[lsu_bus_buffer.scala 409:35] + wire _T_2146 = _T_2144 & _T_1857; // @[lsu_bus_buffer.scala 409:52] + wire _T_2148 = _T_2146 & _T_1856; // @[lsu_bus_buffer.scala 409:73] + wire _T_2149 = _T_2142 | _T_2148; // @[lsu_bus_buffer.scala 408:114] + wire _T_2150 = _T_2129 & _T_2149; // @[lsu_bus_buffer.scala 406:113] + wire _T_2152 = _T_2150 | buf_age_0[0]; // @[lsu_bus_buffer.scala 409:97] + wire _T_2166 = _T_2139 & _T_1865; // @[lsu_bus_buffer.scala 408:92] + wire _T_2167 = _T_4476 | _T_2166; // @[lsu_bus_buffer.scala 407:86] + wire _T_2173 = _T_2146 & _T_1867; // @[lsu_bus_buffer.scala 409:73] + wire _T_2174 = _T_2167 | _T_2173; // @[lsu_bus_buffer.scala 408:114] + wire _T_2175 = _T_2129 & _T_2174; // @[lsu_bus_buffer.scala 406:113] + wire _T_2177 = _T_2175 | buf_age_0[1]; // @[lsu_bus_buffer.scala 409:97] + wire _T_2191 = _T_2139 & _T_1876; // @[lsu_bus_buffer.scala 408:92] + wire _T_2192 = _T_4481 | _T_2191; // @[lsu_bus_buffer.scala 407:86] + wire _T_2198 = _T_2146 & _T_1878; // @[lsu_bus_buffer.scala 409:73] + wire _T_2199 = _T_2192 | _T_2198; // @[lsu_bus_buffer.scala 408:114] + wire _T_2200 = _T_2129 & _T_2199; // @[lsu_bus_buffer.scala 406:113] + wire _T_2202 = _T_2200 | buf_age_0[2]; // @[lsu_bus_buffer.scala 409:97] + wire _T_2216 = _T_2139 & _T_1887; // @[lsu_bus_buffer.scala 408:92] + wire _T_2217 = _T_4486 | _T_2216; // @[lsu_bus_buffer.scala 407:86] + wire _T_2223 = _T_2146 & _T_1889; // @[lsu_bus_buffer.scala 409:73] + wire _T_2224 = _T_2217 | _T_2223; // @[lsu_bus_buffer.scala 408:114] + wire _T_2225 = _T_2129 & _T_2224; // @[lsu_bus_buffer.scala 406:113] + wire _T_2227 = _T_2225 | buf_age_0[3]; // @[lsu_bus_buffer.scala 409:97] + wire [2:0] _T_2229 = {_T_2227,_T_2202,_T_2177}; // @[Cat.scala 29:58] + wire _T_3728 = 2'h1 == WrPtr0_r; // @[lsu_bus_buffer.scala 444:117] + wire _T_3729 = _T_3534 & _T_3728; // @[lsu_bus_buffer.scala 444:112] + wire _T_3731 = 2'h1 == WrPtr1_r; // @[lsu_bus_buffer.scala 444:166] + wire _T_3732 = _T_3537 & _T_3731; // @[lsu_bus_buffer.scala 444:161] + wire _T_3733 = _T_3729 | _T_3732; // @[lsu_bus_buffer.scala 444:132] + wire _T_3734 = _T_853 & _T_3733; // @[lsu_bus_buffer.scala 444:63] + wire _T_3735 = 2'h1 == ibuf_tag; // @[lsu_bus_buffer.scala 444:206] + wire _T_3736 = ibuf_drain_vld & _T_3735; // @[lsu_bus_buffer.scala 444:201] + wire _T_3737 = _T_3734 | _T_3736; // @[lsu_bus_buffer.scala 444:183] + wire _T_3782 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3827 = io_lsu_axi_b_bits_id == 3'h1; // @[lsu_bus_buffer.scala 469:73] + wire _T_3828 = bus_rsp_write & _T_3827; // @[lsu_bus_buffer.scala 469:52] + wire _T_3829 = io_lsu_axi_r_bits_id == 3'h1; // @[lsu_bus_buffer.scala 470:46] + wire [2:0] _GEN_372 = {{1'd0}, buf_ldfwdtag_1}; // @[lsu_bus_buffer.scala 471:47] + wire _T_3831 = io_lsu_axi_r_bits_id == _GEN_372; // @[lsu_bus_buffer.scala 471:47] + wire _T_3832 = buf_ldfwd[1] & _T_3831; // @[lsu_bus_buffer.scala 471:27] + wire _T_3833 = _T_3829 | _T_3832; // @[lsu_bus_buffer.scala 470:77] + wire _T_3834 = buf_dual_1 & buf_dualhi_1; // @[lsu_bus_buffer.scala 472:26] + wire _T_3836 = ~buf_write[1]; // @[lsu_bus_buffer.scala 472:44] + wire _T_3837 = _T_3834 & _T_3836; // @[lsu_bus_buffer.scala 472:42] + wire _T_3838 = _T_3837 & buf_samedw_1; // @[lsu_bus_buffer.scala 472:58] + reg [1:0] buf_dualtag_1; // @[Reg.scala 27:20] + wire [2:0] _GEN_373 = {{1'd0}, buf_dualtag_1}; // @[lsu_bus_buffer.scala 472:94] + wire _T_3839 = io_lsu_axi_r_bits_id == _GEN_373; // @[lsu_bus_buffer.scala 472:94] + wire _T_3840 = _T_3838 & _T_3839; // @[lsu_bus_buffer.scala 472:74] + wire _T_3841 = _T_3833 | _T_3840; // @[lsu_bus_buffer.scala 471:71] + wire _T_3842 = bus_rsp_read & _T_3841; // @[lsu_bus_buffer.scala 470:25] + wire _T_3843 = _T_3828 | _T_3842; // @[lsu_bus_buffer.scala 469:105] + wire _GEN_118 = _T_3782 & _T_3843; // @[Conditional.scala 39:67] + wire _GEN_137 = _T_3748 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] + wire _GEN_149 = _T_3744 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_1 = _T_3721 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] + wire _T_3869 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] + wire [3:0] _T_3879 = buf_ldfwd >> buf_dualtag_1; // @[lsu_bus_buffer.scala 484:21] + wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 484:58] + wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[lsu_bus_buffer.scala 484:58] + wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[lsu_bus_buffer.scala 484:58] + wire [2:0] _GEN_375 = {{1'd0}, _GEN_101}; // @[lsu_bus_buffer.scala 484:58] + wire _T_3881 = io_lsu_axi_r_bits_id == _GEN_375; // @[lsu_bus_buffer.scala 484:58] + wire _T_3882 = _T_3879[0] & _T_3881; // @[lsu_bus_buffer.scala 484:38] + wire _T_3883 = _T_3839 | _T_3882; // @[lsu_bus_buffer.scala 483:95] + wire _T_3884 = bus_rsp_read & _T_3883; // @[lsu_bus_buffer.scala 483:45] + wire _GEN_112 = _T_3869 & _T_3884; // @[Conditional.scala 39:67] + wire _GEN_119 = _T_3782 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] + wire _GEN_129 = _T_3748 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] + wire _GEN_142 = _T_3744 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] + wire buf_state_bus_en_1 = _T_3721 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] + wire _T_3761 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 457:49] + wire _T_3762 = _T_3761 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 457:70] + wire _T_3887 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3890 = RspPtr == 2'h1; // @[lsu_bus_buffer.scala 489:37] + wire _T_3891 = buf_dualtag_1 == RspPtr; // @[lsu_bus_buffer.scala 489:98] + wire _T_3892 = buf_dual_1 & _T_3891; // @[lsu_bus_buffer.scala 489:80] + wire _T_3893 = _T_3890 | _T_3892; // @[lsu_bus_buffer.scala 489:65] + wire _T_3894 = _T_3893 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 489:112] + wire _T_3895 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] + wire _GEN_107 = _T_3887 ? _T_3894 : _T_3895; // @[Conditional.scala 39:67] + wire _GEN_113 = _T_3869 ? _T_3762 : _GEN_107; // @[Conditional.scala 39:67] + wire _GEN_120 = _T_3782 ? _T_3762 : _GEN_113; // @[Conditional.scala 39:67] + wire _GEN_130 = _T_3748 ? _T_3762 : _GEN_120; // @[Conditional.scala 39:67] + wire _GEN_140 = _T_3744 ? _T_3554 : _GEN_130; // @[Conditional.scala 39:67] + wire buf_state_en_1 = _T_3721 ? _T_3737 : _GEN_140; // @[Conditional.scala 40:58] + wire _T_2231 = _T_1864 & buf_state_en_1; // @[lsu_bus_buffer.scala 406:94] + wire _T_2241 = _T_2137 & _T_1867; // @[lsu_bus_buffer.scala 408:71] + wire _T_2243 = _T_2241 & _T_1854; // @[lsu_bus_buffer.scala 408:92] + wire _T_2244 = _T_4471 | _T_2243; // @[lsu_bus_buffer.scala 407:86] + wire _T_2248 = _T_2144 & _T_1868; // @[lsu_bus_buffer.scala 409:52] + wire _T_2250 = _T_2248 & _T_1856; // @[lsu_bus_buffer.scala 409:73] + wire _T_2251 = _T_2244 | _T_2250; // @[lsu_bus_buffer.scala 408:114] + wire _T_2252 = _T_2231 & _T_2251; // @[lsu_bus_buffer.scala 406:113] + wire _T_2254 = _T_2252 | buf_age_1[0]; // @[lsu_bus_buffer.scala 409:97] + wire _T_2268 = _T_2241 & _T_1865; // @[lsu_bus_buffer.scala 408:92] + wire _T_2269 = _T_4476 | _T_2268; // @[lsu_bus_buffer.scala 407:86] + wire _T_2275 = _T_2248 & _T_1867; // @[lsu_bus_buffer.scala 409:73] + wire _T_2276 = _T_2269 | _T_2275; // @[lsu_bus_buffer.scala 408:114] + wire _T_2277 = _T_2231 & _T_2276; // @[lsu_bus_buffer.scala 406:113] + wire _T_2279 = _T_2277 | buf_age_1[1]; // @[lsu_bus_buffer.scala 409:97] + wire _T_2293 = _T_2241 & _T_1876; // @[lsu_bus_buffer.scala 408:92] + wire _T_2294 = _T_4481 | _T_2293; // @[lsu_bus_buffer.scala 407:86] + wire _T_2300 = _T_2248 & _T_1878; // @[lsu_bus_buffer.scala 409:73] + wire _T_2301 = _T_2294 | _T_2300; // @[lsu_bus_buffer.scala 408:114] + wire _T_2302 = _T_2231 & _T_2301; // @[lsu_bus_buffer.scala 406:113] + wire _T_2304 = _T_2302 | buf_age_1[2]; // @[lsu_bus_buffer.scala 409:97] + wire _T_2318 = _T_2241 & _T_1887; // @[lsu_bus_buffer.scala 408:92] + wire _T_2319 = _T_4486 | _T_2318; // @[lsu_bus_buffer.scala 407:86] + wire _T_2325 = _T_2248 & _T_1889; // @[lsu_bus_buffer.scala 409:73] + wire _T_2326 = _T_2319 | _T_2325; // @[lsu_bus_buffer.scala 408:114] + wire _T_2327 = _T_2231 & _T_2326; // @[lsu_bus_buffer.scala 406:113] + wire _T_2329 = _T_2327 | buf_age_1[3]; // @[lsu_bus_buffer.scala 409:97] + wire [2:0] _T_2331 = {_T_2329,_T_2304,_T_2279}; // @[Cat.scala 29:58] + wire _T_3921 = 2'h2 == WrPtr0_r; // @[lsu_bus_buffer.scala 444:117] + wire _T_3922 = _T_3534 & _T_3921; // @[lsu_bus_buffer.scala 444:112] + wire _T_3924 = 2'h2 == WrPtr1_r; // @[lsu_bus_buffer.scala 444:166] + wire _T_3925 = _T_3537 & _T_3924; // @[lsu_bus_buffer.scala 444:161] + wire _T_3926 = _T_3922 | _T_3925; // @[lsu_bus_buffer.scala 444:132] + wire _T_3927 = _T_853 & _T_3926; // @[lsu_bus_buffer.scala 444:63] + wire _T_3928 = 2'h2 == ibuf_tag; // @[lsu_bus_buffer.scala 444:206] + wire _T_3929 = ibuf_drain_vld & _T_3928; // @[lsu_bus_buffer.scala 444:201] + wire _T_3930 = _T_3927 | _T_3929; // @[lsu_bus_buffer.scala 444:183] + wire _T_3975 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_4020 = io_lsu_axi_b_bits_id == 3'h2; // @[lsu_bus_buffer.scala 469:73] + wire _T_4021 = bus_rsp_write & _T_4020; // @[lsu_bus_buffer.scala 469:52] + wire _T_4022 = io_lsu_axi_r_bits_id == 3'h2; // @[lsu_bus_buffer.scala 470:46] + wire [2:0] _GEN_376 = {{1'd0}, buf_ldfwdtag_2}; // @[lsu_bus_buffer.scala 471:47] + wire _T_4024 = io_lsu_axi_r_bits_id == _GEN_376; // @[lsu_bus_buffer.scala 471:47] + wire _T_4025 = buf_ldfwd[2] & _T_4024; // @[lsu_bus_buffer.scala 471:27] + wire _T_4026 = _T_4022 | _T_4025; // @[lsu_bus_buffer.scala 470:77] + wire _T_4027 = buf_dual_2 & buf_dualhi_2; // @[lsu_bus_buffer.scala 472:26] + wire _T_4029 = ~buf_write[2]; // @[lsu_bus_buffer.scala 472:44] + wire _T_4030 = _T_4027 & _T_4029; // @[lsu_bus_buffer.scala 472:42] + wire _T_4031 = _T_4030 & buf_samedw_2; // @[lsu_bus_buffer.scala 472:58] + reg [1:0] buf_dualtag_2; // @[Reg.scala 27:20] + wire [2:0] _GEN_377 = {{1'd0}, buf_dualtag_2}; // @[lsu_bus_buffer.scala 472:94] + wire _T_4032 = io_lsu_axi_r_bits_id == _GEN_377; // @[lsu_bus_buffer.scala 472:94] + wire _T_4033 = _T_4031 & _T_4032; // @[lsu_bus_buffer.scala 472:74] + wire _T_4034 = _T_4026 | _T_4033; // @[lsu_bus_buffer.scala 471:71] + wire _T_4035 = bus_rsp_read & _T_4034; // @[lsu_bus_buffer.scala 470:25] + wire _T_4036 = _T_4021 | _T_4035; // @[lsu_bus_buffer.scala 469:105] + wire _GEN_194 = _T_3975 & _T_4036; // @[Conditional.scala 39:67] + wire _GEN_213 = _T_3941 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] + wire _GEN_225 = _T_3937 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_2 = _T_3914 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] + wire _T_4062 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] + wire [3:0] _T_4072 = buf_ldfwd >> buf_dualtag_2; // @[lsu_bus_buffer.scala 484:21] + wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 484:58] + wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[lsu_bus_buffer.scala 484:58] + wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[lsu_bus_buffer.scala 484:58] + wire [2:0] _GEN_379 = {{1'd0}, _GEN_177}; // @[lsu_bus_buffer.scala 484:58] + wire _T_4074 = io_lsu_axi_r_bits_id == _GEN_379; // @[lsu_bus_buffer.scala 484:58] + wire _T_4075 = _T_4072[0] & _T_4074; // @[lsu_bus_buffer.scala 484:38] + wire _T_4076 = _T_4032 | _T_4075; // @[lsu_bus_buffer.scala 483:95] + wire _T_4077 = bus_rsp_read & _T_4076; // @[lsu_bus_buffer.scala 483:45] + wire _GEN_188 = _T_4062 & _T_4077; // @[Conditional.scala 39:67] + wire _GEN_195 = _T_3975 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] + wire _GEN_205 = _T_3941 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] + wire _GEN_218 = _T_3937 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] + wire buf_state_bus_en_2 = _T_3914 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] + wire _T_3954 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 457:49] + wire _T_3955 = _T_3954 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 457:70] + wire _T_4080 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_4083 = RspPtr == 2'h2; // @[lsu_bus_buffer.scala 489:37] + wire _T_4084 = buf_dualtag_2 == RspPtr; // @[lsu_bus_buffer.scala 489:98] + wire _T_4085 = buf_dual_2 & _T_4084; // @[lsu_bus_buffer.scala 489:80] + wire _T_4086 = _T_4083 | _T_4085; // @[lsu_bus_buffer.scala 489:65] + wire _T_4087 = _T_4086 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 489:112] + wire _T_4088 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] + wire _GEN_183 = _T_4080 ? _T_4087 : _T_4088; // @[Conditional.scala 39:67] + wire _GEN_189 = _T_4062 ? _T_3955 : _GEN_183; // @[Conditional.scala 39:67] + wire _GEN_196 = _T_3975 ? _T_3955 : _GEN_189; // @[Conditional.scala 39:67] + wire _GEN_206 = _T_3941 ? _T_3955 : _GEN_196; // @[Conditional.scala 39:67] + wire _GEN_216 = _T_3937 ? _T_3554 : _GEN_206; // @[Conditional.scala 39:67] + wire buf_state_en_2 = _T_3914 ? _T_3930 : _GEN_216; // @[Conditional.scala 40:58] + wire _T_2333 = _T_1875 & buf_state_en_2; // @[lsu_bus_buffer.scala 406:94] + wire _T_2343 = _T_2137 & _T_1878; // @[lsu_bus_buffer.scala 408:71] + wire _T_2345 = _T_2343 & _T_1854; // @[lsu_bus_buffer.scala 408:92] + wire _T_2346 = _T_4471 | _T_2345; // @[lsu_bus_buffer.scala 407:86] + wire _T_2350 = _T_2144 & _T_1879; // @[lsu_bus_buffer.scala 409:52] + wire _T_2352 = _T_2350 & _T_1856; // @[lsu_bus_buffer.scala 409:73] + wire _T_2353 = _T_2346 | _T_2352; // @[lsu_bus_buffer.scala 408:114] + wire _T_2354 = _T_2333 & _T_2353; // @[lsu_bus_buffer.scala 406:113] + wire _T_2356 = _T_2354 | buf_age_2[0]; // @[lsu_bus_buffer.scala 409:97] + wire _T_2370 = _T_2343 & _T_1865; // @[lsu_bus_buffer.scala 408:92] + wire _T_2371 = _T_4476 | _T_2370; // @[lsu_bus_buffer.scala 407:86] + wire _T_2377 = _T_2350 & _T_1867; // @[lsu_bus_buffer.scala 409:73] + wire _T_2378 = _T_2371 | _T_2377; // @[lsu_bus_buffer.scala 408:114] + wire _T_2379 = _T_2333 & _T_2378; // @[lsu_bus_buffer.scala 406:113] + wire _T_2381 = _T_2379 | buf_age_2[1]; // @[lsu_bus_buffer.scala 409:97] + wire _T_2395 = _T_2343 & _T_1876; // @[lsu_bus_buffer.scala 408:92] + wire _T_2396 = _T_4481 | _T_2395; // @[lsu_bus_buffer.scala 407:86] + wire _T_2402 = _T_2350 & _T_1878; // @[lsu_bus_buffer.scala 409:73] + wire _T_2403 = _T_2396 | _T_2402; // @[lsu_bus_buffer.scala 408:114] + wire _T_2404 = _T_2333 & _T_2403; // @[lsu_bus_buffer.scala 406:113] + wire _T_2406 = _T_2404 | buf_age_2[2]; // @[lsu_bus_buffer.scala 409:97] + wire _T_2420 = _T_2343 & _T_1887; // @[lsu_bus_buffer.scala 408:92] + wire _T_2421 = _T_4486 | _T_2420; // @[lsu_bus_buffer.scala 407:86] + wire _T_2427 = _T_2350 & _T_1889; // @[lsu_bus_buffer.scala 409:73] + wire _T_2428 = _T_2421 | _T_2427; // @[lsu_bus_buffer.scala 408:114] + wire _T_2429 = _T_2333 & _T_2428; // @[lsu_bus_buffer.scala 406:113] + wire _T_2431 = _T_2429 | buf_age_2[3]; // @[lsu_bus_buffer.scala 409:97] + wire [2:0] _T_2433 = {_T_2431,_T_2406,_T_2381}; // @[Cat.scala 29:58] + wire _T_4114 = 2'h3 == WrPtr0_r; // @[lsu_bus_buffer.scala 444:117] + wire _T_4115 = _T_3534 & _T_4114; // @[lsu_bus_buffer.scala 444:112] + wire _T_4117 = 2'h3 == WrPtr1_r; // @[lsu_bus_buffer.scala 444:166] + wire _T_4118 = _T_3537 & _T_4117; // @[lsu_bus_buffer.scala 444:161] + wire _T_4119 = _T_4115 | _T_4118; // @[lsu_bus_buffer.scala 444:132] + wire _T_4120 = _T_853 & _T_4119; // @[lsu_bus_buffer.scala 444:63] + wire _T_4121 = 2'h3 == ibuf_tag; // @[lsu_bus_buffer.scala 444:206] + wire _T_4122 = ibuf_drain_vld & _T_4121; // @[lsu_bus_buffer.scala 444:201] + wire _T_4123 = _T_4120 | _T_4122; // @[lsu_bus_buffer.scala 444:183] + wire _T_4168 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4213 = io_lsu_axi_b_bits_id == 3'h3; // @[lsu_bus_buffer.scala 469:73] + wire _T_4214 = bus_rsp_write & _T_4213; // @[lsu_bus_buffer.scala 469:52] + wire _T_4215 = io_lsu_axi_r_bits_id == 3'h3; // @[lsu_bus_buffer.scala 470:46] + wire [2:0] _GEN_380 = {{1'd0}, buf_ldfwdtag_3}; // @[lsu_bus_buffer.scala 471:47] + wire _T_4217 = io_lsu_axi_r_bits_id == _GEN_380; // @[lsu_bus_buffer.scala 471:47] + wire _T_4218 = buf_ldfwd[3] & _T_4217; // @[lsu_bus_buffer.scala 471:27] + wire _T_4219 = _T_4215 | _T_4218; // @[lsu_bus_buffer.scala 470:77] + wire _T_4220 = buf_dual_3 & buf_dualhi_3; // @[lsu_bus_buffer.scala 472:26] + wire _T_4222 = ~buf_write[3]; // @[lsu_bus_buffer.scala 472:44] + wire _T_4223 = _T_4220 & _T_4222; // @[lsu_bus_buffer.scala 472:42] + wire _T_4224 = _T_4223 & buf_samedw_3; // @[lsu_bus_buffer.scala 472:58] + reg [1:0] buf_dualtag_3; // @[Reg.scala 27:20] + wire [2:0] _GEN_381 = {{1'd0}, buf_dualtag_3}; // @[lsu_bus_buffer.scala 472:94] + wire _T_4225 = io_lsu_axi_r_bits_id == _GEN_381; // @[lsu_bus_buffer.scala 472:94] + wire _T_4226 = _T_4224 & _T_4225; // @[lsu_bus_buffer.scala 472:74] + wire _T_4227 = _T_4219 | _T_4226; // @[lsu_bus_buffer.scala 471:71] + wire _T_4228 = bus_rsp_read & _T_4227; // @[lsu_bus_buffer.scala 470:25] + wire _T_4229 = _T_4214 | _T_4228; // @[lsu_bus_buffer.scala 469:105] + wire _GEN_270 = _T_4168 & _T_4229; // @[Conditional.scala 39:67] + wire _GEN_289 = _T_4134 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] + wire _GEN_301 = _T_4130 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_3 = _T_4107 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] + wire _T_4255 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] + wire [3:0] _T_4265 = buf_ldfwd >> buf_dualtag_3; // @[lsu_bus_buffer.scala 484:21] + wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 484:58] + wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[lsu_bus_buffer.scala 484:58] + wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[lsu_bus_buffer.scala 484:58] + wire [2:0] _GEN_383 = {{1'd0}, _GEN_253}; // @[lsu_bus_buffer.scala 484:58] + wire _T_4267 = io_lsu_axi_r_bits_id == _GEN_383; // @[lsu_bus_buffer.scala 484:58] + wire _T_4268 = _T_4265[0] & _T_4267; // @[lsu_bus_buffer.scala 484:38] + wire _T_4269 = _T_4225 | _T_4268; // @[lsu_bus_buffer.scala 483:95] + wire _T_4270 = bus_rsp_read & _T_4269; // @[lsu_bus_buffer.scala 483:45] + wire _GEN_264 = _T_4255 & _T_4270; // @[Conditional.scala 39:67] + wire _GEN_271 = _T_4168 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] + wire _GEN_281 = _T_4134 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] + wire _GEN_294 = _T_4130 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] + wire buf_state_bus_en_3 = _T_4107 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] + wire _T_4147 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 457:49] + wire _T_4148 = _T_4147 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 457:70] + wire _T_4273 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4276 = RspPtr == 2'h3; // @[lsu_bus_buffer.scala 489:37] + wire _T_4277 = buf_dualtag_3 == RspPtr; // @[lsu_bus_buffer.scala 489:98] + wire _T_4278 = buf_dual_3 & _T_4277; // @[lsu_bus_buffer.scala 489:80] + wire _T_4279 = _T_4276 | _T_4278; // @[lsu_bus_buffer.scala 489:65] + wire _T_4280 = _T_4279 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 489:112] + wire _T_4281 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] + wire _GEN_259 = _T_4273 ? _T_4280 : _T_4281; // @[Conditional.scala 39:67] + wire _GEN_265 = _T_4255 ? _T_4148 : _GEN_259; // @[Conditional.scala 39:67] + wire _GEN_272 = _T_4168 ? _T_4148 : _GEN_265; // @[Conditional.scala 39:67] + wire _GEN_282 = _T_4134 ? _T_4148 : _GEN_272; // @[Conditional.scala 39:67] + wire _GEN_292 = _T_4130 ? _T_3554 : _GEN_282; // @[Conditional.scala 39:67] + wire buf_state_en_3 = _T_4107 ? _T_4123 : _GEN_292; // @[Conditional.scala 40:58] + wire _T_2435 = _T_1886 & buf_state_en_3; // @[lsu_bus_buffer.scala 406:94] + wire _T_2445 = _T_2137 & _T_1889; // @[lsu_bus_buffer.scala 408:71] + wire _T_2447 = _T_2445 & _T_1854; // @[lsu_bus_buffer.scala 408:92] + wire _T_2448 = _T_4471 | _T_2447; // @[lsu_bus_buffer.scala 407:86] + wire _T_2452 = _T_2144 & _T_1890; // @[lsu_bus_buffer.scala 409:52] + wire _T_2454 = _T_2452 & _T_1856; // @[lsu_bus_buffer.scala 409:73] + wire _T_2455 = _T_2448 | _T_2454; // @[lsu_bus_buffer.scala 408:114] + wire _T_2456 = _T_2435 & _T_2455; // @[lsu_bus_buffer.scala 406:113] + wire _T_2458 = _T_2456 | buf_age_3[0]; // @[lsu_bus_buffer.scala 409:97] + wire _T_2472 = _T_2445 & _T_1865; // @[lsu_bus_buffer.scala 408:92] + wire _T_2473 = _T_4476 | _T_2472; // @[lsu_bus_buffer.scala 407:86] + wire _T_2479 = _T_2452 & _T_1867; // @[lsu_bus_buffer.scala 409:73] + wire _T_2480 = _T_2473 | _T_2479; // @[lsu_bus_buffer.scala 408:114] + wire _T_2481 = _T_2435 & _T_2480; // @[lsu_bus_buffer.scala 406:113] + wire _T_2483 = _T_2481 | buf_age_3[1]; // @[lsu_bus_buffer.scala 409:97] + wire _T_2497 = _T_2445 & _T_1876; // @[lsu_bus_buffer.scala 408:92] + wire _T_2498 = _T_4481 | _T_2497; // @[lsu_bus_buffer.scala 407:86] + wire _T_2504 = _T_2452 & _T_1878; // @[lsu_bus_buffer.scala 409:73] + wire _T_2505 = _T_2498 | _T_2504; // @[lsu_bus_buffer.scala 408:114] + wire _T_2506 = _T_2435 & _T_2505; // @[lsu_bus_buffer.scala 406:113] + wire _T_2508 = _T_2506 | buf_age_3[2]; // @[lsu_bus_buffer.scala 409:97] + wire _T_2522 = _T_2445 & _T_1887; // @[lsu_bus_buffer.scala 408:92] + wire _T_2523 = _T_4486 | _T_2522; // @[lsu_bus_buffer.scala 407:86] + wire _T_2529 = _T_2452 & _T_1889; // @[lsu_bus_buffer.scala 409:73] + wire _T_2530 = _T_2523 | _T_2529; // @[lsu_bus_buffer.scala 408:114] + wire _T_2531 = _T_2435 & _T_2530; // @[lsu_bus_buffer.scala 406:113] + wire _T_2533 = _T_2531 | buf_age_3[3]; // @[lsu_bus_buffer.scala 409:97] + wire [2:0] _T_2535 = {_T_2533,_T_2508,_T_2483}; // @[Cat.scala 29:58] + wire _T_2799 = buf_state_0 == 3'h6; // @[lsu_bus_buffer.scala 417:47] + wire _T_2800 = _T_1853 | _T_2799; // @[lsu_bus_buffer.scala 417:32] + wire _T_2801 = ~_T_2800; // @[lsu_bus_buffer.scala 417:6] + wire _T_2809 = _T_2801 | _T_2141; // @[lsu_bus_buffer.scala 417:59] + wire _T_2816 = _T_2809 | _T_2148; // @[lsu_bus_buffer.scala 418:110] + wire _T_2817 = _T_2129 & _T_2816; // @[lsu_bus_buffer.scala 416:112] + wire _T_2821 = buf_state_1 == 3'h6; // @[lsu_bus_buffer.scala 417:47] + wire _T_2822 = _T_1864 | _T_2821; // @[lsu_bus_buffer.scala 417:32] + wire _T_2823 = ~_T_2822; // @[lsu_bus_buffer.scala 417:6] + wire _T_2831 = _T_2823 | _T_2166; // @[lsu_bus_buffer.scala 417:59] + wire _T_2838 = _T_2831 | _T_2173; // @[lsu_bus_buffer.scala 418:110] + wire _T_2839 = _T_2129 & _T_2838; // @[lsu_bus_buffer.scala 416:112] + wire _T_2843 = buf_state_2 == 3'h6; // @[lsu_bus_buffer.scala 417:47] + wire _T_2844 = _T_1875 | _T_2843; // @[lsu_bus_buffer.scala 417:32] + wire _T_2845 = ~_T_2844; // @[lsu_bus_buffer.scala 417:6] + wire _T_2853 = _T_2845 | _T_2191; // @[lsu_bus_buffer.scala 417:59] + wire _T_2860 = _T_2853 | _T_2198; // @[lsu_bus_buffer.scala 418:110] + wire _T_2861 = _T_2129 & _T_2860; // @[lsu_bus_buffer.scala 416:112] + wire _T_2865 = buf_state_3 == 3'h6; // @[lsu_bus_buffer.scala 417:47] + wire _T_2866 = _T_1886 | _T_2865; // @[lsu_bus_buffer.scala 417:32] + wire _T_2867 = ~_T_2866; // @[lsu_bus_buffer.scala 417:6] + wire _T_2875 = _T_2867 | _T_2216; // @[lsu_bus_buffer.scala 417:59] + wire _T_2882 = _T_2875 | _T_2223; // @[lsu_bus_buffer.scala 418:110] + wire _T_2883 = _T_2129 & _T_2882; // @[lsu_bus_buffer.scala 416:112] + wire [3:0] buf_rspage_set_0 = {_T_2883,_T_2861,_T_2839,_T_2817}; // @[Cat.scala 29:58] + wire _T_2900 = _T_2801 | _T_2243; // @[lsu_bus_buffer.scala 417:59] + wire _T_2907 = _T_2900 | _T_2250; // @[lsu_bus_buffer.scala 418:110] + wire _T_2908 = _T_2231 & _T_2907; // @[lsu_bus_buffer.scala 416:112] + wire _T_2922 = _T_2823 | _T_2268; // @[lsu_bus_buffer.scala 417:59] + wire _T_2929 = _T_2922 | _T_2275; // @[lsu_bus_buffer.scala 418:110] + wire _T_2930 = _T_2231 & _T_2929; // @[lsu_bus_buffer.scala 416:112] + wire _T_2944 = _T_2845 | _T_2293; // @[lsu_bus_buffer.scala 417:59] + wire _T_2951 = _T_2944 | _T_2300; // @[lsu_bus_buffer.scala 418:110] + wire _T_2952 = _T_2231 & _T_2951; // @[lsu_bus_buffer.scala 416:112] + wire _T_2966 = _T_2867 | _T_2318; // @[lsu_bus_buffer.scala 417:59] + wire _T_2973 = _T_2966 | _T_2325; // @[lsu_bus_buffer.scala 418:110] + wire _T_2974 = _T_2231 & _T_2973; // @[lsu_bus_buffer.scala 416:112] + wire [3:0] buf_rspage_set_1 = {_T_2974,_T_2952,_T_2930,_T_2908}; // @[Cat.scala 29:58] + wire _T_2991 = _T_2801 | _T_2345; // @[lsu_bus_buffer.scala 417:59] + wire _T_2998 = _T_2991 | _T_2352; // @[lsu_bus_buffer.scala 418:110] + wire _T_2999 = _T_2333 & _T_2998; // @[lsu_bus_buffer.scala 416:112] + wire _T_3013 = _T_2823 | _T_2370; // @[lsu_bus_buffer.scala 417:59] + wire _T_3020 = _T_3013 | _T_2377; // @[lsu_bus_buffer.scala 418:110] + wire _T_3021 = _T_2333 & _T_3020; // @[lsu_bus_buffer.scala 416:112] + wire _T_3035 = _T_2845 | _T_2395; // @[lsu_bus_buffer.scala 417:59] + wire _T_3042 = _T_3035 | _T_2402; // @[lsu_bus_buffer.scala 418:110] + wire _T_3043 = _T_2333 & _T_3042; // @[lsu_bus_buffer.scala 416:112] + wire _T_3057 = _T_2867 | _T_2420; // @[lsu_bus_buffer.scala 417:59] + wire _T_3064 = _T_3057 | _T_2427; // @[lsu_bus_buffer.scala 418:110] + wire _T_3065 = _T_2333 & _T_3064; // @[lsu_bus_buffer.scala 416:112] + wire [3:0] buf_rspage_set_2 = {_T_3065,_T_3043,_T_3021,_T_2999}; // @[Cat.scala 29:58] + wire _T_3082 = _T_2801 | _T_2447; // @[lsu_bus_buffer.scala 417:59] + wire _T_3089 = _T_3082 | _T_2454; // @[lsu_bus_buffer.scala 418:110] + wire _T_3090 = _T_2435 & _T_3089; // @[lsu_bus_buffer.scala 416:112] + wire _T_3104 = _T_2823 | _T_2472; // @[lsu_bus_buffer.scala 417:59] + wire _T_3111 = _T_3104 | _T_2479; // @[lsu_bus_buffer.scala 418:110] + wire _T_3112 = _T_2435 & _T_3111; // @[lsu_bus_buffer.scala 416:112] + wire _T_3126 = _T_2845 | _T_2497; // @[lsu_bus_buffer.scala 417:59] + wire _T_3133 = _T_3126 | _T_2504; // @[lsu_bus_buffer.scala 418:110] + wire _T_3134 = _T_2435 & _T_3133; // @[lsu_bus_buffer.scala 416:112] + wire _T_3148 = _T_2867 | _T_2522; // @[lsu_bus_buffer.scala 417:59] + wire _T_3155 = _T_3148 | _T_2529; // @[lsu_bus_buffer.scala 418:110] + wire _T_3156 = _T_2435 & _T_3155; // @[lsu_bus_buffer.scala 416:112] + wire [3:0] buf_rspage_set_3 = {_T_3156,_T_3134,_T_3112,_T_3090}; // @[Cat.scala 29:58] + wire _T_3241 = _T_2865 | _T_1886; // @[lsu_bus_buffer.scala 421:110] + wire _T_3242 = ~_T_3241; // @[lsu_bus_buffer.scala 421:84] + wire _T_3243 = buf_rspageQ_0[3] & _T_3242; // @[lsu_bus_buffer.scala 421:82] + wire _T_3235 = _T_2843 | _T_1875; // @[lsu_bus_buffer.scala 421:110] + wire _T_3236 = ~_T_3235; // @[lsu_bus_buffer.scala 421:84] + wire _T_3237 = buf_rspageQ_0[2] & _T_3236; // @[lsu_bus_buffer.scala 421:82] + wire _T_3229 = _T_2821 | _T_1864; // @[lsu_bus_buffer.scala 421:110] + wire _T_3230 = ~_T_3229; // @[lsu_bus_buffer.scala 421:84] + wire _T_3231 = buf_rspageQ_0[1] & _T_3230; // @[lsu_bus_buffer.scala 421:82] + wire _T_3223 = _T_2799 | _T_1853; // @[lsu_bus_buffer.scala 421:110] + wire _T_3224 = ~_T_3223; // @[lsu_bus_buffer.scala 421:84] + wire _T_3225 = buf_rspageQ_0[0] & _T_3224; // @[lsu_bus_buffer.scala 421:82] + wire [3:0] buf_rspage_0 = {_T_3243,_T_3237,_T_3231,_T_3225}; // @[Cat.scala 29:58] + wire _T_3162 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[lsu_bus_buffer.scala 420:88] + wire _T_3165 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[lsu_bus_buffer.scala 420:88] + wire _T_3168 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[lsu_bus_buffer.scala 420:88] + wire _T_3171 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[lsu_bus_buffer.scala 420:88] + wire [2:0] _T_3173 = {_T_3171,_T_3168,_T_3165}; // @[Cat.scala 29:58] + wire _T_3270 = buf_rspageQ_1[3] & _T_3242; // @[lsu_bus_buffer.scala 421:82] + wire _T_3264 = buf_rspageQ_1[2] & _T_3236; // @[lsu_bus_buffer.scala 421:82] + wire _T_3258 = buf_rspageQ_1[1] & _T_3230; // @[lsu_bus_buffer.scala 421:82] + wire _T_3252 = buf_rspageQ_1[0] & _T_3224; // @[lsu_bus_buffer.scala 421:82] + wire [3:0] buf_rspage_1 = {_T_3270,_T_3264,_T_3258,_T_3252}; // @[Cat.scala 29:58] + wire _T_3177 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[lsu_bus_buffer.scala 420:88] + wire _T_3180 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[lsu_bus_buffer.scala 420:88] + wire _T_3183 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[lsu_bus_buffer.scala 420:88] + wire _T_3186 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[lsu_bus_buffer.scala 420:88] + wire [2:0] _T_3188 = {_T_3186,_T_3183,_T_3180}; // @[Cat.scala 29:58] + wire _T_3297 = buf_rspageQ_2[3] & _T_3242; // @[lsu_bus_buffer.scala 421:82] + wire _T_3291 = buf_rspageQ_2[2] & _T_3236; // @[lsu_bus_buffer.scala 421:82] + wire _T_3285 = buf_rspageQ_2[1] & _T_3230; // @[lsu_bus_buffer.scala 421:82] + wire _T_3279 = buf_rspageQ_2[0] & _T_3224; // @[lsu_bus_buffer.scala 421:82] + wire [3:0] buf_rspage_2 = {_T_3297,_T_3291,_T_3285,_T_3279}; // @[Cat.scala 29:58] + wire _T_3192 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[lsu_bus_buffer.scala 420:88] + wire _T_3195 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[lsu_bus_buffer.scala 420:88] + wire _T_3198 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[lsu_bus_buffer.scala 420:88] + wire _T_3201 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[lsu_bus_buffer.scala 420:88] + wire [2:0] _T_3203 = {_T_3201,_T_3198,_T_3195}; // @[Cat.scala 29:58] + wire _T_3324 = buf_rspageQ_3[3] & _T_3242; // @[lsu_bus_buffer.scala 421:82] + wire _T_3318 = buf_rspageQ_3[2] & _T_3236; // @[lsu_bus_buffer.scala 421:82] + wire _T_3312 = buf_rspageQ_3[1] & _T_3230; // @[lsu_bus_buffer.scala 421:82] + wire _T_3306 = buf_rspageQ_3[0] & _T_3224; // @[lsu_bus_buffer.scala 421:82] + wire [3:0] buf_rspage_3 = {_T_3324,_T_3318,_T_3312,_T_3306}; // @[Cat.scala 29:58] + wire _T_3207 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[lsu_bus_buffer.scala 420:88] + wire _T_3210 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[lsu_bus_buffer.scala 420:88] + wire _T_3213 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[lsu_bus_buffer.scala 420:88] + wire _T_3216 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[lsu_bus_buffer.scala 420:88] + wire [2:0] _T_3218 = {_T_3216,_T_3213,_T_3210}; // @[Cat.scala 29:58] + wire _T_3329 = ibuf_drain_vld & _T_1854; // @[lsu_bus_buffer.scala 426:63] + wire _T_3331 = ibuf_drain_vld & _T_1865; // @[lsu_bus_buffer.scala 426:63] + wire _T_3333 = ibuf_drain_vld & _T_1876; // @[lsu_bus_buffer.scala 426:63] + wire _T_3335 = ibuf_drain_vld & _T_1887; // @[lsu_bus_buffer.scala 426:63] + wire [3:0] ibuf_drainvec_vld = {_T_3335,_T_3333,_T_3331,_T_3329}; // @[Cat.scala 29:58] + wire _T_3343 = _T_3537 & _T_1857; // @[lsu_bus_buffer.scala 428:35] + wire _T_3352 = _T_3537 & _T_1868; // @[lsu_bus_buffer.scala 428:35] + wire _T_3361 = _T_3537 & _T_1879; // @[lsu_bus_buffer.scala 428:35] + wire _T_3370 = _T_3537 & _T_1890; // @[lsu_bus_buffer.scala 428:35] + wire _T_3400 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 430:45] + wire _T_3402 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 430:45] + wire _T_3404 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 430:45] + wire _T_3406 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 430:45] + wire [3:0] buf_dual_in = {_T_3406,_T_3404,_T_3402,_T_3400}; // @[Cat.scala 29:58] + wire _T_3411 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 431:47] + wire _T_3413 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 431:47] + wire _T_3415 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 431:47] + wire _T_3417 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 431:47] + wire [3:0] buf_samedw_in = {_T_3417,_T_3415,_T_3413,_T_3411}; // @[Cat.scala 29:58] + wire _T_3422 = ibuf_nomerge | ibuf_force_drain; // @[lsu_bus_buffer.scala 432:84] + wire _T_3423 = ibuf_drainvec_vld[0] ? _T_3422 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 432:48] + wire _T_3426 = ibuf_drainvec_vld[1] ? _T_3422 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 432:48] + wire _T_3429 = ibuf_drainvec_vld[2] ? _T_3422 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 432:48] + wire _T_3432 = ibuf_drainvec_vld[3] ? _T_3422 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 432:48] + wire [3:0] buf_nomerge_in = {_T_3432,_T_3429,_T_3426,_T_3423}; // @[Cat.scala 29:58] + wire _T_3440 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3343; // @[lsu_bus_buffer.scala 433:47] + wire _T_3445 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3352; // @[lsu_bus_buffer.scala 433:47] + wire _T_3450 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3361; // @[lsu_bus_buffer.scala 433:47] + wire _T_3455 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3370; // @[lsu_bus_buffer.scala 433:47] + wire [3:0] buf_dualhi_in = {_T_3455,_T_3450,_T_3445,_T_3440}; // @[Cat.scala 29:58] + wire _T_3484 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 435:51] + wire _T_3486 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 435:51] + wire _T_3488 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 435:51] + wire _T_3490 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 435:51] + wire [3:0] buf_sideeffect_in = {_T_3490,_T_3488,_T_3486,_T_3484}; // @[Cat.scala 29:58] + wire _T_3495 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 436:47] + wire _T_3497 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 436:47] + wire _T_3499 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 436:47] + wire _T_3501 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 436:47] + wire [3:0] buf_unsign_in = {_T_3501,_T_3499,_T_3497,_T_3495}; // @[Cat.scala 29:58] + wire _T_3518 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 438:46] + wire _T_3520 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 438:46] + wire _T_3522 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 438:46] + wire _T_3524 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 438:46] + wire [3:0] buf_write_in = {_T_3524,_T_3522,_T_3520,_T_3518}; // @[Cat.scala 29:58] + wire _T_3557 = obuf_nosend & bus_rsp_read; // @[lsu_bus_buffer.scala 454:89] + wire _T_3559 = _T_3557 & _T_1351; // @[lsu_bus_buffer.scala 454:104] + wire _T_3572 = buf_state_en_0 & _T_3643; // @[lsu_bus_buffer.scala 459:44] + wire _T_3573 = _T_3572 & obuf_nosend; // @[lsu_bus_buffer.scala 459:60] + wire _T_3575 = _T_3573 & _T_1333; // @[lsu_bus_buffer.scala 459:74] + wire _T_3578 = _T_3568 & obuf_nosend; // @[lsu_bus_buffer.scala 461:67] + wire _T_3579 = _T_3578 & bus_rsp_read; // @[lsu_bus_buffer.scala 461:81] + wire _T_4872 = io_lsu_axi_r_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 565:64] + wire bus_rsp_read_error = bus_rsp_read & _T_4872; // @[lsu_bus_buffer.scala 565:38] + wire _T_3582 = _T_3578 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 462:82] + wire _T_3657 = bus_rsp_read_error & _T_3636; // @[lsu_bus_buffer.scala 476:91] + wire _T_3659 = bus_rsp_read_error & buf_ldfwd[0]; // @[lsu_bus_buffer.scala 477:31] + wire _T_3661 = _T_3659 & _T_3638; // @[lsu_bus_buffer.scala 477:46] + wire _T_3662 = _T_3657 | _T_3661; // @[lsu_bus_buffer.scala 476:143] + wire _T_4870 = io_lsu_axi_b_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 564:66] + wire bus_rsp_write_error = bus_rsp_write & _T_4870; // @[lsu_bus_buffer.scala 564:40] + wire _T_3665 = bus_rsp_write_error & _T_3634; // @[lsu_bus_buffer.scala 478:53] + wire _T_3666 = _T_3662 | _T_3665; // @[lsu_bus_buffer.scala 477:88] + wire _T_3667 = _T_3568 & _T_3666; // @[lsu_bus_buffer.scala 476:68] + wire _GEN_46 = _T_3589 & _T_3667; // @[Conditional.scala 39:67] + wire _GEN_59 = _T_3555 ? _T_3582 : _GEN_46; // @[Conditional.scala 39:67] + wire _GEN_71 = _T_3551 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] + wire buf_error_en_0 = _T_3528 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] + wire _T_3592 = ~bus_rsp_write_error; // @[lsu_bus_buffer.scala 466:73] + wire _T_3593 = buf_write[0] & _T_3592; // @[lsu_bus_buffer.scala 466:71] + wire _T_3594 = io_dec_tlu_force_halt | _T_3593; // @[lsu_bus_buffer.scala 466:55] + wire _T_3596 = ~buf_samedw_0; // @[lsu_bus_buffer.scala 467:30] + wire _T_3597 = buf_dual_0 & _T_3596; // @[lsu_bus_buffer.scala 467:28] + wire _T_3600 = _T_3597 & _T_3643; // @[lsu_bus_buffer.scala 467:45] + wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 467:90] + wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[lsu_bus_buffer.scala 467:90] + wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[lsu_bus_buffer.scala 467:90] + wire _T_3601 = _GEN_21 != 3'h4; // @[lsu_bus_buffer.scala 467:90] + wire _T_3602 = _T_3600 & _T_3601; // @[lsu_bus_buffer.scala 467:61] + wire _T_4494 = _T_2746 | _T_2743; // @[lsu_bus_buffer.scala 525:93] + wire _T_4495 = _T_4494 | _T_2740; // @[lsu_bus_buffer.scala 525:93] + wire any_done_wait_state = _T_4495 | _T_2737; // @[lsu_bus_buffer.scala 525:93] + wire _T_3604 = buf_ldfwd[0] | any_done_wait_state; // @[lsu_bus_buffer.scala 468:31] + wire _T_3610 = buf_dualtag_0 == 2'h0; // @[lsu_bus_buffer.scala 57:118] + wire _T_3612 = buf_dualtag_0 == 2'h1; // @[lsu_bus_buffer.scala 57:118] + wire _T_3614 = buf_dualtag_0 == 2'h2; // @[lsu_bus_buffer.scala 57:118] + wire _T_3616 = buf_dualtag_0 == 2'h3; // @[lsu_bus_buffer.scala 57:118] + wire _T_3618 = _T_3610 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3619 = _T_3612 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3620 = _T_3614 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3621 = _T_3616 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3622 = _T_3618 | _T_3619; // @[Mux.scala 27:72] + wire _T_3623 = _T_3622 | _T_3620; // @[Mux.scala 27:72] + wire _T_3624 = _T_3623 | _T_3621; // @[Mux.scala 27:72] + wire _T_3626 = _T_3600 & _T_3624; // @[lsu_bus_buffer.scala 468:101] + wire _T_3627 = _GEN_21 == 3'h4; // @[lsu_bus_buffer.scala 468:167] + wire _T_3628 = _T_3626 & _T_3627; // @[lsu_bus_buffer.scala 468:138] + wire _T_3629 = _T_3628 & any_done_wait_state; // @[lsu_bus_buffer.scala 468:187] + wire _T_3630 = _T_3604 | _T_3629; // @[lsu_bus_buffer.scala 468:53] + wire _T_3653 = buf_state_bus_en_0 & bus_rsp_read; // @[lsu_bus_buffer.scala 475:47] + wire _T_3654 = _T_3653 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 475:62] + wire _T_3668 = ~buf_error_en_0; // @[lsu_bus_buffer.scala 479:50] + wire _T_3669 = buf_state_en_0 & _T_3668; // @[lsu_bus_buffer.scala 479:48] + wire _T_3681 = buf_ldfwd[0] | _T_3686[0]; // @[lsu_bus_buffer.scala 482:90] + wire _T_3682 = _T_3681 | any_done_wait_state; // @[lsu_bus_buffer.scala 482:118] + wire _GEN_29 = _T_3702 & buf_state_en_0; // @[Conditional.scala 39:67] + wire _GEN_32 = _T_3694 ? 1'h0 : _T_3702; // @[Conditional.scala 39:67] + wire _GEN_34 = _T_3694 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] + wire _GEN_38 = _T_3676 ? 1'h0 : _GEN_32; // @[Conditional.scala 39:67] + wire _GEN_40 = _T_3676 ? 1'h0 : _GEN_34; // @[Conditional.scala 39:67] + wire _GEN_45 = _T_3589 & _T_3654; // @[Conditional.scala 39:67] + wire _GEN_48 = _T_3589 ? 1'h0 : _GEN_38; // @[Conditional.scala 39:67] + wire _GEN_50 = _T_3589 ? 1'h0 : _GEN_40; // @[Conditional.scala 39:67] + wire _GEN_56 = _T_3555 ? _T_3575 : _GEN_50; // @[Conditional.scala 39:67] + wire _GEN_58 = _T_3555 ? _T_3579 : _GEN_45; // @[Conditional.scala 39:67] + wire _GEN_62 = _T_3555 ? 1'h0 : _GEN_48; // @[Conditional.scala 39:67] + wire _GEN_68 = _T_3551 ? 1'h0 : _GEN_56; // @[Conditional.scala 39:67] + wire _GEN_70 = _T_3551 ? 1'h0 : _GEN_58; // @[Conditional.scala 39:67] + wire _GEN_74 = _T_3551 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] + wire buf_wr_en_0 = _T_3528 & buf_state_en_0; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_0 = _T_3528 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] + wire buf_rst_0 = _T_3528 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] + wire _T_3765 = buf_state_en_1 & _T_3836; // @[lsu_bus_buffer.scala 459:44] + wire _T_3766 = _T_3765 & obuf_nosend; // @[lsu_bus_buffer.scala 459:60] + wire _T_3768 = _T_3766 & _T_1333; // @[lsu_bus_buffer.scala 459:74] + wire _T_3771 = _T_3761 & obuf_nosend; // @[lsu_bus_buffer.scala 461:67] + wire _T_3772 = _T_3771 & bus_rsp_read; // @[lsu_bus_buffer.scala 461:81] + wire _T_3775 = _T_3771 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 462:82] + wire _T_3850 = bus_rsp_read_error & _T_3829; // @[lsu_bus_buffer.scala 476:91] + wire _T_3852 = bus_rsp_read_error & buf_ldfwd[1]; // @[lsu_bus_buffer.scala 477:31] + wire _T_3854 = _T_3852 & _T_3831; // @[lsu_bus_buffer.scala 477:46] + wire _T_3855 = _T_3850 | _T_3854; // @[lsu_bus_buffer.scala 476:143] + wire _T_3858 = bus_rsp_write_error & _T_3827; // @[lsu_bus_buffer.scala 478:53] + wire _T_3859 = _T_3855 | _T_3858; // @[lsu_bus_buffer.scala 477:88] + wire _T_3860 = _T_3761 & _T_3859; // @[lsu_bus_buffer.scala 476:68] + wire _GEN_122 = _T_3782 & _T_3860; // @[Conditional.scala 39:67] + wire _GEN_135 = _T_3748 ? _T_3775 : _GEN_122; // @[Conditional.scala 39:67] + wire _GEN_147 = _T_3744 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] + wire buf_error_en_1 = _T_3721 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] + wire _T_3786 = buf_write[1] & _T_3592; // @[lsu_bus_buffer.scala 466:71] + wire _T_3787 = io_dec_tlu_force_halt | _T_3786; // @[lsu_bus_buffer.scala 466:55] + wire _T_3789 = ~buf_samedw_1; // @[lsu_bus_buffer.scala 467:30] + wire _T_3790 = buf_dual_1 & _T_3789; // @[lsu_bus_buffer.scala 467:28] + wire _T_3793 = _T_3790 & _T_3836; // @[lsu_bus_buffer.scala 467:45] + wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 467:90] + wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[lsu_bus_buffer.scala 467:90] + wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[lsu_bus_buffer.scala 467:90] + wire _T_3794 = _GEN_97 != 3'h4; // @[lsu_bus_buffer.scala 467:90] + wire _T_3795 = _T_3793 & _T_3794; // @[lsu_bus_buffer.scala 467:61] + wire _T_3797 = buf_ldfwd[1] | any_done_wait_state; // @[lsu_bus_buffer.scala 468:31] + wire _T_3803 = buf_dualtag_1 == 2'h0; // @[lsu_bus_buffer.scala 57:118] + wire _T_3805 = buf_dualtag_1 == 2'h1; // @[lsu_bus_buffer.scala 57:118] + wire _T_3807 = buf_dualtag_1 == 2'h2; // @[lsu_bus_buffer.scala 57:118] + wire _T_3809 = buf_dualtag_1 == 2'h3; // @[lsu_bus_buffer.scala 57:118] + wire _T_3811 = _T_3803 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3812 = _T_3805 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3813 = _T_3807 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3814 = _T_3809 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3815 = _T_3811 | _T_3812; // @[Mux.scala 27:72] + wire _T_3816 = _T_3815 | _T_3813; // @[Mux.scala 27:72] + wire _T_3817 = _T_3816 | _T_3814; // @[Mux.scala 27:72] + wire _T_3819 = _T_3793 & _T_3817; // @[lsu_bus_buffer.scala 468:101] + wire _T_3820 = _GEN_97 == 3'h4; // @[lsu_bus_buffer.scala 468:167] + wire _T_3821 = _T_3819 & _T_3820; // @[lsu_bus_buffer.scala 468:138] + wire _T_3822 = _T_3821 & any_done_wait_state; // @[lsu_bus_buffer.scala 468:187] + wire _T_3823 = _T_3797 | _T_3822; // @[lsu_bus_buffer.scala 468:53] + wire _T_3846 = buf_state_bus_en_1 & bus_rsp_read; // @[lsu_bus_buffer.scala 475:47] + wire _T_3847 = _T_3846 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 475:62] + wire _T_3861 = ~buf_error_en_1; // @[lsu_bus_buffer.scala 479:50] + wire _T_3862 = buf_state_en_1 & _T_3861; // @[lsu_bus_buffer.scala 479:48] + wire _T_3874 = buf_ldfwd[1] | _T_3879[0]; // @[lsu_bus_buffer.scala 482:90] + wire _T_3875 = _T_3874 | any_done_wait_state; // @[lsu_bus_buffer.scala 482:118] + wire _GEN_105 = _T_3895 & buf_state_en_1; // @[Conditional.scala 39:67] + wire _GEN_108 = _T_3887 ? 1'h0 : _T_3895; // @[Conditional.scala 39:67] + wire _GEN_110 = _T_3887 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] + wire _GEN_114 = _T_3869 ? 1'h0 : _GEN_108; // @[Conditional.scala 39:67] + wire _GEN_116 = _T_3869 ? 1'h0 : _GEN_110; // @[Conditional.scala 39:67] + wire _GEN_121 = _T_3782 & _T_3847; // @[Conditional.scala 39:67] + wire _GEN_124 = _T_3782 ? 1'h0 : _GEN_114; // @[Conditional.scala 39:67] + wire _GEN_126 = _T_3782 ? 1'h0 : _GEN_116; // @[Conditional.scala 39:67] + wire _GEN_132 = _T_3748 ? _T_3768 : _GEN_126; // @[Conditional.scala 39:67] + wire _GEN_134 = _T_3748 ? _T_3772 : _GEN_121; // @[Conditional.scala 39:67] + wire _GEN_138 = _T_3748 ? 1'h0 : _GEN_124; // @[Conditional.scala 39:67] + wire _GEN_144 = _T_3744 ? 1'h0 : _GEN_132; // @[Conditional.scala 39:67] + wire _GEN_146 = _T_3744 ? 1'h0 : _GEN_134; // @[Conditional.scala 39:67] + wire _GEN_150 = _T_3744 ? 1'h0 : _GEN_138; // @[Conditional.scala 39:67] + wire buf_wr_en_1 = _T_3721 & buf_state_en_1; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_1 = _T_3721 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] + wire buf_rst_1 = _T_3721 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] + wire _T_3958 = buf_state_en_2 & _T_4029; // @[lsu_bus_buffer.scala 459:44] + wire _T_3959 = _T_3958 & obuf_nosend; // @[lsu_bus_buffer.scala 459:60] + wire _T_3961 = _T_3959 & _T_1333; // @[lsu_bus_buffer.scala 459:74] + wire _T_3964 = _T_3954 & obuf_nosend; // @[lsu_bus_buffer.scala 461:67] + wire _T_3965 = _T_3964 & bus_rsp_read; // @[lsu_bus_buffer.scala 461:81] + wire _T_3968 = _T_3964 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 462:82] + wire _T_4043 = bus_rsp_read_error & _T_4022; // @[lsu_bus_buffer.scala 476:91] + wire _T_4045 = bus_rsp_read_error & buf_ldfwd[2]; // @[lsu_bus_buffer.scala 477:31] + wire _T_4047 = _T_4045 & _T_4024; // @[lsu_bus_buffer.scala 477:46] + wire _T_4048 = _T_4043 | _T_4047; // @[lsu_bus_buffer.scala 476:143] + wire _T_4051 = bus_rsp_write_error & _T_4020; // @[lsu_bus_buffer.scala 478:53] + wire _T_4052 = _T_4048 | _T_4051; // @[lsu_bus_buffer.scala 477:88] + wire _T_4053 = _T_3954 & _T_4052; // @[lsu_bus_buffer.scala 476:68] + wire _GEN_198 = _T_3975 & _T_4053; // @[Conditional.scala 39:67] + wire _GEN_211 = _T_3941 ? _T_3968 : _GEN_198; // @[Conditional.scala 39:67] + wire _GEN_223 = _T_3937 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] + wire buf_error_en_2 = _T_3914 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] + wire _T_3979 = buf_write[2] & _T_3592; // @[lsu_bus_buffer.scala 466:71] + wire _T_3980 = io_dec_tlu_force_halt | _T_3979; // @[lsu_bus_buffer.scala 466:55] + wire _T_3982 = ~buf_samedw_2; // @[lsu_bus_buffer.scala 467:30] + wire _T_3983 = buf_dual_2 & _T_3982; // @[lsu_bus_buffer.scala 467:28] + wire _T_3986 = _T_3983 & _T_4029; // @[lsu_bus_buffer.scala 467:45] + wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 467:90] + wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[lsu_bus_buffer.scala 467:90] + wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[lsu_bus_buffer.scala 467:90] + wire _T_3987 = _GEN_173 != 3'h4; // @[lsu_bus_buffer.scala 467:90] + wire _T_3988 = _T_3986 & _T_3987; // @[lsu_bus_buffer.scala 467:61] + wire _T_3990 = buf_ldfwd[2] | any_done_wait_state; // @[lsu_bus_buffer.scala 468:31] + wire _T_3996 = buf_dualtag_2 == 2'h0; // @[lsu_bus_buffer.scala 57:118] + wire _T_3998 = buf_dualtag_2 == 2'h1; // @[lsu_bus_buffer.scala 57:118] + wire _T_4000 = buf_dualtag_2 == 2'h2; // @[lsu_bus_buffer.scala 57:118] + wire _T_4002 = buf_dualtag_2 == 2'h3; // @[lsu_bus_buffer.scala 57:118] + wire _T_4004 = _T_3996 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_4005 = _T_3998 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_4006 = _T_4000 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_4007 = _T_4002 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_4008 = _T_4004 | _T_4005; // @[Mux.scala 27:72] + wire _T_4009 = _T_4008 | _T_4006; // @[Mux.scala 27:72] + wire _T_4010 = _T_4009 | _T_4007; // @[Mux.scala 27:72] + wire _T_4012 = _T_3986 & _T_4010; // @[lsu_bus_buffer.scala 468:101] + wire _T_4013 = _GEN_173 == 3'h4; // @[lsu_bus_buffer.scala 468:167] + wire _T_4014 = _T_4012 & _T_4013; // @[lsu_bus_buffer.scala 468:138] + wire _T_4015 = _T_4014 & any_done_wait_state; // @[lsu_bus_buffer.scala 468:187] + wire _T_4016 = _T_3990 | _T_4015; // @[lsu_bus_buffer.scala 468:53] + wire _T_4039 = buf_state_bus_en_2 & bus_rsp_read; // @[lsu_bus_buffer.scala 475:47] + wire _T_4040 = _T_4039 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 475:62] + wire _T_4054 = ~buf_error_en_2; // @[lsu_bus_buffer.scala 479:50] + wire _T_4055 = buf_state_en_2 & _T_4054; // @[lsu_bus_buffer.scala 479:48] + wire _T_4067 = buf_ldfwd[2] | _T_4072[0]; // @[lsu_bus_buffer.scala 482:90] + wire _T_4068 = _T_4067 | any_done_wait_state; // @[lsu_bus_buffer.scala 482:118] + wire _GEN_181 = _T_4088 & buf_state_en_2; // @[Conditional.scala 39:67] + wire _GEN_184 = _T_4080 ? 1'h0 : _T_4088; // @[Conditional.scala 39:67] + wire _GEN_186 = _T_4080 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] + wire _GEN_190 = _T_4062 ? 1'h0 : _GEN_184; // @[Conditional.scala 39:67] + wire _GEN_192 = _T_4062 ? 1'h0 : _GEN_186; // @[Conditional.scala 39:67] + wire _GEN_197 = _T_3975 & _T_4040; // @[Conditional.scala 39:67] + wire _GEN_200 = _T_3975 ? 1'h0 : _GEN_190; // @[Conditional.scala 39:67] + wire _GEN_202 = _T_3975 ? 1'h0 : _GEN_192; // @[Conditional.scala 39:67] + wire _GEN_208 = _T_3941 ? _T_3961 : _GEN_202; // @[Conditional.scala 39:67] + wire _GEN_210 = _T_3941 ? _T_3965 : _GEN_197; // @[Conditional.scala 39:67] + wire _GEN_214 = _T_3941 ? 1'h0 : _GEN_200; // @[Conditional.scala 39:67] + wire _GEN_220 = _T_3937 ? 1'h0 : _GEN_208; // @[Conditional.scala 39:67] + wire _GEN_222 = _T_3937 ? 1'h0 : _GEN_210; // @[Conditional.scala 39:67] + wire _GEN_226 = _T_3937 ? 1'h0 : _GEN_214; // @[Conditional.scala 39:67] + wire buf_wr_en_2 = _T_3914 & buf_state_en_2; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_2 = _T_3914 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] + wire buf_rst_2 = _T_3914 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] + wire _T_4151 = buf_state_en_3 & _T_4222; // @[lsu_bus_buffer.scala 459:44] + wire _T_4152 = _T_4151 & obuf_nosend; // @[lsu_bus_buffer.scala 459:60] + wire _T_4154 = _T_4152 & _T_1333; // @[lsu_bus_buffer.scala 459:74] + wire _T_4157 = _T_4147 & obuf_nosend; // @[lsu_bus_buffer.scala 461:67] + wire _T_4158 = _T_4157 & bus_rsp_read; // @[lsu_bus_buffer.scala 461:81] + wire _T_4161 = _T_4157 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 462:82] + wire _T_4236 = bus_rsp_read_error & _T_4215; // @[lsu_bus_buffer.scala 476:91] + wire _T_4238 = bus_rsp_read_error & buf_ldfwd[3]; // @[lsu_bus_buffer.scala 477:31] + wire _T_4240 = _T_4238 & _T_4217; // @[lsu_bus_buffer.scala 477:46] + wire _T_4241 = _T_4236 | _T_4240; // @[lsu_bus_buffer.scala 476:143] + wire _T_4244 = bus_rsp_write_error & _T_4213; // @[lsu_bus_buffer.scala 478:53] + wire _T_4245 = _T_4241 | _T_4244; // @[lsu_bus_buffer.scala 477:88] + wire _T_4246 = _T_4147 & _T_4245; // @[lsu_bus_buffer.scala 476:68] + wire _GEN_274 = _T_4168 & _T_4246; // @[Conditional.scala 39:67] + wire _GEN_287 = _T_4134 ? _T_4161 : _GEN_274; // @[Conditional.scala 39:67] + wire _GEN_299 = _T_4130 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] + wire buf_error_en_3 = _T_4107 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] + wire _T_4172 = buf_write[3] & _T_3592; // @[lsu_bus_buffer.scala 466:71] + wire _T_4173 = io_dec_tlu_force_halt | _T_4172; // @[lsu_bus_buffer.scala 466:55] + wire _T_4175 = ~buf_samedw_3; // @[lsu_bus_buffer.scala 467:30] + wire _T_4176 = buf_dual_3 & _T_4175; // @[lsu_bus_buffer.scala 467:28] + wire _T_4179 = _T_4176 & _T_4222; // @[lsu_bus_buffer.scala 467:45] + wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 467:90] + wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[lsu_bus_buffer.scala 467:90] + wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[lsu_bus_buffer.scala 467:90] + wire _T_4180 = _GEN_249 != 3'h4; // @[lsu_bus_buffer.scala 467:90] + wire _T_4181 = _T_4179 & _T_4180; // @[lsu_bus_buffer.scala 467:61] + wire _T_4183 = buf_ldfwd[3] | any_done_wait_state; // @[lsu_bus_buffer.scala 468:31] + wire _T_4189 = buf_dualtag_3 == 2'h0; // @[lsu_bus_buffer.scala 57:118] + wire _T_4191 = buf_dualtag_3 == 2'h1; // @[lsu_bus_buffer.scala 57:118] + wire _T_4193 = buf_dualtag_3 == 2'h2; // @[lsu_bus_buffer.scala 57:118] + wire _T_4195 = buf_dualtag_3 == 2'h3; // @[lsu_bus_buffer.scala 57:118] + wire _T_4197 = _T_4189 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_4198 = _T_4191 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_4199 = _T_4193 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_4200 = _T_4195 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_4201 = _T_4197 | _T_4198; // @[Mux.scala 27:72] + wire _T_4202 = _T_4201 | _T_4199; // @[Mux.scala 27:72] + wire _T_4203 = _T_4202 | _T_4200; // @[Mux.scala 27:72] + wire _T_4205 = _T_4179 & _T_4203; // @[lsu_bus_buffer.scala 468:101] + wire _T_4206 = _GEN_249 == 3'h4; // @[lsu_bus_buffer.scala 468:167] + wire _T_4207 = _T_4205 & _T_4206; // @[lsu_bus_buffer.scala 468:138] + wire _T_4208 = _T_4207 & any_done_wait_state; // @[lsu_bus_buffer.scala 468:187] + wire _T_4209 = _T_4183 | _T_4208; // @[lsu_bus_buffer.scala 468:53] + wire _T_4232 = buf_state_bus_en_3 & bus_rsp_read; // @[lsu_bus_buffer.scala 475:47] + wire _T_4233 = _T_4232 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 475:62] + wire _T_4247 = ~buf_error_en_3; // @[lsu_bus_buffer.scala 479:50] + wire _T_4248 = buf_state_en_3 & _T_4247; // @[lsu_bus_buffer.scala 479:48] + wire _T_4260 = buf_ldfwd[3] | _T_4265[0]; // @[lsu_bus_buffer.scala 482:90] + wire _T_4261 = _T_4260 | any_done_wait_state; // @[lsu_bus_buffer.scala 482:118] + wire _GEN_257 = _T_4281 & buf_state_en_3; // @[Conditional.scala 39:67] + wire _GEN_260 = _T_4273 ? 1'h0 : _T_4281; // @[Conditional.scala 39:67] + wire _GEN_262 = _T_4273 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] + wire _GEN_266 = _T_4255 ? 1'h0 : _GEN_260; // @[Conditional.scala 39:67] + wire _GEN_268 = _T_4255 ? 1'h0 : _GEN_262; // @[Conditional.scala 39:67] + wire _GEN_273 = _T_4168 & _T_4233; // @[Conditional.scala 39:67] + wire _GEN_276 = _T_4168 ? 1'h0 : _GEN_266; // @[Conditional.scala 39:67] + wire _GEN_278 = _T_4168 ? 1'h0 : _GEN_268; // @[Conditional.scala 39:67] + wire _GEN_284 = _T_4134 ? _T_4154 : _GEN_278; // @[Conditional.scala 39:67] + wire _GEN_286 = _T_4134 ? _T_4158 : _GEN_273; // @[Conditional.scala 39:67] + wire _GEN_290 = _T_4134 ? 1'h0 : _GEN_276; // @[Conditional.scala 39:67] + wire _GEN_296 = _T_4130 ? 1'h0 : _GEN_284; // @[Conditional.scala 39:67] + wire _GEN_298 = _T_4130 ? 1'h0 : _GEN_286; // @[Conditional.scala 39:67] + wire _GEN_302 = _T_4130 ? 1'h0 : _GEN_290; // @[Conditional.scala 39:67] + wire buf_wr_en_3 = _T_4107 & buf_state_en_3; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_3 = _T_4107 ? 1'h0 : _GEN_296; // @[Conditional.scala 40:58] + wire buf_rst_3 = _T_4107 ? 1'h0 : _GEN_302; // @[Conditional.scala 40:58] + reg _T_4336; // @[Reg.scala 27:20] + reg _T_4339; // @[Reg.scala 27:20] + reg _T_4342; // @[Reg.scala 27:20] + reg _T_4345; // @[Reg.scala 27:20] + wire [3:0] buf_unsign = {_T_4345,_T_4342,_T_4339,_T_4336}; // @[Cat.scala 29:58] + reg _T_4411; // @[lsu_bus_buffer.scala 518:80] + reg _T_4406; // @[lsu_bus_buffer.scala 518:80] + reg _T_4401; // @[lsu_bus_buffer.scala 518:80] + reg _T_4396; // @[lsu_bus_buffer.scala 518:80] + wire [3:0] buf_error = {_T_4411,_T_4406,_T_4401,_T_4396}; // @[Cat.scala 29:58] + wire _T_4393 = buf_error_en_0 | buf_error[0]; // @[lsu_bus_buffer.scala 518:84] + wire _T_4394 = ~buf_rst_0; // @[lsu_bus_buffer.scala 518:126] + wire _T_4398 = buf_error_en_1 | buf_error[1]; // @[lsu_bus_buffer.scala 518:84] + wire _T_4399 = ~buf_rst_1; // @[lsu_bus_buffer.scala 518:126] + wire _T_4403 = buf_error_en_2 | buf_error[2]; // @[lsu_bus_buffer.scala 518:84] + wire _T_4404 = ~buf_rst_2; // @[lsu_bus_buffer.scala 518:126] + wire _T_4408 = buf_error_en_3 | buf_error[3]; // @[lsu_bus_buffer.scala 518:84] + wire _T_4409 = ~buf_rst_3; // @[lsu_bus_buffer.scala 518:126] + wire [1:0] _T_4415 = {io_lsu_busreq_m,1'h0}; // @[Cat.scala 29:58] + wire [1:0] _T_4416 = io_ldst_dual_m ? _T_4415 : {{1'd0}, io_lsu_busreq_m}; // @[lsu_bus_buffer.scala 521:28] + wire [1:0] _T_4417 = {io_lsu_busreq_r,1'h0}; // @[Cat.scala 29:58] + wire [1:0] _T_4418 = io_ldst_dual_r ? _T_4417 : {{1'd0}, io_lsu_busreq_r}; // @[lsu_bus_buffer.scala 521:94] + wire [2:0] _T_4419 = _T_4416 + _T_4418; // @[lsu_bus_buffer.scala 521:88] + wire [2:0] _GEN_388 = {{2'd0}, ibuf_valid}; // @[lsu_bus_buffer.scala 521:154] + wire [3:0] _T_4420 = _T_4419 + _GEN_388; // @[lsu_bus_buffer.scala 521:154] + wire [1:0] _T_4425 = _T_5 + _T_12; // @[lsu_bus_buffer.scala 521:217] + wire [1:0] _GEN_389 = {{1'd0}, _T_19}; // @[lsu_bus_buffer.scala 521:217] + wire [2:0] _T_4426 = _T_4425 + _GEN_389; // @[lsu_bus_buffer.scala 521:217] + wire [2:0] _GEN_390 = {{2'd0}, _T_26}; // @[lsu_bus_buffer.scala 521:217] + wire [3:0] _T_4427 = _T_4426 + _GEN_390; // @[lsu_bus_buffer.scala 521:217] + wire [3:0] buf_numvld_any = _T_4420 + _T_4427; // @[lsu_bus_buffer.scala 521:169] + wire _T_4498 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[lsu_bus_buffer.scala 527:52] + wire _T_4499 = buf_numvld_any >= 4'h3; // @[lsu_bus_buffer.scala 527:92] + wire _T_4500 = buf_numvld_any == 4'h4; // @[lsu_bus_buffer.scala 527:121] + wire _T_4502 = |buf_state_0; // @[lsu_bus_buffer.scala 528:52] + wire _T_4503 = |buf_state_1; // @[lsu_bus_buffer.scala 528:52] + wire _T_4504 = |buf_state_2; // @[lsu_bus_buffer.scala 528:52] + wire _T_4505 = |buf_state_3; // @[lsu_bus_buffer.scala 528:52] + wire _T_4506 = _T_4502 | _T_4503; // @[lsu_bus_buffer.scala 528:65] + wire _T_4507 = _T_4506 | _T_4504; // @[lsu_bus_buffer.scala 528:65] + wire _T_4508 = _T_4507 | _T_4505; // @[lsu_bus_buffer.scala 528:65] + wire _T_4509 = ~_T_4508; // @[lsu_bus_buffer.scala 528:34] + wire _T_4511 = _T_4509 & _T_852; // @[lsu_bus_buffer.scala 528:70] + wire _T_4514 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[lsu_bus_buffer.scala 530:64] + wire _T_4515 = _T_4514 & io_lsu_pkt_m_bits_load; // @[lsu_bus_buffer.scala 530:85] + wire _T_4516 = ~io_flush_m_up; // @[lsu_bus_buffer.scala 530:112] + wire _T_4517 = _T_4515 & _T_4516; // @[lsu_bus_buffer.scala 530:110] + wire _T_4518 = ~io_ld_full_hit_m; // @[lsu_bus_buffer.scala 530:129] + wire _T_4520 = ~io_lsu_commit_r; // @[lsu_bus_buffer.scala 533:74] + reg lsu_nonblock_load_valid_r; // @[lsu_bus_buffer.scala 618:66] + wire _T_4538 = _T_2799 & _T_3643; // @[Mux.scala 27:72] + wire _T_4539 = _T_2821 & _T_3836; // @[Mux.scala 27:72] + wire _T_4540 = _T_2843 & _T_4029; // @[Mux.scala 27:72] + wire _T_4541 = _T_2865 & _T_4222; // @[Mux.scala 27:72] + wire _T_4542 = _T_4538 | _T_4539; // @[Mux.scala 27:72] + wire _T_4543 = _T_4542 | _T_4540; // @[Mux.scala 27:72] + wire lsu_nonblock_load_data_ready = _T_4543 | _T_4541; // @[Mux.scala 27:72] + wire _T_4549 = buf_error[0] & _T_3643; // @[lsu_bus_buffer.scala 536:121] + wire _T_4554 = buf_error[1] & _T_3836; // @[lsu_bus_buffer.scala 536:121] + wire _T_4559 = buf_error[2] & _T_4029; // @[lsu_bus_buffer.scala 536:121] + wire _T_4564 = buf_error[3] & _T_4222; // @[lsu_bus_buffer.scala 536:121] + wire _T_4565 = _T_2799 & _T_4549; // @[Mux.scala 27:72] + wire _T_4566 = _T_2821 & _T_4554; // @[Mux.scala 27:72] + wire _T_4567 = _T_2843 & _T_4559; // @[Mux.scala 27:72] + wire _T_4568 = _T_2865 & _T_4564; // @[Mux.scala 27:72] + wire _T_4569 = _T_4565 | _T_4566; // @[Mux.scala 27:72] + wire _T_4570 = _T_4569 | _T_4567; // @[Mux.scala 27:72] + wire _T_4577 = ~buf_dual_0; // @[lsu_bus_buffer.scala 537:122] + wire _T_4578 = ~buf_dualhi_0; // @[lsu_bus_buffer.scala 537:137] + wire _T_4579 = _T_4577 | _T_4578; // @[lsu_bus_buffer.scala 537:135] + wire _T_4580 = _T_4538 & _T_4579; // @[lsu_bus_buffer.scala 537:119] + wire _T_4585 = ~buf_dual_1; // @[lsu_bus_buffer.scala 537:122] + wire _T_4586 = ~buf_dualhi_1; // @[lsu_bus_buffer.scala 537:137] + wire _T_4587 = _T_4585 | _T_4586; // @[lsu_bus_buffer.scala 537:135] + wire _T_4588 = _T_4539 & _T_4587; // @[lsu_bus_buffer.scala 537:119] + wire _T_4593 = ~buf_dual_2; // @[lsu_bus_buffer.scala 537:122] + wire _T_4594 = ~buf_dualhi_2; // @[lsu_bus_buffer.scala 537:137] + wire _T_4595 = _T_4593 | _T_4594; // @[lsu_bus_buffer.scala 537:135] + wire _T_4596 = _T_4540 & _T_4595; // @[lsu_bus_buffer.scala 537:119] + wire _T_4601 = ~buf_dual_3; // @[lsu_bus_buffer.scala 537:122] + wire _T_4602 = ~buf_dualhi_3; // @[lsu_bus_buffer.scala 537:137] + wire _T_4603 = _T_4601 | _T_4602; // @[lsu_bus_buffer.scala 537:135] + wire _T_4604 = _T_4541 & _T_4603; // @[lsu_bus_buffer.scala 537:119] + wire [1:0] _T_4607 = _T_4596 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4608 = _T_4604 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_391 = {{1'd0}, _T_4588}; // @[Mux.scala 27:72] + wire [1:0] _T_4610 = _GEN_391 | _T_4607; // @[Mux.scala 27:72] + wire [31:0] _T_4645 = _T_4580 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4646 = _T_4588 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4647 = _T_4596 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4648 = _T_4604 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4649 = _T_4645 | _T_4646; // @[Mux.scala 27:72] + wire [31:0] _T_4650 = _T_4649 | _T_4647; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_lo = _T_4650 | _T_4648; // @[Mux.scala 27:72] + wire _T_4657 = _T_4538 & _T_3641; // @[lsu_bus_buffer.scala 539:105] + wire _T_4663 = _T_4539 & _T_3834; // @[lsu_bus_buffer.scala 539:105] + wire _T_4669 = _T_4540 & _T_4027; // @[lsu_bus_buffer.scala 539:105] + wire _T_4675 = _T_4541 & _T_4220; // @[lsu_bus_buffer.scala 539:105] + wire [31:0] _T_4676 = _T_4657 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4677 = _T_4663 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4678 = _T_4669 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4679 = _T_4675 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4680 = _T_4676 | _T_4677; // @[Mux.scala 27:72] + wire [31:0] _T_4681 = _T_4680 | _T_4678; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_hi = _T_4681 | _T_4679; // @[Mux.scala 27:72] + wire _T_4683 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h0; // @[lsu_bus_buffer.scala 58:123] + wire _T_4684 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h1; // @[lsu_bus_buffer.scala 58:123] + wire _T_4685 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h2; // @[lsu_bus_buffer.scala 58:123] + wire _T_4686 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h3; // @[lsu_bus_buffer.scala 58:123] + wire [31:0] _T_4687 = _T_4683 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4688 = _T_4684 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4689 = _T_4685 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4690 = _T_4686 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4691 = _T_4687 | _T_4688; // @[Mux.scala 27:72] + wire [31:0] _T_4692 = _T_4691 | _T_4689; // @[Mux.scala 27:72] + wire [31:0] _T_4693 = _T_4692 | _T_4690; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_addr_offset = _T_4693[1:0]; // @[lsu_bus_buffer.scala 540:96] + wire [1:0] _T_4699 = _T_4683 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4700 = _T_4684 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4701 = _T_4685 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4702 = _T_4686 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4703 = _T_4699 | _T_4700; // @[Mux.scala 27:72] + wire [1:0] _T_4704 = _T_4703 | _T_4701; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_sz = _T_4704 | _T_4702; // @[Mux.scala 27:72] + wire _T_4714 = _T_4683 & buf_unsign[0]; // @[Mux.scala 27:72] + wire _T_4715 = _T_4684 & buf_unsign[1]; // @[Mux.scala 27:72] + wire _T_4716 = _T_4685 & buf_unsign[2]; // @[Mux.scala 27:72] + wire _T_4717 = _T_4686 & buf_unsign[3]; // @[Mux.scala 27:72] + wire _T_4718 = _T_4714 | _T_4715; // @[Mux.scala 27:72] + wire _T_4719 = _T_4718 | _T_4716; // @[Mux.scala 27:72] + wire lsu_nonblock_unsign = _T_4719 | _T_4717; // @[Mux.scala 27:72] + wire [63:0] _T_4739 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] + wire [3:0] _GEN_392 = {{2'd0}, lsu_nonblock_addr_offset}; // @[lsu_bus_buffer.scala 544:121] + wire [5:0] _T_4740 = _GEN_392 * 4'h8; // @[lsu_bus_buffer.scala 544:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4739 >> _T_4740; // @[lsu_bus_buffer.scala 544:92] + wire _T_4741 = ~io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_buffer.scala 546:82] + wire _T_4743 = lsu_nonblock_sz == 2'h0; // @[lsu_bus_buffer.scala 547:94] + wire _T_4744 = lsu_nonblock_unsign & _T_4743; // @[lsu_bus_buffer.scala 547:76] + wire [31:0] _T_4746 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4747 = lsu_nonblock_sz == 2'h1; // @[lsu_bus_buffer.scala 548:45] + wire _T_4748 = lsu_nonblock_unsign & _T_4747; // @[lsu_bus_buffer.scala 548:26] + wire [31:0] _T_4750 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4751 = ~lsu_nonblock_unsign; // @[lsu_bus_buffer.scala 549:6] + wire _T_4753 = _T_4751 & _T_4743; // @[lsu_bus_buffer.scala 549:27] + wire [23:0] _T_4756 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4758 = {_T_4756,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4761 = _T_4751 & _T_4747; // @[lsu_bus_buffer.scala 550:27] + wire [15:0] _T_4764 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4766 = {_T_4764,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4767 = lsu_nonblock_sz == 2'h2; // @[lsu_bus_buffer.scala 551:21] + wire [31:0] _T_4768 = _T_4744 ? _T_4746 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4769 = _T_4748 ? _T_4750 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4770 = _T_4753 ? _T_4758 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4771 = _T_4761 ? _T_4766 : 32'h0; // @[Mux.scala 27:72] + wire [63:0] _T_4772 = _T_4767 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4773 = _T_4768 | _T_4769; // @[Mux.scala 27:72] + wire [31:0] _T_4774 = _T_4773 | _T_4770; // @[Mux.scala 27:72] + wire [31:0] _T_4775 = _T_4774 | _T_4771; // @[Mux.scala 27:72] + wire [63:0] _GEN_393 = {{32'd0}, _T_4775}; // @[Mux.scala 27:72] + wire [63:0] _T_4776 = _GEN_393 | _T_4772; // @[Mux.scala 27:72] + wire _T_4874 = obuf_valid & obuf_write; // @[lsu_bus_buffer.scala 569:37] + wire _T_4875 = ~obuf_cmd_done; // @[lsu_bus_buffer.scala 569:52] + wire _T_4876 = _T_4874 & _T_4875; // @[lsu_bus_buffer.scala 569:50] + wire [31:0] _T_4880 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] + wire [2:0] _T_4882 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] + wire _T_4887 = ~obuf_data_done; // @[lsu_bus_buffer.scala 581:51] + wire _T_4888 = _T_4874 & _T_4887; // @[lsu_bus_buffer.scala 581:49] + wire [7:0] _T_4892 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire _T_4895 = obuf_valid & _T_1343; // @[lsu_bus_buffer.scala 586:37] + wire _T_4897 = _T_4895 & _T_1349; // @[lsu_bus_buffer.scala 586:51] + wire _T_4909 = io_lsu_bus_clk_en_q & buf_error[0]; // @[lsu_bus_buffer.scala 599:126] + wire _T_4911 = _T_4909 & buf_write[0]; // @[lsu_bus_buffer.scala 599:141] + wire _T_4914 = io_lsu_bus_clk_en_q & buf_error[1]; // @[lsu_bus_buffer.scala 599:126] + wire _T_4916 = _T_4914 & buf_write[1]; // @[lsu_bus_buffer.scala 599:141] + wire _T_4919 = io_lsu_bus_clk_en_q & buf_error[2]; // @[lsu_bus_buffer.scala 599:126] + wire _T_4921 = _T_4919 & buf_write[2]; // @[lsu_bus_buffer.scala 599:141] + wire _T_4924 = io_lsu_bus_clk_en_q & buf_error[3]; // @[lsu_bus_buffer.scala 599:126] + wire _T_4926 = _T_4924 & buf_write[3]; // @[lsu_bus_buffer.scala 599:141] + wire _T_4927 = _T_2799 & _T_4911; // @[Mux.scala 27:72] + wire _T_4928 = _T_2821 & _T_4916; // @[Mux.scala 27:72] + wire _T_4929 = _T_2843 & _T_4921; // @[Mux.scala 27:72] + wire _T_4930 = _T_2865 & _T_4926; // @[Mux.scala 27:72] + wire _T_4931 = _T_4927 | _T_4928; // @[Mux.scala 27:72] + wire _T_4932 = _T_4931 | _T_4929; // @[Mux.scala 27:72] + wire _T_4942 = _T_2821 & buf_error[1]; // @[lsu_bus_buffer.scala 600:93] + wire _T_4944 = _T_4942 & buf_write[1]; // @[lsu_bus_buffer.scala 600:108] + wire _T_4947 = _T_2843 & buf_error[2]; // @[lsu_bus_buffer.scala 600:93] + wire _T_4949 = _T_4947 & buf_write[2]; // @[lsu_bus_buffer.scala 600:108] + wire _T_4952 = _T_2865 & buf_error[3]; // @[lsu_bus_buffer.scala 600:93] + wire _T_4954 = _T_4952 & buf_write[3]; // @[lsu_bus_buffer.scala 600:108] + wire [1:0] _T_4957 = _T_4949 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4958 = _T_4954 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_394 = {{1'd0}, _T_4944}; // @[Mux.scala 27:72] + wire [1:0] _T_4960 = _GEN_394 | _T_4957; // @[Mux.scala 27:72] + wire [1:0] lsu_imprecise_error_store_tag = _T_4960 | _T_4958; // @[Mux.scala 27:72] + wire _T_4962 = ~io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 602:97] + wire [31:0] _GEN_351 = 2'h1 == lsu_imprecise_error_store_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 603:53] + wire [31:0] _GEN_352 = 2'h2 == lsu_imprecise_error_store_tag ? buf_addr_2 : _GEN_351; // @[lsu_bus_buffer.scala 603:53] + wire [31:0] _GEN_353 = 2'h3 == lsu_imprecise_error_store_tag ? buf_addr_3 : _GEN_352; // @[lsu_bus_buffer.scala 603:53] + wire [31:0] _GEN_355 = 2'h1 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 603:53] + wire [31:0] _GEN_356 = 2'h2 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_2 : _GEN_355; // @[lsu_bus_buffer.scala 603:53] + wire [31:0] _GEN_357 = 2'h3 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_3 : _GEN_356; // @[lsu_bus_buffer.scala 603:53] + wire _T_4967 = bus_wcmd_sent | bus_wdata_sent; // @[lsu_bus_buffer.scala 609:82] + wire _T_4970 = io_lsu_busreq_r & io_ldst_dual_r; // @[lsu_bus_buffer.scala 610:60] + wire _T_4973 = ~io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 613:61] + wire _T_4974 = io_lsu_axi_aw_valid & _T_4973; // @[lsu_bus_buffer.scala 613:59] + wire _T_4975 = ~io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 613:107] + wire _T_4976 = io_lsu_axi_w_valid & _T_4975; // @[lsu_bus_buffer.scala 613:105] + wire _T_4977 = _T_4974 | _T_4976; // @[lsu_bus_buffer.scala 613:83] + wire _T_4978 = ~io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 613:153] + wire _T_4979 = io_lsu_axi_ar_valid & _T_4978; // @[lsu_bus_buffer.scala 613:151] + wire _T_4983 = ~io_flush_r; // @[lsu_bus_buffer.scala 617:75] + wire _T_4984 = io_lsu_busreq_m & _T_4983; // @[lsu_bus_buffer.scala 617:73] + reg _T_4987; // @[lsu_bus_buffer.scala 617:56] + rvclkhdr rvclkhdr ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + rvclkhdr rvclkhdr_4 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_4_io_l1clk), + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en), + .io_scan_mode(rvclkhdr_4_io_scan_mode) + ); + rvclkhdr rvclkhdr_5 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_5_io_l1clk), + .io_clk(rvclkhdr_5_io_clk), + .io_en(rvclkhdr_5_io_en), + .io_scan_mode(rvclkhdr_5_io_scan_mode) + ); + rvclkhdr rvclkhdr_6 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_6_io_l1clk), + .io_clk(rvclkhdr_6_io_clk), + .io_en(rvclkhdr_6_io_en), + .io_scan_mode(rvclkhdr_6_io_scan_mode) + ); + rvclkhdr rvclkhdr_7 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_7_io_l1clk), + .io_clk(rvclkhdr_7_io_clk), + .io_en(rvclkhdr_7_io_en), + .io_scan_mode(rvclkhdr_7_io_scan_mode) + ); + rvclkhdr rvclkhdr_8 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_8_io_l1clk), + .io_clk(rvclkhdr_8_io_clk), + .io_en(rvclkhdr_8_io_en), + .io_scan_mode(rvclkhdr_8_io_scan_mode) + ); + rvclkhdr rvclkhdr_9 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_9_io_l1clk), + .io_clk(rvclkhdr_9_io_clk), + .io_en(rvclkhdr_9_io_en), + .io_scan_mode(rvclkhdr_9_io_scan_mode) + ); + rvclkhdr rvclkhdr_10 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_10_io_l1clk), + .io_clk(rvclkhdr_10_io_clk), + .io_en(rvclkhdr_10_io_en), + .io_scan_mode(rvclkhdr_10_io_scan_mode) + ); + rvclkhdr rvclkhdr_11 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_11_io_l1clk), + .io_clk(rvclkhdr_11_io_clk), + .io_en(rvclkhdr_11_io_en), + .io_scan_mode(rvclkhdr_11_io_scan_mode) + ); + assign io_tlu_busbuff_lsu_pmu_bus_trxn = _T_4967 | _T_4866; // @[lsu_bus_buffer.scala 609:35] + assign io_tlu_busbuff_lsu_pmu_bus_misaligned = _T_4970 & io_lsu_commit_r; // @[lsu_bus_buffer.scala 610:41] + assign io_tlu_busbuff_lsu_pmu_bus_error = io_tlu_busbuff_lsu_imprecise_error_load_any | io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 611:36] + assign io_tlu_busbuff_lsu_pmu_bus_busy = _T_4977 | _T_4979; // @[lsu_bus_buffer.scala 613:35] + assign io_tlu_busbuff_lsu_imprecise_error_load_any = io_dctl_busbuff_lsu_nonblock_load_data_error & _T_4962; // @[lsu_bus_buffer.scala 602:47] + assign io_tlu_busbuff_lsu_imprecise_error_store_any = _T_4932 | _T_4930; // @[lsu_bus_buffer.scala 599:48] + assign io_tlu_busbuff_lsu_imprecise_error_addr_any = io_tlu_busbuff_lsu_imprecise_error_store_any ? _GEN_353 : _GEN_357; // @[lsu_bus_buffer.scala 603:47] + assign io_dctl_busbuff_lsu_nonblock_load_valid_m = _T_4517 & _T_4518; // @[lsu_bus_buffer.scala 530:45] + assign io_dctl_busbuff_lsu_nonblock_load_tag_m = _T_1863 ? 2'h0 : _T_1899; // @[lsu_bus_buffer.scala 531:43] + assign io_dctl_busbuff_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4520; // @[lsu_bus_buffer.scala 533:43] + assign io_dctl_busbuff_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[lsu_bus_buffer.scala 534:47] + assign io_dctl_busbuff_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4741; // @[lsu_bus_buffer.scala 546:48] + assign io_dctl_busbuff_lsu_nonblock_load_data_error = _T_4570 | _T_4568; // @[lsu_bus_buffer.scala 536:48] + assign io_dctl_busbuff_lsu_nonblock_load_data_tag = _T_4610 | _T_4608; // @[lsu_bus_buffer.scala 537:46] + assign io_dctl_busbuff_lsu_nonblock_load_data = _T_4776[31:0]; // @[lsu_bus_buffer.scala 547:42] + assign io_lsu_axi_aw_valid = _T_4876 & _T_1239; // @[lsu_bus_buffer.scala 569:23] + assign io_lsu_axi_aw_bits_id = {{1'd0}, _T_1848}; // @[lsu_bus_buffer.scala 570:25] + assign io_lsu_axi_aw_bits_addr = obuf_sideeffect ? obuf_addr : _T_4880; // @[lsu_bus_buffer.scala 571:27] + assign io_lsu_axi_aw_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 575:29] + assign io_lsu_axi_aw_bits_size = obuf_sideeffect ? _T_4882 : 3'h3; // @[lsu_bus_buffer.scala 572:27] + assign io_lsu_axi_aw_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 574:28] + assign io_lsu_axi_w_valid = _T_4888 & _T_1239; // @[lsu_bus_buffer.scala 581:22] + assign io_lsu_axi_w_bits_data = obuf_data; // @[lsu_bus_buffer.scala 583:26] + assign io_lsu_axi_w_bits_strb = obuf_byteen & _T_4892; // @[lsu_bus_buffer.scala 582:26] + assign io_lsu_axi_b_ready = 1'h1; // @[lsu_bus_buffer.scala 597:22] + assign io_lsu_axi_ar_valid = _T_4897 & _T_1239; // @[lsu_bus_buffer.scala 586:23] + assign io_lsu_axi_ar_bits_id = {{1'd0}, _T_1848}; // @[lsu_bus_buffer.scala 587:25] + assign io_lsu_axi_ar_bits_addr = obuf_sideeffect ? obuf_addr : _T_4880; // @[lsu_bus_buffer.scala 588:27] + assign io_lsu_axi_ar_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 592:29] + assign io_lsu_axi_ar_bits_size = obuf_sideeffect ? _T_4882 : 3'h3; // @[lsu_bus_buffer.scala 589:27] + assign io_lsu_axi_ar_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 591:28] + assign io_lsu_axi_r_ready = 1'h1; // @[lsu_bus_buffer.scala 598:22] + assign io_lsu_busreq_r = _T_4987; // @[lsu_bus_buffer.scala 617:19] + assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[lsu_bus_buffer.scala 526:30] + assign io_lsu_bus_buffer_full_any = _T_4498 ? _T_4499 : _T_4500; // @[lsu_bus_buffer.scala 527:30] + assign io_lsu_bus_buffer_empty_any = _T_4511 & _T_1231; // @[lsu_bus_buffer.scala 528:31] + assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[lsu_bus_buffer.scala 138:25] + assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[lsu_bus_buffer.scala 139:25] + assign io_ld_fwddata_buf_lo = _T_650 | _T_651; // @[lsu_bus_buffer.scala 165:24] + assign io_ld_fwddata_buf_hi = _T_747 | _T_748; // @[lsu_bus_buffer.scala 171:24] + assign rvclkhdr_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_io_en = _T_853 & _T_854; // @[lib.scala 371:17] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_1_io_en = _T_853 & _T_854; // @[lib.scala 371:17] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_2_io_en = _T_1240 & io_lsu_bus_clk_en; // @[lib.scala 371:17] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_3_io_en = _T_1240 & io_lsu_bus_clk_en; // @[lib.scala 371:17] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_4_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_4_io_en = _T_3528 & buf_state_en_0; // @[lib.scala 371:17] + assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_5_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_5_io_en = _T_3721 & buf_state_en_1; // @[lib.scala 371:17] + assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_6_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_6_io_en = _T_3914 & buf_state_en_2; // @[lib.scala 371:17] + assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_7_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_7_io_en = _T_4107 & buf_state_en_3; // @[lib.scala 371:17] + assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_8_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_8_io_en = _T_3528 ? buf_state_en_0 : _GEN_70; // @[lib.scala 371:17] + assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_9_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_9_io_en = _T_3721 ? buf_state_en_1 : _GEN_146; // @[lib.scala 371:17] + assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_10_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_10_io_en = _T_3914 ? buf_state_en_2 : _GEN_222; // @[lib.scala 371:17] + assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_11_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_11_io_en = _T_4107 ? buf_state_en_3 : _GEN_298; // @[lib.scala 371:17] + assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + buf_addr_0 = _RAND_0[31:0]; + _RAND_1 = {1{`RANDOM}}; + _T_4360 = _RAND_1[0:0]; + _RAND_2 = {1{`RANDOM}}; + _T_4357 = _RAND_2[0:0]; + _RAND_3 = {1{`RANDOM}}; + _T_4354 = _RAND_3[0:0]; + _RAND_4 = {1{`RANDOM}}; + _T_4351 = _RAND_4[0:0]; + _RAND_5 = {1{`RANDOM}}; + buf_state_0 = _RAND_5[2:0]; + _RAND_6 = {1{`RANDOM}}; + buf_addr_1 = _RAND_6[31:0]; + _RAND_7 = {1{`RANDOM}}; + buf_state_1 = _RAND_7[2:0]; + _RAND_8 = {1{`RANDOM}}; + buf_addr_2 = _RAND_8[31:0]; + _RAND_9 = {1{`RANDOM}}; + buf_state_2 = _RAND_9[2:0]; + _RAND_10 = {1{`RANDOM}}; + buf_addr_3 = _RAND_10[31:0]; + _RAND_11 = {1{`RANDOM}}; + buf_state_3 = _RAND_11[2:0]; + _RAND_12 = {1{`RANDOM}}; + buf_byteen_3 = _RAND_12[3:0]; + _RAND_13 = {1{`RANDOM}}; + buf_byteen_2 = _RAND_13[3:0]; + _RAND_14 = {1{`RANDOM}}; + buf_byteen_1 = _RAND_14[3:0]; + _RAND_15 = {1{`RANDOM}}; + buf_byteen_0 = _RAND_15[3:0]; + _RAND_16 = {1{`RANDOM}}; + buf_ageQ_3 = _RAND_16[3:0]; + _RAND_17 = {1{`RANDOM}}; + _T_1848 = _RAND_17[1:0]; + _RAND_18 = {1{`RANDOM}}; + obuf_merge = _RAND_18[0:0]; + _RAND_19 = {1{`RANDOM}}; + obuf_tag1 = _RAND_19[1:0]; + _RAND_20 = {1{`RANDOM}}; + obuf_valid = _RAND_20[0:0]; + _RAND_21 = {1{`RANDOM}}; + obuf_wr_enQ = _RAND_21[0:0]; + _RAND_22 = {1{`RANDOM}}; + ibuf_addr = _RAND_22[31:0]; + _RAND_23 = {1{`RANDOM}}; + ibuf_write = _RAND_23[0:0]; + _RAND_24 = {1{`RANDOM}}; + ibuf_valid = _RAND_24[0:0]; + _RAND_25 = {1{`RANDOM}}; + ibuf_byteen = _RAND_25[3:0]; + _RAND_26 = {1{`RANDOM}}; + buf_ageQ_2 = _RAND_26[3:0]; + _RAND_27 = {1{`RANDOM}}; + buf_ageQ_1 = _RAND_27[3:0]; + _RAND_28 = {1{`RANDOM}}; + buf_ageQ_0 = _RAND_28[3:0]; + _RAND_29 = {1{`RANDOM}}; + buf_data_0 = _RAND_29[31:0]; + _RAND_30 = {1{`RANDOM}}; + buf_data_1 = _RAND_30[31:0]; + _RAND_31 = {1{`RANDOM}}; + buf_data_2 = _RAND_31[31:0]; + _RAND_32 = {1{`RANDOM}}; + buf_data_3 = _RAND_32[31:0]; + _RAND_33 = {1{`RANDOM}}; + ibuf_data = _RAND_33[31:0]; + _RAND_34 = {1{`RANDOM}}; + ibuf_timer = _RAND_34[2:0]; + _RAND_35 = {1{`RANDOM}}; + ibuf_sideeffect = _RAND_35[0:0]; + _RAND_36 = {1{`RANDOM}}; + WrPtr1_r = _RAND_36[1:0]; + _RAND_37 = {1{`RANDOM}}; + WrPtr0_r = _RAND_37[1:0]; + _RAND_38 = {1{`RANDOM}}; + ibuf_tag = _RAND_38[1:0]; + _RAND_39 = {1{`RANDOM}}; + ibuf_dualtag = _RAND_39[1:0]; + _RAND_40 = {1{`RANDOM}}; + ibuf_dual = _RAND_40[0:0]; + _RAND_41 = {1{`RANDOM}}; + ibuf_samedw = _RAND_41[0:0]; + _RAND_42 = {1{`RANDOM}}; + ibuf_nomerge = _RAND_42[0:0]; + _RAND_43 = {1{`RANDOM}}; + ibuf_unsign = _RAND_43[0:0]; + _RAND_44 = {1{`RANDOM}}; + ibuf_sz = _RAND_44[1:0]; + _RAND_45 = {1{`RANDOM}}; + obuf_wr_timer = _RAND_45[2:0]; + _RAND_46 = {1{`RANDOM}}; + buf_nomerge_0 = _RAND_46[0:0]; + _RAND_47 = {1{`RANDOM}}; + buf_nomerge_1 = _RAND_47[0:0]; + _RAND_48 = {1{`RANDOM}}; + buf_nomerge_2 = _RAND_48[0:0]; + _RAND_49 = {1{`RANDOM}}; + buf_nomerge_3 = _RAND_49[0:0]; + _RAND_50 = {1{`RANDOM}}; + _T_4330 = _RAND_50[0:0]; + _RAND_51 = {1{`RANDOM}}; + _T_4327 = _RAND_51[0:0]; + _RAND_52 = {1{`RANDOM}}; + _T_4324 = _RAND_52[0:0]; + _RAND_53 = {1{`RANDOM}}; + _T_4321 = _RAND_53[0:0]; + _RAND_54 = {1{`RANDOM}}; + obuf_sideeffect = _RAND_54[0:0]; + _RAND_55 = {1{`RANDOM}}; + buf_dual_3 = _RAND_55[0:0]; + _RAND_56 = {1{`RANDOM}}; + buf_dual_2 = _RAND_56[0:0]; + _RAND_57 = {1{`RANDOM}}; + buf_dual_1 = _RAND_57[0:0]; + _RAND_58 = {1{`RANDOM}}; + buf_dual_0 = _RAND_58[0:0]; + _RAND_59 = {1{`RANDOM}}; + buf_samedw_3 = _RAND_59[0:0]; + _RAND_60 = {1{`RANDOM}}; + buf_samedw_2 = _RAND_60[0:0]; + _RAND_61 = {1{`RANDOM}}; + buf_samedw_1 = _RAND_61[0:0]; + _RAND_62 = {1{`RANDOM}}; + buf_samedw_0 = _RAND_62[0:0]; + _RAND_63 = {1{`RANDOM}}; + obuf_write = _RAND_63[0:0]; + _RAND_64 = {1{`RANDOM}}; + obuf_cmd_done = _RAND_64[0:0]; + _RAND_65 = {1{`RANDOM}}; + obuf_data_done = _RAND_65[0:0]; + _RAND_66 = {1{`RANDOM}}; + obuf_nosend = _RAND_66[0:0]; + _RAND_67 = {1{`RANDOM}}; + obuf_addr = _RAND_67[31:0]; + _RAND_68 = {1{`RANDOM}}; + buf_sz_0 = _RAND_68[1:0]; + _RAND_69 = {1{`RANDOM}}; + buf_sz_1 = _RAND_69[1:0]; + _RAND_70 = {1{`RANDOM}}; + buf_sz_2 = _RAND_70[1:0]; + _RAND_71 = {1{`RANDOM}}; + buf_sz_3 = _RAND_71[1:0]; + _RAND_72 = {1{`RANDOM}}; + obuf_rdrsp_pend = _RAND_72[0:0]; + _RAND_73 = {1{`RANDOM}}; + obuf_rdrsp_tag = _RAND_73[2:0]; + _RAND_74 = {1{`RANDOM}}; + buf_dualhi_3 = _RAND_74[0:0]; + _RAND_75 = {1{`RANDOM}}; + buf_dualhi_2 = _RAND_75[0:0]; + _RAND_76 = {1{`RANDOM}}; + buf_dualhi_1 = _RAND_76[0:0]; + _RAND_77 = {1{`RANDOM}}; + buf_dualhi_0 = _RAND_77[0:0]; + _RAND_78 = {1{`RANDOM}}; + obuf_sz = _RAND_78[1:0]; + _RAND_79 = {1{`RANDOM}}; + obuf_byteen = _RAND_79[7:0]; + _RAND_80 = {2{`RANDOM}}; + obuf_data = _RAND_80[63:0]; + _RAND_81 = {1{`RANDOM}}; + buf_rspageQ_0 = _RAND_81[3:0]; + _RAND_82 = {1{`RANDOM}}; + buf_rspageQ_1 = _RAND_82[3:0]; + _RAND_83 = {1{`RANDOM}}; + buf_rspageQ_2 = _RAND_83[3:0]; + _RAND_84 = {1{`RANDOM}}; + buf_rspageQ_3 = _RAND_84[3:0]; + _RAND_85 = {1{`RANDOM}}; + _T_4307 = _RAND_85[0:0]; + _RAND_86 = {1{`RANDOM}}; + _T_4305 = _RAND_86[0:0]; + _RAND_87 = {1{`RANDOM}}; + _T_4303 = _RAND_87[0:0]; + _RAND_88 = {1{`RANDOM}}; + _T_4301 = _RAND_88[0:0]; + _RAND_89 = {1{`RANDOM}}; + buf_ldfwdtag_0 = _RAND_89[1:0]; + _RAND_90 = {1{`RANDOM}}; + buf_dualtag_0 = _RAND_90[1:0]; + _RAND_91 = {1{`RANDOM}}; + buf_ldfwdtag_3 = _RAND_91[1:0]; + _RAND_92 = {1{`RANDOM}}; + buf_ldfwdtag_2 = _RAND_92[1:0]; + _RAND_93 = {1{`RANDOM}}; + buf_ldfwdtag_1 = _RAND_93[1:0]; + _RAND_94 = {1{`RANDOM}}; + buf_dualtag_1 = _RAND_94[1:0]; + _RAND_95 = {1{`RANDOM}}; + buf_dualtag_2 = _RAND_95[1:0]; + _RAND_96 = {1{`RANDOM}}; + buf_dualtag_3 = _RAND_96[1:0]; + _RAND_97 = {1{`RANDOM}}; + _T_4336 = _RAND_97[0:0]; + _RAND_98 = {1{`RANDOM}}; + _T_4339 = _RAND_98[0:0]; + _RAND_99 = {1{`RANDOM}}; + _T_4342 = _RAND_99[0:0]; + _RAND_100 = {1{`RANDOM}}; + _T_4345 = _RAND_100[0:0]; + _RAND_101 = {1{`RANDOM}}; + _T_4411 = _RAND_101[0:0]; + _RAND_102 = {1{`RANDOM}}; + _T_4406 = _RAND_102[0:0]; + _RAND_103 = {1{`RANDOM}}; + _T_4401 = _RAND_103[0:0]; + _RAND_104 = {1{`RANDOM}}; + _T_4396 = _RAND_104[0:0]; + _RAND_105 = {1{`RANDOM}}; + lsu_nonblock_load_valid_r = _RAND_105[0:0]; + _RAND_106 = {1{`RANDOM}}; + _T_4987 = _RAND_106[0:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + buf_addr_0 = 32'h0; + end + if (reset) begin + _T_4360 = 1'h0; + end + if (reset) begin + _T_4357 = 1'h0; + end + if (reset) begin + _T_4354 = 1'h0; + end + if (reset) begin + _T_4351 = 1'h0; + end + if (reset) begin + buf_state_0 = 3'h0; + end + if (reset) begin + buf_addr_1 = 32'h0; + end + if (reset) begin + buf_state_1 = 3'h0; + end + if (reset) begin + buf_addr_2 = 32'h0; + end + if (reset) begin + buf_state_2 = 3'h0; + end + if (reset) begin + buf_addr_3 = 32'h0; + end + if (reset) begin + buf_state_3 = 3'h0; + end + if (reset) begin + buf_byteen_3 = 4'h0; + end + if (reset) begin + buf_byteen_2 = 4'h0; + end + if (reset) begin + buf_byteen_1 = 4'h0; + end + if (reset) begin + buf_byteen_0 = 4'h0; + end + if (reset) begin + buf_ageQ_3 = 4'h0; + end + if (reset) begin + _T_1848 = 2'h0; + end + if (reset) begin + obuf_merge = 1'h0; + end + if (reset) begin + obuf_tag1 = 2'h0; + end + if (reset) begin + obuf_valid = 1'h0; + end + if (reset) begin + obuf_wr_enQ = 1'h0; + end + if (reset) begin + ibuf_addr = 32'h0; + end + if (reset) begin + ibuf_write = 1'h0; + end + if (reset) begin + ibuf_valid = 1'h0; + end + if (reset) begin + ibuf_byteen = 4'h0; + end + if (reset) begin + buf_ageQ_2 = 4'h0; + end + if (reset) begin + buf_ageQ_1 = 4'h0; + end + if (reset) begin + buf_ageQ_0 = 4'h0; + end + if (reset) begin + buf_data_0 = 32'h0; + end + if (reset) begin + buf_data_1 = 32'h0; + end + if (reset) begin + buf_data_2 = 32'h0; + end + if (reset) begin + buf_data_3 = 32'h0; + end + if (reset) begin + ibuf_data = 32'h0; + end + if (reset) begin + ibuf_timer = 3'h0; + end + if (reset) begin + ibuf_sideeffect = 1'h0; + end + if (reset) begin + WrPtr1_r = 2'h0; + end + if (reset) begin + WrPtr0_r = 2'h0; + end + if (reset) begin + ibuf_tag = 2'h0; + end + if (reset) begin + ibuf_dualtag = 2'h0; + end + if (reset) begin + ibuf_dual = 1'h0; + end + if (reset) begin + ibuf_samedw = 1'h0; + end + if (reset) begin + ibuf_nomerge = 1'h0; + end + if (reset) begin + ibuf_unsign = 1'h0; + end + if (reset) begin + ibuf_sz = 2'h0; + end + if (reset) begin + obuf_wr_timer = 3'h0; + end + if (reset) begin + buf_nomerge_0 = 1'h0; + end + if (reset) begin + buf_nomerge_1 = 1'h0; + end + if (reset) begin + buf_nomerge_2 = 1'h0; + end + if (reset) begin + buf_nomerge_3 = 1'h0; + end + if (reset) begin + _T_4330 = 1'h0; + end + if (reset) begin + _T_4327 = 1'h0; + end + if (reset) begin + _T_4324 = 1'h0; + end + if (reset) begin + _T_4321 = 1'h0; + end + if (reset) begin + obuf_sideeffect = 1'h0; + end + if (reset) begin + buf_dual_3 = 1'h0; + end + if (reset) begin + buf_dual_2 = 1'h0; + end + if (reset) begin + buf_dual_1 = 1'h0; + end + if (reset) begin + buf_dual_0 = 1'h0; + end + if (reset) begin + buf_samedw_3 = 1'h0; + end + if (reset) begin + buf_samedw_2 = 1'h0; + end + if (reset) begin + buf_samedw_1 = 1'h0; + end + if (reset) begin + buf_samedw_0 = 1'h0; + end + if (reset) begin + obuf_write = 1'h0; + end + if (reset) begin + obuf_cmd_done = 1'h0; + end + if (reset) begin + obuf_data_done = 1'h0; + end + if (reset) begin + obuf_nosend = 1'h0; + end + if (reset) begin + obuf_addr = 32'h0; + end + if (reset) begin + buf_sz_0 = 2'h0; + end + if (reset) begin + buf_sz_1 = 2'h0; + end + if (reset) begin + buf_sz_2 = 2'h0; + end + if (reset) begin + buf_sz_3 = 2'h0; + end + if (reset) begin + obuf_rdrsp_pend = 1'h0; + end + if (reset) begin + obuf_rdrsp_tag = 3'h0; + end + if (reset) begin + buf_dualhi_3 = 1'h0; + end + if (reset) begin + buf_dualhi_2 = 1'h0; + end + if (reset) begin + buf_dualhi_1 = 1'h0; + end + if (reset) begin + buf_dualhi_0 = 1'h0; + end + if (reset) begin + obuf_sz = 2'h0; + end + if (reset) begin + obuf_byteen = 8'h0; + end + if (reset) begin + obuf_data = 64'h0; + end + if (reset) begin + buf_rspageQ_0 = 4'h0; + end + if (reset) begin + buf_rspageQ_1 = 4'h0; + end + if (reset) begin + buf_rspageQ_2 = 4'h0; + end + if (reset) begin + buf_rspageQ_3 = 4'h0; + end + if (reset) begin + _T_4307 = 1'h0; + end + if (reset) begin + _T_4305 = 1'h0; + end + if (reset) begin + _T_4303 = 1'h0; + end + if (reset) begin + _T_4301 = 1'h0; + end + if (reset) begin + buf_ldfwdtag_0 = 2'h0; + end + if (reset) begin + buf_dualtag_0 = 2'h0; + end + if (reset) begin + buf_ldfwdtag_3 = 2'h0; + end + if (reset) begin + buf_ldfwdtag_2 = 2'h0; + end + if (reset) begin + buf_ldfwdtag_1 = 2'h0; + end + if (reset) begin + buf_dualtag_1 = 2'h0; + end + if (reset) begin + buf_dualtag_2 = 2'h0; + end + if (reset) begin + buf_dualtag_3 = 2'h0; + end + if (reset) begin + _T_4336 = 1'h0; + end + if (reset) begin + _T_4339 = 1'h0; + end + if (reset) begin + _T_4342 = 1'h0; + end + if (reset) begin + _T_4345 = 1'h0; + end + if (reset) begin + _T_4411 = 1'h0; + end + if (reset) begin + _T_4406 = 1'h0; + end + if (reset) begin + _T_4401 = 1'h0; + end + if (reset) begin + _T_4396 = 1'h0; + end + if (reset) begin + lsu_nonblock_load_valid_r = 1'h0; + end + if (reset) begin + _T_4987 = 1'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + if (reset) begin + buf_addr_0 <= 32'h0; + end else if (ibuf_drainvec_vld[0]) begin + buf_addr_0 <= ibuf_addr; + end else if (_T_3343) begin + buf_addr_0 <= io_end_addr_r; + end else begin + buf_addr_0 <= io_lsu_addr_r; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4360 <= 1'h0; + end else if (buf_wr_en_3) begin + _T_4360 <= buf_write_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4357 <= 1'h0; + end else if (buf_wr_en_2) begin + _T_4357 <= buf_write_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4354 <= 1'h0; + end else if (buf_wr_en_1) begin + _T_4354 <= buf_write_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4351 <= 1'h0; + end else if (buf_wr_en_0) begin + _T_4351 <= buf_write_in[0]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_state_0 <= 3'h0; + end else if (buf_state_en_0) begin + if (_T_3528) begin + if (io_lsu_bus_clk_en) begin + buf_state_0 <= 3'h2; + end else begin + buf_state_0 <= 3'h1; + end + end else if (_T_3551) begin + if (io_dec_tlu_force_halt) begin + buf_state_0 <= 3'h0; + end else begin + buf_state_0 <= 3'h2; + end + end else if (_T_3555) begin + if (io_dec_tlu_force_halt) begin + buf_state_0 <= 3'h0; + end else if (_T_3559) begin + buf_state_0 <= 3'h5; + end else begin + buf_state_0 <= 3'h3; + end + end else if (_T_3589) begin + if (_T_3594) begin + buf_state_0 <= 3'h0; + end else if (_T_3602) begin + buf_state_0 <= 3'h4; + end else if (_T_3630) begin + buf_state_0 <= 3'h5; + end else begin + buf_state_0 <= 3'h6; + end + end else if (_T_3676) begin + if (io_dec_tlu_force_halt) begin + buf_state_0 <= 3'h0; + end else if (_T_3682) begin + buf_state_0 <= 3'h5; + end else begin + buf_state_0 <= 3'h6; + end + end else if (_T_3694) begin + if (io_dec_tlu_force_halt) begin + buf_state_0 <= 3'h0; + end else begin + buf_state_0 <= 3'h6; + end + end else begin + buf_state_0 <= 3'h0; + end + end + end + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + if (reset) begin + buf_addr_1 <= 32'h0; + end else if (ibuf_drainvec_vld[1]) begin + buf_addr_1 <= ibuf_addr; + end else if (_T_3352) begin + buf_addr_1 <= io_end_addr_r; + end else begin + buf_addr_1 <= io_lsu_addr_r; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_state_1 <= 3'h0; + end else if (buf_state_en_1) begin + if (_T_3721) begin + if (io_lsu_bus_clk_en) begin + buf_state_1 <= 3'h2; + end else begin + buf_state_1 <= 3'h1; + end + end else if (_T_3744) begin + if (io_dec_tlu_force_halt) begin + buf_state_1 <= 3'h0; + end else begin + buf_state_1 <= 3'h2; + end + end else if (_T_3748) begin + if (io_dec_tlu_force_halt) begin + buf_state_1 <= 3'h0; + end else if (_T_3559) begin + buf_state_1 <= 3'h5; + end else begin + buf_state_1 <= 3'h3; + end + end else if (_T_3782) begin + if (_T_3787) begin + buf_state_1 <= 3'h0; + end else if (_T_3795) begin + buf_state_1 <= 3'h4; + end else if (_T_3823) begin + buf_state_1 <= 3'h5; + end else begin + buf_state_1 <= 3'h6; + end + end else if (_T_3869) begin + if (io_dec_tlu_force_halt) begin + buf_state_1 <= 3'h0; + end else if (_T_3875) begin + buf_state_1 <= 3'h5; + end else begin + buf_state_1 <= 3'h6; + end + end else if (_T_3887) begin + if (io_dec_tlu_force_halt) begin + buf_state_1 <= 3'h0; + end else begin + buf_state_1 <= 3'h6; + end + end else begin + buf_state_1 <= 3'h0; + end + end + end + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + if (reset) begin + buf_addr_2 <= 32'h0; + end else if (ibuf_drainvec_vld[2]) begin + buf_addr_2 <= ibuf_addr; + end else if (_T_3361) begin + buf_addr_2 <= io_end_addr_r; + end else begin + buf_addr_2 <= io_lsu_addr_r; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_state_2 <= 3'h0; + end else if (buf_state_en_2) begin + if (_T_3914) begin + if (io_lsu_bus_clk_en) begin + buf_state_2 <= 3'h2; + end else begin + buf_state_2 <= 3'h1; + end + end else if (_T_3937) begin + if (io_dec_tlu_force_halt) begin + buf_state_2 <= 3'h0; + end else begin + buf_state_2 <= 3'h2; + end + end else if (_T_3941) begin + if (io_dec_tlu_force_halt) begin + buf_state_2 <= 3'h0; + end else if (_T_3559) begin + buf_state_2 <= 3'h5; + end else begin + buf_state_2 <= 3'h3; + end + end else if (_T_3975) begin + if (_T_3980) begin + buf_state_2 <= 3'h0; + end else if (_T_3988) begin + buf_state_2 <= 3'h4; + end else if (_T_4016) begin + buf_state_2 <= 3'h5; + end else begin + buf_state_2 <= 3'h6; + end + end else if (_T_4062) begin + if (io_dec_tlu_force_halt) begin + buf_state_2 <= 3'h0; + end else if (_T_4068) begin + buf_state_2 <= 3'h5; + end else begin + buf_state_2 <= 3'h6; + end + end else if (_T_4080) begin + if (io_dec_tlu_force_halt) begin + buf_state_2 <= 3'h0; + end else begin + buf_state_2 <= 3'h6; + end + end else begin + buf_state_2 <= 3'h0; + end + end + end + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + if (reset) begin + buf_addr_3 <= 32'h0; + end else if (ibuf_drainvec_vld[3]) begin + buf_addr_3 <= ibuf_addr; + end else if (_T_3370) begin + buf_addr_3 <= io_end_addr_r; + end else begin + buf_addr_3 <= io_lsu_addr_r; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_state_3 <= 3'h0; + end else if (buf_state_en_3) begin + if (_T_4107) begin + if (io_lsu_bus_clk_en) begin + buf_state_3 <= 3'h2; + end else begin + buf_state_3 <= 3'h1; + end + end else if (_T_4130) begin + if (io_dec_tlu_force_halt) begin + buf_state_3 <= 3'h0; + end else begin + buf_state_3 <= 3'h2; + end + end else if (_T_4134) begin + if (io_dec_tlu_force_halt) begin + buf_state_3 <= 3'h0; + end else if (_T_3559) begin + buf_state_3 <= 3'h5; + end else begin + buf_state_3 <= 3'h3; + end + end else if (_T_4168) begin + if (_T_4173) begin + buf_state_3 <= 3'h0; + end else if (_T_4181) begin + buf_state_3 <= 3'h4; + end else if (_T_4209) begin + buf_state_3 <= 3'h5; + end else begin + buf_state_3 <= 3'h6; + end + end else if (_T_4255) begin + if (io_dec_tlu_force_halt) begin + buf_state_3 <= 3'h0; + end else if (_T_4261) begin + buf_state_3 <= 3'h5; + end else begin + buf_state_3 <= 3'h6; + end + end else if (_T_4273) begin + if (io_dec_tlu_force_halt) begin + buf_state_3 <= 3'h0; + end else begin + buf_state_3 <= 3'h6; + end + end else begin + buf_state_3 <= 3'h0; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_byteen_3 <= 4'h0; + end else if (buf_wr_en_3) begin + if (ibuf_drainvec_vld[3]) begin + buf_byteen_3 <= ibuf_byteen_out; + end else if (_T_3370) begin + buf_byteen_3 <= ldst_byteen_hi_r; + end else begin + buf_byteen_3 <= ldst_byteen_lo_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_byteen_2 <= 4'h0; + end else if (buf_wr_en_2) begin + if (ibuf_drainvec_vld[2]) begin + buf_byteen_2 <= ibuf_byteen_out; + end else if (_T_3361) begin + buf_byteen_2 <= ldst_byteen_hi_r; + end else begin + buf_byteen_2 <= ldst_byteen_lo_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_byteen_1 <= 4'h0; + end else if (buf_wr_en_1) begin + if (ibuf_drainvec_vld[1]) begin + buf_byteen_1 <= ibuf_byteen_out; + end else if (_T_3352) begin + buf_byteen_1 <= ldst_byteen_hi_r; + end else begin + buf_byteen_1 <= ldst_byteen_lo_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_byteen_0 <= 4'h0; + end else if (buf_wr_en_0) begin + if (ibuf_drainvec_vld[0]) begin + buf_byteen_0 <= ibuf_byteen_out; + end else if (_T_3343) begin + buf_byteen_0 <= ldst_byteen_hi_r; + end else begin + buf_byteen_0 <= ldst_byteen_lo_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ageQ_3 <= 4'h0; + end else begin + buf_ageQ_3 <= {_T_2535,_T_2458}; + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + _T_1848 <= 2'h0; + end else if (obuf_wr_en) begin + if (ibuf_buf_byp) begin + _T_1848 <= WrPtr0_r; + end else begin + _T_1848 <= CmdPtr0; + end + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + obuf_merge <= 1'h0; + end else if (obuf_wr_en) begin + obuf_merge <= obuf_merge_en; + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + obuf_tag1 <= 2'h0; + end else if (obuf_wr_en) begin + if (ibuf_buf_byp) begin + obuf_tag1 <= WrPtr1_r; + end else begin + obuf_tag1 <= CmdPtr1; + end + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + obuf_valid <= 1'h0; + end else begin + obuf_valid <= _T_1839 & _T_1840; + end + end + always @(posedge io_lsu_busm_clk or posedge reset) begin + if (reset) begin + obuf_wr_enQ <= 1'h0; + end else begin + obuf_wr_enQ <= _T_1240 & io_lsu_bus_clk_en; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + ibuf_addr <= 32'h0; + end else if (io_ldst_dual_r) begin + ibuf_addr <= io_end_addr_r; + end else begin + ibuf_addr <= io_lsu_addr_r; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_write <= 1'h0; + end else if (ibuf_wr_en) begin + ibuf_write <= io_lsu_pkt_r_bits_store; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + ibuf_valid <= 1'h0; + end else begin + ibuf_valid <= _T_1005 & _T_1006; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_byteen <= 4'h0; + end else if (ibuf_wr_en) begin + if (_T_866) begin + ibuf_byteen <= _T_881; + end else if (io_ldst_dual_r) begin + ibuf_byteen <= ldst_byteen_hi_r; + end else begin + ibuf_byteen <= ldst_byteen_lo_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ageQ_2 <= 4'h0; + end else begin + buf_ageQ_2 <= {_T_2433,_T_2356}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ageQ_1 <= 4'h0; + end else begin + buf_ageQ_1 <= {_T_2331,_T_2254}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ageQ_0 <= 4'h0; + end else begin + buf_ageQ_0 <= {_T_2229,_T_2152}; + end + end + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin + if (reset) begin + buf_data_0 <= 32'h0; + end else if (_T_3528) begin + if (_T_3543) begin + buf_data_0 <= ibuf_data_out; + end else begin + buf_data_0 <= store_data_lo_r; + end + end else if (_T_3551) begin + buf_data_0 <= 32'h0; + end else if (_T_3555) begin + if (buf_error_en_0) begin + buf_data_0 <= io_lsu_axi_r_bits_data[31:0]; + end else if (buf_addr_0[2]) begin + buf_data_0 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_0 <= io_lsu_axi_r_bits_data[31:0]; + end + end else if (_T_3589) begin + if (_T_3669) begin + if (buf_addr_0[2]) begin + buf_data_0 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_0 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_0 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_0 <= 32'h0; + end + end + always @(posedge rvclkhdr_9_io_l1clk or posedge reset) begin + if (reset) begin + buf_data_1 <= 32'h0; + end else if (_T_3721) begin + if (_T_3736) begin + buf_data_1 <= ibuf_data_out; + end else begin + buf_data_1 <= store_data_lo_r; + end + end else if (_T_3744) begin + buf_data_1 <= 32'h0; + end else if (_T_3748) begin + if (buf_error_en_1) begin + buf_data_1 <= io_lsu_axi_r_bits_data[31:0]; + end else if (buf_addr_1[2]) begin + buf_data_1 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_1 <= io_lsu_axi_r_bits_data[31:0]; + end + end else if (_T_3782) begin + if (_T_3862) begin + if (buf_addr_1[2]) begin + buf_data_1 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_1 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_1 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_1 <= 32'h0; + end + end + always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin + if (reset) begin + buf_data_2 <= 32'h0; + end else if (_T_3914) begin + if (_T_3929) begin + buf_data_2 <= ibuf_data_out; + end else begin + buf_data_2 <= store_data_lo_r; + end + end else if (_T_3937) begin + buf_data_2 <= 32'h0; + end else if (_T_3941) begin + if (buf_error_en_2) begin + buf_data_2 <= io_lsu_axi_r_bits_data[31:0]; + end else if (buf_addr_2[2]) begin + buf_data_2 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_2 <= io_lsu_axi_r_bits_data[31:0]; + end + end else if (_T_3975) begin + if (_T_4055) begin + if (buf_addr_2[2]) begin + buf_data_2 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_2 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_2 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_2 <= 32'h0; + end + end + always @(posedge rvclkhdr_11_io_l1clk or posedge reset) begin + if (reset) begin + buf_data_3 <= 32'h0; + end else if (_T_4107) begin + if (_T_4122) begin + buf_data_3 <= ibuf_data_out; + end else begin + buf_data_3 <= store_data_lo_r; + end + end else if (_T_4130) begin + buf_data_3 <= 32'h0; + end else if (_T_4134) begin + if (buf_error_en_3) begin + buf_data_3 <= io_lsu_axi_r_bits_data[31:0]; + end else if (buf_addr_3[2]) begin + buf_data_3 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_3 <= io_lsu_axi_r_bits_data[31:0]; + end + end else if (_T_4168) begin + if (_T_4248) begin + if (buf_addr_3[2]) begin + buf_data_3 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_3 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_3 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_3 <= 32'h0; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + ibuf_data <= 32'h0; + end else begin + ibuf_data <= {_T_922,_T_893}; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + ibuf_timer <= 3'h0; + end else if (ibuf_wr_en) begin + ibuf_timer <= 3'h0; + end else if (_T_923) begin + ibuf_timer <= _T_926; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_sideeffect <= 1'h0; + end else if (ibuf_wr_en) begin + ibuf_sideeffect <= io_is_sideeffects_r; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + WrPtr1_r <= 2'h0; + end else if (_T_1914) begin + WrPtr1_r <= 2'h0; + end else if (_T_1928) begin + WrPtr1_r <= 2'h1; + end else if (_T_1942) begin + WrPtr1_r <= 2'h2; + end else begin + WrPtr1_r <= 2'h3; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + WrPtr0_r <= 2'h0; + end else if (_T_1863) begin + WrPtr0_r <= 2'h0; + end else if (_T_1874) begin + WrPtr0_r <= 2'h1; + end else if (_T_1885) begin + WrPtr0_r <= 2'h2; + end else begin + WrPtr0_r <= 2'h3; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_tag <= 2'h0; + end else if (ibuf_wr_en) begin + if (!(_T_866)) begin + if (io_ldst_dual_r) begin + ibuf_tag <= WrPtr1_r; + end else begin + ibuf_tag <= WrPtr0_r; + end + end + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_dualtag <= 2'h0; + end else if (ibuf_wr_en) begin + ibuf_dualtag <= WrPtr0_r; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_dual <= 1'h0; + end else if (ibuf_wr_en) begin + ibuf_dual <= io_ldst_dual_r; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_samedw <= 1'h0; + end else if (ibuf_wr_en) begin + ibuf_samedw <= ldst_samedw_r; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_nomerge <= 1'h0; + end else if (ibuf_wr_en) begin + ibuf_nomerge <= io_no_dword_merge_r; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_unsign <= 1'h0; + end else if (ibuf_wr_en) begin + ibuf_unsign <= io_lsu_pkt_r_bits_unsign; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_sz <= 2'h0; + end else if (ibuf_wr_en) begin + ibuf_sz <= ibuf_sz_in; + end + end + always @(posedge io_lsu_busm_clk or posedge reset) begin + if (reset) begin + obuf_wr_timer <= 3'h0; + end else if (obuf_wr_en) begin + obuf_wr_timer <= 3'h0; + end else if (_T_1058) begin + obuf_wr_timer <= _T_1060; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_nomerge_0 <= 1'h0; + end else if (buf_wr_en_0) begin + buf_nomerge_0 <= buf_nomerge_in[0]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_nomerge_1 <= 1'h0; + end else if (buf_wr_en_1) begin + buf_nomerge_1 <= buf_nomerge_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_nomerge_2 <= 1'h0; + end else if (buf_wr_en_2) begin + buf_nomerge_2 <= buf_nomerge_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_nomerge_3 <= 1'h0; + end else if (buf_wr_en_3) begin + buf_nomerge_3 <= buf_nomerge_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4330 <= 1'h0; + end else if (buf_wr_en_3) begin + _T_4330 <= buf_sideeffect_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4327 <= 1'h0; + end else if (buf_wr_en_2) begin + _T_4327 <= buf_sideeffect_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4324 <= 1'h0; + end else if (buf_wr_en_1) begin + _T_4324 <= buf_sideeffect_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4321 <= 1'h0; + end else if (buf_wr_en_0) begin + _T_4321 <= buf_sideeffect_in[0]; + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + obuf_sideeffect <= 1'h0; + end else if (obuf_wr_en) begin + if (ibuf_buf_byp) begin + obuf_sideeffect <= io_is_sideeffects_r; + end else begin + obuf_sideeffect <= _T_1051; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dual_3 <= 1'h0; + end else if (buf_wr_en_3) begin + buf_dual_3 <= buf_dual_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dual_2 <= 1'h0; + end else if (buf_wr_en_2) begin + buf_dual_2 <= buf_dual_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dual_1 <= 1'h0; + end else if (buf_wr_en_1) begin + buf_dual_1 <= buf_dual_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dual_0 <= 1'h0; + end else if (buf_wr_en_0) begin + buf_dual_0 <= buf_dual_in[0]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_samedw_3 <= 1'h0; + end else if (buf_wr_en_3) begin + buf_samedw_3 <= buf_samedw_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_samedw_2 <= 1'h0; + end else if (buf_wr_en_2) begin + buf_samedw_2 <= buf_samedw_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_samedw_1 <= 1'h0; + end else if (buf_wr_en_1) begin + buf_samedw_1 <= buf_samedw_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_samedw_0 <= 1'h0; + end else if (buf_wr_en_0) begin + buf_samedw_0 <= buf_samedw_in[0]; + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + obuf_write <= 1'h0; + end else if (obuf_wr_en) begin + if (ibuf_buf_byp) begin + obuf_write <= io_lsu_pkt_r_bits_store; + end else begin + obuf_write <= _T_1202; + end + end + end + always @(posedge io_lsu_busm_clk or posedge reset) begin + if (reset) begin + obuf_cmd_done <= 1'h0; + end else begin + obuf_cmd_done <= _T_1305 & _T_4863; + end + end + always @(posedge io_lsu_busm_clk or posedge reset) begin + if (reset) begin + obuf_data_done <= 1'h0; + end else begin + obuf_data_done <= _T_1305 & _T_4864; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + obuf_nosend <= 1'h0; + end else if (obuf_wr_en) begin + obuf_nosend <= obuf_nosend_in; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + obuf_addr <= 32'h0; + end else if (ibuf_buf_byp) begin + obuf_addr <= io_lsu_addr_r; + end else begin + obuf_addr <= _T_1289; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_sz_0 <= 2'h0; + end else if (buf_wr_en_0) begin + if (ibuf_drainvec_vld[0]) begin + buf_sz_0 <= ibuf_sz; + end else begin + buf_sz_0 <= ibuf_sz_in; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_sz_1 <= 2'h0; + end else if (buf_wr_en_1) begin + if (ibuf_drainvec_vld[1]) begin + buf_sz_1 <= ibuf_sz; + end else begin + buf_sz_1 <= ibuf_sz_in; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_sz_2 <= 2'h0; + end else if (buf_wr_en_2) begin + if (ibuf_drainvec_vld[2]) begin + buf_sz_2 <= ibuf_sz; + end else begin + buf_sz_2 <= ibuf_sz_in; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_sz_3 <= 2'h0; + end else if (buf_wr_en_3) begin + if (ibuf_drainvec_vld[3]) begin + buf_sz_3 <= ibuf_sz; + end else begin + buf_sz_3 <= ibuf_sz_in; + end + end + end + always @(posedge io_lsu_busm_clk or posedge reset) begin + if (reset) begin + obuf_rdrsp_pend <= 1'h0; + end else begin + obuf_rdrsp_pend <= _T_1330 | _T_1334; + end + end + always @(posedge io_lsu_busm_clk or posedge reset) begin + if (reset) begin + obuf_rdrsp_tag <= 3'h0; + end else if (_T_1332) begin + obuf_rdrsp_tag <= obuf_tag0; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualhi_3 <= 1'h0; + end else if (buf_wr_en_3) begin + buf_dualhi_3 <= buf_dualhi_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualhi_2 <= 1'h0; + end else if (buf_wr_en_2) begin + buf_dualhi_2 <= buf_dualhi_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualhi_1 <= 1'h0; + end else if (buf_wr_en_1) begin + buf_dualhi_1 <= buf_dualhi_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualhi_0 <= 1'h0; + end else if (buf_wr_en_0) begin + buf_dualhi_0 <= buf_dualhi_in[0]; + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + obuf_sz <= 2'h0; + end else if (obuf_wr_en) begin + if (ibuf_buf_byp) begin + obuf_sz <= ibuf_sz_in; + end else begin + obuf_sz <= _T_1302; + end + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + obuf_byteen <= 8'h0; + end else if (obuf_wr_en) begin + obuf_byteen <= obuf_byteen_in; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + obuf_data <= 64'h0; + end else begin + obuf_data <= {_T_1620,_T_1579}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_rspageQ_0 <= 4'h0; + end else begin + buf_rspageQ_0 <= {_T_3173,_T_3162}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_rspageQ_1 <= 4'h0; + end else begin + buf_rspageQ_1 <= {_T_3188,_T_3177}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_rspageQ_2 <= 4'h0; + end else begin + buf_rspageQ_2 <= {_T_3203,_T_3192}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_rspageQ_3 <= 4'h0; + end else begin + buf_rspageQ_3 <= {_T_3218,_T_3207}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4307 <= 1'h0; + end else if (buf_ldfwd_en_3) begin + if (_T_4107) begin + _T_4307 <= 1'h0; + end else if (_T_4130) begin + _T_4307 <= 1'h0; + end else begin + _T_4307 <= _T_4134; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4305 <= 1'h0; + end else if (buf_ldfwd_en_2) begin + if (_T_3914) begin + _T_4305 <= 1'h0; + end else if (_T_3937) begin + _T_4305 <= 1'h0; + end else begin + _T_4305 <= _T_3941; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4303 <= 1'h0; + end else if (buf_ldfwd_en_1) begin + if (_T_3721) begin + _T_4303 <= 1'h0; + end else if (_T_3744) begin + _T_4303 <= 1'h0; + end else begin + _T_4303 <= _T_3748; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4301 <= 1'h0; + end else if (buf_ldfwd_en_0) begin + if (_T_3528) begin + _T_4301 <= 1'h0; + end else if (_T_3551) begin + _T_4301 <= 1'h0; + end else begin + _T_4301 <= _T_3555; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ldfwdtag_0 <= 2'h0; + end else if (buf_ldfwd_en_0) begin + if (_T_3528) begin + buf_ldfwdtag_0 <= 2'h0; + end else if (_T_3551) begin + buf_ldfwdtag_0 <= 2'h0; + end else if (_T_3555) begin + buf_ldfwdtag_0 <= obuf_rdrsp_tag[1:0]; + end else begin + buf_ldfwdtag_0 <= 2'h0; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualtag_0 <= 2'h0; + end else if (buf_wr_en_0) begin + if (ibuf_drainvec_vld[0]) begin + buf_dualtag_0 <= ibuf_dualtag; + end else if (_T_3343) begin + buf_dualtag_0 <= WrPtr0_r; + end else begin + buf_dualtag_0 <= WrPtr1_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ldfwdtag_3 <= 2'h0; + end else if (buf_ldfwd_en_3) begin + if (_T_4107) begin + buf_ldfwdtag_3 <= 2'h0; + end else if (_T_4130) begin + buf_ldfwdtag_3 <= 2'h0; + end else if (_T_4134) begin + buf_ldfwdtag_3 <= obuf_rdrsp_tag[1:0]; + end else begin + buf_ldfwdtag_3 <= 2'h0; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ldfwdtag_2 <= 2'h0; + end else if (buf_ldfwd_en_2) begin + if (_T_3914) begin + buf_ldfwdtag_2 <= 2'h0; + end else if (_T_3937) begin + buf_ldfwdtag_2 <= 2'h0; + end else if (_T_3941) begin + buf_ldfwdtag_2 <= obuf_rdrsp_tag[1:0]; + end else begin + buf_ldfwdtag_2 <= 2'h0; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ldfwdtag_1 <= 2'h0; + end else if (buf_ldfwd_en_1) begin + if (_T_3721) begin + buf_ldfwdtag_1 <= 2'h0; + end else if (_T_3744) begin + buf_ldfwdtag_1 <= 2'h0; + end else if (_T_3748) begin + buf_ldfwdtag_1 <= obuf_rdrsp_tag[1:0]; + end else begin + buf_ldfwdtag_1 <= 2'h0; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualtag_1 <= 2'h0; + end else if (buf_wr_en_1) begin + if (ibuf_drainvec_vld[1]) begin + buf_dualtag_1 <= ibuf_dualtag; + end else if (_T_3352) begin + buf_dualtag_1 <= WrPtr0_r; + end else begin + buf_dualtag_1 <= WrPtr1_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualtag_2 <= 2'h0; + end else if (buf_wr_en_2) begin + if (ibuf_drainvec_vld[2]) begin + buf_dualtag_2 <= ibuf_dualtag; + end else if (_T_3361) begin + buf_dualtag_2 <= WrPtr0_r; + end else begin + buf_dualtag_2 <= WrPtr1_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualtag_3 <= 2'h0; + end else if (buf_wr_en_3) begin + if (ibuf_drainvec_vld[3]) begin + buf_dualtag_3 <= ibuf_dualtag; + end else if (_T_3370) begin + buf_dualtag_3 <= WrPtr0_r; + end else begin + buf_dualtag_3 <= WrPtr1_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4336 <= 1'h0; + end else if (buf_wr_en_0) begin + _T_4336 <= buf_unsign_in[0]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4339 <= 1'h0; + end else if (buf_wr_en_1) begin + _T_4339 <= buf_unsign_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4342 <= 1'h0; + end else if (buf_wr_en_2) begin + _T_4342 <= buf_unsign_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4345 <= 1'h0; + end else if (buf_wr_en_3) begin + _T_4345 <= buf_unsign_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4411 <= 1'h0; + end else begin + _T_4411 <= _T_4408 & _T_4409; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4406 <= 1'h0; + end else begin + _T_4406 <= _T_4403 & _T_4404; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4401 <= 1'h0; + end else begin + _T_4401 <= _T_4398 & _T_4399; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4396 <= 1'h0; + end else begin + _T_4396 <= _T_4393 & _T_4394; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + lsu_nonblock_load_valid_r <= 1'h0; + end else begin + lsu_nonblock_load_valid_r <= io_dctl_busbuff_lsu_nonblock_load_valid_m; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_4987 <= 1'h0; + end else begin + _T_4987 <= _T_4984 & _T_4518; + end + end +endmodule +module lsu_bus_intf( + input clock, + input reset, + input io_scan_mode, + output io_tlu_busbuff_lsu_pmu_bus_trxn, + output io_tlu_busbuff_lsu_pmu_bus_misaligned, + output io_tlu_busbuff_lsu_pmu_bus_error, + output io_tlu_busbuff_lsu_pmu_bus_busy, + input io_tlu_busbuff_dec_tlu_external_ldfwd_disable, + input io_tlu_busbuff_dec_tlu_wb_coalescing_disable, + input io_tlu_busbuff_dec_tlu_sideeffect_posted_disable, + output io_tlu_busbuff_lsu_imprecise_error_load_any, + output io_tlu_busbuff_lsu_imprecise_error_store_any, + output [31:0] io_tlu_busbuff_lsu_imprecise_error_addr_any, + input io_lsu_c1_m_clk, + input io_lsu_c1_r_clk, + input io_lsu_c2_r_clk, + input io_lsu_bus_ibuf_c1_clk, + input io_lsu_bus_obuf_c1_clk, + input io_lsu_bus_buf_c1_clk, + input io_lsu_free_c2_clk, + input io_free_clk, + input io_lsu_busm_clk, + input io_axi_aw_ready, + output io_axi_aw_valid, + output [2:0] io_axi_aw_bits_id, + output [31:0] io_axi_aw_bits_addr, + output [3:0] io_axi_aw_bits_region, + output [2:0] io_axi_aw_bits_size, + output [3:0] io_axi_aw_bits_cache, + input io_axi_w_ready, + output io_axi_w_valid, + output [63:0] io_axi_w_bits_data, + output [7:0] io_axi_w_bits_strb, + input io_axi_b_valid, + input [1:0] io_axi_b_bits_resp, + input [2:0] io_axi_b_bits_id, + input io_axi_ar_ready, + output io_axi_ar_valid, + output [2:0] io_axi_ar_bits_id, + output [31:0] io_axi_ar_bits_addr, + output [3:0] io_axi_ar_bits_region, + output [2:0] io_axi_ar_bits_size, + output [3:0] io_axi_ar_bits_cache, + input io_axi_r_valid, + input [2:0] io_axi_r_bits_id, + input [63:0] io_axi_r_bits_data, + input [1:0] io_axi_r_bits_resp, + input io_dec_lsu_valid_raw_d, + input io_lsu_busreq_m, + input io_lsu_pkt_m_valid, + input io_lsu_pkt_m_bits_by, + input io_lsu_pkt_m_bits_half, + input io_lsu_pkt_m_bits_word, + input io_lsu_pkt_m_bits_load, + input io_lsu_pkt_r_valid, + input io_lsu_pkt_r_bits_by, + input io_lsu_pkt_r_bits_half, + input io_lsu_pkt_r_bits_word, + input io_lsu_pkt_r_bits_load, + input io_lsu_pkt_r_bits_store, + input io_lsu_pkt_r_bits_unsign, + input [31:0] io_lsu_addr_d, + input [31:0] io_lsu_addr_m, + input [31:0] io_lsu_addr_r, + input [31:0] io_end_addr_d, + input [31:0] io_end_addr_m, + input [31:0] io_end_addr_r, + input [31:0] io_store_data_r, + input io_dec_tlu_force_halt, + input io_lsu_commit_r, + input io_is_sideeffects_m, + input io_flush_m_up, + input io_flush_r, + output io_lsu_busreq_r, + output io_lsu_bus_buffer_pend_any, + output io_lsu_bus_buffer_full_any, + output io_lsu_bus_buffer_empty_any, + output [31:0] io_bus_read_data_m, + output io_dctl_busbuff_lsu_nonblock_load_valid_m, + output [1:0] io_dctl_busbuff_lsu_nonblock_load_tag_m, + output io_dctl_busbuff_lsu_nonblock_load_inv_r, + output [1:0] io_dctl_busbuff_lsu_nonblock_load_inv_tag_r, + output io_dctl_busbuff_lsu_nonblock_load_data_valid, + output io_dctl_busbuff_lsu_nonblock_load_data_error, + output [1:0] io_dctl_busbuff_lsu_nonblock_load_data_tag, + output [31:0] io_dctl_busbuff_lsu_nonblock_load_data, + input io_lsu_bus_clk_en +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; +`endif // RANDOMIZE_REG_INIT + wire bus_buffer_clock; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_reset; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_scan_mode; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_lsu_pmu_bus_trxn; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_lsu_pmu_bus_misaligned; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_lsu_pmu_bus_error; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_lsu_pmu_bus_busy; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_lsu_imprecise_error_load_any; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_tlu_busbuff_lsu_imprecise_error_addr_any; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_dctl_busbuff_lsu_nonblock_load_valid_m; // @[lsu_bus_intf.scala 100:39] + wire [1:0] bus_buffer_io_dctl_busbuff_lsu_nonblock_load_tag_m; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_dctl_busbuff_lsu_nonblock_load_inv_r; // @[lsu_bus_intf.scala 100:39] + wire [1:0] bus_buffer_io_dctl_busbuff_lsu_nonblock_load_inv_tag_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_valid; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_intf.scala 100:39] + wire [1:0] bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_tag; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_dec_tlu_force_halt; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_c2_r_clk; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_ibuf_c1_clk; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_obuf_c1_clk; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_buf_c1_clk; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_free_c2_clk; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_busm_clk; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_dec_lsu_valid_raw_d; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_m_valid; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_m_bits_load; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_r_bits_by; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_r_bits_half; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_r_bits_word; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_r_bits_load; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_r_bits_unsign; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_lsu_addr_m; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_end_addr_m; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_lsu_addr_r; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_end_addr_r; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_store_data_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_no_word_merge_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_no_dword_merge_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_busreq_m; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_ld_full_hit_m; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_flush_m_up; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_flush_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_commit_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_is_sideeffects_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_ldst_dual_d; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_ldst_dual_m; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_ldst_dual_r; // @[lsu_bus_intf.scala 100:39] + wire [7:0] bus_buffer_io_ldst_byteen_ext_m; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_aw_ready; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_aw_valid; // @[lsu_bus_intf.scala 100:39] + wire [2:0] bus_buffer_io_lsu_axi_aw_bits_id; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_lsu_axi_aw_bits_addr; // @[lsu_bus_intf.scala 100:39] + wire [3:0] bus_buffer_io_lsu_axi_aw_bits_region; // @[lsu_bus_intf.scala 100:39] + wire [2:0] bus_buffer_io_lsu_axi_aw_bits_size; // @[lsu_bus_intf.scala 100:39] + wire [3:0] bus_buffer_io_lsu_axi_aw_bits_cache; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_w_ready; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_w_valid; // @[lsu_bus_intf.scala 100:39] + wire [63:0] bus_buffer_io_lsu_axi_w_bits_data; // @[lsu_bus_intf.scala 100:39] + wire [7:0] bus_buffer_io_lsu_axi_w_bits_strb; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_b_ready; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_b_valid; // @[lsu_bus_intf.scala 100:39] + wire [1:0] bus_buffer_io_lsu_axi_b_bits_resp; // @[lsu_bus_intf.scala 100:39] + wire [2:0] bus_buffer_io_lsu_axi_b_bits_id; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_ar_ready; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_ar_valid; // @[lsu_bus_intf.scala 100:39] + wire [2:0] bus_buffer_io_lsu_axi_ar_bits_id; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_lsu_axi_ar_bits_addr; // @[lsu_bus_intf.scala 100:39] + wire [3:0] bus_buffer_io_lsu_axi_ar_bits_region; // @[lsu_bus_intf.scala 100:39] + wire [2:0] bus_buffer_io_lsu_axi_ar_bits_size; // @[lsu_bus_intf.scala 100:39] + wire [3:0] bus_buffer_io_lsu_axi_ar_bits_cache; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_r_ready; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_r_valid; // @[lsu_bus_intf.scala 100:39] + wire [2:0] bus_buffer_io_lsu_axi_r_bits_id; // @[lsu_bus_intf.scala 100:39] + wire [63:0] bus_buffer_io_lsu_axi_r_bits_data; // @[lsu_bus_intf.scala 100:39] + wire [1:0] bus_buffer_io_lsu_axi_r_bits_resp; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_clk_en; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_clk_en_q; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_busreq_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_buffer_pend_any; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_buffer_full_any; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_buffer_empty_any; // @[lsu_bus_intf.scala 100:39] + wire [3:0] bus_buffer_io_ld_byte_hit_buf_lo; // @[lsu_bus_intf.scala 100:39] + wire [3:0] bus_buffer_io_ld_byte_hit_buf_hi; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_ld_fwddata_buf_lo; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_ld_fwddata_buf_hi; // @[lsu_bus_intf.scala 100:39] + wire [3:0] _T_3 = io_lsu_pkt_m_bits_word ? 4'hf : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_4 = io_lsu_pkt_m_bits_half ? 4'h3 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_5 = io_lsu_pkt_m_bits_by ? 4'h1 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_6 = _T_3 | _T_4; // @[Mux.scala 27:72] + wire [3:0] ldst_byteen_m = _T_6 | _T_5; // @[Mux.scala 27:72] + wire addr_match_dw_lo_r_m = io_lsu_addr_r[31:3] == io_lsu_addr_m[31:3]; // @[lsu_bus_intf.scala 154:51] + wire _T_17 = io_lsu_addr_r[2] ^ io_lsu_addr_m[2]; // @[lsu_bus_intf.scala 155:71] + wire _T_18 = ~_T_17; // @[lsu_bus_intf.scala 155:53] + wire addr_match_word_lo_r_m = addr_match_dw_lo_r_m & _T_18; // @[lsu_bus_intf.scala 155:51] + reg ldst_dual_r; // @[lsu_bus_intf.scala 200:33] + wire _T_20 = ~ldst_dual_r; // @[lsu_bus_intf.scala 156:48] + wire _T_21 = io_lsu_busreq_r & _T_20; // @[lsu_bus_intf.scala 156:46] + wire _T_22 = _T_21 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 156:61] + wire _T_23 = ~addr_match_word_lo_r_m; // @[lsu_bus_intf.scala 156:107] + wire _T_24 = io_lsu_pkt_m_bits_load | _T_23; // @[lsu_bus_intf.scala 156:105] + wire _T_29 = ~addr_match_dw_lo_r_m; // @[lsu_bus_intf.scala 157:107] + wire _T_30 = io_lsu_pkt_m_bits_load | _T_29; // @[lsu_bus_intf.scala 157:105] + wire [6:0] _GEN_0 = {{3'd0}, ldst_byteen_m}; // @[lsu_bus_intf.scala 159:49] + wire [6:0] _T_34 = _GEN_0 << io_lsu_addr_m[1:0]; // @[lsu_bus_intf.scala 159:49] + reg [3:0] ldst_byteen_r; // @[lsu_bus_intf.scala 202:33] + wire [6:0] _GEN_1 = {{3'd0}, ldst_byteen_r}; // @[lsu_bus_intf.scala 160:49] + wire [6:0] _T_37 = _GEN_1 << io_lsu_addr_r[1:0]; // @[lsu_bus_intf.scala 160:49] + wire [4:0] _T_40 = {io_lsu_addr_r[1:0],3'h0}; // @[Cat.scala 29:58] + wire [62:0] _GEN_2 = {{31'd0}, io_store_data_r}; // @[lsu_bus_intf.scala 161:52] + wire [62:0] _T_41 = _GEN_2 << _T_40; // @[lsu_bus_intf.scala 161:52] + wire [7:0] ldst_byteen_ext_m = {{1'd0}, _T_34}; // @[lsu_bus_intf.scala 159:27] + wire [3:0] ldst_byteen_hi_m = ldst_byteen_ext_m[7:4]; // @[lsu_bus_intf.scala 162:47] + wire [3:0] ldst_byteen_lo_m = ldst_byteen_ext_m[3:0]; // @[lsu_bus_intf.scala 163:47] + wire [7:0] ldst_byteen_ext_r = {{1'd0}, _T_37}; // @[lsu_bus_intf.scala 160:27] + wire [3:0] ldst_byteen_hi_r = ldst_byteen_ext_r[7:4]; // @[lsu_bus_intf.scala 164:47] + wire [3:0] ldst_byteen_lo_r = ldst_byteen_ext_r[3:0]; // @[lsu_bus_intf.scala 165:47] + wire [63:0] store_data_ext_r = {{1'd0}, _T_41}; // @[lsu_bus_intf.scala 161:27] + wire [31:0] store_data_hi_r = store_data_ext_r[63:32]; // @[lsu_bus_intf.scala 167:46] + wire [31:0] store_data_lo_r = store_data_ext_r[31:0]; // @[lsu_bus_intf.scala 168:46] + wire _T_50 = io_lsu_addr_m[31:2] == io_lsu_addr_r[31:2]; // @[lsu_bus_intf.scala 169:51] + wire _T_51 = _T_50 & io_lsu_pkt_r_valid; // @[lsu_bus_intf.scala 169:76] + wire _T_52 = _T_51 & io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 169:97] + wire ld_addr_rhit_lo_lo = _T_52 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 169:123] + wire _T_56 = io_end_addr_m[31:2] == io_lsu_addr_r[31:2]; // @[lsu_bus_intf.scala 170:51] + wire _T_57 = _T_56 & io_lsu_pkt_r_valid; // @[lsu_bus_intf.scala 170:76] + wire _T_58 = _T_57 & io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 170:97] + wire ld_addr_rhit_lo_hi = _T_58 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 170:123] + wire _T_62 = io_lsu_addr_m[31:2] == io_end_addr_r[31:2]; // @[lsu_bus_intf.scala 171:51] + wire _T_63 = _T_62 & io_lsu_pkt_r_valid; // @[lsu_bus_intf.scala 171:76] + wire _T_64 = _T_63 & io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 171:97] + wire ld_addr_rhit_hi_lo = _T_64 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 171:123] + wire _T_68 = io_end_addr_m[31:2] == io_end_addr_r[31:2]; // @[lsu_bus_intf.scala 172:51] + wire _T_69 = _T_68 & io_lsu_pkt_r_valid; // @[lsu_bus_intf.scala 172:76] + wire _T_70 = _T_69 & io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 172:97] + wire ld_addr_rhit_hi_hi = _T_70 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 172:123] + wire _T_73 = ld_addr_rhit_lo_lo & ldst_byteen_lo_r[0]; // @[lsu_bus_intf.scala 174:70] + wire _T_75 = _T_73 & ldst_byteen_lo_m[0]; // @[lsu_bus_intf.scala 174:92] + wire _T_77 = ld_addr_rhit_lo_lo & ldst_byteen_lo_r[1]; // @[lsu_bus_intf.scala 174:70] + wire _T_79 = _T_77 & ldst_byteen_lo_m[1]; // @[lsu_bus_intf.scala 174:92] + wire _T_81 = ld_addr_rhit_lo_lo & ldst_byteen_lo_r[2]; // @[lsu_bus_intf.scala 174:70] + wire _T_83 = _T_81 & ldst_byteen_lo_m[2]; // @[lsu_bus_intf.scala 174:92] + wire _T_85 = ld_addr_rhit_lo_lo & ldst_byteen_lo_r[3]; // @[lsu_bus_intf.scala 174:70] + wire _T_87 = _T_85 & ldst_byteen_lo_m[3]; // @[lsu_bus_intf.scala 174:92] + wire [3:0] ld_byte_rhit_lo_lo = {_T_87,_T_83,_T_79,_T_75}; // @[Cat.scala 29:58] + wire _T_92 = ld_addr_rhit_lo_hi & ldst_byteen_lo_r[0]; // @[lsu_bus_intf.scala 175:70] + wire _T_94 = _T_92 & ldst_byteen_hi_m[0]; // @[lsu_bus_intf.scala 175:92] + wire _T_96 = ld_addr_rhit_lo_hi & ldst_byteen_lo_r[1]; // @[lsu_bus_intf.scala 175:70] + wire _T_98 = _T_96 & ldst_byteen_hi_m[1]; // @[lsu_bus_intf.scala 175:92] + wire _T_100 = ld_addr_rhit_lo_hi & ldst_byteen_lo_r[2]; // @[lsu_bus_intf.scala 175:70] + wire _T_102 = _T_100 & ldst_byteen_hi_m[2]; // @[lsu_bus_intf.scala 175:92] + wire _T_104 = ld_addr_rhit_lo_hi & ldst_byteen_lo_r[3]; // @[lsu_bus_intf.scala 175:70] + wire _T_106 = _T_104 & ldst_byteen_hi_m[3]; // @[lsu_bus_intf.scala 175:92] + wire [3:0] ld_byte_rhit_lo_hi = {_T_106,_T_102,_T_98,_T_94}; // @[Cat.scala 29:58] + wire _T_111 = ld_addr_rhit_hi_lo & ldst_byteen_hi_r[0]; // @[lsu_bus_intf.scala 176:70] + wire _T_113 = _T_111 & ldst_byteen_lo_m[0]; // @[lsu_bus_intf.scala 176:92] + wire _T_115 = ld_addr_rhit_hi_lo & ldst_byteen_hi_r[1]; // @[lsu_bus_intf.scala 176:70] + wire _T_117 = _T_115 & ldst_byteen_lo_m[1]; // @[lsu_bus_intf.scala 176:92] + wire _T_119 = ld_addr_rhit_hi_lo & ldst_byteen_hi_r[2]; // @[lsu_bus_intf.scala 176:70] + wire _T_121 = _T_119 & ldst_byteen_lo_m[2]; // @[lsu_bus_intf.scala 176:92] + wire _T_123 = ld_addr_rhit_hi_lo & ldst_byteen_hi_r[3]; // @[lsu_bus_intf.scala 176:70] + wire _T_125 = _T_123 & ldst_byteen_lo_m[3]; // @[lsu_bus_intf.scala 176:92] + wire [3:0] ld_byte_rhit_hi_lo = {_T_125,_T_121,_T_117,_T_113}; // @[Cat.scala 29:58] + wire _T_130 = ld_addr_rhit_hi_hi & ldst_byteen_hi_r[0]; // @[lsu_bus_intf.scala 177:70] + wire _T_132 = _T_130 & ldst_byteen_hi_m[0]; // @[lsu_bus_intf.scala 177:92] + wire _T_134 = ld_addr_rhit_hi_hi & ldst_byteen_hi_r[1]; // @[lsu_bus_intf.scala 177:70] + wire _T_136 = _T_134 & ldst_byteen_hi_m[1]; // @[lsu_bus_intf.scala 177:92] + wire _T_138 = ld_addr_rhit_hi_hi & ldst_byteen_hi_r[2]; // @[lsu_bus_intf.scala 177:70] + wire _T_140 = _T_138 & ldst_byteen_hi_m[2]; // @[lsu_bus_intf.scala 177:92] + wire _T_142 = ld_addr_rhit_hi_hi & ldst_byteen_hi_r[3]; // @[lsu_bus_intf.scala 177:70] + wire _T_144 = _T_142 & ldst_byteen_hi_m[3]; // @[lsu_bus_intf.scala 177:92] + wire [3:0] ld_byte_rhit_hi_hi = {_T_144,_T_140,_T_136,_T_132}; // @[Cat.scala 29:58] + wire _T_150 = ld_byte_rhit_lo_lo[0] | ld_byte_rhit_hi_lo[0]; // @[lsu_bus_intf.scala 179:73] + wire [3:0] ld_byte_hit_buf_lo = bus_buffer_io_ld_byte_hit_buf_lo; // @[lsu_bus_intf.scala 137:38] + wire _T_152 = _T_150 | ld_byte_hit_buf_lo[0]; // @[lsu_bus_intf.scala 179:97] + wire _T_155 = ld_byte_rhit_lo_lo[1] | ld_byte_rhit_hi_lo[1]; // @[lsu_bus_intf.scala 179:73] + wire _T_157 = _T_155 | ld_byte_hit_buf_lo[1]; // @[lsu_bus_intf.scala 179:97] + wire _T_160 = ld_byte_rhit_lo_lo[2] | ld_byte_rhit_hi_lo[2]; // @[lsu_bus_intf.scala 179:73] + wire _T_162 = _T_160 | ld_byte_hit_buf_lo[2]; // @[lsu_bus_intf.scala 179:97] + wire _T_165 = ld_byte_rhit_lo_lo[3] | ld_byte_rhit_hi_lo[3]; // @[lsu_bus_intf.scala 179:73] + wire _T_167 = _T_165 | ld_byte_hit_buf_lo[3]; // @[lsu_bus_intf.scala 179:97] + wire [3:0] ld_byte_hit_lo = {_T_167,_T_162,_T_157,_T_152}; // @[Cat.scala 29:58] + wire _T_173 = ld_byte_rhit_lo_hi[0] | ld_byte_rhit_hi_hi[0]; // @[lsu_bus_intf.scala 180:73] + wire [3:0] ld_byte_hit_buf_hi = bus_buffer_io_ld_byte_hit_buf_hi; // @[lsu_bus_intf.scala 138:38] + wire _T_175 = _T_173 | ld_byte_hit_buf_hi[0]; // @[lsu_bus_intf.scala 180:97] + wire _T_178 = ld_byte_rhit_lo_hi[1] | ld_byte_rhit_hi_hi[1]; // @[lsu_bus_intf.scala 180:73] + wire _T_180 = _T_178 | ld_byte_hit_buf_hi[1]; // @[lsu_bus_intf.scala 180:97] + wire _T_183 = ld_byte_rhit_lo_hi[2] | ld_byte_rhit_hi_hi[2]; // @[lsu_bus_intf.scala 180:73] + wire _T_185 = _T_183 | ld_byte_hit_buf_hi[2]; // @[lsu_bus_intf.scala 180:97] + wire _T_188 = ld_byte_rhit_lo_hi[3] | ld_byte_rhit_hi_hi[3]; // @[lsu_bus_intf.scala 180:73] + wire _T_190 = _T_188 | ld_byte_hit_buf_hi[3]; // @[lsu_bus_intf.scala 180:97] + wire [3:0] ld_byte_hit_hi = {_T_190,_T_185,_T_180,_T_175}; // @[Cat.scala 29:58] + wire [3:0] ld_byte_rhit_lo = {_T_165,_T_160,_T_155,_T_150}; // @[Cat.scala 29:58] + wire [3:0] ld_byte_rhit_hi = {_T_188,_T_183,_T_178,_T_173}; // @[Cat.scala 29:58] + wire [7:0] _T_228 = ld_byte_rhit_lo_lo[0] ? store_data_lo_r[7:0] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_229 = ld_byte_rhit_hi_lo[0] ? store_data_hi_r[7:0] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_230 = _T_228 | _T_229; // @[Mux.scala 27:72] + wire [7:0] _T_236 = ld_byte_rhit_lo_lo[1] ? store_data_lo_r[15:8] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_237 = ld_byte_rhit_hi_lo[1] ? store_data_hi_r[15:8] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_238 = _T_236 | _T_237; // @[Mux.scala 27:72] + wire [7:0] _T_244 = ld_byte_rhit_lo_lo[2] ? store_data_lo_r[23:16] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_245 = ld_byte_rhit_hi_lo[2] ? store_data_hi_r[23:16] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_246 = _T_244 | _T_245; // @[Mux.scala 27:72] + wire [7:0] _T_252 = ld_byte_rhit_lo_lo[3] ? store_data_lo_r[31:24] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_253 = ld_byte_rhit_hi_lo[3] ? store_data_hi_r[31:24] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_254 = _T_252 | _T_253; // @[Mux.scala 27:72] + wire [31:0] ld_fwddata_rpipe_lo = {_T_254,_T_246,_T_238,_T_230}; // @[Cat.scala 29:58] + wire [7:0] _T_263 = ld_byte_rhit_lo_hi[0] ? store_data_lo_r[7:0] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_264 = ld_byte_rhit_hi_hi[0] ? store_data_hi_r[7:0] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_265 = _T_263 | _T_264; // @[Mux.scala 27:72] + wire [7:0] _T_271 = ld_byte_rhit_lo_hi[1] ? store_data_lo_r[15:8] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_272 = ld_byte_rhit_hi_hi[1] ? store_data_hi_r[15:8] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_273 = _T_271 | _T_272; // @[Mux.scala 27:72] + wire [7:0] _T_279 = ld_byte_rhit_lo_hi[2] ? store_data_lo_r[23:16] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_280 = ld_byte_rhit_hi_hi[2] ? store_data_hi_r[23:16] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_281 = _T_279 | _T_280; // @[Mux.scala 27:72] + wire [7:0] _T_287 = ld_byte_rhit_lo_hi[3] ? store_data_lo_r[31:24] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_288 = ld_byte_rhit_hi_hi[3] ? store_data_hi_r[31:24] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_289 = _T_287 | _T_288; // @[Mux.scala 27:72] + wire [31:0] ld_fwddata_rpipe_hi = {_T_289,_T_281,_T_273,_T_265}; // @[Cat.scala 29:58] + wire [31:0] ld_fwddata_buf_lo = bus_buffer_io_ld_fwddata_buf_lo; // @[lsu_bus_intf.scala 139:38] + wire [7:0] _T_297 = ld_byte_rhit_lo[0] ? ld_fwddata_rpipe_lo[7:0] : ld_fwddata_buf_lo[7:0]; // @[lsu_bus_intf.scala 185:54] + wire [7:0] _T_301 = ld_byte_rhit_lo[1] ? ld_fwddata_rpipe_lo[15:8] : ld_fwddata_buf_lo[15:8]; // @[lsu_bus_intf.scala 185:54] + wire [7:0] _T_305 = ld_byte_rhit_lo[2] ? ld_fwddata_rpipe_lo[23:16] : ld_fwddata_buf_lo[23:16]; // @[lsu_bus_intf.scala 185:54] + wire [7:0] _T_309 = ld_byte_rhit_lo[3] ? ld_fwddata_rpipe_lo[31:24] : ld_fwddata_buf_lo[31:24]; // @[lsu_bus_intf.scala 185:54] + wire [31:0] _T_312 = {_T_309,_T_305,_T_301,_T_297}; // @[Cat.scala 29:58] + wire [31:0] ld_fwddata_buf_hi = bus_buffer_io_ld_fwddata_buf_hi; // @[lsu_bus_intf.scala 140:38] + wire [7:0] _T_316 = ld_byte_rhit_hi[0] ? ld_fwddata_rpipe_hi[7:0] : ld_fwddata_buf_hi[7:0]; // @[lsu_bus_intf.scala 186:54] + wire [7:0] _T_320 = ld_byte_rhit_hi[1] ? ld_fwddata_rpipe_hi[15:8] : ld_fwddata_buf_hi[15:8]; // @[lsu_bus_intf.scala 186:54] + wire [7:0] _T_324 = ld_byte_rhit_hi[2] ? ld_fwddata_rpipe_hi[23:16] : ld_fwddata_buf_hi[23:16]; // @[lsu_bus_intf.scala 186:54] + wire [7:0] _T_328 = ld_byte_rhit_hi[3] ? ld_fwddata_rpipe_hi[31:24] : ld_fwddata_buf_hi[31:24]; // @[lsu_bus_intf.scala 186:54] + wire [31:0] _T_331 = {_T_328,_T_324,_T_320,_T_316}; // @[Cat.scala 29:58] + wire _T_334 = ~ldst_byteen_lo_m[0]; // @[lsu_bus_intf.scala 187:72] + wire _T_335 = ld_byte_hit_lo[0] | _T_334; // @[lsu_bus_intf.scala 187:70] + wire _T_338 = ~ldst_byteen_lo_m[1]; // @[lsu_bus_intf.scala 187:72] + wire _T_339 = ld_byte_hit_lo[1] | _T_338; // @[lsu_bus_intf.scala 187:70] + wire _T_342 = ~ldst_byteen_lo_m[2]; // @[lsu_bus_intf.scala 187:72] + wire _T_343 = ld_byte_hit_lo[2] | _T_342; // @[lsu_bus_intf.scala 187:70] + wire _T_346 = ~ldst_byteen_lo_m[3]; // @[lsu_bus_intf.scala 187:72] + wire _T_347 = ld_byte_hit_lo[3] | _T_346; // @[lsu_bus_intf.scala 187:70] + wire _T_348 = _T_335 & _T_339; // @[lsu_bus_intf.scala 187:111] + wire _T_349 = _T_348 & _T_343; // @[lsu_bus_intf.scala 187:111] + wire ld_full_hit_lo_m = _T_349 & _T_347; // @[lsu_bus_intf.scala 187:111] + wire _T_353 = ~ldst_byteen_hi_m[0]; // @[lsu_bus_intf.scala 188:72] + wire _T_354 = ld_byte_hit_hi[0] | _T_353; // @[lsu_bus_intf.scala 188:70] + wire _T_357 = ~ldst_byteen_hi_m[1]; // @[lsu_bus_intf.scala 188:72] + wire _T_358 = ld_byte_hit_hi[1] | _T_357; // @[lsu_bus_intf.scala 188:70] + wire _T_361 = ~ldst_byteen_hi_m[2]; // @[lsu_bus_intf.scala 188:72] + wire _T_362 = ld_byte_hit_hi[2] | _T_361; // @[lsu_bus_intf.scala 188:70] + wire _T_365 = ~ldst_byteen_hi_m[3]; // @[lsu_bus_intf.scala 188:72] + wire _T_366 = ld_byte_hit_hi[3] | _T_365; // @[lsu_bus_intf.scala 188:70] + wire _T_367 = _T_354 & _T_358; // @[lsu_bus_intf.scala 188:111] + wire _T_368 = _T_367 & _T_362; // @[lsu_bus_intf.scala 188:111] + wire ld_full_hit_hi_m = _T_368 & _T_366; // @[lsu_bus_intf.scala 188:111] + wire _T_370 = ld_full_hit_lo_m & ld_full_hit_hi_m; // @[lsu_bus_intf.scala 189:47] + wire _T_371 = _T_370 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 189:66] + wire _T_372 = _T_371 & io_lsu_pkt_m_bits_load; // @[lsu_bus_intf.scala 189:84] + wire _T_373 = ~io_is_sideeffects_m; // @[lsu_bus_intf.scala 189:111] + wire [63:0] ld_fwddata_hi = {{32'd0}, _T_331}; // @[lsu_bus_intf.scala 186:27] + wire [63:0] ld_fwddata_lo = {{32'd0}, _T_312}; // @[lsu_bus_intf.scala 185:27] + wire [63:0] _T_377 = {ld_fwddata_hi[31:0],ld_fwddata_lo[31:0]}; // @[Cat.scala 29:58] + wire [3:0] _GEN_3 = {{2'd0}, io_lsu_addr_m[1:0]}; // @[lsu_bus_intf.scala 190:83] + wire [5:0] _T_379 = 4'h8 * _GEN_3; // @[lsu_bus_intf.scala 190:83] + wire [63:0] ld_fwddata_m = _T_377 >> _T_379; // @[lsu_bus_intf.scala 190:76] + reg lsu_bus_clk_en_q; // @[lsu_bus_intf.scala 194:32] + reg ldst_dual_m; // @[lsu_bus_intf.scala 197:27] + reg is_sideeffects_r; // @[lsu_bus_intf.scala 201:33] + lsu_bus_buffer bus_buffer ( // @[lsu_bus_intf.scala 100:39] + .clock(bus_buffer_clock), + .reset(bus_buffer_reset), + .io_scan_mode(bus_buffer_io_scan_mode), + .io_tlu_busbuff_lsu_pmu_bus_trxn(bus_buffer_io_tlu_busbuff_lsu_pmu_bus_trxn), + .io_tlu_busbuff_lsu_pmu_bus_misaligned(bus_buffer_io_tlu_busbuff_lsu_pmu_bus_misaligned), + .io_tlu_busbuff_lsu_pmu_bus_error(bus_buffer_io_tlu_busbuff_lsu_pmu_bus_error), + .io_tlu_busbuff_lsu_pmu_bus_busy(bus_buffer_io_tlu_busbuff_lsu_pmu_bus_busy), + .io_tlu_busbuff_dec_tlu_external_ldfwd_disable(bus_buffer_io_tlu_busbuff_dec_tlu_external_ldfwd_disable), + .io_tlu_busbuff_dec_tlu_wb_coalescing_disable(bus_buffer_io_tlu_busbuff_dec_tlu_wb_coalescing_disable), + .io_tlu_busbuff_dec_tlu_sideeffect_posted_disable(bus_buffer_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable), + .io_tlu_busbuff_lsu_imprecise_error_load_any(bus_buffer_io_tlu_busbuff_lsu_imprecise_error_load_any), + .io_tlu_busbuff_lsu_imprecise_error_store_any(bus_buffer_io_tlu_busbuff_lsu_imprecise_error_store_any), + .io_tlu_busbuff_lsu_imprecise_error_addr_any(bus_buffer_io_tlu_busbuff_lsu_imprecise_error_addr_any), + .io_dctl_busbuff_lsu_nonblock_load_valid_m(bus_buffer_io_dctl_busbuff_lsu_nonblock_load_valid_m), + .io_dctl_busbuff_lsu_nonblock_load_tag_m(bus_buffer_io_dctl_busbuff_lsu_nonblock_load_tag_m), + .io_dctl_busbuff_lsu_nonblock_load_inv_r(bus_buffer_io_dctl_busbuff_lsu_nonblock_load_inv_r), + .io_dctl_busbuff_lsu_nonblock_load_inv_tag_r(bus_buffer_io_dctl_busbuff_lsu_nonblock_load_inv_tag_r), + .io_dctl_busbuff_lsu_nonblock_load_data_valid(bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_valid), + .io_dctl_busbuff_lsu_nonblock_load_data_error(bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_error), + .io_dctl_busbuff_lsu_nonblock_load_data_tag(bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_tag), + .io_dctl_busbuff_lsu_nonblock_load_data(bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data), + .io_dec_tlu_force_halt(bus_buffer_io_dec_tlu_force_halt), + .io_lsu_c2_r_clk(bus_buffer_io_lsu_c2_r_clk), + .io_lsu_bus_ibuf_c1_clk(bus_buffer_io_lsu_bus_ibuf_c1_clk), + .io_lsu_bus_obuf_c1_clk(bus_buffer_io_lsu_bus_obuf_c1_clk), + .io_lsu_bus_buf_c1_clk(bus_buffer_io_lsu_bus_buf_c1_clk), + .io_lsu_free_c2_clk(bus_buffer_io_lsu_free_c2_clk), + .io_lsu_busm_clk(bus_buffer_io_lsu_busm_clk), + .io_dec_lsu_valid_raw_d(bus_buffer_io_dec_lsu_valid_raw_d), + .io_lsu_pkt_m_valid(bus_buffer_io_lsu_pkt_m_valid), + .io_lsu_pkt_m_bits_load(bus_buffer_io_lsu_pkt_m_bits_load), + .io_lsu_pkt_r_bits_by(bus_buffer_io_lsu_pkt_r_bits_by), + .io_lsu_pkt_r_bits_half(bus_buffer_io_lsu_pkt_r_bits_half), + .io_lsu_pkt_r_bits_word(bus_buffer_io_lsu_pkt_r_bits_word), + .io_lsu_pkt_r_bits_load(bus_buffer_io_lsu_pkt_r_bits_load), + .io_lsu_pkt_r_bits_store(bus_buffer_io_lsu_pkt_r_bits_store), + .io_lsu_pkt_r_bits_unsign(bus_buffer_io_lsu_pkt_r_bits_unsign), + .io_lsu_addr_m(bus_buffer_io_lsu_addr_m), + .io_end_addr_m(bus_buffer_io_end_addr_m), + .io_lsu_addr_r(bus_buffer_io_lsu_addr_r), + .io_end_addr_r(bus_buffer_io_end_addr_r), + .io_store_data_r(bus_buffer_io_store_data_r), + .io_no_word_merge_r(bus_buffer_io_no_word_merge_r), + .io_no_dword_merge_r(bus_buffer_io_no_dword_merge_r), + .io_lsu_busreq_m(bus_buffer_io_lsu_busreq_m), + .io_ld_full_hit_m(bus_buffer_io_ld_full_hit_m), + .io_flush_m_up(bus_buffer_io_flush_m_up), + .io_flush_r(bus_buffer_io_flush_r), + .io_lsu_commit_r(bus_buffer_io_lsu_commit_r), + .io_is_sideeffects_r(bus_buffer_io_is_sideeffects_r), + .io_ldst_dual_d(bus_buffer_io_ldst_dual_d), + .io_ldst_dual_m(bus_buffer_io_ldst_dual_m), + .io_ldst_dual_r(bus_buffer_io_ldst_dual_r), + .io_ldst_byteen_ext_m(bus_buffer_io_ldst_byteen_ext_m), + .io_lsu_axi_aw_ready(bus_buffer_io_lsu_axi_aw_ready), + .io_lsu_axi_aw_valid(bus_buffer_io_lsu_axi_aw_valid), + .io_lsu_axi_aw_bits_id(bus_buffer_io_lsu_axi_aw_bits_id), + .io_lsu_axi_aw_bits_addr(bus_buffer_io_lsu_axi_aw_bits_addr), + .io_lsu_axi_aw_bits_region(bus_buffer_io_lsu_axi_aw_bits_region), + .io_lsu_axi_aw_bits_size(bus_buffer_io_lsu_axi_aw_bits_size), + .io_lsu_axi_aw_bits_cache(bus_buffer_io_lsu_axi_aw_bits_cache), + .io_lsu_axi_w_ready(bus_buffer_io_lsu_axi_w_ready), + .io_lsu_axi_w_valid(bus_buffer_io_lsu_axi_w_valid), + .io_lsu_axi_w_bits_data(bus_buffer_io_lsu_axi_w_bits_data), + .io_lsu_axi_w_bits_strb(bus_buffer_io_lsu_axi_w_bits_strb), + .io_lsu_axi_b_ready(bus_buffer_io_lsu_axi_b_ready), + .io_lsu_axi_b_valid(bus_buffer_io_lsu_axi_b_valid), + .io_lsu_axi_b_bits_resp(bus_buffer_io_lsu_axi_b_bits_resp), + .io_lsu_axi_b_bits_id(bus_buffer_io_lsu_axi_b_bits_id), + .io_lsu_axi_ar_ready(bus_buffer_io_lsu_axi_ar_ready), + .io_lsu_axi_ar_valid(bus_buffer_io_lsu_axi_ar_valid), + .io_lsu_axi_ar_bits_id(bus_buffer_io_lsu_axi_ar_bits_id), + .io_lsu_axi_ar_bits_addr(bus_buffer_io_lsu_axi_ar_bits_addr), + .io_lsu_axi_ar_bits_region(bus_buffer_io_lsu_axi_ar_bits_region), + .io_lsu_axi_ar_bits_size(bus_buffer_io_lsu_axi_ar_bits_size), + .io_lsu_axi_ar_bits_cache(bus_buffer_io_lsu_axi_ar_bits_cache), + .io_lsu_axi_r_ready(bus_buffer_io_lsu_axi_r_ready), + .io_lsu_axi_r_valid(bus_buffer_io_lsu_axi_r_valid), + .io_lsu_axi_r_bits_id(bus_buffer_io_lsu_axi_r_bits_id), + .io_lsu_axi_r_bits_data(bus_buffer_io_lsu_axi_r_bits_data), + .io_lsu_axi_r_bits_resp(bus_buffer_io_lsu_axi_r_bits_resp), + .io_lsu_bus_clk_en(bus_buffer_io_lsu_bus_clk_en), + .io_lsu_bus_clk_en_q(bus_buffer_io_lsu_bus_clk_en_q), + .io_lsu_busreq_r(bus_buffer_io_lsu_busreq_r), + .io_lsu_bus_buffer_pend_any(bus_buffer_io_lsu_bus_buffer_pend_any), + .io_lsu_bus_buffer_full_any(bus_buffer_io_lsu_bus_buffer_full_any), + .io_lsu_bus_buffer_empty_any(bus_buffer_io_lsu_bus_buffer_empty_any), + .io_ld_byte_hit_buf_lo(bus_buffer_io_ld_byte_hit_buf_lo), + .io_ld_byte_hit_buf_hi(bus_buffer_io_ld_byte_hit_buf_hi), + .io_ld_fwddata_buf_lo(bus_buffer_io_ld_fwddata_buf_lo), + .io_ld_fwddata_buf_hi(bus_buffer_io_ld_fwddata_buf_hi) + ); + assign io_tlu_busbuff_lsu_pmu_bus_trxn = bus_buffer_io_tlu_busbuff_lsu_pmu_bus_trxn; // @[lsu_bus_intf.scala 103:18] + assign io_tlu_busbuff_lsu_pmu_bus_misaligned = bus_buffer_io_tlu_busbuff_lsu_pmu_bus_misaligned; // @[lsu_bus_intf.scala 103:18] + assign io_tlu_busbuff_lsu_pmu_bus_error = bus_buffer_io_tlu_busbuff_lsu_pmu_bus_error; // @[lsu_bus_intf.scala 103:18] + assign io_tlu_busbuff_lsu_pmu_bus_busy = bus_buffer_io_tlu_busbuff_lsu_pmu_bus_busy; // @[lsu_bus_intf.scala 103:18] + assign io_tlu_busbuff_lsu_imprecise_error_load_any = bus_buffer_io_tlu_busbuff_lsu_imprecise_error_load_any; // @[lsu_bus_intf.scala 103:18] + assign io_tlu_busbuff_lsu_imprecise_error_store_any = bus_buffer_io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_intf.scala 103:18] + assign io_tlu_busbuff_lsu_imprecise_error_addr_any = bus_buffer_io_tlu_busbuff_lsu_imprecise_error_addr_any; // @[lsu_bus_intf.scala 103:18] + assign io_axi_aw_valid = bus_buffer_io_lsu_axi_aw_valid; // @[lsu_bus_intf.scala 129:43] + assign io_axi_aw_bits_id = bus_buffer_io_lsu_axi_aw_bits_id; // @[lsu_bus_intf.scala 129:43] + assign io_axi_aw_bits_addr = bus_buffer_io_lsu_axi_aw_bits_addr; // @[lsu_bus_intf.scala 129:43] + assign io_axi_aw_bits_region = bus_buffer_io_lsu_axi_aw_bits_region; // @[lsu_bus_intf.scala 129:43] + assign io_axi_aw_bits_size = bus_buffer_io_lsu_axi_aw_bits_size; // @[lsu_bus_intf.scala 129:43] + assign io_axi_aw_bits_cache = bus_buffer_io_lsu_axi_aw_bits_cache; // @[lsu_bus_intf.scala 129:43] + assign io_axi_w_valid = bus_buffer_io_lsu_axi_w_valid; // @[lsu_bus_intf.scala 129:43] + assign io_axi_w_bits_data = bus_buffer_io_lsu_axi_w_bits_data; // @[lsu_bus_intf.scala 129:43] + assign io_axi_w_bits_strb = bus_buffer_io_lsu_axi_w_bits_strb; // @[lsu_bus_intf.scala 129:43] + assign io_axi_ar_valid = bus_buffer_io_lsu_axi_ar_valid; // @[lsu_bus_intf.scala 129:43] + assign io_axi_ar_bits_id = bus_buffer_io_lsu_axi_ar_bits_id; // @[lsu_bus_intf.scala 129:43] + assign io_axi_ar_bits_addr = bus_buffer_io_lsu_axi_ar_bits_addr; // @[lsu_bus_intf.scala 129:43] + assign io_axi_ar_bits_region = bus_buffer_io_lsu_axi_ar_bits_region; // @[lsu_bus_intf.scala 129:43] + assign io_axi_ar_bits_size = bus_buffer_io_lsu_axi_ar_bits_size; // @[lsu_bus_intf.scala 129:43] + assign io_axi_ar_bits_cache = bus_buffer_io_lsu_axi_ar_bits_cache; // @[lsu_bus_intf.scala 129:43] + assign io_lsu_busreq_r = bus_buffer_io_lsu_busreq_r; // @[lsu_bus_intf.scala 132:38] + assign io_lsu_bus_buffer_pend_any = bus_buffer_io_lsu_bus_buffer_pend_any; // @[lsu_bus_intf.scala 133:38] + assign io_lsu_bus_buffer_full_any = bus_buffer_io_lsu_bus_buffer_full_any; // @[lsu_bus_intf.scala 134:38] + assign io_lsu_bus_buffer_empty_any = bus_buffer_io_lsu_bus_buffer_empty_any; // @[lsu_bus_intf.scala 135:38] + assign io_bus_read_data_m = ld_fwddata_m[31:0]; // @[lsu_bus_intf.scala 191:27] + assign io_dctl_busbuff_lsu_nonblock_load_valid_m = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_valid_m; // @[lsu_bus_intf.scala 141:19] + assign io_dctl_busbuff_lsu_nonblock_load_tag_m = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_tag_m; // @[lsu_bus_intf.scala 141:19] + assign io_dctl_busbuff_lsu_nonblock_load_inv_r = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_inv_r; // @[lsu_bus_intf.scala 141:19] + assign io_dctl_busbuff_lsu_nonblock_load_inv_tag_r = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_inv_tag_r; // @[lsu_bus_intf.scala 141:19] + assign io_dctl_busbuff_lsu_nonblock_load_data_valid = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_valid; // @[lsu_bus_intf.scala 141:19] + assign io_dctl_busbuff_lsu_nonblock_load_data_error = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_intf.scala 141:19] + assign io_dctl_busbuff_lsu_nonblock_load_data_tag = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_tag; // @[lsu_bus_intf.scala 141:19] + assign io_dctl_busbuff_lsu_nonblock_load_data = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data; // @[lsu_bus_intf.scala 141:19] + assign bus_buffer_clock = clock; + assign bus_buffer_reset = reset; + assign bus_buffer_io_scan_mode = io_scan_mode; // @[lsu_bus_intf.scala 102:29] + assign bus_buffer_io_tlu_busbuff_dec_tlu_external_ldfwd_disable = io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[lsu_bus_intf.scala 103:18] + assign bus_buffer_io_tlu_busbuff_dec_tlu_wb_coalescing_disable = io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_intf.scala 103:18] + assign bus_buffer_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable = io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_intf.scala 103:18] + assign bus_buffer_io_dec_tlu_force_halt = io_dec_tlu_force_halt; // @[lsu_bus_intf.scala 105:51] + assign bus_buffer_io_lsu_c2_r_clk = io_lsu_c2_r_clk; // @[lsu_bus_intf.scala 106:51] + assign bus_buffer_io_lsu_bus_ibuf_c1_clk = io_lsu_bus_ibuf_c1_clk; // @[lsu_bus_intf.scala 107:51] + assign bus_buffer_io_lsu_bus_obuf_c1_clk = io_lsu_bus_obuf_c1_clk; // @[lsu_bus_intf.scala 108:51] + assign bus_buffer_io_lsu_bus_buf_c1_clk = io_lsu_bus_buf_c1_clk; // @[lsu_bus_intf.scala 109:51] + assign bus_buffer_io_lsu_free_c2_clk = io_lsu_free_c2_clk; // @[lsu_bus_intf.scala 110:51] + assign bus_buffer_io_lsu_busm_clk = io_lsu_busm_clk; // @[lsu_bus_intf.scala 111:51] + assign bus_buffer_io_dec_lsu_valid_raw_d = io_dec_lsu_valid_raw_d; // @[lsu_bus_intf.scala 112:51] + assign bus_buffer_io_lsu_pkt_m_valid = io_lsu_pkt_m_valid; // @[lsu_bus_intf.scala 115:27] + assign bus_buffer_io_lsu_pkt_m_bits_load = io_lsu_pkt_m_bits_load; // @[lsu_bus_intf.scala 115:27] + assign bus_buffer_io_lsu_pkt_r_bits_by = io_lsu_pkt_r_bits_by; // @[lsu_bus_intf.scala 116:27] + assign bus_buffer_io_lsu_pkt_r_bits_half = io_lsu_pkt_r_bits_half; // @[lsu_bus_intf.scala 116:27] + assign bus_buffer_io_lsu_pkt_r_bits_word = io_lsu_pkt_r_bits_word; // @[lsu_bus_intf.scala 116:27] + assign bus_buffer_io_lsu_pkt_r_bits_load = io_lsu_pkt_r_bits_load; // @[lsu_bus_intf.scala 116:27] + assign bus_buffer_io_lsu_pkt_r_bits_store = io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 116:27] + assign bus_buffer_io_lsu_pkt_r_bits_unsign = io_lsu_pkt_r_bits_unsign; // @[lsu_bus_intf.scala 116:27] + assign bus_buffer_io_lsu_addr_m = io_lsu_addr_m; // @[lsu_bus_intf.scala 119:51] + assign bus_buffer_io_end_addr_m = io_end_addr_m; // @[lsu_bus_intf.scala 120:51] + assign bus_buffer_io_lsu_addr_r = io_lsu_addr_r; // @[lsu_bus_intf.scala 121:51] + assign bus_buffer_io_end_addr_r = io_end_addr_r; // @[lsu_bus_intf.scala 122:51] + assign bus_buffer_io_store_data_r = io_store_data_r; // @[lsu_bus_intf.scala 123:51] + assign bus_buffer_io_no_word_merge_r = _T_22 & _T_24; // @[lsu_bus_intf.scala 142:51] + assign bus_buffer_io_no_dword_merge_r = _T_22 & _T_30; // @[lsu_bus_intf.scala 143:51] + assign bus_buffer_io_lsu_busreq_m = io_lsu_busreq_m; // @[lsu_bus_intf.scala 125:51] + assign bus_buffer_io_ld_full_hit_m = _T_372 & _T_373; // @[lsu_bus_intf.scala 149:51] + assign bus_buffer_io_flush_m_up = io_flush_m_up; // @[lsu_bus_intf.scala 126:51] + assign bus_buffer_io_flush_r = io_flush_r; // @[lsu_bus_intf.scala 127:51] + assign bus_buffer_io_lsu_commit_r = io_lsu_commit_r; // @[lsu_bus_intf.scala 128:51] + assign bus_buffer_io_is_sideeffects_r = is_sideeffects_r; // @[lsu_bus_intf.scala 144:51] + assign bus_buffer_io_ldst_dual_d = io_lsu_addr_d[2] != io_end_addr_d[2]; // @[lsu_bus_intf.scala 145:51] + assign bus_buffer_io_ldst_dual_m = ldst_dual_m; // @[lsu_bus_intf.scala 146:51] + assign bus_buffer_io_ldst_dual_r = ldst_dual_r; // @[lsu_bus_intf.scala 147:51] + assign bus_buffer_io_ldst_byteen_ext_m = {{1'd0}, _T_34}; // @[lsu_bus_intf.scala 148:51] + assign bus_buffer_io_lsu_axi_aw_ready = io_axi_aw_ready; // @[lsu_bus_intf.scala 129:43] + assign bus_buffer_io_lsu_axi_w_ready = io_axi_w_ready; // @[lsu_bus_intf.scala 129:43] + assign bus_buffer_io_lsu_axi_b_valid = io_axi_b_valid; // @[lsu_bus_intf.scala 129:43] + assign bus_buffer_io_lsu_axi_b_bits_resp = io_axi_b_bits_resp; // @[lsu_bus_intf.scala 129:43] + assign bus_buffer_io_lsu_axi_b_bits_id = io_axi_b_bits_id; // @[lsu_bus_intf.scala 129:43] + assign bus_buffer_io_lsu_axi_ar_ready = io_axi_ar_ready; // @[lsu_bus_intf.scala 129:43] + assign bus_buffer_io_lsu_axi_r_valid = io_axi_r_valid; // @[lsu_bus_intf.scala 129:43] + assign bus_buffer_io_lsu_axi_r_bits_id = io_axi_r_bits_id; // @[lsu_bus_intf.scala 129:43] + assign bus_buffer_io_lsu_axi_r_bits_data = io_axi_r_bits_data; // @[lsu_bus_intf.scala 129:43] + assign bus_buffer_io_lsu_axi_r_bits_resp = io_axi_r_bits_resp; // @[lsu_bus_intf.scala 129:43] + assign bus_buffer_io_lsu_bus_clk_en = io_lsu_bus_clk_en; // @[lsu_bus_intf.scala 130:51] + assign bus_buffer_io_lsu_bus_clk_en_q = lsu_bus_clk_en_q; // @[lsu_bus_intf.scala 150:51] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + ldst_dual_r = _RAND_0[0:0]; + _RAND_1 = {1{`RANDOM}}; + ldst_byteen_r = _RAND_1[3:0]; + _RAND_2 = {1{`RANDOM}}; + lsu_bus_clk_en_q = _RAND_2[0:0]; + _RAND_3 = {1{`RANDOM}}; + ldst_dual_m = _RAND_3[0:0]; + _RAND_4 = {1{`RANDOM}}; + is_sideeffects_r = _RAND_4[0:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + ldst_dual_r = 1'h0; + end + if (reset) begin + ldst_byteen_r = 4'h0; + end + if (reset) begin + lsu_bus_clk_en_q = 1'h0; + end + if (reset) begin + ldst_dual_m = 1'h0; + end + if (reset) begin + is_sideeffects_r = 1'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + ldst_dual_r <= 1'h0; + end else begin + ldst_dual_r <= ldst_dual_m; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + ldst_byteen_r <= 4'h0; + end else begin + ldst_byteen_r <= _T_6 | _T_5; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + lsu_bus_clk_en_q <= 1'h0; + end else begin + lsu_bus_clk_en_q <= io_lsu_bus_clk_en; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + ldst_dual_m <= 1'h0; + end else begin + ldst_dual_m <= io_lsu_addr_d[2] != io_end_addr_d[2]; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + is_sideeffects_r <= 1'h0; + end else begin + is_sideeffects_r <= io_is_sideeffects_m; + end + end +endmodule +module lsu( + input clock, + input reset, + input io_clk_override, + input io_lsu_dma_dma_lsc_ctl_dma_dccm_req, + input [31:0] io_lsu_dma_dma_lsc_ctl_dma_mem_addr, + input [2:0] io_lsu_dma_dma_lsc_ctl_dma_mem_sz, + input io_lsu_dma_dma_lsc_ctl_dma_mem_write, + input [63:0] io_lsu_dma_dma_lsc_ctl_dma_mem_wdata, + input [31:0] io_lsu_dma_dma_dccm_ctl_dma_mem_addr, + input [63:0] io_lsu_dma_dma_dccm_ctl_dma_mem_wdata, + output io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid, + output io_lsu_dma_dma_dccm_ctl_dccm_dma_ecc_error, + output [2:0] io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag, + output [63:0] io_lsu_dma_dma_dccm_ctl_dccm_dma_rdata, + output io_lsu_dma_dccm_ready, + input [2:0] io_lsu_dma_dma_mem_tag, + output io_lsu_pic_picm_wren, + output io_lsu_pic_picm_rden, + output io_lsu_pic_picm_mken, + output [31:0] io_lsu_pic_picm_rdaddr, + output [31:0] io_lsu_pic_picm_wraddr, + output [31:0] io_lsu_pic_picm_wr_data, + input [31:0] io_lsu_pic_picm_rd_data, + input [31:0] io_lsu_exu_exu_lsu_rs1_d, + input [31:0] io_lsu_exu_exu_lsu_rs2_d, + output io_lsu_dec_tlu_busbuff_lsu_pmu_bus_trxn, + output io_lsu_dec_tlu_busbuff_lsu_pmu_bus_misaligned, + output io_lsu_dec_tlu_busbuff_lsu_pmu_bus_error, + output io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy, + input io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable, + input io_lsu_dec_tlu_busbuff_dec_tlu_wb_coalescing_disable, + input io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable, + output io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any, + output io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any, + output [31:0] io_lsu_dec_tlu_busbuff_lsu_imprecise_error_addr_any, + output io_lsu_dec_dctl_busbuff_lsu_nonblock_load_valid_m, + output [1:0] io_lsu_dec_dctl_busbuff_lsu_nonblock_load_tag_m, + output io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_r, + output [1:0] io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_tag_r, + output io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_valid, + output io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_error, + output [1:0] io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag, + output [31:0] io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data, + output io_dccm_wren, + output io_dccm_rden, + output [15:0] io_dccm_wr_addr_lo, + output [15:0] io_dccm_wr_addr_hi, + output [15:0] io_dccm_rd_addr_lo, + output [15:0] io_dccm_rd_addr_hi, + output [38:0] io_dccm_wr_data_lo, + output [38:0] io_dccm_wr_data_hi, + input [38:0] io_dccm_rd_data_lo, + input [38:0] io_dccm_rd_data_hi, + output io_lsu_tlu_lsu_pmu_load_external_m, + output io_lsu_tlu_lsu_pmu_store_external_m, + input io_axi_aw_ready, + output io_axi_aw_valid, + output [2:0] io_axi_aw_bits_id, + output [31:0] io_axi_aw_bits_addr, + output [3:0] io_axi_aw_bits_region, + output [7:0] io_axi_aw_bits_len, + output [2:0] io_axi_aw_bits_size, + output [1:0] io_axi_aw_bits_burst, + output io_axi_aw_bits_lock, + output [3:0] io_axi_aw_bits_cache, + output [2:0] io_axi_aw_bits_prot, + output [3:0] io_axi_aw_bits_qos, + input io_axi_w_ready, + output io_axi_w_valid, + output [63:0] io_axi_w_bits_data, + output [7:0] io_axi_w_bits_strb, + output io_axi_w_bits_last, + output io_axi_b_ready, + input io_axi_b_valid, + input [1:0] io_axi_b_bits_resp, + input [2:0] io_axi_b_bits_id, + input io_axi_ar_ready, + output io_axi_ar_valid, + output [2:0] io_axi_ar_bits_id, + output [31:0] io_axi_ar_bits_addr, + output [3:0] io_axi_ar_bits_region, + output [7:0] io_axi_ar_bits_len, + output [2:0] io_axi_ar_bits_size, + output [1:0] io_axi_ar_bits_burst, + output io_axi_ar_bits_lock, + output [3:0] io_axi_ar_bits_cache, + output [2:0] io_axi_ar_bits_prot, + output [3:0] io_axi_ar_bits_qos, + output io_axi_r_ready, + input io_axi_r_valid, + input [2:0] io_axi_r_bits_id, + input [63:0] io_axi_r_bits_data, + input [1:0] io_axi_r_bits_resp, + input io_axi_r_bits_last, + input io_dec_tlu_flush_lower_r, + input io_dec_tlu_i0_kill_writeb_r, + input io_dec_tlu_force_halt, + input io_dec_tlu_core_ecc_disable, + input [11:0] io_dec_lsu_offset_d, + input io_lsu_p_valid, + input io_lsu_p_bits_fast_int, + input io_lsu_p_bits_by, + input io_lsu_p_bits_half, + input io_lsu_p_bits_word, + input io_lsu_p_bits_dword, + input io_lsu_p_bits_load, + input io_lsu_p_bits_store, + input io_lsu_p_bits_unsign, + input io_lsu_p_bits_dma, + input io_lsu_p_bits_store_data_bypass_d, + input io_lsu_p_bits_load_ldst_bypass_d, + input io_lsu_p_bits_store_data_bypass_m, + input io_trigger_pkt_any_0_select, + input io_trigger_pkt_any_0_match_pkt, + input io_trigger_pkt_any_0_store, + input io_trigger_pkt_any_0_load, + input io_trigger_pkt_any_0_execute, + input io_trigger_pkt_any_0_m, + input [31:0] io_trigger_pkt_any_0_tdata2, + input io_trigger_pkt_any_1_select, + input io_trigger_pkt_any_1_match_pkt, + input io_trigger_pkt_any_1_store, + input io_trigger_pkt_any_1_load, + input io_trigger_pkt_any_1_execute, + input io_trigger_pkt_any_1_m, + input [31:0] io_trigger_pkt_any_1_tdata2, + input io_trigger_pkt_any_2_select, + input io_trigger_pkt_any_2_match_pkt, + input io_trigger_pkt_any_2_store, + input io_trigger_pkt_any_2_load, + input io_trigger_pkt_any_2_execute, + input io_trigger_pkt_any_2_m, + input [31:0] io_trigger_pkt_any_2_tdata2, + input io_trigger_pkt_any_3_select, + input io_trigger_pkt_any_3_match_pkt, + input io_trigger_pkt_any_3_store, + input io_trigger_pkt_any_3_load, + input io_trigger_pkt_any_3_execute, + input io_trigger_pkt_any_3_m, + input [31:0] io_trigger_pkt_any_3_tdata2, + input io_dec_lsu_valid_raw_d, + input [31:0] io_dec_tlu_mrac_ff, + output [31:0] io_lsu_result_m, + output [31:0] io_lsu_result_corr_r, + output io_lsu_load_stall_any, + output io_lsu_store_stall_any, + output io_lsu_fastint_stall_any, + output io_lsu_idle_any, + output [30:0] io_lsu_fir_addr, + output [1:0] io_lsu_fir_error, + output io_lsu_single_ecc_error_incr, + output io_lsu_error_pkt_r_valid, + output io_lsu_error_pkt_r_bits_single_ecc_error, + output io_lsu_error_pkt_r_bits_inst_type, + output io_lsu_error_pkt_r_bits_exc_type, + output [3:0] io_lsu_error_pkt_r_bits_mscause, + output [31:0] io_lsu_error_pkt_r_bits_addr, + output io_lsu_pmu_misaligned_m, + output [3:0] io_lsu_trigger_match_m, + input io_lsu_bus_clk_en, + input io_scan_mode, + input io_free_clk +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; +`endif // RANDOMIZE_REG_INIT + wire lsu_lsc_ctl_reset; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_c1_m_clk; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_c1_r_clk; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_c2_m_clk; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_c2_r_clk; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_store_c1_m_clk; // @[lsu.scala 60:30] + wire [31:0] lsu_lsc_ctl_io_lsu_ld_data_corr_r; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_single_ecc_error_r; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_double_ecc_error_r; // @[lsu.scala 60:30] + wire [31:0] lsu_lsc_ctl_io_lsu_ld_data_m; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_single_ecc_error_m; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_double_ecc_error_m; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_flush_m_up; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_flush_r; // @[lsu.scala 60:30] + wire [31:0] lsu_lsc_ctl_io_lsu_exu_exu_lsu_rs1_d; // @[lsu.scala 60:30] + wire [31:0] lsu_lsc_ctl_io_lsu_exu_exu_lsu_rs2_d; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_p_valid; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_p_bits_fast_int; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_p_bits_by; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_p_bits_half; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_p_bits_word; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_p_bits_dword; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_p_bits_load; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_p_bits_store; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_p_bits_unsign; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_p_bits_dma; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_p_bits_store_data_bypass_d; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_p_bits_load_ldst_bypass_d; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_p_bits_store_data_bypass_m; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_dec_lsu_valid_raw_d; // @[lsu.scala 60:30] + wire [11:0] lsu_lsc_ctl_io_dec_lsu_offset_d; // @[lsu.scala 60:30] + wire [31:0] lsu_lsc_ctl_io_picm_mask_data_m; // @[lsu.scala 60:30] + wire [31:0] lsu_lsc_ctl_io_bus_read_data_m; // @[lsu.scala 60:30] + wire [31:0] lsu_lsc_ctl_io_lsu_result_m; // @[lsu.scala 60:30] + wire [31:0] lsu_lsc_ctl_io_lsu_result_corr_r; // @[lsu.scala 60:30] + wire [31:0] lsu_lsc_ctl_io_lsu_addr_d; // @[lsu.scala 60:30] + wire [31:0] lsu_lsc_ctl_io_lsu_addr_m; // @[lsu.scala 60:30] + wire [31:0] lsu_lsc_ctl_io_lsu_addr_r; // @[lsu.scala 60:30] + wire [31:0] lsu_lsc_ctl_io_end_addr_d; // @[lsu.scala 60:30] + wire [31:0] lsu_lsc_ctl_io_end_addr_m; // @[lsu.scala 60:30] + wire [31:0] lsu_lsc_ctl_io_end_addr_r; // @[lsu.scala 60:30] + wire [31:0] lsu_lsc_ctl_io_store_data_m; // @[lsu.scala 60:30] + wire [31:0] lsu_lsc_ctl_io_dec_tlu_mrac_ff; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_exc_m; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_is_sideeffects_m; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_commit_r; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_single_ecc_error_incr; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_error_pkt_r_valid; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_error_pkt_r_bits_single_ecc_error; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_error_pkt_r_bits_inst_type; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_error_pkt_r_bits_exc_type; // @[lsu.scala 60:30] + wire [3:0] lsu_lsc_ctl_io_lsu_error_pkt_r_bits_mscause; // @[lsu.scala 60:30] + wire [31:0] lsu_lsc_ctl_io_lsu_error_pkt_r_bits_addr; // @[lsu.scala 60:30] + wire [30:0] lsu_lsc_ctl_io_lsu_fir_addr; // @[lsu.scala 60:30] + wire [1:0] lsu_lsc_ctl_io_lsu_fir_error; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_addr_in_dccm_d; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_addr_in_dccm_m; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_addr_in_dccm_r; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_addr_in_pic_d; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_addr_in_pic_m; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_addr_in_pic_r; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_addr_external_m; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_dma_lsc_ctl_dma_dccm_req; // @[lsu.scala 60:30] + wire [31:0] lsu_lsc_ctl_io_dma_lsc_ctl_dma_mem_addr; // @[lsu.scala 60:30] + wire [2:0] lsu_lsc_ctl_io_dma_lsc_ctl_dma_mem_sz; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_dma_lsc_ctl_dma_mem_write; // @[lsu.scala 60:30] + wire [63:0] lsu_lsc_ctl_io_dma_lsc_ctl_dma_mem_wdata; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_d_valid; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_d_bits_fast_int; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_d_bits_by; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_d_bits_half; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_d_bits_word; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_d_bits_dword; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_d_bits_load; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_d_bits_store; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_d_bits_unsign; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_d_bits_dma; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_d_bits_store_data_bypass_d; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_d_bits_load_ldst_bypass_d; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_d_bits_store_data_bypass_m; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_m_valid; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_m_bits_fast_int; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_m_bits_by; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_m_bits_half; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_m_bits_word; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_m_bits_dword; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_m_bits_load; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_m_bits_store; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_m_bits_unsign; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_m_bits_dma; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_m_bits_store_data_bypass_m; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_r_valid; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_r_bits_by; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_r_bits_half; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_r_bits_word; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_r_bits_dword; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_r_bits_load; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_r_bits_store; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_r_bits_unsign; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_r_bits_dma; // @[lsu.scala 60:30] + wire dccm_ctl_clock; // @[lsu.scala 63:30] + wire dccm_ctl_reset; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_c2_m_clk; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_c2_r_clk; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_free_c2_clk; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_store_c1_r_clk; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pkt_d_valid; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pkt_d_bits_word; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pkt_d_bits_dword; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pkt_d_bits_load; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pkt_d_bits_store; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pkt_d_bits_dma; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pkt_m_valid; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pkt_m_bits_by; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pkt_m_bits_half; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pkt_m_bits_word; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pkt_m_bits_load; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pkt_m_bits_store; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pkt_m_bits_dma; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pkt_r_valid; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pkt_r_bits_by; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pkt_r_bits_half; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pkt_r_bits_word; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pkt_r_bits_load; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pkt_r_bits_store; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pkt_r_bits_dma; // @[lsu.scala 63:30] + wire dccm_ctl_io_addr_in_dccm_d; // @[lsu.scala 63:30] + wire dccm_ctl_io_addr_in_dccm_m; // @[lsu.scala 63:30] + wire dccm_ctl_io_addr_in_dccm_r; // @[lsu.scala 63:30] + wire dccm_ctl_io_addr_in_pic_d; // @[lsu.scala 63:30] + wire dccm_ctl_io_addr_in_pic_m; // @[lsu.scala 63:30] + wire dccm_ctl_io_addr_in_pic_r; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_raw_fwd_lo_r; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_raw_fwd_hi_r; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_commit_r; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_lsu_addr_d; // @[lsu.scala 63:30] + wire [15:0] dccm_ctl_io_lsu_addr_m; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_lsu_addr_r; // @[lsu.scala 63:30] + wire [15:0] dccm_ctl_io_end_addr_d; // @[lsu.scala 63:30] + wire [15:0] dccm_ctl_io_end_addr_m; // @[lsu.scala 63:30] + wire [15:0] dccm_ctl_io_end_addr_r; // @[lsu.scala 63:30] + wire dccm_ctl_io_stbuf_reqvld_any; // @[lsu.scala 63:30] + wire [15:0] dccm_ctl_io_stbuf_addr_any; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_stbuf_data_any; // @[lsu.scala 63:30] + wire [6:0] dccm_ctl_io_stbuf_ecc_any; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_stbuf_fwddata_hi_m; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_stbuf_fwddata_lo_m; // @[lsu.scala 63:30] + wire [3:0] dccm_ctl_io_stbuf_fwdbyteen_lo_m; // @[lsu.scala 63:30] + wire [3:0] dccm_ctl_io_stbuf_fwdbyteen_hi_m; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_lsu_ld_data_corr_r; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_double_ecc_error_r; // @[lsu.scala 63:30] + wire dccm_ctl_io_single_ecc_error_hi_r; // @[lsu.scala 63:30] + wire dccm_ctl_io_single_ecc_error_lo_r; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_sec_data_hi_r_ff; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_sec_data_lo_r_ff; // @[lsu.scala 63:30] + wire [6:0] dccm_ctl_io_sec_data_ecc_hi_r_ff; // @[lsu.scala 63:30] + wire [6:0] dccm_ctl_io_sec_data_ecc_lo_r_ff; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_dccm_rdata_hi_m; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_dccm_rdata_lo_m; // @[lsu.scala 63:30] + wire [6:0] dccm_ctl_io_dccm_data_ecc_hi_m; // @[lsu.scala 63:30] + wire [6:0] dccm_ctl_io_dccm_data_ecc_lo_m; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_lsu_ld_data_m; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_double_ecc_error_m; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_sec_data_hi_m; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_sec_data_lo_m; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_store_data_m; // @[lsu.scala 63:30] + wire dccm_ctl_io_dma_dccm_wen; // @[lsu.scala 63:30] + wire dccm_ctl_io_dma_pic_wen; // @[lsu.scala 63:30] + wire [2:0] dccm_ctl_io_dma_mem_tag_m; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_dma_dccm_wdata_lo; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_dma_dccm_wdata_hi; // @[lsu.scala 63:30] + wire [6:0] dccm_ctl_io_dma_dccm_wdata_ecc_hi; // @[lsu.scala 63:30] + wire [6:0] dccm_ctl_io_dma_dccm_wdata_ecc_lo; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_store_data_hi_r; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_store_data_lo_r; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_store_datafn_hi_r; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_store_datafn_lo_r; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_store_data_r; // @[lsu.scala 63:30] + wire dccm_ctl_io_ld_single_ecc_error_r; // @[lsu.scala 63:30] + wire dccm_ctl_io_ld_single_ecc_error_r_ff; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_picm_mask_data_m; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_stbuf_commit_any; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_dccm_rden_m; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_dma_dccm_ctl_dma_mem_addr; // @[lsu.scala 63:30] + wire [63:0] dccm_ctl_io_dma_dccm_ctl_dma_mem_wdata; // @[lsu.scala 63:30] + wire dccm_ctl_io_dma_dccm_ctl_dccm_dma_rvalid; // @[lsu.scala 63:30] + wire dccm_ctl_io_dma_dccm_ctl_dccm_dma_ecc_error; // @[lsu.scala 63:30] + wire [2:0] dccm_ctl_io_dma_dccm_ctl_dccm_dma_rtag; // @[lsu.scala 63:30] + wire [63:0] dccm_ctl_io_dma_dccm_ctl_dccm_dma_rdata; // @[lsu.scala 63:30] + wire dccm_ctl_io_dccm_wren; // @[lsu.scala 63:30] + wire dccm_ctl_io_dccm_rden; // @[lsu.scala 63:30] + wire [15:0] dccm_ctl_io_dccm_wr_addr_lo; // @[lsu.scala 63:30] + wire [15:0] dccm_ctl_io_dccm_wr_addr_hi; // @[lsu.scala 63:30] + wire [15:0] dccm_ctl_io_dccm_rd_addr_lo; // @[lsu.scala 63:30] + wire [15:0] dccm_ctl_io_dccm_rd_addr_hi; // @[lsu.scala 63:30] + wire [38:0] dccm_ctl_io_dccm_wr_data_lo; // @[lsu.scala 63:30] + wire [38:0] dccm_ctl_io_dccm_wr_data_hi; // @[lsu.scala 63:30] + wire [38:0] dccm_ctl_io_dccm_rd_data_lo; // @[lsu.scala 63:30] + wire [38:0] dccm_ctl_io_dccm_rd_data_hi; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pic_picm_wren; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pic_picm_rden; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pic_picm_mken; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_lsu_pic_picm_rdaddr; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_lsu_pic_picm_wraddr; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_lsu_pic_picm_wr_data; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_lsu_pic_picm_rd_data; // @[lsu.scala 63:30] + wire dccm_ctl_io_scan_mode; // @[lsu.scala 63:30] + wire stbuf_clock; // @[lsu.scala 64:30] + wire stbuf_reset; // @[lsu.scala 64:30] + wire stbuf_io_lsu_c1_m_clk; // @[lsu.scala 64:30] + wire stbuf_io_lsu_c1_r_clk; // @[lsu.scala 64:30] + wire stbuf_io_lsu_stbuf_c1_clk; // @[lsu.scala 64:30] + wire stbuf_io_lsu_free_c2_clk; // @[lsu.scala 64:30] + wire stbuf_io_lsu_pkt_m_valid; // @[lsu.scala 64:30] + wire stbuf_io_lsu_pkt_m_bits_store; // @[lsu.scala 64:30] + wire stbuf_io_lsu_pkt_m_bits_dma; // @[lsu.scala 64:30] + wire stbuf_io_lsu_pkt_r_valid; // @[lsu.scala 64:30] + wire stbuf_io_lsu_pkt_r_bits_by; // @[lsu.scala 64:30] + wire stbuf_io_lsu_pkt_r_bits_half; // @[lsu.scala 64:30] + wire stbuf_io_lsu_pkt_r_bits_word; // @[lsu.scala 64:30] + wire stbuf_io_lsu_pkt_r_bits_dword; // @[lsu.scala 64:30] + wire stbuf_io_lsu_pkt_r_bits_store; // @[lsu.scala 64:30] + wire stbuf_io_lsu_pkt_r_bits_dma; // @[lsu.scala 64:30] + wire stbuf_io_store_stbuf_reqvld_r; // @[lsu.scala 64:30] + wire stbuf_io_lsu_commit_r; // @[lsu.scala 64:30] + wire stbuf_io_dec_lsu_valid_raw_d; // @[lsu.scala 64:30] + wire [31:0] stbuf_io_store_data_hi_r; // @[lsu.scala 64:30] + wire [31:0] stbuf_io_store_data_lo_r; // @[lsu.scala 64:30] + wire [31:0] stbuf_io_store_datafn_hi_r; // @[lsu.scala 64:30] + wire [31:0] stbuf_io_store_datafn_lo_r; // @[lsu.scala 64:30] + wire stbuf_io_lsu_stbuf_commit_any; // @[lsu.scala 64:30] + wire [15:0] stbuf_io_lsu_addr_d; // @[lsu.scala 64:30] + wire [31:0] stbuf_io_lsu_addr_m; // @[lsu.scala 64:30] + wire [31:0] stbuf_io_lsu_addr_r; // @[lsu.scala 64:30] + wire [15:0] stbuf_io_end_addr_d; // @[lsu.scala 64:30] + wire [31:0] stbuf_io_end_addr_m; // @[lsu.scala 64:30] + wire [31:0] stbuf_io_end_addr_r; // @[lsu.scala 64:30] + wire stbuf_io_addr_in_dccm_m; // @[lsu.scala 64:30] + wire stbuf_io_addr_in_dccm_r; // @[lsu.scala 64:30] + wire stbuf_io_scan_mode; // @[lsu.scala 64:30] + wire stbuf_io_stbuf_reqvld_any; // @[lsu.scala 64:30] + wire stbuf_io_stbuf_reqvld_flushed_any; // @[lsu.scala 64:30] + wire [15:0] stbuf_io_stbuf_addr_any; // @[lsu.scala 64:30] + wire [31:0] stbuf_io_stbuf_data_any; // @[lsu.scala 64:30] + wire stbuf_io_lsu_stbuf_full_any; // @[lsu.scala 64:30] + wire stbuf_io_lsu_stbuf_empty_any; // @[lsu.scala 64:30] + wire stbuf_io_ldst_stbuf_reqvld_r; // @[lsu.scala 64:30] + wire [31:0] stbuf_io_stbuf_fwddata_hi_m; // @[lsu.scala 64:30] + wire [31:0] stbuf_io_stbuf_fwddata_lo_m; // @[lsu.scala 64:30] + wire [3:0] stbuf_io_stbuf_fwdbyteen_hi_m; // @[lsu.scala 64:30] + wire [3:0] stbuf_io_stbuf_fwdbyteen_lo_m; // @[lsu.scala 64:30] + wire ecc_clock; // @[lsu.scala 65:30] + wire ecc_reset; // @[lsu.scala 65:30] + wire ecc_io_lsu_c2_r_clk; // @[lsu.scala 65:30] + wire ecc_io_lsu_pkt_m_valid; // @[lsu.scala 65:30] + wire ecc_io_lsu_pkt_m_bits_load; // @[lsu.scala 65:30] + wire ecc_io_lsu_pkt_m_bits_store; // @[lsu.scala 65:30] + wire ecc_io_lsu_pkt_m_bits_dma; // @[lsu.scala 65:30] + wire [31:0] ecc_io_stbuf_data_any; // @[lsu.scala 65:30] + wire ecc_io_dec_tlu_core_ecc_disable; // @[lsu.scala 65:30] + wire [15:0] ecc_io_lsu_addr_m; // @[lsu.scala 65:30] + wire [15:0] ecc_io_end_addr_m; // @[lsu.scala 65:30] + wire [31:0] ecc_io_dccm_rdata_hi_m; // @[lsu.scala 65:30] + wire [31:0] ecc_io_dccm_rdata_lo_m; // @[lsu.scala 65:30] + wire [6:0] ecc_io_dccm_data_ecc_hi_m; // @[lsu.scala 65:30] + wire [6:0] ecc_io_dccm_data_ecc_lo_m; // @[lsu.scala 65:30] + wire ecc_io_ld_single_ecc_error_r; // @[lsu.scala 65:30] + wire ecc_io_ld_single_ecc_error_r_ff; // @[lsu.scala 65:30] + wire ecc_io_lsu_dccm_rden_m; // @[lsu.scala 65:30] + wire ecc_io_addr_in_dccm_m; // @[lsu.scala 65:30] + wire ecc_io_dma_dccm_wen; // @[lsu.scala 65:30] + wire [31:0] ecc_io_dma_dccm_wdata_lo; // @[lsu.scala 65:30] + wire [31:0] ecc_io_dma_dccm_wdata_hi; // @[lsu.scala 65:30] + wire ecc_io_scan_mode; // @[lsu.scala 65:30] + wire [31:0] ecc_io_sec_data_hi_r; // @[lsu.scala 65:30] + wire [31:0] ecc_io_sec_data_lo_r; // @[lsu.scala 65:30] + wire [31:0] ecc_io_sec_data_hi_m; // @[lsu.scala 65:30] + wire [31:0] ecc_io_sec_data_lo_m; // @[lsu.scala 65:30] + wire [31:0] ecc_io_sec_data_hi_r_ff; // @[lsu.scala 65:30] + wire [31:0] ecc_io_sec_data_lo_r_ff; // @[lsu.scala 65:30] + wire [6:0] ecc_io_dma_dccm_wdata_ecc_hi; // @[lsu.scala 65:30] + wire [6:0] ecc_io_dma_dccm_wdata_ecc_lo; // @[lsu.scala 65:30] + wire [6:0] ecc_io_stbuf_ecc_any; // @[lsu.scala 65:30] + wire [6:0] ecc_io_sec_data_ecc_hi_r_ff; // @[lsu.scala 65:30] + wire [6:0] ecc_io_sec_data_ecc_lo_r_ff; // @[lsu.scala 65:30] + wire ecc_io_single_ecc_error_hi_r; // @[lsu.scala 65:30] + wire ecc_io_single_ecc_error_lo_r; // @[lsu.scala 65:30] + wire ecc_io_lsu_single_ecc_error_r; // @[lsu.scala 65:30] + wire ecc_io_lsu_double_ecc_error_r; // @[lsu.scala 65:30] + wire ecc_io_lsu_single_ecc_error_m; // @[lsu.scala 65:30] + wire ecc_io_lsu_double_ecc_error_m; // @[lsu.scala 65:30] + wire trigger_io_trigger_pkt_any_0_select; // @[lsu.scala 66:30] + wire trigger_io_trigger_pkt_any_0_match_pkt; // @[lsu.scala 66:30] + wire trigger_io_trigger_pkt_any_0_store; // @[lsu.scala 66:30] + wire trigger_io_trigger_pkt_any_0_load; // @[lsu.scala 66:30] + wire [31:0] trigger_io_trigger_pkt_any_0_tdata2; // @[lsu.scala 66:30] + wire trigger_io_trigger_pkt_any_1_select; // @[lsu.scala 66:30] + wire trigger_io_trigger_pkt_any_1_match_pkt; // @[lsu.scala 66:30] + wire trigger_io_trigger_pkt_any_1_store; // @[lsu.scala 66:30] + wire trigger_io_trigger_pkt_any_1_load; // @[lsu.scala 66:30] + wire [31:0] trigger_io_trigger_pkt_any_1_tdata2; // @[lsu.scala 66:30] + wire trigger_io_trigger_pkt_any_2_select; // @[lsu.scala 66:30] + wire trigger_io_trigger_pkt_any_2_match_pkt; // @[lsu.scala 66:30] + wire trigger_io_trigger_pkt_any_2_store; // @[lsu.scala 66:30] + wire trigger_io_trigger_pkt_any_2_load; // @[lsu.scala 66:30] + wire [31:0] trigger_io_trigger_pkt_any_2_tdata2; // @[lsu.scala 66:30] + wire trigger_io_trigger_pkt_any_3_select; // @[lsu.scala 66:30] + wire trigger_io_trigger_pkt_any_3_match_pkt; // @[lsu.scala 66:30] + wire trigger_io_trigger_pkt_any_3_store; // @[lsu.scala 66:30] + wire trigger_io_trigger_pkt_any_3_load; // @[lsu.scala 66:30] + wire [31:0] trigger_io_trigger_pkt_any_3_tdata2; // @[lsu.scala 66:30] + wire trigger_io_lsu_pkt_m_valid; // @[lsu.scala 66:30] + wire trigger_io_lsu_pkt_m_bits_half; // @[lsu.scala 66:30] + wire trigger_io_lsu_pkt_m_bits_word; // @[lsu.scala 66:30] + wire trigger_io_lsu_pkt_m_bits_load; // @[lsu.scala 66:30] + wire trigger_io_lsu_pkt_m_bits_store; // @[lsu.scala 66:30] + wire trigger_io_lsu_pkt_m_bits_dma; // @[lsu.scala 66:30] + wire [31:0] trigger_io_lsu_addr_m; // @[lsu.scala 66:30] + wire [31:0] trigger_io_store_data_m; // @[lsu.scala 66:30] + wire [3:0] trigger_io_lsu_trigger_match_m; // @[lsu.scala 66:30] + wire clkdomain_clock; // @[lsu.scala 67:30] + wire clkdomain_reset; // @[lsu.scala 67:30] + wire clkdomain_io_free_clk; // @[lsu.scala 67:30] + wire clkdomain_io_clk_override; // @[lsu.scala 67:30] + wire clkdomain_io_dma_dccm_req; // @[lsu.scala 67:30] + wire clkdomain_io_ldst_stbuf_reqvld_r; // @[lsu.scala 67:30] + wire clkdomain_io_stbuf_reqvld_any; // @[lsu.scala 67:30] + wire clkdomain_io_stbuf_reqvld_flushed_any; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_busreq_r; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_bus_buffer_pend_any; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_bus_buffer_empty_any; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_stbuf_empty_any; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_bus_clk_en; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_p_valid; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_pkt_d_valid; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_pkt_d_bits_store; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_pkt_m_valid; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_pkt_m_bits_store; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_pkt_r_valid; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_c1_m_clk; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_c1_r_clk; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_c2_m_clk; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_c2_r_clk; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_store_c1_m_clk; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_store_c1_r_clk; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_stbuf_c1_clk; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_bus_obuf_c1_clk; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_bus_ibuf_c1_clk; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_bus_buf_c1_clk; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_busm_clk; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_free_c2_clk; // @[lsu.scala 67:30] + wire clkdomain_io_scan_mode; // @[lsu.scala 67:30] + wire bus_intf_clock; // @[lsu.scala 68:30] + wire bus_intf_reset; // @[lsu.scala 68:30] + wire bus_intf_io_scan_mode; // @[lsu.scala 68:30] + wire bus_intf_io_tlu_busbuff_lsu_pmu_bus_trxn; // @[lsu.scala 68:30] + wire bus_intf_io_tlu_busbuff_lsu_pmu_bus_misaligned; // @[lsu.scala 68:30] + wire bus_intf_io_tlu_busbuff_lsu_pmu_bus_error; // @[lsu.scala 68:30] + wire bus_intf_io_tlu_busbuff_lsu_pmu_bus_busy; // @[lsu.scala 68:30] + wire bus_intf_io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[lsu.scala 68:30] + wire bus_intf_io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu.scala 68:30] + wire bus_intf_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu.scala 68:30] + wire bus_intf_io_tlu_busbuff_lsu_imprecise_error_load_any; // @[lsu.scala 68:30] + wire bus_intf_io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu.scala 68:30] + wire [31:0] bus_intf_io_tlu_busbuff_lsu_imprecise_error_addr_any; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_c1_m_clk; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_c1_r_clk; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_c2_r_clk; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_bus_ibuf_c1_clk; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_bus_obuf_c1_clk; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_bus_buf_c1_clk; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_free_c2_clk; // @[lsu.scala 68:30] + wire bus_intf_io_free_clk; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_busm_clk; // @[lsu.scala 68:30] + wire bus_intf_io_axi_aw_ready; // @[lsu.scala 68:30] + wire bus_intf_io_axi_aw_valid; // @[lsu.scala 68:30] + wire [2:0] bus_intf_io_axi_aw_bits_id; // @[lsu.scala 68:30] + wire [31:0] bus_intf_io_axi_aw_bits_addr; // @[lsu.scala 68:30] + wire [3:0] bus_intf_io_axi_aw_bits_region; // @[lsu.scala 68:30] + wire [2:0] bus_intf_io_axi_aw_bits_size; // @[lsu.scala 68:30] + wire [3:0] bus_intf_io_axi_aw_bits_cache; // @[lsu.scala 68:30] + wire bus_intf_io_axi_w_ready; // @[lsu.scala 68:30] + wire bus_intf_io_axi_w_valid; // @[lsu.scala 68:30] + wire [63:0] bus_intf_io_axi_w_bits_data; // @[lsu.scala 68:30] + wire [7:0] bus_intf_io_axi_w_bits_strb; // @[lsu.scala 68:30] + wire bus_intf_io_axi_b_valid; // @[lsu.scala 68:30] + wire [1:0] bus_intf_io_axi_b_bits_resp; // @[lsu.scala 68:30] + wire [2:0] bus_intf_io_axi_b_bits_id; // @[lsu.scala 68:30] + wire bus_intf_io_axi_ar_ready; // @[lsu.scala 68:30] + wire bus_intf_io_axi_ar_valid; // @[lsu.scala 68:30] + wire [2:0] bus_intf_io_axi_ar_bits_id; // @[lsu.scala 68:30] + wire [31:0] bus_intf_io_axi_ar_bits_addr; // @[lsu.scala 68:30] + wire [3:0] bus_intf_io_axi_ar_bits_region; // @[lsu.scala 68:30] + wire [2:0] bus_intf_io_axi_ar_bits_size; // @[lsu.scala 68:30] + wire [3:0] bus_intf_io_axi_ar_bits_cache; // @[lsu.scala 68:30] + wire bus_intf_io_axi_r_valid; // @[lsu.scala 68:30] + wire [2:0] bus_intf_io_axi_r_bits_id; // @[lsu.scala 68:30] + wire [63:0] bus_intf_io_axi_r_bits_data; // @[lsu.scala 68:30] + wire [1:0] bus_intf_io_axi_r_bits_resp; // @[lsu.scala 68:30] + wire bus_intf_io_dec_lsu_valid_raw_d; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_busreq_m; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_pkt_m_valid; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_pkt_m_bits_by; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_pkt_m_bits_half; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_pkt_m_bits_word; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_pkt_m_bits_load; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_pkt_r_valid; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_pkt_r_bits_by; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_pkt_r_bits_half; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_pkt_r_bits_word; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_pkt_r_bits_load; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_pkt_r_bits_store; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_pkt_r_bits_unsign; // @[lsu.scala 68:30] + wire [31:0] bus_intf_io_lsu_addr_d; // @[lsu.scala 68:30] + wire [31:0] bus_intf_io_lsu_addr_m; // @[lsu.scala 68:30] + wire [31:0] bus_intf_io_lsu_addr_r; // @[lsu.scala 68:30] + wire [31:0] bus_intf_io_end_addr_d; // @[lsu.scala 68:30] + wire [31:0] bus_intf_io_end_addr_m; // @[lsu.scala 68:30] + wire [31:0] bus_intf_io_end_addr_r; // @[lsu.scala 68:30] + wire [31:0] bus_intf_io_store_data_r; // @[lsu.scala 68:30] + wire bus_intf_io_dec_tlu_force_halt; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_commit_r; // @[lsu.scala 68:30] + wire bus_intf_io_is_sideeffects_m; // @[lsu.scala 68:30] + wire bus_intf_io_flush_m_up; // @[lsu.scala 68:30] + wire bus_intf_io_flush_r; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_busreq_r; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_bus_buffer_pend_any; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_bus_buffer_full_any; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_bus_buffer_empty_any; // @[lsu.scala 68:30] + wire [31:0] bus_intf_io_bus_read_data_m; // @[lsu.scala 68:30] + wire bus_intf_io_dctl_busbuff_lsu_nonblock_load_valid_m; // @[lsu.scala 68:30] + wire [1:0] bus_intf_io_dctl_busbuff_lsu_nonblock_load_tag_m; // @[lsu.scala 68:30] + wire bus_intf_io_dctl_busbuff_lsu_nonblock_load_inv_r; // @[lsu.scala 68:30] + wire [1:0] bus_intf_io_dctl_busbuff_lsu_nonblock_load_inv_tag_r; // @[lsu.scala 68:30] + wire bus_intf_io_dctl_busbuff_lsu_nonblock_load_data_valid; // @[lsu.scala 68:30] + wire bus_intf_io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu.scala 68:30] + wire [1:0] bus_intf_io_dctl_busbuff_lsu_nonblock_load_data_tag; // @[lsu.scala 68:30] + wire [31:0] bus_intf_io_dctl_busbuff_lsu_nonblock_load_data; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_bus_clk_en; // @[lsu.scala 68:30] + wire _T = stbuf_io_lsu_stbuf_full_any | bus_intf_io_lsu_bus_buffer_full_any; // @[lsu.scala 74:57] + wire _T_3 = ~lsu_lsc_ctl_io_lsu_pkt_m_bits_dma; // @[lsu.scala 81:58] + wire _T_4 = lsu_lsc_ctl_io_lsu_pkt_m_valid & _T_3; // @[lsu.scala 81:56] + wire _T_5 = lsu_lsc_ctl_io_addr_in_dccm_m | lsu_lsc_ctl_io_addr_in_pic_m; // @[lsu.scala 81:126] + wire _T_6 = _T_4 & _T_5; // @[lsu.scala 81:93] + wire ldst_nodma_mtor = _T_6 & lsu_lsc_ctl_io_lsu_pkt_m_bits_store; // @[lsu.scala 81:158] + wire _T_7 = io_dec_lsu_valid_raw_d | ldst_nodma_mtor; // @[lsu.scala 82:53] + wire _T_8 = _T_7 | dccm_ctl_io_ld_single_ecc_error_r_ff; // @[lsu.scala 82:71] + wire _T_10 = io_lsu_dma_dma_lsc_ctl_dma_dccm_req & io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[lsu.scala 83:58] + wire [5:0] _T_13 = {io_lsu_dma_dma_lsc_ctl_dma_mem_addr[2:0],3'h0}; // @[Cat.scala 29:58] + wire [63:0] dma_dccm_wdata = io_lsu_dma_dma_lsc_ctl_dma_mem_wdata >> _T_13; // @[lsu.scala 85:58] + wire _T_19 = ~lsu_lsc_ctl_io_lsu_pkt_r_bits_dma; // @[lsu.scala 96:130] + wire _T_20 = lsu_lsc_ctl_io_lsu_pkt_r_valid & _T_19; // @[lsu.scala 96:128] + wire _T_21 = _T_4 | _T_20; // @[lsu.scala 96:94] + wire _T_22 = ~_T_21; // @[lsu.scala 96:22] + wire _T_25 = lsu_lsc_ctl_io_lsu_pkt_r_valid & lsu_lsc_ctl_io_lsu_pkt_r_bits_store; // @[lsu.scala 98:61] + wire _T_26 = _T_25 & lsu_lsc_ctl_io_addr_in_dccm_r; // @[lsu.scala 98:99] + wire _T_27 = ~io_dec_tlu_i0_kill_writeb_r; // @[lsu.scala 98:133] + wire _T_28 = _T_26 & _T_27; // @[lsu.scala 98:131] + wire _T_30 = lsu_lsc_ctl_io_lsu_pkt_m_bits_load | lsu_lsc_ctl_io_lsu_pkt_m_bits_store; // @[lsu.scala 100:90] + wire _T_34 = _T_30 & lsu_lsc_ctl_io_addr_external_m; // @[lsu.scala 102:131] + wire _T_35 = lsu_lsc_ctl_io_lsu_pkt_m_valid & _T_34; // @[lsu.scala 102:53] + wire _T_36 = ~io_dec_tlu_flush_lower_r; // @[lsu.scala 102:167] + wire _T_37 = _T_35 & _T_36; // @[lsu.scala 102:165] + wire _T_38 = ~lsu_lsc_ctl_io_lsu_exc_m; // @[lsu.scala 102:181] + wire _T_39 = _T_37 & _T_38; // @[lsu.scala 102:179] + wire _T_40 = ~lsu_lsc_ctl_io_lsu_pkt_m_bits_fast_int; // @[lsu.scala 102:209] + wire _T_42 = lsu_lsc_ctl_io_lsu_pkt_m_bits_half & lsu_lsc_ctl_io_lsu_addr_m[0]; // @[lsu.scala 104:100] + wire _T_44 = |lsu_lsc_ctl_io_lsu_addr_m[1:0]; // @[lsu.scala 104:203] + wire _T_45 = lsu_lsc_ctl_io_lsu_pkt_m_bits_word & _T_44; // @[lsu.scala 104:170] + wire _T_46 = _T_42 | _T_45; // @[lsu.scala 104:132] + wire _T_48 = lsu_lsc_ctl_io_lsu_pkt_m_valid & lsu_lsc_ctl_io_lsu_pkt_m_bits_load; // @[lsu.scala 105:73] + wire _T_50 = lsu_lsc_ctl_io_lsu_pkt_m_valid & lsu_lsc_ctl_io_lsu_pkt_m_bits_store; // @[lsu.scala 106:73] + reg [2:0] dma_mem_tag_m; // @[lsu.scala 317:67] + reg lsu_raw_fwd_hi_r; // @[lsu.scala 318:67] + reg lsu_raw_fwd_lo_r; // @[lsu.scala 319:67] + lsu_lsc_ctl lsu_lsc_ctl ( // @[lsu.scala 60:30] + .reset(lsu_lsc_ctl_reset), + .io_lsu_c1_m_clk(lsu_lsc_ctl_io_lsu_c1_m_clk), + .io_lsu_c1_r_clk(lsu_lsc_ctl_io_lsu_c1_r_clk), + .io_lsu_c2_m_clk(lsu_lsc_ctl_io_lsu_c2_m_clk), + .io_lsu_c2_r_clk(lsu_lsc_ctl_io_lsu_c2_r_clk), + .io_lsu_store_c1_m_clk(lsu_lsc_ctl_io_lsu_store_c1_m_clk), + .io_lsu_ld_data_corr_r(lsu_lsc_ctl_io_lsu_ld_data_corr_r), + .io_lsu_single_ecc_error_r(lsu_lsc_ctl_io_lsu_single_ecc_error_r), + .io_lsu_double_ecc_error_r(lsu_lsc_ctl_io_lsu_double_ecc_error_r), + .io_lsu_ld_data_m(lsu_lsc_ctl_io_lsu_ld_data_m), + .io_lsu_single_ecc_error_m(lsu_lsc_ctl_io_lsu_single_ecc_error_m), + .io_lsu_double_ecc_error_m(lsu_lsc_ctl_io_lsu_double_ecc_error_m), + .io_flush_m_up(lsu_lsc_ctl_io_flush_m_up), + .io_flush_r(lsu_lsc_ctl_io_flush_r), + .io_lsu_exu_exu_lsu_rs1_d(lsu_lsc_ctl_io_lsu_exu_exu_lsu_rs1_d), + .io_lsu_exu_exu_lsu_rs2_d(lsu_lsc_ctl_io_lsu_exu_exu_lsu_rs2_d), + .io_lsu_p_valid(lsu_lsc_ctl_io_lsu_p_valid), + .io_lsu_p_bits_fast_int(lsu_lsc_ctl_io_lsu_p_bits_fast_int), + .io_lsu_p_bits_by(lsu_lsc_ctl_io_lsu_p_bits_by), + .io_lsu_p_bits_half(lsu_lsc_ctl_io_lsu_p_bits_half), + .io_lsu_p_bits_word(lsu_lsc_ctl_io_lsu_p_bits_word), + .io_lsu_p_bits_dword(lsu_lsc_ctl_io_lsu_p_bits_dword), + .io_lsu_p_bits_load(lsu_lsc_ctl_io_lsu_p_bits_load), + .io_lsu_p_bits_store(lsu_lsc_ctl_io_lsu_p_bits_store), + .io_lsu_p_bits_unsign(lsu_lsc_ctl_io_lsu_p_bits_unsign), + .io_lsu_p_bits_dma(lsu_lsc_ctl_io_lsu_p_bits_dma), + .io_lsu_p_bits_store_data_bypass_d(lsu_lsc_ctl_io_lsu_p_bits_store_data_bypass_d), + .io_lsu_p_bits_load_ldst_bypass_d(lsu_lsc_ctl_io_lsu_p_bits_load_ldst_bypass_d), + .io_lsu_p_bits_store_data_bypass_m(lsu_lsc_ctl_io_lsu_p_bits_store_data_bypass_m), + .io_dec_lsu_valid_raw_d(lsu_lsc_ctl_io_dec_lsu_valid_raw_d), + .io_dec_lsu_offset_d(lsu_lsc_ctl_io_dec_lsu_offset_d), + .io_picm_mask_data_m(lsu_lsc_ctl_io_picm_mask_data_m), + .io_bus_read_data_m(lsu_lsc_ctl_io_bus_read_data_m), + .io_lsu_result_m(lsu_lsc_ctl_io_lsu_result_m), + .io_lsu_result_corr_r(lsu_lsc_ctl_io_lsu_result_corr_r), + .io_lsu_addr_d(lsu_lsc_ctl_io_lsu_addr_d), + .io_lsu_addr_m(lsu_lsc_ctl_io_lsu_addr_m), + .io_lsu_addr_r(lsu_lsc_ctl_io_lsu_addr_r), + .io_end_addr_d(lsu_lsc_ctl_io_end_addr_d), + .io_end_addr_m(lsu_lsc_ctl_io_end_addr_m), + .io_end_addr_r(lsu_lsc_ctl_io_end_addr_r), + .io_store_data_m(lsu_lsc_ctl_io_store_data_m), + .io_dec_tlu_mrac_ff(lsu_lsc_ctl_io_dec_tlu_mrac_ff), + .io_lsu_exc_m(lsu_lsc_ctl_io_lsu_exc_m), + .io_is_sideeffects_m(lsu_lsc_ctl_io_is_sideeffects_m), + .io_lsu_commit_r(lsu_lsc_ctl_io_lsu_commit_r), + .io_lsu_single_ecc_error_incr(lsu_lsc_ctl_io_lsu_single_ecc_error_incr), + .io_lsu_error_pkt_r_valid(lsu_lsc_ctl_io_lsu_error_pkt_r_valid), + .io_lsu_error_pkt_r_bits_single_ecc_error(lsu_lsc_ctl_io_lsu_error_pkt_r_bits_single_ecc_error), + .io_lsu_error_pkt_r_bits_inst_type(lsu_lsc_ctl_io_lsu_error_pkt_r_bits_inst_type), + .io_lsu_error_pkt_r_bits_exc_type(lsu_lsc_ctl_io_lsu_error_pkt_r_bits_exc_type), + .io_lsu_error_pkt_r_bits_mscause(lsu_lsc_ctl_io_lsu_error_pkt_r_bits_mscause), + .io_lsu_error_pkt_r_bits_addr(lsu_lsc_ctl_io_lsu_error_pkt_r_bits_addr), + .io_lsu_fir_addr(lsu_lsc_ctl_io_lsu_fir_addr), + .io_lsu_fir_error(lsu_lsc_ctl_io_lsu_fir_error), + .io_addr_in_dccm_d(lsu_lsc_ctl_io_addr_in_dccm_d), + .io_addr_in_dccm_m(lsu_lsc_ctl_io_addr_in_dccm_m), + .io_addr_in_dccm_r(lsu_lsc_ctl_io_addr_in_dccm_r), + .io_addr_in_pic_d(lsu_lsc_ctl_io_addr_in_pic_d), + .io_addr_in_pic_m(lsu_lsc_ctl_io_addr_in_pic_m), + .io_addr_in_pic_r(lsu_lsc_ctl_io_addr_in_pic_r), + .io_addr_external_m(lsu_lsc_ctl_io_addr_external_m), + .io_dma_lsc_ctl_dma_dccm_req(lsu_lsc_ctl_io_dma_lsc_ctl_dma_dccm_req), + .io_dma_lsc_ctl_dma_mem_addr(lsu_lsc_ctl_io_dma_lsc_ctl_dma_mem_addr), + .io_dma_lsc_ctl_dma_mem_sz(lsu_lsc_ctl_io_dma_lsc_ctl_dma_mem_sz), + .io_dma_lsc_ctl_dma_mem_write(lsu_lsc_ctl_io_dma_lsc_ctl_dma_mem_write), + .io_dma_lsc_ctl_dma_mem_wdata(lsu_lsc_ctl_io_dma_lsc_ctl_dma_mem_wdata), + .io_lsu_pkt_d_valid(lsu_lsc_ctl_io_lsu_pkt_d_valid), + .io_lsu_pkt_d_bits_fast_int(lsu_lsc_ctl_io_lsu_pkt_d_bits_fast_int), + .io_lsu_pkt_d_bits_by(lsu_lsc_ctl_io_lsu_pkt_d_bits_by), + .io_lsu_pkt_d_bits_half(lsu_lsc_ctl_io_lsu_pkt_d_bits_half), + .io_lsu_pkt_d_bits_word(lsu_lsc_ctl_io_lsu_pkt_d_bits_word), + .io_lsu_pkt_d_bits_dword(lsu_lsc_ctl_io_lsu_pkt_d_bits_dword), + .io_lsu_pkt_d_bits_load(lsu_lsc_ctl_io_lsu_pkt_d_bits_load), + .io_lsu_pkt_d_bits_store(lsu_lsc_ctl_io_lsu_pkt_d_bits_store), + .io_lsu_pkt_d_bits_unsign(lsu_lsc_ctl_io_lsu_pkt_d_bits_unsign), + .io_lsu_pkt_d_bits_dma(lsu_lsc_ctl_io_lsu_pkt_d_bits_dma), + .io_lsu_pkt_d_bits_store_data_bypass_d(lsu_lsc_ctl_io_lsu_pkt_d_bits_store_data_bypass_d), + .io_lsu_pkt_d_bits_load_ldst_bypass_d(lsu_lsc_ctl_io_lsu_pkt_d_bits_load_ldst_bypass_d), + .io_lsu_pkt_d_bits_store_data_bypass_m(lsu_lsc_ctl_io_lsu_pkt_d_bits_store_data_bypass_m), + .io_lsu_pkt_m_valid(lsu_lsc_ctl_io_lsu_pkt_m_valid), + .io_lsu_pkt_m_bits_fast_int(lsu_lsc_ctl_io_lsu_pkt_m_bits_fast_int), + .io_lsu_pkt_m_bits_by(lsu_lsc_ctl_io_lsu_pkt_m_bits_by), + .io_lsu_pkt_m_bits_half(lsu_lsc_ctl_io_lsu_pkt_m_bits_half), + .io_lsu_pkt_m_bits_word(lsu_lsc_ctl_io_lsu_pkt_m_bits_word), + .io_lsu_pkt_m_bits_dword(lsu_lsc_ctl_io_lsu_pkt_m_bits_dword), + .io_lsu_pkt_m_bits_load(lsu_lsc_ctl_io_lsu_pkt_m_bits_load), + .io_lsu_pkt_m_bits_store(lsu_lsc_ctl_io_lsu_pkt_m_bits_store), + .io_lsu_pkt_m_bits_unsign(lsu_lsc_ctl_io_lsu_pkt_m_bits_unsign), + .io_lsu_pkt_m_bits_dma(lsu_lsc_ctl_io_lsu_pkt_m_bits_dma), + .io_lsu_pkt_m_bits_store_data_bypass_m(lsu_lsc_ctl_io_lsu_pkt_m_bits_store_data_bypass_m), + .io_lsu_pkt_r_valid(lsu_lsc_ctl_io_lsu_pkt_r_valid), + .io_lsu_pkt_r_bits_by(lsu_lsc_ctl_io_lsu_pkt_r_bits_by), + .io_lsu_pkt_r_bits_half(lsu_lsc_ctl_io_lsu_pkt_r_bits_half), + .io_lsu_pkt_r_bits_word(lsu_lsc_ctl_io_lsu_pkt_r_bits_word), + .io_lsu_pkt_r_bits_dword(lsu_lsc_ctl_io_lsu_pkt_r_bits_dword), + .io_lsu_pkt_r_bits_load(lsu_lsc_ctl_io_lsu_pkt_r_bits_load), + .io_lsu_pkt_r_bits_store(lsu_lsc_ctl_io_lsu_pkt_r_bits_store), + .io_lsu_pkt_r_bits_unsign(lsu_lsc_ctl_io_lsu_pkt_r_bits_unsign), + .io_lsu_pkt_r_bits_dma(lsu_lsc_ctl_io_lsu_pkt_r_bits_dma) + ); + lsu_dccm_ctl dccm_ctl ( // @[lsu.scala 63:30] + .clock(dccm_ctl_clock), + .reset(dccm_ctl_reset), + .io_lsu_c2_m_clk(dccm_ctl_io_lsu_c2_m_clk), + .io_lsu_c2_r_clk(dccm_ctl_io_lsu_c2_r_clk), + .io_lsu_free_c2_clk(dccm_ctl_io_lsu_free_c2_clk), + .io_lsu_store_c1_r_clk(dccm_ctl_io_lsu_store_c1_r_clk), + .io_lsu_pkt_d_valid(dccm_ctl_io_lsu_pkt_d_valid), + .io_lsu_pkt_d_bits_word(dccm_ctl_io_lsu_pkt_d_bits_word), + .io_lsu_pkt_d_bits_dword(dccm_ctl_io_lsu_pkt_d_bits_dword), + .io_lsu_pkt_d_bits_load(dccm_ctl_io_lsu_pkt_d_bits_load), + .io_lsu_pkt_d_bits_store(dccm_ctl_io_lsu_pkt_d_bits_store), + .io_lsu_pkt_d_bits_dma(dccm_ctl_io_lsu_pkt_d_bits_dma), + .io_lsu_pkt_m_valid(dccm_ctl_io_lsu_pkt_m_valid), + .io_lsu_pkt_m_bits_by(dccm_ctl_io_lsu_pkt_m_bits_by), + .io_lsu_pkt_m_bits_half(dccm_ctl_io_lsu_pkt_m_bits_half), + .io_lsu_pkt_m_bits_word(dccm_ctl_io_lsu_pkt_m_bits_word), + .io_lsu_pkt_m_bits_load(dccm_ctl_io_lsu_pkt_m_bits_load), + .io_lsu_pkt_m_bits_store(dccm_ctl_io_lsu_pkt_m_bits_store), + .io_lsu_pkt_m_bits_dma(dccm_ctl_io_lsu_pkt_m_bits_dma), + .io_lsu_pkt_r_valid(dccm_ctl_io_lsu_pkt_r_valid), + .io_lsu_pkt_r_bits_by(dccm_ctl_io_lsu_pkt_r_bits_by), + .io_lsu_pkt_r_bits_half(dccm_ctl_io_lsu_pkt_r_bits_half), + .io_lsu_pkt_r_bits_word(dccm_ctl_io_lsu_pkt_r_bits_word), + .io_lsu_pkt_r_bits_load(dccm_ctl_io_lsu_pkt_r_bits_load), + .io_lsu_pkt_r_bits_store(dccm_ctl_io_lsu_pkt_r_bits_store), + .io_lsu_pkt_r_bits_dma(dccm_ctl_io_lsu_pkt_r_bits_dma), + .io_addr_in_dccm_d(dccm_ctl_io_addr_in_dccm_d), + .io_addr_in_dccm_m(dccm_ctl_io_addr_in_dccm_m), + .io_addr_in_dccm_r(dccm_ctl_io_addr_in_dccm_r), + .io_addr_in_pic_d(dccm_ctl_io_addr_in_pic_d), + .io_addr_in_pic_m(dccm_ctl_io_addr_in_pic_m), + .io_addr_in_pic_r(dccm_ctl_io_addr_in_pic_r), + .io_lsu_raw_fwd_lo_r(dccm_ctl_io_lsu_raw_fwd_lo_r), + .io_lsu_raw_fwd_hi_r(dccm_ctl_io_lsu_raw_fwd_hi_r), + .io_lsu_commit_r(dccm_ctl_io_lsu_commit_r), + .io_lsu_addr_d(dccm_ctl_io_lsu_addr_d), + .io_lsu_addr_m(dccm_ctl_io_lsu_addr_m), + .io_lsu_addr_r(dccm_ctl_io_lsu_addr_r), + .io_end_addr_d(dccm_ctl_io_end_addr_d), + .io_end_addr_m(dccm_ctl_io_end_addr_m), + .io_end_addr_r(dccm_ctl_io_end_addr_r), + .io_stbuf_reqvld_any(dccm_ctl_io_stbuf_reqvld_any), + .io_stbuf_addr_any(dccm_ctl_io_stbuf_addr_any), + .io_stbuf_data_any(dccm_ctl_io_stbuf_data_any), + .io_stbuf_ecc_any(dccm_ctl_io_stbuf_ecc_any), + .io_stbuf_fwddata_hi_m(dccm_ctl_io_stbuf_fwddata_hi_m), + .io_stbuf_fwddata_lo_m(dccm_ctl_io_stbuf_fwddata_lo_m), + .io_stbuf_fwdbyteen_lo_m(dccm_ctl_io_stbuf_fwdbyteen_lo_m), + .io_stbuf_fwdbyteen_hi_m(dccm_ctl_io_stbuf_fwdbyteen_hi_m), + .io_lsu_ld_data_corr_r(dccm_ctl_io_lsu_ld_data_corr_r), + .io_lsu_double_ecc_error_r(dccm_ctl_io_lsu_double_ecc_error_r), + .io_single_ecc_error_hi_r(dccm_ctl_io_single_ecc_error_hi_r), + .io_single_ecc_error_lo_r(dccm_ctl_io_single_ecc_error_lo_r), + .io_sec_data_hi_r_ff(dccm_ctl_io_sec_data_hi_r_ff), + .io_sec_data_lo_r_ff(dccm_ctl_io_sec_data_lo_r_ff), + .io_sec_data_ecc_hi_r_ff(dccm_ctl_io_sec_data_ecc_hi_r_ff), + .io_sec_data_ecc_lo_r_ff(dccm_ctl_io_sec_data_ecc_lo_r_ff), + .io_dccm_rdata_hi_m(dccm_ctl_io_dccm_rdata_hi_m), + .io_dccm_rdata_lo_m(dccm_ctl_io_dccm_rdata_lo_m), + .io_dccm_data_ecc_hi_m(dccm_ctl_io_dccm_data_ecc_hi_m), + .io_dccm_data_ecc_lo_m(dccm_ctl_io_dccm_data_ecc_lo_m), + .io_lsu_ld_data_m(dccm_ctl_io_lsu_ld_data_m), + .io_lsu_double_ecc_error_m(dccm_ctl_io_lsu_double_ecc_error_m), + .io_sec_data_hi_m(dccm_ctl_io_sec_data_hi_m), + .io_sec_data_lo_m(dccm_ctl_io_sec_data_lo_m), + .io_store_data_m(dccm_ctl_io_store_data_m), + .io_dma_dccm_wen(dccm_ctl_io_dma_dccm_wen), + .io_dma_pic_wen(dccm_ctl_io_dma_pic_wen), + .io_dma_mem_tag_m(dccm_ctl_io_dma_mem_tag_m), + .io_dma_dccm_wdata_lo(dccm_ctl_io_dma_dccm_wdata_lo), + .io_dma_dccm_wdata_hi(dccm_ctl_io_dma_dccm_wdata_hi), + .io_dma_dccm_wdata_ecc_hi(dccm_ctl_io_dma_dccm_wdata_ecc_hi), + .io_dma_dccm_wdata_ecc_lo(dccm_ctl_io_dma_dccm_wdata_ecc_lo), + .io_store_data_hi_r(dccm_ctl_io_store_data_hi_r), + .io_store_data_lo_r(dccm_ctl_io_store_data_lo_r), + .io_store_datafn_hi_r(dccm_ctl_io_store_datafn_hi_r), + .io_store_datafn_lo_r(dccm_ctl_io_store_datafn_lo_r), + .io_store_data_r(dccm_ctl_io_store_data_r), + .io_ld_single_ecc_error_r(dccm_ctl_io_ld_single_ecc_error_r), + .io_ld_single_ecc_error_r_ff(dccm_ctl_io_ld_single_ecc_error_r_ff), + .io_picm_mask_data_m(dccm_ctl_io_picm_mask_data_m), + .io_lsu_stbuf_commit_any(dccm_ctl_io_lsu_stbuf_commit_any), + .io_lsu_dccm_rden_m(dccm_ctl_io_lsu_dccm_rden_m), + .io_dma_dccm_ctl_dma_mem_addr(dccm_ctl_io_dma_dccm_ctl_dma_mem_addr), + .io_dma_dccm_ctl_dma_mem_wdata(dccm_ctl_io_dma_dccm_ctl_dma_mem_wdata), + .io_dma_dccm_ctl_dccm_dma_rvalid(dccm_ctl_io_dma_dccm_ctl_dccm_dma_rvalid), + .io_dma_dccm_ctl_dccm_dma_ecc_error(dccm_ctl_io_dma_dccm_ctl_dccm_dma_ecc_error), + .io_dma_dccm_ctl_dccm_dma_rtag(dccm_ctl_io_dma_dccm_ctl_dccm_dma_rtag), + .io_dma_dccm_ctl_dccm_dma_rdata(dccm_ctl_io_dma_dccm_ctl_dccm_dma_rdata), + .io_dccm_wren(dccm_ctl_io_dccm_wren), + .io_dccm_rden(dccm_ctl_io_dccm_rden), + .io_dccm_wr_addr_lo(dccm_ctl_io_dccm_wr_addr_lo), + .io_dccm_wr_addr_hi(dccm_ctl_io_dccm_wr_addr_hi), + .io_dccm_rd_addr_lo(dccm_ctl_io_dccm_rd_addr_lo), + .io_dccm_rd_addr_hi(dccm_ctl_io_dccm_rd_addr_hi), + .io_dccm_wr_data_lo(dccm_ctl_io_dccm_wr_data_lo), + .io_dccm_wr_data_hi(dccm_ctl_io_dccm_wr_data_hi), + .io_dccm_rd_data_lo(dccm_ctl_io_dccm_rd_data_lo), + .io_dccm_rd_data_hi(dccm_ctl_io_dccm_rd_data_hi), + .io_lsu_pic_picm_wren(dccm_ctl_io_lsu_pic_picm_wren), + .io_lsu_pic_picm_rden(dccm_ctl_io_lsu_pic_picm_rden), + .io_lsu_pic_picm_mken(dccm_ctl_io_lsu_pic_picm_mken), + .io_lsu_pic_picm_rdaddr(dccm_ctl_io_lsu_pic_picm_rdaddr), + .io_lsu_pic_picm_wraddr(dccm_ctl_io_lsu_pic_picm_wraddr), + .io_lsu_pic_picm_wr_data(dccm_ctl_io_lsu_pic_picm_wr_data), + .io_lsu_pic_picm_rd_data(dccm_ctl_io_lsu_pic_picm_rd_data), + .io_scan_mode(dccm_ctl_io_scan_mode) + ); + lsu_stbuf stbuf ( // @[lsu.scala 64:30] + .clock(stbuf_clock), + .reset(stbuf_reset), + .io_lsu_c1_m_clk(stbuf_io_lsu_c1_m_clk), + .io_lsu_c1_r_clk(stbuf_io_lsu_c1_r_clk), + .io_lsu_stbuf_c1_clk(stbuf_io_lsu_stbuf_c1_clk), + .io_lsu_free_c2_clk(stbuf_io_lsu_free_c2_clk), + .io_lsu_pkt_m_valid(stbuf_io_lsu_pkt_m_valid), + .io_lsu_pkt_m_bits_store(stbuf_io_lsu_pkt_m_bits_store), + .io_lsu_pkt_m_bits_dma(stbuf_io_lsu_pkt_m_bits_dma), + .io_lsu_pkt_r_valid(stbuf_io_lsu_pkt_r_valid), + .io_lsu_pkt_r_bits_by(stbuf_io_lsu_pkt_r_bits_by), + .io_lsu_pkt_r_bits_half(stbuf_io_lsu_pkt_r_bits_half), + .io_lsu_pkt_r_bits_word(stbuf_io_lsu_pkt_r_bits_word), + .io_lsu_pkt_r_bits_dword(stbuf_io_lsu_pkt_r_bits_dword), + .io_lsu_pkt_r_bits_store(stbuf_io_lsu_pkt_r_bits_store), + .io_lsu_pkt_r_bits_dma(stbuf_io_lsu_pkt_r_bits_dma), + .io_store_stbuf_reqvld_r(stbuf_io_store_stbuf_reqvld_r), + .io_lsu_commit_r(stbuf_io_lsu_commit_r), + .io_dec_lsu_valid_raw_d(stbuf_io_dec_lsu_valid_raw_d), + .io_store_data_hi_r(stbuf_io_store_data_hi_r), + .io_store_data_lo_r(stbuf_io_store_data_lo_r), + .io_store_datafn_hi_r(stbuf_io_store_datafn_hi_r), + .io_store_datafn_lo_r(stbuf_io_store_datafn_lo_r), + .io_lsu_stbuf_commit_any(stbuf_io_lsu_stbuf_commit_any), + .io_lsu_addr_d(stbuf_io_lsu_addr_d), + .io_lsu_addr_m(stbuf_io_lsu_addr_m), + .io_lsu_addr_r(stbuf_io_lsu_addr_r), + .io_end_addr_d(stbuf_io_end_addr_d), + .io_end_addr_m(stbuf_io_end_addr_m), + .io_end_addr_r(stbuf_io_end_addr_r), + .io_addr_in_dccm_m(stbuf_io_addr_in_dccm_m), + .io_addr_in_dccm_r(stbuf_io_addr_in_dccm_r), + .io_scan_mode(stbuf_io_scan_mode), + .io_stbuf_reqvld_any(stbuf_io_stbuf_reqvld_any), + .io_stbuf_reqvld_flushed_any(stbuf_io_stbuf_reqvld_flushed_any), + .io_stbuf_addr_any(stbuf_io_stbuf_addr_any), + .io_stbuf_data_any(stbuf_io_stbuf_data_any), + .io_lsu_stbuf_full_any(stbuf_io_lsu_stbuf_full_any), + .io_lsu_stbuf_empty_any(stbuf_io_lsu_stbuf_empty_any), + .io_ldst_stbuf_reqvld_r(stbuf_io_ldst_stbuf_reqvld_r), + .io_stbuf_fwddata_hi_m(stbuf_io_stbuf_fwddata_hi_m), + .io_stbuf_fwddata_lo_m(stbuf_io_stbuf_fwddata_lo_m), + .io_stbuf_fwdbyteen_hi_m(stbuf_io_stbuf_fwdbyteen_hi_m), + .io_stbuf_fwdbyteen_lo_m(stbuf_io_stbuf_fwdbyteen_lo_m) + ); + lsu_ecc ecc ( // @[lsu.scala 65:30] + .clock(ecc_clock), + .reset(ecc_reset), + .io_lsu_c2_r_clk(ecc_io_lsu_c2_r_clk), + .io_lsu_pkt_m_valid(ecc_io_lsu_pkt_m_valid), + .io_lsu_pkt_m_bits_load(ecc_io_lsu_pkt_m_bits_load), + .io_lsu_pkt_m_bits_store(ecc_io_lsu_pkt_m_bits_store), + .io_lsu_pkt_m_bits_dma(ecc_io_lsu_pkt_m_bits_dma), + .io_stbuf_data_any(ecc_io_stbuf_data_any), + .io_dec_tlu_core_ecc_disable(ecc_io_dec_tlu_core_ecc_disable), + .io_lsu_addr_m(ecc_io_lsu_addr_m), + .io_end_addr_m(ecc_io_end_addr_m), + .io_dccm_rdata_hi_m(ecc_io_dccm_rdata_hi_m), + .io_dccm_rdata_lo_m(ecc_io_dccm_rdata_lo_m), + .io_dccm_data_ecc_hi_m(ecc_io_dccm_data_ecc_hi_m), + .io_dccm_data_ecc_lo_m(ecc_io_dccm_data_ecc_lo_m), + .io_ld_single_ecc_error_r(ecc_io_ld_single_ecc_error_r), + .io_ld_single_ecc_error_r_ff(ecc_io_ld_single_ecc_error_r_ff), + .io_lsu_dccm_rden_m(ecc_io_lsu_dccm_rden_m), + .io_addr_in_dccm_m(ecc_io_addr_in_dccm_m), + .io_dma_dccm_wen(ecc_io_dma_dccm_wen), + .io_dma_dccm_wdata_lo(ecc_io_dma_dccm_wdata_lo), + .io_dma_dccm_wdata_hi(ecc_io_dma_dccm_wdata_hi), + .io_scan_mode(ecc_io_scan_mode), + .io_sec_data_hi_r(ecc_io_sec_data_hi_r), + .io_sec_data_lo_r(ecc_io_sec_data_lo_r), + .io_sec_data_hi_m(ecc_io_sec_data_hi_m), + .io_sec_data_lo_m(ecc_io_sec_data_lo_m), + .io_sec_data_hi_r_ff(ecc_io_sec_data_hi_r_ff), + .io_sec_data_lo_r_ff(ecc_io_sec_data_lo_r_ff), + .io_dma_dccm_wdata_ecc_hi(ecc_io_dma_dccm_wdata_ecc_hi), + .io_dma_dccm_wdata_ecc_lo(ecc_io_dma_dccm_wdata_ecc_lo), + .io_stbuf_ecc_any(ecc_io_stbuf_ecc_any), + .io_sec_data_ecc_hi_r_ff(ecc_io_sec_data_ecc_hi_r_ff), + .io_sec_data_ecc_lo_r_ff(ecc_io_sec_data_ecc_lo_r_ff), + .io_single_ecc_error_hi_r(ecc_io_single_ecc_error_hi_r), + .io_single_ecc_error_lo_r(ecc_io_single_ecc_error_lo_r), + .io_lsu_single_ecc_error_r(ecc_io_lsu_single_ecc_error_r), + .io_lsu_double_ecc_error_r(ecc_io_lsu_double_ecc_error_r), + .io_lsu_single_ecc_error_m(ecc_io_lsu_single_ecc_error_m), + .io_lsu_double_ecc_error_m(ecc_io_lsu_double_ecc_error_m) + ); + lsu_trigger trigger ( // @[lsu.scala 66:30] + .io_trigger_pkt_any_0_select(trigger_io_trigger_pkt_any_0_select), + .io_trigger_pkt_any_0_match_pkt(trigger_io_trigger_pkt_any_0_match_pkt), + .io_trigger_pkt_any_0_store(trigger_io_trigger_pkt_any_0_store), + .io_trigger_pkt_any_0_load(trigger_io_trigger_pkt_any_0_load), + .io_trigger_pkt_any_0_tdata2(trigger_io_trigger_pkt_any_0_tdata2), + .io_trigger_pkt_any_1_select(trigger_io_trigger_pkt_any_1_select), + .io_trigger_pkt_any_1_match_pkt(trigger_io_trigger_pkt_any_1_match_pkt), + .io_trigger_pkt_any_1_store(trigger_io_trigger_pkt_any_1_store), + .io_trigger_pkt_any_1_load(trigger_io_trigger_pkt_any_1_load), + .io_trigger_pkt_any_1_tdata2(trigger_io_trigger_pkt_any_1_tdata2), + .io_trigger_pkt_any_2_select(trigger_io_trigger_pkt_any_2_select), + .io_trigger_pkt_any_2_match_pkt(trigger_io_trigger_pkt_any_2_match_pkt), + .io_trigger_pkt_any_2_store(trigger_io_trigger_pkt_any_2_store), + .io_trigger_pkt_any_2_load(trigger_io_trigger_pkt_any_2_load), + .io_trigger_pkt_any_2_tdata2(trigger_io_trigger_pkt_any_2_tdata2), + .io_trigger_pkt_any_3_select(trigger_io_trigger_pkt_any_3_select), + .io_trigger_pkt_any_3_match_pkt(trigger_io_trigger_pkt_any_3_match_pkt), + .io_trigger_pkt_any_3_store(trigger_io_trigger_pkt_any_3_store), + .io_trigger_pkt_any_3_load(trigger_io_trigger_pkt_any_3_load), + .io_trigger_pkt_any_3_tdata2(trigger_io_trigger_pkt_any_3_tdata2), + .io_lsu_pkt_m_valid(trigger_io_lsu_pkt_m_valid), + .io_lsu_pkt_m_bits_half(trigger_io_lsu_pkt_m_bits_half), + .io_lsu_pkt_m_bits_word(trigger_io_lsu_pkt_m_bits_word), + .io_lsu_pkt_m_bits_load(trigger_io_lsu_pkt_m_bits_load), + .io_lsu_pkt_m_bits_store(trigger_io_lsu_pkt_m_bits_store), + .io_lsu_pkt_m_bits_dma(trigger_io_lsu_pkt_m_bits_dma), + .io_lsu_addr_m(trigger_io_lsu_addr_m), + .io_store_data_m(trigger_io_store_data_m), + .io_lsu_trigger_match_m(trigger_io_lsu_trigger_match_m) + ); + lsu_clkdomain clkdomain ( // @[lsu.scala 67:30] + .clock(clkdomain_clock), + .reset(clkdomain_reset), + .io_free_clk(clkdomain_io_free_clk), + .io_clk_override(clkdomain_io_clk_override), + .io_dma_dccm_req(clkdomain_io_dma_dccm_req), + .io_ldst_stbuf_reqvld_r(clkdomain_io_ldst_stbuf_reqvld_r), + .io_stbuf_reqvld_any(clkdomain_io_stbuf_reqvld_any), + .io_stbuf_reqvld_flushed_any(clkdomain_io_stbuf_reqvld_flushed_any), + .io_lsu_busreq_r(clkdomain_io_lsu_busreq_r), + .io_lsu_bus_buffer_pend_any(clkdomain_io_lsu_bus_buffer_pend_any), + .io_lsu_bus_buffer_empty_any(clkdomain_io_lsu_bus_buffer_empty_any), + .io_lsu_stbuf_empty_any(clkdomain_io_lsu_stbuf_empty_any), + .io_lsu_bus_clk_en(clkdomain_io_lsu_bus_clk_en), + .io_lsu_p_valid(clkdomain_io_lsu_p_valid), + .io_lsu_pkt_d_valid(clkdomain_io_lsu_pkt_d_valid), + .io_lsu_pkt_d_bits_store(clkdomain_io_lsu_pkt_d_bits_store), + .io_lsu_pkt_m_valid(clkdomain_io_lsu_pkt_m_valid), + .io_lsu_pkt_m_bits_store(clkdomain_io_lsu_pkt_m_bits_store), + .io_lsu_pkt_r_valid(clkdomain_io_lsu_pkt_r_valid), + .io_lsu_c1_m_clk(clkdomain_io_lsu_c1_m_clk), + .io_lsu_c1_r_clk(clkdomain_io_lsu_c1_r_clk), + .io_lsu_c2_m_clk(clkdomain_io_lsu_c2_m_clk), + .io_lsu_c2_r_clk(clkdomain_io_lsu_c2_r_clk), + .io_lsu_store_c1_m_clk(clkdomain_io_lsu_store_c1_m_clk), + .io_lsu_store_c1_r_clk(clkdomain_io_lsu_store_c1_r_clk), + .io_lsu_stbuf_c1_clk(clkdomain_io_lsu_stbuf_c1_clk), + .io_lsu_bus_obuf_c1_clk(clkdomain_io_lsu_bus_obuf_c1_clk), + .io_lsu_bus_ibuf_c1_clk(clkdomain_io_lsu_bus_ibuf_c1_clk), + .io_lsu_bus_buf_c1_clk(clkdomain_io_lsu_bus_buf_c1_clk), + .io_lsu_busm_clk(clkdomain_io_lsu_busm_clk), + .io_lsu_free_c2_clk(clkdomain_io_lsu_free_c2_clk), + .io_scan_mode(clkdomain_io_scan_mode) + ); + lsu_bus_intf bus_intf ( // @[lsu.scala 68:30] + .clock(bus_intf_clock), + .reset(bus_intf_reset), + .io_scan_mode(bus_intf_io_scan_mode), + .io_tlu_busbuff_lsu_pmu_bus_trxn(bus_intf_io_tlu_busbuff_lsu_pmu_bus_trxn), + .io_tlu_busbuff_lsu_pmu_bus_misaligned(bus_intf_io_tlu_busbuff_lsu_pmu_bus_misaligned), + .io_tlu_busbuff_lsu_pmu_bus_error(bus_intf_io_tlu_busbuff_lsu_pmu_bus_error), + .io_tlu_busbuff_lsu_pmu_bus_busy(bus_intf_io_tlu_busbuff_lsu_pmu_bus_busy), + .io_tlu_busbuff_dec_tlu_external_ldfwd_disable(bus_intf_io_tlu_busbuff_dec_tlu_external_ldfwd_disable), + .io_tlu_busbuff_dec_tlu_wb_coalescing_disable(bus_intf_io_tlu_busbuff_dec_tlu_wb_coalescing_disable), + .io_tlu_busbuff_dec_tlu_sideeffect_posted_disable(bus_intf_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable), + .io_tlu_busbuff_lsu_imprecise_error_load_any(bus_intf_io_tlu_busbuff_lsu_imprecise_error_load_any), + .io_tlu_busbuff_lsu_imprecise_error_store_any(bus_intf_io_tlu_busbuff_lsu_imprecise_error_store_any), + .io_tlu_busbuff_lsu_imprecise_error_addr_any(bus_intf_io_tlu_busbuff_lsu_imprecise_error_addr_any), + .io_lsu_c1_m_clk(bus_intf_io_lsu_c1_m_clk), + .io_lsu_c1_r_clk(bus_intf_io_lsu_c1_r_clk), + .io_lsu_c2_r_clk(bus_intf_io_lsu_c2_r_clk), + .io_lsu_bus_ibuf_c1_clk(bus_intf_io_lsu_bus_ibuf_c1_clk), + .io_lsu_bus_obuf_c1_clk(bus_intf_io_lsu_bus_obuf_c1_clk), + .io_lsu_bus_buf_c1_clk(bus_intf_io_lsu_bus_buf_c1_clk), + .io_lsu_free_c2_clk(bus_intf_io_lsu_free_c2_clk), + .io_free_clk(bus_intf_io_free_clk), + .io_lsu_busm_clk(bus_intf_io_lsu_busm_clk), + .io_axi_aw_ready(bus_intf_io_axi_aw_ready), + .io_axi_aw_valid(bus_intf_io_axi_aw_valid), + .io_axi_aw_bits_id(bus_intf_io_axi_aw_bits_id), + .io_axi_aw_bits_addr(bus_intf_io_axi_aw_bits_addr), + .io_axi_aw_bits_region(bus_intf_io_axi_aw_bits_region), + .io_axi_aw_bits_size(bus_intf_io_axi_aw_bits_size), + .io_axi_aw_bits_cache(bus_intf_io_axi_aw_bits_cache), + .io_axi_w_ready(bus_intf_io_axi_w_ready), + .io_axi_w_valid(bus_intf_io_axi_w_valid), + .io_axi_w_bits_data(bus_intf_io_axi_w_bits_data), + .io_axi_w_bits_strb(bus_intf_io_axi_w_bits_strb), + .io_axi_b_valid(bus_intf_io_axi_b_valid), + .io_axi_b_bits_resp(bus_intf_io_axi_b_bits_resp), + .io_axi_b_bits_id(bus_intf_io_axi_b_bits_id), + .io_axi_ar_ready(bus_intf_io_axi_ar_ready), + .io_axi_ar_valid(bus_intf_io_axi_ar_valid), + .io_axi_ar_bits_id(bus_intf_io_axi_ar_bits_id), + .io_axi_ar_bits_addr(bus_intf_io_axi_ar_bits_addr), + .io_axi_ar_bits_region(bus_intf_io_axi_ar_bits_region), + .io_axi_ar_bits_size(bus_intf_io_axi_ar_bits_size), + .io_axi_ar_bits_cache(bus_intf_io_axi_ar_bits_cache), + .io_axi_r_valid(bus_intf_io_axi_r_valid), + .io_axi_r_bits_id(bus_intf_io_axi_r_bits_id), + .io_axi_r_bits_data(bus_intf_io_axi_r_bits_data), + .io_axi_r_bits_resp(bus_intf_io_axi_r_bits_resp), + .io_dec_lsu_valid_raw_d(bus_intf_io_dec_lsu_valid_raw_d), + .io_lsu_busreq_m(bus_intf_io_lsu_busreq_m), + .io_lsu_pkt_m_valid(bus_intf_io_lsu_pkt_m_valid), + .io_lsu_pkt_m_bits_by(bus_intf_io_lsu_pkt_m_bits_by), + .io_lsu_pkt_m_bits_half(bus_intf_io_lsu_pkt_m_bits_half), + .io_lsu_pkt_m_bits_word(bus_intf_io_lsu_pkt_m_bits_word), + .io_lsu_pkt_m_bits_load(bus_intf_io_lsu_pkt_m_bits_load), + .io_lsu_pkt_r_valid(bus_intf_io_lsu_pkt_r_valid), + .io_lsu_pkt_r_bits_by(bus_intf_io_lsu_pkt_r_bits_by), + .io_lsu_pkt_r_bits_half(bus_intf_io_lsu_pkt_r_bits_half), + .io_lsu_pkt_r_bits_word(bus_intf_io_lsu_pkt_r_bits_word), + .io_lsu_pkt_r_bits_load(bus_intf_io_lsu_pkt_r_bits_load), + .io_lsu_pkt_r_bits_store(bus_intf_io_lsu_pkt_r_bits_store), + .io_lsu_pkt_r_bits_unsign(bus_intf_io_lsu_pkt_r_bits_unsign), + .io_lsu_addr_d(bus_intf_io_lsu_addr_d), + .io_lsu_addr_m(bus_intf_io_lsu_addr_m), + .io_lsu_addr_r(bus_intf_io_lsu_addr_r), + .io_end_addr_d(bus_intf_io_end_addr_d), + .io_end_addr_m(bus_intf_io_end_addr_m), + .io_end_addr_r(bus_intf_io_end_addr_r), + .io_store_data_r(bus_intf_io_store_data_r), + .io_dec_tlu_force_halt(bus_intf_io_dec_tlu_force_halt), + .io_lsu_commit_r(bus_intf_io_lsu_commit_r), + .io_is_sideeffects_m(bus_intf_io_is_sideeffects_m), + .io_flush_m_up(bus_intf_io_flush_m_up), + .io_flush_r(bus_intf_io_flush_r), + .io_lsu_busreq_r(bus_intf_io_lsu_busreq_r), + .io_lsu_bus_buffer_pend_any(bus_intf_io_lsu_bus_buffer_pend_any), + .io_lsu_bus_buffer_full_any(bus_intf_io_lsu_bus_buffer_full_any), + .io_lsu_bus_buffer_empty_any(bus_intf_io_lsu_bus_buffer_empty_any), + .io_bus_read_data_m(bus_intf_io_bus_read_data_m), + .io_dctl_busbuff_lsu_nonblock_load_valid_m(bus_intf_io_dctl_busbuff_lsu_nonblock_load_valid_m), + .io_dctl_busbuff_lsu_nonblock_load_tag_m(bus_intf_io_dctl_busbuff_lsu_nonblock_load_tag_m), + .io_dctl_busbuff_lsu_nonblock_load_inv_r(bus_intf_io_dctl_busbuff_lsu_nonblock_load_inv_r), + .io_dctl_busbuff_lsu_nonblock_load_inv_tag_r(bus_intf_io_dctl_busbuff_lsu_nonblock_load_inv_tag_r), + .io_dctl_busbuff_lsu_nonblock_load_data_valid(bus_intf_io_dctl_busbuff_lsu_nonblock_load_data_valid), + .io_dctl_busbuff_lsu_nonblock_load_data_error(bus_intf_io_dctl_busbuff_lsu_nonblock_load_data_error), + .io_dctl_busbuff_lsu_nonblock_load_data_tag(bus_intf_io_dctl_busbuff_lsu_nonblock_load_data_tag), + .io_dctl_busbuff_lsu_nonblock_load_data(bus_intf_io_dctl_busbuff_lsu_nonblock_load_data), + .io_lsu_bus_clk_en(bus_intf_io_lsu_bus_clk_en) + ); + assign io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid = dccm_ctl_io_dma_dccm_ctl_dccm_dma_rvalid; // @[lsu.scala 194:27] + assign io_lsu_dma_dma_dccm_ctl_dccm_dma_ecc_error = dccm_ctl_io_dma_dccm_ctl_dccm_dma_ecc_error; // @[lsu.scala 194:27] + assign io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag = dccm_ctl_io_dma_dccm_ctl_dccm_dma_rtag; // @[lsu.scala 194:27] + assign io_lsu_dma_dma_dccm_ctl_dccm_dma_rdata = dccm_ctl_io_dma_dccm_ctl_dccm_dma_rdata; // @[lsu.scala 194:27] + assign io_lsu_dma_dccm_ready = ~_T_8; // @[lsu.scala 82:25] + assign io_lsu_pic_picm_wren = dccm_ctl_io_lsu_pic_picm_wren; // @[lsu.scala 196:14] + assign io_lsu_pic_picm_rden = dccm_ctl_io_lsu_pic_picm_rden; // @[lsu.scala 196:14] + assign io_lsu_pic_picm_mken = dccm_ctl_io_lsu_pic_picm_mken; // @[lsu.scala 196:14] + assign io_lsu_pic_picm_rdaddr = dccm_ctl_io_lsu_pic_picm_rdaddr; // @[lsu.scala 196:14] + assign io_lsu_pic_picm_wraddr = dccm_ctl_io_lsu_pic_picm_wraddr; // @[lsu.scala 196:14] + assign io_lsu_pic_picm_wr_data = dccm_ctl_io_lsu_pic_picm_wr_data; // @[lsu.scala 196:14] + assign io_lsu_dec_tlu_busbuff_lsu_pmu_bus_trxn = bus_intf_io_tlu_busbuff_lsu_pmu_bus_trxn; // @[lsu.scala 286:26] + assign io_lsu_dec_tlu_busbuff_lsu_pmu_bus_misaligned = bus_intf_io_tlu_busbuff_lsu_pmu_bus_misaligned; // @[lsu.scala 286:26] + assign io_lsu_dec_tlu_busbuff_lsu_pmu_bus_error = bus_intf_io_tlu_busbuff_lsu_pmu_bus_error; // @[lsu.scala 286:26] + assign io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy = bus_intf_io_tlu_busbuff_lsu_pmu_bus_busy; // @[lsu.scala 286:26] + assign io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any = bus_intf_io_tlu_busbuff_lsu_imprecise_error_load_any; // @[lsu.scala 286:26] + assign io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any = bus_intf_io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu.scala 286:26] + assign io_lsu_dec_tlu_busbuff_lsu_imprecise_error_addr_any = bus_intf_io_tlu_busbuff_lsu_imprecise_error_addr_any; // @[lsu.scala 286:26] + assign io_lsu_dec_dctl_busbuff_lsu_nonblock_load_valid_m = bus_intf_io_dctl_busbuff_lsu_nonblock_load_valid_m; // @[lsu.scala 313:27] + assign io_lsu_dec_dctl_busbuff_lsu_nonblock_load_tag_m = bus_intf_io_dctl_busbuff_lsu_nonblock_load_tag_m; // @[lsu.scala 313:27] + assign io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_r = bus_intf_io_dctl_busbuff_lsu_nonblock_load_inv_r; // @[lsu.scala 313:27] + assign io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_tag_r = bus_intf_io_dctl_busbuff_lsu_nonblock_load_inv_tag_r; // @[lsu.scala 313:27] + assign io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_valid = bus_intf_io_dctl_busbuff_lsu_nonblock_load_data_valid; // @[lsu.scala 313:27] + assign io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_error = bus_intf_io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu.scala 313:27] + assign io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag = bus_intf_io_dctl_busbuff_lsu_nonblock_load_data_tag; // @[lsu.scala 313:27] + assign io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data = bus_intf_io_dctl_busbuff_lsu_nonblock_load_data; // @[lsu.scala 313:27] + assign io_dccm_wren = dccm_ctl_io_dccm_wren; // @[lsu.scala 195:11] + assign io_dccm_rden = dccm_ctl_io_dccm_rden; // @[lsu.scala 195:11] + assign io_dccm_wr_addr_lo = dccm_ctl_io_dccm_wr_addr_lo; // @[lsu.scala 195:11] + assign io_dccm_wr_addr_hi = dccm_ctl_io_dccm_wr_addr_hi; // @[lsu.scala 195:11] + assign io_dccm_rd_addr_lo = dccm_ctl_io_dccm_rd_addr_lo; // @[lsu.scala 195:11] + assign io_dccm_rd_addr_hi = dccm_ctl_io_dccm_rd_addr_hi; // @[lsu.scala 195:11] + assign io_dccm_wr_data_lo = dccm_ctl_io_dccm_wr_data_lo; // @[lsu.scala 195:11] + assign io_dccm_wr_data_hi = dccm_ctl_io_dccm_wr_data_hi; // @[lsu.scala 195:11] + assign io_lsu_tlu_lsu_pmu_load_external_m = _T_48 & lsu_lsc_ctl_io_addr_external_m; // @[lsu.scala 105:39] + assign io_lsu_tlu_lsu_pmu_store_external_m = _T_50 & lsu_lsc_ctl_io_addr_external_m; // @[lsu.scala 106:39] + assign io_axi_aw_valid = bus_intf_io_axi_aw_valid; // @[lsu.scala 314:49] + assign io_axi_aw_bits_id = bus_intf_io_axi_aw_bits_id; // @[lsu.scala 314:49] + assign io_axi_aw_bits_addr = bus_intf_io_axi_aw_bits_addr; // @[lsu.scala 314:49] + assign io_axi_aw_bits_region = bus_intf_io_axi_aw_bits_region; // @[lsu.scala 314:49] + assign io_axi_aw_bits_len = 8'h0; // @[lsu.scala 314:49] + assign io_axi_aw_bits_size = bus_intf_io_axi_aw_bits_size; // @[lsu.scala 314:49] + assign io_axi_aw_bits_burst = 2'h1; // @[lsu.scala 314:49] + assign io_axi_aw_bits_lock = 1'h0; // @[lsu.scala 314:49] + assign io_axi_aw_bits_cache = bus_intf_io_axi_aw_bits_cache; // @[lsu.scala 314:49] + assign io_axi_aw_bits_prot = 3'h0; // @[lsu.scala 314:49] + assign io_axi_aw_bits_qos = 4'h0; // @[lsu.scala 314:49] + assign io_axi_w_valid = bus_intf_io_axi_w_valid; // @[lsu.scala 314:49] + assign io_axi_w_bits_data = bus_intf_io_axi_w_bits_data; // @[lsu.scala 314:49] + assign io_axi_w_bits_strb = bus_intf_io_axi_w_bits_strb; // @[lsu.scala 314:49] + assign io_axi_w_bits_last = 1'h1; // @[lsu.scala 314:49] + assign io_axi_b_ready = 1'h1; // @[lsu.scala 314:49] + assign io_axi_ar_valid = bus_intf_io_axi_ar_valid; // @[lsu.scala 314:49] + assign io_axi_ar_bits_id = bus_intf_io_axi_ar_bits_id; // @[lsu.scala 314:49] + assign io_axi_ar_bits_addr = bus_intf_io_axi_ar_bits_addr; // @[lsu.scala 314:49] + assign io_axi_ar_bits_region = bus_intf_io_axi_ar_bits_region; // @[lsu.scala 314:49] + assign io_axi_ar_bits_len = 8'h0; // @[lsu.scala 314:49] + assign io_axi_ar_bits_size = bus_intf_io_axi_ar_bits_size; // @[lsu.scala 314:49] + assign io_axi_ar_bits_burst = 2'h1; // @[lsu.scala 314:49] + assign io_axi_ar_bits_lock = 1'h0; // @[lsu.scala 314:49] + assign io_axi_ar_bits_cache = bus_intf_io_axi_ar_bits_cache; // @[lsu.scala 314:49] + assign io_axi_ar_bits_prot = 3'h0; // @[lsu.scala 314:49] + assign io_axi_ar_bits_qos = 4'h0; // @[lsu.scala 314:49] + assign io_axi_r_ready = 1'h1; // @[lsu.scala 314:49] + assign io_lsu_result_m = lsu_lsc_ctl_io_lsu_result_m; // @[lsu.scala 61:19] + assign io_lsu_result_corr_r = lsu_lsc_ctl_io_lsu_result_corr_r; // @[lsu.scala 62:24] + assign io_lsu_load_stall_any = bus_intf_io_lsu_bus_buffer_full_any | dccm_ctl_io_ld_single_ecc_error_r_ff; // @[lsu.scala 75:25] + assign io_lsu_store_stall_any = _T | dccm_ctl_io_ld_single_ecc_error_r_ff; // @[lsu.scala 74:26] + assign io_lsu_fastint_stall_any = dccm_ctl_io_ld_single_ecc_error_r; // @[lsu.scala 76:28] + assign io_lsu_idle_any = _T_22 & bus_intf_io_lsu_bus_buffer_empty_any; // @[lsu.scala 96:19] + assign io_lsu_fir_addr = lsu_lsc_ctl_io_lsu_fir_addr; // @[lsu.scala 137:49] + assign io_lsu_fir_error = lsu_lsc_ctl_io_lsu_fir_error; // @[lsu.scala 138:49] + assign io_lsu_single_ecc_error_incr = lsu_lsc_ctl_io_lsu_single_ecc_error_incr; // @[lsu.scala 135:49] + assign io_lsu_error_pkt_r_valid = lsu_lsc_ctl_io_lsu_error_pkt_r_valid; // @[lsu.scala 136:49] + assign io_lsu_error_pkt_r_bits_single_ecc_error = lsu_lsc_ctl_io_lsu_error_pkt_r_bits_single_ecc_error; // @[lsu.scala 136:49] + assign io_lsu_error_pkt_r_bits_inst_type = lsu_lsc_ctl_io_lsu_error_pkt_r_bits_inst_type; // @[lsu.scala 136:49] + assign io_lsu_error_pkt_r_bits_exc_type = lsu_lsc_ctl_io_lsu_error_pkt_r_bits_exc_type; // @[lsu.scala 136:49] + assign io_lsu_error_pkt_r_bits_mscause = lsu_lsc_ctl_io_lsu_error_pkt_r_bits_mscause; // @[lsu.scala 136:49] + assign io_lsu_error_pkt_r_bits_addr = lsu_lsc_ctl_io_lsu_error_pkt_r_bits_addr; // @[lsu.scala 136:49] + assign io_lsu_pmu_misaligned_m = lsu_lsc_ctl_io_lsu_pkt_m_valid & _T_46; // @[lsu.scala 104:27] + assign io_lsu_trigger_match_m = trigger_io_lsu_trigger_match_m; // @[lsu.scala 261:50] + assign lsu_lsc_ctl_reset = reset; + assign lsu_lsc_ctl_io_lsu_c1_m_clk = clkdomain_io_lsu_c1_m_clk; // @[lsu.scala 110:46] + assign lsu_lsc_ctl_io_lsu_c1_r_clk = clkdomain_io_lsu_c1_r_clk; // @[lsu.scala 111:46] + assign lsu_lsc_ctl_io_lsu_c2_m_clk = clkdomain_io_lsu_c2_m_clk; // @[lsu.scala 112:46] + assign lsu_lsc_ctl_io_lsu_c2_r_clk = clkdomain_io_lsu_c2_r_clk; // @[lsu.scala 113:46] + assign lsu_lsc_ctl_io_lsu_store_c1_m_clk = clkdomain_io_lsu_store_c1_m_clk; // @[lsu.scala 114:46] + assign lsu_lsc_ctl_io_lsu_ld_data_corr_r = dccm_ctl_io_lsu_ld_data_corr_r; // @[lsu.scala 116:46] + assign lsu_lsc_ctl_io_lsu_single_ecc_error_r = ecc_io_lsu_single_ecc_error_r; // @[lsu.scala 117:46] + assign lsu_lsc_ctl_io_lsu_double_ecc_error_r = ecc_io_lsu_double_ecc_error_r; // @[lsu.scala 118:46] + assign lsu_lsc_ctl_io_lsu_ld_data_m = dccm_ctl_io_lsu_ld_data_m; // @[lsu.scala 119:46] + assign lsu_lsc_ctl_io_lsu_single_ecc_error_m = ecc_io_lsu_single_ecc_error_m; // @[lsu.scala 120:46] + assign lsu_lsc_ctl_io_lsu_double_ecc_error_m = ecc_io_lsu_double_ecc_error_m; // @[lsu.scala 121:46] + assign lsu_lsc_ctl_io_flush_m_up = io_dec_tlu_flush_lower_r; // @[lsu.scala 122:46] + assign lsu_lsc_ctl_io_flush_r = io_dec_tlu_i0_kill_writeb_r; // @[lsu.scala 123:46] + assign lsu_lsc_ctl_io_lsu_exu_exu_lsu_rs1_d = io_lsu_exu_exu_lsu_rs1_d; // @[lsu.scala 124:46] + assign lsu_lsc_ctl_io_lsu_exu_exu_lsu_rs2_d = io_lsu_exu_exu_lsu_rs2_d; // @[lsu.scala 124:46] + assign lsu_lsc_ctl_io_lsu_p_valid = io_lsu_p_valid; // @[lsu.scala 125:46] + assign lsu_lsc_ctl_io_lsu_p_bits_fast_int = io_lsu_p_bits_fast_int; // @[lsu.scala 125:46] + assign lsu_lsc_ctl_io_lsu_p_bits_by = io_lsu_p_bits_by; // @[lsu.scala 125:46] + assign lsu_lsc_ctl_io_lsu_p_bits_half = io_lsu_p_bits_half; // @[lsu.scala 125:46] + assign lsu_lsc_ctl_io_lsu_p_bits_word = io_lsu_p_bits_word; // @[lsu.scala 125:46] + assign lsu_lsc_ctl_io_lsu_p_bits_dword = io_lsu_p_bits_dword; // @[lsu.scala 125:46] + assign lsu_lsc_ctl_io_lsu_p_bits_load = io_lsu_p_bits_load; // @[lsu.scala 125:46] + assign lsu_lsc_ctl_io_lsu_p_bits_store = io_lsu_p_bits_store; // @[lsu.scala 125:46] + assign lsu_lsc_ctl_io_lsu_p_bits_unsign = io_lsu_p_bits_unsign; // @[lsu.scala 125:46] + assign lsu_lsc_ctl_io_lsu_p_bits_dma = io_lsu_p_bits_dma; // @[lsu.scala 125:46] + assign lsu_lsc_ctl_io_lsu_p_bits_store_data_bypass_d = io_lsu_p_bits_store_data_bypass_d; // @[lsu.scala 125:46] + assign lsu_lsc_ctl_io_lsu_p_bits_load_ldst_bypass_d = io_lsu_p_bits_load_ldst_bypass_d; // @[lsu.scala 125:46] + assign lsu_lsc_ctl_io_lsu_p_bits_store_data_bypass_m = io_lsu_p_bits_store_data_bypass_m; // @[lsu.scala 125:46] + assign lsu_lsc_ctl_io_dec_lsu_valid_raw_d = io_dec_lsu_valid_raw_d; // @[lsu.scala 126:46] + assign lsu_lsc_ctl_io_dec_lsu_offset_d = io_dec_lsu_offset_d; // @[lsu.scala 127:46] + assign lsu_lsc_ctl_io_picm_mask_data_m = dccm_ctl_io_picm_mask_data_m; // @[lsu.scala 128:46] + assign lsu_lsc_ctl_io_bus_read_data_m = bus_intf_io_bus_read_data_m; // @[lsu.scala 129:46] + assign lsu_lsc_ctl_io_dec_tlu_mrac_ff = io_dec_tlu_mrac_ff; // @[lsu.scala 131:46] + assign lsu_lsc_ctl_io_dma_lsc_ctl_dma_dccm_req = io_lsu_dma_dma_lsc_ctl_dma_dccm_req; // @[lsu.scala 130:38] + assign lsu_lsc_ctl_io_dma_lsc_ctl_dma_mem_addr = io_lsu_dma_dma_lsc_ctl_dma_mem_addr; // @[lsu.scala 130:38] + assign lsu_lsc_ctl_io_dma_lsc_ctl_dma_mem_sz = io_lsu_dma_dma_lsc_ctl_dma_mem_sz; // @[lsu.scala 130:38] + assign lsu_lsc_ctl_io_dma_lsc_ctl_dma_mem_write = io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[lsu.scala 130:38] + assign lsu_lsc_ctl_io_dma_lsc_ctl_dma_mem_wdata = io_lsu_dma_dma_lsc_ctl_dma_mem_wdata; // @[lsu.scala 130:38] + assign dccm_ctl_clock = clock; + assign dccm_ctl_reset = reset; + assign dccm_ctl_io_lsu_c2_m_clk = clkdomain_io_lsu_c2_m_clk; // @[lsu.scala 141:46] + assign dccm_ctl_io_lsu_c2_r_clk = clkdomain_io_lsu_c2_r_clk; // @[lsu.scala 142:46] + assign dccm_ctl_io_lsu_free_c2_clk = clkdomain_io_lsu_free_c2_clk; // @[lsu.scala 143:46] + assign dccm_ctl_io_lsu_store_c1_r_clk = clkdomain_io_lsu_store_c1_r_clk; // @[lsu.scala 145:46] + assign dccm_ctl_io_lsu_pkt_d_valid = lsu_lsc_ctl_io_lsu_pkt_d_valid; // @[lsu.scala 146:46] + assign dccm_ctl_io_lsu_pkt_d_bits_word = lsu_lsc_ctl_io_lsu_pkt_d_bits_word; // @[lsu.scala 146:46] + assign dccm_ctl_io_lsu_pkt_d_bits_dword = lsu_lsc_ctl_io_lsu_pkt_d_bits_dword; // @[lsu.scala 146:46] + assign dccm_ctl_io_lsu_pkt_d_bits_load = lsu_lsc_ctl_io_lsu_pkt_d_bits_load; // @[lsu.scala 146:46] + assign dccm_ctl_io_lsu_pkt_d_bits_store = lsu_lsc_ctl_io_lsu_pkt_d_bits_store; // @[lsu.scala 146:46] + assign dccm_ctl_io_lsu_pkt_d_bits_dma = lsu_lsc_ctl_io_lsu_pkt_d_bits_dma; // @[lsu.scala 146:46] + assign dccm_ctl_io_lsu_pkt_m_valid = lsu_lsc_ctl_io_lsu_pkt_m_valid; // @[lsu.scala 147:46] + assign dccm_ctl_io_lsu_pkt_m_bits_by = lsu_lsc_ctl_io_lsu_pkt_m_bits_by; // @[lsu.scala 147:46] + assign dccm_ctl_io_lsu_pkt_m_bits_half = lsu_lsc_ctl_io_lsu_pkt_m_bits_half; // @[lsu.scala 147:46] + assign dccm_ctl_io_lsu_pkt_m_bits_word = lsu_lsc_ctl_io_lsu_pkt_m_bits_word; // @[lsu.scala 147:46] + assign dccm_ctl_io_lsu_pkt_m_bits_load = lsu_lsc_ctl_io_lsu_pkt_m_bits_load; // @[lsu.scala 147:46] + assign dccm_ctl_io_lsu_pkt_m_bits_store = lsu_lsc_ctl_io_lsu_pkt_m_bits_store; // @[lsu.scala 147:46] + assign dccm_ctl_io_lsu_pkt_m_bits_dma = lsu_lsc_ctl_io_lsu_pkt_m_bits_dma; // @[lsu.scala 147:46] + assign dccm_ctl_io_lsu_pkt_r_valid = lsu_lsc_ctl_io_lsu_pkt_r_valid; // @[lsu.scala 148:46] + assign dccm_ctl_io_lsu_pkt_r_bits_by = lsu_lsc_ctl_io_lsu_pkt_r_bits_by; // @[lsu.scala 148:46] + assign dccm_ctl_io_lsu_pkt_r_bits_half = lsu_lsc_ctl_io_lsu_pkt_r_bits_half; // @[lsu.scala 148:46] + assign dccm_ctl_io_lsu_pkt_r_bits_word = lsu_lsc_ctl_io_lsu_pkt_r_bits_word; // @[lsu.scala 148:46] + assign dccm_ctl_io_lsu_pkt_r_bits_load = lsu_lsc_ctl_io_lsu_pkt_r_bits_load; // @[lsu.scala 148:46] + assign dccm_ctl_io_lsu_pkt_r_bits_store = lsu_lsc_ctl_io_lsu_pkt_r_bits_store; // @[lsu.scala 148:46] + assign dccm_ctl_io_lsu_pkt_r_bits_dma = lsu_lsc_ctl_io_lsu_pkt_r_bits_dma; // @[lsu.scala 148:46] + assign dccm_ctl_io_addr_in_dccm_d = lsu_lsc_ctl_io_addr_in_dccm_d; // @[lsu.scala 149:46] + assign dccm_ctl_io_addr_in_dccm_m = lsu_lsc_ctl_io_addr_in_dccm_m; // @[lsu.scala 150:46] + assign dccm_ctl_io_addr_in_dccm_r = lsu_lsc_ctl_io_addr_in_dccm_r; // @[lsu.scala 151:46] + assign dccm_ctl_io_addr_in_pic_d = lsu_lsc_ctl_io_addr_in_pic_d; // @[lsu.scala 152:46] + assign dccm_ctl_io_addr_in_pic_m = lsu_lsc_ctl_io_addr_in_pic_m; // @[lsu.scala 153:46] + assign dccm_ctl_io_addr_in_pic_r = lsu_lsc_ctl_io_addr_in_pic_r; // @[lsu.scala 154:46] + assign dccm_ctl_io_lsu_raw_fwd_lo_r = lsu_raw_fwd_lo_r; // @[lsu.scala 155:46] + assign dccm_ctl_io_lsu_raw_fwd_hi_r = lsu_raw_fwd_hi_r; // @[lsu.scala 156:46] + assign dccm_ctl_io_lsu_commit_r = lsu_lsc_ctl_io_lsu_commit_r; // @[lsu.scala 157:46] + assign dccm_ctl_io_lsu_addr_d = lsu_lsc_ctl_io_lsu_addr_d; // @[lsu.scala 158:46] + assign dccm_ctl_io_lsu_addr_m = lsu_lsc_ctl_io_lsu_addr_m[15:0]; // @[lsu.scala 159:46] + assign dccm_ctl_io_lsu_addr_r = lsu_lsc_ctl_io_lsu_addr_r; // @[lsu.scala 160:46] + assign dccm_ctl_io_end_addr_d = lsu_lsc_ctl_io_end_addr_d[15:0]; // @[lsu.scala 161:46] + assign dccm_ctl_io_end_addr_m = lsu_lsc_ctl_io_end_addr_m[15:0]; // @[lsu.scala 162:46] + assign dccm_ctl_io_end_addr_r = lsu_lsc_ctl_io_end_addr_r[15:0]; // @[lsu.scala 163:46] + assign dccm_ctl_io_stbuf_reqvld_any = stbuf_io_stbuf_reqvld_any; // @[lsu.scala 164:46] + assign dccm_ctl_io_stbuf_addr_any = stbuf_io_stbuf_addr_any; // @[lsu.scala 165:46] + assign dccm_ctl_io_stbuf_data_any = stbuf_io_stbuf_data_any; // @[lsu.scala 166:46] + assign dccm_ctl_io_stbuf_ecc_any = ecc_io_stbuf_ecc_any; // @[lsu.scala 167:46] + assign dccm_ctl_io_stbuf_fwddata_hi_m = stbuf_io_stbuf_fwddata_hi_m; // @[lsu.scala 168:46] + assign dccm_ctl_io_stbuf_fwddata_lo_m = stbuf_io_stbuf_fwddata_lo_m; // @[lsu.scala 169:46] + assign dccm_ctl_io_stbuf_fwdbyteen_lo_m = stbuf_io_stbuf_fwdbyteen_lo_m; // @[lsu.scala 170:46] + assign dccm_ctl_io_stbuf_fwdbyteen_hi_m = stbuf_io_stbuf_fwdbyteen_hi_m; // @[lsu.scala 171:46] + assign dccm_ctl_io_lsu_double_ecc_error_r = ecc_io_lsu_double_ecc_error_r; // @[lsu.scala 172:46] + assign dccm_ctl_io_single_ecc_error_hi_r = ecc_io_single_ecc_error_hi_r; // @[lsu.scala 173:46] + assign dccm_ctl_io_single_ecc_error_lo_r = ecc_io_single_ecc_error_lo_r; // @[lsu.scala 174:46] + assign dccm_ctl_io_sec_data_hi_r_ff = ecc_io_sec_data_hi_r_ff; // @[lsu.scala 177:46] + assign dccm_ctl_io_sec_data_lo_r_ff = ecc_io_sec_data_lo_r_ff; // @[lsu.scala 178:46] + assign dccm_ctl_io_sec_data_ecc_hi_r_ff = ecc_io_sec_data_ecc_hi_r_ff; // @[lsu.scala 179:46] + assign dccm_ctl_io_sec_data_ecc_lo_r_ff = ecc_io_sec_data_ecc_lo_r_ff; // @[lsu.scala 180:46] + assign dccm_ctl_io_lsu_double_ecc_error_m = ecc_io_lsu_double_ecc_error_m; // @[lsu.scala 181:46] + assign dccm_ctl_io_sec_data_hi_m = ecc_io_sec_data_hi_m; // @[lsu.scala 182:46] + assign dccm_ctl_io_sec_data_lo_m = ecc_io_sec_data_lo_m; // @[lsu.scala 183:46] + assign dccm_ctl_io_store_data_m = lsu_lsc_ctl_io_store_data_m; // @[lsu.scala 184:46] + assign dccm_ctl_io_dma_dccm_wen = _T_10 & lsu_lsc_ctl_io_addr_in_dccm_d; // @[lsu.scala 185:46] + assign dccm_ctl_io_dma_pic_wen = _T_10 & lsu_lsc_ctl_io_addr_in_pic_d; // @[lsu.scala 186:46] + assign dccm_ctl_io_dma_mem_tag_m = dma_mem_tag_m; // @[lsu.scala 187:46] + assign dccm_ctl_io_dma_dccm_wdata_lo = dma_dccm_wdata[31:0]; // @[lsu.scala 188:46] + assign dccm_ctl_io_dma_dccm_wdata_hi = dma_dccm_wdata[63:32]; // @[lsu.scala 189:46] + assign dccm_ctl_io_dma_dccm_wdata_ecc_hi = ecc_io_dma_dccm_wdata_ecc_hi; // @[lsu.scala 190:46] + assign dccm_ctl_io_dma_dccm_wdata_ecc_lo = ecc_io_dma_dccm_wdata_ecc_lo; // @[lsu.scala 191:46] + assign dccm_ctl_io_dma_dccm_ctl_dma_mem_addr = io_lsu_dma_dma_dccm_ctl_dma_mem_addr; // @[lsu.scala 194:27] + assign dccm_ctl_io_dma_dccm_ctl_dma_mem_wdata = io_lsu_dma_dma_dccm_ctl_dma_mem_wdata; // @[lsu.scala 194:27] + assign dccm_ctl_io_dccm_rd_data_lo = io_dccm_rd_data_lo; // @[lsu.scala 195:11] + assign dccm_ctl_io_dccm_rd_data_hi = io_dccm_rd_data_hi; // @[lsu.scala 195:11] + assign dccm_ctl_io_lsu_pic_picm_rd_data = io_lsu_pic_picm_rd_data; // @[lsu.scala 196:14] + assign dccm_ctl_io_scan_mode = io_scan_mode; // @[lsu.scala 192:46] + assign stbuf_clock = clock; + assign stbuf_reset = reset; + assign stbuf_io_lsu_c1_m_clk = clkdomain_io_lsu_c1_m_clk; // @[lsu.scala 199:49] + assign stbuf_io_lsu_c1_r_clk = clkdomain_io_lsu_c1_r_clk; // @[lsu.scala 200:48] + assign stbuf_io_lsu_stbuf_c1_clk = clkdomain_io_lsu_stbuf_c1_clk; // @[lsu.scala 201:54] + assign stbuf_io_lsu_free_c2_clk = clkdomain_io_lsu_free_c2_clk; // @[lsu.scala 202:54] + assign stbuf_io_lsu_pkt_m_valid = lsu_lsc_ctl_io_lsu_pkt_m_valid; // @[lsu.scala 203:48] + assign stbuf_io_lsu_pkt_m_bits_store = lsu_lsc_ctl_io_lsu_pkt_m_bits_store; // @[lsu.scala 203:48] + assign stbuf_io_lsu_pkt_m_bits_dma = lsu_lsc_ctl_io_lsu_pkt_m_bits_dma; // @[lsu.scala 203:48] + assign stbuf_io_lsu_pkt_r_valid = lsu_lsc_ctl_io_lsu_pkt_r_valid; // @[lsu.scala 204:48] + assign stbuf_io_lsu_pkt_r_bits_by = lsu_lsc_ctl_io_lsu_pkt_r_bits_by; // @[lsu.scala 204:48] + assign stbuf_io_lsu_pkt_r_bits_half = lsu_lsc_ctl_io_lsu_pkt_r_bits_half; // @[lsu.scala 204:48] + assign stbuf_io_lsu_pkt_r_bits_word = lsu_lsc_ctl_io_lsu_pkt_r_bits_word; // @[lsu.scala 204:48] + assign stbuf_io_lsu_pkt_r_bits_dword = lsu_lsc_ctl_io_lsu_pkt_r_bits_dword; // @[lsu.scala 204:48] + assign stbuf_io_lsu_pkt_r_bits_store = lsu_lsc_ctl_io_lsu_pkt_r_bits_store; // @[lsu.scala 204:48] + assign stbuf_io_lsu_pkt_r_bits_dma = lsu_lsc_ctl_io_lsu_pkt_r_bits_dma; // @[lsu.scala 204:48] + assign stbuf_io_store_stbuf_reqvld_r = _T_28 & _T_19; // @[lsu.scala 205:48] + assign stbuf_io_lsu_commit_r = lsu_lsc_ctl_io_lsu_commit_r; // @[lsu.scala 206:49] + assign stbuf_io_dec_lsu_valid_raw_d = io_dec_lsu_valid_raw_d; // @[lsu.scala 207:49] + assign stbuf_io_store_data_hi_r = dccm_ctl_io_store_data_hi_r; // @[lsu.scala 208:62] + assign stbuf_io_store_data_lo_r = dccm_ctl_io_store_data_lo_r; // @[lsu.scala 209:62] + assign stbuf_io_store_datafn_hi_r = dccm_ctl_io_store_datafn_hi_r; // @[lsu.scala 210:49] + assign stbuf_io_store_datafn_lo_r = dccm_ctl_io_store_datafn_lo_r; // @[lsu.scala 211:56] + assign stbuf_io_lsu_stbuf_commit_any = dccm_ctl_io_lsu_stbuf_commit_any; // @[lsu.scala 212:52] + assign stbuf_io_lsu_addr_d = lsu_lsc_ctl_io_lsu_addr_d[15:0]; // @[lsu.scala 213:64] + assign stbuf_io_lsu_addr_m = lsu_lsc_ctl_io_lsu_addr_m; // @[lsu.scala 214:64] + assign stbuf_io_lsu_addr_r = lsu_lsc_ctl_io_lsu_addr_r; // @[lsu.scala 215:64] + assign stbuf_io_end_addr_d = lsu_lsc_ctl_io_end_addr_d[15:0]; // @[lsu.scala 216:64] + assign stbuf_io_end_addr_m = lsu_lsc_ctl_io_end_addr_m; // @[lsu.scala 217:64] + assign stbuf_io_end_addr_r = lsu_lsc_ctl_io_end_addr_r; // @[lsu.scala 218:64] + assign stbuf_io_addr_in_dccm_m = lsu_lsc_ctl_io_addr_in_dccm_m; // @[lsu.scala 219:49] + assign stbuf_io_addr_in_dccm_r = lsu_lsc_ctl_io_addr_in_dccm_r; // @[lsu.scala 220:56] + assign stbuf_io_scan_mode = io_scan_mode; // @[lsu.scala 222:49] + assign ecc_clock = clock; + assign ecc_reset = reset; + assign ecc_io_lsu_c2_r_clk = clkdomain_io_lsu_c2_r_clk; // @[lsu.scala 226:52] + assign ecc_io_lsu_pkt_m_valid = lsu_lsc_ctl_io_lsu_pkt_m_valid; // @[lsu.scala 227:52] + assign ecc_io_lsu_pkt_m_bits_load = lsu_lsc_ctl_io_lsu_pkt_m_bits_load; // @[lsu.scala 227:52] + assign ecc_io_lsu_pkt_m_bits_store = lsu_lsc_ctl_io_lsu_pkt_m_bits_store; // @[lsu.scala 227:52] + assign ecc_io_lsu_pkt_m_bits_dma = lsu_lsc_ctl_io_lsu_pkt_m_bits_dma; // @[lsu.scala 227:52] + assign ecc_io_stbuf_data_any = stbuf_io_stbuf_data_any; // @[lsu.scala 229:54] + assign ecc_io_dec_tlu_core_ecc_disable = io_dec_tlu_core_ecc_disable; // @[lsu.scala 230:50] + assign ecc_io_lsu_addr_m = lsu_lsc_ctl_io_lsu_addr_m[15:0]; // @[lsu.scala 235:58] + assign ecc_io_end_addr_m = lsu_lsc_ctl_io_end_addr_m[15:0]; // @[lsu.scala 236:58] + assign ecc_io_dccm_rdata_hi_m = dccm_ctl_io_dccm_rdata_hi_m; // @[lsu.scala 239:54] + assign ecc_io_dccm_rdata_lo_m = dccm_ctl_io_dccm_rdata_lo_m; // @[lsu.scala 240:54] + assign ecc_io_dccm_data_ecc_hi_m = dccm_ctl_io_dccm_data_ecc_hi_m; // @[lsu.scala 243:50] + assign ecc_io_dccm_data_ecc_lo_m = dccm_ctl_io_dccm_data_ecc_lo_m; // @[lsu.scala 244:50] + assign ecc_io_ld_single_ecc_error_r = dccm_ctl_io_ld_single_ecc_error_r; // @[lsu.scala 245:50] + assign ecc_io_ld_single_ecc_error_r_ff = dccm_ctl_io_ld_single_ecc_error_r_ff; // @[lsu.scala 246:50] + assign ecc_io_lsu_dccm_rden_m = dccm_ctl_io_lsu_dccm_rden_m; // @[lsu.scala 247:50] + assign ecc_io_addr_in_dccm_m = lsu_lsc_ctl_io_addr_in_dccm_m; // @[lsu.scala 248:50] + assign ecc_io_dma_dccm_wen = _T_10 & lsu_lsc_ctl_io_addr_in_dccm_d; // @[lsu.scala 249:50] + assign ecc_io_dma_dccm_wdata_lo = dma_dccm_wdata[31:0]; // @[lsu.scala 250:50] + assign ecc_io_dma_dccm_wdata_hi = dma_dccm_wdata[63:32]; // @[lsu.scala 251:50] + assign ecc_io_scan_mode = io_scan_mode; // @[lsu.scala 252:50] + assign trigger_io_trigger_pkt_any_0_select = io_trigger_pkt_any_0_select; // @[lsu.scala 256:50] + assign trigger_io_trigger_pkt_any_0_match_pkt = io_trigger_pkt_any_0_match_pkt; // @[lsu.scala 256:50] + assign trigger_io_trigger_pkt_any_0_store = io_trigger_pkt_any_0_store; // @[lsu.scala 256:50] + assign trigger_io_trigger_pkt_any_0_load = io_trigger_pkt_any_0_load; // @[lsu.scala 256:50] + assign trigger_io_trigger_pkt_any_0_tdata2 = io_trigger_pkt_any_0_tdata2; // @[lsu.scala 256:50] + assign trigger_io_trigger_pkt_any_1_select = io_trigger_pkt_any_1_select; // @[lsu.scala 256:50] + assign trigger_io_trigger_pkt_any_1_match_pkt = io_trigger_pkt_any_1_match_pkt; // @[lsu.scala 256:50] + assign trigger_io_trigger_pkt_any_1_store = io_trigger_pkt_any_1_store; // @[lsu.scala 256:50] + assign trigger_io_trigger_pkt_any_1_load = io_trigger_pkt_any_1_load; // @[lsu.scala 256:50] + assign trigger_io_trigger_pkt_any_1_tdata2 = io_trigger_pkt_any_1_tdata2; // @[lsu.scala 256:50] + assign trigger_io_trigger_pkt_any_2_select = io_trigger_pkt_any_2_select; // @[lsu.scala 256:50] + assign trigger_io_trigger_pkt_any_2_match_pkt = io_trigger_pkt_any_2_match_pkt; // @[lsu.scala 256:50] + assign trigger_io_trigger_pkt_any_2_store = io_trigger_pkt_any_2_store; // @[lsu.scala 256:50] + assign trigger_io_trigger_pkt_any_2_load = io_trigger_pkt_any_2_load; // @[lsu.scala 256:50] + assign trigger_io_trigger_pkt_any_2_tdata2 = io_trigger_pkt_any_2_tdata2; // @[lsu.scala 256:50] + assign trigger_io_trigger_pkt_any_3_select = io_trigger_pkt_any_3_select; // @[lsu.scala 256:50] + assign trigger_io_trigger_pkt_any_3_match_pkt = io_trigger_pkt_any_3_match_pkt; // @[lsu.scala 256:50] + assign trigger_io_trigger_pkt_any_3_store = io_trigger_pkt_any_3_store; // @[lsu.scala 256:50] + assign trigger_io_trigger_pkt_any_3_load = io_trigger_pkt_any_3_load; // @[lsu.scala 256:50] + assign trigger_io_trigger_pkt_any_3_tdata2 = io_trigger_pkt_any_3_tdata2; // @[lsu.scala 256:50] + assign trigger_io_lsu_pkt_m_valid = lsu_lsc_ctl_io_lsu_pkt_m_valid; // @[lsu.scala 257:50] + assign trigger_io_lsu_pkt_m_bits_half = lsu_lsc_ctl_io_lsu_pkt_m_bits_half; // @[lsu.scala 257:50] + assign trigger_io_lsu_pkt_m_bits_word = lsu_lsc_ctl_io_lsu_pkt_m_bits_word; // @[lsu.scala 257:50] + assign trigger_io_lsu_pkt_m_bits_load = lsu_lsc_ctl_io_lsu_pkt_m_bits_load; // @[lsu.scala 257:50] + assign trigger_io_lsu_pkt_m_bits_store = lsu_lsc_ctl_io_lsu_pkt_m_bits_store; // @[lsu.scala 257:50] + assign trigger_io_lsu_pkt_m_bits_dma = lsu_lsc_ctl_io_lsu_pkt_m_bits_dma; // @[lsu.scala 257:50] + assign trigger_io_lsu_addr_m = lsu_lsc_ctl_io_lsu_addr_m; // @[lsu.scala 258:50] + assign trigger_io_store_data_m = lsu_lsc_ctl_io_store_data_m; // @[lsu.scala 259:50] + assign clkdomain_clock = clock; + assign clkdomain_reset = reset; + assign clkdomain_io_free_clk = io_free_clk; // @[lsu.scala 265:50] + assign clkdomain_io_clk_override = io_clk_override; // @[lsu.scala 266:50] + assign clkdomain_io_dma_dccm_req = io_lsu_dma_dma_lsc_ctl_dma_dccm_req; // @[lsu.scala 268:50] + assign clkdomain_io_ldst_stbuf_reqvld_r = stbuf_io_ldst_stbuf_reqvld_r; // @[lsu.scala 269:50] + assign clkdomain_io_stbuf_reqvld_any = stbuf_io_stbuf_reqvld_any; // @[lsu.scala 270:50] + assign clkdomain_io_stbuf_reqvld_flushed_any = stbuf_io_stbuf_reqvld_flushed_any; // @[lsu.scala 271:50] + assign clkdomain_io_lsu_busreq_r = bus_intf_io_lsu_busreq_r; // @[lsu.scala 272:50] + assign clkdomain_io_lsu_bus_buffer_pend_any = bus_intf_io_lsu_bus_buffer_pend_any; // @[lsu.scala 273:50] + assign clkdomain_io_lsu_bus_buffer_empty_any = bus_intf_io_lsu_bus_buffer_empty_any; // @[lsu.scala 274:50] + assign clkdomain_io_lsu_stbuf_empty_any = stbuf_io_lsu_stbuf_empty_any; // @[lsu.scala 275:50] + assign clkdomain_io_lsu_bus_clk_en = io_lsu_bus_clk_en; // @[lsu.scala 276:50] + assign clkdomain_io_lsu_p_valid = io_lsu_p_valid; // @[lsu.scala 277:50] + assign clkdomain_io_lsu_pkt_d_valid = lsu_lsc_ctl_io_lsu_pkt_d_valid; // @[lsu.scala 278:50] + assign clkdomain_io_lsu_pkt_d_bits_store = lsu_lsc_ctl_io_lsu_pkt_d_bits_store; // @[lsu.scala 278:50] + assign clkdomain_io_lsu_pkt_m_valid = lsu_lsc_ctl_io_lsu_pkt_m_valid; // @[lsu.scala 279:50] + assign clkdomain_io_lsu_pkt_m_bits_store = lsu_lsc_ctl_io_lsu_pkt_m_bits_store; // @[lsu.scala 279:50] + assign clkdomain_io_lsu_pkt_r_valid = lsu_lsc_ctl_io_lsu_pkt_r_valid; // @[lsu.scala 280:50] + assign clkdomain_io_scan_mode = io_scan_mode; // @[lsu.scala 281:50] + assign bus_intf_clock = clock; + assign bus_intf_reset = reset; + assign bus_intf_io_scan_mode = io_scan_mode; // @[lsu.scala 285:49] + assign bus_intf_io_tlu_busbuff_dec_tlu_external_ldfwd_disable = io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[lsu.scala 286:26] + assign bus_intf_io_tlu_busbuff_dec_tlu_wb_coalescing_disable = io_lsu_dec_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu.scala 286:26] + assign bus_intf_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable = io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu.scala 286:26] + assign bus_intf_io_lsu_c1_m_clk = clkdomain_io_lsu_c1_m_clk; // @[lsu.scala 287:49] + assign bus_intf_io_lsu_c1_r_clk = clkdomain_io_lsu_c1_r_clk; // @[lsu.scala 288:49] + assign bus_intf_io_lsu_c2_r_clk = clkdomain_io_lsu_c2_r_clk; // @[lsu.scala 289:49] + assign bus_intf_io_lsu_bus_ibuf_c1_clk = clkdomain_io_lsu_bus_ibuf_c1_clk; // @[lsu.scala 290:49] + assign bus_intf_io_lsu_bus_obuf_c1_clk = clkdomain_io_lsu_bus_obuf_c1_clk; // @[lsu.scala 291:49] + assign bus_intf_io_lsu_bus_buf_c1_clk = clkdomain_io_lsu_bus_buf_c1_clk; // @[lsu.scala 292:49] + assign bus_intf_io_lsu_free_c2_clk = clkdomain_io_lsu_free_c2_clk; // @[lsu.scala 293:49] + assign bus_intf_io_free_clk = io_free_clk; // @[lsu.scala 294:49] + assign bus_intf_io_lsu_busm_clk = clkdomain_io_lsu_busm_clk; // @[lsu.scala 295:49] + assign bus_intf_io_axi_aw_ready = io_axi_aw_ready; // @[lsu.scala 314:49] + assign bus_intf_io_axi_w_ready = io_axi_w_ready; // @[lsu.scala 314:49] + assign bus_intf_io_axi_b_valid = io_axi_b_valid; // @[lsu.scala 314:49] + assign bus_intf_io_axi_b_bits_resp = io_axi_b_bits_resp; // @[lsu.scala 314:49] + assign bus_intf_io_axi_b_bits_id = io_axi_b_bits_id; // @[lsu.scala 314:49] + assign bus_intf_io_axi_ar_ready = io_axi_ar_ready; // @[lsu.scala 314:49] + assign bus_intf_io_axi_r_valid = io_axi_r_valid; // @[lsu.scala 314:49] + assign bus_intf_io_axi_r_bits_id = io_axi_r_bits_id; // @[lsu.scala 314:49] + assign bus_intf_io_axi_r_bits_data = io_axi_r_bits_data; // @[lsu.scala 314:49] + assign bus_intf_io_axi_r_bits_resp = io_axi_r_bits_resp; // @[lsu.scala 314:49] + assign bus_intf_io_dec_lsu_valid_raw_d = io_dec_lsu_valid_raw_d; // @[lsu.scala 296:49] + assign bus_intf_io_lsu_busreq_m = _T_39 & _T_40; // @[lsu.scala 297:49] + assign bus_intf_io_lsu_pkt_m_valid = lsu_lsc_ctl_io_lsu_pkt_m_valid; // @[lsu.scala 305:49] + assign bus_intf_io_lsu_pkt_m_bits_by = lsu_lsc_ctl_io_lsu_pkt_m_bits_by; // @[lsu.scala 305:49] + assign bus_intf_io_lsu_pkt_m_bits_half = lsu_lsc_ctl_io_lsu_pkt_m_bits_half; // @[lsu.scala 305:49] + assign bus_intf_io_lsu_pkt_m_bits_word = lsu_lsc_ctl_io_lsu_pkt_m_bits_word; // @[lsu.scala 305:49] + assign bus_intf_io_lsu_pkt_m_bits_load = lsu_lsc_ctl_io_lsu_pkt_m_bits_load; // @[lsu.scala 305:49] + assign bus_intf_io_lsu_pkt_r_valid = lsu_lsc_ctl_io_lsu_pkt_r_valid; // @[lsu.scala 306:49] + assign bus_intf_io_lsu_pkt_r_bits_by = lsu_lsc_ctl_io_lsu_pkt_r_bits_by; // @[lsu.scala 306:49] + assign bus_intf_io_lsu_pkt_r_bits_half = lsu_lsc_ctl_io_lsu_pkt_r_bits_half; // @[lsu.scala 306:49] + assign bus_intf_io_lsu_pkt_r_bits_word = lsu_lsc_ctl_io_lsu_pkt_r_bits_word; // @[lsu.scala 306:49] + assign bus_intf_io_lsu_pkt_r_bits_load = lsu_lsc_ctl_io_lsu_pkt_r_bits_load; // @[lsu.scala 306:49] + assign bus_intf_io_lsu_pkt_r_bits_store = lsu_lsc_ctl_io_lsu_pkt_r_bits_store; // @[lsu.scala 306:49] + assign bus_intf_io_lsu_pkt_r_bits_unsign = lsu_lsc_ctl_io_lsu_pkt_r_bits_unsign; // @[lsu.scala 306:49] + assign bus_intf_io_lsu_addr_d = lsu_lsc_ctl_io_lsu_addr_d; // @[lsu.scala 298:49] + assign bus_intf_io_lsu_addr_m = lsu_lsc_ctl_io_lsu_addr_m; // @[lsu.scala 299:49] + assign bus_intf_io_lsu_addr_r = lsu_lsc_ctl_io_lsu_addr_r; // @[lsu.scala 300:49] + assign bus_intf_io_end_addr_d = lsu_lsc_ctl_io_end_addr_d; // @[lsu.scala 301:49] + assign bus_intf_io_end_addr_m = lsu_lsc_ctl_io_end_addr_m; // @[lsu.scala 302:49] + assign bus_intf_io_end_addr_r = lsu_lsc_ctl_io_end_addr_r; // @[lsu.scala 303:49] + assign bus_intf_io_store_data_r = dccm_ctl_io_store_data_r; // @[lsu.scala 304:49] + assign bus_intf_io_dec_tlu_force_halt = io_dec_tlu_force_halt; // @[lsu.scala 307:49] + assign bus_intf_io_lsu_commit_r = lsu_lsc_ctl_io_lsu_commit_r; // @[lsu.scala 308:49] + assign bus_intf_io_is_sideeffects_m = lsu_lsc_ctl_io_is_sideeffects_m; // @[lsu.scala 309:49] + assign bus_intf_io_flush_m_up = io_dec_tlu_flush_lower_r; // @[lsu.scala 310:49] + assign bus_intf_io_flush_r = io_dec_tlu_i0_kill_writeb_r; // @[lsu.scala 311:49] + assign bus_intf_io_lsu_bus_clk_en = io_lsu_bus_clk_en; // @[lsu.scala 315:49] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + dma_mem_tag_m = _RAND_0[2:0]; + _RAND_1 = {1{`RANDOM}}; + lsu_raw_fwd_hi_r = _RAND_1[0:0]; + _RAND_2 = {1{`RANDOM}}; + lsu_raw_fwd_lo_r = _RAND_2[0:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + dma_mem_tag_m = 3'h0; + end + if (reset) begin + lsu_raw_fwd_hi_r = 1'h0; + end + if (reset) begin + lsu_raw_fwd_lo_r = 1'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge clkdomain_io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + dma_mem_tag_m <= 3'h0; + end else begin + dma_mem_tag_m <= io_lsu_dma_dma_mem_tag; + end + end + always @(posedge clkdomain_io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + lsu_raw_fwd_hi_r <= 1'h0; + end else begin + lsu_raw_fwd_hi_r <= |stbuf_io_stbuf_fwdbyteen_hi_m; + end + end + always @(posedge clkdomain_io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + lsu_raw_fwd_lo_r <= 1'h0; + end else begin + lsu_raw_fwd_lo_r <= |stbuf_io_stbuf_fwdbyteen_lo_m; + end + end +endmodule diff --git a/lsu_bus_buffer.anno.json b/lsu_bus_buffer.anno.json new file mode 100644 index 00000000..9fb58c94 --- /dev/null +++ b/lsu_bus_buffer.anno.json @@ -0,0 +1,179 @@ +[ + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_dctl_busbuff_lsu_nonblock_load_data_valid", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_dctl_busbuff_lsu_nonblock_load_data_error" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_ld_fwddata_buf_lo", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_ldst_byteen_ext_m", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_busreq_m", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_addr_m" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_ld_fwddata_buf_hi", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_ldst_byteen_ext_m", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_busreq_m", + "~lsu_bus_buffer|lsu_bus_buffer>io_end_addr_m" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_ld_byte_hit_buf_hi", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_ldst_byteen_ext_m", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_busreq_m", + "~lsu_bus_buffer|lsu_bus_buffer>io_end_addr_m" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_tlu_busbuff_lsu_pmu_bus_busy", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_axi_ar_valid", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_axi_aw_valid", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_axi_w_valid", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_axi_ar_ready", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_axi_aw_ready", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_axi_w_ready" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_dctl_busbuff_lsu_nonblock_load_tag_m", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_busreq_r", + "~lsu_bus_buffer|lsu_bus_buffer>io_ldst_dual_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_ld_byte_hit_buf_lo", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_ldst_byteen_ext_m", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_busreq_m", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_addr_m" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_lsu_bus_buffer_full_any", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_ldst_dual_d", + "~lsu_bus_buffer|lsu_bus_buffer>io_dec_lsu_valid_raw_d", + "~lsu_bus_buffer|lsu_bus_buffer>io_ldst_dual_m", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_busreq_m", + "~lsu_bus_buffer|lsu_bus_buffer>io_ldst_dual_r", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_busreq_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_dctl_busbuff_lsu_nonblock_load_valid_m", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_ld_full_hit_m", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_pkt_m_bits_load", + "~lsu_bus_buffer|lsu_bus_buffer>io_flush_m_up", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_busreq_m", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_pkt_m_valid" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_tlu_busbuff_lsu_imprecise_error_load_any", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_dctl_busbuff_lsu_nonblock_load_data_error", + "~lsu_bus_buffer|lsu_bus_buffer>io_tlu_busbuff_lsu_imprecise_error_store_any", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_bus_clk_en_q" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_tlu_busbuff_lsu_pmu_bus_misaligned", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_commit_r", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_busreq_r", + "~lsu_bus_buffer|lsu_bus_buffer>io_ldst_dual_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_tlu_busbuff_lsu_pmu_bus_trxn", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_axi_ar_valid", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_axi_ar_ready", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_axi_aw_valid", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_axi_aw_ready", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_axi_w_valid", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_axi_w_ready" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_tlu_busbuff_lsu_imprecise_error_addr_any", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_tlu_busbuff_lsu_imprecise_error_store_any", + "~lsu_bus_buffer|lsu_bus_buffer>io_dctl_busbuff_lsu_nonblock_load_data_tag", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_bus_clk_en_q" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_dctl_busbuff_lsu_nonblock_load_inv_r", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_commit_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_tlu_busbuff_lsu_imprecise_error_store_any", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_bus_clk_en_q" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_tlu_busbuff_lsu_pmu_bus_error", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_tlu_busbuff_lsu_imprecise_error_load_any", + "~lsu_bus_buffer|lsu_bus_buffer>io_tlu_busbuff_lsu_imprecise_error_store_any", + "~lsu_bus_buffer|lsu_bus_buffer>io_dctl_busbuff_lsu_nonblock_load_data_error", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_bus_clk_en_q" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_dctl_busbuff_lsu_nonblock_load_data", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_dctl_busbuff_lsu_nonblock_load_data_tag" + ] + }, + { + "class":"firrtl.EmitCircuitAnnotation", + "emitter":"firrtl.VerilogEmitter" + }, + { + "class":"firrtl.transforms.BlackBoxResourceAnno", + "target":"lsu_bus_buffer.gated_latch", + "resourceId":"/vsrc/gated_latch.v" + }, + { + "class":"firrtl.options.TargetDirAnnotation", + "directory":"." + }, + { + "class":"firrtl.options.OutputAnnotationFileAnnotation", + "file":"lsu_bus_buffer" + }, + { + "class":"firrtl.transforms.BlackBoxTargetDirAnno", + "targetDir":"." + } +] \ No newline at end of file diff --git a/lsu_bus_buffer.fir b/lsu_bus_buffer.fir new file mode 100644 index 00000000..456afdd7 --- /dev/null +++ b/lsu_bus_buffer.fir @@ -0,0 +1,6508 @@ +;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 +circuit lsu_bus_buffer : + extmodule gated_latch : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_1 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_1 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_1 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_2 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_2 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_2 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_3 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_3 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_3 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_4 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_4 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_4 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_5 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_5 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_5 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_6 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_6 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_6 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_7 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_7 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_7 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_8 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_8 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_8 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_9 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_9 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_9 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_10 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_10 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_10 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_11 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_11 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_11 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module lsu_bus_buffer : + input clock : Clock + input reset : AsyncReset + output io : {flip scan_mode : UInt<1>, tlu_busbuff : {lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>}, dctl_busbuff : {lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>}, flip dec_tlu_force_halt : UInt<1>, flip lsu_c2_r_clk : Clock, flip lsu_bus_ibuf_c1_clk : Clock, flip lsu_bus_obuf_c1_clk : Clock, flip lsu_bus_buf_c1_clk : Clock, flip lsu_free_c2_clk : Clock, flip lsu_busm_clk : Clock, flip dec_lsu_valid_raw_d : UInt<1>, flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_addr_m : UInt<32>, flip end_addr_m : UInt<32>, flip lsu_addr_r : UInt<32>, flip end_addr_r : UInt<32>, flip store_data_r : UInt<32>, flip no_word_merge_r : UInt<1>, flip no_dword_merge_r : UInt<1>, flip lsu_busreq_m : UInt<1>, flip ld_full_hit_m : UInt<1>, flip flush_m_up : UInt<1>, flip flush_r : UInt<1>, flip lsu_commit_r : UInt<1>, flip is_sideeffects_r : UInt<1>, flip ldst_dual_d : UInt<1>, flip ldst_dual_m : UInt<1>, flip ldst_dual_r : UInt<1>, flip ldst_byteen_ext_m : UInt<8>, lsu_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip lsu_bus_clk_en : UInt<1>, flip lsu_bus_clk_en_q : UInt<1>, lsu_busreq_r : UInt<1>, lsu_bus_buffer_pend_any : UInt<1>, lsu_bus_buffer_full_any : UInt<1>, lsu_bus_buffer_empty_any : UInt<1>, lsu_bus_idle_any : UInt<1>, ld_byte_hit_buf_lo : UInt<4>, ld_byte_hit_buf_hi : UInt<4>, ld_fwddata_buf_lo : UInt<32>, ld_fwddata_buf_hi : UInt<32>} + + wire buf_addr : UInt<32>[4] @[lsu_bus_buffer.scala 67:22] + wire buf_state : UInt<3>[4] @[lsu_bus_buffer.scala 68:23] + wire buf_write : UInt<4> + buf_write <= UInt<1>("h00") + wire CmdPtr0 : UInt<2> + CmdPtr0 <= UInt<1>("h00") + node ldst_byteen_hi_m = bits(io.ldst_byteen_ext_m, 7, 4) @[lsu_bus_buffer.scala 73:46] + node ldst_byteen_lo_m = bits(io.ldst_byteen_ext_m, 3, 0) @[lsu_bus_buffer.scala 74:46] + node _T = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 76:66] + node _T_1 = bits(buf_addr[0], 31, 2) @[lsu_bus_buffer.scala 76:89] + node _T_2 = eq(_T, _T_1) @[lsu_bus_buffer.scala 76:74] + node _T_3 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 76:109] + node _T_4 = and(_T_2, _T_3) @[lsu_bus_buffer.scala 76:98] + node _T_5 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 76:129] + node _T_6 = and(_T_4, _T_5) @[lsu_bus_buffer.scala 76:113] + node ld_addr_hitvec_lo_0 = and(_T_6, io.lsu_busreq_m) @[lsu_bus_buffer.scala 76:141] + node _T_7 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 76:66] + node _T_8 = bits(buf_addr[1], 31, 2) @[lsu_bus_buffer.scala 76:89] + node _T_9 = eq(_T_7, _T_8) @[lsu_bus_buffer.scala 76:74] + node _T_10 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 76:109] + node _T_11 = and(_T_9, _T_10) @[lsu_bus_buffer.scala 76:98] + node _T_12 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 76:129] + node _T_13 = and(_T_11, _T_12) @[lsu_bus_buffer.scala 76:113] + node ld_addr_hitvec_lo_1 = and(_T_13, io.lsu_busreq_m) @[lsu_bus_buffer.scala 76:141] + node _T_14 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 76:66] + node _T_15 = bits(buf_addr[2], 31, 2) @[lsu_bus_buffer.scala 76:89] + node _T_16 = eq(_T_14, _T_15) @[lsu_bus_buffer.scala 76:74] + node _T_17 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 76:109] + node _T_18 = and(_T_16, _T_17) @[lsu_bus_buffer.scala 76:98] + node _T_19 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 76:129] + node _T_20 = and(_T_18, _T_19) @[lsu_bus_buffer.scala 76:113] + node ld_addr_hitvec_lo_2 = and(_T_20, io.lsu_busreq_m) @[lsu_bus_buffer.scala 76:141] + node _T_21 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 76:66] + node _T_22 = bits(buf_addr[3], 31, 2) @[lsu_bus_buffer.scala 76:89] + node _T_23 = eq(_T_21, _T_22) @[lsu_bus_buffer.scala 76:74] + node _T_24 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 76:109] + node _T_25 = and(_T_23, _T_24) @[lsu_bus_buffer.scala 76:98] + node _T_26 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 76:129] + node _T_27 = and(_T_25, _T_26) @[lsu_bus_buffer.scala 76:113] + node ld_addr_hitvec_lo_3 = and(_T_27, io.lsu_busreq_m) @[lsu_bus_buffer.scala 76:141] + node _T_28 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 77:66] + node _T_29 = bits(buf_addr[0], 31, 2) @[lsu_bus_buffer.scala 77:89] + node _T_30 = eq(_T_28, _T_29) @[lsu_bus_buffer.scala 77:74] + node _T_31 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 77:109] + node _T_32 = and(_T_30, _T_31) @[lsu_bus_buffer.scala 77:98] + node _T_33 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 77:129] + node _T_34 = and(_T_32, _T_33) @[lsu_bus_buffer.scala 77:113] + node ld_addr_hitvec_hi_0 = and(_T_34, io.lsu_busreq_m) @[lsu_bus_buffer.scala 77:141] + node _T_35 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 77:66] + node _T_36 = bits(buf_addr[1], 31, 2) @[lsu_bus_buffer.scala 77:89] + node _T_37 = eq(_T_35, _T_36) @[lsu_bus_buffer.scala 77:74] + node _T_38 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 77:109] + node _T_39 = and(_T_37, _T_38) @[lsu_bus_buffer.scala 77:98] + node _T_40 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 77:129] + node _T_41 = and(_T_39, _T_40) @[lsu_bus_buffer.scala 77:113] + node ld_addr_hitvec_hi_1 = and(_T_41, io.lsu_busreq_m) @[lsu_bus_buffer.scala 77:141] + node _T_42 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 77:66] + node _T_43 = bits(buf_addr[2], 31, 2) @[lsu_bus_buffer.scala 77:89] + node _T_44 = eq(_T_42, _T_43) @[lsu_bus_buffer.scala 77:74] + node _T_45 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 77:109] + node _T_46 = and(_T_44, _T_45) @[lsu_bus_buffer.scala 77:98] + node _T_47 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 77:129] + node _T_48 = and(_T_46, _T_47) @[lsu_bus_buffer.scala 77:113] + node ld_addr_hitvec_hi_2 = and(_T_48, io.lsu_busreq_m) @[lsu_bus_buffer.scala 77:141] + node _T_49 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 77:66] + node _T_50 = bits(buf_addr[3], 31, 2) @[lsu_bus_buffer.scala 77:89] + node _T_51 = eq(_T_49, _T_50) @[lsu_bus_buffer.scala 77:74] + node _T_52 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 77:109] + node _T_53 = and(_T_51, _T_52) @[lsu_bus_buffer.scala 77:98] + node _T_54 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 77:129] + node _T_55 = and(_T_53, _T_54) @[lsu_bus_buffer.scala 77:113] + node ld_addr_hitvec_hi_3 = and(_T_55, io.lsu_busreq_m) @[lsu_bus_buffer.scala 77:141] + wire ld_byte_hitvecfn_lo : UInt<4>[4] @[lsu_bus_buffer.scala 78:33] + wire ld_byte_ibuf_hit_lo : UInt<4> + ld_byte_ibuf_hit_lo <= UInt<1>("h00") + wire ld_byte_hitvecfn_hi : UInt<4>[4] @[lsu_bus_buffer.scala 80:33] + wire ld_byte_ibuf_hit_hi : UInt<4> + ld_byte_ibuf_hit_hi <= UInt<1>("h00") + wire buf_byteen : UInt<4>[4] @[lsu_bus_buffer.scala 82:24] + buf_byteen[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 83:14] + buf_byteen[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 83:14] + buf_byteen[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 83:14] + buf_byteen[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 83:14] + wire buf_nxtstate : UInt<3>[4] @[lsu_bus_buffer.scala 84:26] + buf_nxtstate[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 85:16] + buf_nxtstate[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 85:16] + buf_nxtstate[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 85:16] + buf_nxtstate[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 85:16] + wire buf_wr_en : UInt<1>[4] @[lsu_bus_buffer.scala 86:23] + buf_wr_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 87:13] + buf_wr_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 87:13] + buf_wr_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 87:13] + buf_wr_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 87:13] + wire buf_data_en : UInt<1>[4] @[lsu_bus_buffer.scala 88:25] + buf_data_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 89:15] + buf_data_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 89:15] + buf_data_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 89:15] + buf_data_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 89:15] + wire buf_state_bus_en : UInt<1>[4] @[lsu_bus_buffer.scala 90:30] + buf_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 91:20] + buf_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 91:20] + buf_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 91:20] + buf_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 91:20] + wire buf_ldfwd_in : UInt<1>[4] @[lsu_bus_buffer.scala 92:26] + buf_ldfwd_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 93:16] + buf_ldfwd_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 93:16] + buf_ldfwd_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 93:16] + buf_ldfwd_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 93:16] + wire buf_ldfwd_en : UInt<1>[4] @[lsu_bus_buffer.scala 94:26] + buf_ldfwd_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 95:16] + buf_ldfwd_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 95:16] + buf_ldfwd_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 95:16] + buf_ldfwd_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 95:16] + wire buf_data_in : UInt<32>[4] @[lsu_bus_buffer.scala 96:25] + buf_data_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 97:15] + buf_data_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 97:15] + buf_data_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 97:15] + buf_data_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 97:15] + wire buf_ldfwdtag_in : UInt<2>[4] @[lsu_bus_buffer.scala 98:29] + buf_ldfwdtag_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 99:19] + buf_ldfwdtag_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 99:19] + buf_ldfwdtag_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 99:19] + buf_ldfwdtag_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 99:19] + wire buf_error_en : UInt<1>[4] @[lsu_bus_buffer.scala 100:26] + buf_error_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 101:16] + buf_error_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 101:16] + buf_error_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 101:16] + buf_error_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 101:16] + wire bus_rsp_read_error : UInt<1> + bus_rsp_read_error <= UInt<1>("h00") + wire bus_rsp_rdata : UInt<64> + bus_rsp_rdata <= UInt<1>("h00") + wire bus_rsp_write_error : UInt<1> + bus_rsp_write_error <= UInt<1>("h00") + wire buf_dualtag : UInt<2>[4] @[lsu_bus_buffer.scala 105:25] + buf_dualtag[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 106:15] + buf_dualtag[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 106:15] + buf_dualtag[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 106:15] + buf_dualtag[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 106:15] + wire buf_ldfwd : UInt<4> + buf_ldfwd <= UInt<1>("h00") + wire buf_resp_state_bus_en : UInt<1>[4] @[lsu_bus_buffer.scala 108:35] + buf_resp_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 109:25] + buf_resp_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 109:25] + buf_resp_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 109:25] + buf_resp_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 109:25] + wire any_done_wait_state : UInt<1> + any_done_wait_state <= UInt<1>("h00") + wire bus_rsp_write : UInt<1> + bus_rsp_write <= UInt<1>("h00") + wire bus_rsp_write_tag : UInt<3> + bus_rsp_write_tag <= UInt<1>("h00") + wire buf_ldfwdtag : UInt<2>[4] @[lsu_bus_buffer.scala 113:26] + buf_ldfwdtag[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 114:16] + buf_ldfwdtag[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 114:16] + buf_ldfwdtag[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 114:16] + buf_ldfwdtag[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 114:16] + wire buf_rst : UInt<1>[4] @[lsu_bus_buffer.scala 115:21] + buf_rst[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 116:11] + buf_rst[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 116:11] + buf_rst[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 116:11] + buf_rst[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 116:11] + wire ibuf_drainvec_vld : UInt<4> + ibuf_drainvec_vld <= UInt<1>("h00") + wire buf_byteen_in : UInt<4>[4] @[lsu_bus_buffer.scala 118:27] + buf_byteen_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 119:17] + buf_byteen_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 119:17] + buf_byteen_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 119:17] + buf_byteen_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 119:17] + wire buf_addr_in : UInt<32>[4] @[lsu_bus_buffer.scala 120:25] + buf_addr_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 121:15] + buf_addr_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 121:15] + buf_addr_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 121:15] + buf_addr_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 121:15] + wire buf_dual_in : UInt<4> + buf_dual_in <= UInt<1>("h00") + wire buf_samedw_in : UInt<4> + buf_samedw_in <= UInt<1>("h00") + wire buf_nomerge_in : UInt<4> + buf_nomerge_in <= UInt<1>("h00") + wire buf_dualhi_in : UInt<4> + buf_dualhi_in <= UInt<1>("h00") + wire buf_dualtag_in : UInt<2>[4] @[lsu_bus_buffer.scala 126:28] + buf_dualtag_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 127:18] + buf_dualtag_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 127:18] + buf_dualtag_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 127:18] + buf_dualtag_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 127:18] + wire buf_sideeffect_in : UInt<4> + buf_sideeffect_in <= UInt<1>("h00") + wire buf_unsign_in : UInt<4> + buf_unsign_in <= UInt<1>("h00") + wire buf_sz_in : UInt<2>[4] @[lsu_bus_buffer.scala 130:23] + buf_sz_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 131:13] + buf_sz_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 131:13] + buf_sz_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 131:13] + buf_sz_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 131:13] + wire buf_write_in : UInt<4> + buf_write_in <= UInt<1>("h00") + wire buf_unsign : UInt<4> + buf_unsign <= UInt<1>("h00") + wire buf_error : UInt<4> + buf_error <= UInt<1>("h00") + wire CmdPtr1 : UInt<2> + CmdPtr1 <= UInt<1>("h00") + wire ibuf_data : UInt<32> + ibuf_data <= UInt<1>("h00") + node _T_56 = orr(ld_byte_hitvecfn_lo[0]) @[lsu_bus_buffer.scala 138:73] + node _T_57 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 138:98] + node _T_58 = or(_T_56, _T_57) @[lsu_bus_buffer.scala 138:77] + node _T_59 = orr(ld_byte_hitvecfn_lo[1]) @[lsu_bus_buffer.scala 138:73] + node _T_60 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 138:98] + node _T_61 = or(_T_59, _T_60) @[lsu_bus_buffer.scala 138:77] + node _T_62 = orr(ld_byte_hitvecfn_lo[2]) @[lsu_bus_buffer.scala 138:73] + node _T_63 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 138:98] + node _T_64 = or(_T_62, _T_63) @[lsu_bus_buffer.scala 138:77] + node _T_65 = orr(ld_byte_hitvecfn_lo[3]) @[lsu_bus_buffer.scala 138:73] + node _T_66 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 138:98] + node _T_67 = or(_T_65, _T_66) @[lsu_bus_buffer.scala 138:77] + node _T_68 = cat(_T_67, _T_64) @[Cat.scala 29:58] + node _T_69 = cat(_T_68, _T_61) @[Cat.scala 29:58] + node _T_70 = cat(_T_69, _T_58) @[Cat.scala 29:58] + io.ld_byte_hit_buf_lo <= _T_70 @[lsu_bus_buffer.scala 138:25] + node _T_71 = orr(ld_byte_hitvecfn_hi[0]) @[lsu_bus_buffer.scala 139:73] + node _T_72 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 139:98] + node _T_73 = or(_T_71, _T_72) @[lsu_bus_buffer.scala 139:77] + node _T_74 = orr(ld_byte_hitvecfn_hi[1]) @[lsu_bus_buffer.scala 139:73] + node _T_75 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 139:98] + node _T_76 = or(_T_74, _T_75) @[lsu_bus_buffer.scala 139:77] + node _T_77 = orr(ld_byte_hitvecfn_hi[2]) @[lsu_bus_buffer.scala 139:73] + node _T_78 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 139:98] + node _T_79 = or(_T_77, _T_78) @[lsu_bus_buffer.scala 139:77] + node _T_80 = orr(ld_byte_hitvecfn_hi[3]) @[lsu_bus_buffer.scala 139:73] + node _T_81 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 139:98] + node _T_82 = or(_T_80, _T_81) @[lsu_bus_buffer.scala 139:77] + node _T_83 = cat(_T_82, _T_79) @[Cat.scala 29:58] + node _T_84 = cat(_T_83, _T_76) @[Cat.scala 29:58] + node _T_85 = cat(_T_84, _T_73) @[Cat.scala 29:58] + io.ld_byte_hit_buf_hi <= _T_85 @[lsu_bus_buffer.scala 139:25] + node _T_86 = bits(buf_byteen[0], 0, 0) @[lsu_bus_buffer.scala 141:110] + node _T_87 = and(ld_addr_hitvec_lo_0, _T_86) @[lsu_bus_buffer.scala 141:95] + node _T_88 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 141:132] + node _T_89 = and(_T_87, _T_88) @[lsu_bus_buffer.scala 141:114] + node _T_90 = bits(buf_byteen[1], 0, 0) @[lsu_bus_buffer.scala 141:110] + node _T_91 = and(ld_addr_hitvec_lo_1, _T_90) @[lsu_bus_buffer.scala 141:95] + node _T_92 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 141:132] + node _T_93 = and(_T_91, _T_92) @[lsu_bus_buffer.scala 141:114] + node _T_94 = bits(buf_byteen[2], 0, 0) @[lsu_bus_buffer.scala 141:110] + node _T_95 = and(ld_addr_hitvec_lo_2, _T_94) @[lsu_bus_buffer.scala 141:95] + node _T_96 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 141:132] + node _T_97 = and(_T_95, _T_96) @[lsu_bus_buffer.scala 141:114] + node _T_98 = bits(buf_byteen[3], 0, 0) @[lsu_bus_buffer.scala 141:110] + node _T_99 = and(ld_addr_hitvec_lo_3, _T_98) @[lsu_bus_buffer.scala 141:95] + node _T_100 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 141:132] + node _T_101 = and(_T_99, _T_100) @[lsu_bus_buffer.scala 141:114] + node _T_102 = cat(_T_101, _T_97) @[Cat.scala 29:58] + node _T_103 = cat(_T_102, _T_93) @[Cat.scala 29:58] + node ld_byte_hitvec_lo_0 = cat(_T_103, _T_89) @[Cat.scala 29:58] + node _T_104 = bits(buf_byteen[0], 1, 1) @[lsu_bus_buffer.scala 141:110] + node _T_105 = and(ld_addr_hitvec_lo_0, _T_104) @[lsu_bus_buffer.scala 141:95] + node _T_106 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 141:132] + node _T_107 = and(_T_105, _T_106) @[lsu_bus_buffer.scala 141:114] + node _T_108 = bits(buf_byteen[1], 1, 1) @[lsu_bus_buffer.scala 141:110] + node _T_109 = and(ld_addr_hitvec_lo_1, _T_108) @[lsu_bus_buffer.scala 141:95] + node _T_110 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 141:132] + node _T_111 = and(_T_109, _T_110) @[lsu_bus_buffer.scala 141:114] + node _T_112 = bits(buf_byteen[2], 1, 1) @[lsu_bus_buffer.scala 141:110] + node _T_113 = and(ld_addr_hitvec_lo_2, _T_112) @[lsu_bus_buffer.scala 141:95] + node _T_114 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 141:132] + node _T_115 = and(_T_113, _T_114) @[lsu_bus_buffer.scala 141:114] + node _T_116 = bits(buf_byteen[3], 1, 1) @[lsu_bus_buffer.scala 141:110] + node _T_117 = and(ld_addr_hitvec_lo_3, _T_116) @[lsu_bus_buffer.scala 141:95] + node _T_118 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 141:132] + node _T_119 = and(_T_117, _T_118) @[lsu_bus_buffer.scala 141:114] + node _T_120 = cat(_T_119, _T_115) @[Cat.scala 29:58] + node _T_121 = cat(_T_120, _T_111) @[Cat.scala 29:58] + node ld_byte_hitvec_lo_1 = cat(_T_121, _T_107) @[Cat.scala 29:58] + node _T_122 = bits(buf_byteen[0], 2, 2) @[lsu_bus_buffer.scala 141:110] + node _T_123 = and(ld_addr_hitvec_lo_0, _T_122) @[lsu_bus_buffer.scala 141:95] + node _T_124 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 141:132] + node _T_125 = and(_T_123, _T_124) @[lsu_bus_buffer.scala 141:114] + node _T_126 = bits(buf_byteen[1], 2, 2) @[lsu_bus_buffer.scala 141:110] + node _T_127 = and(ld_addr_hitvec_lo_1, _T_126) @[lsu_bus_buffer.scala 141:95] + node _T_128 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 141:132] + node _T_129 = and(_T_127, _T_128) @[lsu_bus_buffer.scala 141:114] + node _T_130 = bits(buf_byteen[2], 2, 2) @[lsu_bus_buffer.scala 141:110] + node _T_131 = and(ld_addr_hitvec_lo_2, _T_130) @[lsu_bus_buffer.scala 141:95] + node _T_132 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 141:132] + node _T_133 = and(_T_131, _T_132) @[lsu_bus_buffer.scala 141:114] + node _T_134 = bits(buf_byteen[3], 2, 2) @[lsu_bus_buffer.scala 141:110] + node _T_135 = and(ld_addr_hitvec_lo_3, _T_134) @[lsu_bus_buffer.scala 141:95] + node _T_136 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 141:132] + node _T_137 = and(_T_135, _T_136) @[lsu_bus_buffer.scala 141:114] + node _T_138 = cat(_T_137, _T_133) @[Cat.scala 29:58] + node _T_139 = cat(_T_138, _T_129) @[Cat.scala 29:58] + node ld_byte_hitvec_lo_2 = cat(_T_139, _T_125) @[Cat.scala 29:58] + node _T_140 = bits(buf_byteen[0], 3, 3) @[lsu_bus_buffer.scala 141:110] + node _T_141 = and(ld_addr_hitvec_lo_0, _T_140) @[lsu_bus_buffer.scala 141:95] + node _T_142 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 141:132] + node _T_143 = and(_T_141, _T_142) @[lsu_bus_buffer.scala 141:114] + node _T_144 = bits(buf_byteen[1], 3, 3) @[lsu_bus_buffer.scala 141:110] + node _T_145 = and(ld_addr_hitvec_lo_1, _T_144) @[lsu_bus_buffer.scala 141:95] + node _T_146 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 141:132] + node _T_147 = and(_T_145, _T_146) @[lsu_bus_buffer.scala 141:114] + node _T_148 = bits(buf_byteen[2], 3, 3) @[lsu_bus_buffer.scala 141:110] + node _T_149 = and(ld_addr_hitvec_lo_2, _T_148) @[lsu_bus_buffer.scala 141:95] + node _T_150 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 141:132] + node _T_151 = and(_T_149, _T_150) @[lsu_bus_buffer.scala 141:114] + node _T_152 = bits(buf_byteen[3], 3, 3) @[lsu_bus_buffer.scala 141:110] + node _T_153 = and(ld_addr_hitvec_lo_3, _T_152) @[lsu_bus_buffer.scala 141:95] + node _T_154 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 141:132] + node _T_155 = and(_T_153, _T_154) @[lsu_bus_buffer.scala 141:114] + node _T_156 = cat(_T_155, _T_151) @[Cat.scala 29:58] + node _T_157 = cat(_T_156, _T_147) @[Cat.scala 29:58] + node ld_byte_hitvec_lo_3 = cat(_T_157, _T_143) @[Cat.scala 29:58] + node _T_158 = bits(buf_byteen[0], 0, 0) @[lsu_bus_buffer.scala 142:110] + node _T_159 = and(ld_addr_hitvec_hi_0, _T_158) @[lsu_bus_buffer.scala 142:95] + node _T_160 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 142:132] + node _T_161 = and(_T_159, _T_160) @[lsu_bus_buffer.scala 142:114] + node _T_162 = bits(buf_byteen[1], 0, 0) @[lsu_bus_buffer.scala 142:110] + node _T_163 = and(ld_addr_hitvec_hi_1, _T_162) @[lsu_bus_buffer.scala 142:95] + node _T_164 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 142:132] + node _T_165 = and(_T_163, _T_164) @[lsu_bus_buffer.scala 142:114] + node _T_166 = bits(buf_byteen[2], 0, 0) @[lsu_bus_buffer.scala 142:110] + node _T_167 = and(ld_addr_hitvec_hi_2, _T_166) @[lsu_bus_buffer.scala 142:95] + node _T_168 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 142:132] + node _T_169 = and(_T_167, _T_168) @[lsu_bus_buffer.scala 142:114] + node _T_170 = bits(buf_byteen[3], 0, 0) @[lsu_bus_buffer.scala 142:110] + node _T_171 = and(ld_addr_hitvec_hi_3, _T_170) @[lsu_bus_buffer.scala 142:95] + node _T_172 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 142:132] + node _T_173 = and(_T_171, _T_172) @[lsu_bus_buffer.scala 142:114] + node _T_174 = cat(_T_173, _T_169) @[Cat.scala 29:58] + node _T_175 = cat(_T_174, _T_165) @[Cat.scala 29:58] + node ld_byte_hitvec_hi_0 = cat(_T_175, _T_161) @[Cat.scala 29:58] + node _T_176 = bits(buf_byteen[0], 1, 1) @[lsu_bus_buffer.scala 142:110] + node _T_177 = and(ld_addr_hitvec_hi_0, _T_176) @[lsu_bus_buffer.scala 142:95] + node _T_178 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 142:132] + node _T_179 = and(_T_177, _T_178) @[lsu_bus_buffer.scala 142:114] + node _T_180 = bits(buf_byteen[1], 1, 1) @[lsu_bus_buffer.scala 142:110] + node _T_181 = and(ld_addr_hitvec_hi_1, _T_180) @[lsu_bus_buffer.scala 142:95] + node _T_182 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 142:132] + node _T_183 = and(_T_181, _T_182) @[lsu_bus_buffer.scala 142:114] + node _T_184 = bits(buf_byteen[2], 1, 1) @[lsu_bus_buffer.scala 142:110] + node _T_185 = and(ld_addr_hitvec_hi_2, _T_184) @[lsu_bus_buffer.scala 142:95] + node _T_186 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 142:132] + node _T_187 = and(_T_185, _T_186) @[lsu_bus_buffer.scala 142:114] + node _T_188 = bits(buf_byteen[3], 1, 1) @[lsu_bus_buffer.scala 142:110] + node _T_189 = and(ld_addr_hitvec_hi_3, _T_188) @[lsu_bus_buffer.scala 142:95] + node _T_190 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 142:132] + node _T_191 = and(_T_189, _T_190) @[lsu_bus_buffer.scala 142:114] + node _T_192 = cat(_T_191, _T_187) @[Cat.scala 29:58] + node _T_193 = cat(_T_192, _T_183) @[Cat.scala 29:58] + node ld_byte_hitvec_hi_1 = cat(_T_193, _T_179) @[Cat.scala 29:58] + node _T_194 = bits(buf_byteen[0], 2, 2) @[lsu_bus_buffer.scala 142:110] + node _T_195 = and(ld_addr_hitvec_hi_0, _T_194) @[lsu_bus_buffer.scala 142:95] + node _T_196 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 142:132] + node _T_197 = and(_T_195, _T_196) @[lsu_bus_buffer.scala 142:114] + node _T_198 = bits(buf_byteen[1], 2, 2) @[lsu_bus_buffer.scala 142:110] + node _T_199 = and(ld_addr_hitvec_hi_1, _T_198) @[lsu_bus_buffer.scala 142:95] + node _T_200 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 142:132] + node _T_201 = and(_T_199, _T_200) @[lsu_bus_buffer.scala 142:114] + node _T_202 = bits(buf_byteen[2], 2, 2) @[lsu_bus_buffer.scala 142:110] + node _T_203 = and(ld_addr_hitvec_hi_2, _T_202) @[lsu_bus_buffer.scala 142:95] + node _T_204 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 142:132] + node _T_205 = and(_T_203, _T_204) @[lsu_bus_buffer.scala 142:114] + node _T_206 = bits(buf_byteen[3], 2, 2) @[lsu_bus_buffer.scala 142:110] + node _T_207 = and(ld_addr_hitvec_hi_3, _T_206) @[lsu_bus_buffer.scala 142:95] + node _T_208 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 142:132] + node _T_209 = and(_T_207, _T_208) @[lsu_bus_buffer.scala 142:114] + node _T_210 = cat(_T_209, _T_205) @[Cat.scala 29:58] + node _T_211 = cat(_T_210, _T_201) @[Cat.scala 29:58] + node ld_byte_hitvec_hi_2 = cat(_T_211, _T_197) @[Cat.scala 29:58] + node _T_212 = bits(buf_byteen[0], 3, 3) @[lsu_bus_buffer.scala 142:110] + node _T_213 = and(ld_addr_hitvec_hi_0, _T_212) @[lsu_bus_buffer.scala 142:95] + node _T_214 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 142:132] + node _T_215 = and(_T_213, _T_214) @[lsu_bus_buffer.scala 142:114] + node _T_216 = bits(buf_byteen[1], 3, 3) @[lsu_bus_buffer.scala 142:110] + node _T_217 = and(ld_addr_hitvec_hi_1, _T_216) @[lsu_bus_buffer.scala 142:95] + node _T_218 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 142:132] + node _T_219 = and(_T_217, _T_218) @[lsu_bus_buffer.scala 142:114] + node _T_220 = bits(buf_byteen[2], 3, 3) @[lsu_bus_buffer.scala 142:110] + node _T_221 = and(ld_addr_hitvec_hi_2, _T_220) @[lsu_bus_buffer.scala 142:95] + node _T_222 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 142:132] + node _T_223 = and(_T_221, _T_222) @[lsu_bus_buffer.scala 142:114] + node _T_224 = bits(buf_byteen[3], 3, 3) @[lsu_bus_buffer.scala 142:110] + node _T_225 = and(ld_addr_hitvec_hi_3, _T_224) @[lsu_bus_buffer.scala 142:95] + node _T_226 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 142:132] + node _T_227 = and(_T_225, _T_226) @[lsu_bus_buffer.scala 142:114] + node _T_228 = cat(_T_227, _T_223) @[Cat.scala 29:58] + node _T_229 = cat(_T_228, _T_219) @[Cat.scala 29:58] + node ld_byte_hitvec_hi_3 = cat(_T_229, _T_215) @[Cat.scala 29:58] + wire buf_age_younger : UInt<4>[4] @[lsu_bus_buffer.scala 144:29] + buf_age_younger[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 145:19] + buf_age_younger[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 145:19] + buf_age_younger[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 145:19] + buf_age_younger[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 145:19] + node _T_230 = bits(ld_byte_hitvec_lo_0, 0, 0) @[lsu_bus_buffer.scala 146:93] + node _T_231 = and(ld_byte_hitvec_lo_0, buf_age_younger[0]) @[lsu_bus_buffer.scala 146:122] + node _T_232 = orr(_T_231) @[lsu_bus_buffer.scala 146:144] + node _T_233 = eq(_T_232, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_234 = and(_T_230, _T_233) @[lsu_bus_buffer.scala 146:97] + node _T_235 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 146:170] + node _T_236 = eq(_T_235, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_237 = and(_T_234, _T_236) @[lsu_bus_buffer.scala 146:148] + node _T_238 = bits(ld_byte_hitvec_lo_0, 1, 1) @[lsu_bus_buffer.scala 146:93] + node _T_239 = and(ld_byte_hitvec_lo_0, buf_age_younger[1]) @[lsu_bus_buffer.scala 146:122] + node _T_240 = orr(_T_239) @[lsu_bus_buffer.scala 146:144] + node _T_241 = eq(_T_240, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_242 = and(_T_238, _T_241) @[lsu_bus_buffer.scala 146:97] + node _T_243 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 146:170] + node _T_244 = eq(_T_243, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_245 = and(_T_242, _T_244) @[lsu_bus_buffer.scala 146:148] + node _T_246 = bits(ld_byte_hitvec_lo_0, 2, 2) @[lsu_bus_buffer.scala 146:93] + node _T_247 = and(ld_byte_hitvec_lo_0, buf_age_younger[2]) @[lsu_bus_buffer.scala 146:122] + node _T_248 = orr(_T_247) @[lsu_bus_buffer.scala 146:144] + node _T_249 = eq(_T_248, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_250 = and(_T_246, _T_249) @[lsu_bus_buffer.scala 146:97] + node _T_251 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 146:170] + node _T_252 = eq(_T_251, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_253 = and(_T_250, _T_252) @[lsu_bus_buffer.scala 146:148] + node _T_254 = bits(ld_byte_hitvec_lo_0, 3, 3) @[lsu_bus_buffer.scala 146:93] + node _T_255 = and(ld_byte_hitvec_lo_0, buf_age_younger[3]) @[lsu_bus_buffer.scala 146:122] + node _T_256 = orr(_T_255) @[lsu_bus_buffer.scala 146:144] + node _T_257 = eq(_T_256, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_258 = and(_T_254, _T_257) @[lsu_bus_buffer.scala 146:97] + node _T_259 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 146:170] + node _T_260 = eq(_T_259, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_261 = and(_T_258, _T_260) @[lsu_bus_buffer.scala 146:148] + node _T_262 = cat(_T_261, _T_253) @[Cat.scala 29:58] + node _T_263 = cat(_T_262, _T_245) @[Cat.scala 29:58] + node _T_264 = cat(_T_263, _T_237) @[Cat.scala 29:58] + node _T_265 = bits(ld_byte_hitvec_lo_1, 0, 0) @[lsu_bus_buffer.scala 146:93] + node _T_266 = and(ld_byte_hitvec_lo_1, buf_age_younger[0]) @[lsu_bus_buffer.scala 146:122] + node _T_267 = orr(_T_266) @[lsu_bus_buffer.scala 146:144] + node _T_268 = eq(_T_267, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_269 = and(_T_265, _T_268) @[lsu_bus_buffer.scala 146:97] + node _T_270 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 146:170] + node _T_271 = eq(_T_270, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_272 = and(_T_269, _T_271) @[lsu_bus_buffer.scala 146:148] + node _T_273 = bits(ld_byte_hitvec_lo_1, 1, 1) @[lsu_bus_buffer.scala 146:93] + node _T_274 = and(ld_byte_hitvec_lo_1, buf_age_younger[1]) @[lsu_bus_buffer.scala 146:122] + node _T_275 = orr(_T_274) @[lsu_bus_buffer.scala 146:144] + node _T_276 = eq(_T_275, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_277 = and(_T_273, _T_276) @[lsu_bus_buffer.scala 146:97] + node _T_278 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 146:170] + node _T_279 = eq(_T_278, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_280 = and(_T_277, _T_279) @[lsu_bus_buffer.scala 146:148] + node _T_281 = bits(ld_byte_hitvec_lo_1, 2, 2) @[lsu_bus_buffer.scala 146:93] + node _T_282 = and(ld_byte_hitvec_lo_1, buf_age_younger[2]) @[lsu_bus_buffer.scala 146:122] + node _T_283 = orr(_T_282) @[lsu_bus_buffer.scala 146:144] + node _T_284 = eq(_T_283, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_285 = and(_T_281, _T_284) @[lsu_bus_buffer.scala 146:97] + node _T_286 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 146:170] + node _T_287 = eq(_T_286, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_288 = and(_T_285, _T_287) @[lsu_bus_buffer.scala 146:148] + node _T_289 = bits(ld_byte_hitvec_lo_1, 3, 3) @[lsu_bus_buffer.scala 146:93] + node _T_290 = and(ld_byte_hitvec_lo_1, buf_age_younger[3]) @[lsu_bus_buffer.scala 146:122] + node _T_291 = orr(_T_290) @[lsu_bus_buffer.scala 146:144] + node _T_292 = eq(_T_291, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_293 = and(_T_289, _T_292) @[lsu_bus_buffer.scala 146:97] + node _T_294 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 146:170] + node _T_295 = eq(_T_294, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_296 = and(_T_293, _T_295) @[lsu_bus_buffer.scala 146:148] + node _T_297 = cat(_T_296, _T_288) @[Cat.scala 29:58] + node _T_298 = cat(_T_297, _T_280) @[Cat.scala 29:58] + node _T_299 = cat(_T_298, _T_272) @[Cat.scala 29:58] + node _T_300 = bits(ld_byte_hitvec_lo_2, 0, 0) @[lsu_bus_buffer.scala 146:93] + node _T_301 = and(ld_byte_hitvec_lo_2, buf_age_younger[0]) @[lsu_bus_buffer.scala 146:122] + node _T_302 = orr(_T_301) @[lsu_bus_buffer.scala 146:144] + node _T_303 = eq(_T_302, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_304 = and(_T_300, _T_303) @[lsu_bus_buffer.scala 146:97] + node _T_305 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 146:170] + node _T_306 = eq(_T_305, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_307 = and(_T_304, _T_306) @[lsu_bus_buffer.scala 146:148] + node _T_308 = bits(ld_byte_hitvec_lo_2, 1, 1) @[lsu_bus_buffer.scala 146:93] + node _T_309 = and(ld_byte_hitvec_lo_2, buf_age_younger[1]) @[lsu_bus_buffer.scala 146:122] + node _T_310 = orr(_T_309) @[lsu_bus_buffer.scala 146:144] + node _T_311 = eq(_T_310, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_312 = and(_T_308, _T_311) @[lsu_bus_buffer.scala 146:97] + node _T_313 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 146:170] + node _T_314 = eq(_T_313, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_315 = and(_T_312, _T_314) @[lsu_bus_buffer.scala 146:148] + node _T_316 = bits(ld_byte_hitvec_lo_2, 2, 2) @[lsu_bus_buffer.scala 146:93] + node _T_317 = and(ld_byte_hitvec_lo_2, buf_age_younger[2]) @[lsu_bus_buffer.scala 146:122] + node _T_318 = orr(_T_317) @[lsu_bus_buffer.scala 146:144] + node _T_319 = eq(_T_318, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_320 = and(_T_316, _T_319) @[lsu_bus_buffer.scala 146:97] + node _T_321 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 146:170] + node _T_322 = eq(_T_321, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_323 = and(_T_320, _T_322) @[lsu_bus_buffer.scala 146:148] + node _T_324 = bits(ld_byte_hitvec_lo_2, 3, 3) @[lsu_bus_buffer.scala 146:93] + node _T_325 = and(ld_byte_hitvec_lo_2, buf_age_younger[3]) @[lsu_bus_buffer.scala 146:122] + node _T_326 = orr(_T_325) @[lsu_bus_buffer.scala 146:144] + node _T_327 = eq(_T_326, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_328 = and(_T_324, _T_327) @[lsu_bus_buffer.scala 146:97] + node _T_329 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 146:170] + node _T_330 = eq(_T_329, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_331 = and(_T_328, _T_330) @[lsu_bus_buffer.scala 146:148] + node _T_332 = cat(_T_331, _T_323) @[Cat.scala 29:58] + node _T_333 = cat(_T_332, _T_315) @[Cat.scala 29:58] + node _T_334 = cat(_T_333, _T_307) @[Cat.scala 29:58] + node _T_335 = bits(ld_byte_hitvec_lo_3, 0, 0) @[lsu_bus_buffer.scala 146:93] + node _T_336 = and(ld_byte_hitvec_lo_3, buf_age_younger[0]) @[lsu_bus_buffer.scala 146:122] + node _T_337 = orr(_T_336) @[lsu_bus_buffer.scala 146:144] + node _T_338 = eq(_T_337, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_339 = and(_T_335, _T_338) @[lsu_bus_buffer.scala 146:97] + node _T_340 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 146:170] + node _T_341 = eq(_T_340, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_342 = and(_T_339, _T_341) @[lsu_bus_buffer.scala 146:148] + node _T_343 = bits(ld_byte_hitvec_lo_3, 1, 1) @[lsu_bus_buffer.scala 146:93] + node _T_344 = and(ld_byte_hitvec_lo_3, buf_age_younger[1]) @[lsu_bus_buffer.scala 146:122] + node _T_345 = orr(_T_344) @[lsu_bus_buffer.scala 146:144] + node _T_346 = eq(_T_345, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_347 = and(_T_343, _T_346) @[lsu_bus_buffer.scala 146:97] + node _T_348 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 146:170] + node _T_349 = eq(_T_348, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_350 = and(_T_347, _T_349) @[lsu_bus_buffer.scala 146:148] + node _T_351 = bits(ld_byte_hitvec_lo_3, 2, 2) @[lsu_bus_buffer.scala 146:93] + node _T_352 = and(ld_byte_hitvec_lo_3, buf_age_younger[2]) @[lsu_bus_buffer.scala 146:122] + node _T_353 = orr(_T_352) @[lsu_bus_buffer.scala 146:144] + node _T_354 = eq(_T_353, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_355 = and(_T_351, _T_354) @[lsu_bus_buffer.scala 146:97] + node _T_356 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 146:170] + node _T_357 = eq(_T_356, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_358 = and(_T_355, _T_357) @[lsu_bus_buffer.scala 146:148] + node _T_359 = bits(ld_byte_hitvec_lo_3, 3, 3) @[lsu_bus_buffer.scala 146:93] + node _T_360 = and(ld_byte_hitvec_lo_3, buf_age_younger[3]) @[lsu_bus_buffer.scala 146:122] + node _T_361 = orr(_T_360) @[lsu_bus_buffer.scala 146:144] + node _T_362 = eq(_T_361, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_363 = and(_T_359, _T_362) @[lsu_bus_buffer.scala 146:97] + node _T_364 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 146:170] + node _T_365 = eq(_T_364, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_366 = and(_T_363, _T_365) @[lsu_bus_buffer.scala 146:148] + node _T_367 = cat(_T_366, _T_358) @[Cat.scala 29:58] + node _T_368 = cat(_T_367, _T_350) @[Cat.scala 29:58] + node _T_369 = cat(_T_368, _T_342) @[Cat.scala 29:58] + ld_byte_hitvecfn_lo[0] <= _T_264 @[lsu_bus_buffer.scala 146:23] + ld_byte_hitvecfn_lo[1] <= _T_299 @[lsu_bus_buffer.scala 146:23] + ld_byte_hitvecfn_lo[2] <= _T_334 @[lsu_bus_buffer.scala 146:23] + ld_byte_hitvecfn_lo[3] <= _T_369 @[lsu_bus_buffer.scala 146:23] + node _T_370 = bits(ld_byte_hitvec_hi_0, 0, 0) @[lsu_bus_buffer.scala 147:93] + node _T_371 = and(ld_byte_hitvec_hi_0, buf_age_younger[0]) @[lsu_bus_buffer.scala 147:122] + node _T_372 = orr(_T_371) @[lsu_bus_buffer.scala 147:144] + node _T_373 = eq(_T_372, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_374 = and(_T_370, _T_373) @[lsu_bus_buffer.scala 147:97] + node _T_375 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 147:170] + node _T_376 = eq(_T_375, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_377 = and(_T_374, _T_376) @[lsu_bus_buffer.scala 147:148] + node _T_378 = bits(ld_byte_hitvec_hi_0, 1, 1) @[lsu_bus_buffer.scala 147:93] + node _T_379 = and(ld_byte_hitvec_hi_0, buf_age_younger[1]) @[lsu_bus_buffer.scala 147:122] + node _T_380 = orr(_T_379) @[lsu_bus_buffer.scala 147:144] + node _T_381 = eq(_T_380, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_382 = and(_T_378, _T_381) @[lsu_bus_buffer.scala 147:97] + node _T_383 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 147:170] + node _T_384 = eq(_T_383, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_385 = and(_T_382, _T_384) @[lsu_bus_buffer.scala 147:148] + node _T_386 = bits(ld_byte_hitvec_hi_0, 2, 2) @[lsu_bus_buffer.scala 147:93] + node _T_387 = and(ld_byte_hitvec_hi_0, buf_age_younger[2]) @[lsu_bus_buffer.scala 147:122] + node _T_388 = orr(_T_387) @[lsu_bus_buffer.scala 147:144] + node _T_389 = eq(_T_388, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_390 = and(_T_386, _T_389) @[lsu_bus_buffer.scala 147:97] + node _T_391 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 147:170] + node _T_392 = eq(_T_391, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_393 = and(_T_390, _T_392) @[lsu_bus_buffer.scala 147:148] + node _T_394 = bits(ld_byte_hitvec_hi_0, 3, 3) @[lsu_bus_buffer.scala 147:93] + node _T_395 = and(ld_byte_hitvec_hi_0, buf_age_younger[3]) @[lsu_bus_buffer.scala 147:122] + node _T_396 = orr(_T_395) @[lsu_bus_buffer.scala 147:144] + node _T_397 = eq(_T_396, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_398 = and(_T_394, _T_397) @[lsu_bus_buffer.scala 147:97] + node _T_399 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 147:170] + node _T_400 = eq(_T_399, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_401 = and(_T_398, _T_400) @[lsu_bus_buffer.scala 147:148] + node _T_402 = cat(_T_401, _T_393) @[Cat.scala 29:58] + node _T_403 = cat(_T_402, _T_385) @[Cat.scala 29:58] + node _T_404 = cat(_T_403, _T_377) @[Cat.scala 29:58] + node _T_405 = bits(ld_byte_hitvec_hi_1, 0, 0) @[lsu_bus_buffer.scala 147:93] + node _T_406 = and(ld_byte_hitvec_hi_1, buf_age_younger[0]) @[lsu_bus_buffer.scala 147:122] + node _T_407 = orr(_T_406) @[lsu_bus_buffer.scala 147:144] + node _T_408 = eq(_T_407, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_409 = and(_T_405, _T_408) @[lsu_bus_buffer.scala 147:97] + node _T_410 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 147:170] + node _T_411 = eq(_T_410, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_412 = and(_T_409, _T_411) @[lsu_bus_buffer.scala 147:148] + node _T_413 = bits(ld_byte_hitvec_hi_1, 1, 1) @[lsu_bus_buffer.scala 147:93] + node _T_414 = and(ld_byte_hitvec_hi_1, buf_age_younger[1]) @[lsu_bus_buffer.scala 147:122] + node _T_415 = orr(_T_414) @[lsu_bus_buffer.scala 147:144] + node _T_416 = eq(_T_415, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_417 = and(_T_413, _T_416) @[lsu_bus_buffer.scala 147:97] + node _T_418 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 147:170] + node _T_419 = eq(_T_418, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_420 = and(_T_417, _T_419) @[lsu_bus_buffer.scala 147:148] + node _T_421 = bits(ld_byte_hitvec_hi_1, 2, 2) @[lsu_bus_buffer.scala 147:93] + node _T_422 = and(ld_byte_hitvec_hi_1, buf_age_younger[2]) @[lsu_bus_buffer.scala 147:122] + node _T_423 = orr(_T_422) @[lsu_bus_buffer.scala 147:144] + node _T_424 = eq(_T_423, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_425 = and(_T_421, _T_424) @[lsu_bus_buffer.scala 147:97] + node _T_426 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 147:170] + node _T_427 = eq(_T_426, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_428 = and(_T_425, _T_427) @[lsu_bus_buffer.scala 147:148] + node _T_429 = bits(ld_byte_hitvec_hi_1, 3, 3) @[lsu_bus_buffer.scala 147:93] + node _T_430 = and(ld_byte_hitvec_hi_1, buf_age_younger[3]) @[lsu_bus_buffer.scala 147:122] + node _T_431 = orr(_T_430) @[lsu_bus_buffer.scala 147:144] + node _T_432 = eq(_T_431, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_433 = and(_T_429, _T_432) @[lsu_bus_buffer.scala 147:97] + node _T_434 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 147:170] + node _T_435 = eq(_T_434, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_436 = and(_T_433, _T_435) @[lsu_bus_buffer.scala 147:148] + node _T_437 = cat(_T_436, _T_428) @[Cat.scala 29:58] + node _T_438 = cat(_T_437, _T_420) @[Cat.scala 29:58] + node _T_439 = cat(_T_438, _T_412) @[Cat.scala 29:58] + node _T_440 = bits(ld_byte_hitvec_hi_2, 0, 0) @[lsu_bus_buffer.scala 147:93] + node _T_441 = and(ld_byte_hitvec_hi_2, buf_age_younger[0]) @[lsu_bus_buffer.scala 147:122] + node _T_442 = orr(_T_441) @[lsu_bus_buffer.scala 147:144] + node _T_443 = eq(_T_442, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_444 = and(_T_440, _T_443) @[lsu_bus_buffer.scala 147:97] + node _T_445 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 147:170] + node _T_446 = eq(_T_445, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_447 = and(_T_444, _T_446) @[lsu_bus_buffer.scala 147:148] + node _T_448 = bits(ld_byte_hitvec_hi_2, 1, 1) @[lsu_bus_buffer.scala 147:93] + node _T_449 = and(ld_byte_hitvec_hi_2, buf_age_younger[1]) @[lsu_bus_buffer.scala 147:122] + node _T_450 = orr(_T_449) @[lsu_bus_buffer.scala 147:144] + node _T_451 = eq(_T_450, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_452 = and(_T_448, _T_451) @[lsu_bus_buffer.scala 147:97] + node _T_453 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 147:170] + node _T_454 = eq(_T_453, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_455 = and(_T_452, _T_454) @[lsu_bus_buffer.scala 147:148] + node _T_456 = bits(ld_byte_hitvec_hi_2, 2, 2) @[lsu_bus_buffer.scala 147:93] + node _T_457 = and(ld_byte_hitvec_hi_2, buf_age_younger[2]) @[lsu_bus_buffer.scala 147:122] + node _T_458 = orr(_T_457) @[lsu_bus_buffer.scala 147:144] + node _T_459 = eq(_T_458, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_460 = and(_T_456, _T_459) @[lsu_bus_buffer.scala 147:97] + node _T_461 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 147:170] + node _T_462 = eq(_T_461, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_463 = and(_T_460, _T_462) @[lsu_bus_buffer.scala 147:148] + node _T_464 = bits(ld_byte_hitvec_hi_2, 3, 3) @[lsu_bus_buffer.scala 147:93] + node _T_465 = and(ld_byte_hitvec_hi_2, buf_age_younger[3]) @[lsu_bus_buffer.scala 147:122] + node _T_466 = orr(_T_465) @[lsu_bus_buffer.scala 147:144] + node _T_467 = eq(_T_466, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_468 = and(_T_464, _T_467) @[lsu_bus_buffer.scala 147:97] + node _T_469 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 147:170] + node _T_470 = eq(_T_469, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_471 = and(_T_468, _T_470) @[lsu_bus_buffer.scala 147:148] + node _T_472 = cat(_T_471, _T_463) @[Cat.scala 29:58] + node _T_473 = cat(_T_472, _T_455) @[Cat.scala 29:58] + node _T_474 = cat(_T_473, _T_447) @[Cat.scala 29:58] + node _T_475 = bits(ld_byte_hitvec_hi_3, 0, 0) @[lsu_bus_buffer.scala 147:93] + node _T_476 = and(ld_byte_hitvec_hi_3, buf_age_younger[0]) @[lsu_bus_buffer.scala 147:122] + node _T_477 = orr(_T_476) @[lsu_bus_buffer.scala 147:144] + node _T_478 = eq(_T_477, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_479 = and(_T_475, _T_478) @[lsu_bus_buffer.scala 147:97] + node _T_480 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 147:170] + node _T_481 = eq(_T_480, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_482 = and(_T_479, _T_481) @[lsu_bus_buffer.scala 147:148] + node _T_483 = bits(ld_byte_hitvec_hi_3, 1, 1) @[lsu_bus_buffer.scala 147:93] + node _T_484 = and(ld_byte_hitvec_hi_3, buf_age_younger[1]) @[lsu_bus_buffer.scala 147:122] + node _T_485 = orr(_T_484) @[lsu_bus_buffer.scala 147:144] + node _T_486 = eq(_T_485, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_487 = and(_T_483, _T_486) @[lsu_bus_buffer.scala 147:97] + node _T_488 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 147:170] + node _T_489 = eq(_T_488, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_490 = and(_T_487, _T_489) @[lsu_bus_buffer.scala 147:148] + node _T_491 = bits(ld_byte_hitvec_hi_3, 2, 2) @[lsu_bus_buffer.scala 147:93] + node _T_492 = and(ld_byte_hitvec_hi_3, buf_age_younger[2]) @[lsu_bus_buffer.scala 147:122] + node _T_493 = orr(_T_492) @[lsu_bus_buffer.scala 147:144] + node _T_494 = eq(_T_493, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_495 = and(_T_491, _T_494) @[lsu_bus_buffer.scala 147:97] + node _T_496 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 147:170] + node _T_497 = eq(_T_496, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_498 = and(_T_495, _T_497) @[lsu_bus_buffer.scala 147:148] + node _T_499 = bits(ld_byte_hitvec_hi_3, 3, 3) @[lsu_bus_buffer.scala 147:93] + node _T_500 = and(ld_byte_hitvec_hi_3, buf_age_younger[3]) @[lsu_bus_buffer.scala 147:122] + node _T_501 = orr(_T_500) @[lsu_bus_buffer.scala 147:144] + node _T_502 = eq(_T_501, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_503 = and(_T_499, _T_502) @[lsu_bus_buffer.scala 147:97] + node _T_504 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 147:170] + node _T_505 = eq(_T_504, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_506 = and(_T_503, _T_505) @[lsu_bus_buffer.scala 147:148] + node _T_507 = cat(_T_506, _T_498) @[Cat.scala 29:58] + node _T_508 = cat(_T_507, _T_490) @[Cat.scala 29:58] + node _T_509 = cat(_T_508, _T_482) @[Cat.scala 29:58] + ld_byte_hitvecfn_hi[0] <= _T_404 @[lsu_bus_buffer.scala 147:23] + ld_byte_hitvecfn_hi[1] <= _T_439 @[lsu_bus_buffer.scala 147:23] + ld_byte_hitvecfn_hi[2] <= _T_474 @[lsu_bus_buffer.scala 147:23] + ld_byte_hitvecfn_hi[3] <= _T_509 @[lsu_bus_buffer.scala 147:23] + wire ibuf_addr : UInt<32> + ibuf_addr <= UInt<1>("h00") + wire ibuf_write : UInt<1> + ibuf_write <= UInt<1>("h00") + wire ibuf_valid : UInt<1> + ibuf_valid <= UInt<1>("h00") + node _T_510 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 152:43] + node _T_511 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 152:64] + node _T_512 = eq(_T_510, _T_511) @[lsu_bus_buffer.scala 152:51] + node _T_513 = and(_T_512, ibuf_write) @[lsu_bus_buffer.scala 152:73] + node _T_514 = and(_T_513, ibuf_valid) @[lsu_bus_buffer.scala 152:86] + node ld_addr_ibuf_hit_lo = and(_T_514, io.lsu_busreq_m) @[lsu_bus_buffer.scala 152:99] + node _T_515 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 153:43] + node _T_516 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 153:64] + node _T_517 = eq(_T_515, _T_516) @[lsu_bus_buffer.scala 153:51] + node _T_518 = and(_T_517, ibuf_write) @[lsu_bus_buffer.scala 153:73] + node _T_519 = and(_T_518, ibuf_valid) @[lsu_bus_buffer.scala 153:86] + node ld_addr_ibuf_hit_hi = and(_T_519, io.lsu_busreq_m) @[lsu_bus_buffer.scala 153:99] + wire ibuf_byteen : UInt<4> + ibuf_byteen <= UInt<1>("h00") + node _T_520 = bits(ld_addr_ibuf_hit_lo, 0, 0) @[Bitwise.scala 72:15] + node _T_521 = mux(_T_520, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_522 = and(_T_521, ibuf_byteen) @[lsu_bus_buffer.scala 157:55] + node _T_523 = and(_T_522, ldst_byteen_lo_m) @[lsu_bus_buffer.scala 157:69] + ld_byte_ibuf_hit_lo <= _T_523 @[lsu_bus_buffer.scala 157:23] + node _T_524 = bits(ld_addr_ibuf_hit_hi, 0, 0) @[Bitwise.scala 72:15] + node _T_525 = mux(_T_524, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_526 = and(_T_525, ibuf_byteen) @[lsu_bus_buffer.scala 158:55] + node _T_527 = and(_T_526, ldst_byteen_hi_m) @[lsu_bus_buffer.scala 158:69] + ld_byte_ibuf_hit_hi <= _T_527 @[lsu_bus_buffer.scala 158:23] + wire buf_data : UInt<32>[4] @[lsu_bus_buffer.scala 160:22] + buf_data[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 161:12] + buf_data[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 161:12] + buf_data[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 161:12] + buf_data[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 161:12] + wire fwd_data : UInt<32> + fwd_data <= UInt<1>("h00") + node _T_528 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 163:81] + node _T_529 = bits(_T_528, 0, 0) @[Bitwise.scala 72:15] + node _T_530 = mux(_T_529, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_531 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 163:81] + node _T_532 = bits(_T_531, 0, 0) @[Bitwise.scala 72:15] + node _T_533 = mux(_T_532, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_534 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 163:81] + node _T_535 = bits(_T_534, 0, 0) @[Bitwise.scala 72:15] + node _T_536 = mux(_T_535, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_537 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 163:81] + node _T_538 = bits(_T_537, 0, 0) @[Bitwise.scala 72:15] + node _T_539 = mux(_T_538, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_540 = cat(_T_539, _T_536) @[Cat.scala 29:58] + node _T_541 = cat(_T_540, _T_533) @[Cat.scala 29:58] + node ld_fwddata_buf_lo_initial = cat(_T_541, _T_530) @[Cat.scala 29:58] + node _T_542 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 164:81] + node _T_543 = bits(_T_542, 0, 0) @[Bitwise.scala 72:15] + node _T_544 = mux(_T_543, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_545 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 164:81] + node _T_546 = bits(_T_545, 0, 0) @[Bitwise.scala 72:15] + node _T_547 = mux(_T_546, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_548 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 164:81] + node _T_549 = bits(_T_548, 0, 0) @[Bitwise.scala 72:15] + node _T_550 = mux(_T_549, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_551 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 164:81] + node _T_552 = bits(_T_551, 0, 0) @[Bitwise.scala 72:15] + node _T_553 = mux(_T_552, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_554 = cat(_T_553, _T_550) @[Cat.scala 29:58] + node _T_555 = cat(_T_554, _T_547) @[Cat.scala 29:58] + node ld_fwddata_buf_hi_initial = cat(_T_555, _T_544) @[Cat.scala 29:58] + node _T_556 = bits(ld_byte_hitvecfn_lo[3], 0, 0) @[lsu_bus_buffer.scala 165:86] + node _T_557 = bits(_T_556, 0, 0) @[Bitwise.scala 72:15] + node _T_558 = mux(_T_557, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_559 = bits(buf_data[0], 31, 24) @[lsu_bus_buffer.scala 165:104] + node _T_560 = and(_T_558, _T_559) @[lsu_bus_buffer.scala 165:91] + node _T_561 = bits(ld_byte_hitvecfn_lo[3], 1, 1) @[lsu_bus_buffer.scala 165:86] + node _T_562 = bits(_T_561, 0, 0) @[Bitwise.scala 72:15] + node _T_563 = mux(_T_562, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_564 = bits(buf_data[1], 31, 24) @[lsu_bus_buffer.scala 165:104] + node _T_565 = and(_T_563, _T_564) @[lsu_bus_buffer.scala 165:91] + node _T_566 = bits(ld_byte_hitvecfn_lo[3], 2, 2) @[lsu_bus_buffer.scala 165:86] + node _T_567 = bits(_T_566, 0, 0) @[Bitwise.scala 72:15] + node _T_568 = mux(_T_567, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_569 = bits(buf_data[2], 31, 24) @[lsu_bus_buffer.scala 165:104] + node _T_570 = and(_T_568, _T_569) @[lsu_bus_buffer.scala 165:91] + node _T_571 = bits(ld_byte_hitvecfn_lo[3], 3, 3) @[lsu_bus_buffer.scala 165:86] + node _T_572 = bits(_T_571, 0, 0) @[Bitwise.scala 72:15] + node _T_573 = mux(_T_572, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_574 = bits(buf_data[3], 31, 24) @[lsu_bus_buffer.scala 165:104] + node _T_575 = and(_T_573, _T_574) @[lsu_bus_buffer.scala 165:91] + node _T_576 = or(_T_560, _T_565) @[lsu_bus_buffer.scala 165:123] + node _T_577 = or(_T_576, _T_570) @[lsu_bus_buffer.scala 165:123] + node _T_578 = or(_T_577, _T_575) @[lsu_bus_buffer.scala 165:123] + node _T_579 = bits(ld_byte_hitvecfn_lo[2], 0, 0) @[lsu_bus_buffer.scala 166:60] + node _T_580 = bits(_T_579, 0, 0) @[Bitwise.scala 72:15] + node _T_581 = mux(_T_580, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_582 = bits(buf_data[0], 23, 16) @[lsu_bus_buffer.scala 166:78] + node _T_583 = and(_T_581, _T_582) @[lsu_bus_buffer.scala 166:65] + node _T_584 = bits(ld_byte_hitvecfn_lo[2], 1, 1) @[lsu_bus_buffer.scala 166:60] + node _T_585 = bits(_T_584, 0, 0) @[Bitwise.scala 72:15] + node _T_586 = mux(_T_585, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_587 = bits(buf_data[1], 23, 16) @[lsu_bus_buffer.scala 166:78] + node _T_588 = and(_T_586, _T_587) @[lsu_bus_buffer.scala 166:65] + node _T_589 = bits(ld_byte_hitvecfn_lo[2], 2, 2) @[lsu_bus_buffer.scala 166:60] + node _T_590 = bits(_T_589, 0, 0) @[Bitwise.scala 72:15] + node _T_591 = mux(_T_590, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_592 = bits(buf_data[2], 23, 16) @[lsu_bus_buffer.scala 166:78] + node _T_593 = and(_T_591, _T_592) @[lsu_bus_buffer.scala 166:65] + node _T_594 = bits(ld_byte_hitvecfn_lo[2], 3, 3) @[lsu_bus_buffer.scala 166:60] + node _T_595 = bits(_T_594, 0, 0) @[Bitwise.scala 72:15] + node _T_596 = mux(_T_595, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_597 = bits(buf_data[3], 23, 16) @[lsu_bus_buffer.scala 166:78] + node _T_598 = and(_T_596, _T_597) @[lsu_bus_buffer.scala 166:65] + node _T_599 = or(_T_583, _T_588) @[lsu_bus_buffer.scala 166:97] + node _T_600 = or(_T_599, _T_593) @[lsu_bus_buffer.scala 166:97] + node _T_601 = or(_T_600, _T_598) @[lsu_bus_buffer.scala 166:97] + node _T_602 = bits(ld_byte_hitvecfn_lo[1], 0, 0) @[lsu_bus_buffer.scala 167:60] + node _T_603 = bits(_T_602, 0, 0) @[Bitwise.scala 72:15] + node _T_604 = mux(_T_603, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_605 = bits(buf_data[0], 15, 8) @[lsu_bus_buffer.scala 167:78] + node _T_606 = and(_T_604, _T_605) @[lsu_bus_buffer.scala 167:65] + node _T_607 = bits(ld_byte_hitvecfn_lo[1], 1, 1) @[lsu_bus_buffer.scala 167:60] + node _T_608 = bits(_T_607, 0, 0) @[Bitwise.scala 72:15] + node _T_609 = mux(_T_608, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_610 = bits(buf_data[1], 15, 8) @[lsu_bus_buffer.scala 167:78] + node _T_611 = and(_T_609, _T_610) @[lsu_bus_buffer.scala 167:65] + node _T_612 = bits(ld_byte_hitvecfn_lo[1], 2, 2) @[lsu_bus_buffer.scala 167:60] + node _T_613 = bits(_T_612, 0, 0) @[Bitwise.scala 72:15] + node _T_614 = mux(_T_613, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_615 = bits(buf_data[2], 15, 8) @[lsu_bus_buffer.scala 167:78] + node _T_616 = and(_T_614, _T_615) @[lsu_bus_buffer.scala 167:65] + node _T_617 = bits(ld_byte_hitvecfn_lo[1], 3, 3) @[lsu_bus_buffer.scala 167:60] + node _T_618 = bits(_T_617, 0, 0) @[Bitwise.scala 72:15] + node _T_619 = mux(_T_618, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_620 = bits(buf_data[3], 15, 8) @[lsu_bus_buffer.scala 167:78] + node _T_621 = and(_T_619, _T_620) @[lsu_bus_buffer.scala 167:65] + node _T_622 = or(_T_606, _T_611) @[lsu_bus_buffer.scala 167:97] + node _T_623 = or(_T_622, _T_616) @[lsu_bus_buffer.scala 167:97] + node _T_624 = or(_T_623, _T_621) @[lsu_bus_buffer.scala 167:97] + node _T_625 = bits(ld_byte_hitvecfn_lo[0], 0, 0) @[lsu_bus_buffer.scala 168:60] + node _T_626 = bits(_T_625, 0, 0) @[Bitwise.scala 72:15] + node _T_627 = mux(_T_626, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_628 = bits(buf_data[0], 7, 0) @[lsu_bus_buffer.scala 168:78] + node _T_629 = and(_T_627, _T_628) @[lsu_bus_buffer.scala 168:65] + node _T_630 = bits(ld_byte_hitvecfn_lo[0], 1, 1) @[lsu_bus_buffer.scala 168:60] + node _T_631 = bits(_T_630, 0, 0) @[Bitwise.scala 72:15] + node _T_632 = mux(_T_631, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_633 = bits(buf_data[1], 7, 0) @[lsu_bus_buffer.scala 168:78] + node _T_634 = and(_T_632, _T_633) @[lsu_bus_buffer.scala 168:65] + node _T_635 = bits(ld_byte_hitvecfn_lo[0], 2, 2) @[lsu_bus_buffer.scala 168:60] + node _T_636 = bits(_T_635, 0, 0) @[Bitwise.scala 72:15] + node _T_637 = mux(_T_636, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_638 = bits(buf_data[2], 7, 0) @[lsu_bus_buffer.scala 168:78] + node _T_639 = and(_T_637, _T_638) @[lsu_bus_buffer.scala 168:65] + node _T_640 = bits(ld_byte_hitvecfn_lo[0], 3, 3) @[lsu_bus_buffer.scala 168:60] + node _T_641 = bits(_T_640, 0, 0) @[Bitwise.scala 72:15] + node _T_642 = mux(_T_641, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_643 = bits(buf_data[3], 7, 0) @[lsu_bus_buffer.scala 168:78] + node _T_644 = and(_T_642, _T_643) @[lsu_bus_buffer.scala 168:65] + node _T_645 = or(_T_629, _T_634) @[lsu_bus_buffer.scala 168:97] + node _T_646 = or(_T_645, _T_639) @[lsu_bus_buffer.scala 168:97] + node _T_647 = or(_T_646, _T_644) @[lsu_bus_buffer.scala 168:97] + node _T_648 = cat(_T_624, _T_647) @[Cat.scala 29:58] + node _T_649 = cat(_T_578, _T_601) @[Cat.scala 29:58] + node _T_650 = cat(_T_649, _T_648) @[Cat.scala 29:58] + node _T_651 = and(ld_fwddata_buf_lo_initial, ibuf_data) @[lsu_bus_buffer.scala 169:32] + node _T_652 = or(_T_650, _T_651) @[lsu_bus_buffer.scala 168:103] + io.ld_fwddata_buf_lo <= _T_652 @[lsu_bus_buffer.scala 165:24] + node _T_653 = bits(ld_byte_hitvecfn_hi[3], 0, 0) @[lsu_bus_buffer.scala 171:86] + node _T_654 = bits(_T_653, 0, 0) @[Bitwise.scala 72:15] + node _T_655 = mux(_T_654, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_656 = bits(buf_data[0], 31, 24) @[lsu_bus_buffer.scala 171:104] + node _T_657 = and(_T_655, _T_656) @[lsu_bus_buffer.scala 171:91] + node _T_658 = bits(ld_byte_hitvecfn_hi[3], 1, 1) @[lsu_bus_buffer.scala 171:86] + node _T_659 = bits(_T_658, 0, 0) @[Bitwise.scala 72:15] + node _T_660 = mux(_T_659, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_661 = bits(buf_data[1], 31, 24) @[lsu_bus_buffer.scala 171:104] + node _T_662 = and(_T_660, _T_661) @[lsu_bus_buffer.scala 171:91] + node _T_663 = bits(ld_byte_hitvecfn_hi[3], 2, 2) @[lsu_bus_buffer.scala 171:86] + node _T_664 = bits(_T_663, 0, 0) @[Bitwise.scala 72:15] + node _T_665 = mux(_T_664, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_666 = bits(buf_data[2], 31, 24) @[lsu_bus_buffer.scala 171:104] + node _T_667 = and(_T_665, _T_666) @[lsu_bus_buffer.scala 171:91] + node _T_668 = bits(ld_byte_hitvecfn_hi[3], 3, 3) @[lsu_bus_buffer.scala 171:86] + node _T_669 = bits(_T_668, 0, 0) @[Bitwise.scala 72:15] + node _T_670 = mux(_T_669, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_671 = bits(buf_data[3], 31, 24) @[lsu_bus_buffer.scala 171:104] + node _T_672 = and(_T_670, _T_671) @[lsu_bus_buffer.scala 171:91] + node _T_673 = or(_T_657, _T_662) @[lsu_bus_buffer.scala 171:123] + node _T_674 = or(_T_673, _T_667) @[lsu_bus_buffer.scala 171:123] + node _T_675 = or(_T_674, _T_672) @[lsu_bus_buffer.scala 171:123] + node _T_676 = bits(ld_byte_hitvecfn_hi[2], 0, 0) @[lsu_bus_buffer.scala 172:60] + node _T_677 = bits(_T_676, 0, 0) @[Bitwise.scala 72:15] + node _T_678 = mux(_T_677, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_679 = bits(buf_data[0], 23, 16) @[lsu_bus_buffer.scala 172:78] + node _T_680 = and(_T_678, _T_679) @[lsu_bus_buffer.scala 172:65] + node _T_681 = bits(ld_byte_hitvecfn_hi[2], 1, 1) @[lsu_bus_buffer.scala 172:60] + node _T_682 = bits(_T_681, 0, 0) @[Bitwise.scala 72:15] + node _T_683 = mux(_T_682, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_684 = bits(buf_data[1], 23, 16) @[lsu_bus_buffer.scala 172:78] + node _T_685 = and(_T_683, _T_684) @[lsu_bus_buffer.scala 172:65] + node _T_686 = bits(ld_byte_hitvecfn_hi[2], 2, 2) @[lsu_bus_buffer.scala 172:60] + node _T_687 = bits(_T_686, 0, 0) @[Bitwise.scala 72:15] + node _T_688 = mux(_T_687, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_689 = bits(buf_data[2], 23, 16) @[lsu_bus_buffer.scala 172:78] + node _T_690 = and(_T_688, _T_689) @[lsu_bus_buffer.scala 172:65] + node _T_691 = bits(ld_byte_hitvecfn_hi[2], 3, 3) @[lsu_bus_buffer.scala 172:60] + node _T_692 = bits(_T_691, 0, 0) @[Bitwise.scala 72:15] + node _T_693 = mux(_T_692, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_694 = bits(buf_data[3], 23, 16) @[lsu_bus_buffer.scala 172:78] + node _T_695 = and(_T_693, _T_694) @[lsu_bus_buffer.scala 172:65] + node _T_696 = or(_T_680, _T_685) @[lsu_bus_buffer.scala 172:97] + node _T_697 = or(_T_696, _T_690) @[lsu_bus_buffer.scala 172:97] + node _T_698 = or(_T_697, _T_695) @[lsu_bus_buffer.scala 172:97] + node _T_699 = bits(ld_byte_hitvecfn_hi[1], 0, 0) @[lsu_bus_buffer.scala 173:60] + node _T_700 = bits(_T_699, 0, 0) @[Bitwise.scala 72:15] + node _T_701 = mux(_T_700, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_702 = bits(buf_data[0], 15, 8) @[lsu_bus_buffer.scala 173:78] + node _T_703 = and(_T_701, _T_702) @[lsu_bus_buffer.scala 173:65] + node _T_704 = bits(ld_byte_hitvecfn_hi[1], 1, 1) @[lsu_bus_buffer.scala 173:60] + node _T_705 = bits(_T_704, 0, 0) @[Bitwise.scala 72:15] + node _T_706 = mux(_T_705, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_707 = bits(buf_data[1], 15, 8) @[lsu_bus_buffer.scala 173:78] + node _T_708 = and(_T_706, _T_707) @[lsu_bus_buffer.scala 173:65] + node _T_709 = bits(ld_byte_hitvecfn_hi[1], 2, 2) @[lsu_bus_buffer.scala 173:60] + node _T_710 = bits(_T_709, 0, 0) @[Bitwise.scala 72:15] + node _T_711 = mux(_T_710, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_712 = bits(buf_data[2], 15, 8) @[lsu_bus_buffer.scala 173:78] + node _T_713 = and(_T_711, _T_712) @[lsu_bus_buffer.scala 173:65] + node _T_714 = bits(ld_byte_hitvecfn_hi[1], 3, 3) @[lsu_bus_buffer.scala 173:60] + node _T_715 = bits(_T_714, 0, 0) @[Bitwise.scala 72:15] + node _T_716 = mux(_T_715, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_717 = bits(buf_data[3], 15, 8) @[lsu_bus_buffer.scala 173:78] + node _T_718 = and(_T_716, _T_717) @[lsu_bus_buffer.scala 173:65] + node _T_719 = or(_T_703, _T_708) @[lsu_bus_buffer.scala 173:97] + node _T_720 = or(_T_719, _T_713) @[lsu_bus_buffer.scala 173:97] + node _T_721 = or(_T_720, _T_718) @[lsu_bus_buffer.scala 173:97] + node _T_722 = bits(ld_byte_hitvecfn_hi[0], 0, 0) @[lsu_bus_buffer.scala 174:60] + node _T_723 = bits(_T_722, 0, 0) @[Bitwise.scala 72:15] + node _T_724 = mux(_T_723, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_725 = bits(buf_data[0], 7, 0) @[lsu_bus_buffer.scala 174:78] + node _T_726 = and(_T_724, _T_725) @[lsu_bus_buffer.scala 174:65] + node _T_727 = bits(ld_byte_hitvecfn_hi[0], 1, 1) @[lsu_bus_buffer.scala 174:60] + node _T_728 = bits(_T_727, 0, 0) @[Bitwise.scala 72:15] + node _T_729 = mux(_T_728, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_730 = bits(buf_data[1], 7, 0) @[lsu_bus_buffer.scala 174:78] + node _T_731 = and(_T_729, _T_730) @[lsu_bus_buffer.scala 174:65] + node _T_732 = bits(ld_byte_hitvecfn_hi[0], 2, 2) @[lsu_bus_buffer.scala 174:60] + node _T_733 = bits(_T_732, 0, 0) @[Bitwise.scala 72:15] + node _T_734 = mux(_T_733, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_735 = bits(buf_data[2], 7, 0) @[lsu_bus_buffer.scala 174:78] + node _T_736 = and(_T_734, _T_735) @[lsu_bus_buffer.scala 174:65] + node _T_737 = bits(ld_byte_hitvecfn_hi[0], 3, 3) @[lsu_bus_buffer.scala 174:60] + node _T_738 = bits(_T_737, 0, 0) @[Bitwise.scala 72:15] + node _T_739 = mux(_T_738, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_740 = bits(buf_data[3], 7, 0) @[lsu_bus_buffer.scala 174:78] + node _T_741 = and(_T_739, _T_740) @[lsu_bus_buffer.scala 174:65] + node _T_742 = or(_T_726, _T_731) @[lsu_bus_buffer.scala 174:97] + node _T_743 = or(_T_742, _T_736) @[lsu_bus_buffer.scala 174:97] + node _T_744 = or(_T_743, _T_741) @[lsu_bus_buffer.scala 174:97] + node _T_745 = cat(_T_721, _T_744) @[Cat.scala 29:58] + node _T_746 = cat(_T_675, _T_698) @[Cat.scala 29:58] + node _T_747 = cat(_T_746, _T_745) @[Cat.scala 29:58] + node _T_748 = and(ld_fwddata_buf_hi_initial, ibuf_data) @[lsu_bus_buffer.scala 175:32] + node _T_749 = or(_T_747, _T_748) @[lsu_bus_buffer.scala 174:103] + io.ld_fwddata_buf_hi <= _T_749 @[lsu_bus_buffer.scala 171:24] + node bus_coalescing_disable = or(io.tlu_busbuff.dec_tlu_wb_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 177:77] + node _T_750 = mux(io.lsu_pkt_r.bits.by, UInt<4>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_751 = mux(io.lsu_pkt_r.bits.half, UInt<4>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_752 = mux(io.lsu_pkt_r.bits.word, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_753 = or(_T_750, _T_751) @[Mux.scala 27:72] + node _T_754 = or(_T_753, _T_752) @[Mux.scala 27:72] + wire ldst_byteen_r : UInt<4> @[Mux.scala 27:72] + ldst_byteen_r <= _T_754 @[Mux.scala 27:72] + node _T_755 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 182:50] + node _T_756 = eq(_T_755, UInt<1>("h00")) @[lsu_bus_buffer.scala 182:55] + node _T_757 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 183:19] + node _T_758 = eq(_T_757, UInt<1>("h01")) @[lsu_bus_buffer.scala 183:24] + node _T_759 = bits(ldst_byteen_r, 3, 3) @[lsu_bus_buffer.scala 183:60] + node _T_760 = cat(UInt<3>("h00"), _T_759) @[Cat.scala 29:58] + node _T_761 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 184:19] + node _T_762 = eq(_T_761, UInt<2>("h02")) @[lsu_bus_buffer.scala 184:24] + node _T_763 = bits(ldst_byteen_r, 3, 2) @[lsu_bus_buffer.scala 184:60] + node _T_764 = cat(UInt<2>("h00"), _T_763) @[Cat.scala 29:58] + node _T_765 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 185:19] + node _T_766 = eq(_T_765, UInt<2>("h03")) @[lsu_bus_buffer.scala 185:24] + node _T_767 = bits(ldst_byteen_r, 3, 1) @[lsu_bus_buffer.scala 185:60] + node _T_768 = cat(UInt<1>("h00"), _T_767) @[Cat.scala 29:58] + node _T_769 = mux(_T_756, UInt<4>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_770 = mux(_T_758, _T_760, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_771 = mux(_T_762, _T_764, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_772 = mux(_T_766, _T_768, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_773 = or(_T_769, _T_770) @[Mux.scala 27:72] + node _T_774 = or(_T_773, _T_771) @[Mux.scala 27:72] + node _T_775 = or(_T_774, _T_772) @[Mux.scala 27:72] + wire ldst_byteen_hi_r : UInt<4> @[Mux.scala 27:72] + ldst_byteen_hi_r <= _T_775 @[Mux.scala 27:72] + node _T_776 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 186:50] + node _T_777 = eq(_T_776, UInt<1>("h00")) @[lsu_bus_buffer.scala 186:55] + node _T_778 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 187:19] + node _T_779 = eq(_T_778, UInt<1>("h01")) @[lsu_bus_buffer.scala 187:24] + node _T_780 = bits(ldst_byteen_r, 2, 0) @[lsu_bus_buffer.scala 187:50] + node _T_781 = cat(_T_780, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_782 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 188:19] + node _T_783 = eq(_T_782, UInt<2>("h02")) @[lsu_bus_buffer.scala 188:24] + node _T_784 = bits(ldst_byteen_r, 1, 0) @[lsu_bus_buffer.scala 188:50] + node _T_785 = cat(_T_784, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_786 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 189:19] + node _T_787 = eq(_T_786, UInt<2>("h03")) @[lsu_bus_buffer.scala 189:24] + node _T_788 = bits(ldst_byteen_r, 0, 0) @[lsu_bus_buffer.scala 189:50] + node _T_789 = cat(_T_788, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_790 = mux(_T_777, ldst_byteen_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_791 = mux(_T_779, _T_781, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_792 = mux(_T_783, _T_785, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_793 = mux(_T_787, _T_789, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_794 = or(_T_790, _T_791) @[Mux.scala 27:72] + node _T_795 = or(_T_794, _T_792) @[Mux.scala 27:72] + node _T_796 = or(_T_795, _T_793) @[Mux.scala 27:72] + wire ldst_byteen_lo_r : UInt<4> @[Mux.scala 27:72] + ldst_byteen_lo_r <= _T_796 @[Mux.scala 27:72] + node _T_797 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 191:49] + node _T_798 = eq(_T_797, UInt<1>("h00")) @[lsu_bus_buffer.scala 191:54] + node _T_799 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 192:19] + node _T_800 = eq(_T_799, UInt<1>("h01")) @[lsu_bus_buffer.scala 192:24] + node _T_801 = bits(io.store_data_r, 31, 24) @[lsu_bus_buffer.scala 192:64] + node _T_802 = cat(UInt<24>("h00"), _T_801) @[Cat.scala 29:58] + node _T_803 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 193:19] + node _T_804 = eq(_T_803, UInt<2>("h02")) @[lsu_bus_buffer.scala 193:24] + node _T_805 = bits(io.store_data_r, 31, 16) @[lsu_bus_buffer.scala 193:63] + node _T_806 = cat(UInt<16>("h00"), _T_805) @[Cat.scala 29:58] + node _T_807 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 194:19] + node _T_808 = eq(_T_807, UInt<2>("h03")) @[lsu_bus_buffer.scala 194:24] + node _T_809 = bits(io.store_data_r, 31, 8) @[lsu_bus_buffer.scala 194:62] + node _T_810 = cat(UInt<8>("h00"), _T_809) @[Cat.scala 29:58] + node _T_811 = mux(_T_798, UInt<32>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_812 = mux(_T_800, _T_802, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_813 = mux(_T_804, _T_806, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_814 = mux(_T_808, _T_810, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_815 = or(_T_811, _T_812) @[Mux.scala 27:72] + node _T_816 = or(_T_815, _T_813) @[Mux.scala 27:72] + node _T_817 = or(_T_816, _T_814) @[Mux.scala 27:72] + wire store_data_hi_r : UInt<32> @[Mux.scala 27:72] + store_data_hi_r <= _T_817 @[Mux.scala 27:72] + node _T_818 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 196:49] + node _T_819 = eq(_T_818, UInt<1>("h00")) @[lsu_bus_buffer.scala 196:54] + node _T_820 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 197:19] + node _T_821 = eq(_T_820, UInt<1>("h01")) @[lsu_bus_buffer.scala 197:24] + node _T_822 = bits(io.store_data_r, 23, 0) @[lsu_bus_buffer.scala 197:52] + node _T_823 = cat(_T_822, UInt<8>("h00")) @[Cat.scala 29:58] + node _T_824 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 198:19] + node _T_825 = eq(_T_824, UInt<2>("h02")) @[lsu_bus_buffer.scala 198:24] + node _T_826 = bits(io.store_data_r, 15, 0) @[lsu_bus_buffer.scala 198:52] + node _T_827 = cat(_T_826, UInt<16>("h00")) @[Cat.scala 29:58] + node _T_828 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 199:19] + node _T_829 = eq(_T_828, UInt<2>("h03")) @[lsu_bus_buffer.scala 199:24] + node _T_830 = bits(io.store_data_r, 7, 0) @[lsu_bus_buffer.scala 199:52] + node _T_831 = cat(_T_830, UInt<24>("h00")) @[Cat.scala 29:58] + node _T_832 = mux(_T_819, io.store_data_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_833 = mux(_T_821, _T_823, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_834 = mux(_T_825, _T_827, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_835 = mux(_T_829, _T_831, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_836 = or(_T_832, _T_833) @[Mux.scala 27:72] + node _T_837 = or(_T_836, _T_834) @[Mux.scala 27:72] + node _T_838 = or(_T_837, _T_835) @[Mux.scala 27:72] + wire store_data_lo_r : UInt<32> @[Mux.scala 27:72] + store_data_lo_r <= _T_838 @[Mux.scala 27:72] + node _T_839 = bits(io.lsu_addr_r, 3, 3) @[lsu_bus_buffer.scala 202:36] + node _T_840 = bits(io.end_addr_r, 3, 3) @[lsu_bus_buffer.scala 202:57] + node ldst_samedw_r = eq(_T_839, _T_840) @[lsu_bus_buffer.scala 202:40] + node _T_841 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 203:72] + node _T_842 = eq(_T_841, UInt<1>("h00")) @[lsu_bus_buffer.scala 203:79] + node _T_843 = bits(io.lsu_addr_r, 0, 0) @[lsu_bus_buffer.scala 204:45] + node _T_844 = eq(_T_843, UInt<1>("h00")) @[lsu_bus_buffer.scala 204:31] + node _T_845 = mux(io.lsu_pkt_r.bits.word, _T_842, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_846 = mux(io.lsu_pkt_r.bits.half, _T_844, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_847 = mux(io.lsu_pkt_r.bits.by, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_848 = or(_T_845, _T_846) @[Mux.scala 27:72] + node _T_849 = or(_T_848, _T_847) @[Mux.scala 27:72] + wire is_aligned_r : UInt<1> @[Mux.scala 27:72] + is_aligned_r <= _T_849 @[Mux.scala 27:72] + node _T_850 = or(io.lsu_pkt_r.bits.load, io.no_word_merge_r) @[lsu_bus_buffer.scala 206:60] + node _T_851 = and(io.lsu_busreq_r, _T_850) @[lsu_bus_buffer.scala 206:34] + node _T_852 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 206:84] + node ibuf_byp = and(_T_851, _T_852) @[lsu_bus_buffer.scala 206:82] + node _T_853 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 207:36] + node _T_854 = eq(ibuf_byp, UInt<1>("h00")) @[lsu_bus_buffer.scala 207:56] + node ibuf_wr_en = and(_T_853, _T_854) @[lsu_bus_buffer.scala 207:54] + wire ibuf_drain_vld : UInt<1> + ibuf_drain_vld <= UInt<1>("h00") + node _T_855 = eq(ibuf_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 209:36] + node _T_856 = and(ibuf_drain_vld, _T_855) @[lsu_bus_buffer.scala 209:34] + node ibuf_rst = or(_T_856, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 209:49] + node _T_857 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 210:44] + node _T_858 = and(io.lsu_busreq_m, _T_857) @[lsu_bus_buffer.scala 210:42] + node _T_859 = and(_T_858, ibuf_valid) @[lsu_bus_buffer.scala 210:61] + node _T_860 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 210:112] + node _T_861 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 210:137] + node _T_862 = neq(_T_860, _T_861) @[lsu_bus_buffer.scala 210:120] + node _T_863 = or(io.lsu_pkt_m.bits.load, _T_862) @[lsu_bus_buffer.scala 210:100] + node ibuf_force_drain = and(_T_859, _T_863) @[lsu_bus_buffer.scala 210:74] + wire ibuf_sideeffect : UInt<1> + ibuf_sideeffect <= UInt<1>("h00") + wire ibuf_timer : UInt<3> + ibuf_timer <= UInt<1>("h00") + wire ibuf_merge_en : UInt<1> + ibuf_merge_en <= UInt<1>("h00") + wire ibuf_merge_in : UInt<1> + ibuf_merge_in <= UInt<1>("h00") + node _T_864 = eq(ibuf_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 215:62] + node _T_865 = or(ibuf_wr_en, _T_864) @[lsu_bus_buffer.scala 215:48] + node _T_866 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 215:98] + node _T_867 = eq(_T_866, UInt<1>("h00")) @[lsu_bus_buffer.scala 215:82] + node _T_868 = and(_T_865, _T_867) @[lsu_bus_buffer.scala 215:80] + node _T_869 = or(_T_868, ibuf_byp) @[lsu_bus_buffer.scala 216:5] + node _T_870 = or(_T_869, ibuf_force_drain) @[lsu_bus_buffer.scala 216:16] + node _T_871 = or(_T_870, ibuf_sideeffect) @[lsu_bus_buffer.scala 216:35] + node _T_872 = eq(ibuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 216:55] + node _T_873 = or(_T_871, _T_872) @[lsu_bus_buffer.scala 216:53] + node _T_874 = or(_T_873, bus_coalescing_disable) @[lsu_bus_buffer.scala 216:67] + node _T_875 = and(ibuf_valid, _T_874) @[lsu_bus_buffer.scala 215:32] + ibuf_drain_vld <= _T_875 @[lsu_bus_buffer.scala 215:18] + wire ibuf_tag : UInt<2> + ibuf_tag <= UInt<1>("h00") + wire WrPtr1_r : UInt<2> + WrPtr1_r <= UInt<1>("h00") + wire WrPtr0_r : UInt<2> + WrPtr0_r <= UInt<1>("h00") + node _T_876 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 221:39] + node _T_877 = mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r) @[lsu_bus_buffer.scala 221:69] + node ibuf_tag_in = mux(_T_876, ibuf_tag, _T_877) @[lsu_bus_buffer.scala 221:24] + node ibuf_sz_in = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node ibuf_addr_in = mux(io.ldst_dual_r, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 224:25] + node _T_878 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 225:42] + node _T_879 = bits(ibuf_byteen, 3, 0) @[lsu_bus_buffer.scala 225:70] + node _T_880 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 225:95] + node _T_881 = or(_T_879, _T_880) @[lsu_bus_buffer.scala 225:77] + node _T_882 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 226:41] + node _T_883 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 226:65] + node _T_884 = mux(io.ldst_dual_r, _T_882, _T_883) @[lsu_bus_buffer.scala 226:8] + node ibuf_byteen_in = mux(_T_878, _T_881, _T_884) @[lsu_bus_buffer.scala 225:27] + node _T_885 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 229:61] + node _T_886 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 230:25] + node _T_887 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 230:45] + node _T_888 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 230:76] + node _T_889 = mux(_T_886, _T_887, _T_888) @[lsu_bus_buffer.scala 230:8] + node _T_890 = bits(store_data_hi_r, 7, 0) @[lsu_bus_buffer.scala 231:40] + node _T_891 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 231:77] + node _T_892 = mux(io.ldst_dual_r, _T_890, _T_891) @[lsu_bus_buffer.scala 231:8] + node _T_893 = mux(_T_885, _T_889, _T_892) @[lsu_bus_buffer.scala 229:46] + node _T_894 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 229:61] + node _T_895 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 230:25] + node _T_896 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 230:45] + node _T_897 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 230:76] + node _T_898 = mux(_T_895, _T_896, _T_897) @[lsu_bus_buffer.scala 230:8] + node _T_899 = bits(store_data_hi_r, 15, 8) @[lsu_bus_buffer.scala 231:40] + node _T_900 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 231:77] + node _T_901 = mux(io.ldst_dual_r, _T_899, _T_900) @[lsu_bus_buffer.scala 231:8] + node _T_902 = mux(_T_894, _T_898, _T_901) @[lsu_bus_buffer.scala 229:46] + node _T_903 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 229:61] + node _T_904 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 230:25] + node _T_905 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 230:45] + node _T_906 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 230:76] + node _T_907 = mux(_T_904, _T_905, _T_906) @[lsu_bus_buffer.scala 230:8] + node _T_908 = bits(store_data_hi_r, 23, 16) @[lsu_bus_buffer.scala 231:40] + node _T_909 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 231:77] + node _T_910 = mux(io.ldst_dual_r, _T_908, _T_909) @[lsu_bus_buffer.scala 231:8] + node _T_911 = mux(_T_903, _T_907, _T_910) @[lsu_bus_buffer.scala 229:46] + node _T_912 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 229:61] + node _T_913 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 230:25] + node _T_914 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 230:45] + node _T_915 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 230:76] + node _T_916 = mux(_T_913, _T_914, _T_915) @[lsu_bus_buffer.scala 230:8] + node _T_917 = bits(store_data_hi_r, 31, 24) @[lsu_bus_buffer.scala 231:40] + node _T_918 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 231:77] + node _T_919 = mux(io.ldst_dual_r, _T_917, _T_918) @[lsu_bus_buffer.scala 231:8] + node _T_920 = mux(_T_912, _T_916, _T_919) @[lsu_bus_buffer.scala 229:46] + node _T_921 = cat(_T_920, _T_911) @[Cat.scala 29:58] + node _T_922 = cat(_T_921, _T_902) @[Cat.scala 29:58] + node ibuf_data_in = cat(_T_922, _T_893) @[Cat.scala 29:58] + node _T_923 = lt(ibuf_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 232:59] + node _T_924 = bits(_T_923, 0, 0) @[lsu_bus_buffer.scala 232:79] + node _T_925 = add(ibuf_timer, UInt<1>("h01")) @[lsu_bus_buffer.scala 232:93] + node _T_926 = tail(_T_925, 1) @[lsu_bus_buffer.scala 232:93] + node _T_927 = mux(_T_924, _T_926, ibuf_timer) @[lsu_bus_buffer.scala 232:47] + node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_927) @[lsu_bus_buffer.scala 232:26] + node _T_928 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 234:36] + node _T_929 = and(_T_928, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 234:54] + node _T_930 = and(_T_929, ibuf_valid) @[lsu_bus_buffer.scala 234:80] + node _T_931 = and(_T_930, ibuf_write) @[lsu_bus_buffer.scala 234:93] + node _T_932 = bits(io.lsu_addr_r, 31, 2) @[lsu_bus_buffer.scala 234:122] + node _T_933 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 234:142] + node _T_934 = eq(_T_932, _T_933) @[lsu_bus_buffer.scala 234:129] + node _T_935 = and(_T_931, _T_934) @[lsu_bus_buffer.scala 234:106] + node _T_936 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 234:152] + node _T_937 = and(_T_935, _T_936) @[lsu_bus_buffer.scala 234:150] + node _T_938 = eq(bus_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 234:175] + node _T_939 = and(_T_937, _T_938) @[lsu_bus_buffer.scala 234:173] + ibuf_merge_en <= _T_939 @[lsu_bus_buffer.scala 234:17] + node _T_940 = eq(io.ldst_dual_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 235:20] + ibuf_merge_in <= _T_940 @[lsu_bus_buffer.scala 235:17] + node _T_941 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 236:65] + node _T_942 = and(ibuf_merge_en, _T_941) @[lsu_bus_buffer.scala 236:63] + node _T_943 = bits(ibuf_byteen, 0, 0) @[lsu_bus_buffer.scala 236:92] + node _T_944 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 236:114] + node _T_945 = or(_T_943, _T_944) @[lsu_bus_buffer.scala 236:96] + node _T_946 = bits(ibuf_byteen, 0, 0) @[lsu_bus_buffer.scala 236:130] + node _T_947 = mux(_T_942, _T_945, _T_946) @[lsu_bus_buffer.scala 236:48] + node _T_948 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 236:65] + node _T_949 = and(ibuf_merge_en, _T_948) @[lsu_bus_buffer.scala 236:63] + node _T_950 = bits(ibuf_byteen, 1, 1) @[lsu_bus_buffer.scala 236:92] + node _T_951 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 236:114] + node _T_952 = or(_T_950, _T_951) @[lsu_bus_buffer.scala 236:96] + node _T_953 = bits(ibuf_byteen, 1, 1) @[lsu_bus_buffer.scala 236:130] + node _T_954 = mux(_T_949, _T_952, _T_953) @[lsu_bus_buffer.scala 236:48] + node _T_955 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 236:65] + node _T_956 = and(ibuf_merge_en, _T_955) @[lsu_bus_buffer.scala 236:63] + node _T_957 = bits(ibuf_byteen, 2, 2) @[lsu_bus_buffer.scala 236:92] + node _T_958 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 236:114] + node _T_959 = or(_T_957, _T_958) @[lsu_bus_buffer.scala 236:96] + node _T_960 = bits(ibuf_byteen, 2, 2) @[lsu_bus_buffer.scala 236:130] + node _T_961 = mux(_T_956, _T_959, _T_960) @[lsu_bus_buffer.scala 236:48] + node _T_962 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 236:65] + node _T_963 = and(ibuf_merge_en, _T_962) @[lsu_bus_buffer.scala 236:63] + node _T_964 = bits(ibuf_byteen, 3, 3) @[lsu_bus_buffer.scala 236:92] + node _T_965 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 236:114] + node _T_966 = or(_T_964, _T_965) @[lsu_bus_buffer.scala 236:96] + node _T_967 = bits(ibuf_byteen, 3, 3) @[lsu_bus_buffer.scala 236:130] + node _T_968 = mux(_T_963, _T_966, _T_967) @[lsu_bus_buffer.scala 236:48] + node _T_969 = cat(_T_968, _T_961) @[Cat.scala 29:58] + node _T_970 = cat(_T_969, _T_954) @[Cat.scala 29:58] + node ibuf_byteen_out = cat(_T_970, _T_947) @[Cat.scala 29:58] + node _T_971 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 237:62] + node _T_972 = and(ibuf_merge_en, _T_971) @[lsu_bus_buffer.scala 237:60] + node _T_973 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 237:98] + node _T_974 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 237:118] + node _T_975 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 237:143] + node _T_976 = mux(_T_973, _T_974, _T_975) @[lsu_bus_buffer.scala 237:81] + node _T_977 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 237:169] + node _T_978 = mux(_T_972, _T_976, _T_977) @[lsu_bus_buffer.scala 237:45] + node _T_979 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 237:62] + node _T_980 = and(ibuf_merge_en, _T_979) @[lsu_bus_buffer.scala 237:60] + node _T_981 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 237:98] + node _T_982 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 237:118] + node _T_983 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 237:143] + node _T_984 = mux(_T_981, _T_982, _T_983) @[lsu_bus_buffer.scala 237:81] + node _T_985 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 237:169] + node _T_986 = mux(_T_980, _T_984, _T_985) @[lsu_bus_buffer.scala 237:45] + node _T_987 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 237:62] + node _T_988 = and(ibuf_merge_en, _T_987) @[lsu_bus_buffer.scala 237:60] + node _T_989 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 237:98] + node _T_990 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 237:118] + node _T_991 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 237:143] + node _T_992 = mux(_T_989, _T_990, _T_991) @[lsu_bus_buffer.scala 237:81] + node _T_993 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 237:169] + node _T_994 = mux(_T_988, _T_992, _T_993) @[lsu_bus_buffer.scala 237:45] + node _T_995 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 237:62] + node _T_996 = and(ibuf_merge_en, _T_995) @[lsu_bus_buffer.scala 237:60] + node _T_997 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 237:98] + node _T_998 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 237:118] + node _T_999 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 237:143] + node _T_1000 = mux(_T_997, _T_998, _T_999) @[lsu_bus_buffer.scala 237:81] + node _T_1001 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 237:169] + node _T_1002 = mux(_T_996, _T_1000, _T_1001) @[lsu_bus_buffer.scala 237:45] + node _T_1003 = cat(_T_1002, _T_994) @[Cat.scala 29:58] + node _T_1004 = cat(_T_1003, _T_986) @[Cat.scala 29:58] + node ibuf_data_out = cat(_T_1004, _T_978) @[Cat.scala 29:58] + node _T_1005 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[lsu_bus_buffer.scala 239:58] + node _T_1006 = eq(ibuf_rst, UInt<1>("h00")) @[lsu_bus_buffer.scala 239:93] + node _T_1007 = and(_T_1005, _T_1006) @[lsu_bus_buffer.scala 239:91] + reg _T_1008 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 239:54] + _T_1008 <= _T_1007 @[lsu_bus_buffer.scala 239:54] + ibuf_valid <= _T_1008 @[lsu_bus_buffer.scala 239:14] + reg _T_1009 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + _T_1009 <= ibuf_tag_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ibuf_tag <= _T_1009 @[lsu_bus_buffer.scala 240:12] + reg ibuf_dualtag : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + ibuf_dualtag <= WrPtr0_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg ibuf_dual : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + ibuf_dual <= io.ldst_dual_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg ibuf_samedw : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + ibuf_samedw <= ldst_samedw_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg ibuf_nomerge : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + ibuf_nomerge <= io.no_dword_merge_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg _T_1010 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + _T_1010 <= io.is_sideeffects_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ibuf_sideeffect <= _T_1010 @[lsu_bus_buffer.scala 245:19] + reg ibuf_unsign : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + ibuf_unsign <= io.lsu_pkt_r.bits.unsign @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg _T_1011 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + _T_1011 <= io.lsu_pkt_r.bits.store @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ibuf_write <= _T_1011 @[lsu_bus_buffer.scala 247:14] + reg ibuf_sz : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + ibuf_sz <= ibuf_sz_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + inst rvclkhdr of rvclkhdr @[lib.scala 368:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 370:18] + rvclkhdr.io.en <= ibuf_wr_en @[lib.scala 371:17] + rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_1012 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1012 <= ibuf_addr_in @[lib.scala 374:16] + ibuf_addr <= _T_1012 @[lsu_bus_buffer.scala 249:13] + reg _T_1013 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + _T_1013 <= ibuf_byteen_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ibuf_byteen <= _T_1013 @[lsu_bus_buffer.scala 250:15] + inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 368:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_1.io.en <= ibuf_wr_en @[lib.scala 371:17] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_1014 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1014 <= ibuf_data_in @[lib.scala 374:16] + ibuf_data <= _T_1014 @[lsu_bus_buffer.scala 251:13] + reg _T_1015 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 252:55] + _T_1015 <= ibuf_timer_in @[lsu_bus_buffer.scala 252:55] + ibuf_timer <= _T_1015 @[lsu_bus_buffer.scala 252:14] + wire buf_numvld_wrcmd_any : UInt<4> + buf_numvld_wrcmd_any <= UInt<1>("h00") + wire buf_numvld_cmd_any : UInt<4> + buf_numvld_cmd_any <= UInt<1>("h00") + wire obuf_wr_timer : UInt<3> + obuf_wr_timer <= UInt<1>("h00") + wire buf_nomerge : UInt<1>[4] @[lsu_bus_buffer.scala 256:25] + buf_nomerge[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 257:15] + buf_nomerge[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 257:15] + buf_nomerge[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 257:15] + buf_nomerge[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 257:15] + wire buf_sideeffect : UInt<4> + buf_sideeffect <= UInt<1>("h00") + wire obuf_force_wr_en : UInt<1> + obuf_force_wr_en <= UInt<1>("h00") + wire obuf_wr_en : UInt<1> + obuf_wr_en <= UInt<1>("h00") + node _T_1016 = eq(buf_numvld_wrcmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 262:43] + node _T_1017 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 262:72] + node _T_1018 = and(_T_1016, _T_1017) @[lsu_bus_buffer.scala 262:51] + node _T_1019 = neq(obuf_wr_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 262:97] + node _T_1020 = and(_T_1018, _T_1019) @[lsu_bus_buffer.scala 262:80] + node _T_1021 = eq(bus_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 263:5] + node _T_1022 = and(_T_1020, _T_1021) @[lsu_bus_buffer.scala 262:114] + node _T_1023 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 263:114] + node _T_1024 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 263:114] + node _T_1025 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 263:114] + node _T_1026 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 263:114] + node _T_1027 = mux(_T_1023, buf_nomerge[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1028 = mux(_T_1024, buf_nomerge[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1029 = mux(_T_1025, buf_nomerge[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1030 = mux(_T_1026, buf_nomerge[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1031 = or(_T_1027, _T_1028) @[Mux.scala 27:72] + node _T_1032 = or(_T_1031, _T_1029) @[Mux.scala 27:72] + node _T_1033 = or(_T_1032, _T_1030) @[Mux.scala 27:72] + wire _T_1034 : UInt<1> @[Mux.scala 27:72] + _T_1034 <= _T_1033 @[Mux.scala 27:72] + node _T_1035 = eq(_T_1034, UInt<1>("h00")) @[lsu_bus_buffer.scala 263:31] + node _T_1036 = and(_T_1022, _T_1035) @[lsu_bus_buffer.scala 263:29] + node _T_1037 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 264:88] + node _T_1038 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 264:111] + node _T_1039 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 264:88] + node _T_1040 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 264:111] + node _T_1041 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 264:88] + node _T_1042 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 264:111] + node _T_1043 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 264:88] + node _T_1044 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 264:111] + node _T_1045 = mux(_T_1037, _T_1038, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1046 = mux(_T_1039, _T_1040, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1047 = mux(_T_1041, _T_1042, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1048 = mux(_T_1043, _T_1044, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1049 = or(_T_1045, _T_1046) @[Mux.scala 27:72] + node _T_1050 = or(_T_1049, _T_1047) @[Mux.scala 27:72] + node _T_1051 = or(_T_1050, _T_1048) @[Mux.scala 27:72] + wire _T_1052 : UInt<1> @[Mux.scala 27:72] + _T_1052 <= _T_1051 @[Mux.scala 27:72] + node _T_1053 = eq(_T_1052, UInt<1>("h00")) @[lsu_bus_buffer.scala 264:5] + node _T_1054 = and(_T_1036, _T_1053) @[lsu_bus_buffer.scala 263:140] + node _T_1055 = eq(obuf_force_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 264:119] + node obuf_wr_wait = and(_T_1054, _T_1055) @[lsu_bus_buffer.scala 264:117] + node _T_1056 = orr(buf_numvld_cmd_any) @[lsu_bus_buffer.scala 265:75] + node _T_1057 = lt(obuf_wr_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 265:95] + node _T_1058 = and(_T_1056, _T_1057) @[lsu_bus_buffer.scala 265:79] + node _T_1059 = add(obuf_wr_timer, UInt<1>("h01")) @[lsu_bus_buffer.scala 265:123] + node _T_1060 = tail(_T_1059, 1) @[lsu_bus_buffer.scala 265:123] + node _T_1061 = mux(_T_1058, _T_1060, obuf_wr_timer) @[lsu_bus_buffer.scala 265:55] + node obuf_wr_timer_in = mux(obuf_wr_en, UInt<3>("h00"), _T_1061) @[lsu_bus_buffer.scala 265:29] + node _T_1062 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 266:41] + node _T_1063 = and(io.lsu_busreq_m, _T_1062) @[lsu_bus_buffer.scala 266:39] + node _T_1064 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 266:60] + node _T_1065 = and(_T_1063, _T_1064) @[lsu_bus_buffer.scala 266:58] + node _T_1066 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 266:93] + node _T_1067 = and(_T_1065, _T_1066) @[lsu_bus_buffer.scala 266:72] + node _T_1068 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 266:117] + node _T_1069 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 266:208] + node _T_1070 = bits(buf_addr[0], 31, 2) @[lsu_bus_buffer.scala 266:228] + node _T_1071 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 266:208] + node _T_1072 = bits(buf_addr[1], 31, 2) @[lsu_bus_buffer.scala 266:228] + node _T_1073 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 266:208] + node _T_1074 = bits(buf_addr[2], 31, 2) @[lsu_bus_buffer.scala 266:228] + node _T_1075 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 266:208] + node _T_1076 = bits(buf_addr[3], 31, 2) @[lsu_bus_buffer.scala 266:228] + node _T_1077 = mux(_T_1069, _T_1070, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1078 = mux(_T_1071, _T_1072, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1079 = mux(_T_1073, _T_1074, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1080 = mux(_T_1075, _T_1076, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1081 = or(_T_1077, _T_1078) @[Mux.scala 27:72] + node _T_1082 = or(_T_1081, _T_1079) @[Mux.scala 27:72] + node _T_1083 = or(_T_1082, _T_1080) @[Mux.scala 27:72] + wire _T_1084 : UInt<30> @[Mux.scala 27:72] + _T_1084 <= _T_1083 @[Mux.scala 27:72] + node _T_1085 = neq(_T_1068, _T_1084) @[lsu_bus_buffer.scala 266:123] + node _T_1086 = and(_T_1067, _T_1085) @[lsu_bus_buffer.scala 266:101] + obuf_force_wr_en <= _T_1086 @[lsu_bus_buffer.scala 266:20] + wire buf_numvld_pend_any : UInt<4> + buf_numvld_pend_any <= UInt<1>("h00") + node _T_1087 = eq(buf_numvld_pend_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 268:53] + node _T_1088 = and(ibuf_byp, _T_1087) @[lsu_bus_buffer.scala 268:31] + node _T_1089 = eq(io.lsu_pkt_r.bits.store, UInt<1>("h00")) @[lsu_bus_buffer.scala 268:64] + node _T_1090 = or(_T_1089, io.no_dword_merge_r) @[lsu_bus_buffer.scala 268:89] + node ibuf_buf_byp = and(_T_1088, _T_1090) @[lsu_bus_buffer.scala 268:61] + wire bus_sideeffect_pend : UInt<1> + bus_sideeffect_pend <= UInt<1>("h00") + wire found_cmdptr0 : UInt<1> + found_cmdptr0 <= UInt<1>("h00") + wire buf_cmd_state_bus_en : UInt<1>[4] @[lsu_bus_buffer.scala 271:34] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 272:24] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 272:24] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 272:24] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 272:24] + wire buf_dual : UInt<1>[4] @[lsu_bus_buffer.scala 273:22] + buf_dual[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 274:12] + buf_dual[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 274:12] + buf_dual[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 274:12] + buf_dual[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 274:12] + wire buf_samedw : UInt<1>[4] @[lsu_bus_buffer.scala 275:24] + buf_samedw[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 276:14] + buf_samedw[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 276:14] + buf_samedw[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 276:14] + buf_samedw[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 276:14] + wire found_cmdptr1 : UInt<1> + found_cmdptr1 <= UInt<1>("h00") + wire bus_cmd_ready : UInt<1> + bus_cmd_ready <= UInt<1>("h00") + wire obuf_valid : UInt<1> + obuf_valid <= UInt<1>("h00") + wire obuf_nosend : UInt<1> + obuf_nosend <= UInt<1>("h00") + wire lsu_bus_cntr_overflow : UInt<1> + lsu_bus_cntr_overflow <= UInt<1>("h00") + wire bus_addr_match_pending : UInt<1> + bus_addr_match_pending <= UInt<1>("h00") + node _T_1091 = and(ibuf_buf_byp, io.lsu_commit_r) @[lsu_bus_buffer.scala 283:32] + node _T_1092 = and(io.is_sideeffects_r, bus_sideeffect_pend) @[lsu_bus_buffer.scala 283:74] + node _T_1093 = eq(_T_1092, UInt<1>("h00")) @[lsu_bus_buffer.scala 283:52] + node _T_1094 = and(_T_1091, _T_1093) @[lsu_bus_buffer.scala 283:50] + node _T_1095 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1096 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1097 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1098 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1099 = mux(_T_1095, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1100 = mux(_T_1096, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1101 = mux(_T_1097, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1102 = mux(_T_1098, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1103 = or(_T_1099, _T_1100) @[Mux.scala 27:72] + node _T_1104 = or(_T_1103, _T_1101) @[Mux.scala 27:72] + node _T_1105 = or(_T_1104, _T_1102) @[Mux.scala 27:72] + wire _T_1106 : UInt<3> @[Mux.scala 27:72] + _T_1106 <= _T_1105 @[Mux.scala 27:72] + node _T_1107 = eq(_T_1106, UInt<3>("h02")) @[lsu_bus_buffer.scala 284:36] + node _T_1108 = and(_T_1107, found_cmdptr0) @[lsu_bus_buffer.scala 284:47] + node _T_1109 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] + node _T_1110 = cat(_T_1109, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] + node _T_1111 = cat(_T_1110, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] + node _T_1112 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1113 = bits(_T_1111, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1114 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1115 = bits(_T_1111, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1116 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1117 = bits(_T_1111, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1118 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1119 = bits(_T_1111, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1120 = mux(_T_1112, _T_1113, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1121 = mux(_T_1114, _T_1115, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1122 = mux(_T_1116, _T_1117, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1123 = mux(_T_1118, _T_1119, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1124 = or(_T_1120, _T_1121) @[Mux.scala 27:72] + node _T_1125 = or(_T_1124, _T_1122) @[Mux.scala 27:72] + node _T_1126 = or(_T_1125, _T_1123) @[Mux.scala 27:72] + wire _T_1127 : UInt<1> @[Mux.scala 27:72] + _T_1127 <= _T_1126 @[Mux.scala 27:72] + node _T_1128 = eq(_T_1127, UInt<1>("h00")) @[lsu_bus_buffer.scala 285:23] + node _T_1129 = and(_T_1108, _T_1128) @[lsu_bus_buffer.scala 285:21] + node _T_1130 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1131 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1132 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1133 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1134 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1135 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1136 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1137 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1138 = mux(_T_1130, _T_1131, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1139 = mux(_T_1132, _T_1133, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1140 = mux(_T_1134, _T_1135, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1141 = mux(_T_1136, _T_1137, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1142 = or(_T_1138, _T_1139) @[Mux.scala 27:72] + node _T_1143 = or(_T_1142, _T_1140) @[Mux.scala 27:72] + node _T_1144 = or(_T_1143, _T_1141) @[Mux.scala 27:72] + wire _T_1145 : UInt<1> @[Mux.scala 27:72] + _T_1145 <= _T_1144 @[Mux.scala 27:72] + node _T_1146 = and(_T_1145, bus_sideeffect_pend) @[lsu_bus_buffer.scala 285:141] + node _T_1147 = eq(_T_1146, UInt<1>("h00")) @[lsu_bus_buffer.scala 285:105] + node _T_1148 = and(_T_1129, _T_1147) @[lsu_bus_buffer.scala 285:103] + node _T_1149 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_1150 = cat(_T_1149, buf_dual[1]) @[Cat.scala 29:58] + node _T_1151 = cat(_T_1150, buf_dual[0]) @[Cat.scala 29:58] + node _T_1152 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1153 = bits(_T_1151, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1154 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1155 = bits(_T_1151, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1156 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1157 = bits(_T_1151, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1158 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1159 = bits(_T_1151, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1160 = mux(_T_1152, _T_1153, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1161 = mux(_T_1154, _T_1155, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1162 = mux(_T_1156, _T_1157, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1163 = mux(_T_1158, _T_1159, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1164 = or(_T_1160, _T_1161) @[Mux.scala 27:72] + node _T_1165 = or(_T_1164, _T_1162) @[Mux.scala 27:72] + node _T_1166 = or(_T_1165, _T_1163) @[Mux.scala 27:72] + wire _T_1167 : UInt<1> @[Mux.scala 27:72] + _T_1167 <= _T_1166 @[Mux.scala 27:72] + node _T_1168 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] + node _T_1169 = cat(_T_1168, buf_samedw[1]) @[Cat.scala 29:58] + node _T_1170 = cat(_T_1169, buf_samedw[0]) @[Cat.scala 29:58] + node _T_1171 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1172 = bits(_T_1170, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1173 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1174 = bits(_T_1170, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1175 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1176 = bits(_T_1170, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1177 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1178 = bits(_T_1170, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1179 = mux(_T_1171, _T_1172, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1180 = mux(_T_1173, _T_1174, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1181 = mux(_T_1175, _T_1176, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1182 = mux(_T_1177, _T_1178, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1183 = or(_T_1179, _T_1180) @[Mux.scala 27:72] + node _T_1184 = or(_T_1183, _T_1181) @[Mux.scala 27:72] + node _T_1185 = or(_T_1184, _T_1182) @[Mux.scala 27:72] + wire _T_1186 : UInt<1> @[Mux.scala 27:72] + _T_1186 <= _T_1185 @[Mux.scala 27:72] + node _T_1187 = and(_T_1167, _T_1186) @[lsu_bus_buffer.scala 286:77] + node _T_1188 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1189 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1190 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1191 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1192 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1193 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1194 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1195 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1196 = mux(_T_1188, _T_1189, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1197 = mux(_T_1190, _T_1191, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1198 = mux(_T_1192, _T_1193, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1199 = mux(_T_1194, _T_1195, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1200 = or(_T_1196, _T_1197) @[Mux.scala 27:72] + node _T_1201 = or(_T_1200, _T_1198) @[Mux.scala 27:72] + node _T_1202 = or(_T_1201, _T_1199) @[Mux.scala 27:72] + wire _T_1203 : UInt<1> @[Mux.scala 27:72] + _T_1203 <= _T_1202 @[Mux.scala 27:72] + node _T_1204 = eq(_T_1203, UInt<1>("h00")) @[lsu_bus_buffer.scala 286:150] + node _T_1205 = and(_T_1187, _T_1204) @[lsu_bus_buffer.scala 286:148] + node _T_1206 = eq(_T_1205, UInt<1>("h00")) @[lsu_bus_buffer.scala 286:8] + node _T_1207 = or(_T_1206, found_cmdptr1) @[lsu_bus_buffer.scala 286:181] + node _T_1208 = cat(buf_nomerge[3], buf_nomerge[2]) @[Cat.scala 29:58] + node _T_1209 = cat(_T_1208, buf_nomerge[1]) @[Cat.scala 29:58] + node _T_1210 = cat(_T_1209, buf_nomerge[0]) @[Cat.scala 29:58] + node _T_1211 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1212 = bits(_T_1210, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1213 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1214 = bits(_T_1210, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1215 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1216 = bits(_T_1210, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1217 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1218 = bits(_T_1210, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1219 = mux(_T_1211, _T_1212, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1220 = mux(_T_1213, _T_1214, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1221 = mux(_T_1215, _T_1216, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1222 = mux(_T_1217, _T_1218, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1223 = or(_T_1219, _T_1220) @[Mux.scala 27:72] + node _T_1224 = or(_T_1223, _T_1221) @[Mux.scala 27:72] + node _T_1225 = or(_T_1224, _T_1222) @[Mux.scala 27:72] + wire _T_1226 : UInt<1> @[Mux.scala 27:72] + _T_1226 <= _T_1225 @[Mux.scala 27:72] + node _T_1227 = or(_T_1207, _T_1226) @[lsu_bus_buffer.scala 286:197] + node _T_1228 = or(_T_1227, obuf_force_wr_en) @[lsu_bus_buffer.scala 286:269] + node _T_1229 = and(_T_1148, _T_1228) @[lsu_bus_buffer.scala 285:164] + node _T_1230 = or(_T_1094, _T_1229) @[lsu_bus_buffer.scala 283:98] + node _T_1231 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 287:48] + node _T_1232 = or(bus_cmd_ready, _T_1231) @[lsu_bus_buffer.scala 287:46] + node _T_1233 = or(_T_1232, obuf_nosend) @[lsu_bus_buffer.scala 287:60] + node _T_1234 = and(_T_1230, _T_1233) @[lsu_bus_buffer.scala 287:29] + node _T_1235 = eq(obuf_wr_wait, UInt<1>("h00")) @[lsu_bus_buffer.scala 287:77] + node _T_1236 = and(_T_1234, _T_1235) @[lsu_bus_buffer.scala 287:75] + node _T_1237 = eq(lsu_bus_cntr_overflow, UInt<1>("h00")) @[lsu_bus_buffer.scala 287:93] + node _T_1238 = and(_T_1236, _T_1237) @[lsu_bus_buffer.scala 287:91] + node _T_1239 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 287:118] + node _T_1240 = and(_T_1238, _T_1239) @[lsu_bus_buffer.scala 287:116] + node _T_1241 = and(_T_1240, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 287:142] + obuf_wr_en <= _T_1241 @[lsu_bus_buffer.scala 283:14] + wire bus_cmd_sent : UInt<1> + bus_cmd_sent <= UInt<1>("h00") + node _T_1242 = and(obuf_valid, obuf_nosend) @[lsu_bus_buffer.scala 289:47] + node _T_1243 = or(bus_cmd_sent, _T_1242) @[lsu_bus_buffer.scala 289:33] + node _T_1244 = eq(obuf_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 289:65] + node _T_1245 = and(_T_1243, _T_1244) @[lsu_bus_buffer.scala 289:63] + node _T_1246 = and(_T_1245, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 289:77] + node obuf_rst = or(_T_1246, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 289:98] + node _T_1247 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1248 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1249 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1250 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1251 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1252 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1253 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1254 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1255 = mux(_T_1247, _T_1248, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1256 = mux(_T_1249, _T_1250, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1257 = mux(_T_1251, _T_1252, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1258 = mux(_T_1253, _T_1254, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1259 = or(_T_1255, _T_1256) @[Mux.scala 27:72] + node _T_1260 = or(_T_1259, _T_1257) @[Mux.scala 27:72] + node _T_1261 = or(_T_1260, _T_1258) @[Mux.scala 27:72] + wire _T_1262 : UInt<1> @[Mux.scala 27:72] + _T_1262 <= _T_1261 @[Mux.scala 27:72] + node obuf_write_in = mux(ibuf_buf_byp, io.lsu_pkt_r.bits.store, _T_1262) @[lsu_bus_buffer.scala 290:26] + node _T_1263 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1264 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1265 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1266 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1267 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1268 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1269 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1270 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1271 = mux(_T_1263, _T_1264, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1272 = mux(_T_1265, _T_1266, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1273 = mux(_T_1267, _T_1268, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1274 = mux(_T_1269, _T_1270, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1275 = or(_T_1271, _T_1272) @[Mux.scala 27:72] + node _T_1276 = or(_T_1275, _T_1273) @[Mux.scala 27:72] + node _T_1277 = or(_T_1276, _T_1274) @[Mux.scala 27:72] + wire _T_1278 : UInt<1> @[Mux.scala 27:72] + _T_1278 <= _T_1277 @[Mux.scala 27:72] + node obuf_sideeffect_in = mux(ibuf_buf_byp, io.is_sideeffects_r, _T_1278) @[lsu_bus_buffer.scala 291:31] + node _T_1279 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1280 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1281 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1282 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1283 = mux(_T_1279, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1284 = mux(_T_1280, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1285 = mux(_T_1281, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1286 = mux(_T_1282, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1287 = or(_T_1283, _T_1284) @[Mux.scala 27:72] + node _T_1288 = or(_T_1287, _T_1285) @[Mux.scala 27:72] + node _T_1289 = or(_T_1288, _T_1286) @[Mux.scala 27:72] + wire _T_1290 : UInt<32> @[Mux.scala 27:72] + _T_1290 <= _T_1289 @[Mux.scala 27:72] + node obuf_addr_in = mux(ibuf_buf_byp, io.lsu_addr_r, _T_1290) @[lsu_bus_buffer.scala 292:25] + wire buf_sz : UInt<2>[4] @[lsu_bus_buffer.scala 293:20] + buf_sz[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 294:10] + buf_sz[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 294:10] + buf_sz[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 294:10] + buf_sz[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 294:10] + node _T_1291 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node _T_1292 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1293 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1294 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1295 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1296 = mux(_T_1292, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1297 = mux(_T_1293, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1298 = mux(_T_1294, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1299 = mux(_T_1295, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1300 = or(_T_1296, _T_1297) @[Mux.scala 27:72] + node _T_1301 = or(_T_1300, _T_1298) @[Mux.scala 27:72] + node _T_1302 = or(_T_1301, _T_1299) @[Mux.scala 27:72] + wire _T_1303 : UInt<2> @[Mux.scala 27:72] + _T_1303 <= _T_1302 @[Mux.scala 27:72] + node obuf_sz_in = mux(ibuf_buf_byp, _T_1291, _T_1303) @[lsu_bus_buffer.scala 295:23] + wire obuf_merge_en : UInt<1> + obuf_merge_en <= UInt<1>("h00") + node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, CmdPtr0) @[lsu_bus_buffer.scala 298:25] + node obuf_tag1_in = mux(ibuf_buf_byp, WrPtr1_r, CmdPtr1) @[lsu_bus_buffer.scala 300:25] + wire obuf_cmd_done : UInt<1> + obuf_cmd_done <= UInt<1>("h00") + wire bus_wcmd_sent : UInt<1> + bus_wcmd_sent <= UInt<1>("h00") + node _T_1304 = or(obuf_wr_en, obuf_rst) @[lsu_bus_buffer.scala 303:39] + node _T_1305 = eq(_T_1304, UInt<1>("h00")) @[lsu_bus_buffer.scala 303:26] + node _T_1306 = or(obuf_cmd_done, bus_wcmd_sent) @[lsu_bus_buffer.scala 303:68] + node obuf_cmd_done_in = and(_T_1305, _T_1306) @[lsu_bus_buffer.scala 303:51] + wire obuf_data_done : UInt<1> + obuf_data_done <= UInt<1>("h00") + wire bus_wdata_sent : UInt<1> + bus_wdata_sent <= UInt<1>("h00") + node _T_1307 = or(obuf_wr_en, obuf_rst) @[lsu_bus_buffer.scala 306:40] + node _T_1308 = eq(_T_1307, UInt<1>("h00")) @[lsu_bus_buffer.scala 306:27] + node _T_1309 = or(obuf_data_done, bus_wdata_sent) @[lsu_bus_buffer.scala 306:70] + node obuf_data_done_in = and(_T_1308, _T_1309) @[lsu_bus_buffer.scala 306:52] + node _T_1310 = bits(obuf_sz_in, 1, 0) @[lsu_bus_buffer.scala 307:67] + node _T_1311 = eq(_T_1310, UInt<1>("h00")) @[lsu_bus_buffer.scala 307:72] + node _T_1312 = bits(obuf_sz_in, 0, 0) @[lsu_bus_buffer.scala 307:92] + node _T_1313 = bits(obuf_addr_in, 0, 0) @[lsu_bus_buffer.scala 307:111] + node _T_1314 = eq(_T_1313, UInt<1>("h00")) @[lsu_bus_buffer.scala 307:98] + node _T_1315 = and(_T_1312, _T_1314) @[lsu_bus_buffer.scala 307:96] + node _T_1316 = or(_T_1311, _T_1315) @[lsu_bus_buffer.scala 307:79] + node _T_1317 = bits(obuf_sz_in, 1, 1) @[lsu_bus_buffer.scala 307:129] + node _T_1318 = bits(obuf_addr_in, 1, 0) @[lsu_bus_buffer.scala 307:147] + node _T_1319 = orr(_T_1318) @[lsu_bus_buffer.scala 307:153] + node _T_1320 = eq(_T_1319, UInt<1>("h00")) @[lsu_bus_buffer.scala 307:134] + node _T_1321 = and(_T_1317, _T_1320) @[lsu_bus_buffer.scala 307:132] + node _T_1322 = or(_T_1316, _T_1321) @[lsu_bus_buffer.scala 307:116] + node obuf_aligned_in = mux(ibuf_buf_byp, is_aligned_r, _T_1322) @[lsu_bus_buffer.scala 307:28] + wire obuf_nosend_in : UInt<1> + obuf_nosend_in <= UInt<1>("h00") + wire obuf_rdrsp_pend : UInt<1> + obuf_rdrsp_pend <= UInt<1>("h00") + wire bus_rsp_read : UInt<1> + bus_rsp_read <= UInt<1>("h00") + wire bus_rsp_read_tag : UInt<3> + bus_rsp_read_tag <= UInt<1>("h00") + wire obuf_rdrsp_tag : UInt<3> + obuf_rdrsp_tag <= UInt<1>("h00") + wire obuf_write : UInt<1> + obuf_write <= UInt<1>("h00") + node _T_1323 = eq(obuf_nosend_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 315:44] + node _T_1324 = and(obuf_wr_en, _T_1323) @[lsu_bus_buffer.scala 315:42] + node _T_1325 = eq(_T_1324, UInt<1>("h00")) @[lsu_bus_buffer.scala 315:29] + node _T_1326 = and(_T_1325, obuf_rdrsp_pend) @[lsu_bus_buffer.scala 315:61] + node _T_1327 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 315:116] + node _T_1328 = and(bus_rsp_read, _T_1327) @[lsu_bus_buffer.scala 315:96] + node _T_1329 = eq(_T_1328, UInt<1>("h00")) @[lsu_bus_buffer.scala 315:81] + node _T_1330 = and(_T_1326, _T_1329) @[lsu_bus_buffer.scala 315:79] + node _T_1331 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 316:22] + node _T_1332 = and(bus_cmd_sent, _T_1331) @[lsu_bus_buffer.scala 316:20] + node _T_1333 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 316:37] + node _T_1334 = and(_T_1332, _T_1333) @[lsu_bus_buffer.scala 316:35] + node obuf_rdrsp_pend_in = or(_T_1330, _T_1334) @[lsu_bus_buffer.scala 315:138] + wire obuf_tag0 : UInt<3> + obuf_tag0 <= UInt<1>("h00") + node _T_1335 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 318:46] + node _T_1336 = and(bus_cmd_sent, _T_1335) @[lsu_bus_buffer.scala 318:44] + node obuf_rdrsp_tag_in = mux(_T_1336, obuf_tag0, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 318:30] + wire obuf_addr : UInt<32> + obuf_addr <= UInt<1>("h00") + wire obuf_sideeffect : UInt<1> + obuf_sideeffect <= UInt<1>("h00") + node _T_1337 = bits(obuf_addr_in, 31, 3) @[lsu_bus_buffer.scala 321:34] + node _T_1338 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 321:52] + node _T_1339 = eq(_T_1337, _T_1338) @[lsu_bus_buffer.scala 321:40] + node _T_1340 = and(_T_1339, obuf_aligned_in) @[lsu_bus_buffer.scala 321:60] + node _T_1341 = eq(obuf_sideeffect, UInt<1>("h00")) @[lsu_bus_buffer.scala 321:80] + node _T_1342 = and(_T_1340, _T_1341) @[lsu_bus_buffer.scala 321:78] + node _T_1343 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 321:99] + node _T_1344 = and(_T_1342, _T_1343) @[lsu_bus_buffer.scala 321:97] + node _T_1345 = eq(obuf_write_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 321:113] + node _T_1346 = and(_T_1344, _T_1345) @[lsu_bus_buffer.scala 321:111] + node _T_1347 = eq(io.tlu_busbuff.dec_tlu_external_ldfwd_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 321:130] + node _T_1348 = and(_T_1346, _T_1347) @[lsu_bus_buffer.scala 321:128] + node _T_1349 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 322:20] + node _T_1350 = and(obuf_valid, _T_1349) @[lsu_bus_buffer.scala 322:18] + node _T_1351 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 322:90] + node _T_1352 = and(bus_rsp_read, _T_1351) @[lsu_bus_buffer.scala 322:70] + node _T_1353 = eq(_T_1352, UInt<1>("h00")) @[lsu_bus_buffer.scala 322:55] + node _T_1354 = and(obuf_rdrsp_pend, _T_1353) @[lsu_bus_buffer.scala 322:53] + node _T_1355 = or(_T_1350, _T_1354) @[lsu_bus_buffer.scala 322:34] + node _T_1356 = and(_T_1348, _T_1355) @[lsu_bus_buffer.scala 321:177] + obuf_nosend_in <= _T_1356 @[lsu_bus_buffer.scala 321:18] + node _T_1357 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_buffer.scala 323:60] + node _T_1358 = cat(ldst_byteen_lo_r, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1359 = cat(UInt<4>("h00"), ldst_byteen_lo_r) @[Cat.scala 29:58] + node _T_1360 = mux(_T_1357, _T_1358, _T_1359) @[lsu_bus_buffer.scala 323:46] + node _T_1361 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1362 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1363 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1364 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1365 = mux(_T_1361, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1366 = mux(_T_1362, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1367 = mux(_T_1363, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1368 = mux(_T_1364, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1369 = or(_T_1365, _T_1366) @[Mux.scala 27:72] + node _T_1370 = or(_T_1369, _T_1367) @[Mux.scala 27:72] + node _T_1371 = or(_T_1370, _T_1368) @[Mux.scala 27:72] + wire _T_1372 : UInt<32> @[Mux.scala 27:72] + _T_1372 <= _T_1371 @[Mux.scala 27:72] + node _T_1373 = bits(_T_1372, 2, 2) @[lsu_bus_buffer.scala 324:36] + node _T_1374 = bits(_T_1373, 0, 0) @[lsu_bus_buffer.scala 324:46] + node _T_1375 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1376 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1377 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1378 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1379 = mux(_T_1375, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1380 = mux(_T_1376, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1381 = mux(_T_1377, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1382 = mux(_T_1378, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1383 = or(_T_1379, _T_1380) @[Mux.scala 27:72] + node _T_1384 = or(_T_1383, _T_1381) @[Mux.scala 27:72] + node _T_1385 = or(_T_1384, _T_1382) @[Mux.scala 27:72] + wire _T_1386 : UInt<4> @[Mux.scala 27:72] + _T_1386 <= _T_1385 @[Mux.scala 27:72] + node _T_1387 = cat(_T_1386, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1388 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1389 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1390 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1391 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1392 = mux(_T_1388, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1393 = mux(_T_1389, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1394 = mux(_T_1390, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1395 = mux(_T_1391, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1396 = or(_T_1392, _T_1393) @[Mux.scala 27:72] + node _T_1397 = or(_T_1396, _T_1394) @[Mux.scala 27:72] + node _T_1398 = or(_T_1397, _T_1395) @[Mux.scala 27:72] + wire _T_1399 : UInt<4> @[Mux.scala 27:72] + _T_1399 <= _T_1398 @[Mux.scala 27:72] + node _T_1400 = cat(UInt<4>("h00"), _T_1399) @[Cat.scala 29:58] + node _T_1401 = mux(_T_1374, _T_1387, _T_1400) @[lsu_bus_buffer.scala 324:8] + node obuf_byteen0_in = mux(ibuf_buf_byp, _T_1360, _T_1401) @[lsu_bus_buffer.scala 323:28] + node _T_1402 = bits(io.end_addr_r, 2, 2) @[lsu_bus_buffer.scala 325:60] + node _T_1403 = cat(ldst_byteen_hi_r, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1404 = cat(UInt<4>("h00"), ldst_byteen_hi_r) @[Cat.scala 29:58] + node _T_1405 = mux(_T_1402, _T_1403, _T_1404) @[lsu_bus_buffer.scala 325:46] + node _T_1406 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1407 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1408 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1409 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1410 = mux(_T_1406, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1411 = mux(_T_1407, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1412 = mux(_T_1408, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1413 = mux(_T_1409, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1414 = or(_T_1410, _T_1411) @[Mux.scala 27:72] + node _T_1415 = or(_T_1414, _T_1412) @[Mux.scala 27:72] + node _T_1416 = or(_T_1415, _T_1413) @[Mux.scala 27:72] + wire _T_1417 : UInt<32> @[Mux.scala 27:72] + _T_1417 <= _T_1416 @[Mux.scala 27:72] + node _T_1418 = bits(_T_1417, 2, 2) @[lsu_bus_buffer.scala 326:36] + node _T_1419 = bits(_T_1418, 0, 0) @[lsu_bus_buffer.scala 326:46] + node _T_1420 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1421 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1422 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1423 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1424 = mux(_T_1420, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1425 = mux(_T_1421, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1426 = mux(_T_1422, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1427 = mux(_T_1423, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1428 = or(_T_1424, _T_1425) @[Mux.scala 27:72] + node _T_1429 = or(_T_1428, _T_1426) @[Mux.scala 27:72] + node _T_1430 = or(_T_1429, _T_1427) @[Mux.scala 27:72] + wire _T_1431 : UInt<4> @[Mux.scala 27:72] + _T_1431 <= _T_1430 @[Mux.scala 27:72] + node _T_1432 = cat(_T_1431, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1433 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1434 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1435 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1436 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1437 = mux(_T_1433, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1438 = mux(_T_1434, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1439 = mux(_T_1435, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1440 = mux(_T_1436, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1441 = or(_T_1437, _T_1438) @[Mux.scala 27:72] + node _T_1442 = or(_T_1441, _T_1439) @[Mux.scala 27:72] + node _T_1443 = or(_T_1442, _T_1440) @[Mux.scala 27:72] + wire _T_1444 : UInt<4> @[Mux.scala 27:72] + _T_1444 <= _T_1443 @[Mux.scala 27:72] + node _T_1445 = cat(UInt<4>("h00"), _T_1444) @[Cat.scala 29:58] + node _T_1446 = mux(_T_1419, _T_1432, _T_1445) @[lsu_bus_buffer.scala 326:8] + node obuf_byteen1_in = mux(ibuf_buf_byp, _T_1405, _T_1446) @[lsu_bus_buffer.scala 325:28] + node _T_1447 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_buffer.scala 328:58] + node _T_1448 = cat(store_data_lo_r, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1449 = cat(UInt<32>("h00"), store_data_lo_r) @[Cat.scala 29:58] + node _T_1450 = mux(_T_1447, _T_1448, _T_1449) @[lsu_bus_buffer.scala 328:44] + node _T_1451 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1452 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1453 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1454 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1455 = mux(_T_1451, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1456 = mux(_T_1452, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1457 = mux(_T_1453, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1458 = mux(_T_1454, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1459 = or(_T_1455, _T_1456) @[Mux.scala 27:72] + node _T_1460 = or(_T_1459, _T_1457) @[Mux.scala 27:72] + node _T_1461 = or(_T_1460, _T_1458) @[Mux.scala 27:72] + wire _T_1462 : UInt<32> @[Mux.scala 27:72] + _T_1462 <= _T_1461 @[Mux.scala 27:72] + node _T_1463 = bits(_T_1462, 2, 2) @[lsu_bus_buffer.scala 329:36] + node _T_1464 = bits(_T_1463, 0, 0) @[lsu_bus_buffer.scala 329:46] + node _T_1465 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1466 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1467 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1468 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1469 = mux(_T_1465, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1470 = mux(_T_1466, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1471 = mux(_T_1467, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1472 = mux(_T_1468, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1473 = or(_T_1469, _T_1470) @[Mux.scala 27:72] + node _T_1474 = or(_T_1473, _T_1471) @[Mux.scala 27:72] + node _T_1475 = or(_T_1474, _T_1472) @[Mux.scala 27:72] + wire _T_1476 : UInt<32> @[Mux.scala 27:72] + _T_1476 <= _T_1475 @[Mux.scala 27:72] + node _T_1477 = cat(_T_1476, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1478 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1479 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1480 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1481 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1482 = mux(_T_1478, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1483 = mux(_T_1479, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1484 = mux(_T_1480, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1485 = mux(_T_1481, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1486 = or(_T_1482, _T_1483) @[Mux.scala 27:72] + node _T_1487 = or(_T_1486, _T_1484) @[Mux.scala 27:72] + node _T_1488 = or(_T_1487, _T_1485) @[Mux.scala 27:72] + wire _T_1489 : UInt<32> @[Mux.scala 27:72] + _T_1489 <= _T_1488 @[Mux.scala 27:72] + node _T_1490 = cat(UInt<32>("h00"), _T_1489) @[Cat.scala 29:58] + node _T_1491 = mux(_T_1464, _T_1477, _T_1490) @[lsu_bus_buffer.scala 329:8] + node obuf_data0_in = mux(ibuf_buf_byp, _T_1450, _T_1491) @[lsu_bus_buffer.scala 328:26] + node _T_1492 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_buffer.scala 330:58] + node _T_1493 = cat(store_data_hi_r, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1494 = cat(UInt<32>("h00"), store_data_hi_r) @[Cat.scala 29:58] + node _T_1495 = mux(_T_1492, _T_1493, _T_1494) @[lsu_bus_buffer.scala 330:44] + node _T_1496 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1497 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1498 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1499 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1500 = mux(_T_1496, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1501 = mux(_T_1497, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1502 = mux(_T_1498, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1503 = mux(_T_1499, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1504 = or(_T_1500, _T_1501) @[Mux.scala 27:72] + node _T_1505 = or(_T_1504, _T_1502) @[Mux.scala 27:72] + node _T_1506 = or(_T_1505, _T_1503) @[Mux.scala 27:72] + wire _T_1507 : UInt<32> @[Mux.scala 27:72] + _T_1507 <= _T_1506 @[Mux.scala 27:72] + node _T_1508 = bits(_T_1507, 2, 2) @[lsu_bus_buffer.scala 331:36] + node _T_1509 = bits(_T_1508, 0, 0) @[lsu_bus_buffer.scala 331:46] + node _T_1510 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1511 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1512 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1513 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1514 = mux(_T_1510, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1515 = mux(_T_1511, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1516 = mux(_T_1512, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1517 = mux(_T_1513, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1518 = or(_T_1514, _T_1515) @[Mux.scala 27:72] + node _T_1519 = or(_T_1518, _T_1516) @[Mux.scala 27:72] + node _T_1520 = or(_T_1519, _T_1517) @[Mux.scala 27:72] + wire _T_1521 : UInt<32> @[Mux.scala 27:72] + _T_1521 <= _T_1520 @[Mux.scala 27:72] + node _T_1522 = cat(_T_1521, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1523 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1524 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1525 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1526 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1527 = mux(_T_1523, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1528 = mux(_T_1524, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1529 = mux(_T_1525, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1530 = mux(_T_1526, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1531 = or(_T_1527, _T_1528) @[Mux.scala 27:72] + node _T_1532 = or(_T_1531, _T_1529) @[Mux.scala 27:72] + node _T_1533 = or(_T_1532, _T_1530) @[Mux.scala 27:72] + wire _T_1534 : UInt<32> @[Mux.scala 27:72] + _T_1534 <= _T_1533 @[Mux.scala 27:72] + node _T_1535 = cat(UInt<32>("h00"), _T_1534) @[Cat.scala 29:58] + node _T_1536 = mux(_T_1509, _T_1522, _T_1535) @[lsu_bus_buffer.scala 331:8] + node obuf_data1_in = mux(ibuf_buf_byp, _T_1495, _T_1536) @[lsu_bus_buffer.scala 330:26] + node _T_1537 = bits(obuf_byteen0_in, 0, 0) @[lsu_bus_buffer.scala 332:59] + node _T_1538 = bits(obuf_byteen1_in, 0, 0) @[lsu_bus_buffer.scala 332:97] + node _T_1539 = and(obuf_merge_en, _T_1538) @[lsu_bus_buffer.scala 332:80] + node _T_1540 = or(_T_1537, _T_1539) @[lsu_bus_buffer.scala 332:63] + node _T_1541 = bits(obuf_byteen0_in, 1, 1) @[lsu_bus_buffer.scala 332:59] + node _T_1542 = bits(obuf_byteen1_in, 1, 1) @[lsu_bus_buffer.scala 332:97] + node _T_1543 = and(obuf_merge_en, _T_1542) @[lsu_bus_buffer.scala 332:80] + node _T_1544 = or(_T_1541, _T_1543) @[lsu_bus_buffer.scala 332:63] + node _T_1545 = bits(obuf_byteen0_in, 2, 2) @[lsu_bus_buffer.scala 332:59] + node _T_1546 = bits(obuf_byteen1_in, 2, 2) @[lsu_bus_buffer.scala 332:97] + node _T_1547 = and(obuf_merge_en, _T_1546) @[lsu_bus_buffer.scala 332:80] + node _T_1548 = or(_T_1545, _T_1547) @[lsu_bus_buffer.scala 332:63] + node _T_1549 = bits(obuf_byteen0_in, 3, 3) @[lsu_bus_buffer.scala 332:59] + node _T_1550 = bits(obuf_byteen1_in, 3, 3) @[lsu_bus_buffer.scala 332:97] + node _T_1551 = and(obuf_merge_en, _T_1550) @[lsu_bus_buffer.scala 332:80] + node _T_1552 = or(_T_1549, _T_1551) @[lsu_bus_buffer.scala 332:63] + node _T_1553 = bits(obuf_byteen0_in, 4, 4) @[lsu_bus_buffer.scala 332:59] + node _T_1554 = bits(obuf_byteen1_in, 4, 4) @[lsu_bus_buffer.scala 332:97] + node _T_1555 = and(obuf_merge_en, _T_1554) @[lsu_bus_buffer.scala 332:80] + node _T_1556 = or(_T_1553, _T_1555) @[lsu_bus_buffer.scala 332:63] + node _T_1557 = bits(obuf_byteen0_in, 5, 5) @[lsu_bus_buffer.scala 332:59] + node _T_1558 = bits(obuf_byteen1_in, 5, 5) @[lsu_bus_buffer.scala 332:97] + node _T_1559 = and(obuf_merge_en, _T_1558) @[lsu_bus_buffer.scala 332:80] + node _T_1560 = or(_T_1557, _T_1559) @[lsu_bus_buffer.scala 332:63] + node _T_1561 = bits(obuf_byteen0_in, 6, 6) @[lsu_bus_buffer.scala 332:59] + node _T_1562 = bits(obuf_byteen1_in, 6, 6) @[lsu_bus_buffer.scala 332:97] + node _T_1563 = and(obuf_merge_en, _T_1562) @[lsu_bus_buffer.scala 332:80] + node _T_1564 = or(_T_1561, _T_1563) @[lsu_bus_buffer.scala 332:63] + node _T_1565 = bits(obuf_byteen0_in, 7, 7) @[lsu_bus_buffer.scala 332:59] + node _T_1566 = bits(obuf_byteen1_in, 7, 7) @[lsu_bus_buffer.scala 332:97] + node _T_1567 = and(obuf_merge_en, _T_1566) @[lsu_bus_buffer.scala 332:80] + node _T_1568 = or(_T_1565, _T_1567) @[lsu_bus_buffer.scala 332:63] + node _T_1569 = cat(_T_1568, _T_1564) @[Cat.scala 29:58] + node _T_1570 = cat(_T_1569, _T_1560) @[Cat.scala 29:58] + node _T_1571 = cat(_T_1570, _T_1556) @[Cat.scala 29:58] + node _T_1572 = cat(_T_1571, _T_1552) @[Cat.scala 29:58] + node _T_1573 = cat(_T_1572, _T_1548) @[Cat.scala 29:58] + node _T_1574 = cat(_T_1573, _T_1544) @[Cat.scala 29:58] + node obuf_byteen_in = cat(_T_1574, _T_1540) @[Cat.scala 29:58] + node _T_1575 = bits(obuf_byteen1_in, 0, 0) @[lsu_bus_buffer.scala 333:76] + node _T_1576 = and(obuf_merge_en, _T_1575) @[lsu_bus_buffer.scala 333:59] + node _T_1577 = bits(obuf_data1_in, 7, 0) @[lsu_bus_buffer.scala 333:94] + node _T_1578 = bits(obuf_data0_in, 7, 0) @[lsu_bus_buffer.scala 333:123] + node _T_1579 = mux(_T_1576, _T_1577, _T_1578) @[lsu_bus_buffer.scala 333:44] + node _T_1580 = bits(obuf_byteen1_in, 1, 1) @[lsu_bus_buffer.scala 333:76] + node _T_1581 = and(obuf_merge_en, _T_1580) @[lsu_bus_buffer.scala 333:59] + node _T_1582 = bits(obuf_data1_in, 15, 8) @[lsu_bus_buffer.scala 333:94] + node _T_1583 = bits(obuf_data0_in, 15, 8) @[lsu_bus_buffer.scala 333:123] + node _T_1584 = mux(_T_1581, _T_1582, _T_1583) @[lsu_bus_buffer.scala 333:44] + node _T_1585 = bits(obuf_byteen1_in, 2, 2) @[lsu_bus_buffer.scala 333:76] + node _T_1586 = and(obuf_merge_en, _T_1585) @[lsu_bus_buffer.scala 333:59] + node _T_1587 = bits(obuf_data1_in, 23, 16) @[lsu_bus_buffer.scala 333:94] + node _T_1588 = bits(obuf_data0_in, 23, 16) @[lsu_bus_buffer.scala 333:123] + node _T_1589 = mux(_T_1586, _T_1587, _T_1588) @[lsu_bus_buffer.scala 333:44] + node _T_1590 = bits(obuf_byteen1_in, 3, 3) @[lsu_bus_buffer.scala 333:76] + node _T_1591 = and(obuf_merge_en, _T_1590) @[lsu_bus_buffer.scala 333:59] + node _T_1592 = bits(obuf_data1_in, 31, 24) @[lsu_bus_buffer.scala 333:94] + node _T_1593 = bits(obuf_data0_in, 31, 24) @[lsu_bus_buffer.scala 333:123] + node _T_1594 = mux(_T_1591, _T_1592, _T_1593) @[lsu_bus_buffer.scala 333:44] + node _T_1595 = bits(obuf_byteen1_in, 4, 4) @[lsu_bus_buffer.scala 333:76] + node _T_1596 = and(obuf_merge_en, _T_1595) @[lsu_bus_buffer.scala 333:59] + node _T_1597 = bits(obuf_data1_in, 39, 32) @[lsu_bus_buffer.scala 333:94] + node _T_1598 = bits(obuf_data0_in, 39, 32) @[lsu_bus_buffer.scala 333:123] + node _T_1599 = mux(_T_1596, _T_1597, _T_1598) @[lsu_bus_buffer.scala 333:44] + node _T_1600 = bits(obuf_byteen1_in, 5, 5) @[lsu_bus_buffer.scala 333:76] + node _T_1601 = and(obuf_merge_en, _T_1600) @[lsu_bus_buffer.scala 333:59] + node _T_1602 = bits(obuf_data1_in, 47, 40) @[lsu_bus_buffer.scala 333:94] + node _T_1603 = bits(obuf_data0_in, 47, 40) @[lsu_bus_buffer.scala 333:123] + node _T_1604 = mux(_T_1601, _T_1602, _T_1603) @[lsu_bus_buffer.scala 333:44] + node _T_1605 = bits(obuf_byteen1_in, 6, 6) @[lsu_bus_buffer.scala 333:76] + node _T_1606 = and(obuf_merge_en, _T_1605) @[lsu_bus_buffer.scala 333:59] + node _T_1607 = bits(obuf_data1_in, 55, 48) @[lsu_bus_buffer.scala 333:94] + node _T_1608 = bits(obuf_data0_in, 55, 48) @[lsu_bus_buffer.scala 333:123] + node _T_1609 = mux(_T_1606, _T_1607, _T_1608) @[lsu_bus_buffer.scala 333:44] + node _T_1610 = bits(obuf_byteen1_in, 7, 7) @[lsu_bus_buffer.scala 333:76] + node _T_1611 = and(obuf_merge_en, _T_1610) @[lsu_bus_buffer.scala 333:59] + node _T_1612 = bits(obuf_data1_in, 63, 56) @[lsu_bus_buffer.scala 333:94] + node _T_1613 = bits(obuf_data0_in, 63, 56) @[lsu_bus_buffer.scala 333:123] + node _T_1614 = mux(_T_1611, _T_1612, _T_1613) @[lsu_bus_buffer.scala 333:44] + node _T_1615 = cat(_T_1614, _T_1609) @[Cat.scala 29:58] + node _T_1616 = cat(_T_1615, _T_1604) @[Cat.scala 29:58] + node _T_1617 = cat(_T_1616, _T_1599) @[Cat.scala 29:58] + node _T_1618 = cat(_T_1617, _T_1594) @[Cat.scala 29:58] + node _T_1619 = cat(_T_1618, _T_1589) @[Cat.scala 29:58] + node _T_1620 = cat(_T_1619, _T_1584) @[Cat.scala 29:58] + node obuf_data_in = cat(_T_1620, _T_1579) @[Cat.scala 29:58] + wire buf_dualhi : UInt<1>[4] @[lsu_bus_buffer.scala 335:24] + buf_dualhi[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 336:14] + buf_dualhi[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 336:14] + buf_dualhi[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 336:14] + buf_dualhi[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 336:14] + node _T_1621 = neq(CmdPtr0, CmdPtr1) @[lsu_bus_buffer.scala 337:30] + node _T_1622 = and(_T_1621, found_cmdptr0) @[lsu_bus_buffer.scala 337:43] + node _T_1623 = and(_T_1622, found_cmdptr1) @[lsu_bus_buffer.scala 337:59] + node _T_1624 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1625 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1626 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1627 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1628 = mux(_T_1624, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1629 = mux(_T_1625, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1630 = mux(_T_1626, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1631 = mux(_T_1627, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1632 = or(_T_1628, _T_1629) @[Mux.scala 27:72] + node _T_1633 = or(_T_1632, _T_1630) @[Mux.scala 27:72] + node _T_1634 = or(_T_1633, _T_1631) @[Mux.scala 27:72] + wire _T_1635 : UInt<3> @[Mux.scala 27:72] + _T_1635 <= _T_1634 @[Mux.scala 27:72] + node _T_1636 = eq(_T_1635, UInt<3>("h02")) @[lsu_bus_buffer.scala 337:107] + node _T_1637 = and(_T_1623, _T_1636) @[lsu_bus_buffer.scala 337:75] + node _T_1638 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1639 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1640 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1641 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1642 = mux(_T_1638, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1643 = mux(_T_1639, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1644 = mux(_T_1640, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1645 = mux(_T_1641, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1646 = or(_T_1642, _T_1643) @[Mux.scala 27:72] + node _T_1647 = or(_T_1646, _T_1644) @[Mux.scala 27:72] + node _T_1648 = or(_T_1647, _T_1645) @[Mux.scala 27:72] + wire _T_1649 : UInt<3> @[Mux.scala 27:72] + _T_1649 <= _T_1648 @[Mux.scala 27:72] + node _T_1650 = eq(_T_1649, UInt<3>("h02")) @[lsu_bus_buffer.scala 337:150] + node _T_1651 = and(_T_1637, _T_1650) @[lsu_bus_buffer.scala 337:118] + node _T_1652 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] + node _T_1653 = cat(_T_1652, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] + node _T_1654 = cat(_T_1653, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] + node _T_1655 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1656 = bits(_T_1654, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1657 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1658 = bits(_T_1654, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1659 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1660 = bits(_T_1654, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1661 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1662 = bits(_T_1654, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1663 = mux(_T_1655, _T_1656, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1664 = mux(_T_1657, _T_1658, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1665 = mux(_T_1659, _T_1660, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1666 = mux(_T_1661, _T_1662, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1667 = or(_T_1663, _T_1664) @[Mux.scala 27:72] + node _T_1668 = or(_T_1667, _T_1665) @[Mux.scala 27:72] + node _T_1669 = or(_T_1668, _T_1666) @[Mux.scala 27:72] + wire _T_1670 : UInt<1> @[Mux.scala 27:72] + _T_1670 <= _T_1669 @[Mux.scala 27:72] + node _T_1671 = eq(_T_1670, UInt<1>("h00")) @[lsu_bus_buffer.scala 338:5] + node _T_1672 = and(_T_1651, _T_1671) @[lsu_bus_buffer.scala 337:161] + node _T_1673 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1674 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1675 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1676 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1677 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1678 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1679 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1680 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1681 = mux(_T_1673, _T_1674, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1682 = mux(_T_1675, _T_1676, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1683 = mux(_T_1677, _T_1678, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1684 = mux(_T_1679, _T_1680, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1685 = or(_T_1681, _T_1682) @[Mux.scala 27:72] + node _T_1686 = or(_T_1685, _T_1683) @[Mux.scala 27:72] + node _T_1687 = or(_T_1686, _T_1684) @[Mux.scala 27:72] + wire _T_1688 : UInt<1> @[Mux.scala 27:72] + _T_1688 <= _T_1687 @[Mux.scala 27:72] + node _T_1689 = eq(_T_1688, UInt<1>("h00")) @[lsu_bus_buffer.scala 338:87] + node _T_1690 = and(_T_1672, _T_1689) @[lsu_bus_buffer.scala 338:85] + node _T_1691 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1692 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1693 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1694 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1695 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1696 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1697 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1698 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1699 = mux(_T_1691, _T_1692, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1700 = mux(_T_1693, _T_1694, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1701 = mux(_T_1695, _T_1696, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1702 = mux(_T_1697, _T_1698, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1703 = or(_T_1699, _T_1700) @[Mux.scala 27:72] + node _T_1704 = or(_T_1703, _T_1701) @[Mux.scala 27:72] + node _T_1705 = or(_T_1704, _T_1702) @[Mux.scala 27:72] + wire _T_1706 : UInt<1> @[Mux.scala 27:72] + _T_1706 <= _T_1705 @[Mux.scala 27:72] + node _T_1707 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1708 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1709 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1710 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1711 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1712 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1713 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1714 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1715 = mux(_T_1707, _T_1708, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1716 = mux(_T_1709, _T_1710, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1717 = mux(_T_1711, _T_1712, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1718 = mux(_T_1713, _T_1714, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1719 = or(_T_1715, _T_1716) @[Mux.scala 27:72] + node _T_1720 = or(_T_1719, _T_1717) @[Mux.scala 27:72] + node _T_1721 = or(_T_1720, _T_1718) @[Mux.scala 27:72] + wire _T_1722 : UInt<1> @[Mux.scala 27:72] + _T_1722 <= _T_1721 @[Mux.scala 27:72] + node _T_1723 = and(_T_1706, _T_1722) @[lsu_bus_buffer.scala 339:36] + node _T_1724 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1725 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1726 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1727 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1728 = mux(_T_1724, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1729 = mux(_T_1725, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1730 = mux(_T_1726, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1731 = mux(_T_1727, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1732 = or(_T_1728, _T_1729) @[Mux.scala 27:72] + node _T_1733 = or(_T_1732, _T_1730) @[Mux.scala 27:72] + node _T_1734 = or(_T_1733, _T_1731) @[Mux.scala 27:72] + wire _T_1735 : UInt<32> @[Mux.scala 27:72] + _T_1735 <= _T_1734 @[Mux.scala 27:72] + node _T_1736 = bits(_T_1735, 31, 3) @[lsu_bus_buffer.scala 340:35] + node _T_1737 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1738 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1739 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1740 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1741 = mux(_T_1737, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1742 = mux(_T_1738, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1743 = mux(_T_1739, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1744 = mux(_T_1740, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1745 = or(_T_1741, _T_1742) @[Mux.scala 27:72] + node _T_1746 = or(_T_1745, _T_1743) @[Mux.scala 27:72] + node _T_1747 = or(_T_1746, _T_1744) @[Mux.scala 27:72] + wire _T_1748 : UInt<32> @[Mux.scala 27:72] + _T_1748 <= _T_1747 @[Mux.scala 27:72] + node _T_1749 = bits(_T_1748, 31, 3) @[lsu_bus_buffer.scala 340:71] + node _T_1750 = eq(_T_1736, _T_1749) @[lsu_bus_buffer.scala 340:41] + node _T_1751 = and(_T_1723, _T_1750) @[lsu_bus_buffer.scala 339:67] + node _T_1752 = eq(bus_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 340:81] + node _T_1753 = and(_T_1751, _T_1752) @[lsu_bus_buffer.scala 340:79] + node _T_1754 = eq(UInt<1>("h00"), UInt<1>("h00")) @[lsu_bus_buffer.scala 340:107] + node _T_1755 = and(_T_1753, _T_1754) @[lsu_bus_buffer.scala 340:105] + node _T_1756 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1757 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1758 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1759 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1760 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1761 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1762 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1763 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1764 = mux(_T_1756, _T_1757, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1765 = mux(_T_1758, _T_1759, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1766 = mux(_T_1760, _T_1761, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1767 = mux(_T_1762, _T_1763, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1768 = or(_T_1764, _T_1765) @[Mux.scala 27:72] + node _T_1769 = or(_T_1768, _T_1766) @[Mux.scala 27:72] + node _T_1770 = or(_T_1769, _T_1767) @[Mux.scala 27:72] + wire _T_1771 : UInt<1> @[Mux.scala 27:72] + _T_1771 <= _T_1770 @[Mux.scala 27:72] + node _T_1772 = eq(_T_1771, UInt<1>("h00")) @[lsu_bus_buffer.scala 341:8] + node _T_1773 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_1774 = cat(_T_1773, buf_dual[1]) @[Cat.scala 29:58] + node _T_1775 = cat(_T_1774, buf_dual[0]) @[Cat.scala 29:58] + node _T_1776 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1777 = bits(_T_1775, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1778 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1779 = bits(_T_1775, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1780 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1781 = bits(_T_1775, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1782 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1783 = bits(_T_1775, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1784 = mux(_T_1776, _T_1777, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1785 = mux(_T_1778, _T_1779, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1786 = mux(_T_1780, _T_1781, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1787 = mux(_T_1782, _T_1783, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1788 = or(_T_1784, _T_1785) @[Mux.scala 27:72] + node _T_1789 = or(_T_1788, _T_1786) @[Mux.scala 27:72] + node _T_1790 = or(_T_1789, _T_1787) @[Mux.scala 27:72] + wire _T_1791 : UInt<1> @[Mux.scala 27:72] + _T_1791 <= _T_1790 @[Mux.scala 27:72] + node _T_1792 = and(_T_1772, _T_1791) @[lsu_bus_buffer.scala 341:38] + node _T_1793 = cat(buf_dualhi[3], buf_dualhi[2]) @[Cat.scala 29:58] + node _T_1794 = cat(_T_1793, buf_dualhi[1]) @[Cat.scala 29:58] + node _T_1795 = cat(_T_1794, buf_dualhi[0]) @[Cat.scala 29:58] + node _T_1796 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1797 = bits(_T_1795, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1798 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1799 = bits(_T_1795, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1800 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1801 = bits(_T_1795, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1802 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1803 = bits(_T_1795, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1804 = mux(_T_1796, _T_1797, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1805 = mux(_T_1798, _T_1799, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1806 = mux(_T_1800, _T_1801, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1807 = mux(_T_1802, _T_1803, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1808 = or(_T_1804, _T_1805) @[Mux.scala 27:72] + node _T_1809 = or(_T_1808, _T_1806) @[Mux.scala 27:72] + node _T_1810 = or(_T_1809, _T_1807) @[Mux.scala 27:72] + wire _T_1811 : UInt<1> @[Mux.scala 27:72] + _T_1811 <= _T_1810 @[Mux.scala 27:72] + node _T_1812 = eq(_T_1811, UInt<1>("h00")) @[lsu_bus_buffer.scala 341:109] + node _T_1813 = and(_T_1792, _T_1812) @[lsu_bus_buffer.scala 341:107] + node _T_1814 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] + node _T_1815 = cat(_T_1814, buf_samedw[1]) @[Cat.scala 29:58] + node _T_1816 = cat(_T_1815, buf_samedw[0]) @[Cat.scala 29:58] + node _T_1817 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1818 = bits(_T_1816, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1819 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1820 = bits(_T_1816, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1821 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1822 = bits(_T_1816, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1823 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1824 = bits(_T_1816, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1825 = mux(_T_1817, _T_1818, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1826 = mux(_T_1819, _T_1820, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1827 = mux(_T_1821, _T_1822, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1828 = mux(_T_1823, _T_1824, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1829 = or(_T_1825, _T_1826) @[Mux.scala 27:72] + node _T_1830 = or(_T_1829, _T_1827) @[Mux.scala 27:72] + node _T_1831 = or(_T_1830, _T_1828) @[Mux.scala 27:72] + wire _T_1832 : UInt<1> @[Mux.scala 27:72] + _T_1832 <= _T_1831 @[Mux.scala 27:72] + node _T_1833 = and(_T_1813, _T_1832) @[lsu_bus_buffer.scala 341:179] + node _T_1834 = or(_T_1755, _T_1833) @[lsu_bus_buffer.scala 340:128] + node _T_1835 = and(_T_1690, _T_1834) @[lsu_bus_buffer.scala 338:122] + node _T_1836 = and(ibuf_buf_byp, ldst_samedw_r) @[lsu_bus_buffer.scala 342:19] + node _T_1837 = and(_T_1836, io.ldst_dual_r) @[lsu_bus_buffer.scala 342:35] + node _T_1838 = or(_T_1835, _T_1837) @[lsu_bus_buffer.scala 341:253] + obuf_merge_en <= _T_1838 @[lsu_bus_buffer.scala 337:17] + reg obuf_wr_enQ : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 344:55] + obuf_wr_enQ <= obuf_wr_en @[lsu_bus_buffer.scala 344:55] + node _T_1839 = mux(obuf_wr_en, UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 345:58] + node _T_1840 = eq(obuf_rst, UInt<1>("h00")) @[lsu_bus_buffer.scala 345:93] + node _T_1841 = and(_T_1839, _T_1840) @[lsu_bus_buffer.scala 345:91] + reg _T_1842 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 345:54] + _T_1842 <= _T_1841 @[lsu_bus_buffer.scala 345:54] + obuf_valid <= _T_1842 @[lsu_bus_buffer.scala 345:14] + reg _T_1843 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + _T_1843 <= obuf_nosend_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + obuf_nosend <= _T_1843 @[lsu_bus_buffer.scala 346:15] + reg _T_1844 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 347:54] + _T_1844 <= obuf_cmd_done_in @[lsu_bus_buffer.scala 347:54] + obuf_cmd_done <= _T_1844 @[lsu_bus_buffer.scala 347:17] + reg _T_1845 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 348:55] + _T_1845 <= obuf_data_done_in @[lsu_bus_buffer.scala 348:55] + obuf_data_done <= _T_1845 @[lsu_bus_buffer.scala 348:18] + reg _T_1846 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 349:56] + _T_1846 <= obuf_rdrsp_pend_in @[lsu_bus_buffer.scala 349:56] + obuf_rdrsp_pend <= _T_1846 @[lsu_bus_buffer.scala 349:19] + reg _T_1847 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 350:55] + _T_1847 <= obuf_rdrsp_tag_in @[lsu_bus_buffer.scala 350:55] + obuf_rdrsp_tag <= _T_1847 @[lsu_bus_buffer.scala 350:18] + reg _T_1848 : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + _T_1848 <= obuf_tag0_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + obuf_tag0 <= _T_1848 @[lsu_bus_buffer.scala 351:13] + reg obuf_tag1 : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + obuf_tag1 <= obuf_tag1_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg obuf_merge : UInt<1>, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + obuf_merge <= obuf_merge_en @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg _T_1849 : UInt<1>, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + _T_1849 <= obuf_write_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + obuf_write <= _T_1849 @[lsu_bus_buffer.scala 354:14] + reg _T_1850 : UInt<1>, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + _T_1850 <= obuf_sideeffect_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + obuf_sideeffect <= _T_1850 @[lsu_bus_buffer.scala 355:19] + reg obuf_sz : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + obuf_sz <= obuf_sz_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 368:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_2.io.en <= obuf_wr_en @[lib.scala 371:17] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_1851 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1851 <= obuf_addr_in @[lib.scala 374:16] + obuf_addr <= _T_1851 @[lsu_bus_buffer.scala 357:13] + reg obuf_byteen : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + obuf_byteen <= obuf_byteen_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 368:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_3.io.en <= obuf_wr_en @[lib.scala 371:17] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg obuf_data : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + obuf_data <= obuf_data_in @[lib.scala 374:16] + reg _T_1852 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 360:54] + _T_1852 <= obuf_wr_timer_in @[lsu_bus_buffer.scala 360:54] + obuf_wr_timer <= _T_1852 @[lsu_bus_buffer.scala 360:17] + wire WrPtr0_m : UInt<2> + WrPtr0_m <= UInt<1>("h00") + node _T_1853 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 363:65] + node _T_1854 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 364:30] + node _T_1855 = and(ibuf_valid, _T_1854) @[lsu_bus_buffer.scala 364:19] + node _T_1856 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 365:18] + node _T_1857 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 365:57] + node _T_1858 = and(io.ldst_dual_r, _T_1857) @[lsu_bus_buffer.scala 365:45] + node _T_1859 = or(_T_1856, _T_1858) @[lsu_bus_buffer.scala 365:27] + node _T_1860 = and(io.lsu_busreq_r, _T_1859) @[lsu_bus_buffer.scala 364:58] + node _T_1861 = or(_T_1855, _T_1860) @[lsu_bus_buffer.scala 364:39] + node _T_1862 = eq(_T_1861, UInt<1>("h00")) @[lsu_bus_buffer.scala 364:5] + node _T_1863 = and(_T_1853, _T_1862) @[lsu_bus_buffer.scala 363:76] + node _T_1864 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 363:65] + node _T_1865 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 364:30] + node _T_1866 = and(ibuf_valid, _T_1865) @[lsu_bus_buffer.scala 364:19] + node _T_1867 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 365:18] + node _T_1868 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 365:57] + node _T_1869 = and(io.ldst_dual_r, _T_1868) @[lsu_bus_buffer.scala 365:45] + node _T_1870 = or(_T_1867, _T_1869) @[lsu_bus_buffer.scala 365:27] + node _T_1871 = and(io.lsu_busreq_r, _T_1870) @[lsu_bus_buffer.scala 364:58] + node _T_1872 = or(_T_1866, _T_1871) @[lsu_bus_buffer.scala 364:39] + node _T_1873 = eq(_T_1872, UInt<1>("h00")) @[lsu_bus_buffer.scala 364:5] + node _T_1874 = and(_T_1864, _T_1873) @[lsu_bus_buffer.scala 363:76] + node _T_1875 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 363:65] + node _T_1876 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 364:30] + node _T_1877 = and(ibuf_valid, _T_1876) @[lsu_bus_buffer.scala 364:19] + node _T_1878 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 365:18] + node _T_1879 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 365:57] + node _T_1880 = and(io.ldst_dual_r, _T_1879) @[lsu_bus_buffer.scala 365:45] + node _T_1881 = or(_T_1878, _T_1880) @[lsu_bus_buffer.scala 365:27] + node _T_1882 = and(io.lsu_busreq_r, _T_1881) @[lsu_bus_buffer.scala 364:58] + node _T_1883 = or(_T_1877, _T_1882) @[lsu_bus_buffer.scala 364:39] + node _T_1884 = eq(_T_1883, UInt<1>("h00")) @[lsu_bus_buffer.scala 364:5] + node _T_1885 = and(_T_1875, _T_1884) @[lsu_bus_buffer.scala 363:76] + node _T_1886 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 363:65] + node _T_1887 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 364:30] + node _T_1888 = and(ibuf_valid, _T_1887) @[lsu_bus_buffer.scala 364:19] + node _T_1889 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 365:18] + node _T_1890 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 365:57] + node _T_1891 = and(io.ldst_dual_r, _T_1890) @[lsu_bus_buffer.scala 365:45] + node _T_1892 = or(_T_1889, _T_1891) @[lsu_bus_buffer.scala 365:27] + node _T_1893 = and(io.lsu_busreq_r, _T_1892) @[lsu_bus_buffer.scala 364:58] + node _T_1894 = or(_T_1888, _T_1893) @[lsu_bus_buffer.scala 364:39] + node _T_1895 = eq(_T_1894, UInt<1>("h00")) @[lsu_bus_buffer.scala 364:5] + node _T_1896 = and(_T_1886, _T_1895) @[lsu_bus_buffer.scala 363:76] + node _T_1897 = mux(_T_1896, UInt<2>("h03"), UInt<2>("h03")) @[Mux.scala 98:16] + node _T_1898 = mux(_T_1885, UInt<2>("h02"), _T_1897) @[Mux.scala 98:16] + node _T_1899 = mux(_T_1874, UInt<1>("h01"), _T_1898) @[Mux.scala 98:16] + node _T_1900 = mux(_T_1863, UInt<1>("h00"), _T_1899) @[Mux.scala 98:16] + WrPtr0_m <= _T_1900 @[lsu_bus_buffer.scala 363:12] + wire WrPtr1_m : UInt<2> + WrPtr1_m <= UInt<1>("h00") + node _T_1901 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 369:65] + node _T_1902 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 369:103] + node _T_1903 = and(ibuf_valid, _T_1902) @[lsu_bus_buffer.scala 369:92] + node _T_1904 = eq(WrPtr0_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 370:33] + node _T_1905 = and(io.lsu_busreq_m, _T_1904) @[lsu_bus_buffer.scala 370:22] + node _T_1906 = or(_T_1903, _T_1905) @[lsu_bus_buffer.scala 369:112] + node _T_1907 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 371:36] + node _T_1908 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 372:34] + node _T_1909 = and(io.ldst_dual_r, _T_1908) @[lsu_bus_buffer.scala 372:23] + node _T_1910 = or(_T_1907, _T_1909) @[lsu_bus_buffer.scala 371:46] + node _T_1911 = and(io.lsu_busreq_r, _T_1910) @[lsu_bus_buffer.scala 371:22] + node _T_1912 = or(_T_1906, _T_1911) @[lsu_bus_buffer.scala 370:42] + node _T_1913 = eq(_T_1912, UInt<1>("h00")) @[lsu_bus_buffer.scala 369:78] + node _T_1914 = and(_T_1901, _T_1913) @[lsu_bus_buffer.scala 369:76] + node _T_1915 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 369:65] + node _T_1916 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 369:103] + node _T_1917 = and(ibuf_valid, _T_1916) @[lsu_bus_buffer.scala 369:92] + node _T_1918 = eq(WrPtr0_m, UInt<1>("h01")) @[lsu_bus_buffer.scala 370:33] + node _T_1919 = and(io.lsu_busreq_m, _T_1918) @[lsu_bus_buffer.scala 370:22] + node _T_1920 = or(_T_1917, _T_1919) @[lsu_bus_buffer.scala 369:112] + node _T_1921 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 371:36] + node _T_1922 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 372:34] + node _T_1923 = and(io.ldst_dual_r, _T_1922) @[lsu_bus_buffer.scala 372:23] + node _T_1924 = or(_T_1921, _T_1923) @[lsu_bus_buffer.scala 371:46] + node _T_1925 = and(io.lsu_busreq_r, _T_1924) @[lsu_bus_buffer.scala 371:22] + node _T_1926 = or(_T_1920, _T_1925) @[lsu_bus_buffer.scala 370:42] + node _T_1927 = eq(_T_1926, UInt<1>("h00")) @[lsu_bus_buffer.scala 369:78] + node _T_1928 = and(_T_1915, _T_1927) @[lsu_bus_buffer.scala 369:76] + node _T_1929 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 369:65] + node _T_1930 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 369:103] + node _T_1931 = and(ibuf_valid, _T_1930) @[lsu_bus_buffer.scala 369:92] + node _T_1932 = eq(WrPtr0_m, UInt<2>("h02")) @[lsu_bus_buffer.scala 370:33] + node _T_1933 = and(io.lsu_busreq_m, _T_1932) @[lsu_bus_buffer.scala 370:22] + node _T_1934 = or(_T_1931, _T_1933) @[lsu_bus_buffer.scala 369:112] + node _T_1935 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 371:36] + node _T_1936 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 372:34] + node _T_1937 = and(io.ldst_dual_r, _T_1936) @[lsu_bus_buffer.scala 372:23] + node _T_1938 = or(_T_1935, _T_1937) @[lsu_bus_buffer.scala 371:46] + node _T_1939 = and(io.lsu_busreq_r, _T_1938) @[lsu_bus_buffer.scala 371:22] + node _T_1940 = or(_T_1934, _T_1939) @[lsu_bus_buffer.scala 370:42] + node _T_1941 = eq(_T_1940, UInt<1>("h00")) @[lsu_bus_buffer.scala 369:78] + node _T_1942 = and(_T_1929, _T_1941) @[lsu_bus_buffer.scala 369:76] + node _T_1943 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 369:65] + node _T_1944 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 369:103] + node _T_1945 = and(ibuf_valid, _T_1944) @[lsu_bus_buffer.scala 369:92] + node _T_1946 = eq(WrPtr0_m, UInt<2>("h03")) @[lsu_bus_buffer.scala 370:33] + node _T_1947 = and(io.lsu_busreq_m, _T_1946) @[lsu_bus_buffer.scala 370:22] + node _T_1948 = or(_T_1945, _T_1947) @[lsu_bus_buffer.scala 369:112] + node _T_1949 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 371:36] + node _T_1950 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 372:34] + node _T_1951 = and(io.ldst_dual_r, _T_1950) @[lsu_bus_buffer.scala 372:23] + node _T_1952 = or(_T_1949, _T_1951) @[lsu_bus_buffer.scala 371:46] + node _T_1953 = and(io.lsu_busreq_r, _T_1952) @[lsu_bus_buffer.scala 371:22] + node _T_1954 = or(_T_1948, _T_1953) @[lsu_bus_buffer.scala 370:42] + node _T_1955 = eq(_T_1954, UInt<1>("h00")) @[lsu_bus_buffer.scala 369:78] + node _T_1956 = and(_T_1943, _T_1955) @[lsu_bus_buffer.scala 369:76] + node _T_1957 = mux(_T_1956, UInt<2>("h03"), UInt<2>("h03")) @[Mux.scala 98:16] + node _T_1958 = mux(_T_1942, UInt<2>("h02"), _T_1957) @[Mux.scala 98:16] + node _T_1959 = mux(_T_1928, UInt<1>("h01"), _T_1958) @[Mux.scala 98:16] + node _T_1960 = mux(_T_1914, UInt<1>("h00"), _T_1959) @[Mux.scala 98:16] + WrPtr1_m <= _T_1960 @[lsu_bus_buffer.scala 369:12] + wire buf_age : UInt<4>[4] @[lsu_bus_buffer.scala 374:21] + buf_age[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 375:11] + buf_age[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 375:11] + buf_age[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 375:11] + buf_age[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 375:11] + node _T_1961 = orr(buf_age[0]) @[lsu_bus_buffer.scala 377:58] + node _T_1962 = eq(_T_1961, UInt<1>("h00")) @[lsu_bus_buffer.scala 377:45] + node _T_1963 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 377:78] + node _T_1964 = and(_T_1962, _T_1963) @[lsu_bus_buffer.scala 377:63] + node _T_1965 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 377:90] + node _T_1966 = and(_T_1964, _T_1965) @[lsu_bus_buffer.scala 377:88] + node _T_1967 = orr(buf_age[1]) @[lsu_bus_buffer.scala 377:58] + node _T_1968 = eq(_T_1967, UInt<1>("h00")) @[lsu_bus_buffer.scala 377:45] + node _T_1969 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 377:78] + node _T_1970 = and(_T_1968, _T_1969) @[lsu_bus_buffer.scala 377:63] + node _T_1971 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 377:90] + node _T_1972 = and(_T_1970, _T_1971) @[lsu_bus_buffer.scala 377:88] + node _T_1973 = orr(buf_age[2]) @[lsu_bus_buffer.scala 377:58] + node _T_1974 = eq(_T_1973, UInt<1>("h00")) @[lsu_bus_buffer.scala 377:45] + node _T_1975 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 377:78] + node _T_1976 = and(_T_1974, _T_1975) @[lsu_bus_buffer.scala 377:63] + node _T_1977 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 377:90] + node _T_1978 = and(_T_1976, _T_1977) @[lsu_bus_buffer.scala 377:88] + node _T_1979 = orr(buf_age[3]) @[lsu_bus_buffer.scala 377:58] + node _T_1980 = eq(_T_1979, UInt<1>("h00")) @[lsu_bus_buffer.scala 377:45] + node _T_1981 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 377:78] + node _T_1982 = and(_T_1980, _T_1981) @[lsu_bus_buffer.scala 377:63] + node _T_1983 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 377:90] + node _T_1984 = and(_T_1982, _T_1983) @[lsu_bus_buffer.scala 377:88] + node _T_1985 = cat(_T_1984, _T_1978) @[Cat.scala 29:58] + node _T_1986 = cat(_T_1985, _T_1972) @[Cat.scala 29:58] + node CmdPtr0Dec = cat(_T_1986, _T_1966) @[Cat.scala 29:58] + node _T_1987 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 378:62] + node _T_1988 = and(buf_age[0], _T_1987) @[lsu_bus_buffer.scala 378:59] + node _T_1989 = orr(_T_1988) @[lsu_bus_buffer.scala 378:76] + node _T_1990 = eq(_T_1989, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:45] + node _T_1991 = bits(CmdPtr0Dec, 0, 0) @[lsu_bus_buffer.scala 378:94] + node _T_1992 = eq(_T_1991, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:83] + node _T_1993 = and(_T_1990, _T_1992) @[lsu_bus_buffer.scala 378:81] + node _T_1994 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 378:113] + node _T_1995 = and(_T_1993, _T_1994) @[lsu_bus_buffer.scala 378:98] + node _T_1996 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 378:125] + node _T_1997 = and(_T_1995, _T_1996) @[lsu_bus_buffer.scala 378:123] + node _T_1998 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 378:62] + node _T_1999 = and(buf_age[1], _T_1998) @[lsu_bus_buffer.scala 378:59] + node _T_2000 = orr(_T_1999) @[lsu_bus_buffer.scala 378:76] + node _T_2001 = eq(_T_2000, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:45] + node _T_2002 = bits(CmdPtr0Dec, 1, 1) @[lsu_bus_buffer.scala 378:94] + node _T_2003 = eq(_T_2002, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:83] + node _T_2004 = and(_T_2001, _T_2003) @[lsu_bus_buffer.scala 378:81] + node _T_2005 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 378:113] + node _T_2006 = and(_T_2004, _T_2005) @[lsu_bus_buffer.scala 378:98] + node _T_2007 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 378:125] + node _T_2008 = and(_T_2006, _T_2007) @[lsu_bus_buffer.scala 378:123] + node _T_2009 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 378:62] + node _T_2010 = and(buf_age[2], _T_2009) @[lsu_bus_buffer.scala 378:59] + node _T_2011 = orr(_T_2010) @[lsu_bus_buffer.scala 378:76] + node _T_2012 = eq(_T_2011, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:45] + node _T_2013 = bits(CmdPtr0Dec, 2, 2) @[lsu_bus_buffer.scala 378:94] + node _T_2014 = eq(_T_2013, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:83] + node _T_2015 = and(_T_2012, _T_2014) @[lsu_bus_buffer.scala 378:81] + node _T_2016 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 378:113] + node _T_2017 = and(_T_2015, _T_2016) @[lsu_bus_buffer.scala 378:98] + node _T_2018 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 378:125] + node _T_2019 = and(_T_2017, _T_2018) @[lsu_bus_buffer.scala 378:123] + node _T_2020 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 378:62] + node _T_2021 = and(buf_age[3], _T_2020) @[lsu_bus_buffer.scala 378:59] + node _T_2022 = orr(_T_2021) @[lsu_bus_buffer.scala 378:76] + node _T_2023 = eq(_T_2022, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:45] + node _T_2024 = bits(CmdPtr0Dec, 3, 3) @[lsu_bus_buffer.scala 378:94] + node _T_2025 = eq(_T_2024, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:83] + node _T_2026 = and(_T_2023, _T_2025) @[lsu_bus_buffer.scala 378:81] + node _T_2027 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 378:113] + node _T_2028 = and(_T_2026, _T_2027) @[lsu_bus_buffer.scala 378:98] + node _T_2029 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 378:125] + node _T_2030 = and(_T_2028, _T_2029) @[lsu_bus_buffer.scala 378:123] + node _T_2031 = cat(_T_2030, _T_2019) @[Cat.scala 29:58] + node _T_2032 = cat(_T_2031, _T_2008) @[Cat.scala 29:58] + node CmdPtr1Dec = cat(_T_2032, _T_1997) @[Cat.scala 29:58] + wire buf_rsp_pickage : UInt<4>[4] @[lsu_bus_buffer.scala 379:29] + buf_rsp_pickage[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 380:19] + buf_rsp_pickage[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 380:19] + buf_rsp_pickage[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 380:19] + buf_rsp_pickage[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 380:19] + node _T_2033 = orr(buf_rsp_pickage[0]) @[lsu_bus_buffer.scala 381:65] + node _T_2034 = eq(_T_2033, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:44] + node _T_2035 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 381:85] + node _T_2036 = and(_T_2034, _T_2035) @[lsu_bus_buffer.scala 381:70] + node _T_2037 = orr(buf_rsp_pickage[1]) @[lsu_bus_buffer.scala 381:65] + node _T_2038 = eq(_T_2037, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:44] + node _T_2039 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 381:85] + node _T_2040 = and(_T_2038, _T_2039) @[lsu_bus_buffer.scala 381:70] + node _T_2041 = orr(buf_rsp_pickage[2]) @[lsu_bus_buffer.scala 381:65] + node _T_2042 = eq(_T_2041, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:44] + node _T_2043 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 381:85] + node _T_2044 = and(_T_2042, _T_2043) @[lsu_bus_buffer.scala 381:70] + node _T_2045 = orr(buf_rsp_pickage[3]) @[lsu_bus_buffer.scala 381:65] + node _T_2046 = eq(_T_2045, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:44] + node _T_2047 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 381:85] + node _T_2048 = and(_T_2046, _T_2047) @[lsu_bus_buffer.scala 381:70] + node _T_2049 = cat(_T_2048, _T_2044) @[Cat.scala 29:58] + node _T_2050 = cat(_T_2049, _T_2040) @[Cat.scala 29:58] + node RspPtrDec = cat(_T_2050, _T_2036) @[Cat.scala 29:58] + node _T_2051 = orr(CmdPtr0Dec) @[lsu_bus_buffer.scala 382:31] + found_cmdptr0 <= _T_2051 @[lsu_bus_buffer.scala 382:17] + node _T_2052 = orr(CmdPtr1Dec) @[lsu_bus_buffer.scala 383:31] + found_cmdptr1 <= _T_2052 @[lsu_bus_buffer.scala 383:17] + wire RspPtr : UInt<2> + RspPtr <= UInt<1>("h00") + node _T_2053 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2054 = cat(_T_2053, CmdPtr0Dec) @[Cat.scala 29:58] + node _T_2055 = bits(_T_2054, 4, 4) @[lsu_bus_buffer.scala 385:39] + node _T_2056 = bits(_T_2054, 5, 5) @[lsu_bus_buffer.scala 385:45] + node _T_2057 = or(_T_2055, _T_2056) @[lsu_bus_buffer.scala 385:42] + node _T_2058 = bits(_T_2054, 6, 6) @[lsu_bus_buffer.scala 385:51] + node _T_2059 = or(_T_2057, _T_2058) @[lsu_bus_buffer.scala 385:48] + node _T_2060 = bits(_T_2054, 7, 7) @[lsu_bus_buffer.scala 385:57] + node _T_2061 = or(_T_2059, _T_2060) @[lsu_bus_buffer.scala 385:54] + node _T_2062 = bits(_T_2054, 2, 2) @[lsu_bus_buffer.scala 385:64] + node _T_2063 = bits(_T_2054, 3, 3) @[lsu_bus_buffer.scala 385:70] + node _T_2064 = or(_T_2062, _T_2063) @[lsu_bus_buffer.scala 385:67] + node _T_2065 = bits(_T_2054, 6, 6) @[lsu_bus_buffer.scala 385:76] + node _T_2066 = or(_T_2064, _T_2065) @[lsu_bus_buffer.scala 385:73] + node _T_2067 = bits(_T_2054, 7, 7) @[lsu_bus_buffer.scala 385:82] + node _T_2068 = or(_T_2066, _T_2067) @[lsu_bus_buffer.scala 385:79] + node _T_2069 = bits(_T_2054, 1, 1) @[lsu_bus_buffer.scala 385:89] + node _T_2070 = bits(_T_2054, 3, 3) @[lsu_bus_buffer.scala 385:95] + node _T_2071 = or(_T_2069, _T_2070) @[lsu_bus_buffer.scala 385:92] + node _T_2072 = bits(_T_2054, 5, 5) @[lsu_bus_buffer.scala 385:101] + node _T_2073 = or(_T_2071, _T_2072) @[lsu_bus_buffer.scala 385:98] + node _T_2074 = bits(_T_2054, 7, 7) @[lsu_bus_buffer.scala 385:107] + node _T_2075 = or(_T_2073, _T_2074) @[lsu_bus_buffer.scala 385:104] + node _T_2076 = cat(_T_2061, _T_2068) @[Cat.scala 29:58] + node _T_2077 = cat(_T_2076, _T_2075) @[Cat.scala 29:58] + CmdPtr0 <= _T_2077 @[lsu_bus_buffer.scala 390:11] + node _T_2078 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2079 = cat(_T_2078, CmdPtr1Dec) @[Cat.scala 29:58] + node _T_2080 = bits(_T_2079, 4, 4) @[lsu_bus_buffer.scala 385:39] + node _T_2081 = bits(_T_2079, 5, 5) @[lsu_bus_buffer.scala 385:45] + node _T_2082 = or(_T_2080, _T_2081) @[lsu_bus_buffer.scala 385:42] + node _T_2083 = bits(_T_2079, 6, 6) @[lsu_bus_buffer.scala 385:51] + node _T_2084 = or(_T_2082, _T_2083) @[lsu_bus_buffer.scala 385:48] + node _T_2085 = bits(_T_2079, 7, 7) @[lsu_bus_buffer.scala 385:57] + node _T_2086 = or(_T_2084, _T_2085) @[lsu_bus_buffer.scala 385:54] + node _T_2087 = bits(_T_2079, 2, 2) @[lsu_bus_buffer.scala 385:64] + node _T_2088 = bits(_T_2079, 3, 3) @[lsu_bus_buffer.scala 385:70] + node _T_2089 = or(_T_2087, _T_2088) @[lsu_bus_buffer.scala 385:67] + node _T_2090 = bits(_T_2079, 6, 6) @[lsu_bus_buffer.scala 385:76] + node _T_2091 = or(_T_2089, _T_2090) @[lsu_bus_buffer.scala 385:73] + node _T_2092 = bits(_T_2079, 7, 7) @[lsu_bus_buffer.scala 385:82] + node _T_2093 = or(_T_2091, _T_2092) @[lsu_bus_buffer.scala 385:79] + node _T_2094 = bits(_T_2079, 1, 1) @[lsu_bus_buffer.scala 385:89] + node _T_2095 = bits(_T_2079, 3, 3) @[lsu_bus_buffer.scala 385:95] + node _T_2096 = or(_T_2094, _T_2095) @[lsu_bus_buffer.scala 385:92] + node _T_2097 = bits(_T_2079, 5, 5) @[lsu_bus_buffer.scala 385:101] + node _T_2098 = or(_T_2096, _T_2097) @[lsu_bus_buffer.scala 385:98] + node _T_2099 = bits(_T_2079, 7, 7) @[lsu_bus_buffer.scala 385:107] + node _T_2100 = or(_T_2098, _T_2099) @[lsu_bus_buffer.scala 385:104] + node _T_2101 = cat(_T_2086, _T_2093) @[Cat.scala 29:58] + node _T_2102 = cat(_T_2101, _T_2100) @[Cat.scala 29:58] + CmdPtr1 <= _T_2102 @[lsu_bus_buffer.scala 392:11] + node _T_2103 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2104 = cat(_T_2103, RspPtrDec) @[Cat.scala 29:58] + node _T_2105 = bits(_T_2104, 4, 4) @[lsu_bus_buffer.scala 385:39] + node _T_2106 = bits(_T_2104, 5, 5) @[lsu_bus_buffer.scala 385:45] + node _T_2107 = or(_T_2105, _T_2106) @[lsu_bus_buffer.scala 385:42] + node _T_2108 = bits(_T_2104, 6, 6) @[lsu_bus_buffer.scala 385:51] + node _T_2109 = or(_T_2107, _T_2108) @[lsu_bus_buffer.scala 385:48] + node _T_2110 = bits(_T_2104, 7, 7) @[lsu_bus_buffer.scala 385:57] + node _T_2111 = or(_T_2109, _T_2110) @[lsu_bus_buffer.scala 385:54] + node _T_2112 = bits(_T_2104, 2, 2) @[lsu_bus_buffer.scala 385:64] + node _T_2113 = bits(_T_2104, 3, 3) @[lsu_bus_buffer.scala 385:70] + node _T_2114 = or(_T_2112, _T_2113) @[lsu_bus_buffer.scala 385:67] + node _T_2115 = bits(_T_2104, 6, 6) @[lsu_bus_buffer.scala 385:76] + node _T_2116 = or(_T_2114, _T_2115) @[lsu_bus_buffer.scala 385:73] + node _T_2117 = bits(_T_2104, 7, 7) @[lsu_bus_buffer.scala 385:82] + node _T_2118 = or(_T_2116, _T_2117) @[lsu_bus_buffer.scala 385:79] + node _T_2119 = bits(_T_2104, 1, 1) @[lsu_bus_buffer.scala 385:89] + node _T_2120 = bits(_T_2104, 3, 3) @[lsu_bus_buffer.scala 385:95] + node _T_2121 = or(_T_2119, _T_2120) @[lsu_bus_buffer.scala 385:92] + node _T_2122 = bits(_T_2104, 5, 5) @[lsu_bus_buffer.scala 385:101] + node _T_2123 = or(_T_2121, _T_2122) @[lsu_bus_buffer.scala 385:98] + node _T_2124 = bits(_T_2104, 7, 7) @[lsu_bus_buffer.scala 385:107] + node _T_2125 = or(_T_2123, _T_2124) @[lsu_bus_buffer.scala 385:104] + node _T_2126 = cat(_T_2111, _T_2118) @[Cat.scala 29:58] + node _T_2127 = cat(_T_2126, _T_2125) @[Cat.scala 29:58] + RspPtr <= _T_2127 @[lsu_bus_buffer.scala 393:10] + wire buf_state_en : UInt<1>[4] @[lsu_bus_buffer.scala 394:26] + buf_state_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 395:16] + buf_state_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 395:16] + buf_state_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 395:16] + buf_state_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 395:16] + wire buf_rspageQ : UInt<4>[4] @[lsu_bus_buffer.scala 396:25] + buf_rspageQ[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 397:15] + buf_rspageQ[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 397:15] + buf_rspageQ[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 397:15] + buf_rspageQ[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 397:15] + wire buf_rspage_set : UInt<4>[4] @[lsu_bus_buffer.scala 398:28] + buf_rspage_set[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 399:18] + buf_rspage_set[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 399:18] + buf_rspage_set[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 399:18] + buf_rspage_set[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 399:18] + wire buf_rspage_in : UInt<4>[4] @[lsu_bus_buffer.scala 400:27] + buf_rspage_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 401:17] + buf_rspage_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 401:17] + buf_rspage_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 401:17] + buf_rspage_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 401:17] + wire buf_rspage : UInt<4>[4] @[lsu_bus_buffer.scala 402:24] + buf_rspage[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 403:14] + buf_rspage[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 403:14] + buf_rspage[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 403:14] + buf_rspage[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 403:14] + node _T_2128 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2129 = and(_T_2128, buf_state_en[0]) @[lsu_bus_buffer.scala 405:94] + node _T_2130 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2131 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2132 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2133 = and(_T_2131, _T_2132) @[lsu_bus_buffer.scala 406:57] + node _T_2134 = or(_T_2130, _T_2133) @[lsu_bus_buffer.scala 406:31] + node _T_2135 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2136 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2137 = and(_T_2135, _T_2136) @[lsu_bus_buffer.scala 407:41] + node _T_2138 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 407:83] + node _T_2139 = and(_T_2137, _T_2138) @[lsu_bus_buffer.scala 407:71] + node _T_2140 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 407:104] + node _T_2141 = and(_T_2139, _T_2140) @[lsu_bus_buffer.scala 407:92] + node _T_2142 = or(_T_2134, _T_2141) @[lsu_bus_buffer.scala 406:86] + node _T_2143 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2144 = and(_T_2143, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2145 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:64] + node _T_2146 = and(_T_2144, _T_2145) @[lsu_bus_buffer.scala 408:52] + node _T_2147 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:85] + node _T_2148 = and(_T_2146, _T_2147) @[lsu_bus_buffer.scala 408:73] + node _T_2149 = or(_T_2142, _T_2148) @[lsu_bus_buffer.scala 407:114] + node _T_2150 = and(_T_2129, _T_2149) @[lsu_bus_buffer.scala 405:113] + node _T_2151 = bits(buf_age[0], 0, 0) @[lsu_bus_buffer.scala 408:109] + node _T_2152 = or(_T_2150, _T_2151) @[lsu_bus_buffer.scala 408:97] + node _T_2153 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2154 = and(_T_2153, buf_state_en[0]) @[lsu_bus_buffer.scala 405:94] + node _T_2155 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2156 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2157 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2158 = and(_T_2156, _T_2157) @[lsu_bus_buffer.scala 406:57] + node _T_2159 = or(_T_2155, _T_2158) @[lsu_bus_buffer.scala 406:31] + node _T_2160 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2161 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2162 = and(_T_2160, _T_2161) @[lsu_bus_buffer.scala 407:41] + node _T_2163 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 407:83] + node _T_2164 = and(_T_2162, _T_2163) @[lsu_bus_buffer.scala 407:71] + node _T_2165 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 407:104] + node _T_2166 = and(_T_2164, _T_2165) @[lsu_bus_buffer.scala 407:92] + node _T_2167 = or(_T_2159, _T_2166) @[lsu_bus_buffer.scala 406:86] + node _T_2168 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2169 = and(_T_2168, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2170 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:64] + node _T_2171 = and(_T_2169, _T_2170) @[lsu_bus_buffer.scala 408:52] + node _T_2172 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:85] + node _T_2173 = and(_T_2171, _T_2172) @[lsu_bus_buffer.scala 408:73] + node _T_2174 = or(_T_2167, _T_2173) @[lsu_bus_buffer.scala 407:114] + node _T_2175 = and(_T_2154, _T_2174) @[lsu_bus_buffer.scala 405:113] + node _T_2176 = bits(buf_age[0], 1, 1) @[lsu_bus_buffer.scala 408:109] + node _T_2177 = or(_T_2175, _T_2176) @[lsu_bus_buffer.scala 408:97] + node _T_2178 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2179 = and(_T_2178, buf_state_en[0]) @[lsu_bus_buffer.scala 405:94] + node _T_2180 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2181 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2182 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2183 = and(_T_2181, _T_2182) @[lsu_bus_buffer.scala 406:57] + node _T_2184 = or(_T_2180, _T_2183) @[lsu_bus_buffer.scala 406:31] + node _T_2185 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2186 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2187 = and(_T_2185, _T_2186) @[lsu_bus_buffer.scala 407:41] + node _T_2188 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 407:83] + node _T_2189 = and(_T_2187, _T_2188) @[lsu_bus_buffer.scala 407:71] + node _T_2190 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 407:104] + node _T_2191 = and(_T_2189, _T_2190) @[lsu_bus_buffer.scala 407:92] + node _T_2192 = or(_T_2184, _T_2191) @[lsu_bus_buffer.scala 406:86] + node _T_2193 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2194 = and(_T_2193, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2195 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:64] + node _T_2196 = and(_T_2194, _T_2195) @[lsu_bus_buffer.scala 408:52] + node _T_2197 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:85] + node _T_2198 = and(_T_2196, _T_2197) @[lsu_bus_buffer.scala 408:73] + node _T_2199 = or(_T_2192, _T_2198) @[lsu_bus_buffer.scala 407:114] + node _T_2200 = and(_T_2179, _T_2199) @[lsu_bus_buffer.scala 405:113] + node _T_2201 = bits(buf_age[0], 2, 2) @[lsu_bus_buffer.scala 408:109] + node _T_2202 = or(_T_2200, _T_2201) @[lsu_bus_buffer.scala 408:97] + node _T_2203 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2204 = and(_T_2203, buf_state_en[0]) @[lsu_bus_buffer.scala 405:94] + node _T_2205 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2206 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2207 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2208 = and(_T_2206, _T_2207) @[lsu_bus_buffer.scala 406:57] + node _T_2209 = or(_T_2205, _T_2208) @[lsu_bus_buffer.scala 406:31] + node _T_2210 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2211 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2212 = and(_T_2210, _T_2211) @[lsu_bus_buffer.scala 407:41] + node _T_2213 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 407:83] + node _T_2214 = and(_T_2212, _T_2213) @[lsu_bus_buffer.scala 407:71] + node _T_2215 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 407:104] + node _T_2216 = and(_T_2214, _T_2215) @[lsu_bus_buffer.scala 407:92] + node _T_2217 = or(_T_2209, _T_2216) @[lsu_bus_buffer.scala 406:86] + node _T_2218 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2219 = and(_T_2218, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2220 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:64] + node _T_2221 = and(_T_2219, _T_2220) @[lsu_bus_buffer.scala 408:52] + node _T_2222 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:85] + node _T_2223 = and(_T_2221, _T_2222) @[lsu_bus_buffer.scala 408:73] + node _T_2224 = or(_T_2217, _T_2223) @[lsu_bus_buffer.scala 407:114] + node _T_2225 = and(_T_2204, _T_2224) @[lsu_bus_buffer.scala 405:113] + node _T_2226 = bits(buf_age[0], 3, 3) @[lsu_bus_buffer.scala 408:109] + node _T_2227 = or(_T_2225, _T_2226) @[lsu_bus_buffer.scala 408:97] + node _T_2228 = cat(_T_2227, _T_2202) @[Cat.scala 29:58] + node _T_2229 = cat(_T_2228, _T_2177) @[Cat.scala 29:58] + node buf_age_in_0 = cat(_T_2229, _T_2152) @[Cat.scala 29:58] + node _T_2230 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2231 = and(_T_2230, buf_state_en[1]) @[lsu_bus_buffer.scala 405:94] + node _T_2232 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2233 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2234 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2235 = and(_T_2233, _T_2234) @[lsu_bus_buffer.scala 406:57] + node _T_2236 = or(_T_2232, _T_2235) @[lsu_bus_buffer.scala 406:31] + node _T_2237 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2238 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2239 = and(_T_2237, _T_2238) @[lsu_bus_buffer.scala 407:41] + node _T_2240 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 407:83] + node _T_2241 = and(_T_2239, _T_2240) @[lsu_bus_buffer.scala 407:71] + node _T_2242 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 407:104] + node _T_2243 = and(_T_2241, _T_2242) @[lsu_bus_buffer.scala 407:92] + node _T_2244 = or(_T_2236, _T_2243) @[lsu_bus_buffer.scala 406:86] + node _T_2245 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2246 = and(_T_2245, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2247 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:64] + node _T_2248 = and(_T_2246, _T_2247) @[lsu_bus_buffer.scala 408:52] + node _T_2249 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:85] + node _T_2250 = and(_T_2248, _T_2249) @[lsu_bus_buffer.scala 408:73] + node _T_2251 = or(_T_2244, _T_2250) @[lsu_bus_buffer.scala 407:114] + node _T_2252 = and(_T_2231, _T_2251) @[lsu_bus_buffer.scala 405:113] + node _T_2253 = bits(buf_age[1], 0, 0) @[lsu_bus_buffer.scala 408:109] + node _T_2254 = or(_T_2252, _T_2253) @[lsu_bus_buffer.scala 408:97] + node _T_2255 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2256 = and(_T_2255, buf_state_en[1]) @[lsu_bus_buffer.scala 405:94] + node _T_2257 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2258 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2259 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2260 = and(_T_2258, _T_2259) @[lsu_bus_buffer.scala 406:57] + node _T_2261 = or(_T_2257, _T_2260) @[lsu_bus_buffer.scala 406:31] + node _T_2262 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2263 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2264 = and(_T_2262, _T_2263) @[lsu_bus_buffer.scala 407:41] + node _T_2265 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 407:83] + node _T_2266 = and(_T_2264, _T_2265) @[lsu_bus_buffer.scala 407:71] + node _T_2267 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 407:104] + node _T_2268 = and(_T_2266, _T_2267) @[lsu_bus_buffer.scala 407:92] + node _T_2269 = or(_T_2261, _T_2268) @[lsu_bus_buffer.scala 406:86] + node _T_2270 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2271 = and(_T_2270, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2272 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:64] + node _T_2273 = and(_T_2271, _T_2272) @[lsu_bus_buffer.scala 408:52] + node _T_2274 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:85] + node _T_2275 = and(_T_2273, _T_2274) @[lsu_bus_buffer.scala 408:73] + node _T_2276 = or(_T_2269, _T_2275) @[lsu_bus_buffer.scala 407:114] + node _T_2277 = and(_T_2256, _T_2276) @[lsu_bus_buffer.scala 405:113] + node _T_2278 = bits(buf_age[1], 1, 1) @[lsu_bus_buffer.scala 408:109] + node _T_2279 = or(_T_2277, _T_2278) @[lsu_bus_buffer.scala 408:97] + node _T_2280 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2281 = and(_T_2280, buf_state_en[1]) @[lsu_bus_buffer.scala 405:94] + node _T_2282 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2283 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2284 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2285 = and(_T_2283, _T_2284) @[lsu_bus_buffer.scala 406:57] + node _T_2286 = or(_T_2282, _T_2285) @[lsu_bus_buffer.scala 406:31] + node _T_2287 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2288 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2289 = and(_T_2287, _T_2288) @[lsu_bus_buffer.scala 407:41] + node _T_2290 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 407:83] + node _T_2291 = and(_T_2289, _T_2290) @[lsu_bus_buffer.scala 407:71] + node _T_2292 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 407:104] + node _T_2293 = and(_T_2291, _T_2292) @[lsu_bus_buffer.scala 407:92] + node _T_2294 = or(_T_2286, _T_2293) @[lsu_bus_buffer.scala 406:86] + node _T_2295 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2296 = and(_T_2295, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2297 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:64] + node _T_2298 = and(_T_2296, _T_2297) @[lsu_bus_buffer.scala 408:52] + node _T_2299 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:85] + node _T_2300 = and(_T_2298, _T_2299) @[lsu_bus_buffer.scala 408:73] + node _T_2301 = or(_T_2294, _T_2300) @[lsu_bus_buffer.scala 407:114] + node _T_2302 = and(_T_2281, _T_2301) @[lsu_bus_buffer.scala 405:113] + node _T_2303 = bits(buf_age[1], 2, 2) @[lsu_bus_buffer.scala 408:109] + node _T_2304 = or(_T_2302, _T_2303) @[lsu_bus_buffer.scala 408:97] + node _T_2305 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2306 = and(_T_2305, buf_state_en[1]) @[lsu_bus_buffer.scala 405:94] + node _T_2307 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2308 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2309 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2310 = and(_T_2308, _T_2309) @[lsu_bus_buffer.scala 406:57] + node _T_2311 = or(_T_2307, _T_2310) @[lsu_bus_buffer.scala 406:31] + node _T_2312 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2313 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2314 = and(_T_2312, _T_2313) @[lsu_bus_buffer.scala 407:41] + node _T_2315 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 407:83] + node _T_2316 = and(_T_2314, _T_2315) @[lsu_bus_buffer.scala 407:71] + node _T_2317 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 407:104] + node _T_2318 = and(_T_2316, _T_2317) @[lsu_bus_buffer.scala 407:92] + node _T_2319 = or(_T_2311, _T_2318) @[lsu_bus_buffer.scala 406:86] + node _T_2320 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2321 = and(_T_2320, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2322 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:64] + node _T_2323 = and(_T_2321, _T_2322) @[lsu_bus_buffer.scala 408:52] + node _T_2324 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:85] + node _T_2325 = and(_T_2323, _T_2324) @[lsu_bus_buffer.scala 408:73] + node _T_2326 = or(_T_2319, _T_2325) @[lsu_bus_buffer.scala 407:114] + node _T_2327 = and(_T_2306, _T_2326) @[lsu_bus_buffer.scala 405:113] + node _T_2328 = bits(buf_age[1], 3, 3) @[lsu_bus_buffer.scala 408:109] + node _T_2329 = or(_T_2327, _T_2328) @[lsu_bus_buffer.scala 408:97] + node _T_2330 = cat(_T_2329, _T_2304) @[Cat.scala 29:58] + node _T_2331 = cat(_T_2330, _T_2279) @[Cat.scala 29:58] + node buf_age_in_1 = cat(_T_2331, _T_2254) @[Cat.scala 29:58] + node _T_2332 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2333 = and(_T_2332, buf_state_en[2]) @[lsu_bus_buffer.scala 405:94] + node _T_2334 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2335 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2336 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2337 = and(_T_2335, _T_2336) @[lsu_bus_buffer.scala 406:57] + node _T_2338 = or(_T_2334, _T_2337) @[lsu_bus_buffer.scala 406:31] + node _T_2339 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2340 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2341 = and(_T_2339, _T_2340) @[lsu_bus_buffer.scala 407:41] + node _T_2342 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 407:83] + node _T_2343 = and(_T_2341, _T_2342) @[lsu_bus_buffer.scala 407:71] + node _T_2344 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 407:104] + node _T_2345 = and(_T_2343, _T_2344) @[lsu_bus_buffer.scala 407:92] + node _T_2346 = or(_T_2338, _T_2345) @[lsu_bus_buffer.scala 406:86] + node _T_2347 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2348 = and(_T_2347, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2349 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:64] + node _T_2350 = and(_T_2348, _T_2349) @[lsu_bus_buffer.scala 408:52] + node _T_2351 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:85] + node _T_2352 = and(_T_2350, _T_2351) @[lsu_bus_buffer.scala 408:73] + node _T_2353 = or(_T_2346, _T_2352) @[lsu_bus_buffer.scala 407:114] + node _T_2354 = and(_T_2333, _T_2353) @[lsu_bus_buffer.scala 405:113] + node _T_2355 = bits(buf_age[2], 0, 0) @[lsu_bus_buffer.scala 408:109] + node _T_2356 = or(_T_2354, _T_2355) @[lsu_bus_buffer.scala 408:97] + node _T_2357 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2358 = and(_T_2357, buf_state_en[2]) @[lsu_bus_buffer.scala 405:94] + node _T_2359 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2360 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2361 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2362 = and(_T_2360, _T_2361) @[lsu_bus_buffer.scala 406:57] + node _T_2363 = or(_T_2359, _T_2362) @[lsu_bus_buffer.scala 406:31] + node _T_2364 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2365 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2366 = and(_T_2364, _T_2365) @[lsu_bus_buffer.scala 407:41] + node _T_2367 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 407:83] + node _T_2368 = and(_T_2366, _T_2367) @[lsu_bus_buffer.scala 407:71] + node _T_2369 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 407:104] + node _T_2370 = and(_T_2368, _T_2369) @[lsu_bus_buffer.scala 407:92] + node _T_2371 = or(_T_2363, _T_2370) @[lsu_bus_buffer.scala 406:86] + node _T_2372 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2373 = and(_T_2372, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2374 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:64] + node _T_2375 = and(_T_2373, _T_2374) @[lsu_bus_buffer.scala 408:52] + node _T_2376 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:85] + node _T_2377 = and(_T_2375, _T_2376) @[lsu_bus_buffer.scala 408:73] + node _T_2378 = or(_T_2371, _T_2377) @[lsu_bus_buffer.scala 407:114] + node _T_2379 = and(_T_2358, _T_2378) @[lsu_bus_buffer.scala 405:113] + node _T_2380 = bits(buf_age[2], 1, 1) @[lsu_bus_buffer.scala 408:109] + node _T_2381 = or(_T_2379, _T_2380) @[lsu_bus_buffer.scala 408:97] + node _T_2382 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2383 = and(_T_2382, buf_state_en[2]) @[lsu_bus_buffer.scala 405:94] + node _T_2384 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2385 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2386 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2387 = and(_T_2385, _T_2386) @[lsu_bus_buffer.scala 406:57] + node _T_2388 = or(_T_2384, _T_2387) @[lsu_bus_buffer.scala 406:31] + node _T_2389 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2390 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2391 = and(_T_2389, _T_2390) @[lsu_bus_buffer.scala 407:41] + node _T_2392 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 407:83] + node _T_2393 = and(_T_2391, _T_2392) @[lsu_bus_buffer.scala 407:71] + node _T_2394 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 407:104] + node _T_2395 = and(_T_2393, _T_2394) @[lsu_bus_buffer.scala 407:92] + node _T_2396 = or(_T_2388, _T_2395) @[lsu_bus_buffer.scala 406:86] + node _T_2397 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2398 = and(_T_2397, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2399 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:64] + node _T_2400 = and(_T_2398, _T_2399) @[lsu_bus_buffer.scala 408:52] + node _T_2401 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:85] + node _T_2402 = and(_T_2400, _T_2401) @[lsu_bus_buffer.scala 408:73] + node _T_2403 = or(_T_2396, _T_2402) @[lsu_bus_buffer.scala 407:114] + node _T_2404 = and(_T_2383, _T_2403) @[lsu_bus_buffer.scala 405:113] + node _T_2405 = bits(buf_age[2], 2, 2) @[lsu_bus_buffer.scala 408:109] + node _T_2406 = or(_T_2404, _T_2405) @[lsu_bus_buffer.scala 408:97] + node _T_2407 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2408 = and(_T_2407, buf_state_en[2]) @[lsu_bus_buffer.scala 405:94] + node _T_2409 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2410 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2411 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2412 = and(_T_2410, _T_2411) @[lsu_bus_buffer.scala 406:57] + node _T_2413 = or(_T_2409, _T_2412) @[lsu_bus_buffer.scala 406:31] + node _T_2414 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2415 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2416 = and(_T_2414, _T_2415) @[lsu_bus_buffer.scala 407:41] + node _T_2417 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 407:83] + node _T_2418 = and(_T_2416, _T_2417) @[lsu_bus_buffer.scala 407:71] + node _T_2419 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 407:104] + node _T_2420 = and(_T_2418, _T_2419) @[lsu_bus_buffer.scala 407:92] + node _T_2421 = or(_T_2413, _T_2420) @[lsu_bus_buffer.scala 406:86] + node _T_2422 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2423 = and(_T_2422, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2424 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:64] + node _T_2425 = and(_T_2423, _T_2424) @[lsu_bus_buffer.scala 408:52] + node _T_2426 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:85] + node _T_2427 = and(_T_2425, _T_2426) @[lsu_bus_buffer.scala 408:73] + node _T_2428 = or(_T_2421, _T_2427) @[lsu_bus_buffer.scala 407:114] + node _T_2429 = and(_T_2408, _T_2428) @[lsu_bus_buffer.scala 405:113] + node _T_2430 = bits(buf_age[2], 3, 3) @[lsu_bus_buffer.scala 408:109] + node _T_2431 = or(_T_2429, _T_2430) @[lsu_bus_buffer.scala 408:97] + node _T_2432 = cat(_T_2431, _T_2406) @[Cat.scala 29:58] + node _T_2433 = cat(_T_2432, _T_2381) @[Cat.scala 29:58] + node buf_age_in_2 = cat(_T_2433, _T_2356) @[Cat.scala 29:58] + node _T_2434 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2435 = and(_T_2434, buf_state_en[3]) @[lsu_bus_buffer.scala 405:94] + node _T_2436 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2437 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2438 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2439 = and(_T_2437, _T_2438) @[lsu_bus_buffer.scala 406:57] + node _T_2440 = or(_T_2436, _T_2439) @[lsu_bus_buffer.scala 406:31] + node _T_2441 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2442 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2443 = and(_T_2441, _T_2442) @[lsu_bus_buffer.scala 407:41] + node _T_2444 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 407:83] + node _T_2445 = and(_T_2443, _T_2444) @[lsu_bus_buffer.scala 407:71] + node _T_2446 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 407:104] + node _T_2447 = and(_T_2445, _T_2446) @[lsu_bus_buffer.scala 407:92] + node _T_2448 = or(_T_2440, _T_2447) @[lsu_bus_buffer.scala 406:86] + node _T_2449 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2450 = and(_T_2449, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2451 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:64] + node _T_2452 = and(_T_2450, _T_2451) @[lsu_bus_buffer.scala 408:52] + node _T_2453 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:85] + node _T_2454 = and(_T_2452, _T_2453) @[lsu_bus_buffer.scala 408:73] + node _T_2455 = or(_T_2448, _T_2454) @[lsu_bus_buffer.scala 407:114] + node _T_2456 = and(_T_2435, _T_2455) @[lsu_bus_buffer.scala 405:113] + node _T_2457 = bits(buf_age[3], 0, 0) @[lsu_bus_buffer.scala 408:109] + node _T_2458 = or(_T_2456, _T_2457) @[lsu_bus_buffer.scala 408:97] + node _T_2459 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2460 = and(_T_2459, buf_state_en[3]) @[lsu_bus_buffer.scala 405:94] + node _T_2461 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2462 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2463 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2464 = and(_T_2462, _T_2463) @[lsu_bus_buffer.scala 406:57] + node _T_2465 = or(_T_2461, _T_2464) @[lsu_bus_buffer.scala 406:31] + node _T_2466 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2467 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2468 = and(_T_2466, _T_2467) @[lsu_bus_buffer.scala 407:41] + node _T_2469 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 407:83] + node _T_2470 = and(_T_2468, _T_2469) @[lsu_bus_buffer.scala 407:71] + node _T_2471 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 407:104] + node _T_2472 = and(_T_2470, _T_2471) @[lsu_bus_buffer.scala 407:92] + node _T_2473 = or(_T_2465, _T_2472) @[lsu_bus_buffer.scala 406:86] + node _T_2474 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2475 = and(_T_2474, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2476 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:64] + node _T_2477 = and(_T_2475, _T_2476) @[lsu_bus_buffer.scala 408:52] + node _T_2478 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:85] + node _T_2479 = and(_T_2477, _T_2478) @[lsu_bus_buffer.scala 408:73] + node _T_2480 = or(_T_2473, _T_2479) @[lsu_bus_buffer.scala 407:114] + node _T_2481 = and(_T_2460, _T_2480) @[lsu_bus_buffer.scala 405:113] + node _T_2482 = bits(buf_age[3], 1, 1) @[lsu_bus_buffer.scala 408:109] + node _T_2483 = or(_T_2481, _T_2482) @[lsu_bus_buffer.scala 408:97] + node _T_2484 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2485 = and(_T_2484, buf_state_en[3]) @[lsu_bus_buffer.scala 405:94] + node _T_2486 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2487 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2488 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2489 = and(_T_2487, _T_2488) @[lsu_bus_buffer.scala 406:57] + node _T_2490 = or(_T_2486, _T_2489) @[lsu_bus_buffer.scala 406:31] + node _T_2491 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2492 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2493 = and(_T_2491, _T_2492) @[lsu_bus_buffer.scala 407:41] + node _T_2494 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 407:83] + node _T_2495 = and(_T_2493, _T_2494) @[lsu_bus_buffer.scala 407:71] + node _T_2496 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 407:104] + node _T_2497 = and(_T_2495, _T_2496) @[lsu_bus_buffer.scala 407:92] + node _T_2498 = or(_T_2490, _T_2497) @[lsu_bus_buffer.scala 406:86] + node _T_2499 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2500 = and(_T_2499, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2501 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:64] + node _T_2502 = and(_T_2500, _T_2501) @[lsu_bus_buffer.scala 408:52] + node _T_2503 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:85] + node _T_2504 = and(_T_2502, _T_2503) @[lsu_bus_buffer.scala 408:73] + node _T_2505 = or(_T_2498, _T_2504) @[lsu_bus_buffer.scala 407:114] + node _T_2506 = and(_T_2485, _T_2505) @[lsu_bus_buffer.scala 405:113] + node _T_2507 = bits(buf_age[3], 2, 2) @[lsu_bus_buffer.scala 408:109] + node _T_2508 = or(_T_2506, _T_2507) @[lsu_bus_buffer.scala 408:97] + node _T_2509 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2510 = and(_T_2509, buf_state_en[3]) @[lsu_bus_buffer.scala 405:94] + node _T_2511 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2512 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2513 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2514 = and(_T_2512, _T_2513) @[lsu_bus_buffer.scala 406:57] + node _T_2515 = or(_T_2511, _T_2514) @[lsu_bus_buffer.scala 406:31] + node _T_2516 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2517 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2518 = and(_T_2516, _T_2517) @[lsu_bus_buffer.scala 407:41] + node _T_2519 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 407:83] + node _T_2520 = and(_T_2518, _T_2519) @[lsu_bus_buffer.scala 407:71] + node _T_2521 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 407:104] + node _T_2522 = and(_T_2520, _T_2521) @[lsu_bus_buffer.scala 407:92] + node _T_2523 = or(_T_2515, _T_2522) @[lsu_bus_buffer.scala 406:86] + node _T_2524 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2525 = and(_T_2524, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2526 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:64] + node _T_2527 = and(_T_2525, _T_2526) @[lsu_bus_buffer.scala 408:52] + node _T_2528 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:85] + node _T_2529 = and(_T_2527, _T_2528) @[lsu_bus_buffer.scala 408:73] + node _T_2530 = or(_T_2523, _T_2529) @[lsu_bus_buffer.scala 407:114] + node _T_2531 = and(_T_2510, _T_2530) @[lsu_bus_buffer.scala 405:113] + node _T_2532 = bits(buf_age[3], 3, 3) @[lsu_bus_buffer.scala 408:109] + node _T_2533 = or(_T_2531, _T_2532) @[lsu_bus_buffer.scala 408:97] + node _T_2534 = cat(_T_2533, _T_2508) @[Cat.scala 29:58] + node _T_2535 = cat(_T_2534, _T_2483) @[Cat.scala 29:58] + node buf_age_in_3 = cat(_T_2535, _T_2458) @[Cat.scala 29:58] + wire buf_ageQ : UInt<4>[4] @[lsu_bus_buffer.scala 409:22] + buf_ageQ[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 410:12] + buf_ageQ[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 410:12] + buf_ageQ[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 410:12] + buf_ageQ[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 410:12] + node _T_2536 = bits(buf_ageQ[0], 0, 0) @[lsu_bus_buffer.scala 411:72] + node _T_2537 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2538 = and(_T_2537, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 411:103] + node _T_2539 = eq(_T_2538, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2540 = and(_T_2536, _T_2539) @[lsu_bus_buffer.scala 411:76] + node _T_2541 = bits(buf_ageQ[0], 1, 1) @[lsu_bus_buffer.scala 411:72] + node _T_2542 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2543 = and(_T_2542, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 411:103] + node _T_2544 = eq(_T_2543, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2545 = and(_T_2541, _T_2544) @[lsu_bus_buffer.scala 411:76] + node _T_2546 = bits(buf_ageQ[0], 2, 2) @[lsu_bus_buffer.scala 411:72] + node _T_2547 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2548 = and(_T_2547, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 411:103] + node _T_2549 = eq(_T_2548, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2550 = and(_T_2546, _T_2549) @[lsu_bus_buffer.scala 411:76] + node _T_2551 = bits(buf_ageQ[0], 3, 3) @[lsu_bus_buffer.scala 411:72] + node _T_2552 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2553 = and(_T_2552, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 411:103] + node _T_2554 = eq(_T_2553, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2555 = and(_T_2551, _T_2554) @[lsu_bus_buffer.scala 411:76] + node _T_2556 = cat(_T_2555, _T_2550) @[Cat.scala 29:58] + node _T_2557 = cat(_T_2556, _T_2545) @[Cat.scala 29:58] + node _T_2558 = cat(_T_2557, _T_2540) @[Cat.scala 29:58] + node _T_2559 = bits(buf_ageQ[1], 0, 0) @[lsu_bus_buffer.scala 411:72] + node _T_2560 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2561 = and(_T_2560, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 411:103] + node _T_2562 = eq(_T_2561, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2563 = and(_T_2559, _T_2562) @[lsu_bus_buffer.scala 411:76] + node _T_2564 = bits(buf_ageQ[1], 1, 1) @[lsu_bus_buffer.scala 411:72] + node _T_2565 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2566 = and(_T_2565, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 411:103] + node _T_2567 = eq(_T_2566, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2568 = and(_T_2564, _T_2567) @[lsu_bus_buffer.scala 411:76] + node _T_2569 = bits(buf_ageQ[1], 2, 2) @[lsu_bus_buffer.scala 411:72] + node _T_2570 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2571 = and(_T_2570, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 411:103] + node _T_2572 = eq(_T_2571, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2573 = and(_T_2569, _T_2572) @[lsu_bus_buffer.scala 411:76] + node _T_2574 = bits(buf_ageQ[1], 3, 3) @[lsu_bus_buffer.scala 411:72] + node _T_2575 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2576 = and(_T_2575, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 411:103] + node _T_2577 = eq(_T_2576, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2578 = and(_T_2574, _T_2577) @[lsu_bus_buffer.scala 411:76] + node _T_2579 = cat(_T_2578, _T_2573) @[Cat.scala 29:58] + node _T_2580 = cat(_T_2579, _T_2568) @[Cat.scala 29:58] + node _T_2581 = cat(_T_2580, _T_2563) @[Cat.scala 29:58] + node _T_2582 = bits(buf_ageQ[2], 0, 0) @[lsu_bus_buffer.scala 411:72] + node _T_2583 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2584 = and(_T_2583, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 411:103] + node _T_2585 = eq(_T_2584, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2586 = and(_T_2582, _T_2585) @[lsu_bus_buffer.scala 411:76] + node _T_2587 = bits(buf_ageQ[2], 1, 1) @[lsu_bus_buffer.scala 411:72] + node _T_2588 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2589 = and(_T_2588, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 411:103] + node _T_2590 = eq(_T_2589, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2591 = and(_T_2587, _T_2590) @[lsu_bus_buffer.scala 411:76] + node _T_2592 = bits(buf_ageQ[2], 2, 2) @[lsu_bus_buffer.scala 411:72] + node _T_2593 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2594 = and(_T_2593, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 411:103] + node _T_2595 = eq(_T_2594, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2596 = and(_T_2592, _T_2595) @[lsu_bus_buffer.scala 411:76] + node _T_2597 = bits(buf_ageQ[2], 3, 3) @[lsu_bus_buffer.scala 411:72] + node _T_2598 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2599 = and(_T_2598, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 411:103] + node _T_2600 = eq(_T_2599, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2601 = and(_T_2597, _T_2600) @[lsu_bus_buffer.scala 411:76] + node _T_2602 = cat(_T_2601, _T_2596) @[Cat.scala 29:58] + node _T_2603 = cat(_T_2602, _T_2591) @[Cat.scala 29:58] + node _T_2604 = cat(_T_2603, _T_2586) @[Cat.scala 29:58] + node _T_2605 = bits(buf_ageQ[3], 0, 0) @[lsu_bus_buffer.scala 411:72] + node _T_2606 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2607 = and(_T_2606, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 411:103] + node _T_2608 = eq(_T_2607, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2609 = and(_T_2605, _T_2608) @[lsu_bus_buffer.scala 411:76] + node _T_2610 = bits(buf_ageQ[3], 1, 1) @[lsu_bus_buffer.scala 411:72] + node _T_2611 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2612 = and(_T_2611, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 411:103] + node _T_2613 = eq(_T_2612, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2614 = and(_T_2610, _T_2613) @[lsu_bus_buffer.scala 411:76] + node _T_2615 = bits(buf_ageQ[3], 2, 2) @[lsu_bus_buffer.scala 411:72] + node _T_2616 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2617 = and(_T_2616, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 411:103] + node _T_2618 = eq(_T_2617, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2619 = and(_T_2615, _T_2618) @[lsu_bus_buffer.scala 411:76] + node _T_2620 = bits(buf_ageQ[3], 3, 3) @[lsu_bus_buffer.scala 411:72] + node _T_2621 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2622 = and(_T_2621, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 411:103] + node _T_2623 = eq(_T_2622, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2624 = and(_T_2620, _T_2623) @[lsu_bus_buffer.scala 411:76] + node _T_2625 = cat(_T_2624, _T_2619) @[Cat.scala 29:58] + node _T_2626 = cat(_T_2625, _T_2614) @[Cat.scala 29:58] + node _T_2627 = cat(_T_2626, _T_2609) @[Cat.scala 29:58] + buf_age[0] <= _T_2558 @[lsu_bus_buffer.scala 411:11] + buf_age[1] <= _T_2581 @[lsu_bus_buffer.scala 411:11] + buf_age[2] <= _T_2604 @[lsu_bus_buffer.scala 411:11] + buf_age[3] <= _T_2627 @[lsu_bus_buffer.scala 411:11] + node _T_2628 = eq(UInt<1>("h00"), UInt<1>("h00")) @[lsu_bus_buffer.scala 412:76] + node _T_2629 = bits(buf_age[0], 0, 0) @[lsu_bus_buffer.scala 412:100] + node _T_2630 = eq(_T_2629, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2631 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2632 = and(_T_2630, _T_2631) @[lsu_bus_buffer.scala 412:104] + node _T_2633 = mux(_T_2628, UInt<1>("h00"), _T_2632) @[lsu_bus_buffer.scala 412:72] + node _T_2634 = eq(UInt<1>("h00"), UInt<1>("h01")) @[lsu_bus_buffer.scala 412:76] + node _T_2635 = bits(buf_age[0], 1, 1) @[lsu_bus_buffer.scala 412:100] + node _T_2636 = eq(_T_2635, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2637 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2638 = and(_T_2636, _T_2637) @[lsu_bus_buffer.scala 412:104] + node _T_2639 = mux(_T_2634, UInt<1>("h00"), _T_2638) @[lsu_bus_buffer.scala 412:72] + node _T_2640 = eq(UInt<1>("h00"), UInt<2>("h02")) @[lsu_bus_buffer.scala 412:76] + node _T_2641 = bits(buf_age[0], 2, 2) @[lsu_bus_buffer.scala 412:100] + node _T_2642 = eq(_T_2641, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2643 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2644 = and(_T_2642, _T_2643) @[lsu_bus_buffer.scala 412:104] + node _T_2645 = mux(_T_2640, UInt<1>("h00"), _T_2644) @[lsu_bus_buffer.scala 412:72] + node _T_2646 = eq(UInt<1>("h00"), UInt<2>("h03")) @[lsu_bus_buffer.scala 412:76] + node _T_2647 = bits(buf_age[0], 3, 3) @[lsu_bus_buffer.scala 412:100] + node _T_2648 = eq(_T_2647, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2649 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2650 = and(_T_2648, _T_2649) @[lsu_bus_buffer.scala 412:104] + node _T_2651 = mux(_T_2646, UInt<1>("h00"), _T_2650) @[lsu_bus_buffer.scala 412:72] + node _T_2652 = cat(_T_2651, _T_2645) @[Cat.scala 29:58] + node _T_2653 = cat(_T_2652, _T_2639) @[Cat.scala 29:58] + node _T_2654 = cat(_T_2653, _T_2633) @[Cat.scala 29:58] + node _T_2655 = eq(UInt<1>("h01"), UInt<1>("h00")) @[lsu_bus_buffer.scala 412:76] + node _T_2656 = bits(buf_age[1], 0, 0) @[lsu_bus_buffer.scala 412:100] + node _T_2657 = eq(_T_2656, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2658 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2659 = and(_T_2657, _T_2658) @[lsu_bus_buffer.scala 412:104] + node _T_2660 = mux(_T_2655, UInt<1>("h00"), _T_2659) @[lsu_bus_buffer.scala 412:72] + node _T_2661 = eq(UInt<1>("h01"), UInt<1>("h01")) @[lsu_bus_buffer.scala 412:76] + node _T_2662 = bits(buf_age[1], 1, 1) @[lsu_bus_buffer.scala 412:100] + node _T_2663 = eq(_T_2662, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2664 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2665 = and(_T_2663, _T_2664) @[lsu_bus_buffer.scala 412:104] + node _T_2666 = mux(_T_2661, UInt<1>("h00"), _T_2665) @[lsu_bus_buffer.scala 412:72] + node _T_2667 = eq(UInt<1>("h01"), UInt<2>("h02")) @[lsu_bus_buffer.scala 412:76] + node _T_2668 = bits(buf_age[1], 2, 2) @[lsu_bus_buffer.scala 412:100] + node _T_2669 = eq(_T_2668, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2670 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2671 = and(_T_2669, _T_2670) @[lsu_bus_buffer.scala 412:104] + node _T_2672 = mux(_T_2667, UInt<1>("h00"), _T_2671) @[lsu_bus_buffer.scala 412:72] + node _T_2673 = eq(UInt<1>("h01"), UInt<2>("h03")) @[lsu_bus_buffer.scala 412:76] + node _T_2674 = bits(buf_age[1], 3, 3) @[lsu_bus_buffer.scala 412:100] + node _T_2675 = eq(_T_2674, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2676 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2677 = and(_T_2675, _T_2676) @[lsu_bus_buffer.scala 412:104] + node _T_2678 = mux(_T_2673, UInt<1>("h00"), _T_2677) @[lsu_bus_buffer.scala 412:72] + node _T_2679 = cat(_T_2678, _T_2672) @[Cat.scala 29:58] + node _T_2680 = cat(_T_2679, _T_2666) @[Cat.scala 29:58] + node _T_2681 = cat(_T_2680, _T_2660) @[Cat.scala 29:58] + node _T_2682 = eq(UInt<2>("h02"), UInt<1>("h00")) @[lsu_bus_buffer.scala 412:76] + node _T_2683 = bits(buf_age[2], 0, 0) @[lsu_bus_buffer.scala 412:100] + node _T_2684 = eq(_T_2683, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2685 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2686 = and(_T_2684, _T_2685) @[lsu_bus_buffer.scala 412:104] + node _T_2687 = mux(_T_2682, UInt<1>("h00"), _T_2686) @[lsu_bus_buffer.scala 412:72] + node _T_2688 = eq(UInt<2>("h02"), UInt<1>("h01")) @[lsu_bus_buffer.scala 412:76] + node _T_2689 = bits(buf_age[2], 1, 1) @[lsu_bus_buffer.scala 412:100] + node _T_2690 = eq(_T_2689, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2691 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2692 = and(_T_2690, _T_2691) @[lsu_bus_buffer.scala 412:104] + node _T_2693 = mux(_T_2688, UInt<1>("h00"), _T_2692) @[lsu_bus_buffer.scala 412:72] + node _T_2694 = eq(UInt<2>("h02"), UInt<2>("h02")) @[lsu_bus_buffer.scala 412:76] + node _T_2695 = bits(buf_age[2], 2, 2) @[lsu_bus_buffer.scala 412:100] + node _T_2696 = eq(_T_2695, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2697 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2698 = and(_T_2696, _T_2697) @[lsu_bus_buffer.scala 412:104] + node _T_2699 = mux(_T_2694, UInt<1>("h00"), _T_2698) @[lsu_bus_buffer.scala 412:72] + node _T_2700 = eq(UInt<2>("h02"), UInt<2>("h03")) @[lsu_bus_buffer.scala 412:76] + node _T_2701 = bits(buf_age[2], 3, 3) @[lsu_bus_buffer.scala 412:100] + node _T_2702 = eq(_T_2701, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2703 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2704 = and(_T_2702, _T_2703) @[lsu_bus_buffer.scala 412:104] + node _T_2705 = mux(_T_2700, UInt<1>("h00"), _T_2704) @[lsu_bus_buffer.scala 412:72] + node _T_2706 = cat(_T_2705, _T_2699) @[Cat.scala 29:58] + node _T_2707 = cat(_T_2706, _T_2693) @[Cat.scala 29:58] + node _T_2708 = cat(_T_2707, _T_2687) @[Cat.scala 29:58] + node _T_2709 = eq(UInt<2>("h03"), UInt<1>("h00")) @[lsu_bus_buffer.scala 412:76] + node _T_2710 = bits(buf_age[3], 0, 0) @[lsu_bus_buffer.scala 412:100] + node _T_2711 = eq(_T_2710, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2712 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2713 = and(_T_2711, _T_2712) @[lsu_bus_buffer.scala 412:104] + node _T_2714 = mux(_T_2709, UInt<1>("h00"), _T_2713) @[lsu_bus_buffer.scala 412:72] + node _T_2715 = eq(UInt<2>("h03"), UInt<1>("h01")) @[lsu_bus_buffer.scala 412:76] + node _T_2716 = bits(buf_age[3], 1, 1) @[lsu_bus_buffer.scala 412:100] + node _T_2717 = eq(_T_2716, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2718 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2719 = and(_T_2717, _T_2718) @[lsu_bus_buffer.scala 412:104] + node _T_2720 = mux(_T_2715, UInt<1>("h00"), _T_2719) @[lsu_bus_buffer.scala 412:72] + node _T_2721 = eq(UInt<2>("h03"), UInt<2>("h02")) @[lsu_bus_buffer.scala 412:76] + node _T_2722 = bits(buf_age[3], 2, 2) @[lsu_bus_buffer.scala 412:100] + node _T_2723 = eq(_T_2722, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2724 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2725 = and(_T_2723, _T_2724) @[lsu_bus_buffer.scala 412:104] + node _T_2726 = mux(_T_2721, UInt<1>("h00"), _T_2725) @[lsu_bus_buffer.scala 412:72] + node _T_2727 = eq(UInt<2>("h03"), UInt<2>("h03")) @[lsu_bus_buffer.scala 412:76] + node _T_2728 = bits(buf_age[3], 3, 3) @[lsu_bus_buffer.scala 412:100] + node _T_2729 = eq(_T_2728, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2730 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2731 = and(_T_2729, _T_2730) @[lsu_bus_buffer.scala 412:104] + node _T_2732 = mux(_T_2727, UInt<1>("h00"), _T_2731) @[lsu_bus_buffer.scala 412:72] + node _T_2733 = cat(_T_2732, _T_2726) @[Cat.scala 29:58] + node _T_2734 = cat(_T_2733, _T_2720) @[Cat.scala 29:58] + node _T_2735 = cat(_T_2734, _T_2714) @[Cat.scala 29:58] + buf_age_younger[0] <= _T_2654 @[lsu_bus_buffer.scala 412:19] + buf_age_younger[1] <= _T_2681 @[lsu_bus_buffer.scala 412:19] + buf_age_younger[2] <= _T_2708 @[lsu_bus_buffer.scala 412:19] + buf_age_younger[3] <= _T_2735 @[lsu_bus_buffer.scala 412:19] + node _T_2736 = bits(buf_rspageQ[0], 0, 0) @[lsu_bus_buffer.scala 413:83] + node _T_2737 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2738 = and(_T_2736, _T_2737) @[lsu_bus_buffer.scala 413:87] + node _T_2739 = bits(buf_rspageQ[0], 1, 1) @[lsu_bus_buffer.scala 413:83] + node _T_2740 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2741 = and(_T_2739, _T_2740) @[lsu_bus_buffer.scala 413:87] + node _T_2742 = bits(buf_rspageQ[0], 2, 2) @[lsu_bus_buffer.scala 413:83] + node _T_2743 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2744 = and(_T_2742, _T_2743) @[lsu_bus_buffer.scala 413:87] + node _T_2745 = bits(buf_rspageQ[0], 3, 3) @[lsu_bus_buffer.scala 413:83] + node _T_2746 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2747 = and(_T_2745, _T_2746) @[lsu_bus_buffer.scala 413:87] + node _T_2748 = cat(_T_2747, _T_2744) @[Cat.scala 29:58] + node _T_2749 = cat(_T_2748, _T_2741) @[Cat.scala 29:58] + node _T_2750 = cat(_T_2749, _T_2738) @[Cat.scala 29:58] + node _T_2751 = bits(buf_rspageQ[1], 0, 0) @[lsu_bus_buffer.scala 413:83] + node _T_2752 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2753 = and(_T_2751, _T_2752) @[lsu_bus_buffer.scala 413:87] + node _T_2754 = bits(buf_rspageQ[1], 1, 1) @[lsu_bus_buffer.scala 413:83] + node _T_2755 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2756 = and(_T_2754, _T_2755) @[lsu_bus_buffer.scala 413:87] + node _T_2757 = bits(buf_rspageQ[1], 2, 2) @[lsu_bus_buffer.scala 413:83] + node _T_2758 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2759 = and(_T_2757, _T_2758) @[lsu_bus_buffer.scala 413:87] + node _T_2760 = bits(buf_rspageQ[1], 3, 3) @[lsu_bus_buffer.scala 413:83] + node _T_2761 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2762 = and(_T_2760, _T_2761) @[lsu_bus_buffer.scala 413:87] + node _T_2763 = cat(_T_2762, _T_2759) @[Cat.scala 29:58] + node _T_2764 = cat(_T_2763, _T_2756) @[Cat.scala 29:58] + node _T_2765 = cat(_T_2764, _T_2753) @[Cat.scala 29:58] + node _T_2766 = bits(buf_rspageQ[2], 0, 0) @[lsu_bus_buffer.scala 413:83] + node _T_2767 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2768 = and(_T_2766, _T_2767) @[lsu_bus_buffer.scala 413:87] + node _T_2769 = bits(buf_rspageQ[2], 1, 1) @[lsu_bus_buffer.scala 413:83] + node _T_2770 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2771 = and(_T_2769, _T_2770) @[lsu_bus_buffer.scala 413:87] + node _T_2772 = bits(buf_rspageQ[2], 2, 2) @[lsu_bus_buffer.scala 413:83] + node _T_2773 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2774 = and(_T_2772, _T_2773) @[lsu_bus_buffer.scala 413:87] + node _T_2775 = bits(buf_rspageQ[2], 3, 3) @[lsu_bus_buffer.scala 413:83] + node _T_2776 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2777 = and(_T_2775, _T_2776) @[lsu_bus_buffer.scala 413:87] + node _T_2778 = cat(_T_2777, _T_2774) @[Cat.scala 29:58] + node _T_2779 = cat(_T_2778, _T_2771) @[Cat.scala 29:58] + node _T_2780 = cat(_T_2779, _T_2768) @[Cat.scala 29:58] + node _T_2781 = bits(buf_rspageQ[3], 0, 0) @[lsu_bus_buffer.scala 413:83] + node _T_2782 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2783 = and(_T_2781, _T_2782) @[lsu_bus_buffer.scala 413:87] + node _T_2784 = bits(buf_rspageQ[3], 1, 1) @[lsu_bus_buffer.scala 413:83] + node _T_2785 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2786 = and(_T_2784, _T_2785) @[lsu_bus_buffer.scala 413:87] + node _T_2787 = bits(buf_rspageQ[3], 2, 2) @[lsu_bus_buffer.scala 413:83] + node _T_2788 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2789 = and(_T_2787, _T_2788) @[lsu_bus_buffer.scala 413:87] + node _T_2790 = bits(buf_rspageQ[3], 3, 3) @[lsu_bus_buffer.scala 413:83] + node _T_2791 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2792 = and(_T_2790, _T_2791) @[lsu_bus_buffer.scala 413:87] + node _T_2793 = cat(_T_2792, _T_2789) @[Cat.scala 29:58] + node _T_2794 = cat(_T_2793, _T_2786) @[Cat.scala 29:58] + node _T_2795 = cat(_T_2794, _T_2783) @[Cat.scala 29:58] + buf_rsp_pickage[0] <= _T_2750 @[lsu_bus_buffer.scala 413:19] + buf_rsp_pickage[1] <= _T_2765 @[lsu_bus_buffer.scala 413:19] + buf_rsp_pickage[2] <= _T_2780 @[lsu_bus_buffer.scala 413:19] + buf_rsp_pickage[3] <= _T_2795 @[lsu_bus_buffer.scala 413:19] + node _T_2796 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_2797 = and(_T_2796, buf_state_en[0]) @[lsu_bus_buffer.scala 415:93] + node _T_2798 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_2799 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_2800 = or(_T_2798, _T_2799) @[lsu_bus_buffer.scala 416:32] + node _T_2801 = eq(_T_2800, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_2802 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_2803 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_2804 = and(_T_2802, _T_2803) @[lsu_bus_buffer.scala 417:41] + node _T_2805 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:82] + node _T_2806 = and(_T_2804, _T_2805) @[lsu_bus_buffer.scala 417:71] + node _T_2807 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:101] + node _T_2808 = and(_T_2806, _T_2807) @[lsu_bus_buffer.scala 417:90] + node _T_2809 = or(_T_2801, _T_2808) @[lsu_bus_buffer.scala 416:59] + node _T_2810 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_2811 = and(_T_2810, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_2812 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:63] + node _T_2813 = and(_T_2811, _T_2812) @[lsu_bus_buffer.scala 418:52] + node _T_2814 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2815 = and(_T_2813, _T_2814) @[lsu_bus_buffer.scala 418:71] + node _T_2816 = or(_T_2809, _T_2815) @[lsu_bus_buffer.scala 417:110] + node _T_2817 = and(_T_2797, _T_2816) @[lsu_bus_buffer.scala 415:112] + node _T_2818 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_2819 = and(_T_2818, buf_state_en[0]) @[lsu_bus_buffer.scala 415:93] + node _T_2820 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_2821 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_2822 = or(_T_2820, _T_2821) @[lsu_bus_buffer.scala 416:32] + node _T_2823 = eq(_T_2822, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_2824 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_2825 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_2826 = and(_T_2824, _T_2825) @[lsu_bus_buffer.scala 417:41] + node _T_2827 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:82] + node _T_2828 = and(_T_2826, _T_2827) @[lsu_bus_buffer.scala 417:71] + node _T_2829 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 417:101] + node _T_2830 = and(_T_2828, _T_2829) @[lsu_bus_buffer.scala 417:90] + node _T_2831 = or(_T_2823, _T_2830) @[lsu_bus_buffer.scala 416:59] + node _T_2832 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_2833 = and(_T_2832, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_2834 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:63] + node _T_2835 = and(_T_2833, _T_2834) @[lsu_bus_buffer.scala 418:52] + node _T_2836 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:82] + node _T_2837 = and(_T_2835, _T_2836) @[lsu_bus_buffer.scala 418:71] + node _T_2838 = or(_T_2831, _T_2837) @[lsu_bus_buffer.scala 417:110] + node _T_2839 = and(_T_2819, _T_2838) @[lsu_bus_buffer.scala 415:112] + node _T_2840 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_2841 = and(_T_2840, buf_state_en[0]) @[lsu_bus_buffer.scala 415:93] + node _T_2842 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_2843 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_2844 = or(_T_2842, _T_2843) @[lsu_bus_buffer.scala 416:32] + node _T_2845 = eq(_T_2844, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_2846 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_2847 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_2848 = and(_T_2846, _T_2847) @[lsu_bus_buffer.scala 417:41] + node _T_2849 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:82] + node _T_2850 = and(_T_2848, _T_2849) @[lsu_bus_buffer.scala 417:71] + node _T_2851 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 417:101] + node _T_2852 = and(_T_2850, _T_2851) @[lsu_bus_buffer.scala 417:90] + node _T_2853 = or(_T_2845, _T_2852) @[lsu_bus_buffer.scala 416:59] + node _T_2854 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_2855 = and(_T_2854, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_2856 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:63] + node _T_2857 = and(_T_2855, _T_2856) @[lsu_bus_buffer.scala 418:52] + node _T_2858 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:82] + node _T_2859 = and(_T_2857, _T_2858) @[lsu_bus_buffer.scala 418:71] + node _T_2860 = or(_T_2853, _T_2859) @[lsu_bus_buffer.scala 417:110] + node _T_2861 = and(_T_2841, _T_2860) @[lsu_bus_buffer.scala 415:112] + node _T_2862 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_2863 = and(_T_2862, buf_state_en[0]) @[lsu_bus_buffer.scala 415:93] + node _T_2864 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_2865 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_2866 = or(_T_2864, _T_2865) @[lsu_bus_buffer.scala 416:32] + node _T_2867 = eq(_T_2866, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_2868 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_2869 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_2870 = and(_T_2868, _T_2869) @[lsu_bus_buffer.scala 417:41] + node _T_2871 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:82] + node _T_2872 = and(_T_2870, _T_2871) @[lsu_bus_buffer.scala 417:71] + node _T_2873 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 417:101] + node _T_2874 = and(_T_2872, _T_2873) @[lsu_bus_buffer.scala 417:90] + node _T_2875 = or(_T_2867, _T_2874) @[lsu_bus_buffer.scala 416:59] + node _T_2876 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_2877 = and(_T_2876, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_2878 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:63] + node _T_2879 = and(_T_2877, _T_2878) @[lsu_bus_buffer.scala 418:52] + node _T_2880 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:82] + node _T_2881 = and(_T_2879, _T_2880) @[lsu_bus_buffer.scala 418:71] + node _T_2882 = or(_T_2875, _T_2881) @[lsu_bus_buffer.scala 417:110] + node _T_2883 = and(_T_2863, _T_2882) @[lsu_bus_buffer.scala 415:112] + node _T_2884 = cat(_T_2883, _T_2861) @[Cat.scala 29:58] + node _T_2885 = cat(_T_2884, _T_2839) @[Cat.scala 29:58] + node _T_2886 = cat(_T_2885, _T_2817) @[Cat.scala 29:58] + node _T_2887 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_2888 = and(_T_2887, buf_state_en[1]) @[lsu_bus_buffer.scala 415:93] + node _T_2889 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_2890 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_2891 = or(_T_2889, _T_2890) @[lsu_bus_buffer.scala 416:32] + node _T_2892 = eq(_T_2891, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_2893 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_2894 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_2895 = and(_T_2893, _T_2894) @[lsu_bus_buffer.scala 417:41] + node _T_2896 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 417:82] + node _T_2897 = and(_T_2895, _T_2896) @[lsu_bus_buffer.scala 417:71] + node _T_2898 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:101] + node _T_2899 = and(_T_2897, _T_2898) @[lsu_bus_buffer.scala 417:90] + node _T_2900 = or(_T_2892, _T_2899) @[lsu_bus_buffer.scala 416:59] + node _T_2901 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_2902 = and(_T_2901, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_2903 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:63] + node _T_2904 = and(_T_2902, _T_2903) @[lsu_bus_buffer.scala 418:52] + node _T_2905 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2906 = and(_T_2904, _T_2905) @[lsu_bus_buffer.scala 418:71] + node _T_2907 = or(_T_2900, _T_2906) @[lsu_bus_buffer.scala 417:110] + node _T_2908 = and(_T_2888, _T_2907) @[lsu_bus_buffer.scala 415:112] + node _T_2909 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_2910 = and(_T_2909, buf_state_en[1]) @[lsu_bus_buffer.scala 415:93] + node _T_2911 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_2912 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_2913 = or(_T_2911, _T_2912) @[lsu_bus_buffer.scala 416:32] + node _T_2914 = eq(_T_2913, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_2915 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_2916 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_2917 = and(_T_2915, _T_2916) @[lsu_bus_buffer.scala 417:41] + node _T_2918 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 417:82] + node _T_2919 = and(_T_2917, _T_2918) @[lsu_bus_buffer.scala 417:71] + node _T_2920 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 417:101] + node _T_2921 = and(_T_2919, _T_2920) @[lsu_bus_buffer.scala 417:90] + node _T_2922 = or(_T_2914, _T_2921) @[lsu_bus_buffer.scala 416:59] + node _T_2923 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_2924 = and(_T_2923, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_2925 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:63] + node _T_2926 = and(_T_2924, _T_2925) @[lsu_bus_buffer.scala 418:52] + node _T_2927 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:82] + node _T_2928 = and(_T_2926, _T_2927) @[lsu_bus_buffer.scala 418:71] + node _T_2929 = or(_T_2922, _T_2928) @[lsu_bus_buffer.scala 417:110] + node _T_2930 = and(_T_2910, _T_2929) @[lsu_bus_buffer.scala 415:112] + node _T_2931 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_2932 = and(_T_2931, buf_state_en[1]) @[lsu_bus_buffer.scala 415:93] + node _T_2933 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_2934 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_2935 = or(_T_2933, _T_2934) @[lsu_bus_buffer.scala 416:32] + node _T_2936 = eq(_T_2935, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_2937 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_2938 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_2939 = and(_T_2937, _T_2938) @[lsu_bus_buffer.scala 417:41] + node _T_2940 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 417:82] + node _T_2941 = and(_T_2939, _T_2940) @[lsu_bus_buffer.scala 417:71] + node _T_2942 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 417:101] + node _T_2943 = and(_T_2941, _T_2942) @[lsu_bus_buffer.scala 417:90] + node _T_2944 = or(_T_2936, _T_2943) @[lsu_bus_buffer.scala 416:59] + node _T_2945 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_2946 = and(_T_2945, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_2947 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:63] + node _T_2948 = and(_T_2946, _T_2947) @[lsu_bus_buffer.scala 418:52] + node _T_2949 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:82] + node _T_2950 = and(_T_2948, _T_2949) @[lsu_bus_buffer.scala 418:71] + node _T_2951 = or(_T_2944, _T_2950) @[lsu_bus_buffer.scala 417:110] + node _T_2952 = and(_T_2932, _T_2951) @[lsu_bus_buffer.scala 415:112] + node _T_2953 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_2954 = and(_T_2953, buf_state_en[1]) @[lsu_bus_buffer.scala 415:93] + node _T_2955 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_2956 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_2957 = or(_T_2955, _T_2956) @[lsu_bus_buffer.scala 416:32] + node _T_2958 = eq(_T_2957, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_2959 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_2960 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_2961 = and(_T_2959, _T_2960) @[lsu_bus_buffer.scala 417:41] + node _T_2962 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 417:82] + node _T_2963 = and(_T_2961, _T_2962) @[lsu_bus_buffer.scala 417:71] + node _T_2964 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 417:101] + node _T_2965 = and(_T_2963, _T_2964) @[lsu_bus_buffer.scala 417:90] + node _T_2966 = or(_T_2958, _T_2965) @[lsu_bus_buffer.scala 416:59] + node _T_2967 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_2968 = and(_T_2967, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_2969 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:63] + node _T_2970 = and(_T_2968, _T_2969) @[lsu_bus_buffer.scala 418:52] + node _T_2971 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:82] + node _T_2972 = and(_T_2970, _T_2971) @[lsu_bus_buffer.scala 418:71] + node _T_2973 = or(_T_2966, _T_2972) @[lsu_bus_buffer.scala 417:110] + node _T_2974 = and(_T_2954, _T_2973) @[lsu_bus_buffer.scala 415:112] + node _T_2975 = cat(_T_2974, _T_2952) @[Cat.scala 29:58] + node _T_2976 = cat(_T_2975, _T_2930) @[Cat.scala 29:58] + node _T_2977 = cat(_T_2976, _T_2908) @[Cat.scala 29:58] + node _T_2978 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_2979 = and(_T_2978, buf_state_en[2]) @[lsu_bus_buffer.scala 415:93] + node _T_2980 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_2981 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_2982 = or(_T_2980, _T_2981) @[lsu_bus_buffer.scala 416:32] + node _T_2983 = eq(_T_2982, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_2984 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_2985 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_2986 = and(_T_2984, _T_2985) @[lsu_bus_buffer.scala 417:41] + node _T_2987 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 417:82] + node _T_2988 = and(_T_2986, _T_2987) @[lsu_bus_buffer.scala 417:71] + node _T_2989 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:101] + node _T_2990 = and(_T_2988, _T_2989) @[lsu_bus_buffer.scala 417:90] + node _T_2991 = or(_T_2983, _T_2990) @[lsu_bus_buffer.scala 416:59] + node _T_2992 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_2993 = and(_T_2992, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_2994 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:63] + node _T_2995 = and(_T_2993, _T_2994) @[lsu_bus_buffer.scala 418:52] + node _T_2996 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2997 = and(_T_2995, _T_2996) @[lsu_bus_buffer.scala 418:71] + node _T_2998 = or(_T_2991, _T_2997) @[lsu_bus_buffer.scala 417:110] + node _T_2999 = and(_T_2979, _T_2998) @[lsu_bus_buffer.scala 415:112] + node _T_3000 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_3001 = and(_T_3000, buf_state_en[2]) @[lsu_bus_buffer.scala 415:93] + node _T_3002 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_3003 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_3004 = or(_T_3002, _T_3003) @[lsu_bus_buffer.scala 416:32] + node _T_3005 = eq(_T_3004, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_3006 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_3007 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_3008 = and(_T_3006, _T_3007) @[lsu_bus_buffer.scala 417:41] + node _T_3009 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 417:82] + node _T_3010 = and(_T_3008, _T_3009) @[lsu_bus_buffer.scala 417:71] + node _T_3011 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 417:101] + node _T_3012 = and(_T_3010, _T_3011) @[lsu_bus_buffer.scala 417:90] + node _T_3013 = or(_T_3005, _T_3012) @[lsu_bus_buffer.scala 416:59] + node _T_3014 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_3015 = and(_T_3014, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_3016 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:63] + node _T_3017 = and(_T_3015, _T_3016) @[lsu_bus_buffer.scala 418:52] + node _T_3018 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:82] + node _T_3019 = and(_T_3017, _T_3018) @[lsu_bus_buffer.scala 418:71] + node _T_3020 = or(_T_3013, _T_3019) @[lsu_bus_buffer.scala 417:110] + node _T_3021 = and(_T_3001, _T_3020) @[lsu_bus_buffer.scala 415:112] + node _T_3022 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_3023 = and(_T_3022, buf_state_en[2]) @[lsu_bus_buffer.scala 415:93] + node _T_3024 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_3025 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_3026 = or(_T_3024, _T_3025) @[lsu_bus_buffer.scala 416:32] + node _T_3027 = eq(_T_3026, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_3028 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_3029 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_3030 = and(_T_3028, _T_3029) @[lsu_bus_buffer.scala 417:41] + node _T_3031 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 417:82] + node _T_3032 = and(_T_3030, _T_3031) @[lsu_bus_buffer.scala 417:71] + node _T_3033 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 417:101] + node _T_3034 = and(_T_3032, _T_3033) @[lsu_bus_buffer.scala 417:90] + node _T_3035 = or(_T_3027, _T_3034) @[lsu_bus_buffer.scala 416:59] + node _T_3036 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_3037 = and(_T_3036, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_3038 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:63] + node _T_3039 = and(_T_3037, _T_3038) @[lsu_bus_buffer.scala 418:52] + node _T_3040 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:82] + node _T_3041 = and(_T_3039, _T_3040) @[lsu_bus_buffer.scala 418:71] + node _T_3042 = or(_T_3035, _T_3041) @[lsu_bus_buffer.scala 417:110] + node _T_3043 = and(_T_3023, _T_3042) @[lsu_bus_buffer.scala 415:112] + node _T_3044 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_3045 = and(_T_3044, buf_state_en[2]) @[lsu_bus_buffer.scala 415:93] + node _T_3046 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_3047 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_3048 = or(_T_3046, _T_3047) @[lsu_bus_buffer.scala 416:32] + node _T_3049 = eq(_T_3048, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_3050 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_3051 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_3052 = and(_T_3050, _T_3051) @[lsu_bus_buffer.scala 417:41] + node _T_3053 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 417:82] + node _T_3054 = and(_T_3052, _T_3053) @[lsu_bus_buffer.scala 417:71] + node _T_3055 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 417:101] + node _T_3056 = and(_T_3054, _T_3055) @[lsu_bus_buffer.scala 417:90] + node _T_3057 = or(_T_3049, _T_3056) @[lsu_bus_buffer.scala 416:59] + node _T_3058 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_3059 = and(_T_3058, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_3060 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:63] + node _T_3061 = and(_T_3059, _T_3060) @[lsu_bus_buffer.scala 418:52] + node _T_3062 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:82] + node _T_3063 = and(_T_3061, _T_3062) @[lsu_bus_buffer.scala 418:71] + node _T_3064 = or(_T_3057, _T_3063) @[lsu_bus_buffer.scala 417:110] + node _T_3065 = and(_T_3045, _T_3064) @[lsu_bus_buffer.scala 415:112] + node _T_3066 = cat(_T_3065, _T_3043) @[Cat.scala 29:58] + node _T_3067 = cat(_T_3066, _T_3021) @[Cat.scala 29:58] + node _T_3068 = cat(_T_3067, _T_2999) @[Cat.scala 29:58] + node _T_3069 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_3070 = and(_T_3069, buf_state_en[3]) @[lsu_bus_buffer.scala 415:93] + node _T_3071 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_3072 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_3073 = or(_T_3071, _T_3072) @[lsu_bus_buffer.scala 416:32] + node _T_3074 = eq(_T_3073, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_3075 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_3076 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_3077 = and(_T_3075, _T_3076) @[lsu_bus_buffer.scala 417:41] + node _T_3078 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 417:82] + node _T_3079 = and(_T_3077, _T_3078) @[lsu_bus_buffer.scala 417:71] + node _T_3080 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:101] + node _T_3081 = and(_T_3079, _T_3080) @[lsu_bus_buffer.scala 417:90] + node _T_3082 = or(_T_3074, _T_3081) @[lsu_bus_buffer.scala 416:59] + node _T_3083 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_3084 = and(_T_3083, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_3085 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:63] + node _T_3086 = and(_T_3084, _T_3085) @[lsu_bus_buffer.scala 418:52] + node _T_3087 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_3088 = and(_T_3086, _T_3087) @[lsu_bus_buffer.scala 418:71] + node _T_3089 = or(_T_3082, _T_3088) @[lsu_bus_buffer.scala 417:110] + node _T_3090 = and(_T_3070, _T_3089) @[lsu_bus_buffer.scala 415:112] + node _T_3091 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_3092 = and(_T_3091, buf_state_en[3]) @[lsu_bus_buffer.scala 415:93] + node _T_3093 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_3094 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_3095 = or(_T_3093, _T_3094) @[lsu_bus_buffer.scala 416:32] + node _T_3096 = eq(_T_3095, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_3097 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_3098 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_3099 = and(_T_3097, _T_3098) @[lsu_bus_buffer.scala 417:41] + node _T_3100 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 417:82] + node _T_3101 = and(_T_3099, _T_3100) @[lsu_bus_buffer.scala 417:71] + node _T_3102 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 417:101] + node _T_3103 = and(_T_3101, _T_3102) @[lsu_bus_buffer.scala 417:90] + node _T_3104 = or(_T_3096, _T_3103) @[lsu_bus_buffer.scala 416:59] + node _T_3105 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_3106 = and(_T_3105, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_3107 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:63] + node _T_3108 = and(_T_3106, _T_3107) @[lsu_bus_buffer.scala 418:52] + node _T_3109 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:82] + node _T_3110 = and(_T_3108, _T_3109) @[lsu_bus_buffer.scala 418:71] + node _T_3111 = or(_T_3104, _T_3110) @[lsu_bus_buffer.scala 417:110] + node _T_3112 = and(_T_3092, _T_3111) @[lsu_bus_buffer.scala 415:112] + node _T_3113 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_3114 = and(_T_3113, buf_state_en[3]) @[lsu_bus_buffer.scala 415:93] + node _T_3115 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_3116 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_3117 = or(_T_3115, _T_3116) @[lsu_bus_buffer.scala 416:32] + node _T_3118 = eq(_T_3117, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_3119 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_3120 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_3121 = and(_T_3119, _T_3120) @[lsu_bus_buffer.scala 417:41] + node _T_3122 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 417:82] + node _T_3123 = and(_T_3121, _T_3122) @[lsu_bus_buffer.scala 417:71] + node _T_3124 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 417:101] + node _T_3125 = and(_T_3123, _T_3124) @[lsu_bus_buffer.scala 417:90] + node _T_3126 = or(_T_3118, _T_3125) @[lsu_bus_buffer.scala 416:59] + node _T_3127 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_3128 = and(_T_3127, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_3129 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:63] + node _T_3130 = and(_T_3128, _T_3129) @[lsu_bus_buffer.scala 418:52] + node _T_3131 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:82] + node _T_3132 = and(_T_3130, _T_3131) @[lsu_bus_buffer.scala 418:71] + node _T_3133 = or(_T_3126, _T_3132) @[lsu_bus_buffer.scala 417:110] + node _T_3134 = and(_T_3114, _T_3133) @[lsu_bus_buffer.scala 415:112] + node _T_3135 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_3136 = and(_T_3135, buf_state_en[3]) @[lsu_bus_buffer.scala 415:93] + node _T_3137 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_3138 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_3139 = or(_T_3137, _T_3138) @[lsu_bus_buffer.scala 416:32] + node _T_3140 = eq(_T_3139, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_3141 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_3142 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_3143 = and(_T_3141, _T_3142) @[lsu_bus_buffer.scala 417:41] + node _T_3144 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 417:82] + node _T_3145 = and(_T_3143, _T_3144) @[lsu_bus_buffer.scala 417:71] + node _T_3146 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 417:101] + node _T_3147 = and(_T_3145, _T_3146) @[lsu_bus_buffer.scala 417:90] + node _T_3148 = or(_T_3140, _T_3147) @[lsu_bus_buffer.scala 416:59] + node _T_3149 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_3150 = and(_T_3149, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_3151 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:63] + node _T_3152 = and(_T_3150, _T_3151) @[lsu_bus_buffer.scala 418:52] + node _T_3153 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:82] + node _T_3154 = and(_T_3152, _T_3153) @[lsu_bus_buffer.scala 418:71] + node _T_3155 = or(_T_3148, _T_3154) @[lsu_bus_buffer.scala 417:110] + node _T_3156 = and(_T_3136, _T_3155) @[lsu_bus_buffer.scala 415:112] + node _T_3157 = cat(_T_3156, _T_3134) @[Cat.scala 29:58] + node _T_3158 = cat(_T_3157, _T_3112) @[Cat.scala 29:58] + node _T_3159 = cat(_T_3158, _T_3090) @[Cat.scala 29:58] + buf_rspage_set[0] <= _T_2886 @[lsu_bus_buffer.scala 415:18] + buf_rspage_set[1] <= _T_2977 @[lsu_bus_buffer.scala 415:18] + buf_rspage_set[2] <= _T_3068 @[lsu_bus_buffer.scala 415:18] + buf_rspage_set[3] <= _T_3159 @[lsu_bus_buffer.scala 415:18] + node _T_3160 = bits(buf_rspage_set[0], 0, 0) @[lsu_bus_buffer.scala 419:84] + node _T_3161 = bits(buf_rspage[0], 0, 0) @[lsu_bus_buffer.scala 419:103] + node _T_3162 = or(_T_3160, _T_3161) @[lsu_bus_buffer.scala 419:88] + node _T_3163 = bits(buf_rspage_set[0], 1, 1) @[lsu_bus_buffer.scala 419:84] + node _T_3164 = bits(buf_rspage[0], 1, 1) @[lsu_bus_buffer.scala 419:103] + node _T_3165 = or(_T_3163, _T_3164) @[lsu_bus_buffer.scala 419:88] + node _T_3166 = bits(buf_rspage_set[0], 2, 2) @[lsu_bus_buffer.scala 419:84] + node _T_3167 = bits(buf_rspage[0], 2, 2) @[lsu_bus_buffer.scala 419:103] + node _T_3168 = or(_T_3166, _T_3167) @[lsu_bus_buffer.scala 419:88] + node _T_3169 = bits(buf_rspage_set[0], 3, 3) @[lsu_bus_buffer.scala 419:84] + node _T_3170 = bits(buf_rspage[0], 3, 3) @[lsu_bus_buffer.scala 419:103] + node _T_3171 = or(_T_3169, _T_3170) @[lsu_bus_buffer.scala 419:88] + node _T_3172 = cat(_T_3171, _T_3168) @[Cat.scala 29:58] + node _T_3173 = cat(_T_3172, _T_3165) @[Cat.scala 29:58] + node _T_3174 = cat(_T_3173, _T_3162) @[Cat.scala 29:58] + node _T_3175 = bits(buf_rspage_set[1], 0, 0) @[lsu_bus_buffer.scala 419:84] + node _T_3176 = bits(buf_rspage[1], 0, 0) @[lsu_bus_buffer.scala 419:103] + node _T_3177 = or(_T_3175, _T_3176) @[lsu_bus_buffer.scala 419:88] + node _T_3178 = bits(buf_rspage_set[1], 1, 1) @[lsu_bus_buffer.scala 419:84] + node _T_3179 = bits(buf_rspage[1], 1, 1) @[lsu_bus_buffer.scala 419:103] + node _T_3180 = or(_T_3178, _T_3179) @[lsu_bus_buffer.scala 419:88] + node _T_3181 = bits(buf_rspage_set[1], 2, 2) @[lsu_bus_buffer.scala 419:84] + node _T_3182 = bits(buf_rspage[1], 2, 2) @[lsu_bus_buffer.scala 419:103] + node _T_3183 = or(_T_3181, _T_3182) @[lsu_bus_buffer.scala 419:88] + node _T_3184 = bits(buf_rspage_set[1], 3, 3) @[lsu_bus_buffer.scala 419:84] + node _T_3185 = bits(buf_rspage[1], 3, 3) @[lsu_bus_buffer.scala 419:103] + node _T_3186 = or(_T_3184, _T_3185) @[lsu_bus_buffer.scala 419:88] + node _T_3187 = cat(_T_3186, _T_3183) @[Cat.scala 29:58] + node _T_3188 = cat(_T_3187, _T_3180) @[Cat.scala 29:58] + node _T_3189 = cat(_T_3188, _T_3177) @[Cat.scala 29:58] + node _T_3190 = bits(buf_rspage_set[2], 0, 0) @[lsu_bus_buffer.scala 419:84] + node _T_3191 = bits(buf_rspage[2], 0, 0) @[lsu_bus_buffer.scala 419:103] + node _T_3192 = or(_T_3190, _T_3191) @[lsu_bus_buffer.scala 419:88] + node _T_3193 = bits(buf_rspage_set[2], 1, 1) @[lsu_bus_buffer.scala 419:84] + node _T_3194 = bits(buf_rspage[2], 1, 1) @[lsu_bus_buffer.scala 419:103] + node _T_3195 = or(_T_3193, _T_3194) @[lsu_bus_buffer.scala 419:88] + node _T_3196 = bits(buf_rspage_set[2], 2, 2) @[lsu_bus_buffer.scala 419:84] + node _T_3197 = bits(buf_rspage[2], 2, 2) @[lsu_bus_buffer.scala 419:103] + node _T_3198 = or(_T_3196, _T_3197) @[lsu_bus_buffer.scala 419:88] + node _T_3199 = bits(buf_rspage_set[2], 3, 3) @[lsu_bus_buffer.scala 419:84] + node _T_3200 = bits(buf_rspage[2], 3, 3) @[lsu_bus_buffer.scala 419:103] + node _T_3201 = or(_T_3199, _T_3200) @[lsu_bus_buffer.scala 419:88] + node _T_3202 = cat(_T_3201, _T_3198) @[Cat.scala 29:58] + node _T_3203 = cat(_T_3202, _T_3195) @[Cat.scala 29:58] + node _T_3204 = cat(_T_3203, _T_3192) @[Cat.scala 29:58] + node _T_3205 = bits(buf_rspage_set[3], 0, 0) @[lsu_bus_buffer.scala 419:84] + node _T_3206 = bits(buf_rspage[3], 0, 0) @[lsu_bus_buffer.scala 419:103] + node _T_3207 = or(_T_3205, _T_3206) @[lsu_bus_buffer.scala 419:88] + node _T_3208 = bits(buf_rspage_set[3], 1, 1) @[lsu_bus_buffer.scala 419:84] + node _T_3209 = bits(buf_rspage[3], 1, 1) @[lsu_bus_buffer.scala 419:103] + node _T_3210 = or(_T_3208, _T_3209) @[lsu_bus_buffer.scala 419:88] + node _T_3211 = bits(buf_rspage_set[3], 2, 2) @[lsu_bus_buffer.scala 419:84] + node _T_3212 = bits(buf_rspage[3], 2, 2) @[lsu_bus_buffer.scala 419:103] + node _T_3213 = or(_T_3211, _T_3212) @[lsu_bus_buffer.scala 419:88] + node _T_3214 = bits(buf_rspage_set[3], 3, 3) @[lsu_bus_buffer.scala 419:84] + node _T_3215 = bits(buf_rspage[3], 3, 3) @[lsu_bus_buffer.scala 419:103] + node _T_3216 = or(_T_3214, _T_3215) @[lsu_bus_buffer.scala 419:88] + node _T_3217 = cat(_T_3216, _T_3213) @[Cat.scala 29:58] + node _T_3218 = cat(_T_3217, _T_3210) @[Cat.scala 29:58] + node _T_3219 = cat(_T_3218, _T_3207) @[Cat.scala 29:58] + buf_rspage_in[0] <= _T_3174 @[lsu_bus_buffer.scala 419:17] + buf_rspage_in[1] <= _T_3189 @[lsu_bus_buffer.scala 419:17] + buf_rspage_in[2] <= _T_3204 @[lsu_bus_buffer.scala 419:17] + buf_rspage_in[3] <= _T_3219 @[lsu_bus_buffer.scala 419:17] + node _T_3220 = bits(buf_rspageQ[0], 0, 0) @[lsu_bus_buffer.scala 420:78] + node _T_3221 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3222 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3223 = or(_T_3221, _T_3222) @[lsu_bus_buffer.scala 420:110] + node _T_3224 = eq(_T_3223, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3225 = and(_T_3220, _T_3224) @[lsu_bus_buffer.scala 420:82] + node _T_3226 = bits(buf_rspageQ[0], 1, 1) @[lsu_bus_buffer.scala 420:78] + node _T_3227 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3228 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3229 = or(_T_3227, _T_3228) @[lsu_bus_buffer.scala 420:110] + node _T_3230 = eq(_T_3229, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3231 = and(_T_3226, _T_3230) @[lsu_bus_buffer.scala 420:82] + node _T_3232 = bits(buf_rspageQ[0], 2, 2) @[lsu_bus_buffer.scala 420:78] + node _T_3233 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3234 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3235 = or(_T_3233, _T_3234) @[lsu_bus_buffer.scala 420:110] + node _T_3236 = eq(_T_3235, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3237 = and(_T_3232, _T_3236) @[lsu_bus_buffer.scala 420:82] + node _T_3238 = bits(buf_rspageQ[0], 3, 3) @[lsu_bus_buffer.scala 420:78] + node _T_3239 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3240 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3241 = or(_T_3239, _T_3240) @[lsu_bus_buffer.scala 420:110] + node _T_3242 = eq(_T_3241, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3243 = and(_T_3238, _T_3242) @[lsu_bus_buffer.scala 420:82] + node _T_3244 = cat(_T_3243, _T_3237) @[Cat.scala 29:58] + node _T_3245 = cat(_T_3244, _T_3231) @[Cat.scala 29:58] + node _T_3246 = cat(_T_3245, _T_3225) @[Cat.scala 29:58] + node _T_3247 = bits(buf_rspageQ[1], 0, 0) @[lsu_bus_buffer.scala 420:78] + node _T_3248 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3249 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3250 = or(_T_3248, _T_3249) @[lsu_bus_buffer.scala 420:110] + node _T_3251 = eq(_T_3250, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3252 = and(_T_3247, _T_3251) @[lsu_bus_buffer.scala 420:82] + node _T_3253 = bits(buf_rspageQ[1], 1, 1) @[lsu_bus_buffer.scala 420:78] + node _T_3254 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3255 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3256 = or(_T_3254, _T_3255) @[lsu_bus_buffer.scala 420:110] + node _T_3257 = eq(_T_3256, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3258 = and(_T_3253, _T_3257) @[lsu_bus_buffer.scala 420:82] + node _T_3259 = bits(buf_rspageQ[1], 2, 2) @[lsu_bus_buffer.scala 420:78] + node _T_3260 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3261 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3262 = or(_T_3260, _T_3261) @[lsu_bus_buffer.scala 420:110] + node _T_3263 = eq(_T_3262, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3264 = and(_T_3259, _T_3263) @[lsu_bus_buffer.scala 420:82] + node _T_3265 = bits(buf_rspageQ[1], 3, 3) @[lsu_bus_buffer.scala 420:78] + node _T_3266 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3267 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3268 = or(_T_3266, _T_3267) @[lsu_bus_buffer.scala 420:110] + node _T_3269 = eq(_T_3268, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3270 = and(_T_3265, _T_3269) @[lsu_bus_buffer.scala 420:82] + node _T_3271 = cat(_T_3270, _T_3264) @[Cat.scala 29:58] + node _T_3272 = cat(_T_3271, _T_3258) @[Cat.scala 29:58] + node _T_3273 = cat(_T_3272, _T_3252) @[Cat.scala 29:58] + node _T_3274 = bits(buf_rspageQ[2], 0, 0) @[lsu_bus_buffer.scala 420:78] + node _T_3275 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3276 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3277 = or(_T_3275, _T_3276) @[lsu_bus_buffer.scala 420:110] + node _T_3278 = eq(_T_3277, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3279 = and(_T_3274, _T_3278) @[lsu_bus_buffer.scala 420:82] + node _T_3280 = bits(buf_rspageQ[2], 1, 1) @[lsu_bus_buffer.scala 420:78] + node _T_3281 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3282 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3283 = or(_T_3281, _T_3282) @[lsu_bus_buffer.scala 420:110] + node _T_3284 = eq(_T_3283, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3285 = and(_T_3280, _T_3284) @[lsu_bus_buffer.scala 420:82] + node _T_3286 = bits(buf_rspageQ[2], 2, 2) @[lsu_bus_buffer.scala 420:78] + node _T_3287 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3288 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3289 = or(_T_3287, _T_3288) @[lsu_bus_buffer.scala 420:110] + node _T_3290 = eq(_T_3289, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3291 = and(_T_3286, _T_3290) @[lsu_bus_buffer.scala 420:82] + node _T_3292 = bits(buf_rspageQ[2], 3, 3) @[lsu_bus_buffer.scala 420:78] + node _T_3293 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3294 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3295 = or(_T_3293, _T_3294) @[lsu_bus_buffer.scala 420:110] + node _T_3296 = eq(_T_3295, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3297 = and(_T_3292, _T_3296) @[lsu_bus_buffer.scala 420:82] + node _T_3298 = cat(_T_3297, _T_3291) @[Cat.scala 29:58] + node _T_3299 = cat(_T_3298, _T_3285) @[Cat.scala 29:58] + node _T_3300 = cat(_T_3299, _T_3279) @[Cat.scala 29:58] + node _T_3301 = bits(buf_rspageQ[3], 0, 0) @[lsu_bus_buffer.scala 420:78] + node _T_3302 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3303 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3304 = or(_T_3302, _T_3303) @[lsu_bus_buffer.scala 420:110] + node _T_3305 = eq(_T_3304, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3306 = and(_T_3301, _T_3305) @[lsu_bus_buffer.scala 420:82] + node _T_3307 = bits(buf_rspageQ[3], 1, 1) @[lsu_bus_buffer.scala 420:78] + node _T_3308 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3309 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3310 = or(_T_3308, _T_3309) @[lsu_bus_buffer.scala 420:110] + node _T_3311 = eq(_T_3310, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3312 = and(_T_3307, _T_3311) @[lsu_bus_buffer.scala 420:82] + node _T_3313 = bits(buf_rspageQ[3], 2, 2) @[lsu_bus_buffer.scala 420:78] + node _T_3314 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3315 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3316 = or(_T_3314, _T_3315) @[lsu_bus_buffer.scala 420:110] + node _T_3317 = eq(_T_3316, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3318 = and(_T_3313, _T_3317) @[lsu_bus_buffer.scala 420:82] + node _T_3319 = bits(buf_rspageQ[3], 3, 3) @[lsu_bus_buffer.scala 420:78] + node _T_3320 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3321 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3322 = or(_T_3320, _T_3321) @[lsu_bus_buffer.scala 420:110] + node _T_3323 = eq(_T_3322, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3324 = and(_T_3319, _T_3323) @[lsu_bus_buffer.scala 420:82] + node _T_3325 = cat(_T_3324, _T_3318) @[Cat.scala 29:58] + node _T_3326 = cat(_T_3325, _T_3312) @[Cat.scala 29:58] + node _T_3327 = cat(_T_3326, _T_3306) @[Cat.scala 29:58] + buf_rspage[0] <= _T_3246 @[lsu_bus_buffer.scala 420:14] + buf_rspage[1] <= _T_3273 @[lsu_bus_buffer.scala 420:14] + buf_rspage[2] <= _T_3300 @[lsu_bus_buffer.scala 420:14] + buf_rspage[3] <= _T_3327 @[lsu_bus_buffer.scala 420:14] + node _T_3328 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:75] + node _T_3329 = and(ibuf_drain_vld, _T_3328) @[lsu_bus_buffer.scala 425:63] + node _T_3330 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 425:75] + node _T_3331 = and(ibuf_drain_vld, _T_3330) @[lsu_bus_buffer.scala 425:63] + node _T_3332 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 425:75] + node _T_3333 = and(ibuf_drain_vld, _T_3332) @[lsu_bus_buffer.scala 425:63] + node _T_3334 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 425:75] + node _T_3335 = and(ibuf_drain_vld, _T_3334) @[lsu_bus_buffer.scala 425:63] + node _T_3336 = cat(_T_3335, _T_3333) @[Cat.scala 29:58] + node _T_3337 = cat(_T_3336, _T_3331) @[Cat.scala 29:58] + node _T_3338 = cat(_T_3337, _T_3329) @[Cat.scala 29:58] + ibuf_drainvec_vld <= _T_3338 @[lsu_bus_buffer.scala 425:21] + node _T_3339 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 426:64] + node _T_3340 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 426:84] + node _T_3341 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 427:18] + node _T_3342 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 427:46] + node _T_3343 = and(_T_3341, _T_3342) @[lsu_bus_buffer.scala 427:35] + node _T_3344 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 427:71] + node _T_3345 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 427:94] + node _T_3346 = mux(_T_3343, _T_3344, _T_3345) @[lsu_bus_buffer.scala 427:8] + node _T_3347 = mux(_T_3339, _T_3340, _T_3346) @[lsu_bus_buffer.scala 426:46] + node _T_3348 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 426:64] + node _T_3349 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 426:84] + node _T_3350 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 427:18] + node _T_3351 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 427:46] + node _T_3352 = and(_T_3350, _T_3351) @[lsu_bus_buffer.scala 427:35] + node _T_3353 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 427:71] + node _T_3354 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 427:94] + node _T_3355 = mux(_T_3352, _T_3353, _T_3354) @[lsu_bus_buffer.scala 427:8] + node _T_3356 = mux(_T_3348, _T_3349, _T_3355) @[lsu_bus_buffer.scala 426:46] + node _T_3357 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 426:64] + node _T_3358 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 426:84] + node _T_3359 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 427:18] + node _T_3360 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 427:46] + node _T_3361 = and(_T_3359, _T_3360) @[lsu_bus_buffer.scala 427:35] + node _T_3362 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 427:71] + node _T_3363 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 427:94] + node _T_3364 = mux(_T_3361, _T_3362, _T_3363) @[lsu_bus_buffer.scala 427:8] + node _T_3365 = mux(_T_3357, _T_3358, _T_3364) @[lsu_bus_buffer.scala 426:46] + node _T_3366 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 426:64] + node _T_3367 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 426:84] + node _T_3368 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 427:18] + node _T_3369 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 427:46] + node _T_3370 = and(_T_3368, _T_3369) @[lsu_bus_buffer.scala 427:35] + node _T_3371 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 427:71] + node _T_3372 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 427:94] + node _T_3373 = mux(_T_3370, _T_3371, _T_3372) @[lsu_bus_buffer.scala 427:8] + node _T_3374 = mux(_T_3366, _T_3367, _T_3373) @[lsu_bus_buffer.scala 426:46] + buf_byteen_in[0] <= _T_3347 @[lsu_bus_buffer.scala 426:17] + buf_byteen_in[1] <= _T_3356 @[lsu_bus_buffer.scala 426:17] + buf_byteen_in[2] <= _T_3365 @[lsu_bus_buffer.scala 426:17] + buf_byteen_in[3] <= _T_3374 @[lsu_bus_buffer.scala 426:17] + node _T_3375 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 428:62] + node _T_3376 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 428:91] + node _T_3377 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:119] + node _T_3378 = and(_T_3376, _T_3377) @[lsu_bus_buffer.scala 428:108] + node _T_3379 = mux(_T_3378, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 428:81] + node _T_3380 = mux(_T_3375, ibuf_addr, _T_3379) @[lsu_bus_buffer.scala 428:44] + node _T_3381 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 428:62] + node _T_3382 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 428:91] + node _T_3383 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 428:119] + node _T_3384 = and(_T_3382, _T_3383) @[lsu_bus_buffer.scala 428:108] + node _T_3385 = mux(_T_3384, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 428:81] + node _T_3386 = mux(_T_3381, ibuf_addr, _T_3385) @[lsu_bus_buffer.scala 428:44] + node _T_3387 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 428:62] + node _T_3388 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 428:91] + node _T_3389 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 428:119] + node _T_3390 = and(_T_3388, _T_3389) @[lsu_bus_buffer.scala 428:108] + node _T_3391 = mux(_T_3390, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 428:81] + node _T_3392 = mux(_T_3387, ibuf_addr, _T_3391) @[lsu_bus_buffer.scala 428:44] + node _T_3393 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 428:62] + node _T_3394 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 428:91] + node _T_3395 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 428:119] + node _T_3396 = and(_T_3394, _T_3395) @[lsu_bus_buffer.scala 428:108] + node _T_3397 = mux(_T_3396, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 428:81] + node _T_3398 = mux(_T_3393, ibuf_addr, _T_3397) @[lsu_bus_buffer.scala 428:44] + buf_addr_in[0] <= _T_3380 @[lsu_bus_buffer.scala 428:15] + buf_addr_in[1] <= _T_3386 @[lsu_bus_buffer.scala 428:15] + buf_addr_in[2] <= _T_3392 @[lsu_bus_buffer.scala 428:15] + buf_addr_in[3] <= _T_3398 @[lsu_bus_buffer.scala 428:15] + node _T_3399 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 429:63] + node _T_3400 = mux(_T_3399, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:45] + node _T_3401 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 429:63] + node _T_3402 = mux(_T_3401, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:45] + node _T_3403 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 429:63] + node _T_3404 = mux(_T_3403, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:45] + node _T_3405 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 429:63] + node _T_3406 = mux(_T_3405, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:45] + node _T_3407 = cat(_T_3406, _T_3404) @[Cat.scala 29:58] + node _T_3408 = cat(_T_3407, _T_3402) @[Cat.scala 29:58] + node _T_3409 = cat(_T_3408, _T_3400) @[Cat.scala 29:58] + buf_dual_in <= _T_3409 @[lsu_bus_buffer.scala 429:15] + node _T_3410 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 430:65] + node _T_3411 = mux(_T_3410, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 430:47] + node _T_3412 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 430:65] + node _T_3413 = mux(_T_3412, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 430:47] + node _T_3414 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 430:65] + node _T_3415 = mux(_T_3414, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 430:47] + node _T_3416 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 430:65] + node _T_3417 = mux(_T_3416, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 430:47] + node _T_3418 = cat(_T_3417, _T_3415) @[Cat.scala 29:58] + node _T_3419 = cat(_T_3418, _T_3413) @[Cat.scala 29:58] + node _T_3420 = cat(_T_3419, _T_3411) @[Cat.scala 29:58] + buf_samedw_in <= _T_3420 @[lsu_bus_buffer.scala 430:17] + node _T_3421 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 431:66] + node _T_3422 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 431:84] + node _T_3423 = mux(_T_3421, _T_3422, io.no_dword_merge_r) @[lsu_bus_buffer.scala 431:48] + node _T_3424 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 431:66] + node _T_3425 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 431:84] + node _T_3426 = mux(_T_3424, _T_3425, io.no_dword_merge_r) @[lsu_bus_buffer.scala 431:48] + node _T_3427 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 431:66] + node _T_3428 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 431:84] + node _T_3429 = mux(_T_3427, _T_3428, io.no_dword_merge_r) @[lsu_bus_buffer.scala 431:48] + node _T_3430 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 431:66] + node _T_3431 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 431:84] + node _T_3432 = mux(_T_3430, _T_3431, io.no_dword_merge_r) @[lsu_bus_buffer.scala 431:48] + node _T_3433 = cat(_T_3432, _T_3429) @[Cat.scala 29:58] + node _T_3434 = cat(_T_3433, _T_3426) @[Cat.scala 29:58] + node _T_3435 = cat(_T_3434, _T_3423) @[Cat.scala 29:58] + buf_nomerge_in <= _T_3435 @[lsu_bus_buffer.scala 431:18] + node _T_3436 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 432:65] + node _T_3437 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:90] + node _T_3438 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 432:118] + node _T_3439 = and(_T_3437, _T_3438) @[lsu_bus_buffer.scala 432:107] + node _T_3440 = mux(_T_3436, ibuf_dual, _T_3439) @[lsu_bus_buffer.scala 432:47] + node _T_3441 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 432:65] + node _T_3442 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:90] + node _T_3443 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 432:118] + node _T_3444 = and(_T_3442, _T_3443) @[lsu_bus_buffer.scala 432:107] + node _T_3445 = mux(_T_3441, ibuf_dual, _T_3444) @[lsu_bus_buffer.scala 432:47] + node _T_3446 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 432:65] + node _T_3447 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:90] + node _T_3448 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 432:118] + node _T_3449 = and(_T_3447, _T_3448) @[lsu_bus_buffer.scala 432:107] + node _T_3450 = mux(_T_3446, ibuf_dual, _T_3449) @[lsu_bus_buffer.scala 432:47] + node _T_3451 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 432:65] + node _T_3452 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:90] + node _T_3453 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 432:118] + node _T_3454 = and(_T_3452, _T_3453) @[lsu_bus_buffer.scala 432:107] + node _T_3455 = mux(_T_3451, ibuf_dual, _T_3454) @[lsu_bus_buffer.scala 432:47] + node _T_3456 = cat(_T_3455, _T_3450) @[Cat.scala 29:58] + node _T_3457 = cat(_T_3456, _T_3445) @[Cat.scala 29:58] + node _T_3458 = cat(_T_3457, _T_3440) @[Cat.scala 29:58] + buf_dualhi_in <= _T_3458 @[lsu_bus_buffer.scala 432:17] + node _T_3459 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 433:65] + node _T_3460 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:97] + node _T_3461 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 433:125] + node _T_3462 = and(_T_3460, _T_3461) @[lsu_bus_buffer.scala 433:114] + node _T_3463 = mux(_T_3462, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 433:87] + node _T_3464 = mux(_T_3459, ibuf_dualtag, _T_3463) @[lsu_bus_buffer.scala 433:47] + node _T_3465 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 433:65] + node _T_3466 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:97] + node _T_3467 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 433:125] + node _T_3468 = and(_T_3466, _T_3467) @[lsu_bus_buffer.scala 433:114] + node _T_3469 = mux(_T_3468, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 433:87] + node _T_3470 = mux(_T_3465, ibuf_dualtag, _T_3469) @[lsu_bus_buffer.scala 433:47] + node _T_3471 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 433:65] + node _T_3472 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:97] + node _T_3473 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 433:125] + node _T_3474 = and(_T_3472, _T_3473) @[lsu_bus_buffer.scala 433:114] + node _T_3475 = mux(_T_3474, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 433:87] + node _T_3476 = mux(_T_3471, ibuf_dualtag, _T_3475) @[lsu_bus_buffer.scala 433:47] + node _T_3477 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 433:65] + node _T_3478 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:97] + node _T_3479 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 433:125] + node _T_3480 = and(_T_3478, _T_3479) @[lsu_bus_buffer.scala 433:114] + node _T_3481 = mux(_T_3480, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 433:87] + node _T_3482 = mux(_T_3477, ibuf_dualtag, _T_3481) @[lsu_bus_buffer.scala 433:47] + buf_dualtag_in[0] <= _T_3464 @[lsu_bus_buffer.scala 433:18] + buf_dualtag_in[1] <= _T_3470 @[lsu_bus_buffer.scala 433:18] + buf_dualtag_in[2] <= _T_3476 @[lsu_bus_buffer.scala 433:18] + buf_dualtag_in[3] <= _T_3482 @[lsu_bus_buffer.scala 433:18] + node _T_3483 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 434:69] + node _T_3484 = mux(_T_3483, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 434:51] + node _T_3485 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 434:69] + node _T_3486 = mux(_T_3485, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 434:51] + node _T_3487 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 434:69] + node _T_3488 = mux(_T_3487, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 434:51] + node _T_3489 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 434:69] + node _T_3490 = mux(_T_3489, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 434:51] + node _T_3491 = cat(_T_3490, _T_3488) @[Cat.scala 29:58] + node _T_3492 = cat(_T_3491, _T_3486) @[Cat.scala 29:58] + node _T_3493 = cat(_T_3492, _T_3484) @[Cat.scala 29:58] + buf_sideeffect_in <= _T_3493 @[lsu_bus_buffer.scala 434:21] + node _T_3494 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 435:65] + node _T_3495 = mux(_T_3494, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 435:47] + node _T_3496 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 435:65] + node _T_3497 = mux(_T_3496, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 435:47] + node _T_3498 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 435:65] + node _T_3499 = mux(_T_3498, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 435:47] + node _T_3500 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 435:65] + node _T_3501 = mux(_T_3500, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 435:47] + node _T_3502 = cat(_T_3501, _T_3499) @[Cat.scala 29:58] + node _T_3503 = cat(_T_3502, _T_3497) @[Cat.scala 29:58] + node _T_3504 = cat(_T_3503, _T_3495) @[Cat.scala 29:58] + buf_unsign_in <= _T_3504 @[lsu_bus_buffer.scala 435:17] + node _T_3505 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 436:60] + node _T_3506 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node _T_3507 = mux(_T_3505, ibuf_sz, _T_3506) @[lsu_bus_buffer.scala 436:42] + node _T_3508 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 436:60] + node _T_3509 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node _T_3510 = mux(_T_3508, ibuf_sz, _T_3509) @[lsu_bus_buffer.scala 436:42] + node _T_3511 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 436:60] + node _T_3512 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node _T_3513 = mux(_T_3511, ibuf_sz, _T_3512) @[lsu_bus_buffer.scala 436:42] + node _T_3514 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 436:60] + node _T_3515 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node _T_3516 = mux(_T_3514, ibuf_sz, _T_3515) @[lsu_bus_buffer.scala 436:42] + buf_sz_in[0] <= _T_3507 @[lsu_bus_buffer.scala 436:13] + buf_sz_in[1] <= _T_3510 @[lsu_bus_buffer.scala 436:13] + buf_sz_in[2] <= _T_3513 @[lsu_bus_buffer.scala 436:13] + buf_sz_in[3] <= _T_3516 @[lsu_bus_buffer.scala 436:13] + node _T_3517 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 437:64] + node _T_3518 = mux(_T_3517, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 437:46] + node _T_3519 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 437:64] + node _T_3520 = mux(_T_3519, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 437:46] + node _T_3521 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 437:64] + node _T_3522 = mux(_T_3521, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 437:46] + node _T_3523 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 437:64] + node _T_3524 = mux(_T_3523, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 437:46] + node _T_3525 = cat(_T_3524, _T_3522) @[Cat.scala 29:58] + node _T_3526 = cat(_T_3525, _T_3520) @[Cat.scala 29:58] + node _T_3527 = cat(_T_3526, _T_3518) @[Cat.scala 29:58] + buf_write_in <= _T_3527 @[lsu_bus_buffer.scala 437:16] + node _T_3528 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3528 : @[Conditional.scala 40:58] + node _T_3529 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 442:56] + node _T_3530 = mux(_T_3529, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 442:31] + buf_nxtstate[0] <= _T_3530 @[lsu_bus_buffer.scala 442:25] + node _T_3531 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 443:45] + node _T_3532 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:77] + node _T_3533 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 443:97] + node _T_3534 = and(_T_3532, _T_3533) @[lsu_bus_buffer.scala 443:95] + node _T_3535 = eq(UInt<1>("h00"), WrPtr0_r) @[lsu_bus_buffer.scala 443:117] + node _T_3536 = and(_T_3534, _T_3535) @[lsu_bus_buffer.scala 443:112] + node _T_3537 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:144] + node _T_3538 = eq(UInt<1>("h00"), WrPtr1_r) @[lsu_bus_buffer.scala 443:166] + node _T_3539 = and(_T_3537, _T_3538) @[lsu_bus_buffer.scala 443:161] + node _T_3540 = or(_T_3536, _T_3539) @[lsu_bus_buffer.scala 443:132] + node _T_3541 = and(_T_3531, _T_3540) @[lsu_bus_buffer.scala 443:63] + node _T_3542 = eq(UInt<1>("h00"), ibuf_tag) @[lsu_bus_buffer.scala 443:206] + node _T_3543 = and(ibuf_drain_vld, _T_3542) @[lsu_bus_buffer.scala 443:201] + node _T_3544 = or(_T_3541, _T_3543) @[lsu_bus_buffer.scala 443:183] + buf_state_en[0] <= _T_3544 @[lsu_bus_buffer.scala 443:25] + buf_wr_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 444:22] + buf_data_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 445:24] + node _T_3545 = eq(UInt<1>("h00"), ibuf_tag) @[lsu_bus_buffer.scala 446:52] + node _T_3546 = and(ibuf_drain_vld, _T_3545) @[lsu_bus_buffer.scala 446:47] + node _T_3547 = bits(_T_3546, 0, 0) @[lsu_bus_buffer.scala 446:73] + node _T_3548 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 446:90] + node _T_3549 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 446:114] + node _T_3550 = mux(_T_3547, _T_3548, _T_3549) @[lsu_bus_buffer.scala 446:30] + buf_data_in[0] <= _T_3550 @[lsu_bus_buffer.scala 446:24] + skip @[Conditional.scala 40:58] + else : @[Conditional.scala 39:67] + node _T_3551 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3551 : @[Conditional.scala 39:67] + node _T_3552 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 449:60] + node _T_3553 = mux(_T_3552, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 449:31] + buf_nxtstate[0] <= _T_3553 @[lsu_bus_buffer.scala 449:25] + node _T_3554 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 450:46] + buf_state_en[0] <= _T_3554 @[lsu_bus_buffer.scala 450:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3555 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3555 : @[Conditional.scala 39:67] + node _T_3556 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60] + node _T_3557 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 453:89] + node _T_3558 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 453:124] + node _T_3559 = and(_T_3557, _T_3558) @[lsu_bus_buffer.scala 453:104] + node _T_3560 = mux(_T_3559, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 453:75] + node _T_3561 = mux(_T_3556, UInt<3>("h00"), _T_3560) @[lsu_bus_buffer.scala 453:31] + buf_nxtstate[0] <= _T_3561 @[lsu_bus_buffer.scala 453:25] + node _T_3562 = eq(obuf_tag0, UInt<3>("h00")) @[lsu_bus_buffer.scala 454:48] + node _T_3563 = eq(obuf_tag1, UInt<3>("h00")) @[lsu_bus_buffer.scala 454:104] + node _T_3564 = and(obuf_merge, _T_3563) @[lsu_bus_buffer.scala 454:91] + node _T_3565 = or(_T_3562, _T_3564) @[lsu_bus_buffer.scala 454:77] + node _T_3566 = and(_T_3565, obuf_valid) @[lsu_bus_buffer.scala 454:135] + node _T_3567 = and(_T_3566, obuf_wr_enQ) @[lsu_bus_buffer.scala 454:148] + buf_cmd_state_bus_en[0] <= _T_3567 @[lsu_bus_buffer.scala 454:33] + buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[lsu_bus_buffer.scala 455:29] + node _T_3568 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 456:49] + node _T_3569 = or(_T_3568, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 456:70] + buf_state_en[0] <= _T_3569 @[lsu_bus_buffer.scala 456:25] + buf_ldfwd_in[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 457:25] + node _T_3570 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 458:56] + node _T_3571 = eq(_T_3570, UInt<1>("h00")) @[lsu_bus_buffer.scala 458:46] + node _T_3572 = and(buf_state_en[0], _T_3571) @[lsu_bus_buffer.scala 458:44] + node _T_3573 = and(_T_3572, obuf_nosend) @[lsu_bus_buffer.scala 458:60] + node _T_3574 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 458:76] + node _T_3575 = and(_T_3573, _T_3574) @[lsu_bus_buffer.scala 458:74] + buf_ldfwd_en[0] <= _T_3575 @[lsu_bus_buffer.scala 458:25] + node _T_3576 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 459:46] + buf_ldfwdtag_in[0] <= _T_3576 @[lsu_bus_buffer.scala 459:28] + node _T_3577 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 460:47] + node _T_3578 = and(_T_3577, obuf_nosend) @[lsu_bus_buffer.scala 460:67] + node _T_3579 = and(_T_3578, bus_rsp_read) @[lsu_bus_buffer.scala 460:81] + buf_data_en[0] <= _T_3579 @[lsu_bus_buffer.scala 460:24] + node _T_3580 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:48] + node _T_3581 = and(_T_3580, obuf_nosend) @[lsu_bus_buffer.scala 461:68] + node _T_3582 = and(_T_3581, bus_rsp_read_error) @[lsu_bus_buffer.scala 461:82] + buf_error_en[0] <= _T_3582 @[lsu_bus_buffer.scala 461:25] + node _T_3583 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 462:61] + node _T_3584 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 462:85] + node _T_3585 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 462:103] + node _T_3586 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 462:126] + node _T_3587 = mux(_T_3584, _T_3585, _T_3586) @[lsu_bus_buffer.scala 462:73] + node _T_3588 = mux(buf_error_en[0], _T_3583, _T_3587) @[lsu_bus_buffer.scala 462:30] + buf_data_in[0] <= _T_3588 @[lsu_bus_buffer.scala 462:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3589 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3589 : @[Conditional.scala 39:67] + node _T_3590 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 465:67] + node _T_3591 = and(UInt<1>("h00"), bus_rsp_write_error) @[lsu_bus_buffer.scala 465:94] + node _T_3592 = eq(_T_3591, UInt<1>("h00")) @[lsu_bus_buffer.scala 465:73] + node _T_3593 = and(_T_3590, _T_3592) @[lsu_bus_buffer.scala 465:71] + node _T_3594 = or(io.dec_tlu_force_halt, _T_3593) @[lsu_bus_buffer.scala 465:55] + node _T_3595 = bits(_T_3594, 0, 0) @[lsu_bus_buffer.scala 465:125] + node _T_3596 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 466:30] + node _T_3597 = and(buf_dual[0], _T_3596) @[lsu_bus_buffer.scala 466:28] + node _T_3598 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 466:57] + node _T_3599 = eq(_T_3598, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:47] + node _T_3600 = and(_T_3597, _T_3599) @[lsu_bus_buffer.scala 466:45] + node _T_3601 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 466:90] + node _T_3602 = and(_T_3600, _T_3601) @[lsu_bus_buffer.scala 466:61] + node _T_3603 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 467:27] + node _T_3604 = or(_T_3603, any_done_wait_state) @[lsu_bus_buffer.scala 467:31] + node _T_3605 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 467:70] + node _T_3606 = and(buf_dual[0], _T_3605) @[lsu_bus_buffer.scala 467:68] + node _T_3607 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 467:97] + node _T_3608 = eq(_T_3607, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:87] + node _T_3609 = and(_T_3606, _T_3608) @[lsu_bus_buffer.scala 467:85] + node _T_3610 = eq(buf_dualtag[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_3611 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_3612 = eq(buf_dualtag[0], UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_3613 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_3614 = eq(buf_dualtag[0], UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_3615 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_3616 = eq(buf_dualtag[0], UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_3617 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_3618 = mux(_T_3610, _T_3611, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3619 = mux(_T_3612, _T_3613, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3620 = mux(_T_3614, _T_3615, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3621 = mux(_T_3616, _T_3617, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3622 = or(_T_3618, _T_3619) @[Mux.scala 27:72] + node _T_3623 = or(_T_3622, _T_3620) @[Mux.scala 27:72] + node _T_3624 = or(_T_3623, _T_3621) @[Mux.scala 27:72] + wire _T_3625 : UInt<1> @[Mux.scala 27:72] + _T_3625 <= _T_3624 @[Mux.scala 27:72] + node _T_3626 = and(_T_3609, _T_3625) @[lsu_bus_buffer.scala 467:101] + node _T_3627 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 467:167] + node _T_3628 = and(_T_3626, _T_3627) @[lsu_bus_buffer.scala 467:138] + node _T_3629 = and(_T_3628, any_done_wait_state) @[lsu_bus_buffer.scala 467:187] + node _T_3630 = or(_T_3604, _T_3629) @[lsu_bus_buffer.scala 467:53] + node _T_3631 = mux(_T_3630, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 467:16] + node _T_3632 = mux(_T_3602, UInt<3>("h04"), _T_3631) @[lsu_bus_buffer.scala 466:14] + node _T_3633 = mux(_T_3595, UInt<3>("h00"), _T_3632) @[lsu_bus_buffer.scala 465:31] + buf_nxtstate[0] <= _T_3633 @[lsu_bus_buffer.scala 465:25] + node _T_3634 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 468:73] + node _T_3635 = and(bus_rsp_write, _T_3634) @[lsu_bus_buffer.scala 468:52] + node _T_3636 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 469:46] + node _T_3637 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 470:23] + node _T_3638 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 470:47] + node _T_3639 = and(_T_3637, _T_3638) @[lsu_bus_buffer.scala 470:27] + node _T_3640 = or(_T_3636, _T_3639) @[lsu_bus_buffer.scala 469:77] + node _T_3641 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 471:26] + node _T_3642 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 471:54] + node _T_3643 = not(_T_3642) @[lsu_bus_buffer.scala 471:44] + node _T_3644 = and(_T_3641, _T_3643) @[lsu_bus_buffer.scala 471:42] + node _T_3645 = and(_T_3644, buf_samedw[0]) @[lsu_bus_buffer.scala 471:58] + node _T_3646 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 471:94] + node _T_3647 = and(_T_3645, _T_3646) @[lsu_bus_buffer.scala 471:74] + node _T_3648 = or(_T_3640, _T_3647) @[lsu_bus_buffer.scala 470:71] + node _T_3649 = and(bus_rsp_read, _T_3648) @[lsu_bus_buffer.scala 469:25] + node _T_3650 = or(_T_3635, _T_3649) @[lsu_bus_buffer.scala 468:105] + buf_resp_state_bus_en[0] <= _T_3650 @[lsu_bus_buffer.scala 468:34] + buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[lsu_bus_buffer.scala 472:29] + node _T_3651 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 473:49] + node _T_3652 = or(_T_3651, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 473:70] + buf_state_en[0] <= _T_3652 @[lsu_bus_buffer.scala 473:25] + node _T_3653 = and(buf_state_bus_en[0], bus_rsp_read) @[lsu_bus_buffer.scala 474:47] + node _T_3654 = and(_T_3653, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 474:62] + buf_data_en[0] <= _T_3654 @[lsu_bus_buffer.scala 474:24] + node _T_3655 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:48] + node _T_3656 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 475:111] + node _T_3657 = and(bus_rsp_read_error, _T_3656) @[lsu_bus_buffer.scala 475:91] + node _T_3658 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 476:42] + node _T_3659 = and(bus_rsp_read_error, _T_3658) @[lsu_bus_buffer.scala 476:31] + node _T_3660 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 476:66] + node _T_3661 = and(_T_3659, _T_3660) @[lsu_bus_buffer.scala 476:46] + node _T_3662 = or(_T_3657, _T_3661) @[lsu_bus_buffer.scala 475:143] + node _T_3663 = and(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 477:32] + node _T_3664 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 477:74] + node _T_3665 = and(_T_3663, _T_3664) @[lsu_bus_buffer.scala 477:53] + node _T_3666 = or(_T_3662, _T_3665) @[lsu_bus_buffer.scala 476:88] + node _T_3667 = and(_T_3655, _T_3666) @[lsu_bus_buffer.scala 475:68] + buf_error_en[0] <= _T_3667 @[lsu_bus_buffer.scala 475:25] + node _T_3668 = eq(buf_error_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 478:50] + node _T_3669 = and(buf_state_en[0], _T_3668) @[lsu_bus_buffer.scala 478:48] + node _T_3670 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 478:84] + node _T_3671 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 478:102] + node _T_3672 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 478:125] + node _T_3673 = mux(_T_3670, _T_3671, _T_3672) @[lsu_bus_buffer.scala 478:72] + node _T_3674 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 478:148] + node _T_3675 = mux(_T_3669, _T_3673, _T_3674) @[lsu_bus_buffer.scala 478:30] + buf_data_in[0] <= _T_3675 @[lsu_bus_buffer.scala 478:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3676 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3676 : @[Conditional.scala 39:67] + node _T_3677 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 481:60] + node _T_3678 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 481:86] + node _T_3679 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 481:101] + node _T_3680 = bits(_T_3679, 0, 0) @[lsu_bus_buffer.scala 481:101] + node _T_3681 = or(_T_3678, _T_3680) @[lsu_bus_buffer.scala 481:90] + node _T_3682 = or(_T_3681, any_done_wait_state) @[lsu_bus_buffer.scala 481:118] + node _T_3683 = mux(_T_3682, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 481:75] + node _T_3684 = mux(_T_3677, UInt<3>("h00"), _T_3683) @[lsu_bus_buffer.scala 481:31] + buf_nxtstate[0] <= _T_3684 @[lsu_bus_buffer.scala 481:25] + node _T_3685 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 482:66] + node _T_3686 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 483:21] + node _T_3687 = bits(_T_3686, 0, 0) @[lsu_bus_buffer.scala 483:21] + node _T_3688 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[lsu_bus_buffer.scala 483:58] + node _T_3689 = and(_T_3687, _T_3688) @[lsu_bus_buffer.scala 483:38] + node _T_3690 = or(_T_3685, _T_3689) @[lsu_bus_buffer.scala 482:95] + node _T_3691 = and(bus_rsp_read, _T_3690) @[lsu_bus_buffer.scala 482:45] + buf_state_bus_en[0] <= _T_3691 @[lsu_bus_buffer.scala 482:29] + node _T_3692 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 484:49] + node _T_3693 = or(_T_3692, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 484:70] + buf_state_en[0] <= _T_3693 @[lsu_bus_buffer.scala 484:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3694 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3694 : @[Conditional.scala 39:67] + node _T_3695 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 487:60] + node _T_3696 = mux(_T_3695, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 487:31] + buf_nxtstate[0] <= _T_3696 @[lsu_bus_buffer.scala 487:25] + node _T_3697 = eq(RspPtr, UInt<2>("h00")) @[lsu_bus_buffer.scala 488:37] + node _T_3698 = eq(buf_dualtag[0], RspPtr) @[lsu_bus_buffer.scala 488:98] + node _T_3699 = and(buf_dual[0], _T_3698) @[lsu_bus_buffer.scala 488:80] + node _T_3700 = or(_T_3697, _T_3699) @[lsu_bus_buffer.scala 488:65] + node _T_3701 = or(_T_3700, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 488:112] + buf_state_en[0] <= _T_3701 @[lsu_bus_buffer.scala 488:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3702 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3702 : @[Conditional.scala 39:67] + buf_nxtstate[0] <= UInt<3>("h00") @[lsu_bus_buffer.scala 491:25] + buf_rst[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 492:20] + buf_state_en[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 493:25] + buf_ldfwd_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 494:25] + buf_ldfwd_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 495:25] + skip @[Conditional.scala 39:67] + node _T_3703 = bits(buf_state_en[0], 0, 0) @[lsu_bus_buffer.scala 498:108] + reg _T_3704 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3703 : @[Reg.scala 28:19] + _T_3704 <= buf_nxtstate[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_state[0] <= _T_3704 @[lsu_bus_buffer.scala 498:18] + reg _T_3705 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 499:60] + _T_3705 <= buf_age_in_0 @[lsu_bus_buffer.scala 499:60] + buf_ageQ[0] <= _T_3705 @[lsu_bus_buffer.scala 499:17] + reg _T_3706 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 500:63] + _T_3706 <= buf_rspage_in[0] @[lsu_bus_buffer.scala 500:63] + buf_rspageQ[0] <= _T_3706 @[lsu_bus_buffer.scala 500:20] + node _T_3707 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 501:109] + reg _T_3708 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3707 : @[Reg.scala 28:19] + _T_3708 <= buf_dualtag_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualtag[0] <= _T_3708 @[lsu_bus_buffer.scala 501:20] + node _T_3709 = bits(buf_dual_in, 0, 0) @[lsu_bus_buffer.scala 502:74] + node _T_3710 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 502:107] + reg _T_3711 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3710 : @[Reg.scala 28:19] + _T_3711 <= _T_3709 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dual[0] <= _T_3711 @[lsu_bus_buffer.scala 502:17] + node _T_3712 = bits(buf_samedw_in, 0, 0) @[lsu_bus_buffer.scala 503:78] + node _T_3713 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 503:111] + reg _T_3714 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3713 : @[Reg.scala 28:19] + _T_3714 <= _T_3712 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_samedw[0] <= _T_3714 @[lsu_bus_buffer.scala 503:19] + node _T_3715 = bits(buf_nomerge_in, 0, 0) @[lsu_bus_buffer.scala 504:80] + node _T_3716 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 504:113] + reg _T_3717 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3716 : @[Reg.scala 28:19] + _T_3717 <= _T_3715 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_nomerge[0] <= _T_3717 @[lsu_bus_buffer.scala 504:20] + node _T_3718 = bits(buf_dualhi_in, 0, 0) @[lsu_bus_buffer.scala 505:78] + node _T_3719 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 505:111] + reg _T_3720 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3719 : @[Reg.scala 28:19] + _T_3720 <= _T_3718 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[0] <= _T_3720 @[lsu_bus_buffer.scala 505:19] + node _T_3721 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3721 : @[Conditional.scala 40:58] + node _T_3722 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 442:56] + node _T_3723 = mux(_T_3722, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 442:31] + buf_nxtstate[1] <= _T_3723 @[lsu_bus_buffer.scala 442:25] + node _T_3724 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 443:45] + node _T_3725 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:77] + node _T_3726 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 443:97] + node _T_3727 = and(_T_3725, _T_3726) @[lsu_bus_buffer.scala 443:95] + node _T_3728 = eq(UInt<1>("h01"), WrPtr0_r) @[lsu_bus_buffer.scala 443:117] + node _T_3729 = and(_T_3727, _T_3728) @[lsu_bus_buffer.scala 443:112] + node _T_3730 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:144] + node _T_3731 = eq(UInt<1>("h01"), WrPtr1_r) @[lsu_bus_buffer.scala 443:166] + node _T_3732 = and(_T_3730, _T_3731) @[lsu_bus_buffer.scala 443:161] + node _T_3733 = or(_T_3729, _T_3732) @[lsu_bus_buffer.scala 443:132] + node _T_3734 = and(_T_3724, _T_3733) @[lsu_bus_buffer.scala 443:63] + node _T_3735 = eq(UInt<1>("h01"), ibuf_tag) @[lsu_bus_buffer.scala 443:206] + node _T_3736 = and(ibuf_drain_vld, _T_3735) @[lsu_bus_buffer.scala 443:201] + node _T_3737 = or(_T_3734, _T_3736) @[lsu_bus_buffer.scala 443:183] + buf_state_en[1] <= _T_3737 @[lsu_bus_buffer.scala 443:25] + buf_wr_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 444:22] + buf_data_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 445:24] + node _T_3738 = eq(UInt<1>("h01"), ibuf_tag) @[lsu_bus_buffer.scala 446:52] + node _T_3739 = and(ibuf_drain_vld, _T_3738) @[lsu_bus_buffer.scala 446:47] + node _T_3740 = bits(_T_3739, 0, 0) @[lsu_bus_buffer.scala 446:73] + node _T_3741 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 446:90] + node _T_3742 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 446:114] + node _T_3743 = mux(_T_3740, _T_3741, _T_3742) @[lsu_bus_buffer.scala 446:30] + buf_data_in[1] <= _T_3743 @[lsu_bus_buffer.scala 446:24] + skip @[Conditional.scala 40:58] + else : @[Conditional.scala 39:67] + node _T_3744 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3744 : @[Conditional.scala 39:67] + node _T_3745 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 449:60] + node _T_3746 = mux(_T_3745, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 449:31] + buf_nxtstate[1] <= _T_3746 @[lsu_bus_buffer.scala 449:25] + node _T_3747 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 450:46] + buf_state_en[1] <= _T_3747 @[lsu_bus_buffer.scala 450:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3748 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3748 : @[Conditional.scala 39:67] + node _T_3749 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60] + node _T_3750 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 453:89] + node _T_3751 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 453:124] + node _T_3752 = and(_T_3750, _T_3751) @[lsu_bus_buffer.scala 453:104] + node _T_3753 = mux(_T_3752, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 453:75] + node _T_3754 = mux(_T_3749, UInt<3>("h00"), _T_3753) @[lsu_bus_buffer.scala 453:31] + buf_nxtstate[1] <= _T_3754 @[lsu_bus_buffer.scala 453:25] + node _T_3755 = eq(obuf_tag0, UInt<3>("h01")) @[lsu_bus_buffer.scala 454:48] + node _T_3756 = eq(obuf_tag1, UInt<3>("h01")) @[lsu_bus_buffer.scala 454:104] + node _T_3757 = and(obuf_merge, _T_3756) @[lsu_bus_buffer.scala 454:91] + node _T_3758 = or(_T_3755, _T_3757) @[lsu_bus_buffer.scala 454:77] + node _T_3759 = and(_T_3758, obuf_valid) @[lsu_bus_buffer.scala 454:135] + node _T_3760 = and(_T_3759, obuf_wr_enQ) @[lsu_bus_buffer.scala 454:148] + buf_cmd_state_bus_en[1] <= _T_3760 @[lsu_bus_buffer.scala 454:33] + buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[lsu_bus_buffer.scala 455:29] + node _T_3761 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 456:49] + node _T_3762 = or(_T_3761, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 456:70] + buf_state_en[1] <= _T_3762 @[lsu_bus_buffer.scala 456:25] + buf_ldfwd_in[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 457:25] + node _T_3763 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 458:56] + node _T_3764 = eq(_T_3763, UInt<1>("h00")) @[lsu_bus_buffer.scala 458:46] + node _T_3765 = and(buf_state_en[1], _T_3764) @[lsu_bus_buffer.scala 458:44] + node _T_3766 = and(_T_3765, obuf_nosend) @[lsu_bus_buffer.scala 458:60] + node _T_3767 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 458:76] + node _T_3768 = and(_T_3766, _T_3767) @[lsu_bus_buffer.scala 458:74] + buf_ldfwd_en[1] <= _T_3768 @[lsu_bus_buffer.scala 458:25] + node _T_3769 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 459:46] + buf_ldfwdtag_in[1] <= _T_3769 @[lsu_bus_buffer.scala 459:28] + node _T_3770 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 460:47] + node _T_3771 = and(_T_3770, obuf_nosend) @[lsu_bus_buffer.scala 460:67] + node _T_3772 = and(_T_3771, bus_rsp_read) @[lsu_bus_buffer.scala 460:81] + buf_data_en[1] <= _T_3772 @[lsu_bus_buffer.scala 460:24] + node _T_3773 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:48] + node _T_3774 = and(_T_3773, obuf_nosend) @[lsu_bus_buffer.scala 461:68] + node _T_3775 = and(_T_3774, bus_rsp_read_error) @[lsu_bus_buffer.scala 461:82] + buf_error_en[1] <= _T_3775 @[lsu_bus_buffer.scala 461:25] + node _T_3776 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 462:61] + node _T_3777 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 462:85] + node _T_3778 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 462:103] + node _T_3779 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 462:126] + node _T_3780 = mux(_T_3777, _T_3778, _T_3779) @[lsu_bus_buffer.scala 462:73] + node _T_3781 = mux(buf_error_en[1], _T_3776, _T_3780) @[lsu_bus_buffer.scala 462:30] + buf_data_in[1] <= _T_3781 @[lsu_bus_buffer.scala 462:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3782 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3782 : @[Conditional.scala 39:67] + node _T_3783 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 465:67] + node _T_3784 = and(UInt<1>("h00"), bus_rsp_write_error) @[lsu_bus_buffer.scala 465:94] + node _T_3785 = eq(_T_3784, UInt<1>("h00")) @[lsu_bus_buffer.scala 465:73] + node _T_3786 = and(_T_3783, _T_3785) @[lsu_bus_buffer.scala 465:71] + node _T_3787 = or(io.dec_tlu_force_halt, _T_3786) @[lsu_bus_buffer.scala 465:55] + node _T_3788 = bits(_T_3787, 0, 0) @[lsu_bus_buffer.scala 465:125] + node _T_3789 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 466:30] + node _T_3790 = and(buf_dual[1], _T_3789) @[lsu_bus_buffer.scala 466:28] + node _T_3791 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 466:57] + node _T_3792 = eq(_T_3791, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:47] + node _T_3793 = and(_T_3790, _T_3792) @[lsu_bus_buffer.scala 466:45] + node _T_3794 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 466:90] + node _T_3795 = and(_T_3793, _T_3794) @[lsu_bus_buffer.scala 466:61] + node _T_3796 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 467:27] + node _T_3797 = or(_T_3796, any_done_wait_state) @[lsu_bus_buffer.scala 467:31] + node _T_3798 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 467:70] + node _T_3799 = and(buf_dual[1], _T_3798) @[lsu_bus_buffer.scala 467:68] + node _T_3800 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 467:97] + node _T_3801 = eq(_T_3800, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:87] + node _T_3802 = and(_T_3799, _T_3801) @[lsu_bus_buffer.scala 467:85] + node _T_3803 = eq(buf_dualtag[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_3804 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_3805 = eq(buf_dualtag[1], UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_3806 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_3807 = eq(buf_dualtag[1], UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_3808 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_3809 = eq(buf_dualtag[1], UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_3810 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_3811 = mux(_T_3803, _T_3804, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3812 = mux(_T_3805, _T_3806, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3813 = mux(_T_3807, _T_3808, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3814 = mux(_T_3809, _T_3810, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3815 = or(_T_3811, _T_3812) @[Mux.scala 27:72] + node _T_3816 = or(_T_3815, _T_3813) @[Mux.scala 27:72] + node _T_3817 = or(_T_3816, _T_3814) @[Mux.scala 27:72] + wire _T_3818 : UInt<1> @[Mux.scala 27:72] + _T_3818 <= _T_3817 @[Mux.scala 27:72] + node _T_3819 = and(_T_3802, _T_3818) @[lsu_bus_buffer.scala 467:101] + node _T_3820 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 467:167] + node _T_3821 = and(_T_3819, _T_3820) @[lsu_bus_buffer.scala 467:138] + node _T_3822 = and(_T_3821, any_done_wait_state) @[lsu_bus_buffer.scala 467:187] + node _T_3823 = or(_T_3797, _T_3822) @[lsu_bus_buffer.scala 467:53] + node _T_3824 = mux(_T_3823, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 467:16] + node _T_3825 = mux(_T_3795, UInt<3>("h04"), _T_3824) @[lsu_bus_buffer.scala 466:14] + node _T_3826 = mux(_T_3788, UInt<3>("h00"), _T_3825) @[lsu_bus_buffer.scala 465:31] + buf_nxtstate[1] <= _T_3826 @[lsu_bus_buffer.scala 465:25] + node _T_3827 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 468:73] + node _T_3828 = and(bus_rsp_write, _T_3827) @[lsu_bus_buffer.scala 468:52] + node _T_3829 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 469:46] + node _T_3830 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 470:23] + node _T_3831 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 470:47] + node _T_3832 = and(_T_3830, _T_3831) @[lsu_bus_buffer.scala 470:27] + node _T_3833 = or(_T_3829, _T_3832) @[lsu_bus_buffer.scala 469:77] + node _T_3834 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 471:26] + node _T_3835 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 471:54] + node _T_3836 = not(_T_3835) @[lsu_bus_buffer.scala 471:44] + node _T_3837 = and(_T_3834, _T_3836) @[lsu_bus_buffer.scala 471:42] + node _T_3838 = and(_T_3837, buf_samedw[1]) @[lsu_bus_buffer.scala 471:58] + node _T_3839 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 471:94] + node _T_3840 = and(_T_3838, _T_3839) @[lsu_bus_buffer.scala 471:74] + node _T_3841 = or(_T_3833, _T_3840) @[lsu_bus_buffer.scala 470:71] + node _T_3842 = and(bus_rsp_read, _T_3841) @[lsu_bus_buffer.scala 469:25] + node _T_3843 = or(_T_3828, _T_3842) @[lsu_bus_buffer.scala 468:105] + buf_resp_state_bus_en[1] <= _T_3843 @[lsu_bus_buffer.scala 468:34] + buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[lsu_bus_buffer.scala 472:29] + node _T_3844 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 473:49] + node _T_3845 = or(_T_3844, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 473:70] + buf_state_en[1] <= _T_3845 @[lsu_bus_buffer.scala 473:25] + node _T_3846 = and(buf_state_bus_en[1], bus_rsp_read) @[lsu_bus_buffer.scala 474:47] + node _T_3847 = and(_T_3846, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 474:62] + buf_data_en[1] <= _T_3847 @[lsu_bus_buffer.scala 474:24] + node _T_3848 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:48] + node _T_3849 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 475:111] + node _T_3850 = and(bus_rsp_read_error, _T_3849) @[lsu_bus_buffer.scala 475:91] + node _T_3851 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 476:42] + node _T_3852 = and(bus_rsp_read_error, _T_3851) @[lsu_bus_buffer.scala 476:31] + node _T_3853 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 476:66] + node _T_3854 = and(_T_3852, _T_3853) @[lsu_bus_buffer.scala 476:46] + node _T_3855 = or(_T_3850, _T_3854) @[lsu_bus_buffer.scala 475:143] + node _T_3856 = and(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 477:32] + node _T_3857 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 477:74] + node _T_3858 = and(_T_3856, _T_3857) @[lsu_bus_buffer.scala 477:53] + node _T_3859 = or(_T_3855, _T_3858) @[lsu_bus_buffer.scala 476:88] + node _T_3860 = and(_T_3848, _T_3859) @[lsu_bus_buffer.scala 475:68] + buf_error_en[1] <= _T_3860 @[lsu_bus_buffer.scala 475:25] + node _T_3861 = eq(buf_error_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 478:50] + node _T_3862 = and(buf_state_en[1], _T_3861) @[lsu_bus_buffer.scala 478:48] + node _T_3863 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 478:84] + node _T_3864 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 478:102] + node _T_3865 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 478:125] + node _T_3866 = mux(_T_3863, _T_3864, _T_3865) @[lsu_bus_buffer.scala 478:72] + node _T_3867 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 478:148] + node _T_3868 = mux(_T_3862, _T_3866, _T_3867) @[lsu_bus_buffer.scala 478:30] + buf_data_in[1] <= _T_3868 @[lsu_bus_buffer.scala 478:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3869 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3869 : @[Conditional.scala 39:67] + node _T_3870 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 481:60] + node _T_3871 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 481:86] + node _T_3872 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 481:101] + node _T_3873 = bits(_T_3872, 0, 0) @[lsu_bus_buffer.scala 481:101] + node _T_3874 = or(_T_3871, _T_3873) @[lsu_bus_buffer.scala 481:90] + node _T_3875 = or(_T_3874, any_done_wait_state) @[lsu_bus_buffer.scala 481:118] + node _T_3876 = mux(_T_3875, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 481:75] + node _T_3877 = mux(_T_3870, UInt<3>("h00"), _T_3876) @[lsu_bus_buffer.scala 481:31] + buf_nxtstate[1] <= _T_3877 @[lsu_bus_buffer.scala 481:25] + node _T_3878 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 482:66] + node _T_3879 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 483:21] + node _T_3880 = bits(_T_3879, 0, 0) @[lsu_bus_buffer.scala 483:21] + node _T_3881 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[lsu_bus_buffer.scala 483:58] + node _T_3882 = and(_T_3880, _T_3881) @[lsu_bus_buffer.scala 483:38] + node _T_3883 = or(_T_3878, _T_3882) @[lsu_bus_buffer.scala 482:95] + node _T_3884 = and(bus_rsp_read, _T_3883) @[lsu_bus_buffer.scala 482:45] + buf_state_bus_en[1] <= _T_3884 @[lsu_bus_buffer.scala 482:29] + node _T_3885 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 484:49] + node _T_3886 = or(_T_3885, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 484:70] + buf_state_en[1] <= _T_3886 @[lsu_bus_buffer.scala 484:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3887 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3887 : @[Conditional.scala 39:67] + node _T_3888 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 487:60] + node _T_3889 = mux(_T_3888, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 487:31] + buf_nxtstate[1] <= _T_3889 @[lsu_bus_buffer.scala 487:25] + node _T_3890 = eq(RspPtr, UInt<2>("h01")) @[lsu_bus_buffer.scala 488:37] + node _T_3891 = eq(buf_dualtag[1], RspPtr) @[lsu_bus_buffer.scala 488:98] + node _T_3892 = and(buf_dual[1], _T_3891) @[lsu_bus_buffer.scala 488:80] + node _T_3893 = or(_T_3890, _T_3892) @[lsu_bus_buffer.scala 488:65] + node _T_3894 = or(_T_3893, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 488:112] + buf_state_en[1] <= _T_3894 @[lsu_bus_buffer.scala 488:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3895 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3895 : @[Conditional.scala 39:67] + buf_nxtstate[1] <= UInt<3>("h00") @[lsu_bus_buffer.scala 491:25] + buf_rst[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 492:20] + buf_state_en[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 493:25] + buf_ldfwd_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 494:25] + buf_ldfwd_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 495:25] + skip @[Conditional.scala 39:67] + node _T_3896 = bits(buf_state_en[1], 0, 0) @[lsu_bus_buffer.scala 498:108] + reg _T_3897 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3896 : @[Reg.scala 28:19] + _T_3897 <= buf_nxtstate[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_state[1] <= _T_3897 @[lsu_bus_buffer.scala 498:18] + reg _T_3898 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 499:60] + _T_3898 <= buf_age_in_1 @[lsu_bus_buffer.scala 499:60] + buf_ageQ[1] <= _T_3898 @[lsu_bus_buffer.scala 499:17] + reg _T_3899 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 500:63] + _T_3899 <= buf_rspage_in[1] @[lsu_bus_buffer.scala 500:63] + buf_rspageQ[1] <= _T_3899 @[lsu_bus_buffer.scala 500:20] + node _T_3900 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 501:109] + reg _T_3901 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3900 : @[Reg.scala 28:19] + _T_3901 <= buf_dualtag_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualtag[1] <= _T_3901 @[lsu_bus_buffer.scala 501:20] + node _T_3902 = bits(buf_dual_in, 1, 1) @[lsu_bus_buffer.scala 502:74] + node _T_3903 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 502:107] + reg _T_3904 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3903 : @[Reg.scala 28:19] + _T_3904 <= _T_3902 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dual[1] <= _T_3904 @[lsu_bus_buffer.scala 502:17] + node _T_3905 = bits(buf_samedw_in, 1, 1) @[lsu_bus_buffer.scala 503:78] + node _T_3906 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 503:111] + reg _T_3907 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3906 : @[Reg.scala 28:19] + _T_3907 <= _T_3905 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_samedw[1] <= _T_3907 @[lsu_bus_buffer.scala 503:19] + node _T_3908 = bits(buf_nomerge_in, 1, 1) @[lsu_bus_buffer.scala 504:80] + node _T_3909 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 504:113] + reg _T_3910 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3909 : @[Reg.scala 28:19] + _T_3910 <= _T_3908 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_nomerge[1] <= _T_3910 @[lsu_bus_buffer.scala 504:20] + node _T_3911 = bits(buf_dualhi_in, 1, 1) @[lsu_bus_buffer.scala 505:78] + node _T_3912 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 505:111] + reg _T_3913 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3912 : @[Reg.scala 28:19] + _T_3913 <= _T_3911 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[1] <= _T_3913 @[lsu_bus_buffer.scala 505:19] + node _T_3914 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3914 : @[Conditional.scala 40:58] + node _T_3915 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 442:56] + node _T_3916 = mux(_T_3915, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 442:31] + buf_nxtstate[2] <= _T_3916 @[lsu_bus_buffer.scala 442:25] + node _T_3917 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 443:45] + node _T_3918 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:77] + node _T_3919 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 443:97] + node _T_3920 = and(_T_3918, _T_3919) @[lsu_bus_buffer.scala 443:95] + node _T_3921 = eq(UInt<2>("h02"), WrPtr0_r) @[lsu_bus_buffer.scala 443:117] + node _T_3922 = and(_T_3920, _T_3921) @[lsu_bus_buffer.scala 443:112] + node _T_3923 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:144] + node _T_3924 = eq(UInt<2>("h02"), WrPtr1_r) @[lsu_bus_buffer.scala 443:166] + node _T_3925 = and(_T_3923, _T_3924) @[lsu_bus_buffer.scala 443:161] + node _T_3926 = or(_T_3922, _T_3925) @[lsu_bus_buffer.scala 443:132] + node _T_3927 = and(_T_3917, _T_3926) @[lsu_bus_buffer.scala 443:63] + node _T_3928 = eq(UInt<2>("h02"), ibuf_tag) @[lsu_bus_buffer.scala 443:206] + node _T_3929 = and(ibuf_drain_vld, _T_3928) @[lsu_bus_buffer.scala 443:201] + node _T_3930 = or(_T_3927, _T_3929) @[lsu_bus_buffer.scala 443:183] + buf_state_en[2] <= _T_3930 @[lsu_bus_buffer.scala 443:25] + buf_wr_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 444:22] + buf_data_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 445:24] + node _T_3931 = eq(UInt<2>("h02"), ibuf_tag) @[lsu_bus_buffer.scala 446:52] + node _T_3932 = and(ibuf_drain_vld, _T_3931) @[lsu_bus_buffer.scala 446:47] + node _T_3933 = bits(_T_3932, 0, 0) @[lsu_bus_buffer.scala 446:73] + node _T_3934 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 446:90] + node _T_3935 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 446:114] + node _T_3936 = mux(_T_3933, _T_3934, _T_3935) @[lsu_bus_buffer.scala 446:30] + buf_data_in[2] <= _T_3936 @[lsu_bus_buffer.scala 446:24] + skip @[Conditional.scala 40:58] + else : @[Conditional.scala 39:67] + node _T_3937 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3937 : @[Conditional.scala 39:67] + node _T_3938 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 449:60] + node _T_3939 = mux(_T_3938, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 449:31] + buf_nxtstate[2] <= _T_3939 @[lsu_bus_buffer.scala 449:25] + node _T_3940 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 450:46] + buf_state_en[2] <= _T_3940 @[lsu_bus_buffer.scala 450:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3941 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3941 : @[Conditional.scala 39:67] + node _T_3942 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60] + node _T_3943 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 453:89] + node _T_3944 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 453:124] + node _T_3945 = and(_T_3943, _T_3944) @[lsu_bus_buffer.scala 453:104] + node _T_3946 = mux(_T_3945, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 453:75] + node _T_3947 = mux(_T_3942, UInt<3>("h00"), _T_3946) @[lsu_bus_buffer.scala 453:31] + buf_nxtstate[2] <= _T_3947 @[lsu_bus_buffer.scala 453:25] + node _T_3948 = eq(obuf_tag0, UInt<3>("h02")) @[lsu_bus_buffer.scala 454:48] + node _T_3949 = eq(obuf_tag1, UInt<3>("h02")) @[lsu_bus_buffer.scala 454:104] + node _T_3950 = and(obuf_merge, _T_3949) @[lsu_bus_buffer.scala 454:91] + node _T_3951 = or(_T_3948, _T_3950) @[lsu_bus_buffer.scala 454:77] + node _T_3952 = and(_T_3951, obuf_valid) @[lsu_bus_buffer.scala 454:135] + node _T_3953 = and(_T_3952, obuf_wr_enQ) @[lsu_bus_buffer.scala 454:148] + buf_cmd_state_bus_en[2] <= _T_3953 @[lsu_bus_buffer.scala 454:33] + buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[lsu_bus_buffer.scala 455:29] + node _T_3954 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 456:49] + node _T_3955 = or(_T_3954, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 456:70] + buf_state_en[2] <= _T_3955 @[lsu_bus_buffer.scala 456:25] + buf_ldfwd_in[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 457:25] + node _T_3956 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 458:56] + node _T_3957 = eq(_T_3956, UInt<1>("h00")) @[lsu_bus_buffer.scala 458:46] + node _T_3958 = and(buf_state_en[2], _T_3957) @[lsu_bus_buffer.scala 458:44] + node _T_3959 = and(_T_3958, obuf_nosend) @[lsu_bus_buffer.scala 458:60] + node _T_3960 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 458:76] + node _T_3961 = and(_T_3959, _T_3960) @[lsu_bus_buffer.scala 458:74] + buf_ldfwd_en[2] <= _T_3961 @[lsu_bus_buffer.scala 458:25] + node _T_3962 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 459:46] + buf_ldfwdtag_in[2] <= _T_3962 @[lsu_bus_buffer.scala 459:28] + node _T_3963 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 460:47] + node _T_3964 = and(_T_3963, obuf_nosend) @[lsu_bus_buffer.scala 460:67] + node _T_3965 = and(_T_3964, bus_rsp_read) @[lsu_bus_buffer.scala 460:81] + buf_data_en[2] <= _T_3965 @[lsu_bus_buffer.scala 460:24] + node _T_3966 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:48] + node _T_3967 = and(_T_3966, obuf_nosend) @[lsu_bus_buffer.scala 461:68] + node _T_3968 = and(_T_3967, bus_rsp_read_error) @[lsu_bus_buffer.scala 461:82] + buf_error_en[2] <= _T_3968 @[lsu_bus_buffer.scala 461:25] + node _T_3969 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 462:61] + node _T_3970 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 462:85] + node _T_3971 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 462:103] + node _T_3972 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 462:126] + node _T_3973 = mux(_T_3970, _T_3971, _T_3972) @[lsu_bus_buffer.scala 462:73] + node _T_3974 = mux(buf_error_en[2], _T_3969, _T_3973) @[lsu_bus_buffer.scala 462:30] + buf_data_in[2] <= _T_3974 @[lsu_bus_buffer.scala 462:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3975 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3975 : @[Conditional.scala 39:67] + node _T_3976 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 465:67] + node _T_3977 = and(UInt<1>("h00"), bus_rsp_write_error) @[lsu_bus_buffer.scala 465:94] + node _T_3978 = eq(_T_3977, UInt<1>("h00")) @[lsu_bus_buffer.scala 465:73] + node _T_3979 = and(_T_3976, _T_3978) @[lsu_bus_buffer.scala 465:71] + node _T_3980 = or(io.dec_tlu_force_halt, _T_3979) @[lsu_bus_buffer.scala 465:55] + node _T_3981 = bits(_T_3980, 0, 0) @[lsu_bus_buffer.scala 465:125] + node _T_3982 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 466:30] + node _T_3983 = and(buf_dual[2], _T_3982) @[lsu_bus_buffer.scala 466:28] + node _T_3984 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 466:57] + node _T_3985 = eq(_T_3984, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:47] + node _T_3986 = and(_T_3983, _T_3985) @[lsu_bus_buffer.scala 466:45] + node _T_3987 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 466:90] + node _T_3988 = and(_T_3986, _T_3987) @[lsu_bus_buffer.scala 466:61] + node _T_3989 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 467:27] + node _T_3990 = or(_T_3989, any_done_wait_state) @[lsu_bus_buffer.scala 467:31] + node _T_3991 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 467:70] + node _T_3992 = and(buf_dual[2], _T_3991) @[lsu_bus_buffer.scala 467:68] + node _T_3993 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 467:97] + node _T_3994 = eq(_T_3993, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:87] + node _T_3995 = and(_T_3992, _T_3994) @[lsu_bus_buffer.scala 467:85] + node _T_3996 = eq(buf_dualtag[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_3997 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_3998 = eq(buf_dualtag[2], UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_3999 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_4000 = eq(buf_dualtag[2], UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_4001 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_4002 = eq(buf_dualtag[2], UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_4003 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_4004 = mux(_T_3996, _T_3997, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4005 = mux(_T_3998, _T_3999, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4006 = mux(_T_4000, _T_4001, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4007 = mux(_T_4002, _T_4003, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4008 = or(_T_4004, _T_4005) @[Mux.scala 27:72] + node _T_4009 = or(_T_4008, _T_4006) @[Mux.scala 27:72] + node _T_4010 = or(_T_4009, _T_4007) @[Mux.scala 27:72] + wire _T_4011 : UInt<1> @[Mux.scala 27:72] + _T_4011 <= _T_4010 @[Mux.scala 27:72] + node _T_4012 = and(_T_3995, _T_4011) @[lsu_bus_buffer.scala 467:101] + node _T_4013 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 467:167] + node _T_4014 = and(_T_4012, _T_4013) @[lsu_bus_buffer.scala 467:138] + node _T_4015 = and(_T_4014, any_done_wait_state) @[lsu_bus_buffer.scala 467:187] + node _T_4016 = or(_T_3990, _T_4015) @[lsu_bus_buffer.scala 467:53] + node _T_4017 = mux(_T_4016, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 467:16] + node _T_4018 = mux(_T_3988, UInt<3>("h04"), _T_4017) @[lsu_bus_buffer.scala 466:14] + node _T_4019 = mux(_T_3981, UInt<3>("h00"), _T_4018) @[lsu_bus_buffer.scala 465:31] + buf_nxtstate[2] <= _T_4019 @[lsu_bus_buffer.scala 465:25] + node _T_4020 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 468:73] + node _T_4021 = and(bus_rsp_write, _T_4020) @[lsu_bus_buffer.scala 468:52] + node _T_4022 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 469:46] + node _T_4023 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 470:23] + node _T_4024 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 470:47] + node _T_4025 = and(_T_4023, _T_4024) @[lsu_bus_buffer.scala 470:27] + node _T_4026 = or(_T_4022, _T_4025) @[lsu_bus_buffer.scala 469:77] + node _T_4027 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 471:26] + node _T_4028 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 471:54] + node _T_4029 = not(_T_4028) @[lsu_bus_buffer.scala 471:44] + node _T_4030 = and(_T_4027, _T_4029) @[lsu_bus_buffer.scala 471:42] + node _T_4031 = and(_T_4030, buf_samedw[2]) @[lsu_bus_buffer.scala 471:58] + node _T_4032 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 471:94] + node _T_4033 = and(_T_4031, _T_4032) @[lsu_bus_buffer.scala 471:74] + node _T_4034 = or(_T_4026, _T_4033) @[lsu_bus_buffer.scala 470:71] + node _T_4035 = and(bus_rsp_read, _T_4034) @[lsu_bus_buffer.scala 469:25] + node _T_4036 = or(_T_4021, _T_4035) @[lsu_bus_buffer.scala 468:105] + buf_resp_state_bus_en[2] <= _T_4036 @[lsu_bus_buffer.scala 468:34] + buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[lsu_bus_buffer.scala 472:29] + node _T_4037 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 473:49] + node _T_4038 = or(_T_4037, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 473:70] + buf_state_en[2] <= _T_4038 @[lsu_bus_buffer.scala 473:25] + node _T_4039 = and(buf_state_bus_en[2], bus_rsp_read) @[lsu_bus_buffer.scala 474:47] + node _T_4040 = and(_T_4039, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 474:62] + buf_data_en[2] <= _T_4040 @[lsu_bus_buffer.scala 474:24] + node _T_4041 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:48] + node _T_4042 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 475:111] + node _T_4043 = and(bus_rsp_read_error, _T_4042) @[lsu_bus_buffer.scala 475:91] + node _T_4044 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 476:42] + node _T_4045 = and(bus_rsp_read_error, _T_4044) @[lsu_bus_buffer.scala 476:31] + node _T_4046 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 476:66] + node _T_4047 = and(_T_4045, _T_4046) @[lsu_bus_buffer.scala 476:46] + node _T_4048 = or(_T_4043, _T_4047) @[lsu_bus_buffer.scala 475:143] + node _T_4049 = and(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 477:32] + node _T_4050 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 477:74] + node _T_4051 = and(_T_4049, _T_4050) @[lsu_bus_buffer.scala 477:53] + node _T_4052 = or(_T_4048, _T_4051) @[lsu_bus_buffer.scala 476:88] + node _T_4053 = and(_T_4041, _T_4052) @[lsu_bus_buffer.scala 475:68] + buf_error_en[2] <= _T_4053 @[lsu_bus_buffer.scala 475:25] + node _T_4054 = eq(buf_error_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 478:50] + node _T_4055 = and(buf_state_en[2], _T_4054) @[lsu_bus_buffer.scala 478:48] + node _T_4056 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 478:84] + node _T_4057 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 478:102] + node _T_4058 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 478:125] + node _T_4059 = mux(_T_4056, _T_4057, _T_4058) @[lsu_bus_buffer.scala 478:72] + node _T_4060 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 478:148] + node _T_4061 = mux(_T_4055, _T_4059, _T_4060) @[lsu_bus_buffer.scala 478:30] + buf_data_in[2] <= _T_4061 @[lsu_bus_buffer.scala 478:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4062 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4062 : @[Conditional.scala 39:67] + node _T_4063 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 481:60] + node _T_4064 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 481:86] + node _T_4065 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 481:101] + node _T_4066 = bits(_T_4065, 0, 0) @[lsu_bus_buffer.scala 481:101] + node _T_4067 = or(_T_4064, _T_4066) @[lsu_bus_buffer.scala 481:90] + node _T_4068 = or(_T_4067, any_done_wait_state) @[lsu_bus_buffer.scala 481:118] + node _T_4069 = mux(_T_4068, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 481:75] + node _T_4070 = mux(_T_4063, UInt<3>("h00"), _T_4069) @[lsu_bus_buffer.scala 481:31] + buf_nxtstate[2] <= _T_4070 @[lsu_bus_buffer.scala 481:25] + node _T_4071 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 482:66] + node _T_4072 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 483:21] + node _T_4073 = bits(_T_4072, 0, 0) @[lsu_bus_buffer.scala 483:21] + node _T_4074 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[lsu_bus_buffer.scala 483:58] + node _T_4075 = and(_T_4073, _T_4074) @[lsu_bus_buffer.scala 483:38] + node _T_4076 = or(_T_4071, _T_4075) @[lsu_bus_buffer.scala 482:95] + node _T_4077 = and(bus_rsp_read, _T_4076) @[lsu_bus_buffer.scala 482:45] + buf_state_bus_en[2] <= _T_4077 @[lsu_bus_buffer.scala 482:29] + node _T_4078 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 484:49] + node _T_4079 = or(_T_4078, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 484:70] + buf_state_en[2] <= _T_4079 @[lsu_bus_buffer.scala 484:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4080 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4080 : @[Conditional.scala 39:67] + node _T_4081 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 487:60] + node _T_4082 = mux(_T_4081, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 487:31] + buf_nxtstate[2] <= _T_4082 @[lsu_bus_buffer.scala 487:25] + node _T_4083 = eq(RspPtr, UInt<2>("h02")) @[lsu_bus_buffer.scala 488:37] + node _T_4084 = eq(buf_dualtag[2], RspPtr) @[lsu_bus_buffer.scala 488:98] + node _T_4085 = and(buf_dual[2], _T_4084) @[lsu_bus_buffer.scala 488:80] + node _T_4086 = or(_T_4083, _T_4085) @[lsu_bus_buffer.scala 488:65] + node _T_4087 = or(_T_4086, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 488:112] + buf_state_en[2] <= _T_4087 @[lsu_bus_buffer.scala 488:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4088 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4088 : @[Conditional.scala 39:67] + buf_nxtstate[2] <= UInt<3>("h00") @[lsu_bus_buffer.scala 491:25] + buf_rst[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 492:20] + buf_state_en[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 493:25] + buf_ldfwd_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 494:25] + buf_ldfwd_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 495:25] + skip @[Conditional.scala 39:67] + node _T_4089 = bits(buf_state_en[2], 0, 0) @[lsu_bus_buffer.scala 498:108] + reg _T_4090 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4089 : @[Reg.scala 28:19] + _T_4090 <= buf_nxtstate[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_state[2] <= _T_4090 @[lsu_bus_buffer.scala 498:18] + reg _T_4091 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 499:60] + _T_4091 <= buf_age_in_2 @[lsu_bus_buffer.scala 499:60] + buf_ageQ[2] <= _T_4091 @[lsu_bus_buffer.scala 499:17] + reg _T_4092 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 500:63] + _T_4092 <= buf_rspage_in[2] @[lsu_bus_buffer.scala 500:63] + buf_rspageQ[2] <= _T_4092 @[lsu_bus_buffer.scala 500:20] + node _T_4093 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 501:109] + reg _T_4094 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4093 : @[Reg.scala 28:19] + _T_4094 <= buf_dualtag_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualtag[2] <= _T_4094 @[lsu_bus_buffer.scala 501:20] + node _T_4095 = bits(buf_dual_in, 2, 2) @[lsu_bus_buffer.scala 502:74] + node _T_4096 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 502:107] + reg _T_4097 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4096 : @[Reg.scala 28:19] + _T_4097 <= _T_4095 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dual[2] <= _T_4097 @[lsu_bus_buffer.scala 502:17] + node _T_4098 = bits(buf_samedw_in, 2, 2) @[lsu_bus_buffer.scala 503:78] + node _T_4099 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 503:111] + reg _T_4100 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4099 : @[Reg.scala 28:19] + _T_4100 <= _T_4098 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_samedw[2] <= _T_4100 @[lsu_bus_buffer.scala 503:19] + node _T_4101 = bits(buf_nomerge_in, 2, 2) @[lsu_bus_buffer.scala 504:80] + node _T_4102 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 504:113] + reg _T_4103 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4102 : @[Reg.scala 28:19] + _T_4103 <= _T_4101 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_nomerge[2] <= _T_4103 @[lsu_bus_buffer.scala 504:20] + node _T_4104 = bits(buf_dualhi_in, 2, 2) @[lsu_bus_buffer.scala 505:78] + node _T_4105 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 505:111] + reg _T_4106 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4105 : @[Reg.scala 28:19] + _T_4106 <= _T_4104 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[2] <= _T_4106 @[lsu_bus_buffer.scala 505:19] + node _T_4107 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4107 : @[Conditional.scala 40:58] + node _T_4108 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 442:56] + node _T_4109 = mux(_T_4108, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 442:31] + buf_nxtstate[3] <= _T_4109 @[lsu_bus_buffer.scala 442:25] + node _T_4110 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 443:45] + node _T_4111 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:77] + node _T_4112 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 443:97] + node _T_4113 = and(_T_4111, _T_4112) @[lsu_bus_buffer.scala 443:95] + node _T_4114 = eq(UInt<2>("h03"), WrPtr0_r) @[lsu_bus_buffer.scala 443:117] + node _T_4115 = and(_T_4113, _T_4114) @[lsu_bus_buffer.scala 443:112] + node _T_4116 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:144] + node _T_4117 = eq(UInt<2>("h03"), WrPtr1_r) @[lsu_bus_buffer.scala 443:166] + node _T_4118 = and(_T_4116, _T_4117) @[lsu_bus_buffer.scala 443:161] + node _T_4119 = or(_T_4115, _T_4118) @[lsu_bus_buffer.scala 443:132] + node _T_4120 = and(_T_4110, _T_4119) @[lsu_bus_buffer.scala 443:63] + node _T_4121 = eq(UInt<2>("h03"), ibuf_tag) @[lsu_bus_buffer.scala 443:206] + node _T_4122 = and(ibuf_drain_vld, _T_4121) @[lsu_bus_buffer.scala 443:201] + node _T_4123 = or(_T_4120, _T_4122) @[lsu_bus_buffer.scala 443:183] + buf_state_en[3] <= _T_4123 @[lsu_bus_buffer.scala 443:25] + buf_wr_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 444:22] + buf_data_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 445:24] + node _T_4124 = eq(UInt<2>("h03"), ibuf_tag) @[lsu_bus_buffer.scala 446:52] + node _T_4125 = and(ibuf_drain_vld, _T_4124) @[lsu_bus_buffer.scala 446:47] + node _T_4126 = bits(_T_4125, 0, 0) @[lsu_bus_buffer.scala 446:73] + node _T_4127 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 446:90] + node _T_4128 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 446:114] + node _T_4129 = mux(_T_4126, _T_4127, _T_4128) @[lsu_bus_buffer.scala 446:30] + buf_data_in[3] <= _T_4129 @[lsu_bus_buffer.scala 446:24] + skip @[Conditional.scala 40:58] + else : @[Conditional.scala 39:67] + node _T_4130 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4130 : @[Conditional.scala 39:67] + node _T_4131 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 449:60] + node _T_4132 = mux(_T_4131, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 449:31] + buf_nxtstate[3] <= _T_4132 @[lsu_bus_buffer.scala 449:25] + node _T_4133 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 450:46] + buf_state_en[3] <= _T_4133 @[lsu_bus_buffer.scala 450:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4134 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4134 : @[Conditional.scala 39:67] + node _T_4135 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60] + node _T_4136 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 453:89] + node _T_4137 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 453:124] + node _T_4138 = and(_T_4136, _T_4137) @[lsu_bus_buffer.scala 453:104] + node _T_4139 = mux(_T_4138, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 453:75] + node _T_4140 = mux(_T_4135, UInt<3>("h00"), _T_4139) @[lsu_bus_buffer.scala 453:31] + buf_nxtstate[3] <= _T_4140 @[lsu_bus_buffer.scala 453:25] + node _T_4141 = eq(obuf_tag0, UInt<3>("h03")) @[lsu_bus_buffer.scala 454:48] + node _T_4142 = eq(obuf_tag1, UInt<3>("h03")) @[lsu_bus_buffer.scala 454:104] + node _T_4143 = and(obuf_merge, _T_4142) @[lsu_bus_buffer.scala 454:91] + node _T_4144 = or(_T_4141, _T_4143) @[lsu_bus_buffer.scala 454:77] + node _T_4145 = and(_T_4144, obuf_valid) @[lsu_bus_buffer.scala 454:135] + node _T_4146 = and(_T_4145, obuf_wr_enQ) @[lsu_bus_buffer.scala 454:148] + buf_cmd_state_bus_en[3] <= _T_4146 @[lsu_bus_buffer.scala 454:33] + buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[lsu_bus_buffer.scala 455:29] + node _T_4147 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 456:49] + node _T_4148 = or(_T_4147, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 456:70] + buf_state_en[3] <= _T_4148 @[lsu_bus_buffer.scala 456:25] + buf_ldfwd_in[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 457:25] + node _T_4149 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 458:56] + node _T_4150 = eq(_T_4149, UInt<1>("h00")) @[lsu_bus_buffer.scala 458:46] + node _T_4151 = and(buf_state_en[3], _T_4150) @[lsu_bus_buffer.scala 458:44] + node _T_4152 = and(_T_4151, obuf_nosend) @[lsu_bus_buffer.scala 458:60] + node _T_4153 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 458:76] + node _T_4154 = and(_T_4152, _T_4153) @[lsu_bus_buffer.scala 458:74] + buf_ldfwd_en[3] <= _T_4154 @[lsu_bus_buffer.scala 458:25] + node _T_4155 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 459:46] + buf_ldfwdtag_in[3] <= _T_4155 @[lsu_bus_buffer.scala 459:28] + node _T_4156 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 460:47] + node _T_4157 = and(_T_4156, obuf_nosend) @[lsu_bus_buffer.scala 460:67] + node _T_4158 = and(_T_4157, bus_rsp_read) @[lsu_bus_buffer.scala 460:81] + buf_data_en[3] <= _T_4158 @[lsu_bus_buffer.scala 460:24] + node _T_4159 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:48] + node _T_4160 = and(_T_4159, obuf_nosend) @[lsu_bus_buffer.scala 461:68] + node _T_4161 = and(_T_4160, bus_rsp_read_error) @[lsu_bus_buffer.scala 461:82] + buf_error_en[3] <= _T_4161 @[lsu_bus_buffer.scala 461:25] + node _T_4162 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 462:61] + node _T_4163 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 462:85] + node _T_4164 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 462:103] + node _T_4165 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 462:126] + node _T_4166 = mux(_T_4163, _T_4164, _T_4165) @[lsu_bus_buffer.scala 462:73] + node _T_4167 = mux(buf_error_en[3], _T_4162, _T_4166) @[lsu_bus_buffer.scala 462:30] + buf_data_in[3] <= _T_4167 @[lsu_bus_buffer.scala 462:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4168 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4168 : @[Conditional.scala 39:67] + node _T_4169 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 465:67] + node _T_4170 = and(UInt<1>("h00"), bus_rsp_write_error) @[lsu_bus_buffer.scala 465:94] + node _T_4171 = eq(_T_4170, UInt<1>("h00")) @[lsu_bus_buffer.scala 465:73] + node _T_4172 = and(_T_4169, _T_4171) @[lsu_bus_buffer.scala 465:71] + node _T_4173 = or(io.dec_tlu_force_halt, _T_4172) @[lsu_bus_buffer.scala 465:55] + node _T_4174 = bits(_T_4173, 0, 0) @[lsu_bus_buffer.scala 465:125] + node _T_4175 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 466:30] + node _T_4176 = and(buf_dual[3], _T_4175) @[lsu_bus_buffer.scala 466:28] + node _T_4177 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 466:57] + node _T_4178 = eq(_T_4177, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:47] + node _T_4179 = and(_T_4176, _T_4178) @[lsu_bus_buffer.scala 466:45] + node _T_4180 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 466:90] + node _T_4181 = and(_T_4179, _T_4180) @[lsu_bus_buffer.scala 466:61] + node _T_4182 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 467:27] + node _T_4183 = or(_T_4182, any_done_wait_state) @[lsu_bus_buffer.scala 467:31] + node _T_4184 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 467:70] + node _T_4185 = and(buf_dual[3], _T_4184) @[lsu_bus_buffer.scala 467:68] + node _T_4186 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 467:97] + node _T_4187 = eq(_T_4186, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:87] + node _T_4188 = and(_T_4185, _T_4187) @[lsu_bus_buffer.scala 467:85] + node _T_4189 = eq(buf_dualtag[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_4190 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_4191 = eq(buf_dualtag[3], UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_4192 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_4193 = eq(buf_dualtag[3], UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_4194 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_4195 = eq(buf_dualtag[3], UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_4196 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_4197 = mux(_T_4189, _T_4190, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4198 = mux(_T_4191, _T_4192, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4199 = mux(_T_4193, _T_4194, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4200 = mux(_T_4195, _T_4196, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4201 = or(_T_4197, _T_4198) @[Mux.scala 27:72] + node _T_4202 = or(_T_4201, _T_4199) @[Mux.scala 27:72] + node _T_4203 = or(_T_4202, _T_4200) @[Mux.scala 27:72] + wire _T_4204 : UInt<1> @[Mux.scala 27:72] + _T_4204 <= _T_4203 @[Mux.scala 27:72] + node _T_4205 = and(_T_4188, _T_4204) @[lsu_bus_buffer.scala 467:101] + node _T_4206 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 467:167] + node _T_4207 = and(_T_4205, _T_4206) @[lsu_bus_buffer.scala 467:138] + node _T_4208 = and(_T_4207, any_done_wait_state) @[lsu_bus_buffer.scala 467:187] + node _T_4209 = or(_T_4183, _T_4208) @[lsu_bus_buffer.scala 467:53] + node _T_4210 = mux(_T_4209, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 467:16] + node _T_4211 = mux(_T_4181, UInt<3>("h04"), _T_4210) @[lsu_bus_buffer.scala 466:14] + node _T_4212 = mux(_T_4174, UInt<3>("h00"), _T_4211) @[lsu_bus_buffer.scala 465:31] + buf_nxtstate[3] <= _T_4212 @[lsu_bus_buffer.scala 465:25] + node _T_4213 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 468:73] + node _T_4214 = and(bus_rsp_write, _T_4213) @[lsu_bus_buffer.scala 468:52] + node _T_4215 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 469:46] + node _T_4216 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 470:23] + node _T_4217 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 470:47] + node _T_4218 = and(_T_4216, _T_4217) @[lsu_bus_buffer.scala 470:27] + node _T_4219 = or(_T_4215, _T_4218) @[lsu_bus_buffer.scala 469:77] + node _T_4220 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 471:26] + node _T_4221 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 471:54] + node _T_4222 = not(_T_4221) @[lsu_bus_buffer.scala 471:44] + node _T_4223 = and(_T_4220, _T_4222) @[lsu_bus_buffer.scala 471:42] + node _T_4224 = and(_T_4223, buf_samedw[3]) @[lsu_bus_buffer.scala 471:58] + node _T_4225 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 471:94] + node _T_4226 = and(_T_4224, _T_4225) @[lsu_bus_buffer.scala 471:74] + node _T_4227 = or(_T_4219, _T_4226) @[lsu_bus_buffer.scala 470:71] + node _T_4228 = and(bus_rsp_read, _T_4227) @[lsu_bus_buffer.scala 469:25] + node _T_4229 = or(_T_4214, _T_4228) @[lsu_bus_buffer.scala 468:105] + buf_resp_state_bus_en[3] <= _T_4229 @[lsu_bus_buffer.scala 468:34] + buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[lsu_bus_buffer.scala 472:29] + node _T_4230 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 473:49] + node _T_4231 = or(_T_4230, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 473:70] + buf_state_en[3] <= _T_4231 @[lsu_bus_buffer.scala 473:25] + node _T_4232 = and(buf_state_bus_en[3], bus_rsp_read) @[lsu_bus_buffer.scala 474:47] + node _T_4233 = and(_T_4232, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 474:62] + buf_data_en[3] <= _T_4233 @[lsu_bus_buffer.scala 474:24] + node _T_4234 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:48] + node _T_4235 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 475:111] + node _T_4236 = and(bus_rsp_read_error, _T_4235) @[lsu_bus_buffer.scala 475:91] + node _T_4237 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 476:42] + node _T_4238 = and(bus_rsp_read_error, _T_4237) @[lsu_bus_buffer.scala 476:31] + node _T_4239 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 476:66] + node _T_4240 = and(_T_4238, _T_4239) @[lsu_bus_buffer.scala 476:46] + node _T_4241 = or(_T_4236, _T_4240) @[lsu_bus_buffer.scala 475:143] + node _T_4242 = and(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 477:32] + node _T_4243 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 477:74] + node _T_4244 = and(_T_4242, _T_4243) @[lsu_bus_buffer.scala 477:53] + node _T_4245 = or(_T_4241, _T_4244) @[lsu_bus_buffer.scala 476:88] + node _T_4246 = and(_T_4234, _T_4245) @[lsu_bus_buffer.scala 475:68] + buf_error_en[3] <= _T_4246 @[lsu_bus_buffer.scala 475:25] + node _T_4247 = eq(buf_error_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 478:50] + node _T_4248 = and(buf_state_en[3], _T_4247) @[lsu_bus_buffer.scala 478:48] + node _T_4249 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 478:84] + node _T_4250 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 478:102] + node _T_4251 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 478:125] + node _T_4252 = mux(_T_4249, _T_4250, _T_4251) @[lsu_bus_buffer.scala 478:72] + node _T_4253 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 478:148] + node _T_4254 = mux(_T_4248, _T_4252, _T_4253) @[lsu_bus_buffer.scala 478:30] + buf_data_in[3] <= _T_4254 @[lsu_bus_buffer.scala 478:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4255 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4255 : @[Conditional.scala 39:67] + node _T_4256 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 481:60] + node _T_4257 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 481:86] + node _T_4258 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 481:101] + node _T_4259 = bits(_T_4258, 0, 0) @[lsu_bus_buffer.scala 481:101] + node _T_4260 = or(_T_4257, _T_4259) @[lsu_bus_buffer.scala 481:90] + node _T_4261 = or(_T_4260, any_done_wait_state) @[lsu_bus_buffer.scala 481:118] + node _T_4262 = mux(_T_4261, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 481:75] + node _T_4263 = mux(_T_4256, UInt<3>("h00"), _T_4262) @[lsu_bus_buffer.scala 481:31] + buf_nxtstate[3] <= _T_4263 @[lsu_bus_buffer.scala 481:25] + node _T_4264 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 482:66] + node _T_4265 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 483:21] + node _T_4266 = bits(_T_4265, 0, 0) @[lsu_bus_buffer.scala 483:21] + node _T_4267 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[lsu_bus_buffer.scala 483:58] + node _T_4268 = and(_T_4266, _T_4267) @[lsu_bus_buffer.scala 483:38] + node _T_4269 = or(_T_4264, _T_4268) @[lsu_bus_buffer.scala 482:95] + node _T_4270 = and(bus_rsp_read, _T_4269) @[lsu_bus_buffer.scala 482:45] + buf_state_bus_en[3] <= _T_4270 @[lsu_bus_buffer.scala 482:29] + node _T_4271 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 484:49] + node _T_4272 = or(_T_4271, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 484:70] + buf_state_en[3] <= _T_4272 @[lsu_bus_buffer.scala 484:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4273 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4273 : @[Conditional.scala 39:67] + node _T_4274 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 487:60] + node _T_4275 = mux(_T_4274, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 487:31] + buf_nxtstate[3] <= _T_4275 @[lsu_bus_buffer.scala 487:25] + node _T_4276 = eq(RspPtr, UInt<2>("h03")) @[lsu_bus_buffer.scala 488:37] + node _T_4277 = eq(buf_dualtag[3], RspPtr) @[lsu_bus_buffer.scala 488:98] + node _T_4278 = and(buf_dual[3], _T_4277) @[lsu_bus_buffer.scala 488:80] + node _T_4279 = or(_T_4276, _T_4278) @[lsu_bus_buffer.scala 488:65] + node _T_4280 = or(_T_4279, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 488:112] + buf_state_en[3] <= _T_4280 @[lsu_bus_buffer.scala 488:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4281 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4281 : @[Conditional.scala 39:67] + buf_nxtstate[3] <= UInt<3>("h00") @[lsu_bus_buffer.scala 491:25] + buf_rst[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 492:20] + buf_state_en[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 493:25] + buf_ldfwd_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 494:25] + buf_ldfwd_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 495:25] + skip @[Conditional.scala 39:67] + node _T_4282 = bits(buf_state_en[3], 0, 0) @[lsu_bus_buffer.scala 498:108] + reg _T_4283 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4282 : @[Reg.scala 28:19] + _T_4283 <= buf_nxtstate[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_state[3] <= _T_4283 @[lsu_bus_buffer.scala 498:18] + reg _T_4284 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 499:60] + _T_4284 <= buf_age_in_3 @[lsu_bus_buffer.scala 499:60] + buf_ageQ[3] <= _T_4284 @[lsu_bus_buffer.scala 499:17] + reg _T_4285 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 500:63] + _T_4285 <= buf_rspage_in[3] @[lsu_bus_buffer.scala 500:63] + buf_rspageQ[3] <= _T_4285 @[lsu_bus_buffer.scala 500:20] + node _T_4286 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 501:109] + reg _T_4287 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4286 : @[Reg.scala 28:19] + _T_4287 <= buf_dualtag_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualtag[3] <= _T_4287 @[lsu_bus_buffer.scala 501:20] + node _T_4288 = bits(buf_dual_in, 3, 3) @[lsu_bus_buffer.scala 502:74] + node _T_4289 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 502:107] + reg _T_4290 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4289 : @[Reg.scala 28:19] + _T_4290 <= _T_4288 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dual[3] <= _T_4290 @[lsu_bus_buffer.scala 502:17] + node _T_4291 = bits(buf_samedw_in, 3, 3) @[lsu_bus_buffer.scala 503:78] + node _T_4292 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 503:111] + reg _T_4293 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4292 : @[Reg.scala 28:19] + _T_4293 <= _T_4291 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_samedw[3] <= _T_4293 @[lsu_bus_buffer.scala 503:19] + node _T_4294 = bits(buf_nomerge_in, 3, 3) @[lsu_bus_buffer.scala 504:80] + node _T_4295 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 504:113] + reg _T_4296 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4295 : @[Reg.scala 28:19] + _T_4296 <= _T_4294 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_nomerge[3] <= _T_4296 @[lsu_bus_buffer.scala 504:20] + node _T_4297 = bits(buf_dualhi_in, 3, 3) @[lsu_bus_buffer.scala 505:78] + node _T_4298 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 505:111] + reg _T_4299 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4298 : @[Reg.scala 28:19] + _T_4299 <= _T_4297 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[3] <= _T_4299 @[lsu_bus_buffer.scala 505:19] + node _T_4300 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 508:131] + reg _T_4301 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4300 : @[Reg.scala 28:19] + _T_4301 <= buf_ldfwd_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4302 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 508:131] + reg _T_4303 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4302 : @[Reg.scala 28:19] + _T_4303 <= buf_ldfwd_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4304 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 508:131] + reg _T_4305 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4304 : @[Reg.scala 28:19] + _T_4305 <= buf_ldfwd_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4306 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 508:131] + reg _T_4307 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4306 : @[Reg.scala 28:19] + _T_4307 <= buf_ldfwd_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4308 = cat(_T_4307, _T_4305) @[Cat.scala 29:58] + node _T_4309 = cat(_T_4308, _T_4303) @[Cat.scala 29:58] + node _T_4310 = cat(_T_4309, _T_4301) @[Cat.scala 29:58] + buf_ldfwd <= _T_4310 @[lsu_bus_buffer.scala 508:13] + node _T_4311 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 509:132] + reg _T_4312 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4311 : @[Reg.scala 28:19] + _T_4312 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4313 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 509:132] + reg _T_4314 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4313 : @[Reg.scala 28:19] + _T_4314 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4315 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 509:132] + reg _T_4316 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4315 : @[Reg.scala 28:19] + _T_4316 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4317 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 509:132] + reg _T_4318 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4317 : @[Reg.scala 28:19] + _T_4318 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_ldfwdtag[0] <= _T_4312 @[lsu_bus_buffer.scala 509:16] + buf_ldfwdtag[1] <= _T_4314 @[lsu_bus_buffer.scala 509:16] + buf_ldfwdtag[2] <= _T_4316 @[lsu_bus_buffer.scala 509:16] + buf_ldfwdtag[3] <= _T_4318 @[lsu_bus_buffer.scala 509:16] + node _T_4319 = bits(buf_sideeffect_in, 0, 0) @[lsu_bus_buffer.scala 510:105] + node _T_4320 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 510:138] + reg _T_4321 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4320 : @[Reg.scala 28:19] + _T_4321 <= _T_4319 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4322 = bits(buf_sideeffect_in, 1, 1) @[lsu_bus_buffer.scala 510:105] + node _T_4323 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 510:138] + reg _T_4324 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4323 : @[Reg.scala 28:19] + _T_4324 <= _T_4322 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4325 = bits(buf_sideeffect_in, 2, 2) @[lsu_bus_buffer.scala 510:105] + node _T_4326 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 510:138] + reg _T_4327 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4326 : @[Reg.scala 28:19] + _T_4327 <= _T_4325 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4328 = bits(buf_sideeffect_in, 3, 3) @[lsu_bus_buffer.scala 510:105] + node _T_4329 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 510:138] + reg _T_4330 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4329 : @[Reg.scala 28:19] + _T_4330 <= _T_4328 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4331 = cat(_T_4330, _T_4327) @[Cat.scala 29:58] + node _T_4332 = cat(_T_4331, _T_4324) @[Cat.scala 29:58] + node _T_4333 = cat(_T_4332, _T_4321) @[Cat.scala 29:58] + buf_sideeffect <= _T_4333 @[lsu_bus_buffer.scala 510:18] + node _T_4334 = bits(buf_unsign_in, 0, 0) @[lsu_bus_buffer.scala 511:97] + node _T_4335 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 511:130] + reg _T_4336 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4335 : @[Reg.scala 28:19] + _T_4336 <= _T_4334 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4337 = bits(buf_unsign_in, 1, 1) @[lsu_bus_buffer.scala 511:97] + node _T_4338 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 511:130] + reg _T_4339 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4338 : @[Reg.scala 28:19] + _T_4339 <= _T_4337 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4340 = bits(buf_unsign_in, 2, 2) @[lsu_bus_buffer.scala 511:97] + node _T_4341 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 511:130] + reg _T_4342 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4341 : @[Reg.scala 28:19] + _T_4342 <= _T_4340 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4343 = bits(buf_unsign_in, 3, 3) @[lsu_bus_buffer.scala 511:97] + node _T_4344 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 511:130] + reg _T_4345 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4344 : @[Reg.scala 28:19] + _T_4345 <= _T_4343 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4346 = cat(_T_4345, _T_4342) @[Cat.scala 29:58] + node _T_4347 = cat(_T_4346, _T_4339) @[Cat.scala 29:58] + node _T_4348 = cat(_T_4347, _T_4336) @[Cat.scala 29:58] + buf_unsign <= _T_4348 @[lsu_bus_buffer.scala 511:14] + node _T_4349 = bits(buf_write_in, 0, 0) @[lsu_bus_buffer.scala 512:95] + node _T_4350 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 512:128] + reg _T_4351 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4350 : @[Reg.scala 28:19] + _T_4351 <= _T_4349 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4352 = bits(buf_write_in, 1, 1) @[lsu_bus_buffer.scala 512:95] + node _T_4353 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 512:128] + reg _T_4354 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4353 : @[Reg.scala 28:19] + _T_4354 <= _T_4352 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4355 = bits(buf_write_in, 2, 2) @[lsu_bus_buffer.scala 512:95] + node _T_4356 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 512:128] + reg _T_4357 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4356 : @[Reg.scala 28:19] + _T_4357 <= _T_4355 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4358 = bits(buf_write_in, 3, 3) @[lsu_bus_buffer.scala 512:95] + node _T_4359 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 512:128] + reg _T_4360 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4359 : @[Reg.scala 28:19] + _T_4360 <= _T_4358 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4361 = cat(_T_4360, _T_4357) @[Cat.scala 29:58] + node _T_4362 = cat(_T_4361, _T_4354) @[Cat.scala 29:58] + node _T_4363 = cat(_T_4362, _T_4351) @[Cat.scala 29:58] + buf_write <= _T_4363 @[lsu_bus_buffer.scala 512:13] + node _T_4364 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 513:117] + reg _T_4365 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4364 : @[Reg.scala 28:19] + _T_4365 <= buf_sz_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4366 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 513:117] + reg _T_4367 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4366 : @[Reg.scala 28:19] + _T_4367 <= buf_sz_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4368 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 513:117] + reg _T_4369 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4368 : @[Reg.scala 28:19] + _T_4369 <= buf_sz_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4370 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 513:117] + reg _T_4371 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4370 : @[Reg.scala 28:19] + _T_4371 <= buf_sz_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_sz[0] <= _T_4365 @[lsu_bus_buffer.scala 513:10] + buf_sz[1] <= _T_4367 @[lsu_bus_buffer.scala 513:10] + buf_sz[2] <= _T_4369 @[lsu_bus_buffer.scala 513:10] + buf_sz[3] <= _T_4371 @[lsu_bus_buffer.scala 513:10] + node _T_4372 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 514:80] + inst rvclkhdr_4 of rvclkhdr_4 @[lib.scala 368:23] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_4.io.en <= _T_4372 @[lib.scala 371:17] + rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4373 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4373 <= buf_addr_in[0] @[lib.scala 374:16] + node _T_4374 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 514:80] + inst rvclkhdr_5 of rvclkhdr_5 @[lib.scala 368:23] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_5.io.en <= _T_4374 @[lib.scala 371:17] + rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4375 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4375 <= buf_addr_in[1] @[lib.scala 374:16] + node _T_4376 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 514:80] + inst rvclkhdr_6 of rvclkhdr_6 @[lib.scala 368:23] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= reset + rvclkhdr_6.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_6.io.en <= _T_4376 @[lib.scala 371:17] + rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4377 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4377 <= buf_addr_in[2] @[lib.scala 374:16] + node _T_4378 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 514:80] + inst rvclkhdr_7 of rvclkhdr_7 @[lib.scala 368:23] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= reset + rvclkhdr_7.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_7.io.en <= _T_4378 @[lib.scala 371:17] + rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4379 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4379 <= buf_addr_in[3] @[lib.scala 374:16] + buf_addr[0] <= _T_4373 @[lsu_bus_buffer.scala 514:12] + buf_addr[1] <= _T_4375 @[lsu_bus_buffer.scala 514:12] + buf_addr[2] <= _T_4377 @[lsu_bus_buffer.scala 514:12] + buf_addr[3] <= _T_4379 @[lsu_bus_buffer.scala 514:12] + node _T_4380 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 515:125] + reg _T_4381 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4380 : @[Reg.scala 28:19] + _T_4381 <= buf_byteen_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4382 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 515:125] + reg _T_4383 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4382 : @[Reg.scala 28:19] + _T_4383 <= buf_byteen_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4384 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 515:125] + reg _T_4385 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4384 : @[Reg.scala 28:19] + _T_4385 <= buf_byteen_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4386 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 515:125] + reg _T_4387 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4386 : @[Reg.scala 28:19] + _T_4387 <= buf_byteen_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_byteen[0] <= _T_4381 @[lsu_bus_buffer.scala 515:14] + buf_byteen[1] <= _T_4383 @[lsu_bus_buffer.scala 515:14] + buf_byteen[2] <= _T_4385 @[lsu_bus_buffer.scala 515:14] + buf_byteen[3] <= _T_4387 @[lsu_bus_buffer.scala 515:14] + inst rvclkhdr_8 of rvclkhdr_8 @[lib.scala 368:23] + rvclkhdr_8.clock <= clock + rvclkhdr_8.reset <= reset + rvclkhdr_8.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_8.io.en <= buf_data_en[0] @[lib.scala 371:17] + rvclkhdr_8.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4388 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4388 <= buf_data_in[0] @[lib.scala 374:16] + inst rvclkhdr_9 of rvclkhdr_9 @[lib.scala 368:23] + rvclkhdr_9.clock <= clock + rvclkhdr_9.reset <= reset + rvclkhdr_9.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_9.io.en <= buf_data_en[1] @[lib.scala 371:17] + rvclkhdr_9.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4389 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4389 <= buf_data_in[1] @[lib.scala 374:16] + inst rvclkhdr_10 of rvclkhdr_10 @[lib.scala 368:23] + rvclkhdr_10.clock <= clock + rvclkhdr_10.reset <= reset + rvclkhdr_10.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_10.io.en <= buf_data_en[2] @[lib.scala 371:17] + rvclkhdr_10.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4390 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4390 <= buf_data_in[2] @[lib.scala 374:16] + inst rvclkhdr_11 of rvclkhdr_11 @[lib.scala 368:23] + rvclkhdr_11.clock <= clock + rvclkhdr_11.reset <= reset + rvclkhdr_11.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_11.io.en <= buf_data_en[3] @[lib.scala 371:17] + rvclkhdr_11.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4391 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4391 <= buf_data_in[3] @[lib.scala 374:16] + buf_data[0] <= _T_4388 @[lsu_bus_buffer.scala 516:12] + buf_data[1] <= _T_4389 @[lsu_bus_buffer.scala 516:12] + buf_data[2] <= _T_4390 @[lsu_bus_buffer.scala 516:12] + buf_data[3] <= _T_4391 @[lsu_bus_buffer.scala 516:12] + node _T_4392 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 517:119] + node _T_4393 = mux(buf_error_en[0], UInt<1>("h01"), _T_4392) @[lsu_bus_buffer.scala 517:84] + node _T_4394 = eq(buf_rst[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 517:126] + node _T_4395 = and(_T_4393, _T_4394) @[lsu_bus_buffer.scala 517:124] + reg _T_4396 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 517:80] + _T_4396 <= _T_4395 @[lsu_bus_buffer.scala 517:80] + node _T_4397 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 517:119] + node _T_4398 = mux(buf_error_en[1], UInt<1>("h01"), _T_4397) @[lsu_bus_buffer.scala 517:84] + node _T_4399 = eq(buf_rst[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 517:126] + node _T_4400 = and(_T_4398, _T_4399) @[lsu_bus_buffer.scala 517:124] + reg _T_4401 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 517:80] + _T_4401 <= _T_4400 @[lsu_bus_buffer.scala 517:80] + node _T_4402 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 517:119] + node _T_4403 = mux(buf_error_en[2], UInt<1>("h01"), _T_4402) @[lsu_bus_buffer.scala 517:84] + node _T_4404 = eq(buf_rst[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 517:126] + node _T_4405 = and(_T_4403, _T_4404) @[lsu_bus_buffer.scala 517:124] + reg _T_4406 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 517:80] + _T_4406 <= _T_4405 @[lsu_bus_buffer.scala 517:80] + node _T_4407 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 517:119] + node _T_4408 = mux(buf_error_en[3], UInt<1>("h01"), _T_4407) @[lsu_bus_buffer.scala 517:84] + node _T_4409 = eq(buf_rst[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 517:126] + node _T_4410 = and(_T_4408, _T_4409) @[lsu_bus_buffer.scala 517:124] + reg _T_4411 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 517:80] + _T_4411 <= _T_4410 @[lsu_bus_buffer.scala 517:80] + node _T_4412 = cat(_T_4411, _T_4406) @[Cat.scala 29:58] + node _T_4413 = cat(_T_4412, _T_4401) @[Cat.scala 29:58] + node _T_4414 = cat(_T_4413, _T_4396) @[Cat.scala 29:58] + buf_error <= _T_4414 @[lsu_bus_buffer.scala 517:13] + node _T_4415 = cat(io.lsu_busreq_m, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_4416 = mux(io.ldst_dual_m, _T_4415, io.lsu_busreq_m) @[lsu_bus_buffer.scala 520:28] + node _T_4417 = cat(io.lsu_busreq_r, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_4418 = mux(io.ldst_dual_r, _T_4417, io.lsu_busreq_r) @[lsu_bus_buffer.scala 520:94] + node _T_4419 = add(_T_4416, _T_4418) @[lsu_bus_buffer.scala 520:88] + node _T_4420 = add(_T_4419, ibuf_valid) @[lsu_bus_buffer.scala 520:154] + node _T_4421 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 520:190] + node _T_4422 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 520:190] + node _T_4423 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 520:190] + node _T_4424 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 520:190] + node _T_4425 = add(_T_4421, _T_4422) @[lsu_bus_buffer.scala 520:217] + node _T_4426 = add(_T_4425, _T_4423) @[lsu_bus_buffer.scala 520:217] + node _T_4427 = add(_T_4426, _T_4424) @[lsu_bus_buffer.scala 520:217] + node _T_4428 = add(_T_4420, _T_4427) @[lsu_bus_buffer.scala 520:169] + node buf_numvld_any = tail(_T_4428, 1) @[lsu_bus_buffer.scala 520:169] + node _T_4429 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 521:60] + node _T_4430 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 521:79] + node _T_4431 = and(_T_4429, _T_4430) @[lsu_bus_buffer.scala 521:64] + node _T_4432 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 521:91] + node _T_4433 = and(_T_4431, _T_4432) @[lsu_bus_buffer.scala 521:89] + node _T_4434 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 521:60] + node _T_4435 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 521:79] + node _T_4436 = and(_T_4434, _T_4435) @[lsu_bus_buffer.scala 521:64] + node _T_4437 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 521:91] + node _T_4438 = and(_T_4436, _T_4437) @[lsu_bus_buffer.scala 521:89] + node _T_4439 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 521:60] + node _T_4440 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 521:79] + node _T_4441 = and(_T_4439, _T_4440) @[lsu_bus_buffer.scala 521:64] + node _T_4442 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 521:91] + node _T_4443 = and(_T_4441, _T_4442) @[lsu_bus_buffer.scala 521:89] + node _T_4444 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 521:60] + node _T_4445 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 521:79] + node _T_4446 = and(_T_4444, _T_4445) @[lsu_bus_buffer.scala 521:64] + node _T_4447 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 521:91] + node _T_4448 = and(_T_4446, _T_4447) @[lsu_bus_buffer.scala 521:89] + node _T_4449 = add(_T_4448, _T_4443) @[lsu_bus_buffer.scala 521:142] + node _T_4450 = add(_T_4449, _T_4438) @[lsu_bus_buffer.scala 521:142] + node _T_4451 = add(_T_4450, _T_4433) @[lsu_bus_buffer.scala 521:142] + buf_numvld_wrcmd_any <= _T_4451 @[lsu_bus_buffer.scala 521:24] + node _T_4452 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 522:63] + node _T_4453 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 522:75] + node _T_4454 = and(_T_4452, _T_4453) @[lsu_bus_buffer.scala 522:73] + node _T_4455 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 522:63] + node _T_4456 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 522:75] + node _T_4457 = and(_T_4455, _T_4456) @[lsu_bus_buffer.scala 522:73] + node _T_4458 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 522:63] + node _T_4459 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 522:75] + node _T_4460 = and(_T_4458, _T_4459) @[lsu_bus_buffer.scala 522:73] + node _T_4461 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 522:63] + node _T_4462 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 522:75] + node _T_4463 = and(_T_4461, _T_4462) @[lsu_bus_buffer.scala 522:73] + node _T_4464 = add(_T_4463, _T_4460) @[lsu_bus_buffer.scala 522:126] + node _T_4465 = add(_T_4464, _T_4457) @[lsu_bus_buffer.scala 522:126] + node _T_4466 = add(_T_4465, _T_4454) @[lsu_bus_buffer.scala 522:126] + buf_numvld_cmd_any <= _T_4466 @[lsu_bus_buffer.scala 522:22] + node _T_4467 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 523:63] + node _T_4468 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 523:90] + node _T_4469 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:102] + node _T_4470 = and(_T_4468, _T_4469) @[lsu_bus_buffer.scala 523:100] + node _T_4471 = or(_T_4467, _T_4470) @[lsu_bus_buffer.scala 523:74] + node _T_4472 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 523:63] + node _T_4473 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 523:90] + node _T_4474 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:102] + node _T_4475 = and(_T_4473, _T_4474) @[lsu_bus_buffer.scala 523:100] + node _T_4476 = or(_T_4472, _T_4475) @[lsu_bus_buffer.scala 523:74] + node _T_4477 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 523:63] + node _T_4478 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 523:90] + node _T_4479 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:102] + node _T_4480 = and(_T_4478, _T_4479) @[lsu_bus_buffer.scala 523:100] + node _T_4481 = or(_T_4477, _T_4480) @[lsu_bus_buffer.scala 523:74] + node _T_4482 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 523:63] + node _T_4483 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 523:90] + node _T_4484 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:102] + node _T_4485 = and(_T_4483, _T_4484) @[lsu_bus_buffer.scala 523:100] + node _T_4486 = or(_T_4482, _T_4485) @[lsu_bus_buffer.scala 523:74] + node _T_4487 = add(_T_4486, _T_4481) @[lsu_bus_buffer.scala 523:154] + node _T_4488 = add(_T_4487, _T_4476) @[lsu_bus_buffer.scala 523:154] + node _T_4489 = add(_T_4488, _T_4471) @[lsu_bus_buffer.scala 523:154] + buf_numvld_pend_any <= _T_4489 @[lsu_bus_buffer.scala 523:23] + node _T_4490 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 524:61] + node _T_4491 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 524:61] + node _T_4492 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 524:61] + node _T_4493 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 524:61] + node _T_4494 = or(_T_4493, _T_4492) @[lsu_bus_buffer.scala 524:93] + node _T_4495 = or(_T_4494, _T_4491) @[lsu_bus_buffer.scala 524:93] + node _T_4496 = or(_T_4495, _T_4490) @[lsu_bus_buffer.scala 524:93] + any_done_wait_state <= _T_4496 @[lsu_bus_buffer.scala 524:23] + node _T_4497 = orr(buf_numvld_pend_any) @[lsu_bus_buffer.scala 525:53] + io.lsu_bus_buffer_pend_any <= _T_4497 @[lsu_bus_buffer.scala 525:30] + node _T_4498 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[lsu_bus_buffer.scala 526:52] + node _T_4499 = geq(buf_numvld_any, UInt<2>("h03")) @[lsu_bus_buffer.scala 526:92] + node _T_4500 = eq(buf_numvld_any, UInt<3>("h04")) @[lsu_bus_buffer.scala 526:121] + node _T_4501 = mux(_T_4498, _T_4499, _T_4500) @[lsu_bus_buffer.scala 526:36] + io.lsu_bus_buffer_full_any <= _T_4501 @[lsu_bus_buffer.scala 526:30] + node _T_4502 = orr(buf_state[0]) @[lsu_bus_buffer.scala 527:52] + node _T_4503 = orr(buf_state[1]) @[lsu_bus_buffer.scala 527:52] + node _T_4504 = orr(buf_state[2]) @[lsu_bus_buffer.scala 527:52] + node _T_4505 = orr(buf_state[3]) @[lsu_bus_buffer.scala 527:52] + node _T_4506 = or(_T_4502, _T_4503) @[lsu_bus_buffer.scala 527:65] + node _T_4507 = or(_T_4506, _T_4504) @[lsu_bus_buffer.scala 527:65] + node _T_4508 = or(_T_4507, _T_4505) @[lsu_bus_buffer.scala 527:65] + node _T_4509 = eq(_T_4508, UInt<1>("h00")) @[lsu_bus_buffer.scala 527:34] + node _T_4510 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 527:72] + node _T_4511 = and(_T_4509, _T_4510) @[lsu_bus_buffer.scala 527:70] + node _T_4512 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 527:86] + node _T_4513 = and(_T_4511, _T_4512) @[lsu_bus_buffer.scala 527:84] + io.lsu_bus_buffer_empty_any <= _T_4513 @[lsu_bus_buffer.scala 527:31] + node _T_4514 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[lsu_bus_buffer.scala 529:64] + node _T_4515 = and(_T_4514, io.lsu_pkt_m.bits.load) @[lsu_bus_buffer.scala 529:85] + node _T_4516 = eq(io.flush_m_up, UInt<1>("h00")) @[lsu_bus_buffer.scala 529:112] + node _T_4517 = and(_T_4515, _T_4516) @[lsu_bus_buffer.scala 529:110] + node _T_4518 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 529:129] + node _T_4519 = and(_T_4517, _T_4518) @[lsu_bus_buffer.scala 529:127] + io.dctl_busbuff.lsu_nonblock_load_valid_m <= _T_4519 @[lsu_bus_buffer.scala 529:45] + io.dctl_busbuff.lsu_nonblock_load_tag_m <= WrPtr0_m @[lsu_bus_buffer.scala 530:43] + wire lsu_nonblock_load_valid_r : UInt<1> + lsu_nonblock_load_valid_r <= UInt<1>("h00") + node _T_4520 = eq(io.lsu_commit_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 532:74] + node _T_4521 = and(lsu_nonblock_load_valid_r, _T_4520) @[lsu_bus_buffer.scala 532:72] + io.dctl_busbuff.lsu_nonblock_load_inv_r <= _T_4521 @[lsu_bus_buffer.scala 532:43] + io.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[lsu_bus_buffer.scala 533:47] + node _T_4522 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 534:80] + node _T_4523 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 534:127] + node _T_4524 = and(UInt<1>("h00"), _T_4523) @[lsu_bus_buffer.scala 534:116] + node _T_4525 = eq(_T_4524, UInt<1>("h00")) @[lsu_bus_buffer.scala 534:95] + node _T_4526 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 534:80] + node _T_4527 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 534:127] + node _T_4528 = and(UInt<1>("h00"), _T_4527) @[lsu_bus_buffer.scala 534:116] + node _T_4529 = eq(_T_4528, UInt<1>("h00")) @[lsu_bus_buffer.scala 534:95] + node _T_4530 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 534:80] + node _T_4531 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 534:127] + node _T_4532 = and(UInt<1>("h00"), _T_4531) @[lsu_bus_buffer.scala 534:116] + node _T_4533 = eq(_T_4532, UInt<1>("h00")) @[lsu_bus_buffer.scala 534:95] + node _T_4534 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 534:80] + node _T_4535 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 534:127] + node _T_4536 = and(UInt<1>("h00"), _T_4535) @[lsu_bus_buffer.scala 534:116] + node _T_4537 = eq(_T_4536, UInt<1>("h00")) @[lsu_bus_buffer.scala 534:95] + node _T_4538 = mux(_T_4522, _T_4525, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4539 = mux(_T_4526, _T_4529, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4540 = mux(_T_4530, _T_4533, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4541 = mux(_T_4534, _T_4537, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4542 = or(_T_4538, _T_4539) @[Mux.scala 27:72] + node _T_4543 = or(_T_4542, _T_4540) @[Mux.scala 27:72] + node _T_4544 = or(_T_4543, _T_4541) @[Mux.scala 27:72] + wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] + lsu_nonblock_load_data_ready <= _T_4544 @[Mux.scala 27:72] + node _T_4545 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 535:93] + node _T_4546 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 535:117] + node _T_4547 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 535:133] + node _T_4548 = eq(_T_4547, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:123] + node _T_4549 = and(_T_4546, _T_4548) @[lsu_bus_buffer.scala 535:121] + node _T_4550 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 535:93] + node _T_4551 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 535:117] + node _T_4552 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 535:133] + node _T_4553 = eq(_T_4552, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:123] + node _T_4554 = and(_T_4551, _T_4553) @[lsu_bus_buffer.scala 535:121] + node _T_4555 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 535:93] + node _T_4556 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 535:117] + node _T_4557 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 535:133] + node _T_4558 = eq(_T_4557, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:123] + node _T_4559 = and(_T_4556, _T_4558) @[lsu_bus_buffer.scala 535:121] + node _T_4560 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 535:93] + node _T_4561 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 535:117] + node _T_4562 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 535:133] + node _T_4563 = eq(_T_4562, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:123] + node _T_4564 = and(_T_4561, _T_4563) @[lsu_bus_buffer.scala 535:121] + node _T_4565 = mux(_T_4545, _T_4549, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4566 = mux(_T_4550, _T_4554, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4567 = mux(_T_4555, _T_4559, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4568 = mux(_T_4560, _T_4564, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4569 = or(_T_4565, _T_4566) @[Mux.scala 27:72] + node _T_4570 = or(_T_4569, _T_4567) @[Mux.scala 27:72] + node _T_4571 = or(_T_4570, _T_4568) @[Mux.scala 27:72] + wire _T_4572 : UInt<1> @[Mux.scala 27:72] + _T_4572 <= _T_4571 @[Mux.scala 27:72] + io.dctl_busbuff.lsu_nonblock_load_data_error <= _T_4572 @[lsu_bus_buffer.scala 535:48] + node _T_4573 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 536:92] + node _T_4574 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 536:115] + node _T_4575 = eq(_T_4574, UInt<1>("h00")) @[lsu_bus_buffer.scala 536:105] + node _T_4576 = and(_T_4573, _T_4575) @[lsu_bus_buffer.scala 536:103] + node _T_4577 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:122] + node _T_4578 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:137] + node _T_4579 = or(_T_4577, _T_4578) @[lsu_bus_buffer.scala 536:135] + node _T_4580 = and(_T_4576, _T_4579) @[lsu_bus_buffer.scala 536:119] + node _T_4581 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 536:92] + node _T_4582 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 536:115] + node _T_4583 = eq(_T_4582, UInt<1>("h00")) @[lsu_bus_buffer.scala 536:105] + node _T_4584 = and(_T_4581, _T_4583) @[lsu_bus_buffer.scala 536:103] + node _T_4585 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:122] + node _T_4586 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:137] + node _T_4587 = or(_T_4585, _T_4586) @[lsu_bus_buffer.scala 536:135] + node _T_4588 = and(_T_4584, _T_4587) @[lsu_bus_buffer.scala 536:119] + node _T_4589 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 536:92] + node _T_4590 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 536:115] + node _T_4591 = eq(_T_4590, UInt<1>("h00")) @[lsu_bus_buffer.scala 536:105] + node _T_4592 = and(_T_4589, _T_4591) @[lsu_bus_buffer.scala 536:103] + node _T_4593 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:122] + node _T_4594 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:137] + node _T_4595 = or(_T_4593, _T_4594) @[lsu_bus_buffer.scala 536:135] + node _T_4596 = and(_T_4592, _T_4595) @[lsu_bus_buffer.scala 536:119] + node _T_4597 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 536:92] + node _T_4598 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 536:115] + node _T_4599 = eq(_T_4598, UInt<1>("h00")) @[lsu_bus_buffer.scala 536:105] + node _T_4600 = and(_T_4597, _T_4599) @[lsu_bus_buffer.scala 536:103] + node _T_4601 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:122] + node _T_4602 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:137] + node _T_4603 = or(_T_4601, _T_4602) @[lsu_bus_buffer.scala 536:135] + node _T_4604 = and(_T_4600, _T_4603) @[lsu_bus_buffer.scala 536:119] + node _T_4605 = mux(_T_4580, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4606 = mux(_T_4588, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4607 = mux(_T_4596, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4608 = mux(_T_4604, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4609 = or(_T_4605, _T_4606) @[Mux.scala 27:72] + node _T_4610 = or(_T_4609, _T_4607) @[Mux.scala 27:72] + node _T_4611 = or(_T_4610, _T_4608) @[Mux.scala 27:72] + wire _T_4612 : UInt<2> @[Mux.scala 27:72] + _T_4612 <= _T_4611 @[Mux.scala 27:72] + io.dctl_busbuff.lsu_nonblock_load_data_tag <= _T_4612 @[lsu_bus_buffer.scala 536:46] + node _T_4613 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 537:78] + node _T_4614 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 537:101] + node _T_4615 = eq(_T_4614, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:91] + node _T_4616 = and(_T_4613, _T_4615) @[lsu_bus_buffer.scala 537:89] + node _T_4617 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:108] + node _T_4618 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:123] + node _T_4619 = or(_T_4617, _T_4618) @[lsu_bus_buffer.scala 537:121] + node _T_4620 = and(_T_4616, _T_4619) @[lsu_bus_buffer.scala 537:105] + node _T_4621 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 537:78] + node _T_4622 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 537:101] + node _T_4623 = eq(_T_4622, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:91] + node _T_4624 = and(_T_4621, _T_4623) @[lsu_bus_buffer.scala 537:89] + node _T_4625 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:108] + node _T_4626 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:123] + node _T_4627 = or(_T_4625, _T_4626) @[lsu_bus_buffer.scala 537:121] + node _T_4628 = and(_T_4624, _T_4627) @[lsu_bus_buffer.scala 537:105] + node _T_4629 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 537:78] + node _T_4630 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 537:101] + node _T_4631 = eq(_T_4630, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:91] + node _T_4632 = and(_T_4629, _T_4631) @[lsu_bus_buffer.scala 537:89] + node _T_4633 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:108] + node _T_4634 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:123] + node _T_4635 = or(_T_4633, _T_4634) @[lsu_bus_buffer.scala 537:121] + node _T_4636 = and(_T_4632, _T_4635) @[lsu_bus_buffer.scala 537:105] + node _T_4637 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 537:78] + node _T_4638 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 537:101] + node _T_4639 = eq(_T_4638, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:91] + node _T_4640 = and(_T_4637, _T_4639) @[lsu_bus_buffer.scala 537:89] + node _T_4641 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:108] + node _T_4642 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:123] + node _T_4643 = or(_T_4641, _T_4642) @[lsu_bus_buffer.scala 537:121] + node _T_4644 = and(_T_4640, _T_4643) @[lsu_bus_buffer.scala 537:105] + node _T_4645 = mux(_T_4620, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4646 = mux(_T_4628, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4647 = mux(_T_4636, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4648 = mux(_T_4644, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4649 = or(_T_4645, _T_4646) @[Mux.scala 27:72] + node _T_4650 = or(_T_4649, _T_4647) @[Mux.scala 27:72] + node _T_4651 = or(_T_4650, _T_4648) @[Mux.scala 27:72] + wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] + lsu_nonblock_load_data_lo <= _T_4651 @[Mux.scala 27:72] + node _T_4652 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:78] + node _T_4653 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 538:101] + node _T_4654 = eq(_T_4653, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:91] + node _T_4655 = and(_T_4652, _T_4654) @[lsu_bus_buffer.scala 538:89] + node _T_4656 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 538:120] + node _T_4657 = and(_T_4655, _T_4656) @[lsu_bus_buffer.scala 538:105] + node _T_4658 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:78] + node _T_4659 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 538:101] + node _T_4660 = eq(_T_4659, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:91] + node _T_4661 = and(_T_4658, _T_4660) @[lsu_bus_buffer.scala 538:89] + node _T_4662 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 538:120] + node _T_4663 = and(_T_4661, _T_4662) @[lsu_bus_buffer.scala 538:105] + node _T_4664 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:78] + node _T_4665 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 538:101] + node _T_4666 = eq(_T_4665, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:91] + node _T_4667 = and(_T_4664, _T_4666) @[lsu_bus_buffer.scala 538:89] + node _T_4668 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 538:120] + node _T_4669 = and(_T_4667, _T_4668) @[lsu_bus_buffer.scala 538:105] + node _T_4670 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:78] + node _T_4671 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 538:101] + node _T_4672 = eq(_T_4671, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:91] + node _T_4673 = and(_T_4670, _T_4672) @[lsu_bus_buffer.scala 538:89] + node _T_4674 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 538:120] + node _T_4675 = and(_T_4673, _T_4674) @[lsu_bus_buffer.scala 538:105] + node _T_4676 = mux(_T_4657, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4677 = mux(_T_4663, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4678 = mux(_T_4669, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4679 = mux(_T_4675, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4680 = or(_T_4676, _T_4677) @[Mux.scala 27:72] + node _T_4681 = or(_T_4680, _T_4678) @[Mux.scala 27:72] + node _T_4682 = or(_T_4681, _T_4679) @[Mux.scala 27:72] + wire lsu_nonblock_load_data_hi : UInt<32> @[Mux.scala 27:72] + lsu_nonblock_load_data_hi <= _T_4682 @[Mux.scala 27:72] + node _T_4683 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_4684 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_4685 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_4686 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_4687 = mux(_T_4683, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4688 = mux(_T_4684, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4689 = mux(_T_4685, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4690 = mux(_T_4686, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4691 = or(_T_4687, _T_4688) @[Mux.scala 27:72] + node _T_4692 = or(_T_4691, _T_4689) @[Mux.scala 27:72] + node _T_4693 = or(_T_4692, _T_4690) @[Mux.scala 27:72] + wire _T_4694 : UInt<32> @[Mux.scala 27:72] + _T_4694 <= _T_4693 @[Mux.scala 27:72] + node lsu_nonblock_addr_offset = bits(_T_4694, 1, 0) @[lsu_bus_buffer.scala 539:96] + node _T_4695 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_4696 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_4697 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_4698 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_4699 = mux(_T_4695, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4700 = mux(_T_4696, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4701 = mux(_T_4697, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4702 = mux(_T_4698, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4703 = or(_T_4699, _T_4700) @[Mux.scala 27:72] + node _T_4704 = or(_T_4703, _T_4701) @[Mux.scala 27:72] + node _T_4705 = or(_T_4704, _T_4702) @[Mux.scala 27:72] + wire lsu_nonblock_sz : UInt<2> @[Mux.scala 27:72] + lsu_nonblock_sz <= _T_4705 @[Mux.scala 27:72] + node _T_4706 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_4707 = bits(buf_unsign, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_4708 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_4709 = bits(buf_unsign, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_4710 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_4711 = bits(buf_unsign, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_4712 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_4713 = bits(buf_unsign, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_4714 = mux(_T_4706, _T_4707, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4715 = mux(_T_4708, _T_4709, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4716 = mux(_T_4710, _T_4711, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4717 = mux(_T_4712, _T_4713, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4718 = or(_T_4714, _T_4715) @[Mux.scala 27:72] + node _T_4719 = or(_T_4718, _T_4716) @[Mux.scala 27:72] + node _T_4720 = or(_T_4719, _T_4717) @[Mux.scala 27:72] + wire lsu_nonblock_unsign : UInt<1> @[Mux.scala 27:72] + lsu_nonblock_unsign <= _T_4720 @[Mux.scala 27:72] + node _T_4721 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_4722 = cat(_T_4721, buf_dual[1]) @[Cat.scala 29:58] + node _T_4723 = cat(_T_4722, buf_dual[0]) @[Cat.scala 29:58] + node _T_4724 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_4725 = bits(_T_4723, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_4726 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_4727 = bits(_T_4723, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_4728 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_4729 = bits(_T_4723, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_4730 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_4731 = bits(_T_4723, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_4732 = mux(_T_4724, _T_4725, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4733 = mux(_T_4726, _T_4727, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4734 = mux(_T_4728, _T_4729, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4735 = mux(_T_4730, _T_4731, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4736 = or(_T_4732, _T_4733) @[Mux.scala 27:72] + node _T_4737 = or(_T_4736, _T_4734) @[Mux.scala 27:72] + node _T_4738 = or(_T_4737, _T_4735) @[Mux.scala 27:72] + wire lsu_nonblock_dual : UInt<1> @[Mux.scala 27:72] + lsu_nonblock_dual <= _T_4738 @[Mux.scala 27:72] + node _T_4739 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] + node _T_4740 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[lsu_bus_buffer.scala 543:121] + node lsu_nonblock_data_unalgn = dshr(_T_4739, _T_4740) @[lsu_bus_buffer.scala 543:92] + node _T_4741 = eq(io.dctl_busbuff.lsu_nonblock_load_data_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:82] + node _T_4742 = and(lsu_nonblock_load_data_ready, _T_4741) @[lsu_bus_buffer.scala 545:80] + io.dctl_busbuff.lsu_nonblock_load_data_valid <= _T_4742 @[lsu_bus_buffer.scala 545:48] + node _T_4743 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:94] + node _T_4744 = and(lsu_nonblock_unsign, _T_4743) @[lsu_bus_buffer.scala 546:76] + node _T_4745 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 546:144] + node _T_4746 = cat(UInt<24>("h00"), _T_4745) @[Cat.scala 29:58] + node _T_4747 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 547:45] + node _T_4748 = and(lsu_nonblock_unsign, _T_4747) @[lsu_bus_buffer.scala 547:26] + node _T_4749 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 547:95] + node _T_4750 = cat(UInt<16>("h00"), _T_4749) @[Cat.scala 29:58] + node _T_4751 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:6] + node _T_4752 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:45] + node _T_4753 = and(_T_4751, _T_4752) @[lsu_bus_buffer.scala 548:27] + node _T_4754 = bits(lsu_nonblock_data_unalgn, 7, 7) @[lsu_bus_buffer.scala 548:93] + node _T_4755 = bits(_T_4754, 0, 0) @[Bitwise.scala 72:15] + node _T_4756 = mux(_T_4755, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_4757 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 548:123] + node _T_4758 = cat(_T_4756, _T_4757) @[Cat.scala 29:58] + node _T_4759 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 549:6] + node _T_4760 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 549:45] + node _T_4761 = and(_T_4759, _T_4760) @[lsu_bus_buffer.scala 549:27] + node _T_4762 = bits(lsu_nonblock_data_unalgn, 15, 15) @[lsu_bus_buffer.scala 549:93] + node _T_4763 = bits(_T_4762, 0, 0) @[Bitwise.scala 72:15] + node _T_4764 = mux(_T_4763, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_4765 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 549:124] + node _T_4766 = cat(_T_4764, _T_4765) @[Cat.scala 29:58] + node _T_4767 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[lsu_bus_buffer.scala 550:21] + node _T_4768 = mux(_T_4744, _T_4746, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4769 = mux(_T_4748, _T_4750, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4770 = mux(_T_4753, _T_4758, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4771 = mux(_T_4761, _T_4766, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4772 = mux(_T_4767, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4773 = or(_T_4768, _T_4769) @[Mux.scala 27:72] + node _T_4774 = or(_T_4773, _T_4770) @[Mux.scala 27:72] + node _T_4775 = or(_T_4774, _T_4771) @[Mux.scala 27:72] + node _T_4776 = or(_T_4775, _T_4772) @[Mux.scala 27:72] + wire _T_4777 : UInt<64> @[Mux.scala 27:72] + _T_4777 <= _T_4776 @[Mux.scala 27:72] + io.dctl_busbuff.lsu_nonblock_load_data <= _T_4777 @[lsu_bus_buffer.scala 546:42] + node _T_4778 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 551:62] + node _T_4779 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 551:89] + node _T_4780 = and(_T_4778, _T_4779) @[lsu_bus_buffer.scala 551:73] + node _T_4781 = and(_T_4780, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 551:93] + node _T_4782 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 551:62] + node _T_4783 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 551:89] + node _T_4784 = and(_T_4782, _T_4783) @[lsu_bus_buffer.scala 551:73] + node _T_4785 = and(_T_4784, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 551:93] + node _T_4786 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 551:62] + node _T_4787 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 551:89] + node _T_4788 = and(_T_4786, _T_4787) @[lsu_bus_buffer.scala 551:73] + node _T_4789 = and(_T_4788, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 551:93] + node _T_4790 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 551:62] + node _T_4791 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 551:89] + node _T_4792 = and(_T_4790, _T_4791) @[lsu_bus_buffer.scala 551:73] + node _T_4793 = and(_T_4792, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 551:93] + node _T_4794 = or(_T_4781, _T_4785) @[lsu_bus_buffer.scala 551:153] + node _T_4795 = or(_T_4794, _T_4789) @[lsu_bus_buffer.scala 551:153] + node _T_4796 = or(_T_4795, _T_4793) @[lsu_bus_buffer.scala 551:153] + node _T_4797 = and(obuf_valid, obuf_sideeffect) @[lsu_bus_buffer.scala 551:171] + node _T_4798 = and(_T_4797, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 551:189] + node _T_4799 = or(_T_4796, _T_4798) @[lsu_bus_buffer.scala 551:157] + bus_sideeffect_pend <= _T_4799 @[lsu_bus_buffer.scala 551:23] + node _T_4800 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 552:71] + node _T_4801 = and(UInt<1>("h00"), obuf_valid) @[lsu_bus_buffer.scala 553:25] + node _T_4802 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 553:50] + node _T_4803 = bits(buf_addr[0], 31, 3) @[lsu_bus_buffer.scala 553:70] + node _T_4804 = eq(_T_4802, _T_4803) @[lsu_bus_buffer.scala 553:56] + node _T_4805 = and(_T_4801, _T_4804) @[lsu_bus_buffer.scala 553:38] + node _T_4806 = eq(obuf_tag0, UInt<1>("h00")) @[lsu_bus_buffer.scala 553:92] + node _T_4807 = eq(obuf_tag1, UInt<1>("h00")) @[lsu_bus_buffer.scala 553:126] + node _T_4808 = and(obuf_merge, _T_4807) @[lsu_bus_buffer.scala 553:114] + node _T_4809 = or(_T_4806, _T_4808) @[lsu_bus_buffer.scala 553:100] + node _T_4810 = eq(_T_4809, UInt<1>("h00")) @[lsu_bus_buffer.scala 553:80] + node _T_4811 = and(_T_4805, _T_4810) @[lsu_bus_buffer.scala 553:78] + node _T_4812 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 552:71] + node _T_4813 = and(UInt<1>("h00"), obuf_valid) @[lsu_bus_buffer.scala 553:25] + node _T_4814 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 553:50] + node _T_4815 = bits(buf_addr[1], 31, 3) @[lsu_bus_buffer.scala 553:70] + node _T_4816 = eq(_T_4814, _T_4815) @[lsu_bus_buffer.scala 553:56] + node _T_4817 = and(_T_4813, _T_4816) @[lsu_bus_buffer.scala 553:38] + node _T_4818 = eq(obuf_tag0, UInt<1>("h01")) @[lsu_bus_buffer.scala 553:92] + node _T_4819 = eq(obuf_tag1, UInt<1>("h01")) @[lsu_bus_buffer.scala 553:126] + node _T_4820 = and(obuf_merge, _T_4819) @[lsu_bus_buffer.scala 553:114] + node _T_4821 = or(_T_4818, _T_4820) @[lsu_bus_buffer.scala 553:100] + node _T_4822 = eq(_T_4821, UInt<1>("h00")) @[lsu_bus_buffer.scala 553:80] + node _T_4823 = and(_T_4817, _T_4822) @[lsu_bus_buffer.scala 553:78] + node _T_4824 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 552:71] + node _T_4825 = and(UInt<1>("h00"), obuf_valid) @[lsu_bus_buffer.scala 553:25] + node _T_4826 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 553:50] + node _T_4827 = bits(buf_addr[2], 31, 3) @[lsu_bus_buffer.scala 553:70] + node _T_4828 = eq(_T_4826, _T_4827) @[lsu_bus_buffer.scala 553:56] + node _T_4829 = and(_T_4825, _T_4828) @[lsu_bus_buffer.scala 553:38] + node _T_4830 = eq(obuf_tag0, UInt<2>("h02")) @[lsu_bus_buffer.scala 553:92] + node _T_4831 = eq(obuf_tag1, UInt<2>("h02")) @[lsu_bus_buffer.scala 553:126] + node _T_4832 = and(obuf_merge, _T_4831) @[lsu_bus_buffer.scala 553:114] + node _T_4833 = or(_T_4830, _T_4832) @[lsu_bus_buffer.scala 553:100] + node _T_4834 = eq(_T_4833, UInt<1>("h00")) @[lsu_bus_buffer.scala 553:80] + node _T_4835 = and(_T_4829, _T_4834) @[lsu_bus_buffer.scala 553:78] + node _T_4836 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 552:71] + node _T_4837 = and(UInt<1>("h00"), obuf_valid) @[lsu_bus_buffer.scala 553:25] + node _T_4838 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 553:50] + node _T_4839 = bits(buf_addr[3], 31, 3) @[lsu_bus_buffer.scala 553:70] + node _T_4840 = eq(_T_4838, _T_4839) @[lsu_bus_buffer.scala 553:56] + node _T_4841 = and(_T_4837, _T_4840) @[lsu_bus_buffer.scala 553:38] + node _T_4842 = eq(obuf_tag0, UInt<2>("h03")) @[lsu_bus_buffer.scala 553:92] + node _T_4843 = eq(obuf_tag1, UInt<2>("h03")) @[lsu_bus_buffer.scala 553:126] + node _T_4844 = and(obuf_merge, _T_4843) @[lsu_bus_buffer.scala 553:114] + node _T_4845 = or(_T_4842, _T_4844) @[lsu_bus_buffer.scala 553:100] + node _T_4846 = eq(_T_4845, UInt<1>("h00")) @[lsu_bus_buffer.scala 553:80] + node _T_4847 = and(_T_4841, _T_4846) @[lsu_bus_buffer.scala 553:78] + node _T_4848 = mux(_T_4800, _T_4811, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4849 = mux(_T_4812, _T_4823, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4850 = mux(_T_4824, _T_4835, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4851 = mux(_T_4836, _T_4847, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4852 = or(_T_4848, _T_4849) @[Mux.scala 27:72] + node _T_4853 = or(_T_4852, _T_4850) @[Mux.scala 27:72] + node _T_4854 = or(_T_4853, _T_4851) @[Mux.scala 27:72] + wire _T_4855 : UInt<1> @[Mux.scala 27:72] + _T_4855 <= _T_4854 @[Mux.scala 27:72] + bus_addr_match_pending <= _T_4855 @[lsu_bus_buffer.scala 552:26] + node _T_4856 = or(obuf_cmd_done, obuf_data_done) @[lsu_bus_buffer.scala 555:54] + node _T_4857 = mux(obuf_cmd_done, io.lsu_axi.w.ready, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 555:75] + node _T_4858 = and(io.lsu_axi.aw.ready, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 555:153] + node _T_4859 = mux(_T_4856, _T_4857, _T_4858) @[lsu_bus_buffer.scala 555:39] + node _T_4860 = mux(obuf_write, _T_4859, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 555:23] + bus_cmd_ready <= _T_4860 @[lsu_bus_buffer.scala 555:17] + node _T_4861 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 556:40] + bus_wcmd_sent <= _T_4861 @[lsu_bus_buffer.scala 556:17] + node _T_4862 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 557:40] + bus_wdata_sent <= _T_4862 @[lsu_bus_buffer.scala 557:18] + node _T_4863 = or(obuf_cmd_done, bus_wcmd_sent) @[lsu_bus_buffer.scala 558:35] + node _T_4864 = or(obuf_data_done, bus_wdata_sent) @[lsu_bus_buffer.scala 558:70] + node _T_4865 = and(_T_4863, _T_4864) @[lsu_bus_buffer.scala 558:52] + node _T_4866 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 558:112] + node _T_4867 = or(_T_4865, _T_4866) @[lsu_bus_buffer.scala 558:89] + bus_cmd_sent <= _T_4867 @[lsu_bus_buffer.scala 558:16] + node _T_4868 = and(io.lsu_axi.r.valid, io.lsu_axi.r.ready) @[lsu_bus_buffer.scala 559:38] + bus_rsp_read <= _T_4868 @[lsu_bus_buffer.scala 559:16] + node _T_4869 = and(io.lsu_axi.b.valid, io.lsu_axi.b.ready) @[lsu_bus_buffer.scala 560:39] + bus_rsp_write <= _T_4869 @[lsu_bus_buffer.scala 560:17] + bus_rsp_read_tag <= io.lsu_axi.r.bits.id @[lsu_bus_buffer.scala 561:20] + bus_rsp_write_tag <= io.lsu_axi.b.bits.id @[lsu_bus_buffer.scala 562:21] + node _T_4870 = neq(io.lsu_axi.b.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 563:66] + node _T_4871 = and(bus_rsp_write, _T_4870) @[lsu_bus_buffer.scala 563:40] + bus_rsp_write_error <= _T_4871 @[lsu_bus_buffer.scala 563:23] + node _T_4872 = neq(io.lsu_axi.r.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 564:64] + node _T_4873 = and(bus_rsp_read, _T_4872) @[lsu_bus_buffer.scala 564:38] + bus_rsp_read_error <= _T_4873 @[lsu_bus_buffer.scala 564:22] + bus_rsp_rdata <= io.lsu_axi.r.bits.data @[lsu_bus_buffer.scala 565:17] + node _T_4874 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 568:37] + node _T_4875 = eq(obuf_cmd_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 568:52] + node _T_4876 = and(_T_4874, _T_4875) @[lsu_bus_buffer.scala 568:50] + node _T_4877 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 568:69] + node _T_4878 = and(_T_4876, _T_4877) @[lsu_bus_buffer.scala 568:67] + io.lsu_axi.aw.valid <= _T_4878 @[lsu_bus_buffer.scala 568:23] + io.lsu_axi.aw.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 569:25] + node _T_4879 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 570:75] + node _T_4880 = cat(_T_4879, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4881 = mux(obuf_sideeffect, obuf_addr, _T_4880) @[lsu_bus_buffer.scala 570:33] + io.lsu_axi.aw.bits.addr <= _T_4881 @[lsu_bus_buffer.scala 570:27] + node _T_4882 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4883 = mux(obuf_sideeffect, _T_4882, UInt<3>("h03")) @[lsu_bus_buffer.scala 571:33] + io.lsu_axi.aw.bits.size <= _T_4883 @[lsu_bus_buffer.scala 571:27] + io.lsu_axi.aw.bits.prot <= UInt<1>("h00") @[lsu_bus_buffer.scala 572:27] + node _T_4884 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 573:34] + io.lsu_axi.aw.bits.cache <= _T_4884 @[lsu_bus_buffer.scala 573:28] + node _T_4885 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 574:41] + io.lsu_axi.aw.bits.region <= _T_4885 @[lsu_bus_buffer.scala 574:29] + io.lsu_axi.aw.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 575:26] + io.lsu_axi.aw.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 576:28] + io.lsu_axi.aw.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 577:26] + io.lsu_axi.aw.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 578:27] + node _T_4886 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 580:36] + node _T_4887 = eq(obuf_data_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 580:51] + node _T_4888 = and(_T_4886, _T_4887) @[lsu_bus_buffer.scala 580:49] + node _T_4889 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 580:69] + node _T_4890 = and(_T_4888, _T_4889) @[lsu_bus_buffer.scala 580:67] + io.lsu_axi.w.valid <= _T_4890 @[lsu_bus_buffer.scala 580:22] + node _T_4891 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] + node _T_4892 = mux(_T_4891, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_4893 = and(obuf_byteen, _T_4892) @[lsu_bus_buffer.scala 581:41] + io.lsu_axi.w.bits.strb <= _T_4893 @[lsu_bus_buffer.scala 581:26] + io.lsu_axi.w.bits.data <= obuf_data @[lsu_bus_buffer.scala 582:26] + io.lsu_axi.w.bits.last <= UInt<1>("h01") @[lsu_bus_buffer.scala 583:26] + node _T_4894 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 585:39] + node _T_4895 = and(obuf_valid, _T_4894) @[lsu_bus_buffer.scala 585:37] + node _T_4896 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 585:53] + node _T_4897 = and(_T_4895, _T_4896) @[lsu_bus_buffer.scala 585:51] + node _T_4898 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 585:68] + node _T_4899 = and(_T_4897, _T_4898) @[lsu_bus_buffer.scala 585:66] + io.lsu_axi.ar.valid <= _T_4899 @[lsu_bus_buffer.scala 585:23] + io.lsu_axi.ar.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 586:25] + node _T_4900 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 587:75] + node _T_4901 = cat(_T_4900, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4902 = mux(obuf_sideeffect, obuf_addr, _T_4901) @[lsu_bus_buffer.scala 587:33] + io.lsu_axi.ar.bits.addr <= _T_4902 @[lsu_bus_buffer.scala 587:27] + node _T_4903 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4904 = mux(obuf_sideeffect, _T_4903, UInt<3>("h03")) @[lsu_bus_buffer.scala 588:33] + io.lsu_axi.ar.bits.size <= _T_4904 @[lsu_bus_buffer.scala 588:27] + io.lsu_axi.ar.bits.prot <= UInt<1>("h00") @[lsu_bus_buffer.scala 589:27] + node _T_4905 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 590:34] + io.lsu_axi.ar.bits.cache <= _T_4905 @[lsu_bus_buffer.scala 590:28] + node _T_4906 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 591:41] + io.lsu_axi.ar.bits.region <= _T_4906 @[lsu_bus_buffer.scala 591:29] + io.lsu_axi.ar.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 592:26] + io.lsu_axi.ar.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 593:28] + io.lsu_axi.ar.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 594:26] + io.lsu_axi.ar.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 595:27] + io.lsu_axi.b.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 596:22] + io.lsu_axi.r.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 597:22] + node _T_4907 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 598:93] + node _T_4908 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 598:137] + node _T_4909 = and(io.lsu_bus_clk_en_q, _T_4908) @[lsu_bus_buffer.scala 598:126] + node _T_4910 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 598:152] + node _T_4911 = and(_T_4909, _T_4910) @[lsu_bus_buffer.scala 598:141] + node _T_4912 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 598:93] + node _T_4913 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 598:137] + node _T_4914 = and(io.lsu_bus_clk_en_q, _T_4913) @[lsu_bus_buffer.scala 598:126] + node _T_4915 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 598:152] + node _T_4916 = and(_T_4914, _T_4915) @[lsu_bus_buffer.scala 598:141] + node _T_4917 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 598:93] + node _T_4918 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 598:137] + node _T_4919 = and(io.lsu_bus_clk_en_q, _T_4918) @[lsu_bus_buffer.scala 598:126] + node _T_4920 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 598:152] + node _T_4921 = and(_T_4919, _T_4920) @[lsu_bus_buffer.scala 598:141] + node _T_4922 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 598:93] + node _T_4923 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 598:137] + node _T_4924 = and(io.lsu_bus_clk_en_q, _T_4923) @[lsu_bus_buffer.scala 598:126] + node _T_4925 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 598:152] + node _T_4926 = and(_T_4924, _T_4925) @[lsu_bus_buffer.scala 598:141] + node _T_4927 = mux(_T_4907, _T_4911, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4928 = mux(_T_4912, _T_4916, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4929 = mux(_T_4917, _T_4921, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4930 = mux(_T_4922, _T_4926, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4931 = or(_T_4927, _T_4928) @[Mux.scala 27:72] + node _T_4932 = or(_T_4931, _T_4929) @[Mux.scala 27:72] + node _T_4933 = or(_T_4932, _T_4930) @[Mux.scala 27:72] + wire _T_4934 : UInt<1> @[Mux.scala 27:72] + _T_4934 <= _T_4933 @[Mux.scala 27:72] + io.tlu_busbuff.lsu_imprecise_error_store_any <= _T_4934 @[lsu_bus_buffer.scala 598:48] + node _T_4935 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 599:82] + node _T_4936 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 599:104] + node _T_4937 = and(_T_4935, _T_4936) @[lsu_bus_buffer.scala 599:93] + node _T_4938 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 599:119] + node _T_4939 = and(_T_4937, _T_4938) @[lsu_bus_buffer.scala 599:108] + node _T_4940 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 599:82] + node _T_4941 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 599:104] + node _T_4942 = and(_T_4940, _T_4941) @[lsu_bus_buffer.scala 599:93] + node _T_4943 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 599:119] + node _T_4944 = and(_T_4942, _T_4943) @[lsu_bus_buffer.scala 599:108] + node _T_4945 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 599:82] + node _T_4946 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 599:104] + node _T_4947 = and(_T_4945, _T_4946) @[lsu_bus_buffer.scala 599:93] + node _T_4948 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 599:119] + node _T_4949 = and(_T_4947, _T_4948) @[lsu_bus_buffer.scala 599:108] + node _T_4950 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 599:82] + node _T_4951 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 599:104] + node _T_4952 = and(_T_4950, _T_4951) @[lsu_bus_buffer.scala 599:93] + node _T_4953 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 599:119] + node _T_4954 = and(_T_4952, _T_4953) @[lsu_bus_buffer.scala 599:108] + node _T_4955 = mux(_T_4939, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4956 = mux(_T_4944, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4957 = mux(_T_4949, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4958 = mux(_T_4954, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4959 = or(_T_4955, _T_4956) @[Mux.scala 27:72] + node _T_4960 = or(_T_4959, _T_4957) @[Mux.scala 27:72] + node _T_4961 = or(_T_4960, _T_4958) @[Mux.scala 27:72] + wire lsu_imprecise_error_store_tag : UInt<2> @[Mux.scala 27:72] + lsu_imprecise_error_store_tag <= _T_4961 @[Mux.scala 27:72] + node _T_4962 = eq(io.tlu_busbuff.lsu_imprecise_error_store_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 601:97] + node _T_4963 = and(io.dctl_busbuff.lsu_nonblock_load_data_error, _T_4962) @[lsu_bus_buffer.scala 601:95] + io.tlu_busbuff.lsu_imprecise_error_load_any <= _T_4963 @[lsu_bus_buffer.scala 601:47] + node _T_4964 = mux(io.tlu_busbuff.lsu_imprecise_error_store_any, buf_addr[lsu_imprecise_error_store_tag], buf_addr[io.dctl_busbuff.lsu_nonblock_load_data_tag]) @[lsu_bus_buffer.scala 602:53] + io.tlu_busbuff.lsu_imprecise_error_addr_any <= _T_4964 @[lsu_bus_buffer.scala 602:47] + lsu_bus_cntr_overflow <= UInt<1>("h00") @[lsu_bus_buffer.scala 603:25] + io.lsu_bus_idle_any <= UInt<1>("h01") @[lsu_bus_buffer.scala 605:23] + node _T_4965 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 608:59] + node _T_4966 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 608:104] + node _T_4967 = or(_T_4965, _T_4966) @[lsu_bus_buffer.scala 608:82] + node _T_4968 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 608:149] + node _T_4969 = or(_T_4967, _T_4968) @[lsu_bus_buffer.scala 608:126] + io.tlu_busbuff.lsu_pmu_bus_trxn <= _T_4969 @[lsu_bus_buffer.scala 608:35] + node _T_4970 = and(io.lsu_busreq_r, io.ldst_dual_r) @[lsu_bus_buffer.scala 609:60] + node _T_4971 = and(_T_4970, io.lsu_commit_r) @[lsu_bus_buffer.scala 609:77] + io.tlu_busbuff.lsu_pmu_bus_misaligned <= _T_4971 @[lsu_bus_buffer.scala 609:41] + node _T_4972 = or(io.tlu_busbuff.lsu_imprecise_error_load_any, io.tlu_busbuff.lsu_imprecise_error_store_any) @[lsu_bus_buffer.scala 610:83] + io.tlu_busbuff.lsu_pmu_bus_error <= _T_4972 @[lsu_bus_buffer.scala 610:36] + node _T_4973 = eq(io.lsu_axi.aw.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 612:61] + node _T_4974 = and(io.lsu_axi.aw.valid, _T_4973) @[lsu_bus_buffer.scala 612:59] + node _T_4975 = eq(io.lsu_axi.w.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 612:107] + node _T_4976 = and(io.lsu_axi.w.valid, _T_4975) @[lsu_bus_buffer.scala 612:105] + node _T_4977 = or(_T_4974, _T_4976) @[lsu_bus_buffer.scala 612:83] + node _T_4978 = eq(io.lsu_axi.ar.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 612:153] + node _T_4979 = and(io.lsu_axi.ar.valid, _T_4978) @[lsu_bus_buffer.scala 612:151] + node _T_4980 = or(_T_4977, _T_4979) @[lsu_bus_buffer.scala 612:128] + io.tlu_busbuff.lsu_pmu_bus_busy <= _T_4980 @[lsu_bus_buffer.scala 612:35] + reg _T_4981 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 614:49] + _T_4981 <= WrPtr0_m @[lsu_bus_buffer.scala 614:49] + WrPtr0_r <= _T_4981 @[lsu_bus_buffer.scala 614:12] + reg _T_4982 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 615:49] + _T_4982 <= WrPtr1_m @[lsu_bus_buffer.scala 615:49] + WrPtr1_r <= _T_4982 @[lsu_bus_buffer.scala 615:12] + node _T_4983 = eq(io.flush_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 616:75] + node _T_4984 = and(io.lsu_busreq_m, _T_4983) @[lsu_bus_buffer.scala 616:73] + node _T_4985 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 616:89] + node _T_4986 = and(_T_4984, _T_4985) @[lsu_bus_buffer.scala 616:87] + reg _T_4987 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 616:56] + _T_4987 <= _T_4986 @[lsu_bus_buffer.scala 616:56] + io.lsu_busreq_r <= _T_4987 @[lsu_bus_buffer.scala 616:19] + reg _T_4988 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 617:66] + _T_4988 <= io.dctl_busbuff.lsu_nonblock_load_valid_m @[lsu_bus_buffer.scala 617:66] + lsu_nonblock_load_valid_r <= _T_4988 @[lsu_bus_buffer.scala 617:29] + diff --git a/lsu_bus_buffer.v b/lsu_bus_buffer.v new file mode 100644 index 00000000..c27ee5f6 --- /dev/null +++ b/lsu_bus_buffer.v @@ -0,0 +1,4586 @@ +module rvclkhdr( + output io_l1clk, + input io_clk, + input io_en, + input io_scan_mode +); + wire clkhdr_Q; // @[lib.scala 334:26] + wire clkhdr_CK; // @[lib.scala 334:26] + wire clkhdr_EN; // @[lib.scala 334:26] + wire clkhdr_SE; // @[lib.scala 334:26] + gated_latch clkhdr ( // @[lib.scala 334:26] + .Q(clkhdr_Q), + .CK(clkhdr_CK), + .EN(clkhdr_EN), + .SE(clkhdr_SE) + ); + assign io_l1clk = clkhdr_Q; // @[lib.scala 335:14] + assign clkhdr_CK = io_clk; // @[lib.scala 336:18] + assign clkhdr_EN = io_en; // @[lib.scala 337:18] + assign clkhdr_SE = io_scan_mode; // @[lib.scala 338:18] +endmodule +module lsu_bus_buffer( + input clock, + input reset, + input io_scan_mode, + output io_tlu_busbuff_lsu_pmu_bus_trxn, + output io_tlu_busbuff_lsu_pmu_bus_misaligned, + output io_tlu_busbuff_lsu_pmu_bus_error, + output io_tlu_busbuff_lsu_pmu_bus_busy, + input io_tlu_busbuff_dec_tlu_external_ldfwd_disable, + input io_tlu_busbuff_dec_tlu_wb_coalescing_disable, + input io_tlu_busbuff_dec_tlu_sideeffect_posted_disable, + output io_tlu_busbuff_lsu_imprecise_error_load_any, + output io_tlu_busbuff_lsu_imprecise_error_store_any, + output [31:0] io_tlu_busbuff_lsu_imprecise_error_addr_any, + output io_dctl_busbuff_lsu_nonblock_load_valid_m, + output [1:0] io_dctl_busbuff_lsu_nonblock_load_tag_m, + output io_dctl_busbuff_lsu_nonblock_load_inv_r, + output [1:0] io_dctl_busbuff_lsu_nonblock_load_inv_tag_r, + output io_dctl_busbuff_lsu_nonblock_load_data_valid, + output io_dctl_busbuff_lsu_nonblock_load_data_error, + output [1:0] io_dctl_busbuff_lsu_nonblock_load_data_tag, + output [31:0] io_dctl_busbuff_lsu_nonblock_load_data, + input io_dec_tlu_force_halt, + input io_lsu_c2_r_clk, + input io_lsu_bus_ibuf_c1_clk, + input io_lsu_bus_obuf_c1_clk, + input io_lsu_bus_buf_c1_clk, + input io_lsu_free_c2_clk, + input io_lsu_busm_clk, + input io_dec_lsu_valid_raw_d, + input io_lsu_pkt_m_valid, + input io_lsu_pkt_m_bits_fast_int, + input io_lsu_pkt_m_bits_by, + input io_lsu_pkt_m_bits_half, + input io_lsu_pkt_m_bits_word, + input io_lsu_pkt_m_bits_dword, + input io_lsu_pkt_m_bits_load, + input io_lsu_pkt_m_bits_store, + input io_lsu_pkt_m_bits_unsign, + input io_lsu_pkt_m_bits_dma, + input io_lsu_pkt_m_bits_store_data_bypass_d, + input io_lsu_pkt_m_bits_load_ldst_bypass_d, + input io_lsu_pkt_m_bits_store_data_bypass_m, + input io_lsu_pkt_r_valid, + input io_lsu_pkt_r_bits_fast_int, + input io_lsu_pkt_r_bits_by, + input io_lsu_pkt_r_bits_half, + input io_lsu_pkt_r_bits_word, + input io_lsu_pkt_r_bits_dword, + input io_lsu_pkt_r_bits_load, + input io_lsu_pkt_r_bits_store, + input io_lsu_pkt_r_bits_unsign, + input io_lsu_pkt_r_bits_dma, + input io_lsu_pkt_r_bits_store_data_bypass_d, + input io_lsu_pkt_r_bits_load_ldst_bypass_d, + input io_lsu_pkt_r_bits_store_data_bypass_m, + input [31:0] io_lsu_addr_m, + input [31:0] io_end_addr_m, + input [31:0] io_lsu_addr_r, + input [31:0] io_end_addr_r, + input [31:0] io_store_data_r, + input io_no_word_merge_r, + input io_no_dword_merge_r, + input io_lsu_busreq_m, + input io_ld_full_hit_m, + input io_flush_m_up, + input io_flush_r, + input io_lsu_commit_r, + input io_is_sideeffects_r, + input io_ldst_dual_d, + input io_ldst_dual_m, + input io_ldst_dual_r, + input [7:0] io_ldst_byteen_ext_m, + input io_lsu_axi_aw_ready, + output io_lsu_axi_aw_valid, + output [2:0] io_lsu_axi_aw_bits_id, + output [31:0] io_lsu_axi_aw_bits_addr, + output [3:0] io_lsu_axi_aw_bits_region, + output [7:0] io_lsu_axi_aw_bits_len, + output [2:0] io_lsu_axi_aw_bits_size, + output [1:0] io_lsu_axi_aw_bits_burst, + output io_lsu_axi_aw_bits_lock, + output [3:0] io_lsu_axi_aw_bits_cache, + output [2:0] io_lsu_axi_aw_bits_prot, + output [3:0] io_lsu_axi_aw_bits_qos, + input io_lsu_axi_w_ready, + output io_lsu_axi_w_valid, + output [63:0] io_lsu_axi_w_bits_data, + output [7:0] io_lsu_axi_w_bits_strb, + output io_lsu_axi_w_bits_last, + output io_lsu_axi_b_ready, + input io_lsu_axi_b_valid, + input [1:0] io_lsu_axi_b_bits_resp, + input [2:0] io_lsu_axi_b_bits_id, + input io_lsu_axi_ar_ready, + output io_lsu_axi_ar_valid, + output [2:0] io_lsu_axi_ar_bits_id, + output [31:0] io_lsu_axi_ar_bits_addr, + output [3:0] io_lsu_axi_ar_bits_region, + output [7:0] io_lsu_axi_ar_bits_len, + output [2:0] io_lsu_axi_ar_bits_size, + output [1:0] io_lsu_axi_ar_bits_burst, + output io_lsu_axi_ar_bits_lock, + output [3:0] io_lsu_axi_ar_bits_cache, + output [2:0] io_lsu_axi_ar_bits_prot, + output [3:0] io_lsu_axi_ar_bits_qos, + output io_lsu_axi_r_ready, + input io_lsu_axi_r_valid, + input [2:0] io_lsu_axi_r_bits_id, + input [63:0] io_lsu_axi_r_bits_data, + input [1:0] io_lsu_axi_r_bits_resp, + input io_lsu_axi_r_bits_last, + input io_lsu_bus_clk_en, + input io_lsu_bus_clk_en_q, + output io_lsu_busreq_r, + output io_lsu_bus_buffer_pend_any, + output io_lsu_bus_buffer_full_any, + output io_lsu_bus_buffer_empty_any, + output io_lsu_bus_idle_any, + output [3:0] io_ld_byte_hit_buf_lo, + output [3:0] io_ld_byte_hit_buf_hi, + output [31:0] io_ld_fwddata_buf_lo, + output [31:0] io_ld_fwddata_buf_hi +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; + reg [31:0] _RAND_11; + reg [31:0] _RAND_12; + reg [31:0] _RAND_13; + reg [31:0] _RAND_14; + reg [31:0] _RAND_15; + reg [31:0] _RAND_16; + reg [31:0] _RAND_17; + reg [31:0] _RAND_18; + reg [31:0] _RAND_19; + reg [31:0] _RAND_20; + reg [31:0] _RAND_21; + reg [31:0] _RAND_22; + reg [31:0] _RAND_23; + reg [31:0] _RAND_24; + reg [31:0] _RAND_25; + reg [31:0] _RAND_26; + reg [31:0] _RAND_27; + reg [31:0] _RAND_28; + reg [31:0] _RAND_29; + reg [31:0] _RAND_30; + reg [31:0] _RAND_31; + reg [31:0] _RAND_32; + reg [31:0] _RAND_33; + reg [31:0] _RAND_34; + reg [31:0] _RAND_35; + reg [31:0] _RAND_36; + reg [31:0] _RAND_37; + reg [31:0] _RAND_38; + reg [31:0] _RAND_39; + reg [31:0] _RAND_40; + reg [31:0] _RAND_41; + reg [31:0] _RAND_42; + reg [31:0] _RAND_43; + reg [31:0] _RAND_44; + reg [31:0] _RAND_45; + reg [31:0] _RAND_46; + reg [31:0] _RAND_47; + reg [31:0] _RAND_48; + reg [31:0] _RAND_49; + reg [31:0] _RAND_50; + reg [31:0] _RAND_51; + reg [31:0] _RAND_52; + reg [31:0] _RAND_53; + reg [31:0] _RAND_54; + reg [31:0] _RAND_55; + reg [31:0] _RAND_56; + reg [31:0] _RAND_57; + reg [31:0] _RAND_58; + reg [31:0] _RAND_59; + reg [31:0] _RAND_60; + reg [31:0] _RAND_61; + reg [31:0] _RAND_62; + reg [31:0] _RAND_63; + reg [31:0] _RAND_64; + reg [31:0] _RAND_65; + reg [31:0] _RAND_66; + reg [31:0] _RAND_67; + reg [31:0] _RAND_68; + reg [31:0] _RAND_69; + reg [31:0] _RAND_70; + reg [31:0] _RAND_71; + reg [31:0] _RAND_72; + reg [31:0] _RAND_73; + reg [31:0] _RAND_74; + reg [31:0] _RAND_75; + reg [31:0] _RAND_76; + reg [31:0] _RAND_77; + reg [31:0] _RAND_78; + reg [31:0] _RAND_79; + reg [63:0] _RAND_80; + reg [31:0] _RAND_81; + reg [31:0] _RAND_82; + reg [31:0] _RAND_83; + reg [31:0] _RAND_84; + reg [31:0] _RAND_85; + reg [31:0] _RAND_86; + reg [31:0] _RAND_87; + reg [31:0] _RAND_88; + reg [31:0] _RAND_89; + reg [31:0] _RAND_90; + reg [31:0] _RAND_91; + reg [31:0] _RAND_92; + reg [31:0] _RAND_93; + reg [31:0] _RAND_94; + reg [31:0] _RAND_95; + reg [31:0] _RAND_96; + reg [31:0] _RAND_97; + reg [31:0] _RAND_98; + reg [31:0] _RAND_99; + reg [31:0] _RAND_100; + reg [31:0] _RAND_101; + reg [31:0] _RAND_102; + reg [31:0] _RAND_103; + reg [31:0] _RAND_104; + reg [31:0] _RAND_105; + reg [31:0] _RAND_106; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_io_en; // @[lib.scala 368:23] + wire rvclkhdr_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_en; // @[lib.scala 368:23] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_en; // @[lib.scala 368:23] + wire rvclkhdr_2_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_en; // @[lib.scala 368:23] + wire rvclkhdr_3_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_4_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_en; // @[lib.scala 368:23] + wire rvclkhdr_4_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_5_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_en; // @[lib.scala 368:23] + wire rvclkhdr_5_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_6_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_6_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_6_io_en; // @[lib.scala 368:23] + wire rvclkhdr_6_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_7_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_7_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_7_io_en; // @[lib.scala 368:23] + wire rvclkhdr_7_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_8_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_8_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_8_io_en; // @[lib.scala 368:23] + wire rvclkhdr_8_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_9_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_9_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_9_io_en; // @[lib.scala 368:23] + wire rvclkhdr_9_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_10_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_10_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_10_io_en; // @[lib.scala 368:23] + wire rvclkhdr_10_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_11_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_11_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_11_io_en; // @[lib.scala 368:23] + wire rvclkhdr_11_io_scan_mode; // @[lib.scala 368:23] + wire [3:0] ldst_byteen_hi_m = io_ldst_byteen_ext_m[7:4]; // @[lsu_bus_buffer.scala 73:46] + wire [3:0] ldst_byteen_lo_m = io_ldst_byteen_ext_m[3:0]; // @[lsu_bus_buffer.scala 74:46] + reg [31:0] buf_addr_0; // @[lib.scala 374:16] + wire _T_2 = io_lsu_addr_m[31:2] == buf_addr_0[31:2]; // @[lsu_bus_buffer.scala 76:74] + reg _T_4360; // @[Reg.scala 27:20] + reg _T_4357; // @[Reg.scala 27:20] + reg _T_4354; // @[Reg.scala 27:20] + reg _T_4351; // @[Reg.scala 27:20] + wire [3:0] buf_write = {_T_4360,_T_4357,_T_4354,_T_4351}; // @[Cat.scala 29:58] + wire _T_4 = _T_2 & buf_write[0]; // @[lsu_bus_buffer.scala 76:98] + reg [2:0] buf_state_0; // @[Reg.scala 27:20] + wire _T_5 = buf_state_0 != 3'h0; // @[lsu_bus_buffer.scala 76:129] + wire _T_6 = _T_4 & _T_5; // @[lsu_bus_buffer.scala 76:113] + wire ld_addr_hitvec_lo_0 = _T_6 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 76:141] + reg [31:0] buf_addr_1; // @[lib.scala 374:16] + wire _T_9 = io_lsu_addr_m[31:2] == buf_addr_1[31:2]; // @[lsu_bus_buffer.scala 76:74] + wire _T_11 = _T_9 & buf_write[1]; // @[lsu_bus_buffer.scala 76:98] + reg [2:0] buf_state_1; // @[Reg.scala 27:20] + wire _T_12 = buf_state_1 != 3'h0; // @[lsu_bus_buffer.scala 76:129] + wire _T_13 = _T_11 & _T_12; // @[lsu_bus_buffer.scala 76:113] + wire ld_addr_hitvec_lo_1 = _T_13 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 76:141] + reg [31:0] buf_addr_2; // @[lib.scala 374:16] + wire _T_16 = io_lsu_addr_m[31:2] == buf_addr_2[31:2]; // @[lsu_bus_buffer.scala 76:74] + wire _T_18 = _T_16 & buf_write[2]; // @[lsu_bus_buffer.scala 76:98] + reg [2:0] buf_state_2; // @[Reg.scala 27:20] + wire _T_19 = buf_state_2 != 3'h0; // @[lsu_bus_buffer.scala 76:129] + wire _T_20 = _T_18 & _T_19; // @[lsu_bus_buffer.scala 76:113] + wire ld_addr_hitvec_lo_2 = _T_20 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 76:141] + reg [31:0] buf_addr_3; // @[lib.scala 374:16] + wire _T_23 = io_lsu_addr_m[31:2] == buf_addr_3[31:2]; // @[lsu_bus_buffer.scala 76:74] + wire _T_25 = _T_23 & buf_write[3]; // @[lsu_bus_buffer.scala 76:98] + reg [2:0] buf_state_3; // @[Reg.scala 27:20] + wire _T_26 = buf_state_3 != 3'h0; // @[lsu_bus_buffer.scala 76:129] + wire _T_27 = _T_25 & _T_26; // @[lsu_bus_buffer.scala 76:113] + wire ld_addr_hitvec_lo_3 = _T_27 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 76:141] + wire _T_30 = io_end_addr_m[31:2] == buf_addr_0[31:2]; // @[lsu_bus_buffer.scala 77:74] + wire _T_32 = _T_30 & buf_write[0]; // @[lsu_bus_buffer.scala 77:98] + wire _T_34 = _T_32 & _T_5; // @[lsu_bus_buffer.scala 77:113] + wire ld_addr_hitvec_hi_0 = _T_34 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 77:141] + wire _T_37 = io_end_addr_m[31:2] == buf_addr_1[31:2]; // @[lsu_bus_buffer.scala 77:74] + wire _T_39 = _T_37 & buf_write[1]; // @[lsu_bus_buffer.scala 77:98] + wire _T_41 = _T_39 & _T_12; // @[lsu_bus_buffer.scala 77:113] + wire ld_addr_hitvec_hi_1 = _T_41 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 77:141] + wire _T_44 = io_end_addr_m[31:2] == buf_addr_2[31:2]; // @[lsu_bus_buffer.scala 77:74] + wire _T_46 = _T_44 & buf_write[2]; // @[lsu_bus_buffer.scala 77:98] + wire _T_48 = _T_46 & _T_19; // @[lsu_bus_buffer.scala 77:113] + wire ld_addr_hitvec_hi_2 = _T_48 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 77:141] + wire _T_51 = io_end_addr_m[31:2] == buf_addr_3[31:2]; // @[lsu_bus_buffer.scala 77:74] + wire _T_53 = _T_51 & buf_write[3]; // @[lsu_bus_buffer.scala 77:98] + wire _T_55 = _T_53 & _T_26; // @[lsu_bus_buffer.scala 77:113] + wire ld_addr_hitvec_hi_3 = _T_55 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 77:141] + reg [3:0] buf_byteen_3; // @[Reg.scala 27:20] + wire _T_99 = ld_addr_hitvec_lo_3 & buf_byteen_3[0]; // @[lsu_bus_buffer.scala 141:95] + wire _T_101 = _T_99 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 141:114] + reg [3:0] buf_byteen_2; // @[Reg.scala 27:20] + wire _T_95 = ld_addr_hitvec_lo_2 & buf_byteen_2[0]; // @[lsu_bus_buffer.scala 141:95] + wire _T_97 = _T_95 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 141:114] + reg [3:0] buf_byteen_1; // @[Reg.scala 27:20] + wire _T_91 = ld_addr_hitvec_lo_1 & buf_byteen_1[0]; // @[lsu_bus_buffer.scala 141:95] + wire _T_93 = _T_91 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 141:114] + reg [3:0] buf_byteen_0; // @[Reg.scala 27:20] + wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[lsu_bus_buffer.scala 141:95] + wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 141:114] + wire [3:0] ld_byte_hitvec_lo_0 = {_T_101,_T_97,_T_93,_T_89}; // @[Cat.scala 29:58] + reg [3:0] buf_ageQ_3; // @[lsu_bus_buffer.scala 499:60] + wire _T_2621 = buf_state_3 == 3'h2; // @[lsu_bus_buffer.scala 411:93] + wire _T_4107 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4130 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4134 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] + reg [1:0] _T_1848; // @[Reg.scala 27:20] + wire [2:0] obuf_tag0 = {{1'd0}, _T_1848}; // @[lsu_bus_buffer.scala 351:13] + wire _T_4141 = obuf_tag0 == 3'h3; // @[lsu_bus_buffer.scala 454:48] + reg obuf_merge; // @[Reg.scala 27:20] + reg [1:0] obuf_tag1; // @[Reg.scala 27:20] + wire [2:0] _GEN_358 = {{1'd0}, obuf_tag1}; // @[lsu_bus_buffer.scala 454:104] + wire _T_4142 = _GEN_358 == 3'h3; // @[lsu_bus_buffer.scala 454:104] + wire _T_4143 = obuf_merge & _T_4142; // @[lsu_bus_buffer.scala 454:91] + wire _T_4144 = _T_4141 | _T_4143; // @[lsu_bus_buffer.scala 454:77] + reg obuf_valid; // @[lsu_bus_buffer.scala 345:54] + wire _T_4145 = _T_4144 & obuf_valid; // @[lsu_bus_buffer.scala 454:135] + reg obuf_wr_enQ; // @[lsu_bus_buffer.scala 344:55] + wire _T_4146 = _T_4145 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 454:148] + wire _GEN_280 = _T_4134 & _T_4146; // @[Conditional.scala 39:67] + wire _GEN_293 = _T_4130 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_3 = _T_4107 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] + wire _T_2622 = _T_2621 & buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 411:103] + wire _T_2623 = ~_T_2622; // @[lsu_bus_buffer.scala 411:78] + wire _T_2624 = buf_ageQ_3[3] & _T_2623; // @[lsu_bus_buffer.scala 411:76] + wire _T_2616 = buf_state_2 == 3'h2; // @[lsu_bus_buffer.scala 411:93] + wire _T_3914 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3937 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3941 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3948 = obuf_tag0 == 3'h2; // @[lsu_bus_buffer.scala 454:48] + wire _T_3949 = _GEN_358 == 3'h2; // @[lsu_bus_buffer.scala 454:104] + wire _T_3950 = obuf_merge & _T_3949; // @[lsu_bus_buffer.scala 454:91] + wire _T_3951 = _T_3948 | _T_3950; // @[lsu_bus_buffer.scala 454:77] + wire _T_3952 = _T_3951 & obuf_valid; // @[lsu_bus_buffer.scala 454:135] + wire _T_3953 = _T_3952 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 454:148] + wire _GEN_204 = _T_3941 & _T_3953; // @[Conditional.scala 39:67] + wire _GEN_217 = _T_3937 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_2 = _T_3914 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] + wire _T_2617 = _T_2616 & buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 411:103] + wire _T_2618 = ~_T_2617; // @[lsu_bus_buffer.scala 411:78] + wire _T_2619 = buf_ageQ_3[2] & _T_2618; // @[lsu_bus_buffer.scala 411:76] + wire _T_2611 = buf_state_1 == 3'h2; // @[lsu_bus_buffer.scala 411:93] + wire _T_3721 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3744 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3748 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3755 = obuf_tag0 == 3'h1; // @[lsu_bus_buffer.scala 454:48] + wire _T_3756 = _GEN_358 == 3'h1; // @[lsu_bus_buffer.scala 454:104] + wire _T_3757 = obuf_merge & _T_3756; // @[lsu_bus_buffer.scala 454:91] + wire _T_3758 = _T_3755 | _T_3757; // @[lsu_bus_buffer.scala 454:77] + wire _T_3759 = _T_3758 & obuf_valid; // @[lsu_bus_buffer.scala 454:135] + wire _T_3760 = _T_3759 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 454:148] + wire _GEN_128 = _T_3748 & _T_3760; // @[Conditional.scala 39:67] + wire _GEN_141 = _T_3744 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_1 = _T_3721 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] + wire _T_2612 = _T_2611 & buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 411:103] + wire _T_2613 = ~_T_2612; // @[lsu_bus_buffer.scala 411:78] + wire _T_2614 = buf_ageQ_3[1] & _T_2613; // @[lsu_bus_buffer.scala 411:76] + wire _T_2606 = buf_state_0 == 3'h2; // @[lsu_bus_buffer.scala 411:93] + wire _T_3528 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3551 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3555 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3562 = obuf_tag0 == 3'h0; // @[lsu_bus_buffer.scala 454:48] + wire _T_3563 = _GEN_358 == 3'h0; // @[lsu_bus_buffer.scala 454:104] + wire _T_3564 = obuf_merge & _T_3563; // @[lsu_bus_buffer.scala 454:91] + wire _T_3565 = _T_3562 | _T_3564; // @[lsu_bus_buffer.scala 454:77] + wire _T_3566 = _T_3565 & obuf_valid; // @[lsu_bus_buffer.scala 454:135] + wire _T_3567 = _T_3566 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 454:148] + wire _GEN_52 = _T_3555 & _T_3567; // @[Conditional.scala 39:67] + wire _GEN_65 = _T_3551 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_0 = _T_3528 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] + wire _T_2607 = _T_2606 & buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 411:103] + wire _T_2608 = ~_T_2607; // @[lsu_bus_buffer.scala 411:78] + wire _T_2609 = buf_ageQ_3[0] & _T_2608; // @[lsu_bus_buffer.scala 411:76] + wire [3:0] buf_age_3 = {_T_2624,_T_2619,_T_2614,_T_2609}; // @[Cat.scala 29:58] + wire _T_2723 = ~buf_age_3[2]; // @[lsu_bus_buffer.scala 412:89] + wire _T_2725 = _T_2723 & _T_19; // @[lsu_bus_buffer.scala 412:104] + wire _T_2717 = ~buf_age_3[1]; // @[lsu_bus_buffer.scala 412:89] + wire _T_2719 = _T_2717 & _T_12; // @[lsu_bus_buffer.scala 412:104] + wire _T_2711 = ~buf_age_3[0]; // @[lsu_bus_buffer.scala 412:89] + wire _T_2713 = _T_2711 & _T_5; // @[lsu_bus_buffer.scala 412:104] + wire [3:0] buf_age_younger_3 = {1'h0,_T_2725,_T_2719,_T_2713}; // @[Cat.scala 29:58] + wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[lsu_bus_buffer.scala 146:122] + wire _T_256 = |_T_255; // @[lsu_bus_buffer.scala 146:144] + wire _T_257 = ~_T_256; // @[lsu_bus_buffer.scala 146:99] + wire _T_258 = ld_byte_hitvec_lo_0[3] & _T_257; // @[lsu_bus_buffer.scala 146:97] + reg [31:0] ibuf_addr; // @[lib.scala 374:16] + wire _T_512 = io_lsu_addr_m[31:2] == ibuf_addr[31:2]; // @[lsu_bus_buffer.scala 152:51] + reg ibuf_write; // @[Reg.scala 27:20] + wire _T_513 = _T_512 & ibuf_write; // @[lsu_bus_buffer.scala 152:73] + reg ibuf_valid; // @[lsu_bus_buffer.scala 239:54] + wire _T_514 = _T_513 & ibuf_valid; // @[lsu_bus_buffer.scala 152:86] + wire ld_addr_ibuf_hit_lo = _T_514 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 152:99] + wire [3:0] _T_521 = ld_addr_ibuf_hit_lo ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + reg [3:0] ibuf_byteen; // @[Reg.scala 27:20] + wire [3:0] _T_522 = _T_521 & ibuf_byteen; // @[lsu_bus_buffer.scala 157:55] + wire [3:0] ld_byte_ibuf_hit_lo = _T_522 & ldst_byteen_lo_m; // @[lsu_bus_buffer.scala 157:69] + wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[lsu_bus_buffer.scala 146:150] + wire _T_261 = _T_258 & _T_260; // @[lsu_bus_buffer.scala 146:148] + reg [3:0] buf_ageQ_2; // @[lsu_bus_buffer.scala 499:60] + wire _T_2601 = buf_ageQ_2[3] & _T_2623; // @[lsu_bus_buffer.scala 411:76] + wire _T_2596 = buf_ageQ_2[2] & _T_2618; // @[lsu_bus_buffer.scala 411:76] + wire _T_2591 = buf_ageQ_2[1] & _T_2613; // @[lsu_bus_buffer.scala 411:76] + wire _T_2586 = buf_ageQ_2[0] & _T_2608; // @[lsu_bus_buffer.scala 411:76] + wire [3:0] buf_age_2 = {_T_2601,_T_2596,_T_2591,_T_2586}; // @[Cat.scala 29:58] + wire _T_2702 = ~buf_age_2[3]; // @[lsu_bus_buffer.scala 412:89] + wire _T_2704 = _T_2702 & _T_26; // @[lsu_bus_buffer.scala 412:104] + wire _T_2690 = ~buf_age_2[1]; // @[lsu_bus_buffer.scala 412:89] + wire _T_2692 = _T_2690 & _T_12; // @[lsu_bus_buffer.scala 412:104] + wire _T_2684 = ~buf_age_2[0]; // @[lsu_bus_buffer.scala 412:89] + wire _T_2686 = _T_2684 & _T_5; // @[lsu_bus_buffer.scala 412:104] + wire [3:0] buf_age_younger_2 = {_T_2704,1'h0,_T_2692,_T_2686}; // @[Cat.scala 29:58] + wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[lsu_bus_buffer.scala 146:122] + wire _T_248 = |_T_247; // @[lsu_bus_buffer.scala 146:144] + wire _T_249 = ~_T_248; // @[lsu_bus_buffer.scala 146:99] + wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[lsu_bus_buffer.scala 146:97] + wire _T_253 = _T_250 & _T_260; // @[lsu_bus_buffer.scala 146:148] + reg [3:0] buf_ageQ_1; // @[lsu_bus_buffer.scala 499:60] + wire _T_2578 = buf_ageQ_1[3] & _T_2623; // @[lsu_bus_buffer.scala 411:76] + wire _T_2573 = buf_ageQ_1[2] & _T_2618; // @[lsu_bus_buffer.scala 411:76] + wire _T_2568 = buf_ageQ_1[1] & _T_2613; // @[lsu_bus_buffer.scala 411:76] + wire _T_2563 = buf_ageQ_1[0] & _T_2608; // @[lsu_bus_buffer.scala 411:76] + wire [3:0] buf_age_1 = {_T_2578,_T_2573,_T_2568,_T_2563}; // @[Cat.scala 29:58] + wire _T_2675 = ~buf_age_1[3]; // @[lsu_bus_buffer.scala 412:89] + wire _T_2677 = _T_2675 & _T_26; // @[lsu_bus_buffer.scala 412:104] + wire _T_2669 = ~buf_age_1[2]; // @[lsu_bus_buffer.scala 412:89] + wire _T_2671 = _T_2669 & _T_19; // @[lsu_bus_buffer.scala 412:104] + wire _T_2657 = ~buf_age_1[0]; // @[lsu_bus_buffer.scala 412:89] + wire _T_2659 = _T_2657 & _T_5; // @[lsu_bus_buffer.scala 412:104] + wire [3:0] buf_age_younger_1 = {_T_2677,_T_2671,1'h0,_T_2659}; // @[Cat.scala 29:58] + wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[lsu_bus_buffer.scala 146:122] + wire _T_240 = |_T_239; // @[lsu_bus_buffer.scala 146:144] + wire _T_241 = ~_T_240; // @[lsu_bus_buffer.scala 146:99] + wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[lsu_bus_buffer.scala 146:97] + wire _T_245 = _T_242 & _T_260; // @[lsu_bus_buffer.scala 146:148] + reg [3:0] buf_ageQ_0; // @[lsu_bus_buffer.scala 499:60] + wire _T_2555 = buf_ageQ_0[3] & _T_2623; // @[lsu_bus_buffer.scala 411:76] + wire _T_2550 = buf_ageQ_0[2] & _T_2618; // @[lsu_bus_buffer.scala 411:76] + wire _T_2545 = buf_ageQ_0[1] & _T_2613; // @[lsu_bus_buffer.scala 411:76] + wire _T_2540 = buf_ageQ_0[0] & _T_2608; // @[lsu_bus_buffer.scala 411:76] + wire [3:0] buf_age_0 = {_T_2555,_T_2550,_T_2545,_T_2540}; // @[Cat.scala 29:58] + wire _T_2648 = ~buf_age_0[3]; // @[lsu_bus_buffer.scala 412:89] + wire _T_2650 = _T_2648 & _T_26; // @[lsu_bus_buffer.scala 412:104] + wire _T_2642 = ~buf_age_0[2]; // @[lsu_bus_buffer.scala 412:89] + wire _T_2644 = _T_2642 & _T_19; // @[lsu_bus_buffer.scala 412:104] + wire _T_2636 = ~buf_age_0[1]; // @[lsu_bus_buffer.scala 412:89] + wire _T_2638 = _T_2636 & _T_12; // @[lsu_bus_buffer.scala 412:104] + wire [3:0] buf_age_younger_0 = {_T_2650,_T_2644,_T_2638,1'h0}; // @[Cat.scala 29:58] + wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[lsu_bus_buffer.scala 146:122] + wire _T_232 = |_T_231; // @[lsu_bus_buffer.scala 146:144] + wire _T_233 = ~_T_232; // @[lsu_bus_buffer.scala 146:99] + wire _T_234 = ld_byte_hitvec_lo_0[0] & _T_233; // @[lsu_bus_buffer.scala 146:97] + wire _T_237 = _T_234 & _T_260; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] ld_byte_hitvecfn_lo_0 = {_T_261,_T_253,_T_245,_T_237}; // @[Cat.scala 29:58] + wire _T_56 = |ld_byte_hitvecfn_lo_0; // @[lsu_bus_buffer.scala 138:73] + wire _T_58 = _T_56 | ld_byte_ibuf_hit_lo[0]; // @[lsu_bus_buffer.scala 138:77] + wire _T_117 = ld_addr_hitvec_lo_3 & buf_byteen_3[1]; // @[lsu_bus_buffer.scala 141:95] + wire _T_119 = _T_117 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 141:114] + wire _T_113 = ld_addr_hitvec_lo_2 & buf_byteen_2[1]; // @[lsu_bus_buffer.scala 141:95] + wire _T_115 = _T_113 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 141:114] + wire _T_109 = ld_addr_hitvec_lo_1 & buf_byteen_1[1]; // @[lsu_bus_buffer.scala 141:95] + wire _T_111 = _T_109 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 141:114] + wire _T_105 = ld_addr_hitvec_lo_0 & buf_byteen_0[1]; // @[lsu_bus_buffer.scala 141:95] + wire _T_107 = _T_105 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 141:114] + wire [3:0] ld_byte_hitvec_lo_1 = {_T_119,_T_115,_T_111,_T_107}; // @[Cat.scala 29:58] + wire [3:0] _T_290 = ld_byte_hitvec_lo_1 & buf_age_younger_3; // @[lsu_bus_buffer.scala 146:122] + wire _T_291 = |_T_290; // @[lsu_bus_buffer.scala 146:144] + wire _T_292 = ~_T_291; // @[lsu_bus_buffer.scala 146:99] + wire _T_293 = ld_byte_hitvec_lo_1[3] & _T_292; // @[lsu_bus_buffer.scala 146:97] + wire _T_295 = ~ld_byte_ibuf_hit_lo[1]; // @[lsu_bus_buffer.scala 146:150] + wire _T_296 = _T_293 & _T_295; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_282 = ld_byte_hitvec_lo_1 & buf_age_younger_2; // @[lsu_bus_buffer.scala 146:122] + wire _T_283 = |_T_282; // @[lsu_bus_buffer.scala 146:144] + wire _T_284 = ~_T_283; // @[lsu_bus_buffer.scala 146:99] + wire _T_285 = ld_byte_hitvec_lo_1[2] & _T_284; // @[lsu_bus_buffer.scala 146:97] + wire _T_288 = _T_285 & _T_295; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_274 = ld_byte_hitvec_lo_1 & buf_age_younger_1; // @[lsu_bus_buffer.scala 146:122] + wire _T_275 = |_T_274; // @[lsu_bus_buffer.scala 146:144] + wire _T_276 = ~_T_275; // @[lsu_bus_buffer.scala 146:99] + wire _T_277 = ld_byte_hitvec_lo_1[1] & _T_276; // @[lsu_bus_buffer.scala 146:97] + wire _T_280 = _T_277 & _T_295; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_266 = ld_byte_hitvec_lo_1 & buf_age_younger_0; // @[lsu_bus_buffer.scala 146:122] + wire _T_267 = |_T_266; // @[lsu_bus_buffer.scala 146:144] + wire _T_268 = ~_T_267; // @[lsu_bus_buffer.scala 146:99] + wire _T_269 = ld_byte_hitvec_lo_1[0] & _T_268; // @[lsu_bus_buffer.scala 146:97] + wire _T_272 = _T_269 & _T_295; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] ld_byte_hitvecfn_lo_1 = {_T_296,_T_288,_T_280,_T_272}; // @[Cat.scala 29:58] + wire _T_59 = |ld_byte_hitvecfn_lo_1; // @[lsu_bus_buffer.scala 138:73] + wire _T_61 = _T_59 | ld_byte_ibuf_hit_lo[1]; // @[lsu_bus_buffer.scala 138:77] + wire _T_135 = ld_addr_hitvec_lo_3 & buf_byteen_3[2]; // @[lsu_bus_buffer.scala 141:95] + wire _T_137 = _T_135 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 141:114] + wire _T_131 = ld_addr_hitvec_lo_2 & buf_byteen_2[2]; // @[lsu_bus_buffer.scala 141:95] + wire _T_133 = _T_131 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 141:114] + wire _T_127 = ld_addr_hitvec_lo_1 & buf_byteen_1[2]; // @[lsu_bus_buffer.scala 141:95] + wire _T_129 = _T_127 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 141:114] + wire _T_123 = ld_addr_hitvec_lo_0 & buf_byteen_0[2]; // @[lsu_bus_buffer.scala 141:95] + wire _T_125 = _T_123 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 141:114] + wire [3:0] ld_byte_hitvec_lo_2 = {_T_137,_T_133,_T_129,_T_125}; // @[Cat.scala 29:58] + wire [3:0] _T_325 = ld_byte_hitvec_lo_2 & buf_age_younger_3; // @[lsu_bus_buffer.scala 146:122] + wire _T_326 = |_T_325; // @[lsu_bus_buffer.scala 146:144] + wire _T_327 = ~_T_326; // @[lsu_bus_buffer.scala 146:99] + wire _T_328 = ld_byte_hitvec_lo_2[3] & _T_327; // @[lsu_bus_buffer.scala 146:97] + wire _T_330 = ~ld_byte_ibuf_hit_lo[2]; // @[lsu_bus_buffer.scala 146:150] + wire _T_331 = _T_328 & _T_330; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_317 = ld_byte_hitvec_lo_2 & buf_age_younger_2; // @[lsu_bus_buffer.scala 146:122] + wire _T_318 = |_T_317; // @[lsu_bus_buffer.scala 146:144] + wire _T_319 = ~_T_318; // @[lsu_bus_buffer.scala 146:99] + wire _T_320 = ld_byte_hitvec_lo_2[2] & _T_319; // @[lsu_bus_buffer.scala 146:97] + wire _T_323 = _T_320 & _T_330; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_309 = ld_byte_hitvec_lo_2 & buf_age_younger_1; // @[lsu_bus_buffer.scala 146:122] + wire _T_310 = |_T_309; // @[lsu_bus_buffer.scala 146:144] + wire _T_311 = ~_T_310; // @[lsu_bus_buffer.scala 146:99] + wire _T_312 = ld_byte_hitvec_lo_2[1] & _T_311; // @[lsu_bus_buffer.scala 146:97] + wire _T_315 = _T_312 & _T_330; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_301 = ld_byte_hitvec_lo_2 & buf_age_younger_0; // @[lsu_bus_buffer.scala 146:122] + wire _T_302 = |_T_301; // @[lsu_bus_buffer.scala 146:144] + wire _T_303 = ~_T_302; // @[lsu_bus_buffer.scala 146:99] + wire _T_304 = ld_byte_hitvec_lo_2[0] & _T_303; // @[lsu_bus_buffer.scala 146:97] + wire _T_307 = _T_304 & _T_330; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] ld_byte_hitvecfn_lo_2 = {_T_331,_T_323,_T_315,_T_307}; // @[Cat.scala 29:58] + wire _T_62 = |ld_byte_hitvecfn_lo_2; // @[lsu_bus_buffer.scala 138:73] + wire _T_64 = _T_62 | ld_byte_ibuf_hit_lo[2]; // @[lsu_bus_buffer.scala 138:77] + wire _T_153 = ld_addr_hitvec_lo_3 & buf_byteen_3[3]; // @[lsu_bus_buffer.scala 141:95] + wire _T_155 = _T_153 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 141:114] + wire _T_149 = ld_addr_hitvec_lo_2 & buf_byteen_2[3]; // @[lsu_bus_buffer.scala 141:95] + wire _T_151 = _T_149 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 141:114] + wire _T_145 = ld_addr_hitvec_lo_1 & buf_byteen_1[3]; // @[lsu_bus_buffer.scala 141:95] + wire _T_147 = _T_145 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 141:114] + wire _T_141 = ld_addr_hitvec_lo_0 & buf_byteen_0[3]; // @[lsu_bus_buffer.scala 141:95] + wire _T_143 = _T_141 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 141:114] + wire [3:0] ld_byte_hitvec_lo_3 = {_T_155,_T_151,_T_147,_T_143}; // @[Cat.scala 29:58] + wire [3:0] _T_360 = ld_byte_hitvec_lo_3 & buf_age_younger_3; // @[lsu_bus_buffer.scala 146:122] + wire _T_361 = |_T_360; // @[lsu_bus_buffer.scala 146:144] + wire _T_362 = ~_T_361; // @[lsu_bus_buffer.scala 146:99] + wire _T_363 = ld_byte_hitvec_lo_3[3] & _T_362; // @[lsu_bus_buffer.scala 146:97] + wire _T_365 = ~ld_byte_ibuf_hit_lo[3]; // @[lsu_bus_buffer.scala 146:150] + wire _T_366 = _T_363 & _T_365; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_352 = ld_byte_hitvec_lo_3 & buf_age_younger_2; // @[lsu_bus_buffer.scala 146:122] + wire _T_353 = |_T_352; // @[lsu_bus_buffer.scala 146:144] + wire _T_354 = ~_T_353; // @[lsu_bus_buffer.scala 146:99] + wire _T_355 = ld_byte_hitvec_lo_3[2] & _T_354; // @[lsu_bus_buffer.scala 146:97] + wire _T_358 = _T_355 & _T_365; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_344 = ld_byte_hitvec_lo_3 & buf_age_younger_1; // @[lsu_bus_buffer.scala 146:122] + wire _T_345 = |_T_344; // @[lsu_bus_buffer.scala 146:144] + wire _T_346 = ~_T_345; // @[lsu_bus_buffer.scala 146:99] + wire _T_347 = ld_byte_hitvec_lo_3[1] & _T_346; // @[lsu_bus_buffer.scala 146:97] + wire _T_350 = _T_347 & _T_365; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_336 = ld_byte_hitvec_lo_3 & buf_age_younger_0; // @[lsu_bus_buffer.scala 146:122] + wire _T_337 = |_T_336; // @[lsu_bus_buffer.scala 146:144] + wire _T_338 = ~_T_337; // @[lsu_bus_buffer.scala 146:99] + wire _T_339 = ld_byte_hitvec_lo_3[0] & _T_338; // @[lsu_bus_buffer.scala 146:97] + wire _T_342 = _T_339 & _T_365; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] ld_byte_hitvecfn_lo_3 = {_T_366,_T_358,_T_350,_T_342}; // @[Cat.scala 29:58] + wire _T_65 = |ld_byte_hitvecfn_lo_3; // @[lsu_bus_buffer.scala 138:73] + wire _T_67 = _T_65 | ld_byte_ibuf_hit_lo[3]; // @[lsu_bus_buffer.scala 138:77] + wire [2:0] _T_69 = {_T_67,_T_64,_T_61}; // @[Cat.scala 29:58] + wire _T_171 = ld_addr_hitvec_hi_3 & buf_byteen_3[0]; // @[lsu_bus_buffer.scala 142:95] + wire _T_173 = _T_171 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 142:114] + wire _T_167 = ld_addr_hitvec_hi_2 & buf_byteen_2[0]; // @[lsu_bus_buffer.scala 142:95] + wire _T_169 = _T_167 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 142:114] + wire _T_163 = ld_addr_hitvec_hi_1 & buf_byteen_1[0]; // @[lsu_bus_buffer.scala 142:95] + wire _T_165 = _T_163 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 142:114] + wire _T_159 = ld_addr_hitvec_hi_0 & buf_byteen_0[0]; // @[lsu_bus_buffer.scala 142:95] + wire _T_161 = _T_159 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 142:114] + wire [3:0] ld_byte_hitvec_hi_0 = {_T_173,_T_169,_T_165,_T_161}; // @[Cat.scala 29:58] + wire [3:0] _T_395 = ld_byte_hitvec_hi_0 & buf_age_younger_3; // @[lsu_bus_buffer.scala 147:122] + wire _T_396 = |_T_395; // @[lsu_bus_buffer.scala 147:144] + wire _T_397 = ~_T_396; // @[lsu_bus_buffer.scala 147:99] + wire _T_398 = ld_byte_hitvec_hi_0[3] & _T_397; // @[lsu_bus_buffer.scala 147:97] + wire _T_517 = io_end_addr_m[31:2] == ibuf_addr[31:2]; // @[lsu_bus_buffer.scala 153:51] + wire _T_518 = _T_517 & ibuf_write; // @[lsu_bus_buffer.scala 153:73] + wire _T_519 = _T_518 & ibuf_valid; // @[lsu_bus_buffer.scala 153:86] + wire ld_addr_ibuf_hit_hi = _T_519 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 153:99] + wire [3:0] _T_525 = ld_addr_ibuf_hit_hi ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_526 = _T_525 & ibuf_byteen; // @[lsu_bus_buffer.scala 158:55] + wire [3:0] ld_byte_ibuf_hit_hi = _T_526 & ldst_byteen_hi_m; // @[lsu_bus_buffer.scala 158:69] + wire _T_400 = ~ld_byte_ibuf_hit_hi[0]; // @[lsu_bus_buffer.scala 147:150] + wire _T_401 = _T_398 & _T_400; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_387 = ld_byte_hitvec_hi_0 & buf_age_younger_2; // @[lsu_bus_buffer.scala 147:122] + wire _T_388 = |_T_387; // @[lsu_bus_buffer.scala 147:144] + wire _T_389 = ~_T_388; // @[lsu_bus_buffer.scala 147:99] + wire _T_390 = ld_byte_hitvec_hi_0[2] & _T_389; // @[lsu_bus_buffer.scala 147:97] + wire _T_393 = _T_390 & _T_400; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_379 = ld_byte_hitvec_hi_0 & buf_age_younger_1; // @[lsu_bus_buffer.scala 147:122] + wire _T_380 = |_T_379; // @[lsu_bus_buffer.scala 147:144] + wire _T_381 = ~_T_380; // @[lsu_bus_buffer.scala 147:99] + wire _T_382 = ld_byte_hitvec_hi_0[1] & _T_381; // @[lsu_bus_buffer.scala 147:97] + wire _T_385 = _T_382 & _T_400; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_371 = ld_byte_hitvec_hi_0 & buf_age_younger_0; // @[lsu_bus_buffer.scala 147:122] + wire _T_372 = |_T_371; // @[lsu_bus_buffer.scala 147:144] + wire _T_373 = ~_T_372; // @[lsu_bus_buffer.scala 147:99] + wire _T_374 = ld_byte_hitvec_hi_0[0] & _T_373; // @[lsu_bus_buffer.scala 147:97] + wire _T_377 = _T_374 & _T_400; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] ld_byte_hitvecfn_hi_0 = {_T_401,_T_393,_T_385,_T_377}; // @[Cat.scala 29:58] + wire _T_71 = |ld_byte_hitvecfn_hi_0; // @[lsu_bus_buffer.scala 139:73] + wire _T_73 = _T_71 | ld_byte_ibuf_hit_hi[0]; // @[lsu_bus_buffer.scala 139:77] + wire _T_189 = ld_addr_hitvec_hi_3 & buf_byteen_3[1]; // @[lsu_bus_buffer.scala 142:95] + wire _T_191 = _T_189 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 142:114] + wire _T_185 = ld_addr_hitvec_hi_2 & buf_byteen_2[1]; // @[lsu_bus_buffer.scala 142:95] + wire _T_187 = _T_185 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 142:114] + wire _T_181 = ld_addr_hitvec_hi_1 & buf_byteen_1[1]; // @[lsu_bus_buffer.scala 142:95] + wire _T_183 = _T_181 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 142:114] + wire _T_177 = ld_addr_hitvec_hi_0 & buf_byteen_0[1]; // @[lsu_bus_buffer.scala 142:95] + wire _T_179 = _T_177 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 142:114] + wire [3:0] ld_byte_hitvec_hi_1 = {_T_191,_T_187,_T_183,_T_179}; // @[Cat.scala 29:58] + wire [3:0] _T_430 = ld_byte_hitvec_hi_1 & buf_age_younger_3; // @[lsu_bus_buffer.scala 147:122] + wire _T_431 = |_T_430; // @[lsu_bus_buffer.scala 147:144] + wire _T_432 = ~_T_431; // @[lsu_bus_buffer.scala 147:99] + wire _T_433 = ld_byte_hitvec_hi_1[3] & _T_432; // @[lsu_bus_buffer.scala 147:97] + wire _T_435 = ~ld_byte_ibuf_hit_hi[1]; // @[lsu_bus_buffer.scala 147:150] + wire _T_436 = _T_433 & _T_435; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_422 = ld_byte_hitvec_hi_1 & buf_age_younger_2; // @[lsu_bus_buffer.scala 147:122] + wire _T_423 = |_T_422; // @[lsu_bus_buffer.scala 147:144] + wire _T_424 = ~_T_423; // @[lsu_bus_buffer.scala 147:99] + wire _T_425 = ld_byte_hitvec_hi_1[2] & _T_424; // @[lsu_bus_buffer.scala 147:97] + wire _T_428 = _T_425 & _T_435; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_414 = ld_byte_hitvec_hi_1 & buf_age_younger_1; // @[lsu_bus_buffer.scala 147:122] + wire _T_415 = |_T_414; // @[lsu_bus_buffer.scala 147:144] + wire _T_416 = ~_T_415; // @[lsu_bus_buffer.scala 147:99] + wire _T_417 = ld_byte_hitvec_hi_1[1] & _T_416; // @[lsu_bus_buffer.scala 147:97] + wire _T_420 = _T_417 & _T_435; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_406 = ld_byte_hitvec_hi_1 & buf_age_younger_0; // @[lsu_bus_buffer.scala 147:122] + wire _T_407 = |_T_406; // @[lsu_bus_buffer.scala 147:144] + wire _T_408 = ~_T_407; // @[lsu_bus_buffer.scala 147:99] + wire _T_409 = ld_byte_hitvec_hi_1[0] & _T_408; // @[lsu_bus_buffer.scala 147:97] + wire _T_412 = _T_409 & _T_435; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] ld_byte_hitvecfn_hi_1 = {_T_436,_T_428,_T_420,_T_412}; // @[Cat.scala 29:58] + wire _T_74 = |ld_byte_hitvecfn_hi_1; // @[lsu_bus_buffer.scala 139:73] + wire _T_76 = _T_74 | ld_byte_ibuf_hit_hi[1]; // @[lsu_bus_buffer.scala 139:77] + wire _T_207 = ld_addr_hitvec_hi_3 & buf_byteen_3[2]; // @[lsu_bus_buffer.scala 142:95] + wire _T_209 = _T_207 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 142:114] + wire _T_203 = ld_addr_hitvec_hi_2 & buf_byteen_2[2]; // @[lsu_bus_buffer.scala 142:95] + wire _T_205 = _T_203 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 142:114] + wire _T_199 = ld_addr_hitvec_hi_1 & buf_byteen_1[2]; // @[lsu_bus_buffer.scala 142:95] + wire _T_201 = _T_199 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 142:114] + wire _T_195 = ld_addr_hitvec_hi_0 & buf_byteen_0[2]; // @[lsu_bus_buffer.scala 142:95] + wire _T_197 = _T_195 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 142:114] + wire [3:0] ld_byte_hitvec_hi_2 = {_T_209,_T_205,_T_201,_T_197}; // @[Cat.scala 29:58] + wire [3:0] _T_465 = ld_byte_hitvec_hi_2 & buf_age_younger_3; // @[lsu_bus_buffer.scala 147:122] + wire _T_466 = |_T_465; // @[lsu_bus_buffer.scala 147:144] + wire _T_467 = ~_T_466; // @[lsu_bus_buffer.scala 147:99] + wire _T_468 = ld_byte_hitvec_hi_2[3] & _T_467; // @[lsu_bus_buffer.scala 147:97] + wire _T_470 = ~ld_byte_ibuf_hit_hi[2]; // @[lsu_bus_buffer.scala 147:150] + wire _T_471 = _T_468 & _T_470; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_457 = ld_byte_hitvec_hi_2 & buf_age_younger_2; // @[lsu_bus_buffer.scala 147:122] + wire _T_458 = |_T_457; // @[lsu_bus_buffer.scala 147:144] + wire _T_459 = ~_T_458; // @[lsu_bus_buffer.scala 147:99] + wire _T_460 = ld_byte_hitvec_hi_2[2] & _T_459; // @[lsu_bus_buffer.scala 147:97] + wire _T_463 = _T_460 & _T_470; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_449 = ld_byte_hitvec_hi_2 & buf_age_younger_1; // @[lsu_bus_buffer.scala 147:122] + wire _T_450 = |_T_449; // @[lsu_bus_buffer.scala 147:144] + wire _T_451 = ~_T_450; // @[lsu_bus_buffer.scala 147:99] + wire _T_452 = ld_byte_hitvec_hi_2[1] & _T_451; // @[lsu_bus_buffer.scala 147:97] + wire _T_455 = _T_452 & _T_470; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_441 = ld_byte_hitvec_hi_2 & buf_age_younger_0; // @[lsu_bus_buffer.scala 147:122] + wire _T_442 = |_T_441; // @[lsu_bus_buffer.scala 147:144] + wire _T_443 = ~_T_442; // @[lsu_bus_buffer.scala 147:99] + wire _T_444 = ld_byte_hitvec_hi_2[0] & _T_443; // @[lsu_bus_buffer.scala 147:97] + wire _T_447 = _T_444 & _T_470; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] ld_byte_hitvecfn_hi_2 = {_T_471,_T_463,_T_455,_T_447}; // @[Cat.scala 29:58] + wire _T_77 = |ld_byte_hitvecfn_hi_2; // @[lsu_bus_buffer.scala 139:73] + wire _T_79 = _T_77 | ld_byte_ibuf_hit_hi[2]; // @[lsu_bus_buffer.scala 139:77] + wire _T_225 = ld_addr_hitvec_hi_3 & buf_byteen_3[3]; // @[lsu_bus_buffer.scala 142:95] + wire _T_227 = _T_225 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 142:114] + wire _T_221 = ld_addr_hitvec_hi_2 & buf_byteen_2[3]; // @[lsu_bus_buffer.scala 142:95] + wire _T_223 = _T_221 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 142:114] + wire _T_217 = ld_addr_hitvec_hi_1 & buf_byteen_1[3]; // @[lsu_bus_buffer.scala 142:95] + wire _T_219 = _T_217 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 142:114] + wire _T_213 = ld_addr_hitvec_hi_0 & buf_byteen_0[3]; // @[lsu_bus_buffer.scala 142:95] + wire _T_215 = _T_213 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 142:114] + wire [3:0] ld_byte_hitvec_hi_3 = {_T_227,_T_223,_T_219,_T_215}; // @[Cat.scala 29:58] + wire [3:0] _T_500 = ld_byte_hitvec_hi_3 & buf_age_younger_3; // @[lsu_bus_buffer.scala 147:122] + wire _T_501 = |_T_500; // @[lsu_bus_buffer.scala 147:144] + wire _T_502 = ~_T_501; // @[lsu_bus_buffer.scala 147:99] + wire _T_503 = ld_byte_hitvec_hi_3[3] & _T_502; // @[lsu_bus_buffer.scala 147:97] + wire _T_505 = ~ld_byte_ibuf_hit_hi[3]; // @[lsu_bus_buffer.scala 147:150] + wire _T_506 = _T_503 & _T_505; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_492 = ld_byte_hitvec_hi_3 & buf_age_younger_2; // @[lsu_bus_buffer.scala 147:122] + wire _T_493 = |_T_492; // @[lsu_bus_buffer.scala 147:144] + wire _T_494 = ~_T_493; // @[lsu_bus_buffer.scala 147:99] + wire _T_495 = ld_byte_hitvec_hi_3[2] & _T_494; // @[lsu_bus_buffer.scala 147:97] + wire _T_498 = _T_495 & _T_505; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_484 = ld_byte_hitvec_hi_3 & buf_age_younger_1; // @[lsu_bus_buffer.scala 147:122] + wire _T_485 = |_T_484; // @[lsu_bus_buffer.scala 147:144] + wire _T_486 = ~_T_485; // @[lsu_bus_buffer.scala 147:99] + wire _T_487 = ld_byte_hitvec_hi_3[1] & _T_486; // @[lsu_bus_buffer.scala 147:97] + wire _T_490 = _T_487 & _T_505; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_476 = ld_byte_hitvec_hi_3 & buf_age_younger_0; // @[lsu_bus_buffer.scala 147:122] + wire _T_477 = |_T_476; // @[lsu_bus_buffer.scala 147:144] + wire _T_478 = ~_T_477; // @[lsu_bus_buffer.scala 147:99] + wire _T_479 = ld_byte_hitvec_hi_3[0] & _T_478; // @[lsu_bus_buffer.scala 147:97] + wire _T_482 = _T_479 & _T_505; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] ld_byte_hitvecfn_hi_3 = {_T_506,_T_498,_T_490,_T_482}; // @[Cat.scala 29:58] + wire _T_80 = |ld_byte_hitvecfn_hi_3; // @[lsu_bus_buffer.scala 139:73] + wire _T_82 = _T_80 | ld_byte_ibuf_hit_hi[3]; // @[lsu_bus_buffer.scala 139:77] + wire [2:0] _T_84 = {_T_82,_T_79,_T_76}; // @[Cat.scala 29:58] + wire [7:0] _T_530 = ld_byte_ibuf_hit_lo[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_533 = ld_byte_ibuf_hit_lo[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_536 = ld_byte_ibuf_hit_lo[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_539 = ld_byte_ibuf_hit_lo[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [31:0] ld_fwddata_buf_lo_initial = {_T_539,_T_536,_T_533,_T_530}; // @[Cat.scala 29:58] + wire [7:0] _T_544 = ld_byte_ibuf_hit_hi[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_547 = ld_byte_ibuf_hit_hi[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_550 = ld_byte_ibuf_hit_hi[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_553 = ld_byte_ibuf_hit_hi[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [31:0] ld_fwddata_buf_hi_initial = {_T_553,_T_550,_T_547,_T_544}; // @[Cat.scala 29:58] + wire [7:0] _T_558 = ld_byte_hitvecfn_lo_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + reg [31:0] buf_data_0; // @[lib.scala 374:16] + wire [7:0] _T_560 = _T_558 & buf_data_0[31:24]; // @[lsu_bus_buffer.scala 165:91] + wire [7:0] _T_563 = ld_byte_hitvecfn_lo_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + reg [31:0] buf_data_1; // @[lib.scala 374:16] + wire [7:0] _T_565 = _T_563 & buf_data_1[31:24]; // @[lsu_bus_buffer.scala 165:91] + wire [7:0] _T_568 = ld_byte_hitvecfn_lo_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + reg [31:0] buf_data_2; // @[lib.scala 374:16] + wire [7:0] _T_570 = _T_568 & buf_data_2[31:24]; // @[lsu_bus_buffer.scala 165:91] + wire [7:0] _T_573 = ld_byte_hitvecfn_lo_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + reg [31:0] buf_data_3; // @[lib.scala 374:16] + wire [7:0] _T_575 = _T_573 & buf_data_3[31:24]; // @[lsu_bus_buffer.scala 165:91] + wire [7:0] _T_576 = _T_560 | _T_565; // @[lsu_bus_buffer.scala 165:123] + wire [7:0] _T_577 = _T_576 | _T_570; // @[lsu_bus_buffer.scala 165:123] + wire [7:0] _T_578 = _T_577 | _T_575; // @[lsu_bus_buffer.scala 165:123] + wire [7:0] _T_581 = ld_byte_hitvecfn_lo_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_583 = _T_581 & buf_data_0[23:16]; // @[lsu_bus_buffer.scala 166:65] + wire [7:0] _T_586 = ld_byte_hitvecfn_lo_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_588 = _T_586 & buf_data_1[23:16]; // @[lsu_bus_buffer.scala 166:65] + wire [7:0] _T_591 = ld_byte_hitvecfn_lo_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_593 = _T_591 & buf_data_2[23:16]; // @[lsu_bus_buffer.scala 166:65] + wire [7:0] _T_596 = ld_byte_hitvecfn_lo_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_598 = _T_596 & buf_data_3[23:16]; // @[lsu_bus_buffer.scala 166:65] + wire [7:0] _T_599 = _T_583 | _T_588; // @[lsu_bus_buffer.scala 166:97] + wire [7:0] _T_600 = _T_599 | _T_593; // @[lsu_bus_buffer.scala 166:97] + wire [7:0] _T_601 = _T_600 | _T_598; // @[lsu_bus_buffer.scala 166:97] + wire [7:0] _T_604 = ld_byte_hitvecfn_lo_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_606 = _T_604 & buf_data_0[15:8]; // @[lsu_bus_buffer.scala 167:65] + wire [7:0] _T_609 = ld_byte_hitvecfn_lo_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_611 = _T_609 & buf_data_1[15:8]; // @[lsu_bus_buffer.scala 167:65] + wire [7:0] _T_614 = ld_byte_hitvecfn_lo_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_616 = _T_614 & buf_data_2[15:8]; // @[lsu_bus_buffer.scala 167:65] + wire [7:0] _T_619 = ld_byte_hitvecfn_lo_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_621 = _T_619 & buf_data_3[15:8]; // @[lsu_bus_buffer.scala 167:65] + wire [7:0] _T_622 = _T_606 | _T_611; // @[lsu_bus_buffer.scala 167:97] + wire [7:0] _T_623 = _T_622 | _T_616; // @[lsu_bus_buffer.scala 167:97] + wire [7:0] _T_624 = _T_623 | _T_621; // @[lsu_bus_buffer.scala 167:97] + wire [7:0] _T_627 = ld_byte_hitvecfn_lo_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_629 = _T_627 & buf_data_0[7:0]; // @[lsu_bus_buffer.scala 168:65] + wire [7:0] _T_632 = ld_byte_hitvecfn_lo_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_634 = _T_632 & buf_data_1[7:0]; // @[lsu_bus_buffer.scala 168:65] + wire [7:0] _T_637 = ld_byte_hitvecfn_lo_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_639 = _T_637 & buf_data_2[7:0]; // @[lsu_bus_buffer.scala 168:65] + wire [7:0] _T_642 = ld_byte_hitvecfn_lo_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_644 = _T_642 & buf_data_3[7:0]; // @[lsu_bus_buffer.scala 168:65] + wire [7:0] _T_645 = _T_629 | _T_634; // @[lsu_bus_buffer.scala 168:97] + wire [7:0] _T_646 = _T_645 | _T_639; // @[lsu_bus_buffer.scala 168:97] + wire [7:0] _T_647 = _T_646 | _T_644; // @[lsu_bus_buffer.scala 168:97] + wire [31:0] _T_650 = {_T_578,_T_601,_T_624,_T_647}; // @[Cat.scala 29:58] + reg [31:0] ibuf_data; // @[lib.scala 374:16] + wire [31:0] _T_651 = ld_fwddata_buf_lo_initial & ibuf_data; // @[lsu_bus_buffer.scala 169:32] + wire [7:0] _T_655 = ld_byte_hitvecfn_hi_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_657 = _T_655 & buf_data_0[31:24]; // @[lsu_bus_buffer.scala 171:91] + wire [7:0] _T_660 = ld_byte_hitvecfn_hi_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_662 = _T_660 & buf_data_1[31:24]; // @[lsu_bus_buffer.scala 171:91] + wire [7:0] _T_665 = ld_byte_hitvecfn_hi_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_667 = _T_665 & buf_data_2[31:24]; // @[lsu_bus_buffer.scala 171:91] + wire [7:0] _T_670 = ld_byte_hitvecfn_hi_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_672 = _T_670 & buf_data_3[31:24]; // @[lsu_bus_buffer.scala 171:91] + wire [7:0] _T_673 = _T_657 | _T_662; // @[lsu_bus_buffer.scala 171:123] + wire [7:0] _T_674 = _T_673 | _T_667; // @[lsu_bus_buffer.scala 171:123] + wire [7:0] _T_675 = _T_674 | _T_672; // @[lsu_bus_buffer.scala 171:123] + wire [7:0] _T_678 = ld_byte_hitvecfn_hi_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_680 = _T_678 & buf_data_0[23:16]; // @[lsu_bus_buffer.scala 172:65] + wire [7:0] _T_683 = ld_byte_hitvecfn_hi_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_685 = _T_683 & buf_data_1[23:16]; // @[lsu_bus_buffer.scala 172:65] + wire [7:0] _T_688 = ld_byte_hitvecfn_hi_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_690 = _T_688 & buf_data_2[23:16]; // @[lsu_bus_buffer.scala 172:65] + wire [7:0] _T_693 = ld_byte_hitvecfn_hi_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_695 = _T_693 & buf_data_3[23:16]; // @[lsu_bus_buffer.scala 172:65] + wire [7:0] _T_696 = _T_680 | _T_685; // @[lsu_bus_buffer.scala 172:97] + wire [7:0] _T_697 = _T_696 | _T_690; // @[lsu_bus_buffer.scala 172:97] + wire [7:0] _T_698 = _T_697 | _T_695; // @[lsu_bus_buffer.scala 172:97] + wire [7:0] _T_701 = ld_byte_hitvecfn_hi_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_703 = _T_701 & buf_data_0[15:8]; // @[lsu_bus_buffer.scala 173:65] + wire [7:0] _T_706 = ld_byte_hitvecfn_hi_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_708 = _T_706 & buf_data_1[15:8]; // @[lsu_bus_buffer.scala 173:65] + wire [7:0] _T_711 = ld_byte_hitvecfn_hi_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_713 = _T_711 & buf_data_2[15:8]; // @[lsu_bus_buffer.scala 173:65] + wire [7:0] _T_716 = ld_byte_hitvecfn_hi_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_718 = _T_716 & buf_data_3[15:8]; // @[lsu_bus_buffer.scala 173:65] + wire [7:0] _T_719 = _T_703 | _T_708; // @[lsu_bus_buffer.scala 173:97] + wire [7:0] _T_720 = _T_719 | _T_713; // @[lsu_bus_buffer.scala 173:97] + wire [7:0] _T_721 = _T_720 | _T_718; // @[lsu_bus_buffer.scala 173:97] + wire [7:0] _T_724 = ld_byte_hitvecfn_hi_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_726 = _T_724 & buf_data_0[7:0]; // @[lsu_bus_buffer.scala 174:65] + wire [7:0] _T_729 = ld_byte_hitvecfn_hi_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_731 = _T_729 & buf_data_1[7:0]; // @[lsu_bus_buffer.scala 174:65] + wire [7:0] _T_734 = ld_byte_hitvecfn_hi_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_736 = _T_734 & buf_data_2[7:0]; // @[lsu_bus_buffer.scala 174:65] + wire [7:0] _T_739 = ld_byte_hitvecfn_hi_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_741 = _T_739 & buf_data_3[7:0]; // @[lsu_bus_buffer.scala 174:65] + wire [7:0] _T_742 = _T_726 | _T_731; // @[lsu_bus_buffer.scala 174:97] + wire [7:0] _T_743 = _T_742 | _T_736; // @[lsu_bus_buffer.scala 174:97] + wire [7:0] _T_744 = _T_743 | _T_741; // @[lsu_bus_buffer.scala 174:97] + wire [31:0] _T_747 = {_T_675,_T_698,_T_721,_T_744}; // @[Cat.scala 29:58] + wire [31:0] _T_748 = ld_fwddata_buf_hi_initial & ibuf_data; // @[lsu_bus_buffer.scala 175:32] + wire [3:0] _T_750 = io_lsu_pkt_r_bits_by ? 4'h1 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_751 = io_lsu_pkt_r_bits_half ? 4'h3 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_752 = io_lsu_pkt_r_bits_word ? 4'hf : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_753 = _T_750 | _T_751; // @[Mux.scala 27:72] + wire [3:0] ldst_byteen_r = _T_753 | _T_752; // @[Mux.scala 27:72] + wire _T_756 = io_lsu_addr_r[1:0] == 2'h0; // @[lsu_bus_buffer.scala 182:55] + wire _T_758 = io_lsu_addr_r[1:0] == 2'h1; // @[lsu_bus_buffer.scala 183:24] + wire [3:0] _T_760 = {3'h0,ldst_byteen_r[3]}; // @[Cat.scala 29:58] + wire _T_762 = io_lsu_addr_r[1:0] == 2'h2; // @[lsu_bus_buffer.scala 184:24] + wire [3:0] _T_764 = {2'h0,ldst_byteen_r[3:2]}; // @[Cat.scala 29:58] + wire _T_766 = io_lsu_addr_r[1:0] == 2'h3; // @[lsu_bus_buffer.scala 185:24] + wire [3:0] _T_768 = {1'h0,ldst_byteen_r[3:1]}; // @[Cat.scala 29:58] + wire [3:0] _T_770 = _T_758 ? _T_760 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_771 = _T_762 ? _T_764 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_772 = _T_766 ? _T_768 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_774 = _T_770 | _T_771; // @[Mux.scala 27:72] + wire [3:0] ldst_byteen_hi_r = _T_774 | _T_772; // @[Mux.scala 27:72] + wire [3:0] _T_781 = {ldst_byteen_r[2:0],1'h0}; // @[Cat.scala 29:58] + wire [3:0] _T_785 = {ldst_byteen_r[1:0],2'h0}; // @[Cat.scala 29:58] + wire [3:0] _T_789 = {ldst_byteen_r[0],3'h0}; // @[Cat.scala 29:58] + wire [3:0] _T_790 = _T_756 ? ldst_byteen_r : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_791 = _T_758 ? _T_781 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_792 = _T_762 ? _T_785 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_793 = _T_766 ? _T_789 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_794 = _T_790 | _T_791; // @[Mux.scala 27:72] + wire [3:0] _T_795 = _T_794 | _T_792; // @[Mux.scala 27:72] + wire [3:0] ldst_byteen_lo_r = _T_795 | _T_793; // @[Mux.scala 27:72] + wire [31:0] _T_802 = {24'h0,io_store_data_r[31:24]}; // @[Cat.scala 29:58] + wire [31:0] _T_806 = {16'h0,io_store_data_r[31:16]}; // @[Cat.scala 29:58] + wire [31:0] _T_810 = {8'h0,io_store_data_r[31:8]}; // @[Cat.scala 29:58] + wire [31:0] _T_812 = _T_758 ? _T_802 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_813 = _T_762 ? _T_806 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_814 = _T_766 ? _T_810 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_816 = _T_812 | _T_813; // @[Mux.scala 27:72] + wire [31:0] store_data_hi_r = _T_816 | _T_814; // @[Mux.scala 27:72] + wire [31:0] _T_823 = {io_store_data_r[23:0],8'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_827 = {io_store_data_r[15:0],16'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_831 = {io_store_data_r[7:0],24'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_832 = _T_756 ? io_store_data_r : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_833 = _T_758 ? _T_823 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_834 = _T_762 ? _T_827 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_835 = _T_766 ? _T_831 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_836 = _T_832 | _T_833; // @[Mux.scala 27:72] + wire [31:0] _T_837 = _T_836 | _T_834; // @[Mux.scala 27:72] + wire [31:0] store_data_lo_r = _T_837 | _T_835; // @[Mux.scala 27:72] + wire ldst_samedw_r = io_lsu_addr_r[3] == io_end_addr_r[3]; // @[lsu_bus_buffer.scala 202:40] + wire _T_844 = ~io_lsu_addr_r[0]; // @[lsu_bus_buffer.scala 204:31] + wire _T_845 = io_lsu_pkt_r_bits_word & _T_756; // @[Mux.scala 27:72] + wire _T_846 = io_lsu_pkt_r_bits_half & _T_844; // @[Mux.scala 27:72] + wire _T_848 = _T_845 | _T_846; // @[Mux.scala 27:72] + wire is_aligned_r = _T_848 | io_lsu_pkt_r_bits_by; // @[Mux.scala 27:72] + wire _T_850 = io_lsu_pkt_r_bits_load | io_no_word_merge_r; // @[lsu_bus_buffer.scala 206:60] + wire _T_851 = io_lsu_busreq_r & _T_850; // @[lsu_bus_buffer.scala 206:34] + wire _T_852 = ~ibuf_valid; // @[lsu_bus_buffer.scala 206:84] + wire ibuf_byp = _T_851 & _T_852; // @[lsu_bus_buffer.scala 206:82] + wire _T_853 = io_lsu_busreq_r & io_lsu_commit_r; // @[lsu_bus_buffer.scala 207:36] + wire _T_854 = ~ibuf_byp; // @[lsu_bus_buffer.scala 207:56] + wire ibuf_wr_en = _T_853 & _T_854; // @[lsu_bus_buffer.scala 207:54] + wire _T_855 = ~ibuf_wr_en; // @[lsu_bus_buffer.scala 209:36] + reg [2:0] ibuf_timer; // @[lsu_bus_buffer.scala 252:55] + wire _T_864 = ibuf_timer == 3'h7; // @[lsu_bus_buffer.scala 215:62] + wire _T_865 = ibuf_wr_en | _T_864; // @[lsu_bus_buffer.scala 215:48] + wire _T_929 = _T_853 & io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 234:54] + wire _T_930 = _T_929 & ibuf_valid; // @[lsu_bus_buffer.scala 234:80] + wire _T_931 = _T_930 & ibuf_write; // @[lsu_bus_buffer.scala 234:93] + wire _T_934 = io_lsu_addr_r[31:2] == ibuf_addr[31:2]; // @[lsu_bus_buffer.scala 234:129] + wire _T_935 = _T_931 & _T_934; // @[lsu_bus_buffer.scala 234:106] + wire _T_936 = ~io_is_sideeffects_r; // @[lsu_bus_buffer.scala 234:152] + wire _T_937 = _T_935 & _T_936; // @[lsu_bus_buffer.scala 234:150] + wire _T_938 = ~io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_buffer.scala 234:175] + wire ibuf_merge_en = _T_937 & _T_938; // @[lsu_bus_buffer.scala 234:173] + wire ibuf_merge_in = ~io_ldst_dual_r; // @[lsu_bus_buffer.scala 235:20] + wire _T_866 = ibuf_merge_en & ibuf_merge_in; // @[lsu_bus_buffer.scala 215:98] + wire _T_867 = ~_T_866; // @[lsu_bus_buffer.scala 215:82] + wire _T_868 = _T_865 & _T_867; // @[lsu_bus_buffer.scala 215:80] + wire _T_869 = _T_868 | ibuf_byp; // @[lsu_bus_buffer.scala 216:5] + wire _T_857 = ~io_lsu_busreq_r; // @[lsu_bus_buffer.scala 210:44] + wire _T_858 = io_lsu_busreq_m & _T_857; // @[lsu_bus_buffer.scala 210:42] + wire _T_859 = _T_858 & ibuf_valid; // @[lsu_bus_buffer.scala 210:61] + wire _T_862 = ibuf_addr[31:2] != io_lsu_addr_m[31:2]; // @[lsu_bus_buffer.scala 210:120] + wire _T_863 = io_lsu_pkt_m_bits_load | _T_862; // @[lsu_bus_buffer.scala 210:100] + wire ibuf_force_drain = _T_859 & _T_863; // @[lsu_bus_buffer.scala 210:74] + wire _T_870 = _T_869 | ibuf_force_drain; // @[lsu_bus_buffer.scala 216:16] + reg ibuf_sideeffect; // @[Reg.scala 27:20] + wire _T_871 = _T_870 | ibuf_sideeffect; // @[lsu_bus_buffer.scala 216:35] + wire _T_872 = ~ibuf_write; // @[lsu_bus_buffer.scala 216:55] + wire _T_873 = _T_871 | _T_872; // @[lsu_bus_buffer.scala 216:53] + wire _T_874 = _T_873 | io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_buffer.scala 216:67] + wire ibuf_drain_vld = ibuf_valid & _T_874; // @[lsu_bus_buffer.scala 215:32] + wire _T_856 = ibuf_drain_vld & _T_855; // @[lsu_bus_buffer.scala 209:34] + wire ibuf_rst = _T_856 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 209:49] + reg [1:0] WrPtr1_r; // @[lsu_bus_buffer.scala 615:49] + reg [1:0] WrPtr0_r; // @[lsu_bus_buffer.scala 614:49] + reg [1:0] ibuf_tag; // @[Reg.scala 27:20] + wire [1:0] ibuf_sz_in = {io_lsu_pkt_r_bits_word,io_lsu_pkt_r_bits_half}; // @[Cat.scala 29:58] + wire [3:0] _T_881 = ibuf_byteen | ldst_byteen_lo_r; // @[lsu_bus_buffer.scala 225:77] + wire [7:0] _T_889 = ldst_byteen_lo_r[0] ? store_data_lo_r[7:0] : ibuf_data[7:0]; // @[lsu_bus_buffer.scala 230:8] + wire [7:0] _T_892 = io_ldst_dual_r ? store_data_hi_r[7:0] : store_data_lo_r[7:0]; // @[lsu_bus_buffer.scala 231:8] + wire [7:0] _T_893 = _T_866 ? _T_889 : _T_892; // @[lsu_bus_buffer.scala 229:46] + wire [7:0] _T_898 = ldst_byteen_lo_r[1] ? store_data_lo_r[15:8] : ibuf_data[15:8]; // @[lsu_bus_buffer.scala 230:8] + wire [7:0] _T_901 = io_ldst_dual_r ? store_data_hi_r[15:8] : store_data_lo_r[15:8]; // @[lsu_bus_buffer.scala 231:8] + wire [7:0] _T_902 = _T_866 ? _T_898 : _T_901; // @[lsu_bus_buffer.scala 229:46] + wire [7:0] _T_907 = ldst_byteen_lo_r[2] ? store_data_lo_r[23:16] : ibuf_data[23:16]; // @[lsu_bus_buffer.scala 230:8] + wire [7:0] _T_910 = io_ldst_dual_r ? store_data_hi_r[23:16] : store_data_lo_r[23:16]; // @[lsu_bus_buffer.scala 231:8] + wire [7:0] _T_911 = _T_866 ? _T_907 : _T_910; // @[lsu_bus_buffer.scala 229:46] + wire [7:0] _T_916 = ldst_byteen_lo_r[3] ? store_data_lo_r[31:24] : ibuf_data[31:24]; // @[lsu_bus_buffer.scala 230:8] + wire [7:0] _T_919 = io_ldst_dual_r ? store_data_hi_r[31:24] : store_data_lo_r[31:24]; // @[lsu_bus_buffer.scala 231:8] + wire [7:0] _T_920 = _T_866 ? _T_916 : _T_919; // @[lsu_bus_buffer.scala 229:46] + wire [23:0] _T_922 = {_T_920,_T_911,_T_902}; // @[Cat.scala 29:58] + wire _T_923 = ibuf_timer < 3'h7; // @[lsu_bus_buffer.scala 232:59] + wire [2:0] _T_926 = ibuf_timer + 3'h1; // @[lsu_bus_buffer.scala 232:93] + wire _T_941 = ~ibuf_merge_in; // @[lsu_bus_buffer.scala 236:65] + wire _T_942 = ibuf_merge_en & _T_941; // @[lsu_bus_buffer.scala 236:63] + wire _T_945 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[lsu_bus_buffer.scala 236:96] + wire _T_947 = _T_942 ? _T_945 : ibuf_byteen[0]; // @[lsu_bus_buffer.scala 236:48] + wire _T_952 = ibuf_byteen[1] | ldst_byteen_lo_r[1]; // @[lsu_bus_buffer.scala 236:96] + wire _T_954 = _T_942 ? _T_952 : ibuf_byteen[1]; // @[lsu_bus_buffer.scala 236:48] + wire _T_959 = ibuf_byteen[2] | ldst_byteen_lo_r[2]; // @[lsu_bus_buffer.scala 236:96] + wire _T_961 = _T_942 ? _T_959 : ibuf_byteen[2]; // @[lsu_bus_buffer.scala 236:48] + wire _T_966 = ibuf_byteen[3] | ldst_byteen_lo_r[3]; // @[lsu_bus_buffer.scala 236:96] + wire _T_968 = _T_942 ? _T_966 : ibuf_byteen[3]; // @[lsu_bus_buffer.scala 236:48] + wire [3:0] ibuf_byteen_out = {_T_968,_T_961,_T_954,_T_947}; // @[Cat.scala 29:58] + wire [7:0] _T_978 = _T_942 ? _T_889 : ibuf_data[7:0]; // @[lsu_bus_buffer.scala 237:45] + wire [7:0] _T_986 = _T_942 ? _T_898 : ibuf_data[15:8]; // @[lsu_bus_buffer.scala 237:45] + wire [7:0] _T_994 = _T_942 ? _T_907 : ibuf_data[23:16]; // @[lsu_bus_buffer.scala 237:45] + wire [7:0] _T_1002 = _T_942 ? _T_916 : ibuf_data[31:24]; // @[lsu_bus_buffer.scala 237:45] + wire [31:0] ibuf_data_out = {_T_1002,_T_994,_T_986,_T_978}; // @[Cat.scala 29:58] + wire _T_1005 = ibuf_wr_en | ibuf_valid; // @[lsu_bus_buffer.scala 239:58] + wire _T_1006 = ~ibuf_rst; // @[lsu_bus_buffer.scala 239:93] + reg [1:0] ibuf_dualtag; // @[Reg.scala 27:20] + reg ibuf_dual; // @[Reg.scala 27:20] + reg ibuf_samedw; // @[Reg.scala 27:20] + reg ibuf_nomerge; // @[Reg.scala 27:20] + reg ibuf_unsign; // @[Reg.scala 27:20] + reg [1:0] ibuf_sz; // @[Reg.scala 27:20] + wire _T_4446 = buf_write[3] & _T_2621; // @[lsu_bus_buffer.scala 521:64] + wire _T_4447 = ~buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 521:91] + wire _T_4448 = _T_4446 & _T_4447; // @[lsu_bus_buffer.scala 521:89] + wire _T_4441 = buf_write[2] & _T_2616; // @[lsu_bus_buffer.scala 521:64] + wire _T_4442 = ~buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 521:91] + wire _T_4443 = _T_4441 & _T_4442; // @[lsu_bus_buffer.scala 521:89] + wire [1:0] _T_4449 = _T_4448 + _T_4443; // @[lsu_bus_buffer.scala 521:142] + wire _T_4436 = buf_write[1] & _T_2611; // @[lsu_bus_buffer.scala 521:64] + wire _T_4437 = ~buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 521:91] + wire _T_4438 = _T_4436 & _T_4437; // @[lsu_bus_buffer.scala 521:89] + wire [1:0] _GEN_362 = {{1'd0}, _T_4438}; // @[lsu_bus_buffer.scala 521:142] + wire [2:0] _T_4450 = _T_4449 + _GEN_362; // @[lsu_bus_buffer.scala 521:142] + wire _T_4431 = buf_write[0] & _T_2606; // @[lsu_bus_buffer.scala 521:64] + wire _T_4432 = ~buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 521:91] + wire _T_4433 = _T_4431 & _T_4432; // @[lsu_bus_buffer.scala 521:89] + wire [2:0] _GEN_363 = {{2'd0}, _T_4433}; // @[lsu_bus_buffer.scala 521:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4450 + _GEN_363; // @[lsu_bus_buffer.scala 521:142] + wire _T_1016 = buf_numvld_wrcmd_any == 4'h1; // @[lsu_bus_buffer.scala 262:43] + wire _T_4463 = _T_2621 & _T_4447; // @[lsu_bus_buffer.scala 522:73] + wire _T_4460 = _T_2616 & _T_4442; // @[lsu_bus_buffer.scala 522:73] + wire [1:0] _T_4464 = _T_4463 + _T_4460; // @[lsu_bus_buffer.scala 522:126] + wire _T_4457 = _T_2611 & _T_4437; // @[lsu_bus_buffer.scala 522:73] + wire [1:0] _GEN_364 = {{1'd0}, _T_4457}; // @[lsu_bus_buffer.scala 522:126] + wire [2:0] _T_4465 = _T_4464 + _GEN_364; // @[lsu_bus_buffer.scala 522:126] + wire _T_4454 = _T_2606 & _T_4432; // @[lsu_bus_buffer.scala 522:73] + wire [2:0] _GEN_365 = {{2'd0}, _T_4454}; // @[lsu_bus_buffer.scala 522:126] + wire [3:0] buf_numvld_cmd_any = _T_4465 + _GEN_365; // @[lsu_bus_buffer.scala 522:126] + wire _T_1017 = buf_numvld_cmd_any == 4'h1; // @[lsu_bus_buffer.scala 262:72] + wire _T_1018 = _T_1016 & _T_1017; // @[lsu_bus_buffer.scala 262:51] + reg [2:0] obuf_wr_timer; // @[lsu_bus_buffer.scala 360:54] + wire _T_1019 = obuf_wr_timer != 3'h7; // @[lsu_bus_buffer.scala 262:97] + wire _T_1020 = _T_1018 & _T_1019; // @[lsu_bus_buffer.scala 262:80] + wire _T_1022 = _T_1020 & _T_938; // @[lsu_bus_buffer.scala 262:114] + wire _T_1979 = |buf_age_3; // @[lsu_bus_buffer.scala 377:58] + wire _T_1980 = ~_T_1979; // @[lsu_bus_buffer.scala 377:45] + wire _T_1982 = _T_1980 & _T_2621; // @[lsu_bus_buffer.scala 377:63] + wire _T_1984 = _T_1982 & _T_4447; // @[lsu_bus_buffer.scala 377:88] + wire _T_1973 = |buf_age_2; // @[lsu_bus_buffer.scala 377:58] + wire _T_1974 = ~_T_1973; // @[lsu_bus_buffer.scala 377:45] + wire _T_1976 = _T_1974 & _T_2616; // @[lsu_bus_buffer.scala 377:63] + wire _T_1978 = _T_1976 & _T_4442; // @[lsu_bus_buffer.scala 377:88] + wire _T_1967 = |buf_age_1; // @[lsu_bus_buffer.scala 377:58] + wire _T_1968 = ~_T_1967; // @[lsu_bus_buffer.scala 377:45] + wire _T_1970 = _T_1968 & _T_2611; // @[lsu_bus_buffer.scala 377:63] + wire _T_1972 = _T_1970 & _T_4437; // @[lsu_bus_buffer.scala 377:88] + wire _T_1961 = |buf_age_0; // @[lsu_bus_buffer.scala 377:58] + wire _T_1962 = ~_T_1961; // @[lsu_bus_buffer.scala 377:45] + wire _T_1964 = _T_1962 & _T_2606; // @[lsu_bus_buffer.scala 377:63] + wire _T_1966 = _T_1964 & _T_4432; // @[lsu_bus_buffer.scala 377:88] + wire [3:0] CmdPtr0Dec = {_T_1984,_T_1978,_T_1972,_T_1966}; // @[Cat.scala 29:58] + wire [7:0] _T_2054 = {4'h0,_T_1984,_T_1978,_T_1972,_T_1966}; // @[Cat.scala 29:58] + wire _T_2057 = _T_2054[4] | _T_2054[5]; // @[lsu_bus_buffer.scala 385:42] + wire _T_2059 = _T_2057 | _T_2054[6]; // @[lsu_bus_buffer.scala 385:48] + wire _T_2061 = _T_2059 | _T_2054[7]; // @[lsu_bus_buffer.scala 385:54] + wire _T_2064 = _T_2054[2] | _T_2054[3]; // @[lsu_bus_buffer.scala 385:67] + wire _T_2066 = _T_2064 | _T_2054[6]; // @[lsu_bus_buffer.scala 385:73] + wire _T_2068 = _T_2066 | _T_2054[7]; // @[lsu_bus_buffer.scala 385:79] + wire _T_2071 = _T_2054[1] | _T_2054[3]; // @[lsu_bus_buffer.scala 385:92] + wire _T_2073 = _T_2071 | _T_2054[5]; // @[lsu_bus_buffer.scala 385:98] + wire _T_2075 = _T_2073 | _T_2054[7]; // @[lsu_bus_buffer.scala 385:104] + wire [2:0] _T_2077 = {_T_2061,_T_2068,_T_2075}; // @[Cat.scala 29:58] + wire [1:0] CmdPtr0 = _T_2077[1:0]; // @[lsu_bus_buffer.scala 390:11] + wire _T_1023 = CmdPtr0 == 2'h0; // @[lsu_bus_buffer.scala 263:114] + wire _T_1024 = CmdPtr0 == 2'h1; // @[lsu_bus_buffer.scala 263:114] + wire _T_1025 = CmdPtr0 == 2'h2; // @[lsu_bus_buffer.scala 263:114] + wire _T_1026 = CmdPtr0 == 2'h3; // @[lsu_bus_buffer.scala 263:114] + reg buf_nomerge_0; // @[Reg.scala 27:20] + wire _T_1027 = _T_1023 & buf_nomerge_0; // @[Mux.scala 27:72] + reg buf_nomerge_1; // @[Reg.scala 27:20] + wire _T_1028 = _T_1024 & buf_nomerge_1; // @[Mux.scala 27:72] + reg buf_nomerge_2; // @[Reg.scala 27:20] + wire _T_1029 = _T_1025 & buf_nomerge_2; // @[Mux.scala 27:72] + reg buf_nomerge_3; // @[Reg.scala 27:20] + wire _T_1030 = _T_1026 & buf_nomerge_3; // @[Mux.scala 27:72] + wire _T_1031 = _T_1027 | _T_1028; // @[Mux.scala 27:72] + wire _T_1032 = _T_1031 | _T_1029; // @[Mux.scala 27:72] + wire _T_1033 = _T_1032 | _T_1030; // @[Mux.scala 27:72] + wire _T_1035 = ~_T_1033; // @[lsu_bus_buffer.scala 263:31] + wire _T_1036 = _T_1022 & _T_1035; // @[lsu_bus_buffer.scala 263:29] + reg _T_4330; // @[Reg.scala 27:20] + reg _T_4327; // @[Reg.scala 27:20] + reg _T_4324; // @[Reg.scala 27:20] + reg _T_4321; // @[Reg.scala 27:20] + wire [3:0] buf_sideeffect = {_T_4330,_T_4327,_T_4324,_T_4321}; // @[Cat.scala 29:58] + wire _T_1045 = _T_1023 & buf_sideeffect[0]; // @[Mux.scala 27:72] + wire _T_1046 = _T_1024 & buf_sideeffect[1]; // @[Mux.scala 27:72] + wire _T_1047 = _T_1025 & buf_sideeffect[2]; // @[Mux.scala 27:72] + wire _T_1048 = _T_1026 & buf_sideeffect[3]; // @[Mux.scala 27:72] + wire _T_1049 = _T_1045 | _T_1046; // @[Mux.scala 27:72] + wire _T_1050 = _T_1049 | _T_1047; // @[Mux.scala 27:72] + wire _T_1051 = _T_1050 | _T_1048; // @[Mux.scala 27:72] + wire _T_1053 = ~_T_1051; // @[lsu_bus_buffer.scala 264:5] + wire _T_1054 = _T_1036 & _T_1053; // @[lsu_bus_buffer.scala 263:140] + wire _T_1065 = _T_858 & _T_852; // @[lsu_bus_buffer.scala 266:58] + wire _T_1067 = _T_1065 & _T_1017; // @[lsu_bus_buffer.scala 266:72] + wire [29:0] _T_1077 = _T_1023 ? buf_addr_0[31:2] : 30'h0; // @[Mux.scala 27:72] + wire [29:0] _T_1078 = _T_1024 ? buf_addr_1[31:2] : 30'h0; // @[Mux.scala 27:72] + wire [29:0] _T_1081 = _T_1077 | _T_1078; // @[Mux.scala 27:72] + wire [29:0] _T_1079 = _T_1025 ? buf_addr_2[31:2] : 30'h0; // @[Mux.scala 27:72] + wire [29:0] _T_1082 = _T_1081 | _T_1079; // @[Mux.scala 27:72] + wire [29:0] _T_1080 = _T_1026 ? buf_addr_3[31:2] : 30'h0; // @[Mux.scala 27:72] + wire [29:0] _T_1083 = _T_1082 | _T_1080; // @[Mux.scala 27:72] + wire _T_1085 = io_lsu_addr_m[31:2] != _T_1083; // @[lsu_bus_buffer.scala 266:123] + wire obuf_force_wr_en = _T_1067 & _T_1085; // @[lsu_bus_buffer.scala 266:101] + wire _T_1055 = ~obuf_force_wr_en; // @[lsu_bus_buffer.scala 264:119] + wire obuf_wr_wait = _T_1054 & _T_1055; // @[lsu_bus_buffer.scala 264:117] + wire _T_1056 = |buf_numvld_cmd_any; // @[lsu_bus_buffer.scala 265:75] + wire _T_1057 = obuf_wr_timer < 3'h7; // @[lsu_bus_buffer.scala 265:95] + wire _T_1058 = _T_1056 & _T_1057; // @[lsu_bus_buffer.scala 265:79] + wire [2:0] _T_1060 = obuf_wr_timer + 3'h1; // @[lsu_bus_buffer.scala 265:123] + wire _T_4482 = buf_state_3 == 3'h1; // @[lsu_bus_buffer.scala 523:63] + wire _T_4486 = _T_4482 | _T_4463; // @[lsu_bus_buffer.scala 523:74] + wire _T_4477 = buf_state_2 == 3'h1; // @[lsu_bus_buffer.scala 523:63] + wire _T_4481 = _T_4477 | _T_4460; // @[lsu_bus_buffer.scala 523:74] + wire [1:0] _T_4487 = _T_4486 + _T_4481; // @[lsu_bus_buffer.scala 523:154] + wire _T_4472 = buf_state_1 == 3'h1; // @[lsu_bus_buffer.scala 523:63] + wire _T_4476 = _T_4472 | _T_4457; // @[lsu_bus_buffer.scala 523:74] + wire [1:0] _GEN_366 = {{1'd0}, _T_4476}; // @[lsu_bus_buffer.scala 523:154] + wire [2:0] _T_4488 = _T_4487 + _GEN_366; // @[lsu_bus_buffer.scala 523:154] + wire _T_4467 = buf_state_0 == 3'h1; // @[lsu_bus_buffer.scala 523:63] + wire _T_4471 = _T_4467 | _T_4454; // @[lsu_bus_buffer.scala 523:74] + wire [2:0] _GEN_367 = {{2'd0}, _T_4471}; // @[lsu_bus_buffer.scala 523:154] + wire [3:0] buf_numvld_pend_any = _T_4488 + _GEN_367; // @[lsu_bus_buffer.scala 523:154] + wire _T_1087 = buf_numvld_pend_any == 4'h0; // @[lsu_bus_buffer.scala 268:53] + wire _T_1088 = ibuf_byp & _T_1087; // @[lsu_bus_buffer.scala 268:31] + wire _T_1089 = ~io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 268:64] + wire _T_1090 = _T_1089 | io_no_dword_merge_r; // @[lsu_bus_buffer.scala 268:89] + wire ibuf_buf_byp = _T_1088 & _T_1090; // @[lsu_bus_buffer.scala 268:61] + wire _T_1091 = ibuf_buf_byp & io_lsu_commit_r; // @[lsu_bus_buffer.scala 283:32] + wire _T_4778 = buf_state_0 == 3'h3; // @[lsu_bus_buffer.scala 551:62] + wire _T_4780 = _T_4778 & buf_sideeffect[0]; // @[lsu_bus_buffer.scala 551:73] + wire _T_4781 = _T_4780 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 551:93] + wire _T_4782 = buf_state_1 == 3'h3; // @[lsu_bus_buffer.scala 551:62] + wire _T_4784 = _T_4782 & buf_sideeffect[1]; // @[lsu_bus_buffer.scala 551:73] + wire _T_4785 = _T_4784 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 551:93] + wire _T_4794 = _T_4781 | _T_4785; // @[lsu_bus_buffer.scala 551:153] + wire _T_4786 = buf_state_2 == 3'h3; // @[lsu_bus_buffer.scala 551:62] + wire _T_4788 = _T_4786 & buf_sideeffect[2]; // @[lsu_bus_buffer.scala 551:73] + wire _T_4789 = _T_4788 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 551:93] + wire _T_4795 = _T_4794 | _T_4789; // @[lsu_bus_buffer.scala 551:153] + wire _T_4790 = buf_state_3 == 3'h3; // @[lsu_bus_buffer.scala 551:62] + wire _T_4792 = _T_4790 & buf_sideeffect[3]; // @[lsu_bus_buffer.scala 551:73] + wire _T_4793 = _T_4792 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 551:93] + wire _T_4796 = _T_4795 | _T_4793; // @[lsu_bus_buffer.scala 551:153] + reg obuf_sideeffect; // @[Reg.scala 27:20] + wire _T_4797 = obuf_valid & obuf_sideeffect; // @[lsu_bus_buffer.scala 551:171] + wire _T_4798 = _T_4797 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 551:189] + wire bus_sideeffect_pend = _T_4796 | _T_4798; // @[lsu_bus_buffer.scala 551:157] + wire _T_1092 = io_is_sideeffects_r & bus_sideeffect_pend; // @[lsu_bus_buffer.scala 283:74] + wire _T_1093 = ~_T_1092; // @[lsu_bus_buffer.scala 283:52] + wire _T_1094 = _T_1091 & _T_1093; // @[lsu_bus_buffer.scala 283:50] + wire [2:0] _T_1099 = _T_1023 ? buf_state_0 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1100 = _T_1024 ? buf_state_1 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1103 = _T_1099 | _T_1100; // @[Mux.scala 27:72] + wire [2:0] _T_1101 = _T_1025 ? buf_state_2 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1104 = _T_1103 | _T_1101; // @[Mux.scala 27:72] + wire [2:0] _T_1102 = _T_1026 ? buf_state_3 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1105 = _T_1104 | _T_1102; // @[Mux.scala 27:72] + wire _T_1107 = _T_1105 == 3'h2; // @[lsu_bus_buffer.scala 284:36] + wire found_cmdptr0 = |CmdPtr0Dec; // @[lsu_bus_buffer.scala 382:31] + wire _T_1108 = _T_1107 & found_cmdptr0; // @[lsu_bus_buffer.scala 284:47] + wire [3:0] _T_1111 = {buf_cmd_state_bus_en_3,buf_cmd_state_bus_en_2,buf_cmd_state_bus_en_1,buf_cmd_state_bus_en_0}; // @[Cat.scala 29:58] + wire _T_1120 = _T_1023 & _T_1111[0]; // @[Mux.scala 27:72] + wire _T_1121 = _T_1024 & _T_1111[1]; // @[Mux.scala 27:72] + wire _T_1124 = _T_1120 | _T_1121; // @[Mux.scala 27:72] + wire _T_1122 = _T_1025 & _T_1111[2]; // @[Mux.scala 27:72] + wire _T_1125 = _T_1124 | _T_1122; // @[Mux.scala 27:72] + wire _T_1123 = _T_1026 & _T_1111[3]; // @[Mux.scala 27:72] + wire _T_1126 = _T_1125 | _T_1123; // @[Mux.scala 27:72] + wire _T_1128 = ~_T_1126; // @[lsu_bus_buffer.scala 285:23] + wire _T_1129 = _T_1108 & _T_1128; // @[lsu_bus_buffer.scala 285:21] + wire _T_1146 = _T_1051 & bus_sideeffect_pend; // @[lsu_bus_buffer.scala 285:141] + wire _T_1147 = ~_T_1146; // @[lsu_bus_buffer.scala 285:105] + wire _T_1148 = _T_1129 & _T_1147; // @[lsu_bus_buffer.scala 285:103] + reg buf_dual_3; // @[Reg.scala 27:20] + reg buf_dual_2; // @[Reg.scala 27:20] + reg buf_dual_1; // @[Reg.scala 27:20] + reg buf_dual_0; // @[Reg.scala 27:20] + wire [3:0] _T_1151 = {buf_dual_3,buf_dual_2,buf_dual_1,buf_dual_0}; // @[Cat.scala 29:58] + wire _T_1160 = _T_1023 & _T_1151[0]; // @[Mux.scala 27:72] + wire _T_1161 = _T_1024 & _T_1151[1]; // @[Mux.scala 27:72] + wire _T_1164 = _T_1160 | _T_1161; // @[Mux.scala 27:72] + wire _T_1162 = _T_1025 & _T_1151[2]; // @[Mux.scala 27:72] + wire _T_1165 = _T_1164 | _T_1162; // @[Mux.scala 27:72] + wire _T_1163 = _T_1026 & _T_1151[3]; // @[Mux.scala 27:72] + wire _T_1166 = _T_1165 | _T_1163; // @[Mux.scala 27:72] + reg buf_samedw_3; // @[Reg.scala 27:20] + reg buf_samedw_2; // @[Reg.scala 27:20] + reg buf_samedw_1; // @[Reg.scala 27:20] + reg buf_samedw_0; // @[Reg.scala 27:20] + wire [3:0] _T_1170 = {buf_samedw_3,buf_samedw_2,buf_samedw_1,buf_samedw_0}; // @[Cat.scala 29:58] + wire _T_1179 = _T_1023 & _T_1170[0]; // @[Mux.scala 27:72] + wire _T_1180 = _T_1024 & _T_1170[1]; // @[Mux.scala 27:72] + wire _T_1183 = _T_1179 | _T_1180; // @[Mux.scala 27:72] + wire _T_1181 = _T_1025 & _T_1170[2]; // @[Mux.scala 27:72] + wire _T_1184 = _T_1183 | _T_1181; // @[Mux.scala 27:72] + wire _T_1182 = _T_1026 & _T_1170[3]; // @[Mux.scala 27:72] + wire _T_1185 = _T_1184 | _T_1182; // @[Mux.scala 27:72] + wire _T_1187 = _T_1166 & _T_1185; // @[lsu_bus_buffer.scala 286:77] + wire _T_1196 = _T_1023 & buf_write[0]; // @[Mux.scala 27:72] + wire _T_1197 = _T_1024 & buf_write[1]; // @[Mux.scala 27:72] + wire _T_1200 = _T_1196 | _T_1197; // @[Mux.scala 27:72] + wire _T_1198 = _T_1025 & buf_write[2]; // @[Mux.scala 27:72] + wire _T_1201 = _T_1200 | _T_1198; // @[Mux.scala 27:72] + wire _T_1199 = _T_1026 & buf_write[3]; // @[Mux.scala 27:72] + wire _T_1202 = _T_1201 | _T_1199; // @[Mux.scala 27:72] + wire _T_1204 = ~_T_1202; // @[lsu_bus_buffer.scala 286:150] + wire _T_1205 = _T_1187 & _T_1204; // @[lsu_bus_buffer.scala 286:148] + wire _T_1206 = ~_T_1205; // @[lsu_bus_buffer.scala 286:8] + wire [3:0] _T_2020 = ~CmdPtr0Dec; // @[lsu_bus_buffer.scala 378:62] + wire [3:0] _T_2021 = buf_age_3 & _T_2020; // @[lsu_bus_buffer.scala 378:59] + wire _T_2022 = |_T_2021; // @[lsu_bus_buffer.scala 378:76] + wire _T_2023 = ~_T_2022; // @[lsu_bus_buffer.scala 378:45] + wire _T_2025 = ~CmdPtr0Dec[3]; // @[lsu_bus_buffer.scala 378:83] + wire _T_2026 = _T_2023 & _T_2025; // @[lsu_bus_buffer.scala 378:81] + wire _T_2028 = _T_2026 & _T_2621; // @[lsu_bus_buffer.scala 378:98] + wire _T_2030 = _T_2028 & _T_4447; // @[lsu_bus_buffer.scala 378:123] + wire [3:0] _T_2010 = buf_age_2 & _T_2020; // @[lsu_bus_buffer.scala 378:59] + wire _T_2011 = |_T_2010; // @[lsu_bus_buffer.scala 378:76] + wire _T_2012 = ~_T_2011; // @[lsu_bus_buffer.scala 378:45] + wire _T_2014 = ~CmdPtr0Dec[2]; // @[lsu_bus_buffer.scala 378:83] + wire _T_2015 = _T_2012 & _T_2014; // @[lsu_bus_buffer.scala 378:81] + wire _T_2017 = _T_2015 & _T_2616; // @[lsu_bus_buffer.scala 378:98] + wire _T_2019 = _T_2017 & _T_4442; // @[lsu_bus_buffer.scala 378:123] + wire [3:0] _T_1999 = buf_age_1 & _T_2020; // @[lsu_bus_buffer.scala 378:59] + wire _T_2000 = |_T_1999; // @[lsu_bus_buffer.scala 378:76] + wire _T_2001 = ~_T_2000; // @[lsu_bus_buffer.scala 378:45] + wire _T_2003 = ~CmdPtr0Dec[1]; // @[lsu_bus_buffer.scala 378:83] + wire _T_2004 = _T_2001 & _T_2003; // @[lsu_bus_buffer.scala 378:81] + wire _T_2006 = _T_2004 & _T_2611; // @[lsu_bus_buffer.scala 378:98] + wire _T_2008 = _T_2006 & _T_4437; // @[lsu_bus_buffer.scala 378:123] + wire [3:0] _T_1988 = buf_age_0 & _T_2020; // @[lsu_bus_buffer.scala 378:59] + wire _T_1989 = |_T_1988; // @[lsu_bus_buffer.scala 378:76] + wire _T_1990 = ~_T_1989; // @[lsu_bus_buffer.scala 378:45] + wire _T_1992 = ~CmdPtr0Dec[0]; // @[lsu_bus_buffer.scala 378:83] + wire _T_1993 = _T_1990 & _T_1992; // @[lsu_bus_buffer.scala 378:81] + wire _T_1995 = _T_1993 & _T_2606; // @[lsu_bus_buffer.scala 378:98] + wire _T_1997 = _T_1995 & _T_4432; // @[lsu_bus_buffer.scala 378:123] + wire [3:0] CmdPtr1Dec = {_T_2030,_T_2019,_T_2008,_T_1997}; // @[Cat.scala 29:58] + wire found_cmdptr1 = |CmdPtr1Dec; // @[lsu_bus_buffer.scala 383:31] + wire _T_1207 = _T_1206 | found_cmdptr1; // @[lsu_bus_buffer.scala 286:181] + wire [3:0] _T_1210 = {buf_nomerge_3,buf_nomerge_2,buf_nomerge_1,buf_nomerge_0}; // @[Cat.scala 29:58] + wire _T_1219 = _T_1023 & _T_1210[0]; // @[Mux.scala 27:72] + wire _T_1220 = _T_1024 & _T_1210[1]; // @[Mux.scala 27:72] + wire _T_1223 = _T_1219 | _T_1220; // @[Mux.scala 27:72] + wire _T_1221 = _T_1025 & _T_1210[2]; // @[Mux.scala 27:72] + wire _T_1224 = _T_1223 | _T_1221; // @[Mux.scala 27:72] + wire _T_1222 = _T_1026 & _T_1210[3]; // @[Mux.scala 27:72] + wire _T_1225 = _T_1224 | _T_1222; // @[Mux.scala 27:72] + wire _T_1227 = _T_1207 | _T_1225; // @[lsu_bus_buffer.scala 286:197] + wire _T_1228 = _T_1227 | obuf_force_wr_en; // @[lsu_bus_buffer.scala 286:269] + wire _T_1229 = _T_1148 & _T_1228; // @[lsu_bus_buffer.scala 285:164] + wire _T_1230 = _T_1094 | _T_1229; // @[lsu_bus_buffer.scala 283:98] + reg obuf_write; // @[Reg.scala 27:20] + reg obuf_cmd_done; // @[lsu_bus_buffer.scala 347:54] + reg obuf_data_done; // @[lsu_bus_buffer.scala 348:55] + wire _T_4856 = obuf_cmd_done | obuf_data_done; // @[lsu_bus_buffer.scala 555:54] + wire _T_4857 = obuf_cmd_done ? io_lsu_axi_w_ready : io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 555:75] + wire _T_4858 = io_lsu_axi_aw_ready & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 555:153] + wire _T_4859 = _T_4856 ? _T_4857 : _T_4858; // @[lsu_bus_buffer.scala 555:39] + wire bus_cmd_ready = obuf_write ? _T_4859 : io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 555:23] + wire _T_1231 = ~obuf_valid; // @[lsu_bus_buffer.scala 287:48] + wire _T_1232 = bus_cmd_ready | _T_1231; // @[lsu_bus_buffer.scala 287:46] + reg obuf_nosend; // @[Reg.scala 27:20] + wire _T_1233 = _T_1232 | obuf_nosend; // @[lsu_bus_buffer.scala 287:60] + wire _T_1234 = _T_1230 & _T_1233; // @[lsu_bus_buffer.scala 287:29] + wire _T_1235 = ~obuf_wr_wait; // @[lsu_bus_buffer.scala 287:77] + wire _T_1236 = _T_1234 & _T_1235; // @[lsu_bus_buffer.scala 287:75] + reg [31:0] obuf_addr; // @[lib.scala 374:16] + wire obuf_wr_en = _T_1236 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 287:142] + wire _T_1242 = obuf_valid & obuf_nosend; // @[lsu_bus_buffer.scala 289:47] + wire bus_wcmd_sent = io_lsu_axi_aw_valid & io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 556:40] + wire _T_4863 = obuf_cmd_done | bus_wcmd_sent; // @[lsu_bus_buffer.scala 558:35] + wire bus_wdata_sent = io_lsu_axi_w_valid & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 557:40] + wire _T_4864 = obuf_data_done | bus_wdata_sent; // @[lsu_bus_buffer.scala 558:70] + wire _T_4865 = _T_4863 & _T_4864; // @[lsu_bus_buffer.scala 558:52] + wire _T_4866 = io_lsu_axi_ar_valid & io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 558:112] + wire bus_cmd_sent = _T_4865 | _T_4866; // @[lsu_bus_buffer.scala 558:89] + wire _T_1243 = bus_cmd_sent | _T_1242; // @[lsu_bus_buffer.scala 289:33] + wire _T_1244 = ~obuf_wr_en; // @[lsu_bus_buffer.scala 289:65] + wire _T_1245 = _T_1243 & _T_1244; // @[lsu_bus_buffer.scala 289:63] + wire _T_1246 = _T_1245 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 289:77] + wire obuf_rst = _T_1246 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 289:98] + wire obuf_write_in = ibuf_buf_byp ? io_lsu_pkt_r_bits_store : _T_1202; // @[lsu_bus_buffer.scala 290:26] + wire [31:0] _T_1283 = _T_1023 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1284 = _T_1024 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1285 = _T_1025 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1286 = _T_1026 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1287 = _T_1283 | _T_1284; // @[Mux.scala 27:72] + wire [31:0] _T_1288 = _T_1287 | _T_1285; // @[Mux.scala 27:72] + wire [31:0] _T_1289 = _T_1288 | _T_1286; // @[Mux.scala 27:72] + wire [31:0] obuf_addr_in = ibuf_buf_byp ? io_lsu_addr_r : _T_1289; // @[lsu_bus_buffer.scala 292:25] + reg [1:0] buf_sz_0; // @[Reg.scala 27:20] + wire [1:0] _T_1296 = _T_1023 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] + reg [1:0] buf_sz_1; // @[Reg.scala 27:20] + wire [1:0] _T_1297 = _T_1024 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] + reg [1:0] buf_sz_2; // @[Reg.scala 27:20] + wire [1:0] _T_1298 = _T_1025 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] + reg [1:0] buf_sz_3; // @[Reg.scala 27:20] + wire [1:0] _T_1299 = _T_1026 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_1300 = _T_1296 | _T_1297; // @[Mux.scala 27:72] + wire [1:0] _T_1301 = _T_1300 | _T_1298; // @[Mux.scala 27:72] + wire [1:0] _T_1302 = _T_1301 | _T_1299; // @[Mux.scala 27:72] + wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : _T_1302; // @[lsu_bus_buffer.scala 295:23] + wire [7:0] _T_2079 = {4'h0,_T_2030,_T_2019,_T_2008,_T_1997}; // @[Cat.scala 29:58] + wire _T_2082 = _T_2079[4] | _T_2079[5]; // @[lsu_bus_buffer.scala 385:42] + wire _T_2084 = _T_2082 | _T_2079[6]; // @[lsu_bus_buffer.scala 385:48] + wire _T_2086 = _T_2084 | _T_2079[7]; // @[lsu_bus_buffer.scala 385:54] + wire _T_2089 = _T_2079[2] | _T_2079[3]; // @[lsu_bus_buffer.scala 385:67] + wire _T_2091 = _T_2089 | _T_2079[6]; // @[lsu_bus_buffer.scala 385:73] + wire _T_2093 = _T_2091 | _T_2079[7]; // @[lsu_bus_buffer.scala 385:79] + wire _T_2096 = _T_2079[1] | _T_2079[3]; // @[lsu_bus_buffer.scala 385:92] + wire _T_2098 = _T_2096 | _T_2079[5]; // @[lsu_bus_buffer.scala 385:98] + wire _T_2100 = _T_2098 | _T_2079[7]; // @[lsu_bus_buffer.scala 385:104] + wire [2:0] _T_2102 = {_T_2086,_T_2093,_T_2100}; // @[Cat.scala 29:58] + wire [1:0] CmdPtr1 = _T_2102[1:0]; // @[lsu_bus_buffer.scala 392:11] + wire _T_1304 = obuf_wr_en | obuf_rst; // @[lsu_bus_buffer.scala 303:39] + wire _T_1305 = ~_T_1304; // @[lsu_bus_buffer.scala 303:26] + wire _T_1311 = obuf_sz_in == 2'h0; // @[lsu_bus_buffer.scala 307:72] + wire _T_1314 = ~obuf_addr_in[0]; // @[lsu_bus_buffer.scala 307:98] + wire _T_1315 = obuf_sz_in[0] & _T_1314; // @[lsu_bus_buffer.scala 307:96] + wire _T_1316 = _T_1311 | _T_1315; // @[lsu_bus_buffer.scala 307:79] + wire _T_1319 = |obuf_addr_in[1:0]; // @[lsu_bus_buffer.scala 307:153] + wire _T_1320 = ~_T_1319; // @[lsu_bus_buffer.scala 307:134] + wire _T_1321 = obuf_sz_in[1] & _T_1320; // @[lsu_bus_buffer.scala 307:132] + wire _T_1322 = _T_1316 | _T_1321; // @[lsu_bus_buffer.scala 307:116] + wire obuf_aligned_in = ibuf_buf_byp ? is_aligned_r : _T_1322; // @[lsu_bus_buffer.scala 307:28] + wire _T_1339 = obuf_addr_in[31:3] == obuf_addr[31:3]; // @[lsu_bus_buffer.scala 321:40] + wire _T_1340 = _T_1339 & obuf_aligned_in; // @[lsu_bus_buffer.scala 321:60] + wire _T_1341 = ~obuf_sideeffect; // @[lsu_bus_buffer.scala 321:80] + wire _T_1342 = _T_1340 & _T_1341; // @[lsu_bus_buffer.scala 321:78] + wire _T_1343 = ~obuf_write; // @[lsu_bus_buffer.scala 321:99] + wire _T_1344 = _T_1342 & _T_1343; // @[lsu_bus_buffer.scala 321:97] + wire _T_1345 = ~obuf_write_in; // @[lsu_bus_buffer.scala 321:113] + wire _T_1346 = _T_1344 & _T_1345; // @[lsu_bus_buffer.scala 321:111] + wire _T_1347 = ~io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[lsu_bus_buffer.scala 321:130] + wire _T_1348 = _T_1346 & _T_1347; // @[lsu_bus_buffer.scala 321:128] + wire _T_1349 = ~obuf_nosend; // @[lsu_bus_buffer.scala 322:20] + wire _T_1350 = obuf_valid & _T_1349; // @[lsu_bus_buffer.scala 322:18] + reg obuf_rdrsp_pend; // @[lsu_bus_buffer.scala 349:56] + wire bus_rsp_read = io_lsu_axi_r_valid & io_lsu_axi_r_ready; // @[lsu_bus_buffer.scala 559:38] + reg [2:0] obuf_rdrsp_tag; // @[lsu_bus_buffer.scala 350:55] + wire _T_1351 = io_lsu_axi_r_bits_id == obuf_rdrsp_tag; // @[lsu_bus_buffer.scala 322:90] + wire _T_1352 = bus_rsp_read & _T_1351; // @[lsu_bus_buffer.scala 322:70] + wire _T_1353 = ~_T_1352; // @[lsu_bus_buffer.scala 322:55] + wire _T_1354 = obuf_rdrsp_pend & _T_1353; // @[lsu_bus_buffer.scala 322:53] + wire _T_1355 = _T_1350 | _T_1354; // @[lsu_bus_buffer.scala 322:34] + wire obuf_nosend_in = _T_1348 & _T_1355; // @[lsu_bus_buffer.scala 321:177] + wire _T_1323 = ~obuf_nosend_in; // @[lsu_bus_buffer.scala 315:44] + wire _T_1324 = obuf_wr_en & _T_1323; // @[lsu_bus_buffer.scala 315:42] + wire _T_1325 = ~_T_1324; // @[lsu_bus_buffer.scala 315:29] + wire _T_1326 = _T_1325 & obuf_rdrsp_pend; // @[lsu_bus_buffer.scala 315:61] + wire _T_1330 = _T_1326 & _T_1353; // @[lsu_bus_buffer.scala 315:79] + wire _T_1332 = bus_cmd_sent & _T_1343; // @[lsu_bus_buffer.scala 316:20] + wire _T_1333 = ~io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 316:37] + wire _T_1334 = _T_1332 & _T_1333; // @[lsu_bus_buffer.scala 316:35] + wire [7:0] _T_1358 = {ldst_byteen_lo_r,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1359 = {4'h0,ldst_byteen_lo_r}; // @[Cat.scala 29:58] + wire [7:0] _T_1360 = io_lsu_addr_r[2] ? _T_1358 : _T_1359; // @[lsu_bus_buffer.scala 323:46] + wire [3:0] _T_1379 = _T_1023 ? buf_byteen_0 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1380 = _T_1024 ? buf_byteen_1 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1381 = _T_1025 ? buf_byteen_2 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1382 = _T_1026 ? buf_byteen_3 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1383 = _T_1379 | _T_1380; // @[Mux.scala 27:72] + wire [3:0] _T_1384 = _T_1383 | _T_1381; // @[Mux.scala 27:72] + wire [3:0] _T_1385 = _T_1384 | _T_1382; // @[Mux.scala 27:72] + wire [7:0] _T_1387 = {_T_1385,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1400 = {4'h0,_T_1385}; // @[Cat.scala 29:58] + wire [7:0] _T_1401 = _T_1289[2] ? _T_1387 : _T_1400; // @[lsu_bus_buffer.scala 324:8] + wire [7:0] obuf_byteen0_in = ibuf_buf_byp ? _T_1360 : _T_1401; // @[lsu_bus_buffer.scala 323:28] + wire [7:0] _T_1403 = {ldst_byteen_hi_r,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1404 = {4'h0,ldst_byteen_hi_r}; // @[Cat.scala 29:58] + wire [7:0] _T_1405 = io_end_addr_r[2] ? _T_1403 : _T_1404; // @[lsu_bus_buffer.scala 325:46] + wire _T_1406 = CmdPtr1 == 2'h0; // @[lsu_bus_buffer.scala 58:123] + wire _T_1407 = CmdPtr1 == 2'h1; // @[lsu_bus_buffer.scala 58:123] + wire _T_1408 = CmdPtr1 == 2'h2; // @[lsu_bus_buffer.scala 58:123] + wire _T_1409 = CmdPtr1 == 2'h3; // @[lsu_bus_buffer.scala 58:123] + wire [31:0] _T_1410 = _T_1406 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1411 = _T_1407 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1412 = _T_1408 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1413 = _T_1409 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1414 = _T_1410 | _T_1411; // @[Mux.scala 27:72] + wire [31:0] _T_1415 = _T_1414 | _T_1412; // @[Mux.scala 27:72] + wire [31:0] _T_1416 = _T_1415 | _T_1413; // @[Mux.scala 27:72] + wire [3:0] _T_1424 = _T_1406 ? buf_byteen_0 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1425 = _T_1407 ? buf_byteen_1 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1426 = _T_1408 ? buf_byteen_2 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1427 = _T_1409 ? buf_byteen_3 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1428 = _T_1424 | _T_1425; // @[Mux.scala 27:72] + wire [3:0] _T_1429 = _T_1428 | _T_1426; // @[Mux.scala 27:72] + wire [3:0] _T_1430 = _T_1429 | _T_1427; // @[Mux.scala 27:72] + wire [7:0] _T_1432 = {_T_1430,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1445 = {4'h0,_T_1430}; // @[Cat.scala 29:58] + wire [7:0] _T_1446 = _T_1416[2] ? _T_1432 : _T_1445; // @[lsu_bus_buffer.scala 326:8] + wire [7:0] obuf_byteen1_in = ibuf_buf_byp ? _T_1405 : _T_1446; // @[lsu_bus_buffer.scala 325:28] + wire [63:0] _T_1448 = {store_data_lo_r,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1449 = {32'h0,store_data_lo_r}; // @[Cat.scala 29:58] + wire [63:0] _T_1450 = io_lsu_addr_r[2] ? _T_1448 : _T_1449; // @[lsu_bus_buffer.scala 328:44] + wire [31:0] _T_1469 = _T_1023 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1470 = _T_1024 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1471 = _T_1025 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1472 = _T_1026 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1473 = _T_1469 | _T_1470; // @[Mux.scala 27:72] + wire [31:0] _T_1474 = _T_1473 | _T_1471; // @[Mux.scala 27:72] + wire [31:0] _T_1475 = _T_1474 | _T_1472; // @[Mux.scala 27:72] + wire [63:0] _T_1477 = {_T_1475,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1490 = {32'h0,_T_1475}; // @[Cat.scala 29:58] + wire [63:0] _T_1491 = _T_1289[2] ? _T_1477 : _T_1490; // @[lsu_bus_buffer.scala 329:8] + wire [63:0] obuf_data0_in = ibuf_buf_byp ? _T_1450 : _T_1491; // @[lsu_bus_buffer.scala 328:26] + wire [63:0] _T_1493 = {store_data_hi_r,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1494 = {32'h0,store_data_hi_r}; // @[Cat.scala 29:58] + wire [63:0] _T_1495 = io_lsu_addr_r[2] ? _T_1493 : _T_1494; // @[lsu_bus_buffer.scala 330:44] + wire [31:0] _T_1514 = _T_1406 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1515 = _T_1407 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1516 = _T_1408 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1517 = _T_1409 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1518 = _T_1514 | _T_1515; // @[Mux.scala 27:72] + wire [31:0] _T_1519 = _T_1518 | _T_1516; // @[Mux.scala 27:72] + wire [31:0] _T_1520 = _T_1519 | _T_1517; // @[Mux.scala 27:72] + wire [63:0] _T_1522 = {_T_1520,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1535 = {32'h0,_T_1520}; // @[Cat.scala 29:58] + wire [63:0] _T_1536 = _T_1416[2] ? _T_1522 : _T_1535; // @[lsu_bus_buffer.scala 331:8] + wire [63:0] obuf_data1_in = ibuf_buf_byp ? _T_1495 : _T_1536; // @[lsu_bus_buffer.scala 330:26] + wire _T_1621 = CmdPtr0 != CmdPtr1; // @[lsu_bus_buffer.scala 337:30] + wire _T_1622 = _T_1621 & found_cmdptr0; // @[lsu_bus_buffer.scala 337:43] + wire _T_1623 = _T_1622 & found_cmdptr1; // @[lsu_bus_buffer.scala 337:59] + wire _T_1637 = _T_1623 & _T_1107; // @[lsu_bus_buffer.scala 337:75] + wire [2:0] _T_1642 = _T_1406 ? buf_state_0 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1643 = _T_1407 ? buf_state_1 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1646 = _T_1642 | _T_1643; // @[Mux.scala 27:72] + wire [2:0] _T_1644 = _T_1408 ? buf_state_2 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1647 = _T_1646 | _T_1644; // @[Mux.scala 27:72] + wire [2:0] _T_1645 = _T_1409 ? buf_state_3 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1648 = _T_1647 | _T_1645; // @[Mux.scala 27:72] + wire _T_1650 = _T_1648 == 3'h2; // @[lsu_bus_buffer.scala 337:150] + wire _T_1651 = _T_1637 & _T_1650; // @[lsu_bus_buffer.scala 337:118] + wire _T_1672 = _T_1651 & _T_1128; // @[lsu_bus_buffer.scala 337:161] + wire _T_1690 = _T_1672 & _T_1053; // @[lsu_bus_buffer.scala 338:85] + wire _T_1715 = _T_1406 & buf_write[0]; // @[Mux.scala 27:72] + wire _T_1716 = _T_1407 & buf_write[1]; // @[Mux.scala 27:72] + wire _T_1719 = _T_1715 | _T_1716; // @[Mux.scala 27:72] + wire _T_1717 = _T_1408 & buf_write[2]; // @[Mux.scala 27:72] + wire _T_1720 = _T_1719 | _T_1717; // @[Mux.scala 27:72] + wire _T_1718 = _T_1409 & buf_write[3]; // @[Mux.scala 27:72] + wire _T_1721 = _T_1720 | _T_1718; // @[Mux.scala 27:72] + wire _T_1723 = _T_1202 & _T_1721; // @[lsu_bus_buffer.scala 339:36] + wire _T_1750 = _T_1289[31:3] == _T_1416[31:3]; // @[lsu_bus_buffer.scala 340:41] + wire _T_1751 = _T_1723 & _T_1750; // @[lsu_bus_buffer.scala 339:67] + wire _T_1753 = _T_1751 & _T_938; // @[lsu_bus_buffer.scala 340:79] + wire _T_1792 = _T_1204 & _T_1166; // @[lsu_bus_buffer.scala 341:38] + reg buf_dualhi_3; // @[Reg.scala 27:20] + reg buf_dualhi_2; // @[Reg.scala 27:20] + reg buf_dualhi_1; // @[Reg.scala 27:20] + reg buf_dualhi_0; // @[Reg.scala 27:20] + wire [3:0] _T_1795 = {buf_dualhi_3,buf_dualhi_2,buf_dualhi_1,buf_dualhi_0}; // @[Cat.scala 29:58] + wire _T_1804 = _T_1023 & _T_1795[0]; // @[Mux.scala 27:72] + wire _T_1805 = _T_1024 & _T_1795[1]; // @[Mux.scala 27:72] + wire _T_1808 = _T_1804 | _T_1805; // @[Mux.scala 27:72] + wire _T_1806 = _T_1025 & _T_1795[2]; // @[Mux.scala 27:72] + wire _T_1809 = _T_1808 | _T_1806; // @[Mux.scala 27:72] + wire _T_1807 = _T_1026 & _T_1795[3]; // @[Mux.scala 27:72] + wire _T_1810 = _T_1809 | _T_1807; // @[Mux.scala 27:72] + wire _T_1812 = ~_T_1810; // @[lsu_bus_buffer.scala 341:109] + wire _T_1813 = _T_1792 & _T_1812; // @[lsu_bus_buffer.scala 341:107] + wire _T_1833 = _T_1813 & _T_1185; // @[lsu_bus_buffer.scala 341:179] + wire _T_1834 = _T_1753 | _T_1833; // @[lsu_bus_buffer.scala 340:128] + wire _T_1835 = _T_1690 & _T_1834; // @[lsu_bus_buffer.scala 338:122] + wire _T_1836 = ibuf_buf_byp & ldst_samedw_r; // @[lsu_bus_buffer.scala 342:19] + wire _T_1837 = _T_1836 & io_ldst_dual_r; // @[lsu_bus_buffer.scala 342:35] + wire obuf_merge_en = _T_1835 | _T_1837; // @[lsu_bus_buffer.scala 341:253] + wire _T_1539 = obuf_merge_en & obuf_byteen1_in[0]; // @[lsu_bus_buffer.scala 332:80] + wire _T_1540 = obuf_byteen0_in[0] | _T_1539; // @[lsu_bus_buffer.scala 332:63] + wire _T_1543 = obuf_merge_en & obuf_byteen1_in[1]; // @[lsu_bus_buffer.scala 332:80] + wire _T_1544 = obuf_byteen0_in[1] | _T_1543; // @[lsu_bus_buffer.scala 332:63] + wire _T_1547 = obuf_merge_en & obuf_byteen1_in[2]; // @[lsu_bus_buffer.scala 332:80] + wire _T_1548 = obuf_byteen0_in[2] | _T_1547; // @[lsu_bus_buffer.scala 332:63] + wire _T_1551 = obuf_merge_en & obuf_byteen1_in[3]; // @[lsu_bus_buffer.scala 332:80] + wire _T_1552 = obuf_byteen0_in[3] | _T_1551; // @[lsu_bus_buffer.scala 332:63] + wire _T_1555 = obuf_merge_en & obuf_byteen1_in[4]; // @[lsu_bus_buffer.scala 332:80] + wire _T_1556 = obuf_byteen0_in[4] | _T_1555; // @[lsu_bus_buffer.scala 332:63] + wire _T_1559 = obuf_merge_en & obuf_byteen1_in[5]; // @[lsu_bus_buffer.scala 332:80] + wire _T_1560 = obuf_byteen0_in[5] | _T_1559; // @[lsu_bus_buffer.scala 332:63] + wire _T_1563 = obuf_merge_en & obuf_byteen1_in[6]; // @[lsu_bus_buffer.scala 332:80] + wire _T_1564 = obuf_byteen0_in[6] | _T_1563; // @[lsu_bus_buffer.scala 332:63] + wire _T_1567 = obuf_merge_en & obuf_byteen1_in[7]; // @[lsu_bus_buffer.scala 332:80] + wire _T_1568 = obuf_byteen0_in[7] | _T_1567; // @[lsu_bus_buffer.scala 332:63] + wire [7:0] obuf_byteen_in = {_T_1568,_T_1564,_T_1560,_T_1556,_T_1552,_T_1548,_T_1544,_T_1540}; // @[Cat.scala 29:58] + wire [7:0] _T_1579 = _T_1539 ? obuf_data1_in[7:0] : obuf_data0_in[7:0]; // @[lsu_bus_buffer.scala 333:44] + wire [7:0] _T_1584 = _T_1543 ? obuf_data1_in[15:8] : obuf_data0_in[15:8]; // @[lsu_bus_buffer.scala 333:44] + wire [7:0] _T_1589 = _T_1547 ? obuf_data1_in[23:16] : obuf_data0_in[23:16]; // @[lsu_bus_buffer.scala 333:44] + wire [7:0] _T_1594 = _T_1551 ? obuf_data1_in[31:24] : obuf_data0_in[31:24]; // @[lsu_bus_buffer.scala 333:44] + wire [7:0] _T_1599 = _T_1555 ? obuf_data1_in[39:32] : obuf_data0_in[39:32]; // @[lsu_bus_buffer.scala 333:44] + wire [7:0] _T_1604 = _T_1559 ? obuf_data1_in[47:40] : obuf_data0_in[47:40]; // @[lsu_bus_buffer.scala 333:44] + wire [7:0] _T_1609 = _T_1563 ? obuf_data1_in[55:48] : obuf_data0_in[55:48]; // @[lsu_bus_buffer.scala 333:44] + wire [7:0] _T_1614 = _T_1567 ? obuf_data1_in[63:56] : obuf_data0_in[63:56]; // @[lsu_bus_buffer.scala 333:44] + wire [55:0] _T_1620 = {_T_1614,_T_1609,_T_1604,_T_1599,_T_1594,_T_1589,_T_1584}; // @[Cat.scala 29:58] + wire _T_1839 = obuf_wr_en | obuf_valid; // @[lsu_bus_buffer.scala 345:58] + wire _T_1840 = ~obuf_rst; // @[lsu_bus_buffer.scala 345:93] + reg [1:0] obuf_sz; // @[Reg.scala 27:20] + reg [7:0] obuf_byteen; // @[Reg.scala 27:20] + reg [63:0] obuf_data; // @[lib.scala 374:16] + wire _T_1853 = buf_state_0 == 3'h0; // @[lsu_bus_buffer.scala 363:65] + wire _T_1854 = ibuf_tag == 2'h0; // @[lsu_bus_buffer.scala 364:30] + wire _T_1855 = ibuf_valid & _T_1854; // @[lsu_bus_buffer.scala 364:19] + wire _T_1856 = WrPtr0_r == 2'h0; // @[lsu_bus_buffer.scala 365:18] + wire _T_1857 = WrPtr1_r == 2'h0; // @[lsu_bus_buffer.scala 365:57] + wire _T_1858 = io_ldst_dual_r & _T_1857; // @[lsu_bus_buffer.scala 365:45] + wire _T_1859 = _T_1856 | _T_1858; // @[lsu_bus_buffer.scala 365:27] + wire _T_1860 = io_lsu_busreq_r & _T_1859; // @[lsu_bus_buffer.scala 364:58] + wire _T_1861 = _T_1855 | _T_1860; // @[lsu_bus_buffer.scala 364:39] + wire _T_1862 = ~_T_1861; // @[lsu_bus_buffer.scala 364:5] + wire _T_1863 = _T_1853 & _T_1862; // @[lsu_bus_buffer.scala 363:76] + wire _T_1864 = buf_state_1 == 3'h0; // @[lsu_bus_buffer.scala 363:65] + wire _T_1865 = ibuf_tag == 2'h1; // @[lsu_bus_buffer.scala 364:30] + wire _T_1866 = ibuf_valid & _T_1865; // @[lsu_bus_buffer.scala 364:19] + wire _T_1867 = WrPtr0_r == 2'h1; // @[lsu_bus_buffer.scala 365:18] + wire _T_1868 = WrPtr1_r == 2'h1; // @[lsu_bus_buffer.scala 365:57] + wire _T_1869 = io_ldst_dual_r & _T_1868; // @[lsu_bus_buffer.scala 365:45] + wire _T_1870 = _T_1867 | _T_1869; // @[lsu_bus_buffer.scala 365:27] + wire _T_1871 = io_lsu_busreq_r & _T_1870; // @[lsu_bus_buffer.scala 364:58] + wire _T_1872 = _T_1866 | _T_1871; // @[lsu_bus_buffer.scala 364:39] + wire _T_1873 = ~_T_1872; // @[lsu_bus_buffer.scala 364:5] + wire _T_1874 = _T_1864 & _T_1873; // @[lsu_bus_buffer.scala 363:76] + wire _T_1875 = buf_state_2 == 3'h0; // @[lsu_bus_buffer.scala 363:65] + wire _T_1876 = ibuf_tag == 2'h2; // @[lsu_bus_buffer.scala 364:30] + wire _T_1877 = ibuf_valid & _T_1876; // @[lsu_bus_buffer.scala 364:19] + wire _T_1878 = WrPtr0_r == 2'h2; // @[lsu_bus_buffer.scala 365:18] + wire _T_1879 = WrPtr1_r == 2'h2; // @[lsu_bus_buffer.scala 365:57] + wire _T_1880 = io_ldst_dual_r & _T_1879; // @[lsu_bus_buffer.scala 365:45] + wire _T_1881 = _T_1878 | _T_1880; // @[lsu_bus_buffer.scala 365:27] + wire _T_1882 = io_lsu_busreq_r & _T_1881; // @[lsu_bus_buffer.scala 364:58] + wire _T_1883 = _T_1877 | _T_1882; // @[lsu_bus_buffer.scala 364:39] + wire _T_1884 = ~_T_1883; // @[lsu_bus_buffer.scala 364:5] + wire _T_1885 = _T_1875 & _T_1884; // @[lsu_bus_buffer.scala 363:76] + wire _T_1886 = buf_state_3 == 3'h0; // @[lsu_bus_buffer.scala 363:65] + wire _T_1887 = ibuf_tag == 2'h3; // @[lsu_bus_buffer.scala 364:30] + wire _T_1889 = WrPtr0_r == 2'h3; // @[lsu_bus_buffer.scala 365:18] + wire _T_1890 = WrPtr1_r == 2'h3; // @[lsu_bus_buffer.scala 365:57] + wire [1:0] _T_1898 = _T_1885 ? 2'h2 : 2'h3; // @[Mux.scala 98:16] + wire [1:0] _T_1899 = _T_1874 ? 2'h1 : _T_1898; // @[Mux.scala 98:16] + wire [1:0] WrPtr0_m = _T_1863 ? 2'h0 : _T_1899; // @[Mux.scala 98:16] + wire _T_1904 = WrPtr0_m == 2'h0; // @[lsu_bus_buffer.scala 370:33] + wire _T_1905 = io_lsu_busreq_m & _T_1904; // @[lsu_bus_buffer.scala 370:22] + wire _T_1906 = _T_1855 | _T_1905; // @[lsu_bus_buffer.scala 369:112] + wire _T_1912 = _T_1906 | _T_1860; // @[lsu_bus_buffer.scala 370:42] + wire _T_1913 = ~_T_1912; // @[lsu_bus_buffer.scala 369:78] + wire _T_1914 = _T_1853 & _T_1913; // @[lsu_bus_buffer.scala 369:76] + wire _T_1918 = WrPtr0_m == 2'h1; // @[lsu_bus_buffer.scala 370:33] + wire _T_1919 = io_lsu_busreq_m & _T_1918; // @[lsu_bus_buffer.scala 370:22] + wire _T_1920 = _T_1866 | _T_1919; // @[lsu_bus_buffer.scala 369:112] + wire _T_1926 = _T_1920 | _T_1871; // @[lsu_bus_buffer.scala 370:42] + wire _T_1927 = ~_T_1926; // @[lsu_bus_buffer.scala 369:78] + wire _T_1928 = _T_1864 & _T_1927; // @[lsu_bus_buffer.scala 369:76] + wire _T_1932 = WrPtr0_m == 2'h2; // @[lsu_bus_buffer.scala 370:33] + wire _T_1933 = io_lsu_busreq_m & _T_1932; // @[lsu_bus_buffer.scala 370:22] + wire _T_1934 = _T_1877 | _T_1933; // @[lsu_bus_buffer.scala 369:112] + wire _T_1940 = _T_1934 | _T_1882; // @[lsu_bus_buffer.scala 370:42] + wire _T_1941 = ~_T_1940; // @[lsu_bus_buffer.scala 369:78] + wire _T_1942 = _T_1875 & _T_1941; // @[lsu_bus_buffer.scala 369:76] + reg [3:0] buf_rspageQ_0; // @[lsu_bus_buffer.scala 500:63] + wire _T_2746 = buf_state_3 == 3'h5; // @[lsu_bus_buffer.scala 413:102] + wire _T_2747 = buf_rspageQ_0[3] & _T_2746; // @[lsu_bus_buffer.scala 413:87] + wire _T_2743 = buf_state_2 == 3'h5; // @[lsu_bus_buffer.scala 413:102] + wire _T_2744 = buf_rspageQ_0[2] & _T_2743; // @[lsu_bus_buffer.scala 413:87] + wire _T_2740 = buf_state_1 == 3'h5; // @[lsu_bus_buffer.scala 413:102] + wire _T_2741 = buf_rspageQ_0[1] & _T_2740; // @[lsu_bus_buffer.scala 413:87] + wire _T_2737 = buf_state_0 == 3'h5; // @[lsu_bus_buffer.scala 413:102] + wire _T_2738 = buf_rspageQ_0[0] & _T_2737; // @[lsu_bus_buffer.scala 413:87] + wire [3:0] buf_rsp_pickage_0 = {_T_2747,_T_2744,_T_2741,_T_2738}; // @[Cat.scala 29:58] + wire _T_2033 = |buf_rsp_pickage_0; // @[lsu_bus_buffer.scala 381:65] + wire _T_2034 = ~_T_2033; // @[lsu_bus_buffer.scala 381:44] + wire _T_2036 = _T_2034 & _T_2737; // @[lsu_bus_buffer.scala 381:70] + reg [3:0] buf_rspageQ_1; // @[lsu_bus_buffer.scala 500:63] + wire _T_2762 = buf_rspageQ_1[3] & _T_2746; // @[lsu_bus_buffer.scala 413:87] + wire _T_2759 = buf_rspageQ_1[2] & _T_2743; // @[lsu_bus_buffer.scala 413:87] + wire _T_2756 = buf_rspageQ_1[1] & _T_2740; // @[lsu_bus_buffer.scala 413:87] + wire _T_2753 = buf_rspageQ_1[0] & _T_2737; // @[lsu_bus_buffer.scala 413:87] + wire [3:0] buf_rsp_pickage_1 = {_T_2762,_T_2759,_T_2756,_T_2753}; // @[Cat.scala 29:58] + wire _T_2037 = |buf_rsp_pickage_1; // @[lsu_bus_buffer.scala 381:65] + wire _T_2038 = ~_T_2037; // @[lsu_bus_buffer.scala 381:44] + wire _T_2040 = _T_2038 & _T_2740; // @[lsu_bus_buffer.scala 381:70] + reg [3:0] buf_rspageQ_2; // @[lsu_bus_buffer.scala 500:63] + wire _T_2777 = buf_rspageQ_2[3] & _T_2746; // @[lsu_bus_buffer.scala 413:87] + wire _T_2774 = buf_rspageQ_2[2] & _T_2743; // @[lsu_bus_buffer.scala 413:87] + wire _T_2771 = buf_rspageQ_2[1] & _T_2740; // @[lsu_bus_buffer.scala 413:87] + wire _T_2768 = buf_rspageQ_2[0] & _T_2737; // @[lsu_bus_buffer.scala 413:87] + wire [3:0] buf_rsp_pickage_2 = {_T_2777,_T_2774,_T_2771,_T_2768}; // @[Cat.scala 29:58] + wire _T_2041 = |buf_rsp_pickage_2; // @[lsu_bus_buffer.scala 381:65] + wire _T_2042 = ~_T_2041; // @[lsu_bus_buffer.scala 381:44] + wire _T_2044 = _T_2042 & _T_2743; // @[lsu_bus_buffer.scala 381:70] + reg [3:0] buf_rspageQ_3; // @[lsu_bus_buffer.scala 500:63] + wire _T_2792 = buf_rspageQ_3[3] & _T_2746; // @[lsu_bus_buffer.scala 413:87] + wire _T_2789 = buf_rspageQ_3[2] & _T_2743; // @[lsu_bus_buffer.scala 413:87] + wire _T_2786 = buf_rspageQ_3[1] & _T_2740; // @[lsu_bus_buffer.scala 413:87] + wire _T_2783 = buf_rspageQ_3[0] & _T_2737; // @[lsu_bus_buffer.scala 413:87] + wire [3:0] buf_rsp_pickage_3 = {_T_2792,_T_2789,_T_2786,_T_2783}; // @[Cat.scala 29:58] + wire _T_2045 = |buf_rsp_pickage_3; // @[lsu_bus_buffer.scala 381:65] + wire _T_2046 = ~_T_2045; // @[lsu_bus_buffer.scala 381:44] + wire _T_2048 = _T_2046 & _T_2746; // @[lsu_bus_buffer.scala 381:70] + wire [7:0] _T_2104 = {4'h0,_T_2048,_T_2044,_T_2040,_T_2036}; // @[Cat.scala 29:58] + wire _T_2107 = _T_2104[4] | _T_2104[5]; // @[lsu_bus_buffer.scala 385:42] + wire _T_2109 = _T_2107 | _T_2104[6]; // @[lsu_bus_buffer.scala 385:48] + wire _T_2111 = _T_2109 | _T_2104[7]; // @[lsu_bus_buffer.scala 385:54] + wire _T_2114 = _T_2104[2] | _T_2104[3]; // @[lsu_bus_buffer.scala 385:67] + wire _T_2116 = _T_2114 | _T_2104[6]; // @[lsu_bus_buffer.scala 385:73] + wire _T_2118 = _T_2116 | _T_2104[7]; // @[lsu_bus_buffer.scala 385:79] + wire _T_2121 = _T_2104[1] | _T_2104[3]; // @[lsu_bus_buffer.scala 385:92] + wire _T_2123 = _T_2121 | _T_2104[5]; // @[lsu_bus_buffer.scala 385:98] + wire _T_2125 = _T_2123 | _T_2104[7]; // @[lsu_bus_buffer.scala 385:104] + wire [2:0] _T_2127 = {_T_2111,_T_2118,_T_2125}; // @[Cat.scala 29:58] + wire _T_3532 = ibuf_byp | io_ldst_dual_r; // @[lsu_bus_buffer.scala 443:77] + wire _T_3533 = ~ibuf_merge_en; // @[lsu_bus_buffer.scala 443:97] + wire _T_3534 = _T_3532 & _T_3533; // @[lsu_bus_buffer.scala 443:95] + wire _T_3535 = 2'h0 == WrPtr0_r; // @[lsu_bus_buffer.scala 443:117] + wire _T_3536 = _T_3534 & _T_3535; // @[lsu_bus_buffer.scala 443:112] + wire _T_3537 = ibuf_byp & io_ldst_dual_r; // @[lsu_bus_buffer.scala 443:144] + wire _T_3538 = 2'h0 == WrPtr1_r; // @[lsu_bus_buffer.scala 443:166] + wire _T_3539 = _T_3537 & _T_3538; // @[lsu_bus_buffer.scala 443:161] + wire _T_3540 = _T_3536 | _T_3539; // @[lsu_bus_buffer.scala 443:132] + wire _T_3541 = _T_853 & _T_3540; // @[lsu_bus_buffer.scala 443:63] + wire _T_3542 = 2'h0 == ibuf_tag; // @[lsu_bus_buffer.scala 443:206] + wire _T_3543 = ibuf_drain_vld & _T_3542; // @[lsu_bus_buffer.scala 443:201] + wire _T_3544 = _T_3541 | _T_3543; // @[lsu_bus_buffer.scala 443:183] + wire _T_3554 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 450:46] + wire _T_3589 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] + wire bus_rsp_write = io_lsu_axi_b_valid & io_lsu_axi_b_ready; // @[lsu_bus_buffer.scala 560:39] + wire _T_3634 = io_lsu_axi_b_bits_id == 3'h0; // @[lsu_bus_buffer.scala 468:73] + wire _T_3635 = bus_rsp_write & _T_3634; // @[lsu_bus_buffer.scala 468:52] + wire _T_3636 = io_lsu_axi_r_bits_id == 3'h0; // @[lsu_bus_buffer.scala 469:46] + reg _T_4307; // @[Reg.scala 27:20] + reg _T_4305; // @[Reg.scala 27:20] + reg _T_4303; // @[Reg.scala 27:20] + reg _T_4301; // @[Reg.scala 27:20] + wire [3:0] buf_ldfwd = {_T_4307,_T_4305,_T_4303,_T_4301}; // @[Cat.scala 29:58] + reg [1:0] buf_ldfwdtag_0; // @[Reg.scala 27:20] + wire [2:0] _GEN_368 = {{1'd0}, buf_ldfwdtag_0}; // @[lsu_bus_buffer.scala 470:47] + wire _T_3638 = io_lsu_axi_r_bits_id == _GEN_368; // @[lsu_bus_buffer.scala 470:47] + wire _T_3639 = buf_ldfwd[0] & _T_3638; // @[lsu_bus_buffer.scala 470:27] + wire _T_3640 = _T_3636 | _T_3639; // @[lsu_bus_buffer.scala 469:77] + wire _T_3641 = buf_dual_0 & buf_dualhi_0; // @[lsu_bus_buffer.scala 471:26] + wire _T_3643 = ~buf_write[0]; // @[lsu_bus_buffer.scala 471:44] + wire _T_3644 = _T_3641 & _T_3643; // @[lsu_bus_buffer.scala 471:42] + wire _T_3645 = _T_3644 & buf_samedw_0; // @[lsu_bus_buffer.scala 471:58] + reg [1:0] buf_dualtag_0; // @[Reg.scala 27:20] + wire [2:0] _GEN_369 = {{1'd0}, buf_dualtag_0}; // @[lsu_bus_buffer.scala 471:94] + wire _T_3646 = io_lsu_axi_r_bits_id == _GEN_369; // @[lsu_bus_buffer.scala 471:94] + wire _T_3647 = _T_3645 & _T_3646; // @[lsu_bus_buffer.scala 471:74] + wire _T_3648 = _T_3640 | _T_3647; // @[lsu_bus_buffer.scala 470:71] + wire _T_3649 = bus_rsp_read & _T_3648; // @[lsu_bus_buffer.scala 469:25] + wire _T_3650 = _T_3635 | _T_3649; // @[lsu_bus_buffer.scala 468:105] + wire _GEN_42 = _T_3589 & _T_3650; // @[Conditional.scala 39:67] + wire _GEN_61 = _T_3555 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] + wire _GEN_73 = _T_3551 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_0 = _T_3528 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] + wire _T_3676 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] + wire [3:0] _T_3686 = buf_ldfwd >> buf_dualtag_0; // @[lsu_bus_buffer.scala 483:21] + reg [1:0] buf_ldfwdtag_3; // @[Reg.scala 27:20] + reg [1:0] buf_ldfwdtag_2; // @[Reg.scala 27:20] + reg [1:0] buf_ldfwdtag_1; // @[Reg.scala 27:20] + wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 483:58] + wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[lsu_bus_buffer.scala 483:58] + wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[lsu_bus_buffer.scala 483:58] + wire [2:0] _GEN_371 = {{1'd0}, _GEN_25}; // @[lsu_bus_buffer.scala 483:58] + wire _T_3688 = io_lsu_axi_r_bits_id == _GEN_371; // @[lsu_bus_buffer.scala 483:58] + wire _T_3689 = _T_3686[0] & _T_3688; // @[lsu_bus_buffer.scala 483:38] + wire _T_3690 = _T_3646 | _T_3689; // @[lsu_bus_buffer.scala 482:95] + wire _T_3691 = bus_rsp_read & _T_3690; // @[lsu_bus_buffer.scala 482:45] + wire _GEN_36 = _T_3676 & _T_3691; // @[Conditional.scala 39:67] + wire _GEN_43 = _T_3589 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] + wire _GEN_53 = _T_3555 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] + wire _GEN_66 = _T_3551 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] + wire buf_state_bus_en_0 = _T_3528 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] + wire _T_3568 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 456:49] + wire _T_3569 = _T_3568 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 456:70] + wire _T_3694 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] + wire [1:0] RspPtr = _T_2127[1:0]; // @[lsu_bus_buffer.scala 393:10] + wire _T_3697 = RspPtr == 2'h0; // @[lsu_bus_buffer.scala 488:37] + wire _T_3698 = buf_dualtag_0 == RspPtr; // @[lsu_bus_buffer.scala 488:98] + wire _T_3699 = buf_dual_0 & _T_3698; // @[lsu_bus_buffer.scala 488:80] + wire _T_3700 = _T_3697 | _T_3699; // @[lsu_bus_buffer.scala 488:65] + wire _T_3701 = _T_3700 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 488:112] + wire _T_3702 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] + wire _GEN_31 = _T_3694 ? _T_3701 : _T_3702; // @[Conditional.scala 39:67] + wire _GEN_37 = _T_3676 ? _T_3569 : _GEN_31; // @[Conditional.scala 39:67] + wire _GEN_44 = _T_3589 ? _T_3569 : _GEN_37; // @[Conditional.scala 39:67] + wire _GEN_54 = _T_3555 ? _T_3569 : _GEN_44; // @[Conditional.scala 39:67] + wire _GEN_64 = _T_3551 ? _T_3554 : _GEN_54; // @[Conditional.scala 39:67] + wire buf_state_en_0 = _T_3528 ? _T_3544 : _GEN_64; // @[Conditional.scala 40:58] + wire _T_2129 = _T_1853 & buf_state_en_0; // @[lsu_bus_buffer.scala 405:94] + wire _T_2135 = ibuf_drain_vld & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 407:23] + wire _T_2137 = _T_2135 & _T_3532; // @[lsu_bus_buffer.scala 407:41] + wire _T_2139 = _T_2137 & _T_1856; // @[lsu_bus_buffer.scala 407:71] + wire _T_2141 = _T_2139 & _T_1854; // @[lsu_bus_buffer.scala 407:92] + wire _T_2142 = _T_4471 | _T_2141; // @[lsu_bus_buffer.scala 406:86] + wire _T_2143 = ibuf_byp & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 408:17] + wire _T_2144 = _T_2143 & io_ldst_dual_r; // @[lsu_bus_buffer.scala 408:35] + wire _T_2146 = _T_2144 & _T_1857; // @[lsu_bus_buffer.scala 408:52] + wire _T_2148 = _T_2146 & _T_1856; // @[lsu_bus_buffer.scala 408:73] + wire _T_2149 = _T_2142 | _T_2148; // @[lsu_bus_buffer.scala 407:114] + wire _T_2150 = _T_2129 & _T_2149; // @[lsu_bus_buffer.scala 405:113] + wire _T_2152 = _T_2150 | buf_age_0[0]; // @[lsu_bus_buffer.scala 408:97] + wire _T_2166 = _T_2139 & _T_1865; // @[lsu_bus_buffer.scala 407:92] + wire _T_2167 = _T_4476 | _T_2166; // @[lsu_bus_buffer.scala 406:86] + wire _T_2173 = _T_2146 & _T_1867; // @[lsu_bus_buffer.scala 408:73] + wire _T_2174 = _T_2167 | _T_2173; // @[lsu_bus_buffer.scala 407:114] + wire _T_2175 = _T_2129 & _T_2174; // @[lsu_bus_buffer.scala 405:113] + wire _T_2177 = _T_2175 | buf_age_0[1]; // @[lsu_bus_buffer.scala 408:97] + wire _T_2191 = _T_2139 & _T_1876; // @[lsu_bus_buffer.scala 407:92] + wire _T_2192 = _T_4481 | _T_2191; // @[lsu_bus_buffer.scala 406:86] + wire _T_2198 = _T_2146 & _T_1878; // @[lsu_bus_buffer.scala 408:73] + wire _T_2199 = _T_2192 | _T_2198; // @[lsu_bus_buffer.scala 407:114] + wire _T_2200 = _T_2129 & _T_2199; // @[lsu_bus_buffer.scala 405:113] + wire _T_2202 = _T_2200 | buf_age_0[2]; // @[lsu_bus_buffer.scala 408:97] + wire _T_2216 = _T_2139 & _T_1887; // @[lsu_bus_buffer.scala 407:92] + wire _T_2217 = _T_4486 | _T_2216; // @[lsu_bus_buffer.scala 406:86] + wire _T_2223 = _T_2146 & _T_1889; // @[lsu_bus_buffer.scala 408:73] + wire _T_2224 = _T_2217 | _T_2223; // @[lsu_bus_buffer.scala 407:114] + wire _T_2225 = _T_2129 & _T_2224; // @[lsu_bus_buffer.scala 405:113] + wire _T_2227 = _T_2225 | buf_age_0[3]; // @[lsu_bus_buffer.scala 408:97] + wire [2:0] _T_2229 = {_T_2227,_T_2202,_T_2177}; // @[Cat.scala 29:58] + wire _T_3728 = 2'h1 == WrPtr0_r; // @[lsu_bus_buffer.scala 443:117] + wire _T_3729 = _T_3534 & _T_3728; // @[lsu_bus_buffer.scala 443:112] + wire _T_3731 = 2'h1 == WrPtr1_r; // @[lsu_bus_buffer.scala 443:166] + wire _T_3732 = _T_3537 & _T_3731; // @[lsu_bus_buffer.scala 443:161] + wire _T_3733 = _T_3729 | _T_3732; // @[lsu_bus_buffer.scala 443:132] + wire _T_3734 = _T_853 & _T_3733; // @[lsu_bus_buffer.scala 443:63] + wire _T_3735 = 2'h1 == ibuf_tag; // @[lsu_bus_buffer.scala 443:206] + wire _T_3736 = ibuf_drain_vld & _T_3735; // @[lsu_bus_buffer.scala 443:201] + wire _T_3737 = _T_3734 | _T_3736; // @[lsu_bus_buffer.scala 443:183] + wire _T_3782 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3827 = io_lsu_axi_b_bits_id == 3'h1; // @[lsu_bus_buffer.scala 468:73] + wire _T_3828 = bus_rsp_write & _T_3827; // @[lsu_bus_buffer.scala 468:52] + wire _T_3829 = io_lsu_axi_r_bits_id == 3'h1; // @[lsu_bus_buffer.scala 469:46] + wire [2:0] _GEN_372 = {{1'd0}, buf_ldfwdtag_1}; // @[lsu_bus_buffer.scala 470:47] + wire _T_3831 = io_lsu_axi_r_bits_id == _GEN_372; // @[lsu_bus_buffer.scala 470:47] + wire _T_3832 = buf_ldfwd[1] & _T_3831; // @[lsu_bus_buffer.scala 470:27] + wire _T_3833 = _T_3829 | _T_3832; // @[lsu_bus_buffer.scala 469:77] + wire _T_3834 = buf_dual_1 & buf_dualhi_1; // @[lsu_bus_buffer.scala 471:26] + wire _T_3836 = ~buf_write[1]; // @[lsu_bus_buffer.scala 471:44] + wire _T_3837 = _T_3834 & _T_3836; // @[lsu_bus_buffer.scala 471:42] + wire _T_3838 = _T_3837 & buf_samedw_1; // @[lsu_bus_buffer.scala 471:58] + reg [1:0] buf_dualtag_1; // @[Reg.scala 27:20] + wire [2:0] _GEN_373 = {{1'd0}, buf_dualtag_1}; // @[lsu_bus_buffer.scala 471:94] + wire _T_3839 = io_lsu_axi_r_bits_id == _GEN_373; // @[lsu_bus_buffer.scala 471:94] + wire _T_3840 = _T_3838 & _T_3839; // @[lsu_bus_buffer.scala 471:74] + wire _T_3841 = _T_3833 | _T_3840; // @[lsu_bus_buffer.scala 470:71] + wire _T_3842 = bus_rsp_read & _T_3841; // @[lsu_bus_buffer.scala 469:25] + wire _T_3843 = _T_3828 | _T_3842; // @[lsu_bus_buffer.scala 468:105] + wire _GEN_118 = _T_3782 & _T_3843; // @[Conditional.scala 39:67] + wire _GEN_137 = _T_3748 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] + wire _GEN_149 = _T_3744 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_1 = _T_3721 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] + wire _T_3869 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] + wire [3:0] _T_3879 = buf_ldfwd >> buf_dualtag_1; // @[lsu_bus_buffer.scala 483:21] + wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 483:58] + wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[lsu_bus_buffer.scala 483:58] + wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[lsu_bus_buffer.scala 483:58] + wire [2:0] _GEN_375 = {{1'd0}, _GEN_101}; // @[lsu_bus_buffer.scala 483:58] + wire _T_3881 = io_lsu_axi_r_bits_id == _GEN_375; // @[lsu_bus_buffer.scala 483:58] + wire _T_3882 = _T_3879[0] & _T_3881; // @[lsu_bus_buffer.scala 483:38] + wire _T_3883 = _T_3839 | _T_3882; // @[lsu_bus_buffer.scala 482:95] + wire _T_3884 = bus_rsp_read & _T_3883; // @[lsu_bus_buffer.scala 482:45] + wire _GEN_112 = _T_3869 & _T_3884; // @[Conditional.scala 39:67] + wire _GEN_119 = _T_3782 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] + wire _GEN_129 = _T_3748 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] + wire _GEN_142 = _T_3744 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] + wire buf_state_bus_en_1 = _T_3721 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] + wire _T_3761 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 456:49] + wire _T_3762 = _T_3761 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 456:70] + wire _T_3887 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3890 = RspPtr == 2'h1; // @[lsu_bus_buffer.scala 488:37] + wire _T_3891 = buf_dualtag_1 == RspPtr; // @[lsu_bus_buffer.scala 488:98] + wire _T_3892 = buf_dual_1 & _T_3891; // @[lsu_bus_buffer.scala 488:80] + wire _T_3893 = _T_3890 | _T_3892; // @[lsu_bus_buffer.scala 488:65] + wire _T_3894 = _T_3893 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 488:112] + wire _T_3895 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] + wire _GEN_107 = _T_3887 ? _T_3894 : _T_3895; // @[Conditional.scala 39:67] + wire _GEN_113 = _T_3869 ? _T_3762 : _GEN_107; // @[Conditional.scala 39:67] + wire _GEN_120 = _T_3782 ? _T_3762 : _GEN_113; // @[Conditional.scala 39:67] + wire _GEN_130 = _T_3748 ? _T_3762 : _GEN_120; // @[Conditional.scala 39:67] + wire _GEN_140 = _T_3744 ? _T_3554 : _GEN_130; // @[Conditional.scala 39:67] + wire buf_state_en_1 = _T_3721 ? _T_3737 : _GEN_140; // @[Conditional.scala 40:58] + wire _T_2231 = _T_1864 & buf_state_en_1; // @[lsu_bus_buffer.scala 405:94] + wire _T_2241 = _T_2137 & _T_1867; // @[lsu_bus_buffer.scala 407:71] + wire _T_2243 = _T_2241 & _T_1854; // @[lsu_bus_buffer.scala 407:92] + wire _T_2244 = _T_4471 | _T_2243; // @[lsu_bus_buffer.scala 406:86] + wire _T_2248 = _T_2144 & _T_1868; // @[lsu_bus_buffer.scala 408:52] + wire _T_2250 = _T_2248 & _T_1856; // @[lsu_bus_buffer.scala 408:73] + wire _T_2251 = _T_2244 | _T_2250; // @[lsu_bus_buffer.scala 407:114] + wire _T_2252 = _T_2231 & _T_2251; // @[lsu_bus_buffer.scala 405:113] + wire _T_2254 = _T_2252 | buf_age_1[0]; // @[lsu_bus_buffer.scala 408:97] + wire _T_2268 = _T_2241 & _T_1865; // @[lsu_bus_buffer.scala 407:92] + wire _T_2269 = _T_4476 | _T_2268; // @[lsu_bus_buffer.scala 406:86] + wire _T_2275 = _T_2248 & _T_1867; // @[lsu_bus_buffer.scala 408:73] + wire _T_2276 = _T_2269 | _T_2275; // @[lsu_bus_buffer.scala 407:114] + wire _T_2277 = _T_2231 & _T_2276; // @[lsu_bus_buffer.scala 405:113] + wire _T_2279 = _T_2277 | buf_age_1[1]; // @[lsu_bus_buffer.scala 408:97] + wire _T_2293 = _T_2241 & _T_1876; // @[lsu_bus_buffer.scala 407:92] + wire _T_2294 = _T_4481 | _T_2293; // @[lsu_bus_buffer.scala 406:86] + wire _T_2300 = _T_2248 & _T_1878; // @[lsu_bus_buffer.scala 408:73] + wire _T_2301 = _T_2294 | _T_2300; // @[lsu_bus_buffer.scala 407:114] + wire _T_2302 = _T_2231 & _T_2301; // @[lsu_bus_buffer.scala 405:113] + wire _T_2304 = _T_2302 | buf_age_1[2]; // @[lsu_bus_buffer.scala 408:97] + wire _T_2318 = _T_2241 & _T_1887; // @[lsu_bus_buffer.scala 407:92] + wire _T_2319 = _T_4486 | _T_2318; // @[lsu_bus_buffer.scala 406:86] + wire _T_2325 = _T_2248 & _T_1889; // @[lsu_bus_buffer.scala 408:73] + wire _T_2326 = _T_2319 | _T_2325; // @[lsu_bus_buffer.scala 407:114] + wire _T_2327 = _T_2231 & _T_2326; // @[lsu_bus_buffer.scala 405:113] + wire _T_2329 = _T_2327 | buf_age_1[3]; // @[lsu_bus_buffer.scala 408:97] + wire [2:0] _T_2331 = {_T_2329,_T_2304,_T_2279}; // @[Cat.scala 29:58] + wire _T_3921 = 2'h2 == WrPtr0_r; // @[lsu_bus_buffer.scala 443:117] + wire _T_3922 = _T_3534 & _T_3921; // @[lsu_bus_buffer.scala 443:112] + wire _T_3924 = 2'h2 == WrPtr1_r; // @[lsu_bus_buffer.scala 443:166] + wire _T_3925 = _T_3537 & _T_3924; // @[lsu_bus_buffer.scala 443:161] + wire _T_3926 = _T_3922 | _T_3925; // @[lsu_bus_buffer.scala 443:132] + wire _T_3927 = _T_853 & _T_3926; // @[lsu_bus_buffer.scala 443:63] + wire _T_3928 = 2'h2 == ibuf_tag; // @[lsu_bus_buffer.scala 443:206] + wire _T_3929 = ibuf_drain_vld & _T_3928; // @[lsu_bus_buffer.scala 443:201] + wire _T_3930 = _T_3927 | _T_3929; // @[lsu_bus_buffer.scala 443:183] + wire _T_3975 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_4020 = io_lsu_axi_b_bits_id == 3'h2; // @[lsu_bus_buffer.scala 468:73] + wire _T_4021 = bus_rsp_write & _T_4020; // @[lsu_bus_buffer.scala 468:52] + wire _T_4022 = io_lsu_axi_r_bits_id == 3'h2; // @[lsu_bus_buffer.scala 469:46] + wire [2:0] _GEN_376 = {{1'd0}, buf_ldfwdtag_2}; // @[lsu_bus_buffer.scala 470:47] + wire _T_4024 = io_lsu_axi_r_bits_id == _GEN_376; // @[lsu_bus_buffer.scala 470:47] + wire _T_4025 = buf_ldfwd[2] & _T_4024; // @[lsu_bus_buffer.scala 470:27] + wire _T_4026 = _T_4022 | _T_4025; // @[lsu_bus_buffer.scala 469:77] + wire _T_4027 = buf_dual_2 & buf_dualhi_2; // @[lsu_bus_buffer.scala 471:26] + wire _T_4029 = ~buf_write[2]; // @[lsu_bus_buffer.scala 471:44] + wire _T_4030 = _T_4027 & _T_4029; // @[lsu_bus_buffer.scala 471:42] + wire _T_4031 = _T_4030 & buf_samedw_2; // @[lsu_bus_buffer.scala 471:58] + reg [1:0] buf_dualtag_2; // @[Reg.scala 27:20] + wire [2:0] _GEN_377 = {{1'd0}, buf_dualtag_2}; // @[lsu_bus_buffer.scala 471:94] + wire _T_4032 = io_lsu_axi_r_bits_id == _GEN_377; // @[lsu_bus_buffer.scala 471:94] + wire _T_4033 = _T_4031 & _T_4032; // @[lsu_bus_buffer.scala 471:74] + wire _T_4034 = _T_4026 | _T_4033; // @[lsu_bus_buffer.scala 470:71] + wire _T_4035 = bus_rsp_read & _T_4034; // @[lsu_bus_buffer.scala 469:25] + wire _T_4036 = _T_4021 | _T_4035; // @[lsu_bus_buffer.scala 468:105] + wire _GEN_194 = _T_3975 & _T_4036; // @[Conditional.scala 39:67] + wire _GEN_213 = _T_3941 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] + wire _GEN_225 = _T_3937 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_2 = _T_3914 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] + wire _T_4062 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] + wire [3:0] _T_4072 = buf_ldfwd >> buf_dualtag_2; // @[lsu_bus_buffer.scala 483:21] + wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 483:58] + wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[lsu_bus_buffer.scala 483:58] + wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[lsu_bus_buffer.scala 483:58] + wire [2:0] _GEN_379 = {{1'd0}, _GEN_177}; // @[lsu_bus_buffer.scala 483:58] + wire _T_4074 = io_lsu_axi_r_bits_id == _GEN_379; // @[lsu_bus_buffer.scala 483:58] + wire _T_4075 = _T_4072[0] & _T_4074; // @[lsu_bus_buffer.scala 483:38] + wire _T_4076 = _T_4032 | _T_4075; // @[lsu_bus_buffer.scala 482:95] + wire _T_4077 = bus_rsp_read & _T_4076; // @[lsu_bus_buffer.scala 482:45] + wire _GEN_188 = _T_4062 & _T_4077; // @[Conditional.scala 39:67] + wire _GEN_195 = _T_3975 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] + wire _GEN_205 = _T_3941 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] + wire _GEN_218 = _T_3937 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] + wire buf_state_bus_en_2 = _T_3914 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] + wire _T_3954 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 456:49] + wire _T_3955 = _T_3954 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 456:70] + wire _T_4080 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_4083 = RspPtr == 2'h2; // @[lsu_bus_buffer.scala 488:37] + wire _T_4084 = buf_dualtag_2 == RspPtr; // @[lsu_bus_buffer.scala 488:98] + wire _T_4085 = buf_dual_2 & _T_4084; // @[lsu_bus_buffer.scala 488:80] + wire _T_4086 = _T_4083 | _T_4085; // @[lsu_bus_buffer.scala 488:65] + wire _T_4087 = _T_4086 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 488:112] + wire _T_4088 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] + wire _GEN_183 = _T_4080 ? _T_4087 : _T_4088; // @[Conditional.scala 39:67] + wire _GEN_189 = _T_4062 ? _T_3955 : _GEN_183; // @[Conditional.scala 39:67] + wire _GEN_196 = _T_3975 ? _T_3955 : _GEN_189; // @[Conditional.scala 39:67] + wire _GEN_206 = _T_3941 ? _T_3955 : _GEN_196; // @[Conditional.scala 39:67] + wire _GEN_216 = _T_3937 ? _T_3554 : _GEN_206; // @[Conditional.scala 39:67] + wire buf_state_en_2 = _T_3914 ? _T_3930 : _GEN_216; // @[Conditional.scala 40:58] + wire _T_2333 = _T_1875 & buf_state_en_2; // @[lsu_bus_buffer.scala 405:94] + wire _T_2343 = _T_2137 & _T_1878; // @[lsu_bus_buffer.scala 407:71] + wire _T_2345 = _T_2343 & _T_1854; // @[lsu_bus_buffer.scala 407:92] + wire _T_2346 = _T_4471 | _T_2345; // @[lsu_bus_buffer.scala 406:86] + wire _T_2350 = _T_2144 & _T_1879; // @[lsu_bus_buffer.scala 408:52] + wire _T_2352 = _T_2350 & _T_1856; // @[lsu_bus_buffer.scala 408:73] + wire _T_2353 = _T_2346 | _T_2352; // @[lsu_bus_buffer.scala 407:114] + wire _T_2354 = _T_2333 & _T_2353; // @[lsu_bus_buffer.scala 405:113] + wire _T_2356 = _T_2354 | buf_age_2[0]; // @[lsu_bus_buffer.scala 408:97] + wire _T_2370 = _T_2343 & _T_1865; // @[lsu_bus_buffer.scala 407:92] + wire _T_2371 = _T_4476 | _T_2370; // @[lsu_bus_buffer.scala 406:86] + wire _T_2377 = _T_2350 & _T_1867; // @[lsu_bus_buffer.scala 408:73] + wire _T_2378 = _T_2371 | _T_2377; // @[lsu_bus_buffer.scala 407:114] + wire _T_2379 = _T_2333 & _T_2378; // @[lsu_bus_buffer.scala 405:113] + wire _T_2381 = _T_2379 | buf_age_2[1]; // @[lsu_bus_buffer.scala 408:97] + wire _T_2395 = _T_2343 & _T_1876; // @[lsu_bus_buffer.scala 407:92] + wire _T_2396 = _T_4481 | _T_2395; // @[lsu_bus_buffer.scala 406:86] + wire _T_2402 = _T_2350 & _T_1878; // @[lsu_bus_buffer.scala 408:73] + wire _T_2403 = _T_2396 | _T_2402; // @[lsu_bus_buffer.scala 407:114] + wire _T_2404 = _T_2333 & _T_2403; // @[lsu_bus_buffer.scala 405:113] + wire _T_2406 = _T_2404 | buf_age_2[2]; // @[lsu_bus_buffer.scala 408:97] + wire _T_2420 = _T_2343 & _T_1887; // @[lsu_bus_buffer.scala 407:92] + wire _T_2421 = _T_4486 | _T_2420; // @[lsu_bus_buffer.scala 406:86] + wire _T_2427 = _T_2350 & _T_1889; // @[lsu_bus_buffer.scala 408:73] + wire _T_2428 = _T_2421 | _T_2427; // @[lsu_bus_buffer.scala 407:114] + wire _T_2429 = _T_2333 & _T_2428; // @[lsu_bus_buffer.scala 405:113] + wire _T_2431 = _T_2429 | buf_age_2[3]; // @[lsu_bus_buffer.scala 408:97] + wire [2:0] _T_2433 = {_T_2431,_T_2406,_T_2381}; // @[Cat.scala 29:58] + wire _T_4114 = 2'h3 == WrPtr0_r; // @[lsu_bus_buffer.scala 443:117] + wire _T_4115 = _T_3534 & _T_4114; // @[lsu_bus_buffer.scala 443:112] + wire _T_4117 = 2'h3 == WrPtr1_r; // @[lsu_bus_buffer.scala 443:166] + wire _T_4118 = _T_3537 & _T_4117; // @[lsu_bus_buffer.scala 443:161] + wire _T_4119 = _T_4115 | _T_4118; // @[lsu_bus_buffer.scala 443:132] + wire _T_4120 = _T_853 & _T_4119; // @[lsu_bus_buffer.scala 443:63] + wire _T_4121 = 2'h3 == ibuf_tag; // @[lsu_bus_buffer.scala 443:206] + wire _T_4122 = ibuf_drain_vld & _T_4121; // @[lsu_bus_buffer.scala 443:201] + wire _T_4123 = _T_4120 | _T_4122; // @[lsu_bus_buffer.scala 443:183] + wire _T_4168 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4213 = io_lsu_axi_b_bits_id == 3'h3; // @[lsu_bus_buffer.scala 468:73] + wire _T_4214 = bus_rsp_write & _T_4213; // @[lsu_bus_buffer.scala 468:52] + wire _T_4215 = io_lsu_axi_r_bits_id == 3'h3; // @[lsu_bus_buffer.scala 469:46] + wire [2:0] _GEN_380 = {{1'd0}, buf_ldfwdtag_3}; // @[lsu_bus_buffer.scala 470:47] + wire _T_4217 = io_lsu_axi_r_bits_id == _GEN_380; // @[lsu_bus_buffer.scala 470:47] + wire _T_4218 = buf_ldfwd[3] & _T_4217; // @[lsu_bus_buffer.scala 470:27] + wire _T_4219 = _T_4215 | _T_4218; // @[lsu_bus_buffer.scala 469:77] + wire _T_4220 = buf_dual_3 & buf_dualhi_3; // @[lsu_bus_buffer.scala 471:26] + wire _T_4222 = ~buf_write[3]; // @[lsu_bus_buffer.scala 471:44] + wire _T_4223 = _T_4220 & _T_4222; // @[lsu_bus_buffer.scala 471:42] + wire _T_4224 = _T_4223 & buf_samedw_3; // @[lsu_bus_buffer.scala 471:58] + reg [1:0] buf_dualtag_3; // @[Reg.scala 27:20] + wire [2:0] _GEN_381 = {{1'd0}, buf_dualtag_3}; // @[lsu_bus_buffer.scala 471:94] + wire _T_4225 = io_lsu_axi_r_bits_id == _GEN_381; // @[lsu_bus_buffer.scala 471:94] + wire _T_4226 = _T_4224 & _T_4225; // @[lsu_bus_buffer.scala 471:74] + wire _T_4227 = _T_4219 | _T_4226; // @[lsu_bus_buffer.scala 470:71] + wire _T_4228 = bus_rsp_read & _T_4227; // @[lsu_bus_buffer.scala 469:25] + wire _T_4229 = _T_4214 | _T_4228; // @[lsu_bus_buffer.scala 468:105] + wire _GEN_270 = _T_4168 & _T_4229; // @[Conditional.scala 39:67] + wire _GEN_289 = _T_4134 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] + wire _GEN_301 = _T_4130 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_3 = _T_4107 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] + wire _T_4255 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] + wire [3:0] _T_4265 = buf_ldfwd >> buf_dualtag_3; // @[lsu_bus_buffer.scala 483:21] + wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 483:58] + wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[lsu_bus_buffer.scala 483:58] + wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[lsu_bus_buffer.scala 483:58] + wire [2:0] _GEN_383 = {{1'd0}, _GEN_253}; // @[lsu_bus_buffer.scala 483:58] + wire _T_4267 = io_lsu_axi_r_bits_id == _GEN_383; // @[lsu_bus_buffer.scala 483:58] + wire _T_4268 = _T_4265[0] & _T_4267; // @[lsu_bus_buffer.scala 483:38] + wire _T_4269 = _T_4225 | _T_4268; // @[lsu_bus_buffer.scala 482:95] + wire _T_4270 = bus_rsp_read & _T_4269; // @[lsu_bus_buffer.scala 482:45] + wire _GEN_264 = _T_4255 & _T_4270; // @[Conditional.scala 39:67] + wire _GEN_271 = _T_4168 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] + wire _GEN_281 = _T_4134 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] + wire _GEN_294 = _T_4130 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] + wire buf_state_bus_en_3 = _T_4107 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] + wire _T_4147 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 456:49] + wire _T_4148 = _T_4147 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 456:70] + wire _T_4273 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4276 = RspPtr == 2'h3; // @[lsu_bus_buffer.scala 488:37] + wire _T_4277 = buf_dualtag_3 == RspPtr; // @[lsu_bus_buffer.scala 488:98] + wire _T_4278 = buf_dual_3 & _T_4277; // @[lsu_bus_buffer.scala 488:80] + wire _T_4279 = _T_4276 | _T_4278; // @[lsu_bus_buffer.scala 488:65] + wire _T_4280 = _T_4279 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 488:112] + wire _T_4281 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] + wire _GEN_259 = _T_4273 ? _T_4280 : _T_4281; // @[Conditional.scala 39:67] + wire _GEN_265 = _T_4255 ? _T_4148 : _GEN_259; // @[Conditional.scala 39:67] + wire _GEN_272 = _T_4168 ? _T_4148 : _GEN_265; // @[Conditional.scala 39:67] + wire _GEN_282 = _T_4134 ? _T_4148 : _GEN_272; // @[Conditional.scala 39:67] + wire _GEN_292 = _T_4130 ? _T_3554 : _GEN_282; // @[Conditional.scala 39:67] + wire buf_state_en_3 = _T_4107 ? _T_4123 : _GEN_292; // @[Conditional.scala 40:58] + wire _T_2435 = _T_1886 & buf_state_en_3; // @[lsu_bus_buffer.scala 405:94] + wire _T_2445 = _T_2137 & _T_1889; // @[lsu_bus_buffer.scala 407:71] + wire _T_2447 = _T_2445 & _T_1854; // @[lsu_bus_buffer.scala 407:92] + wire _T_2448 = _T_4471 | _T_2447; // @[lsu_bus_buffer.scala 406:86] + wire _T_2452 = _T_2144 & _T_1890; // @[lsu_bus_buffer.scala 408:52] + wire _T_2454 = _T_2452 & _T_1856; // @[lsu_bus_buffer.scala 408:73] + wire _T_2455 = _T_2448 | _T_2454; // @[lsu_bus_buffer.scala 407:114] + wire _T_2456 = _T_2435 & _T_2455; // @[lsu_bus_buffer.scala 405:113] + wire _T_2458 = _T_2456 | buf_age_3[0]; // @[lsu_bus_buffer.scala 408:97] + wire _T_2472 = _T_2445 & _T_1865; // @[lsu_bus_buffer.scala 407:92] + wire _T_2473 = _T_4476 | _T_2472; // @[lsu_bus_buffer.scala 406:86] + wire _T_2479 = _T_2452 & _T_1867; // @[lsu_bus_buffer.scala 408:73] + wire _T_2480 = _T_2473 | _T_2479; // @[lsu_bus_buffer.scala 407:114] + wire _T_2481 = _T_2435 & _T_2480; // @[lsu_bus_buffer.scala 405:113] + wire _T_2483 = _T_2481 | buf_age_3[1]; // @[lsu_bus_buffer.scala 408:97] + wire _T_2497 = _T_2445 & _T_1876; // @[lsu_bus_buffer.scala 407:92] + wire _T_2498 = _T_4481 | _T_2497; // @[lsu_bus_buffer.scala 406:86] + wire _T_2504 = _T_2452 & _T_1878; // @[lsu_bus_buffer.scala 408:73] + wire _T_2505 = _T_2498 | _T_2504; // @[lsu_bus_buffer.scala 407:114] + wire _T_2506 = _T_2435 & _T_2505; // @[lsu_bus_buffer.scala 405:113] + wire _T_2508 = _T_2506 | buf_age_3[2]; // @[lsu_bus_buffer.scala 408:97] + wire _T_2522 = _T_2445 & _T_1887; // @[lsu_bus_buffer.scala 407:92] + wire _T_2523 = _T_4486 | _T_2522; // @[lsu_bus_buffer.scala 406:86] + wire _T_2529 = _T_2452 & _T_1889; // @[lsu_bus_buffer.scala 408:73] + wire _T_2530 = _T_2523 | _T_2529; // @[lsu_bus_buffer.scala 407:114] + wire _T_2531 = _T_2435 & _T_2530; // @[lsu_bus_buffer.scala 405:113] + wire _T_2533 = _T_2531 | buf_age_3[3]; // @[lsu_bus_buffer.scala 408:97] + wire [2:0] _T_2535 = {_T_2533,_T_2508,_T_2483}; // @[Cat.scala 29:58] + wire _T_2799 = buf_state_0 == 3'h6; // @[lsu_bus_buffer.scala 416:47] + wire _T_2800 = _T_1853 | _T_2799; // @[lsu_bus_buffer.scala 416:32] + wire _T_2801 = ~_T_2800; // @[lsu_bus_buffer.scala 416:6] + wire _T_2809 = _T_2801 | _T_2141; // @[lsu_bus_buffer.scala 416:59] + wire _T_2816 = _T_2809 | _T_2148; // @[lsu_bus_buffer.scala 417:110] + wire _T_2817 = _T_2129 & _T_2816; // @[lsu_bus_buffer.scala 415:112] + wire _T_2821 = buf_state_1 == 3'h6; // @[lsu_bus_buffer.scala 416:47] + wire _T_2822 = _T_1864 | _T_2821; // @[lsu_bus_buffer.scala 416:32] + wire _T_2823 = ~_T_2822; // @[lsu_bus_buffer.scala 416:6] + wire _T_2831 = _T_2823 | _T_2166; // @[lsu_bus_buffer.scala 416:59] + wire _T_2838 = _T_2831 | _T_2173; // @[lsu_bus_buffer.scala 417:110] + wire _T_2839 = _T_2129 & _T_2838; // @[lsu_bus_buffer.scala 415:112] + wire _T_2843 = buf_state_2 == 3'h6; // @[lsu_bus_buffer.scala 416:47] + wire _T_2844 = _T_1875 | _T_2843; // @[lsu_bus_buffer.scala 416:32] + wire _T_2845 = ~_T_2844; // @[lsu_bus_buffer.scala 416:6] + wire _T_2853 = _T_2845 | _T_2191; // @[lsu_bus_buffer.scala 416:59] + wire _T_2860 = _T_2853 | _T_2198; // @[lsu_bus_buffer.scala 417:110] + wire _T_2861 = _T_2129 & _T_2860; // @[lsu_bus_buffer.scala 415:112] + wire _T_2865 = buf_state_3 == 3'h6; // @[lsu_bus_buffer.scala 416:47] + wire _T_2866 = _T_1886 | _T_2865; // @[lsu_bus_buffer.scala 416:32] + wire _T_2867 = ~_T_2866; // @[lsu_bus_buffer.scala 416:6] + wire _T_2875 = _T_2867 | _T_2216; // @[lsu_bus_buffer.scala 416:59] + wire _T_2882 = _T_2875 | _T_2223; // @[lsu_bus_buffer.scala 417:110] + wire _T_2883 = _T_2129 & _T_2882; // @[lsu_bus_buffer.scala 415:112] + wire [3:0] buf_rspage_set_0 = {_T_2883,_T_2861,_T_2839,_T_2817}; // @[Cat.scala 29:58] + wire _T_2900 = _T_2801 | _T_2243; // @[lsu_bus_buffer.scala 416:59] + wire _T_2907 = _T_2900 | _T_2250; // @[lsu_bus_buffer.scala 417:110] + wire _T_2908 = _T_2231 & _T_2907; // @[lsu_bus_buffer.scala 415:112] + wire _T_2922 = _T_2823 | _T_2268; // @[lsu_bus_buffer.scala 416:59] + wire _T_2929 = _T_2922 | _T_2275; // @[lsu_bus_buffer.scala 417:110] + wire _T_2930 = _T_2231 & _T_2929; // @[lsu_bus_buffer.scala 415:112] + wire _T_2944 = _T_2845 | _T_2293; // @[lsu_bus_buffer.scala 416:59] + wire _T_2951 = _T_2944 | _T_2300; // @[lsu_bus_buffer.scala 417:110] + wire _T_2952 = _T_2231 & _T_2951; // @[lsu_bus_buffer.scala 415:112] + wire _T_2966 = _T_2867 | _T_2318; // @[lsu_bus_buffer.scala 416:59] + wire _T_2973 = _T_2966 | _T_2325; // @[lsu_bus_buffer.scala 417:110] + wire _T_2974 = _T_2231 & _T_2973; // @[lsu_bus_buffer.scala 415:112] + wire [3:0] buf_rspage_set_1 = {_T_2974,_T_2952,_T_2930,_T_2908}; // @[Cat.scala 29:58] + wire _T_2991 = _T_2801 | _T_2345; // @[lsu_bus_buffer.scala 416:59] + wire _T_2998 = _T_2991 | _T_2352; // @[lsu_bus_buffer.scala 417:110] + wire _T_2999 = _T_2333 & _T_2998; // @[lsu_bus_buffer.scala 415:112] + wire _T_3013 = _T_2823 | _T_2370; // @[lsu_bus_buffer.scala 416:59] + wire _T_3020 = _T_3013 | _T_2377; // @[lsu_bus_buffer.scala 417:110] + wire _T_3021 = _T_2333 & _T_3020; // @[lsu_bus_buffer.scala 415:112] + wire _T_3035 = _T_2845 | _T_2395; // @[lsu_bus_buffer.scala 416:59] + wire _T_3042 = _T_3035 | _T_2402; // @[lsu_bus_buffer.scala 417:110] + wire _T_3043 = _T_2333 & _T_3042; // @[lsu_bus_buffer.scala 415:112] + wire _T_3057 = _T_2867 | _T_2420; // @[lsu_bus_buffer.scala 416:59] + wire _T_3064 = _T_3057 | _T_2427; // @[lsu_bus_buffer.scala 417:110] + wire _T_3065 = _T_2333 & _T_3064; // @[lsu_bus_buffer.scala 415:112] + wire [3:0] buf_rspage_set_2 = {_T_3065,_T_3043,_T_3021,_T_2999}; // @[Cat.scala 29:58] + wire _T_3082 = _T_2801 | _T_2447; // @[lsu_bus_buffer.scala 416:59] + wire _T_3089 = _T_3082 | _T_2454; // @[lsu_bus_buffer.scala 417:110] + wire _T_3090 = _T_2435 & _T_3089; // @[lsu_bus_buffer.scala 415:112] + wire _T_3104 = _T_2823 | _T_2472; // @[lsu_bus_buffer.scala 416:59] + wire _T_3111 = _T_3104 | _T_2479; // @[lsu_bus_buffer.scala 417:110] + wire _T_3112 = _T_2435 & _T_3111; // @[lsu_bus_buffer.scala 415:112] + wire _T_3126 = _T_2845 | _T_2497; // @[lsu_bus_buffer.scala 416:59] + wire _T_3133 = _T_3126 | _T_2504; // @[lsu_bus_buffer.scala 417:110] + wire _T_3134 = _T_2435 & _T_3133; // @[lsu_bus_buffer.scala 415:112] + wire _T_3148 = _T_2867 | _T_2522; // @[lsu_bus_buffer.scala 416:59] + wire _T_3155 = _T_3148 | _T_2529; // @[lsu_bus_buffer.scala 417:110] + wire _T_3156 = _T_2435 & _T_3155; // @[lsu_bus_buffer.scala 415:112] + wire [3:0] buf_rspage_set_3 = {_T_3156,_T_3134,_T_3112,_T_3090}; // @[Cat.scala 29:58] + wire _T_3241 = _T_2865 | _T_1886; // @[lsu_bus_buffer.scala 420:110] + wire _T_3242 = ~_T_3241; // @[lsu_bus_buffer.scala 420:84] + wire _T_3243 = buf_rspageQ_0[3] & _T_3242; // @[lsu_bus_buffer.scala 420:82] + wire _T_3235 = _T_2843 | _T_1875; // @[lsu_bus_buffer.scala 420:110] + wire _T_3236 = ~_T_3235; // @[lsu_bus_buffer.scala 420:84] + wire _T_3237 = buf_rspageQ_0[2] & _T_3236; // @[lsu_bus_buffer.scala 420:82] + wire _T_3229 = _T_2821 | _T_1864; // @[lsu_bus_buffer.scala 420:110] + wire _T_3230 = ~_T_3229; // @[lsu_bus_buffer.scala 420:84] + wire _T_3231 = buf_rspageQ_0[1] & _T_3230; // @[lsu_bus_buffer.scala 420:82] + wire _T_3223 = _T_2799 | _T_1853; // @[lsu_bus_buffer.scala 420:110] + wire _T_3224 = ~_T_3223; // @[lsu_bus_buffer.scala 420:84] + wire _T_3225 = buf_rspageQ_0[0] & _T_3224; // @[lsu_bus_buffer.scala 420:82] + wire [3:0] buf_rspage_0 = {_T_3243,_T_3237,_T_3231,_T_3225}; // @[Cat.scala 29:58] + wire _T_3162 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[lsu_bus_buffer.scala 419:88] + wire _T_3165 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[lsu_bus_buffer.scala 419:88] + wire _T_3168 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[lsu_bus_buffer.scala 419:88] + wire _T_3171 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[lsu_bus_buffer.scala 419:88] + wire [2:0] _T_3173 = {_T_3171,_T_3168,_T_3165}; // @[Cat.scala 29:58] + wire _T_3270 = buf_rspageQ_1[3] & _T_3242; // @[lsu_bus_buffer.scala 420:82] + wire _T_3264 = buf_rspageQ_1[2] & _T_3236; // @[lsu_bus_buffer.scala 420:82] + wire _T_3258 = buf_rspageQ_1[1] & _T_3230; // @[lsu_bus_buffer.scala 420:82] + wire _T_3252 = buf_rspageQ_1[0] & _T_3224; // @[lsu_bus_buffer.scala 420:82] + wire [3:0] buf_rspage_1 = {_T_3270,_T_3264,_T_3258,_T_3252}; // @[Cat.scala 29:58] + wire _T_3177 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[lsu_bus_buffer.scala 419:88] + wire _T_3180 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[lsu_bus_buffer.scala 419:88] + wire _T_3183 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[lsu_bus_buffer.scala 419:88] + wire _T_3186 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[lsu_bus_buffer.scala 419:88] + wire [2:0] _T_3188 = {_T_3186,_T_3183,_T_3180}; // @[Cat.scala 29:58] + wire _T_3297 = buf_rspageQ_2[3] & _T_3242; // @[lsu_bus_buffer.scala 420:82] + wire _T_3291 = buf_rspageQ_2[2] & _T_3236; // @[lsu_bus_buffer.scala 420:82] + wire _T_3285 = buf_rspageQ_2[1] & _T_3230; // @[lsu_bus_buffer.scala 420:82] + wire _T_3279 = buf_rspageQ_2[0] & _T_3224; // @[lsu_bus_buffer.scala 420:82] + wire [3:0] buf_rspage_2 = {_T_3297,_T_3291,_T_3285,_T_3279}; // @[Cat.scala 29:58] + wire _T_3192 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[lsu_bus_buffer.scala 419:88] + wire _T_3195 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[lsu_bus_buffer.scala 419:88] + wire _T_3198 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[lsu_bus_buffer.scala 419:88] + wire _T_3201 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[lsu_bus_buffer.scala 419:88] + wire [2:0] _T_3203 = {_T_3201,_T_3198,_T_3195}; // @[Cat.scala 29:58] + wire _T_3324 = buf_rspageQ_3[3] & _T_3242; // @[lsu_bus_buffer.scala 420:82] + wire _T_3318 = buf_rspageQ_3[2] & _T_3236; // @[lsu_bus_buffer.scala 420:82] + wire _T_3312 = buf_rspageQ_3[1] & _T_3230; // @[lsu_bus_buffer.scala 420:82] + wire _T_3306 = buf_rspageQ_3[0] & _T_3224; // @[lsu_bus_buffer.scala 420:82] + wire [3:0] buf_rspage_3 = {_T_3324,_T_3318,_T_3312,_T_3306}; // @[Cat.scala 29:58] + wire _T_3207 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[lsu_bus_buffer.scala 419:88] + wire _T_3210 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[lsu_bus_buffer.scala 419:88] + wire _T_3213 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[lsu_bus_buffer.scala 419:88] + wire _T_3216 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[lsu_bus_buffer.scala 419:88] + wire [2:0] _T_3218 = {_T_3216,_T_3213,_T_3210}; // @[Cat.scala 29:58] + wire _T_3329 = ibuf_drain_vld & _T_1854; // @[lsu_bus_buffer.scala 425:63] + wire _T_3331 = ibuf_drain_vld & _T_1865; // @[lsu_bus_buffer.scala 425:63] + wire _T_3333 = ibuf_drain_vld & _T_1876; // @[lsu_bus_buffer.scala 425:63] + wire _T_3335 = ibuf_drain_vld & _T_1887; // @[lsu_bus_buffer.scala 425:63] + wire [3:0] ibuf_drainvec_vld = {_T_3335,_T_3333,_T_3331,_T_3329}; // @[Cat.scala 29:58] + wire _T_3343 = _T_3537 & _T_1857; // @[lsu_bus_buffer.scala 427:35] + wire _T_3352 = _T_3537 & _T_1868; // @[lsu_bus_buffer.scala 427:35] + wire _T_3361 = _T_3537 & _T_1879; // @[lsu_bus_buffer.scala 427:35] + wire _T_3370 = _T_3537 & _T_1890; // @[lsu_bus_buffer.scala 427:35] + wire _T_3400 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 429:45] + wire _T_3402 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 429:45] + wire _T_3404 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 429:45] + wire _T_3406 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 429:45] + wire [3:0] buf_dual_in = {_T_3406,_T_3404,_T_3402,_T_3400}; // @[Cat.scala 29:58] + wire _T_3411 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 430:47] + wire _T_3413 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 430:47] + wire _T_3415 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 430:47] + wire _T_3417 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 430:47] + wire [3:0] buf_samedw_in = {_T_3417,_T_3415,_T_3413,_T_3411}; // @[Cat.scala 29:58] + wire _T_3422 = ibuf_nomerge | ibuf_force_drain; // @[lsu_bus_buffer.scala 431:84] + wire _T_3423 = ibuf_drainvec_vld[0] ? _T_3422 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 431:48] + wire _T_3426 = ibuf_drainvec_vld[1] ? _T_3422 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 431:48] + wire _T_3429 = ibuf_drainvec_vld[2] ? _T_3422 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 431:48] + wire _T_3432 = ibuf_drainvec_vld[3] ? _T_3422 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 431:48] + wire [3:0] buf_nomerge_in = {_T_3432,_T_3429,_T_3426,_T_3423}; // @[Cat.scala 29:58] + wire _T_3440 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3343; // @[lsu_bus_buffer.scala 432:47] + wire _T_3445 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3352; // @[lsu_bus_buffer.scala 432:47] + wire _T_3450 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3361; // @[lsu_bus_buffer.scala 432:47] + wire _T_3455 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3370; // @[lsu_bus_buffer.scala 432:47] + wire [3:0] buf_dualhi_in = {_T_3455,_T_3450,_T_3445,_T_3440}; // @[Cat.scala 29:58] + wire _T_3484 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 434:51] + wire _T_3486 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 434:51] + wire _T_3488 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 434:51] + wire _T_3490 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 434:51] + wire [3:0] buf_sideeffect_in = {_T_3490,_T_3488,_T_3486,_T_3484}; // @[Cat.scala 29:58] + wire _T_3495 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 435:47] + wire _T_3497 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 435:47] + wire _T_3499 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 435:47] + wire _T_3501 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 435:47] + wire [3:0] buf_unsign_in = {_T_3501,_T_3499,_T_3497,_T_3495}; // @[Cat.scala 29:58] + wire _T_3518 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 437:46] + wire _T_3520 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 437:46] + wire _T_3522 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 437:46] + wire _T_3524 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 437:46] + wire [3:0] buf_write_in = {_T_3524,_T_3522,_T_3520,_T_3518}; // @[Cat.scala 29:58] + wire _T_3557 = obuf_nosend & bus_rsp_read; // @[lsu_bus_buffer.scala 453:89] + wire _T_3559 = _T_3557 & _T_1351; // @[lsu_bus_buffer.scala 453:104] + wire _T_3572 = buf_state_en_0 & _T_3643; // @[lsu_bus_buffer.scala 458:44] + wire _T_3573 = _T_3572 & obuf_nosend; // @[lsu_bus_buffer.scala 458:60] + wire _T_3575 = _T_3573 & _T_1333; // @[lsu_bus_buffer.scala 458:74] + wire _T_3578 = _T_3568 & obuf_nosend; // @[lsu_bus_buffer.scala 460:67] + wire _T_3579 = _T_3578 & bus_rsp_read; // @[lsu_bus_buffer.scala 460:81] + wire _T_4872 = io_lsu_axi_r_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 564:64] + wire bus_rsp_read_error = bus_rsp_read & _T_4872; // @[lsu_bus_buffer.scala 564:38] + wire _T_3582 = _T_3578 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 461:82] + wire _T_3657 = bus_rsp_read_error & _T_3636; // @[lsu_bus_buffer.scala 475:91] + wire _T_3659 = bus_rsp_read_error & buf_ldfwd[0]; // @[lsu_bus_buffer.scala 476:31] + wire _T_3661 = _T_3659 & _T_3638; // @[lsu_bus_buffer.scala 476:46] + wire _T_3662 = _T_3657 | _T_3661; // @[lsu_bus_buffer.scala 475:143] + wire _T_3667 = _T_3568 & _T_3662; // @[lsu_bus_buffer.scala 475:68] + wire _GEN_46 = _T_3589 & _T_3667; // @[Conditional.scala 39:67] + wire _GEN_59 = _T_3555 ? _T_3582 : _GEN_46; // @[Conditional.scala 39:67] + wire _GEN_71 = _T_3551 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] + wire buf_error_en_0 = _T_3528 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] + wire _T_3594 = io_dec_tlu_force_halt | buf_write[0]; // @[lsu_bus_buffer.scala 465:55] + wire _T_3596 = ~buf_samedw_0; // @[lsu_bus_buffer.scala 466:30] + wire _T_3597 = buf_dual_0 & _T_3596; // @[lsu_bus_buffer.scala 466:28] + wire _T_3600 = _T_3597 & _T_3643; // @[lsu_bus_buffer.scala 466:45] + wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 466:90] + wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[lsu_bus_buffer.scala 466:90] + wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[lsu_bus_buffer.scala 466:90] + wire _T_3601 = _GEN_21 != 3'h4; // @[lsu_bus_buffer.scala 466:90] + wire _T_3602 = _T_3600 & _T_3601; // @[lsu_bus_buffer.scala 466:61] + wire _T_4494 = _T_2746 | _T_2743; // @[lsu_bus_buffer.scala 524:93] + wire _T_4495 = _T_4494 | _T_2740; // @[lsu_bus_buffer.scala 524:93] + wire any_done_wait_state = _T_4495 | _T_2737; // @[lsu_bus_buffer.scala 524:93] + wire _T_3604 = buf_ldfwd[0] | any_done_wait_state; // @[lsu_bus_buffer.scala 467:31] + wire _T_3610 = buf_dualtag_0 == 2'h0; // @[lsu_bus_buffer.scala 57:118] + wire _T_3612 = buf_dualtag_0 == 2'h1; // @[lsu_bus_buffer.scala 57:118] + wire _T_3614 = buf_dualtag_0 == 2'h2; // @[lsu_bus_buffer.scala 57:118] + wire _T_3616 = buf_dualtag_0 == 2'h3; // @[lsu_bus_buffer.scala 57:118] + wire _T_3618 = _T_3610 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3619 = _T_3612 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3620 = _T_3614 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3621 = _T_3616 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3622 = _T_3618 | _T_3619; // @[Mux.scala 27:72] + wire _T_3623 = _T_3622 | _T_3620; // @[Mux.scala 27:72] + wire _T_3624 = _T_3623 | _T_3621; // @[Mux.scala 27:72] + wire _T_3626 = _T_3600 & _T_3624; // @[lsu_bus_buffer.scala 467:101] + wire _T_3627 = _GEN_21 == 3'h4; // @[lsu_bus_buffer.scala 467:167] + wire _T_3628 = _T_3626 & _T_3627; // @[lsu_bus_buffer.scala 467:138] + wire _T_3629 = _T_3628 & any_done_wait_state; // @[lsu_bus_buffer.scala 467:187] + wire _T_3630 = _T_3604 | _T_3629; // @[lsu_bus_buffer.scala 467:53] + wire _T_3653 = buf_state_bus_en_0 & bus_rsp_read; // @[lsu_bus_buffer.scala 474:47] + wire _T_3654 = _T_3653 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 474:62] + wire _T_3668 = ~buf_error_en_0; // @[lsu_bus_buffer.scala 478:50] + wire _T_3669 = buf_state_en_0 & _T_3668; // @[lsu_bus_buffer.scala 478:48] + wire _T_3681 = buf_ldfwd[0] | _T_3686[0]; // @[lsu_bus_buffer.scala 481:90] + wire _T_3682 = _T_3681 | any_done_wait_state; // @[lsu_bus_buffer.scala 481:118] + wire _GEN_29 = _T_3702 & buf_state_en_0; // @[Conditional.scala 39:67] + wire _GEN_32 = _T_3694 ? 1'h0 : _T_3702; // @[Conditional.scala 39:67] + wire _GEN_34 = _T_3694 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] + wire _GEN_38 = _T_3676 ? 1'h0 : _GEN_32; // @[Conditional.scala 39:67] + wire _GEN_40 = _T_3676 ? 1'h0 : _GEN_34; // @[Conditional.scala 39:67] + wire _GEN_45 = _T_3589 & _T_3654; // @[Conditional.scala 39:67] + wire _GEN_48 = _T_3589 ? 1'h0 : _GEN_38; // @[Conditional.scala 39:67] + wire _GEN_50 = _T_3589 ? 1'h0 : _GEN_40; // @[Conditional.scala 39:67] + wire _GEN_56 = _T_3555 ? _T_3575 : _GEN_50; // @[Conditional.scala 39:67] + wire _GEN_58 = _T_3555 ? _T_3579 : _GEN_45; // @[Conditional.scala 39:67] + wire _GEN_62 = _T_3555 ? 1'h0 : _GEN_48; // @[Conditional.scala 39:67] + wire _GEN_68 = _T_3551 ? 1'h0 : _GEN_56; // @[Conditional.scala 39:67] + wire _GEN_70 = _T_3551 ? 1'h0 : _GEN_58; // @[Conditional.scala 39:67] + wire _GEN_74 = _T_3551 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] + wire buf_wr_en_0 = _T_3528 & buf_state_en_0; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_0 = _T_3528 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] + wire buf_rst_0 = _T_3528 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] + wire _T_3765 = buf_state_en_1 & _T_3836; // @[lsu_bus_buffer.scala 458:44] + wire _T_3766 = _T_3765 & obuf_nosend; // @[lsu_bus_buffer.scala 458:60] + wire _T_3768 = _T_3766 & _T_1333; // @[lsu_bus_buffer.scala 458:74] + wire _T_3771 = _T_3761 & obuf_nosend; // @[lsu_bus_buffer.scala 460:67] + wire _T_3772 = _T_3771 & bus_rsp_read; // @[lsu_bus_buffer.scala 460:81] + wire _T_3775 = _T_3771 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 461:82] + wire _T_3850 = bus_rsp_read_error & _T_3829; // @[lsu_bus_buffer.scala 475:91] + wire _T_3852 = bus_rsp_read_error & buf_ldfwd[1]; // @[lsu_bus_buffer.scala 476:31] + wire _T_3854 = _T_3852 & _T_3831; // @[lsu_bus_buffer.scala 476:46] + wire _T_3855 = _T_3850 | _T_3854; // @[lsu_bus_buffer.scala 475:143] + wire _T_3860 = _T_3761 & _T_3855; // @[lsu_bus_buffer.scala 475:68] + wire _GEN_122 = _T_3782 & _T_3860; // @[Conditional.scala 39:67] + wire _GEN_135 = _T_3748 ? _T_3775 : _GEN_122; // @[Conditional.scala 39:67] + wire _GEN_147 = _T_3744 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] + wire buf_error_en_1 = _T_3721 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] + wire _T_3787 = io_dec_tlu_force_halt | buf_write[1]; // @[lsu_bus_buffer.scala 465:55] + wire _T_3789 = ~buf_samedw_1; // @[lsu_bus_buffer.scala 466:30] + wire _T_3790 = buf_dual_1 & _T_3789; // @[lsu_bus_buffer.scala 466:28] + wire _T_3793 = _T_3790 & _T_3836; // @[lsu_bus_buffer.scala 466:45] + wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 466:90] + wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[lsu_bus_buffer.scala 466:90] + wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[lsu_bus_buffer.scala 466:90] + wire _T_3794 = _GEN_97 != 3'h4; // @[lsu_bus_buffer.scala 466:90] + wire _T_3795 = _T_3793 & _T_3794; // @[lsu_bus_buffer.scala 466:61] + wire _T_3797 = buf_ldfwd[1] | any_done_wait_state; // @[lsu_bus_buffer.scala 467:31] + wire _T_3803 = buf_dualtag_1 == 2'h0; // @[lsu_bus_buffer.scala 57:118] + wire _T_3805 = buf_dualtag_1 == 2'h1; // @[lsu_bus_buffer.scala 57:118] + wire _T_3807 = buf_dualtag_1 == 2'h2; // @[lsu_bus_buffer.scala 57:118] + wire _T_3809 = buf_dualtag_1 == 2'h3; // @[lsu_bus_buffer.scala 57:118] + wire _T_3811 = _T_3803 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3812 = _T_3805 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3813 = _T_3807 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3814 = _T_3809 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3815 = _T_3811 | _T_3812; // @[Mux.scala 27:72] + wire _T_3816 = _T_3815 | _T_3813; // @[Mux.scala 27:72] + wire _T_3817 = _T_3816 | _T_3814; // @[Mux.scala 27:72] + wire _T_3819 = _T_3793 & _T_3817; // @[lsu_bus_buffer.scala 467:101] + wire _T_3820 = _GEN_97 == 3'h4; // @[lsu_bus_buffer.scala 467:167] + wire _T_3821 = _T_3819 & _T_3820; // @[lsu_bus_buffer.scala 467:138] + wire _T_3822 = _T_3821 & any_done_wait_state; // @[lsu_bus_buffer.scala 467:187] + wire _T_3823 = _T_3797 | _T_3822; // @[lsu_bus_buffer.scala 467:53] + wire _T_3846 = buf_state_bus_en_1 & bus_rsp_read; // @[lsu_bus_buffer.scala 474:47] + wire _T_3847 = _T_3846 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 474:62] + wire _T_3861 = ~buf_error_en_1; // @[lsu_bus_buffer.scala 478:50] + wire _T_3862 = buf_state_en_1 & _T_3861; // @[lsu_bus_buffer.scala 478:48] + wire _T_3874 = buf_ldfwd[1] | _T_3879[0]; // @[lsu_bus_buffer.scala 481:90] + wire _T_3875 = _T_3874 | any_done_wait_state; // @[lsu_bus_buffer.scala 481:118] + wire _GEN_105 = _T_3895 & buf_state_en_1; // @[Conditional.scala 39:67] + wire _GEN_108 = _T_3887 ? 1'h0 : _T_3895; // @[Conditional.scala 39:67] + wire _GEN_110 = _T_3887 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] + wire _GEN_114 = _T_3869 ? 1'h0 : _GEN_108; // @[Conditional.scala 39:67] + wire _GEN_116 = _T_3869 ? 1'h0 : _GEN_110; // @[Conditional.scala 39:67] + wire _GEN_121 = _T_3782 & _T_3847; // @[Conditional.scala 39:67] + wire _GEN_124 = _T_3782 ? 1'h0 : _GEN_114; // @[Conditional.scala 39:67] + wire _GEN_126 = _T_3782 ? 1'h0 : _GEN_116; // @[Conditional.scala 39:67] + wire _GEN_132 = _T_3748 ? _T_3768 : _GEN_126; // @[Conditional.scala 39:67] + wire _GEN_134 = _T_3748 ? _T_3772 : _GEN_121; // @[Conditional.scala 39:67] + wire _GEN_138 = _T_3748 ? 1'h0 : _GEN_124; // @[Conditional.scala 39:67] + wire _GEN_144 = _T_3744 ? 1'h0 : _GEN_132; // @[Conditional.scala 39:67] + wire _GEN_146 = _T_3744 ? 1'h0 : _GEN_134; // @[Conditional.scala 39:67] + wire _GEN_150 = _T_3744 ? 1'h0 : _GEN_138; // @[Conditional.scala 39:67] + wire buf_wr_en_1 = _T_3721 & buf_state_en_1; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_1 = _T_3721 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] + wire buf_rst_1 = _T_3721 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] + wire _T_3958 = buf_state_en_2 & _T_4029; // @[lsu_bus_buffer.scala 458:44] + wire _T_3959 = _T_3958 & obuf_nosend; // @[lsu_bus_buffer.scala 458:60] + wire _T_3961 = _T_3959 & _T_1333; // @[lsu_bus_buffer.scala 458:74] + wire _T_3964 = _T_3954 & obuf_nosend; // @[lsu_bus_buffer.scala 460:67] + wire _T_3965 = _T_3964 & bus_rsp_read; // @[lsu_bus_buffer.scala 460:81] + wire _T_3968 = _T_3964 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 461:82] + wire _T_4043 = bus_rsp_read_error & _T_4022; // @[lsu_bus_buffer.scala 475:91] + wire _T_4045 = bus_rsp_read_error & buf_ldfwd[2]; // @[lsu_bus_buffer.scala 476:31] + wire _T_4047 = _T_4045 & _T_4024; // @[lsu_bus_buffer.scala 476:46] + wire _T_4048 = _T_4043 | _T_4047; // @[lsu_bus_buffer.scala 475:143] + wire _T_4053 = _T_3954 & _T_4048; // @[lsu_bus_buffer.scala 475:68] + wire _GEN_198 = _T_3975 & _T_4053; // @[Conditional.scala 39:67] + wire _GEN_211 = _T_3941 ? _T_3968 : _GEN_198; // @[Conditional.scala 39:67] + wire _GEN_223 = _T_3937 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] + wire buf_error_en_2 = _T_3914 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] + wire _T_3980 = io_dec_tlu_force_halt | buf_write[2]; // @[lsu_bus_buffer.scala 465:55] + wire _T_3982 = ~buf_samedw_2; // @[lsu_bus_buffer.scala 466:30] + wire _T_3983 = buf_dual_2 & _T_3982; // @[lsu_bus_buffer.scala 466:28] + wire _T_3986 = _T_3983 & _T_4029; // @[lsu_bus_buffer.scala 466:45] + wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 466:90] + wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[lsu_bus_buffer.scala 466:90] + wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[lsu_bus_buffer.scala 466:90] + wire _T_3987 = _GEN_173 != 3'h4; // @[lsu_bus_buffer.scala 466:90] + wire _T_3988 = _T_3986 & _T_3987; // @[lsu_bus_buffer.scala 466:61] + wire _T_3990 = buf_ldfwd[2] | any_done_wait_state; // @[lsu_bus_buffer.scala 467:31] + wire _T_3996 = buf_dualtag_2 == 2'h0; // @[lsu_bus_buffer.scala 57:118] + wire _T_3998 = buf_dualtag_2 == 2'h1; // @[lsu_bus_buffer.scala 57:118] + wire _T_4000 = buf_dualtag_2 == 2'h2; // @[lsu_bus_buffer.scala 57:118] + wire _T_4002 = buf_dualtag_2 == 2'h3; // @[lsu_bus_buffer.scala 57:118] + wire _T_4004 = _T_3996 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_4005 = _T_3998 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_4006 = _T_4000 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_4007 = _T_4002 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_4008 = _T_4004 | _T_4005; // @[Mux.scala 27:72] + wire _T_4009 = _T_4008 | _T_4006; // @[Mux.scala 27:72] + wire _T_4010 = _T_4009 | _T_4007; // @[Mux.scala 27:72] + wire _T_4012 = _T_3986 & _T_4010; // @[lsu_bus_buffer.scala 467:101] + wire _T_4013 = _GEN_173 == 3'h4; // @[lsu_bus_buffer.scala 467:167] + wire _T_4014 = _T_4012 & _T_4013; // @[lsu_bus_buffer.scala 467:138] + wire _T_4015 = _T_4014 & any_done_wait_state; // @[lsu_bus_buffer.scala 467:187] + wire _T_4016 = _T_3990 | _T_4015; // @[lsu_bus_buffer.scala 467:53] + wire _T_4039 = buf_state_bus_en_2 & bus_rsp_read; // @[lsu_bus_buffer.scala 474:47] + wire _T_4040 = _T_4039 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 474:62] + wire _T_4054 = ~buf_error_en_2; // @[lsu_bus_buffer.scala 478:50] + wire _T_4055 = buf_state_en_2 & _T_4054; // @[lsu_bus_buffer.scala 478:48] + wire _T_4067 = buf_ldfwd[2] | _T_4072[0]; // @[lsu_bus_buffer.scala 481:90] + wire _T_4068 = _T_4067 | any_done_wait_state; // @[lsu_bus_buffer.scala 481:118] + wire _GEN_181 = _T_4088 & buf_state_en_2; // @[Conditional.scala 39:67] + wire _GEN_184 = _T_4080 ? 1'h0 : _T_4088; // @[Conditional.scala 39:67] + wire _GEN_186 = _T_4080 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] + wire _GEN_190 = _T_4062 ? 1'h0 : _GEN_184; // @[Conditional.scala 39:67] + wire _GEN_192 = _T_4062 ? 1'h0 : _GEN_186; // @[Conditional.scala 39:67] + wire _GEN_197 = _T_3975 & _T_4040; // @[Conditional.scala 39:67] + wire _GEN_200 = _T_3975 ? 1'h0 : _GEN_190; // @[Conditional.scala 39:67] + wire _GEN_202 = _T_3975 ? 1'h0 : _GEN_192; // @[Conditional.scala 39:67] + wire _GEN_208 = _T_3941 ? _T_3961 : _GEN_202; // @[Conditional.scala 39:67] + wire _GEN_210 = _T_3941 ? _T_3965 : _GEN_197; // @[Conditional.scala 39:67] + wire _GEN_214 = _T_3941 ? 1'h0 : _GEN_200; // @[Conditional.scala 39:67] + wire _GEN_220 = _T_3937 ? 1'h0 : _GEN_208; // @[Conditional.scala 39:67] + wire _GEN_222 = _T_3937 ? 1'h0 : _GEN_210; // @[Conditional.scala 39:67] + wire _GEN_226 = _T_3937 ? 1'h0 : _GEN_214; // @[Conditional.scala 39:67] + wire buf_wr_en_2 = _T_3914 & buf_state_en_2; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_2 = _T_3914 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] + wire buf_rst_2 = _T_3914 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] + wire _T_4151 = buf_state_en_3 & _T_4222; // @[lsu_bus_buffer.scala 458:44] + wire _T_4152 = _T_4151 & obuf_nosend; // @[lsu_bus_buffer.scala 458:60] + wire _T_4154 = _T_4152 & _T_1333; // @[lsu_bus_buffer.scala 458:74] + wire _T_4157 = _T_4147 & obuf_nosend; // @[lsu_bus_buffer.scala 460:67] + wire _T_4158 = _T_4157 & bus_rsp_read; // @[lsu_bus_buffer.scala 460:81] + wire _T_4161 = _T_4157 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 461:82] + wire _T_4236 = bus_rsp_read_error & _T_4215; // @[lsu_bus_buffer.scala 475:91] + wire _T_4238 = bus_rsp_read_error & buf_ldfwd[3]; // @[lsu_bus_buffer.scala 476:31] + wire _T_4240 = _T_4238 & _T_4217; // @[lsu_bus_buffer.scala 476:46] + wire _T_4241 = _T_4236 | _T_4240; // @[lsu_bus_buffer.scala 475:143] + wire _T_4246 = _T_4147 & _T_4241; // @[lsu_bus_buffer.scala 475:68] + wire _GEN_274 = _T_4168 & _T_4246; // @[Conditional.scala 39:67] + wire _GEN_287 = _T_4134 ? _T_4161 : _GEN_274; // @[Conditional.scala 39:67] + wire _GEN_299 = _T_4130 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] + wire buf_error_en_3 = _T_4107 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] + wire _T_4173 = io_dec_tlu_force_halt | buf_write[3]; // @[lsu_bus_buffer.scala 465:55] + wire _T_4175 = ~buf_samedw_3; // @[lsu_bus_buffer.scala 466:30] + wire _T_4176 = buf_dual_3 & _T_4175; // @[lsu_bus_buffer.scala 466:28] + wire _T_4179 = _T_4176 & _T_4222; // @[lsu_bus_buffer.scala 466:45] + wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 466:90] + wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[lsu_bus_buffer.scala 466:90] + wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[lsu_bus_buffer.scala 466:90] + wire _T_4180 = _GEN_249 != 3'h4; // @[lsu_bus_buffer.scala 466:90] + wire _T_4181 = _T_4179 & _T_4180; // @[lsu_bus_buffer.scala 466:61] + wire _T_4183 = buf_ldfwd[3] | any_done_wait_state; // @[lsu_bus_buffer.scala 467:31] + wire _T_4189 = buf_dualtag_3 == 2'h0; // @[lsu_bus_buffer.scala 57:118] + wire _T_4191 = buf_dualtag_3 == 2'h1; // @[lsu_bus_buffer.scala 57:118] + wire _T_4193 = buf_dualtag_3 == 2'h2; // @[lsu_bus_buffer.scala 57:118] + wire _T_4195 = buf_dualtag_3 == 2'h3; // @[lsu_bus_buffer.scala 57:118] + wire _T_4197 = _T_4189 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_4198 = _T_4191 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_4199 = _T_4193 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_4200 = _T_4195 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_4201 = _T_4197 | _T_4198; // @[Mux.scala 27:72] + wire _T_4202 = _T_4201 | _T_4199; // @[Mux.scala 27:72] + wire _T_4203 = _T_4202 | _T_4200; // @[Mux.scala 27:72] + wire _T_4205 = _T_4179 & _T_4203; // @[lsu_bus_buffer.scala 467:101] + wire _T_4206 = _GEN_249 == 3'h4; // @[lsu_bus_buffer.scala 467:167] + wire _T_4207 = _T_4205 & _T_4206; // @[lsu_bus_buffer.scala 467:138] + wire _T_4208 = _T_4207 & any_done_wait_state; // @[lsu_bus_buffer.scala 467:187] + wire _T_4209 = _T_4183 | _T_4208; // @[lsu_bus_buffer.scala 467:53] + wire _T_4232 = buf_state_bus_en_3 & bus_rsp_read; // @[lsu_bus_buffer.scala 474:47] + wire _T_4233 = _T_4232 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 474:62] + wire _T_4247 = ~buf_error_en_3; // @[lsu_bus_buffer.scala 478:50] + wire _T_4248 = buf_state_en_3 & _T_4247; // @[lsu_bus_buffer.scala 478:48] + wire _T_4260 = buf_ldfwd[3] | _T_4265[0]; // @[lsu_bus_buffer.scala 481:90] + wire _T_4261 = _T_4260 | any_done_wait_state; // @[lsu_bus_buffer.scala 481:118] + wire _GEN_257 = _T_4281 & buf_state_en_3; // @[Conditional.scala 39:67] + wire _GEN_260 = _T_4273 ? 1'h0 : _T_4281; // @[Conditional.scala 39:67] + wire _GEN_262 = _T_4273 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] + wire _GEN_266 = _T_4255 ? 1'h0 : _GEN_260; // @[Conditional.scala 39:67] + wire _GEN_268 = _T_4255 ? 1'h0 : _GEN_262; // @[Conditional.scala 39:67] + wire _GEN_273 = _T_4168 & _T_4233; // @[Conditional.scala 39:67] + wire _GEN_276 = _T_4168 ? 1'h0 : _GEN_266; // @[Conditional.scala 39:67] + wire _GEN_278 = _T_4168 ? 1'h0 : _GEN_268; // @[Conditional.scala 39:67] + wire _GEN_284 = _T_4134 ? _T_4154 : _GEN_278; // @[Conditional.scala 39:67] + wire _GEN_286 = _T_4134 ? _T_4158 : _GEN_273; // @[Conditional.scala 39:67] + wire _GEN_290 = _T_4134 ? 1'h0 : _GEN_276; // @[Conditional.scala 39:67] + wire _GEN_296 = _T_4130 ? 1'h0 : _GEN_284; // @[Conditional.scala 39:67] + wire _GEN_298 = _T_4130 ? 1'h0 : _GEN_286; // @[Conditional.scala 39:67] + wire _GEN_302 = _T_4130 ? 1'h0 : _GEN_290; // @[Conditional.scala 39:67] + wire buf_wr_en_3 = _T_4107 & buf_state_en_3; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_3 = _T_4107 ? 1'h0 : _GEN_296; // @[Conditional.scala 40:58] + wire buf_rst_3 = _T_4107 ? 1'h0 : _GEN_302; // @[Conditional.scala 40:58] + reg _T_4336; // @[Reg.scala 27:20] + reg _T_4339; // @[Reg.scala 27:20] + reg _T_4342; // @[Reg.scala 27:20] + reg _T_4345; // @[Reg.scala 27:20] + wire [3:0] buf_unsign = {_T_4345,_T_4342,_T_4339,_T_4336}; // @[Cat.scala 29:58] + reg _T_4411; // @[lsu_bus_buffer.scala 517:80] + reg _T_4406; // @[lsu_bus_buffer.scala 517:80] + reg _T_4401; // @[lsu_bus_buffer.scala 517:80] + reg _T_4396; // @[lsu_bus_buffer.scala 517:80] + wire [3:0] buf_error = {_T_4411,_T_4406,_T_4401,_T_4396}; // @[Cat.scala 29:58] + wire _T_4393 = buf_error_en_0 | buf_error[0]; // @[lsu_bus_buffer.scala 517:84] + wire _T_4394 = ~buf_rst_0; // @[lsu_bus_buffer.scala 517:126] + wire _T_4398 = buf_error_en_1 | buf_error[1]; // @[lsu_bus_buffer.scala 517:84] + wire _T_4399 = ~buf_rst_1; // @[lsu_bus_buffer.scala 517:126] + wire _T_4403 = buf_error_en_2 | buf_error[2]; // @[lsu_bus_buffer.scala 517:84] + wire _T_4404 = ~buf_rst_2; // @[lsu_bus_buffer.scala 517:126] + wire _T_4408 = buf_error_en_3 | buf_error[3]; // @[lsu_bus_buffer.scala 517:84] + wire _T_4409 = ~buf_rst_3; // @[lsu_bus_buffer.scala 517:126] + wire [1:0] _T_4415 = {io_lsu_busreq_m,1'h0}; // @[Cat.scala 29:58] + wire [1:0] _T_4416 = io_ldst_dual_m ? _T_4415 : {{1'd0}, io_lsu_busreq_m}; // @[lsu_bus_buffer.scala 520:28] + wire [1:0] _T_4417 = {io_lsu_busreq_r,1'h0}; // @[Cat.scala 29:58] + wire [1:0] _T_4418 = io_ldst_dual_r ? _T_4417 : {{1'd0}, io_lsu_busreq_r}; // @[lsu_bus_buffer.scala 520:94] + wire [2:0] _T_4419 = _T_4416 + _T_4418; // @[lsu_bus_buffer.scala 520:88] + wire [2:0] _GEN_388 = {{2'd0}, ibuf_valid}; // @[lsu_bus_buffer.scala 520:154] + wire [3:0] _T_4420 = _T_4419 + _GEN_388; // @[lsu_bus_buffer.scala 520:154] + wire [1:0] _T_4425 = _T_5 + _T_12; // @[lsu_bus_buffer.scala 520:217] + wire [1:0] _GEN_389 = {{1'd0}, _T_19}; // @[lsu_bus_buffer.scala 520:217] + wire [2:0] _T_4426 = _T_4425 + _GEN_389; // @[lsu_bus_buffer.scala 520:217] + wire [2:0] _GEN_390 = {{2'd0}, _T_26}; // @[lsu_bus_buffer.scala 520:217] + wire [3:0] _T_4427 = _T_4426 + _GEN_390; // @[lsu_bus_buffer.scala 520:217] + wire [3:0] buf_numvld_any = _T_4420 + _T_4427; // @[lsu_bus_buffer.scala 520:169] + wire _T_4498 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[lsu_bus_buffer.scala 526:52] + wire _T_4499 = buf_numvld_any >= 4'h3; // @[lsu_bus_buffer.scala 526:92] + wire _T_4500 = buf_numvld_any == 4'h4; // @[lsu_bus_buffer.scala 526:121] + wire _T_4502 = |buf_state_0; // @[lsu_bus_buffer.scala 527:52] + wire _T_4503 = |buf_state_1; // @[lsu_bus_buffer.scala 527:52] + wire _T_4504 = |buf_state_2; // @[lsu_bus_buffer.scala 527:52] + wire _T_4505 = |buf_state_3; // @[lsu_bus_buffer.scala 527:52] + wire _T_4506 = _T_4502 | _T_4503; // @[lsu_bus_buffer.scala 527:65] + wire _T_4507 = _T_4506 | _T_4504; // @[lsu_bus_buffer.scala 527:65] + wire _T_4508 = _T_4507 | _T_4505; // @[lsu_bus_buffer.scala 527:65] + wire _T_4509 = ~_T_4508; // @[lsu_bus_buffer.scala 527:34] + wire _T_4511 = _T_4509 & _T_852; // @[lsu_bus_buffer.scala 527:70] + wire _T_4514 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[lsu_bus_buffer.scala 529:64] + wire _T_4515 = _T_4514 & io_lsu_pkt_m_bits_load; // @[lsu_bus_buffer.scala 529:85] + wire _T_4516 = ~io_flush_m_up; // @[lsu_bus_buffer.scala 529:112] + wire _T_4517 = _T_4515 & _T_4516; // @[lsu_bus_buffer.scala 529:110] + wire _T_4518 = ~io_ld_full_hit_m; // @[lsu_bus_buffer.scala 529:129] + wire _T_4520 = ~io_lsu_commit_r; // @[lsu_bus_buffer.scala 532:74] + reg lsu_nonblock_load_valid_r; // @[lsu_bus_buffer.scala 617:66] + wire _T_4542 = _T_2799 | _T_2821; // @[Mux.scala 27:72] + wire _T_4543 = _T_4542 | _T_2843; // @[Mux.scala 27:72] + wire lsu_nonblock_load_data_ready = _T_4543 | _T_2865; // @[Mux.scala 27:72] + wire _T_4549 = buf_error[0] & _T_3643; // @[lsu_bus_buffer.scala 535:121] + wire _T_4554 = buf_error[1] & _T_3836; // @[lsu_bus_buffer.scala 535:121] + wire _T_4559 = buf_error[2] & _T_4029; // @[lsu_bus_buffer.scala 535:121] + wire _T_4564 = buf_error[3] & _T_4222; // @[lsu_bus_buffer.scala 535:121] + wire _T_4565 = _T_2799 & _T_4549; // @[Mux.scala 27:72] + wire _T_4566 = _T_2821 & _T_4554; // @[Mux.scala 27:72] + wire _T_4567 = _T_2843 & _T_4559; // @[Mux.scala 27:72] + wire _T_4568 = _T_2865 & _T_4564; // @[Mux.scala 27:72] + wire _T_4569 = _T_4565 | _T_4566; // @[Mux.scala 27:72] + wire _T_4570 = _T_4569 | _T_4567; // @[Mux.scala 27:72] + wire _T_4576 = _T_2799 & _T_3643; // @[lsu_bus_buffer.scala 536:103] + wire _T_4577 = ~buf_dual_0; // @[lsu_bus_buffer.scala 536:122] + wire _T_4578 = ~buf_dualhi_0; // @[lsu_bus_buffer.scala 536:137] + wire _T_4579 = _T_4577 | _T_4578; // @[lsu_bus_buffer.scala 536:135] + wire _T_4580 = _T_4576 & _T_4579; // @[lsu_bus_buffer.scala 536:119] + wire _T_4584 = _T_2821 & _T_3836; // @[lsu_bus_buffer.scala 536:103] + wire _T_4585 = ~buf_dual_1; // @[lsu_bus_buffer.scala 536:122] + wire _T_4586 = ~buf_dualhi_1; // @[lsu_bus_buffer.scala 536:137] + wire _T_4587 = _T_4585 | _T_4586; // @[lsu_bus_buffer.scala 536:135] + wire _T_4588 = _T_4584 & _T_4587; // @[lsu_bus_buffer.scala 536:119] + wire _T_4592 = _T_2843 & _T_4029; // @[lsu_bus_buffer.scala 536:103] + wire _T_4593 = ~buf_dual_2; // @[lsu_bus_buffer.scala 536:122] + wire _T_4594 = ~buf_dualhi_2; // @[lsu_bus_buffer.scala 536:137] + wire _T_4595 = _T_4593 | _T_4594; // @[lsu_bus_buffer.scala 536:135] + wire _T_4596 = _T_4592 & _T_4595; // @[lsu_bus_buffer.scala 536:119] + wire _T_4600 = _T_2865 & _T_4222; // @[lsu_bus_buffer.scala 536:103] + wire _T_4601 = ~buf_dual_3; // @[lsu_bus_buffer.scala 536:122] + wire _T_4602 = ~buf_dualhi_3; // @[lsu_bus_buffer.scala 536:137] + wire _T_4603 = _T_4601 | _T_4602; // @[lsu_bus_buffer.scala 536:135] + wire _T_4604 = _T_4600 & _T_4603; // @[lsu_bus_buffer.scala 536:119] + wire [1:0] _T_4607 = _T_4596 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4608 = _T_4604 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_391 = {{1'd0}, _T_4588}; // @[Mux.scala 27:72] + wire [1:0] _T_4610 = _GEN_391 | _T_4607; // @[Mux.scala 27:72] + wire [31:0] _T_4645 = _T_4580 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4646 = _T_4588 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4647 = _T_4596 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4648 = _T_4604 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4649 = _T_4645 | _T_4646; // @[Mux.scala 27:72] + wire [31:0] _T_4650 = _T_4649 | _T_4647; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_lo = _T_4650 | _T_4648; // @[Mux.scala 27:72] + wire _T_4657 = _T_4576 & _T_3641; // @[lsu_bus_buffer.scala 538:105] + wire _T_4663 = _T_4584 & _T_3834; // @[lsu_bus_buffer.scala 538:105] + wire _T_4669 = _T_4592 & _T_4027; // @[lsu_bus_buffer.scala 538:105] + wire _T_4675 = _T_4600 & _T_4220; // @[lsu_bus_buffer.scala 538:105] + wire [31:0] _T_4676 = _T_4657 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4677 = _T_4663 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4678 = _T_4669 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4679 = _T_4675 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4680 = _T_4676 | _T_4677; // @[Mux.scala 27:72] + wire [31:0] _T_4681 = _T_4680 | _T_4678; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_hi = _T_4681 | _T_4679; // @[Mux.scala 27:72] + wire _T_4683 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h0; // @[lsu_bus_buffer.scala 58:123] + wire _T_4684 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h1; // @[lsu_bus_buffer.scala 58:123] + wire _T_4685 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h2; // @[lsu_bus_buffer.scala 58:123] + wire _T_4686 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h3; // @[lsu_bus_buffer.scala 58:123] + wire [31:0] _T_4687 = _T_4683 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4688 = _T_4684 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4689 = _T_4685 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4690 = _T_4686 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4691 = _T_4687 | _T_4688; // @[Mux.scala 27:72] + wire [31:0] _T_4692 = _T_4691 | _T_4689; // @[Mux.scala 27:72] + wire [31:0] _T_4693 = _T_4692 | _T_4690; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_addr_offset = _T_4693[1:0]; // @[lsu_bus_buffer.scala 539:96] + wire [1:0] _T_4699 = _T_4683 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4700 = _T_4684 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4701 = _T_4685 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4702 = _T_4686 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4703 = _T_4699 | _T_4700; // @[Mux.scala 27:72] + wire [1:0] _T_4704 = _T_4703 | _T_4701; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_sz = _T_4704 | _T_4702; // @[Mux.scala 27:72] + wire _T_4714 = _T_4683 & buf_unsign[0]; // @[Mux.scala 27:72] + wire _T_4715 = _T_4684 & buf_unsign[1]; // @[Mux.scala 27:72] + wire _T_4716 = _T_4685 & buf_unsign[2]; // @[Mux.scala 27:72] + wire _T_4717 = _T_4686 & buf_unsign[3]; // @[Mux.scala 27:72] + wire _T_4718 = _T_4714 | _T_4715; // @[Mux.scala 27:72] + wire _T_4719 = _T_4718 | _T_4716; // @[Mux.scala 27:72] + wire lsu_nonblock_unsign = _T_4719 | _T_4717; // @[Mux.scala 27:72] + wire [63:0] _T_4739 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] + wire [3:0] _GEN_392 = {{2'd0}, lsu_nonblock_addr_offset}; // @[lsu_bus_buffer.scala 543:121] + wire [5:0] _T_4740 = _GEN_392 * 4'h8; // @[lsu_bus_buffer.scala 543:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4739 >> _T_4740; // @[lsu_bus_buffer.scala 543:92] + wire _T_4741 = ~io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_buffer.scala 545:82] + wire _T_4743 = lsu_nonblock_sz == 2'h0; // @[lsu_bus_buffer.scala 546:94] + wire _T_4744 = lsu_nonblock_unsign & _T_4743; // @[lsu_bus_buffer.scala 546:76] + wire [31:0] _T_4746 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4747 = lsu_nonblock_sz == 2'h1; // @[lsu_bus_buffer.scala 547:45] + wire _T_4748 = lsu_nonblock_unsign & _T_4747; // @[lsu_bus_buffer.scala 547:26] + wire [31:0] _T_4750 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4751 = ~lsu_nonblock_unsign; // @[lsu_bus_buffer.scala 548:6] + wire _T_4753 = _T_4751 & _T_4743; // @[lsu_bus_buffer.scala 548:27] + wire [23:0] _T_4756 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4758 = {_T_4756,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4761 = _T_4751 & _T_4747; // @[lsu_bus_buffer.scala 549:27] + wire [15:0] _T_4764 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4766 = {_T_4764,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4767 = lsu_nonblock_sz == 2'h2; // @[lsu_bus_buffer.scala 550:21] + wire [31:0] _T_4768 = _T_4744 ? _T_4746 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4769 = _T_4748 ? _T_4750 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4770 = _T_4753 ? _T_4758 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4771 = _T_4761 ? _T_4766 : 32'h0; // @[Mux.scala 27:72] + wire [63:0] _T_4772 = _T_4767 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4773 = _T_4768 | _T_4769; // @[Mux.scala 27:72] + wire [31:0] _T_4774 = _T_4773 | _T_4770; // @[Mux.scala 27:72] + wire [31:0] _T_4775 = _T_4774 | _T_4771; // @[Mux.scala 27:72] + wire [63:0] _GEN_393 = {{32'd0}, _T_4775}; // @[Mux.scala 27:72] + wire [63:0] _T_4776 = _GEN_393 | _T_4772; // @[Mux.scala 27:72] + wire _T_4874 = obuf_valid & obuf_write; // @[lsu_bus_buffer.scala 568:37] + wire _T_4875 = ~obuf_cmd_done; // @[lsu_bus_buffer.scala 568:52] + wire [31:0] _T_4880 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] + wire [2:0] _T_4882 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] + wire _T_4887 = ~obuf_data_done; // @[lsu_bus_buffer.scala 580:51] + wire [7:0] _T_4892 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire _T_4895 = obuf_valid & _T_1343; // @[lsu_bus_buffer.scala 585:37] + wire _T_4909 = io_lsu_bus_clk_en_q & buf_error[0]; // @[lsu_bus_buffer.scala 598:126] + wire _T_4911 = _T_4909 & buf_write[0]; // @[lsu_bus_buffer.scala 598:141] + wire _T_4914 = io_lsu_bus_clk_en_q & buf_error[1]; // @[lsu_bus_buffer.scala 598:126] + wire _T_4916 = _T_4914 & buf_write[1]; // @[lsu_bus_buffer.scala 598:141] + wire _T_4919 = io_lsu_bus_clk_en_q & buf_error[2]; // @[lsu_bus_buffer.scala 598:126] + wire _T_4921 = _T_4919 & buf_write[2]; // @[lsu_bus_buffer.scala 598:141] + wire _T_4924 = io_lsu_bus_clk_en_q & buf_error[3]; // @[lsu_bus_buffer.scala 598:126] + wire _T_4926 = _T_4924 & buf_write[3]; // @[lsu_bus_buffer.scala 598:141] + wire _T_4927 = _T_2799 & _T_4911; // @[Mux.scala 27:72] + wire _T_4928 = _T_2821 & _T_4916; // @[Mux.scala 27:72] + wire _T_4929 = _T_2843 & _T_4921; // @[Mux.scala 27:72] + wire _T_4930 = _T_2865 & _T_4926; // @[Mux.scala 27:72] + wire _T_4931 = _T_4927 | _T_4928; // @[Mux.scala 27:72] + wire _T_4932 = _T_4931 | _T_4929; // @[Mux.scala 27:72] + wire _T_4942 = _T_2821 & buf_error[1]; // @[lsu_bus_buffer.scala 599:93] + wire _T_4944 = _T_4942 & buf_write[1]; // @[lsu_bus_buffer.scala 599:108] + wire _T_4947 = _T_2843 & buf_error[2]; // @[lsu_bus_buffer.scala 599:93] + wire _T_4949 = _T_4947 & buf_write[2]; // @[lsu_bus_buffer.scala 599:108] + wire _T_4952 = _T_2865 & buf_error[3]; // @[lsu_bus_buffer.scala 599:93] + wire _T_4954 = _T_4952 & buf_write[3]; // @[lsu_bus_buffer.scala 599:108] + wire [1:0] _T_4957 = _T_4949 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4958 = _T_4954 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_394 = {{1'd0}, _T_4944}; // @[Mux.scala 27:72] + wire [1:0] _T_4960 = _GEN_394 | _T_4957; // @[Mux.scala 27:72] + wire [1:0] lsu_imprecise_error_store_tag = _T_4960 | _T_4958; // @[Mux.scala 27:72] + wire _T_4962 = ~io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 601:97] + wire [31:0] _GEN_351 = 2'h1 == lsu_imprecise_error_store_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 602:53] + wire [31:0] _GEN_352 = 2'h2 == lsu_imprecise_error_store_tag ? buf_addr_2 : _GEN_351; // @[lsu_bus_buffer.scala 602:53] + wire [31:0] _GEN_353 = 2'h3 == lsu_imprecise_error_store_tag ? buf_addr_3 : _GEN_352; // @[lsu_bus_buffer.scala 602:53] + wire [31:0] _GEN_355 = 2'h1 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 602:53] + wire [31:0] _GEN_356 = 2'h2 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_2 : _GEN_355; // @[lsu_bus_buffer.scala 602:53] + wire [31:0] _GEN_357 = 2'h3 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_3 : _GEN_356; // @[lsu_bus_buffer.scala 602:53] + wire _T_4967 = bus_wcmd_sent | bus_wdata_sent; // @[lsu_bus_buffer.scala 608:82] + wire _T_4970 = io_lsu_busreq_r & io_ldst_dual_r; // @[lsu_bus_buffer.scala 609:60] + wire _T_4973 = ~io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 612:61] + wire _T_4974 = io_lsu_axi_aw_valid & _T_4973; // @[lsu_bus_buffer.scala 612:59] + wire _T_4975 = ~io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 612:107] + wire _T_4976 = io_lsu_axi_w_valid & _T_4975; // @[lsu_bus_buffer.scala 612:105] + wire _T_4977 = _T_4974 | _T_4976; // @[lsu_bus_buffer.scala 612:83] + wire _T_4978 = ~io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 612:153] + wire _T_4979 = io_lsu_axi_ar_valid & _T_4978; // @[lsu_bus_buffer.scala 612:151] + wire _T_4983 = ~io_flush_r; // @[lsu_bus_buffer.scala 616:75] + wire _T_4984 = io_lsu_busreq_m & _T_4983; // @[lsu_bus_buffer.scala 616:73] + reg _T_4987; // @[lsu_bus_buffer.scala 616:56] + rvclkhdr rvclkhdr ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + rvclkhdr rvclkhdr_4 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_4_io_l1clk), + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en), + .io_scan_mode(rvclkhdr_4_io_scan_mode) + ); + rvclkhdr rvclkhdr_5 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_5_io_l1clk), + .io_clk(rvclkhdr_5_io_clk), + .io_en(rvclkhdr_5_io_en), + .io_scan_mode(rvclkhdr_5_io_scan_mode) + ); + rvclkhdr rvclkhdr_6 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_6_io_l1clk), + .io_clk(rvclkhdr_6_io_clk), + .io_en(rvclkhdr_6_io_en), + .io_scan_mode(rvclkhdr_6_io_scan_mode) + ); + rvclkhdr rvclkhdr_7 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_7_io_l1clk), + .io_clk(rvclkhdr_7_io_clk), + .io_en(rvclkhdr_7_io_en), + .io_scan_mode(rvclkhdr_7_io_scan_mode) + ); + rvclkhdr rvclkhdr_8 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_8_io_l1clk), + .io_clk(rvclkhdr_8_io_clk), + .io_en(rvclkhdr_8_io_en), + .io_scan_mode(rvclkhdr_8_io_scan_mode) + ); + rvclkhdr rvclkhdr_9 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_9_io_l1clk), + .io_clk(rvclkhdr_9_io_clk), + .io_en(rvclkhdr_9_io_en), + .io_scan_mode(rvclkhdr_9_io_scan_mode) + ); + rvclkhdr rvclkhdr_10 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_10_io_l1clk), + .io_clk(rvclkhdr_10_io_clk), + .io_en(rvclkhdr_10_io_en), + .io_scan_mode(rvclkhdr_10_io_scan_mode) + ); + rvclkhdr rvclkhdr_11 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_11_io_l1clk), + .io_clk(rvclkhdr_11_io_clk), + .io_en(rvclkhdr_11_io_en), + .io_scan_mode(rvclkhdr_11_io_scan_mode) + ); + assign io_tlu_busbuff_lsu_pmu_bus_trxn = _T_4967 | _T_4866; // @[lsu_bus_buffer.scala 608:35] + assign io_tlu_busbuff_lsu_pmu_bus_misaligned = _T_4970 & io_lsu_commit_r; // @[lsu_bus_buffer.scala 609:41] + assign io_tlu_busbuff_lsu_pmu_bus_error = io_tlu_busbuff_lsu_imprecise_error_load_any | io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 610:36] + assign io_tlu_busbuff_lsu_pmu_bus_busy = _T_4977 | _T_4979; // @[lsu_bus_buffer.scala 612:35] + assign io_tlu_busbuff_lsu_imprecise_error_load_any = io_dctl_busbuff_lsu_nonblock_load_data_error & _T_4962; // @[lsu_bus_buffer.scala 601:47] + assign io_tlu_busbuff_lsu_imprecise_error_store_any = _T_4932 | _T_4930; // @[lsu_bus_buffer.scala 598:48] + assign io_tlu_busbuff_lsu_imprecise_error_addr_any = io_tlu_busbuff_lsu_imprecise_error_store_any ? _GEN_353 : _GEN_357; // @[lsu_bus_buffer.scala 602:47] + assign io_dctl_busbuff_lsu_nonblock_load_valid_m = _T_4517 & _T_4518; // @[lsu_bus_buffer.scala 529:45] + assign io_dctl_busbuff_lsu_nonblock_load_tag_m = _T_1863 ? 2'h0 : _T_1899; // @[lsu_bus_buffer.scala 530:43] + assign io_dctl_busbuff_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4520; // @[lsu_bus_buffer.scala 532:43] + assign io_dctl_busbuff_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[lsu_bus_buffer.scala 533:47] + assign io_dctl_busbuff_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4741; // @[lsu_bus_buffer.scala 545:48] + assign io_dctl_busbuff_lsu_nonblock_load_data_error = _T_4570 | _T_4568; // @[lsu_bus_buffer.scala 535:48] + assign io_dctl_busbuff_lsu_nonblock_load_data_tag = _T_4610 | _T_4608; // @[lsu_bus_buffer.scala 536:46] + assign io_dctl_busbuff_lsu_nonblock_load_data = _T_4776[31:0]; // @[lsu_bus_buffer.scala 546:42] + assign io_lsu_axi_aw_valid = _T_4874 & _T_4875; // @[lsu_bus_buffer.scala 568:23] + assign io_lsu_axi_aw_bits_id = {{1'd0}, _T_1848}; // @[lsu_bus_buffer.scala 569:25] + assign io_lsu_axi_aw_bits_addr = obuf_sideeffect ? obuf_addr : _T_4880; // @[lsu_bus_buffer.scala 570:27] + assign io_lsu_axi_aw_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 574:29] + assign io_lsu_axi_aw_bits_len = 8'h0; // @[lsu_bus_buffer.scala 575:26] + assign io_lsu_axi_aw_bits_size = obuf_sideeffect ? _T_4882 : 3'h3; // @[lsu_bus_buffer.scala 571:27] + assign io_lsu_axi_aw_bits_burst = 2'h1; // @[lsu_bus_buffer.scala 576:28] + assign io_lsu_axi_aw_bits_lock = 1'h0; // @[lsu_bus_buffer.scala 578:27] + assign io_lsu_axi_aw_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 573:28] + assign io_lsu_axi_aw_bits_prot = 3'h0; // @[lsu_bus_buffer.scala 572:27] + assign io_lsu_axi_aw_bits_qos = 4'h0; // @[lsu_bus_buffer.scala 577:26] + assign io_lsu_axi_w_valid = _T_4874 & _T_4887; // @[lsu_bus_buffer.scala 580:22] + assign io_lsu_axi_w_bits_data = obuf_data; // @[lsu_bus_buffer.scala 582:26] + assign io_lsu_axi_w_bits_strb = obuf_byteen & _T_4892; // @[lsu_bus_buffer.scala 581:26] + assign io_lsu_axi_w_bits_last = 1'h1; // @[lsu_bus_buffer.scala 583:26] + assign io_lsu_axi_b_ready = 1'h1; // @[lsu_bus_buffer.scala 596:22] + assign io_lsu_axi_ar_valid = _T_4895 & _T_1349; // @[lsu_bus_buffer.scala 585:23] + assign io_lsu_axi_ar_bits_id = {{1'd0}, _T_1848}; // @[lsu_bus_buffer.scala 586:25] + assign io_lsu_axi_ar_bits_addr = obuf_sideeffect ? obuf_addr : _T_4880; // @[lsu_bus_buffer.scala 587:27] + assign io_lsu_axi_ar_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 591:29] + assign io_lsu_axi_ar_bits_len = 8'h0; // @[lsu_bus_buffer.scala 592:26] + assign io_lsu_axi_ar_bits_size = obuf_sideeffect ? _T_4882 : 3'h3; // @[lsu_bus_buffer.scala 588:27] + assign io_lsu_axi_ar_bits_burst = 2'h1; // @[lsu_bus_buffer.scala 593:28] + assign io_lsu_axi_ar_bits_lock = 1'h0; // @[lsu_bus_buffer.scala 595:27] + assign io_lsu_axi_ar_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 590:28] + assign io_lsu_axi_ar_bits_prot = 3'h0; // @[lsu_bus_buffer.scala 589:27] + assign io_lsu_axi_ar_bits_qos = 4'h0; // @[lsu_bus_buffer.scala 594:26] + assign io_lsu_axi_r_ready = 1'h1; // @[lsu_bus_buffer.scala 597:22] + assign io_lsu_busreq_r = _T_4987; // @[lsu_bus_buffer.scala 616:19] + assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[lsu_bus_buffer.scala 525:30] + assign io_lsu_bus_buffer_full_any = _T_4498 ? _T_4499 : _T_4500; // @[lsu_bus_buffer.scala 526:30] + assign io_lsu_bus_buffer_empty_any = _T_4511 & _T_1231; // @[lsu_bus_buffer.scala 527:31] + assign io_lsu_bus_idle_any = 1'h1; // @[lsu_bus_buffer.scala 605:23] + assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[lsu_bus_buffer.scala 138:25] + assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[lsu_bus_buffer.scala 139:25] + assign io_ld_fwddata_buf_lo = _T_650 | _T_651; // @[lsu_bus_buffer.scala 165:24] + assign io_ld_fwddata_buf_hi = _T_747 | _T_748; // @[lsu_bus_buffer.scala 171:24] + assign rvclkhdr_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_io_en = _T_853 & _T_854; // @[lib.scala 371:17] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_1_io_en = _T_853 & _T_854; // @[lib.scala 371:17] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_2_io_en = _T_1236 & io_lsu_bus_clk_en; // @[lib.scala 371:17] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_3_io_en = _T_1236 & io_lsu_bus_clk_en; // @[lib.scala 371:17] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_4_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_4_io_en = _T_3528 & buf_state_en_0; // @[lib.scala 371:17] + assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_5_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_5_io_en = _T_3721 & buf_state_en_1; // @[lib.scala 371:17] + assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_6_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_6_io_en = _T_3914 & buf_state_en_2; // @[lib.scala 371:17] + assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_7_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_7_io_en = _T_4107 & buf_state_en_3; // @[lib.scala 371:17] + assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_8_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_8_io_en = _T_3528 ? buf_state_en_0 : _GEN_70; // @[lib.scala 371:17] + assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_9_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_9_io_en = _T_3721 ? buf_state_en_1 : _GEN_146; // @[lib.scala 371:17] + assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_10_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_10_io_en = _T_3914 ? buf_state_en_2 : _GEN_222; // @[lib.scala 371:17] + assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_11_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_11_io_en = _T_4107 ? buf_state_en_3 : _GEN_298; // @[lib.scala 371:17] + assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + buf_addr_0 = _RAND_0[31:0]; + _RAND_1 = {1{`RANDOM}}; + _T_4360 = _RAND_1[0:0]; + _RAND_2 = {1{`RANDOM}}; + _T_4357 = _RAND_2[0:0]; + _RAND_3 = {1{`RANDOM}}; + _T_4354 = _RAND_3[0:0]; + _RAND_4 = {1{`RANDOM}}; + _T_4351 = _RAND_4[0:0]; + _RAND_5 = {1{`RANDOM}}; + buf_state_0 = _RAND_5[2:0]; + _RAND_6 = {1{`RANDOM}}; + buf_addr_1 = _RAND_6[31:0]; + _RAND_7 = {1{`RANDOM}}; + buf_state_1 = _RAND_7[2:0]; + _RAND_8 = {1{`RANDOM}}; + buf_addr_2 = _RAND_8[31:0]; + _RAND_9 = {1{`RANDOM}}; + buf_state_2 = _RAND_9[2:0]; + _RAND_10 = {1{`RANDOM}}; + buf_addr_3 = _RAND_10[31:0]; + _RAND_11 = {1{`RANDOM}}; + buf_state_3 = _RAND_11[2:0]; + _RAND_12 = {1{`RANDOM}}; + buf_byteen_3 = _RAND_12[3:0]; + _RAND_13 = {1{`RANDOM}}; + buf_byteen_2 = _RAND_13[3:0]; + _RAND_14 = {1{`RANDOM}}; + buf_byteen_1 = _RAND_14[3:0]; + _RAND_15 = {1{`RANDOM}}; + buf_byteen_0 = _RAND_15[3:0]; + _RAND_16 = {1{`RANDOM}}; + buf_ageQ_3 = _RAND_16[3:0]; + _RAND_17 = {1{`RANDOM}}; + _T_1848 = _RAND_17[1:0]; + _RAND_18 = {1{`RANDOM}}; + obuf_merge = _RAND_18[0:0]; + _RAND_19 = {1{`RANDOM}}; + obuf_tag1 = _RAND_19[1:0]; + _RAND_20 = {1{`RANDOM}}; + obuf_valid = _RAND_20[0:0]; + _RAND_21 = {1{`RANDOM}}; + obuf_wr_enQ = _RAND_21[0:0]; + _RAND_22 = {1{`RANDOM}}; + ibuf_addr = _RAND_22[31:0]; + _RAND_23 = {1{`RANDOM}}; + ibuf_write = _RAND_23[0:0]; + _RAND_24 = {1{`RANDOM}}; + ibuf_valid = _RAND_24[0:0]; + _RAND_25 = {1{`RANDOM}}; + ibuf_byteen = _RAND_25[3:0]; + _RAND_26 = {1{`RANDOM}}; + buf_ageQ_2 = _RAND_26[3:0]; + _RAND_27 = {1{`RANDOM}}; + buf_ageQ_1 = _RAND_27[3:0]; + _RAND_28 = {1{`RANDOM}}; + buf_ageQ_0 = _RAND_28[3:0]; + _RAND_29 = {1{`RANDOM}}; + buf_data_0 = _RAND_29[31:0]; + _RAND_30 = {1{`RANDOM}}; + buf_data_1 = _RAND_30[31:0]; + _RAND_31 = {1{`RANDOM}}; + buf_data_2 = _RAND_31[31:0]; + _RAND_32 = {1{`RANDOM}}; + buf_data_3 = _RAND_32[31:0]; + _RAND_33 = {1{`RANDOM}}; + ibuf_data = _RAND_33[31:0]; + _RAND_34 = {1{`RANDOM}}; + ibuf_timer = _RAND_34[2:0]; + _RAND_35 = {1{`RANDOM}}; + ibuf_sideeffect = _RAND_35[0:0]; + _RAND_36 = {1{`RANDOM}}; + WrPtr1_r = _RAND_36[1:0]; + _RAND_37 = {1{`RANDOM}}; + WrPtr0_r = _RAND_37[1:0]; + _RAND_38 = {1{`RANDOM}}; + ibuf_tag = _RAND_38[1:0]; + _RAND_39 = {1{`RANDOM}}; + ibuf_dualtag = _RAND_39[1:0]; + _RAND_40 = {1{`RANDOM}}; + ibuf_dual = _RAND_40[0:0]; + _RAND_41 = {1{`RANDOM}}; + ibuf_samedw = _RAND_41[0:0]; + _RAND_42 = {1{`RANDOM}}; + ibuf_nomerge = _RAND_42[0:0]; + _RAND_43 = {1{`RANDOM}}; + ibuf_unsign = _RAND_43[0:0]; + _RAND_44 = {1{`RANDOM}}; + ibuf_sz = _RAND_44[1:0]; + _RAND_45 = {1{`RANDOM}}; + obuf_wr_timer = _RAND_45[2:0]; + _RAND_46 = {1{`RANDOM}}; + buf_nomerge_0 = _RAND_46[0:0]; + _RAND_47 = {1{`RANDOM}}; + buf_nomerge_1 = _RAND_47[0:0]; + _RAND_48 = {1{`RANDOM}}; + buf_nomerge_2 = _RAND_48[0:0]; + _RAND_49 = {1{`RANDOM}}; + buf_nomerge_3 = _RAND_49[0:0]; + _RAND_50 = {1{`RANDOM}}; + _T_4330 = _RAND_50[0:0]; + _RAND_51 = {1{`RANDOM}}; + _T_4327 = _RAND_51[0:0]; + _RAND_52 = {1{`RANDOM}}; + _T_4324 = _RAND_52[0:0]; + _RAND_53 = {1{`RANDOM}}; + _T_4321 = _RAND_53[0:0]; + _RAND_54 = {1{`RANDOM}}; + obuf_sideeffect = _RAND_54[0:0]; + _RAND_55 = {1{`RANDOM}}; + buf_dual_3 = _RAND_55[0:0]; + _RAND_56 = {1{`RANDOM}}; + buf_dual_2 = _RAND_56[0:0]; + _RAND_57 = {1{`RANDOM}}; + buf_dual_1 = _RAND_57[0:0]; + _RAND_58 = {1{`RANDOM}}; + buf_dual_0 = _RAND_58[0:0]; + _RAND_59 = {1{`RANDOM}}; + buf_samedw_3 = _RAND_59[0:0]; + _RAND_60 = {1{`RANDOM}}; + buf_samedw_2 = _RAND_60[0:0]; + _RAND_61 = {1{`RANDOM}}; + buf_samedw_1 = _RAND_61[0:0]; + _RAND_62 = {1{`RANDOM}}; + buf_samedw_0 = _RAND_62[0:0]; + _RAND_63 = {1{`RANDOM}}; + obuf_write = _RAND_63[0:0]; + _RAND_64 = {1{`RANDOM}}; + obuf_cmd_done = _RAND_64[0:0]; + _RAND_65 = {1{`RANDOM}}; + obuf_data_done = _RAND_65[0:0]; + _RAND_66 = {1{`RANDOM}}; + obuf_nosend = _RAND_66[0:0]; + _RAND_67 = {1{`RANDOM}}; + obuf_addr = _RAND_67[31:0]; + _RAND_68 = {1{`RANDOM}}; + buf_sz_0 = _RAND_68[1:0]; + _RAND_69 = {1{`RANDOM}}; + buf_sz_1 = _RAND_69[1:0]; + _RAND_70 = {1{`RANDOM}}; + buf_sz_2 = _RAND_70[1:0]; + _RAND_71 = {1{`RANDOM}}; + buf_sz_3 = _RAND_71[1:0]; + _RAND_72 = {1{`RANDOM}}; + obuf_rdrsp_pend = _RAND_72[0:0]; + _RAND_73 = {1{`RANDOM}}; + obuf_rdrsp_tag = _RAND_73[2:0]; + _RAND_74 = {1{`RANDOM}}; + buf_dualhi_3 = _RAND_74[0:0]; + _RAND_75 = {1{`RANDOM}}; + buf_dualhi_2 = _RAND_75[0:0]; + _RAND_76 = {1{`RANDOM}}; + buf_dualhi_1 = _RAND_76[0:0]; + _RAND_77 = {1{`RANDOM}}; + buf_dualhi_0 = _RAND_77[0:0]; + _RAND_78 = {1{`RANDOM}}; + obuf_sz = _RAND_78[1:0]; + _RAND_79 = {1{`RANDOM}}; + obuf_byteen = _RAND_79[7:0]; + _RAND_80 = {2{`RANDOM}}; + obuf_data = _RAND_80[63:0]; + _RAND_81 = {1{`RANDOM}}; + buf_rspageQ_0 = _RAND_81[3:0]; + _RAND_82 = {1{`RANDOM}}; + buf_rspageQ_1 = _RAND_82[3:0]; + _RAND_83 = {1{`RANDOM}}; + buf_rspageQ_2 = _RAND_83[3:0]; + _RAND_84 = {1{`RANDOM}}; + buf_rspageQ_3 = _RAND_84[3:0]; + _RAND_85 = {1{`RANDOM}}; + _T_4307 = _RAND_85[0:0]; + _RAND_86 = {1{`RANDOM}}; + _T_4305 = _RAND_86[0:0]; + _RAND_87 = {1{`RANDOM}}; + _T_4303 = _RAND_87[0:0]; + _RAND_88 = {1{`RANDOM}}; + _T_4301 = _RAND_88[0:0]; + _RAND_89 = {1{`RANDOM}}; + buf_ldfwdtag_0 = _RAND_89[1:0]; + _RAND_90 = {1{`RANDOM}}; + buf_dualtag_0 = _RAND_90[1:0]; + _RAND_91 = {1{`RANDOM}}; + buf_ldfwdtag_3 = _RAND_91[1:0]; + _RAND_92 = {1{`RANDOM}}; + buf_ldfwdtag_2 = _RAND_92[1:0]; + _RAND_93 = {1{`RANDOM}}; + buf_ldfwdtag_1 = _RAND_93[1:0]; + _RAND_94 = {1{`RANDOM}}; + buf_dualtag_1 = _RAND_94[1:0]; + _RAND_95 = {1{`RANDOM}}; + buf_dualtag_2 = _RAND_95[1:0]; + _RAND_96 = {1{`RANDOM}}; + buf_dualtag_3 = _RAND_96[1:0]; + _RAND_97 = {1{`RANDOM}}; + _T_4336 = _RAND_97[0:0]; + _RAND_98 = {1{`RANDOM}}; + _T_4339 = _RAND_98[0:0]; + _RAND_99 = {1{`RANDOM}}; + _T_4342 = _RAND_99[0:0]; + _RAND_100 = {1{`RANDOM}}; + _T_4345 = _RAND_100[0:0]; + _RAND_101 = {1{`RANDOM}}; + _T_4411 = _RAND_101[0:0]; + _RAND_102 = {1{`RANDOM}}; + _T_4406 = _RAND_102[0:0]; + _RAND_103 = {1{`RANDOM}}; + _T_4401 = _RAND_103[0:0]; + _RAND_104 = {1{`RANDOM}}; + _T_4396 = _RAND_104[0:0]; + _RAND_105 = {1{`RANDOM}}; + lsu_nonblock_load_valid_r = _RAND_105[0:0]; + _RAND_106 = {1{`RANDOM}}; + _T_4987 = _RAND_106[0:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + buf_addr_0 = 32'h0; + end + if (reset) begin + _T_4360 = 1'h0; + end + if (reset) begin + _T_4357 = 1'h0; + end + if (reset) begin + _T_4354 = 1'h0; + end + if (reset) begin + _T_4351 = 1'h0; + end + if (reset) begin + buf_state_0 = 3'h0; + end + if (reset) begin + buf_addr_1 = 32'h0; + end + if (reset) begin + buf_state_1 = 3'h0; + end + if (reset) begin + buf_addr_2 = 32'h0; + end + if (reset) begin + buf_state_2 = 3'h0; + end + if (reset) begin + buf_addr_3 = 32'h0; + end + if (reset) begin + buf_state_3 = 3'h0; + end + if (reset) begin + buf_byteen_3 = 4'h0; + end + if (reset) begin + buf_byteen_2 = 4'h0; + end + if (reset) begin + buf_byteen_1 = 4'h0; + end + if (reset) begin + buf_byteen_0 = 4'h0; + end + if (reset) begin + buf_ageQ_3 = 4'h0; + end + if (reset) begin + _T_1848 = 2'h0; + end + if (reset) begin + obuf_merge = 1'h0; + end + if (reset) begin + obuf_tag1 = 2'h0; + end + if (reset) begin + obuf_valid = 1'h0; + end + if (reset) begin + obuf_wr_enQ = 1'h0; + end + if (reset) begin + ibuf_addr = 32'h0; + end + if (reset) begin + ibuf_write = 1'h0; + end + if (reset) begin + ibuf_valid = 1'h0; + end + if (reset) begin + ibuf_byteen = 4'h0; + end + if (reset) begin + buf_ageQ_2 = 4'h0; + end + if (reset) begin + buf_ageQ_1 = 4'h0; + end + if (reset) begin + buf_ageQ_0 = 4'h0; + end + if (reset) begin + buf_data_0 = 32'h0; + end + if (reset) begin + buf_data_1 = 32'h0; + end + if (reset) begin + buf_data_2 = 32'h0; + end + if (reset) begin + buf_data_3 = 32'h0; + end + if (reset) begin + ibuf_data = 32'h0; + end + if (reset) begin + ibuf_timer = 3'h0; + end + if (reset) begin + ibuf_sideeffect = 1'h0; + end + if (reset) begin + WrPtr1_r = 2'h0; + end + if (reset) begin + WrPtr0_r = 2'h0; + end + if (reset) begin + ibuf_tag = 2'h0; + end + if (reset) begin + ibuf_dualtag = 2'h0; + end + if (reset) begin + ibuf_dual = 1'h0; + end + if (reset) begin + ibuf_samedw = 1'h0; + end + if (reset) begin + ibuf_nomerge = 1'h0; + end + if (reset) begin + ibuf_unsign = 1'h0; + end + if (reset) begin + ibuf_sz = 2'h0; + end + if (reset) begin + obuf_wr_timer = 3'h0; + end + if (reset) begin + buf_nomerge_0 = 1'h0; + end + if (reset) begin + buf_nomerge_1 = 1'h0; + end + if (reset) begin + buf_nomerge_2 = 1'h0; + end + if (reset) begin + buf_nomerge_3 = 1'h0; + end + if (reset) begin + _T_4330 = 1'h0; + end + if (reset) begin + _T_4327 = 1'h0; + end + if (reset) begin + _T_4324 = 1'h0; + end + if (reset) begin + _T_4321 = 1'h0; + end + if (reset) begin + obuf_sideeffect = 1'h0; + end + if (reset) begin + buf_dual_3 = 1'h0; + end + if (reset) begin + buf_dual_2 = 1'h0; + end + if (reset) begin + buf_dual_1 = 1'h0; + end + if (reset) begin + buf_dual_0 = 1'h0; + end + if (reset) begin + buf_samedw_3 = 1'h0; + end + if (reset) begin + buf_samedw_2 = 1'h0; + end + if (reset) begin + buf_samedw_1 = 1'h0; + end + if (reset) begin + buf_samedw_0 = 1'h0; + end + if (reset) begin + obuf_write = 1'h0; + end + if (reset) begin + obuf_cmd_done = 1'h0; + end + if (reset) begin + obuf_data_done = 1'h0; + end + if (reset) begin + obuf_nosend = 1'h0; + end + if (reset) begin + obuf_addr = 32'h0; + end + if (reset) begin + buf_sz_0 = 2'h0; + end + if (reset) begin + buf_sz_1 = 2'h0; + end + if (reset) begin + buf_sz_2 = 2'h0; + end + if (reset) begin + buf_sz_3 = 2'h0; + end + if (reset) begin + obuf_rdrsp_pend = 1'h0; + end + if (reset) begin + obuf_rdrsp_tag = 3'h0; + end + if (reset) begin + buf_dualhi_3 = 1'h0; + end + if (reset) begin + buf_dualhi_2 = 1'h0; + end + if (reset) begin + buf_dualhi_1 = 1'h0; + end + if (reset) begin + buf_dualhi_0 = 1'h0; + end + if (reset) begin + obuf_sz = 2'h0; + end + if (reset) begin + obuf_byteen = 8'h0; + end + if (reset) begin + obuf_data = 64'h0; + end + if (reset) begin + buf_rspageQ_0 = 4'h0; + end + if (reset) begin + buf_rspageQ_1 = 4'h0; + end + if (reset) begin + buf_rspageQ_2 = 4'h0; + end + if (reset) begin + buf_rspageQ_3 = 4'h0; + end + if (reset) begin + _T_4307 = 1'h0; + end + if (reset) begin + _T_4305 = 1'h0; + end + if (reset) begin + _T_4303 = 1'h0; + end + if (reset) begin + _T_4301 = 1'h0; + end + if (reset) begin + buf_ldfwdtag_0 = 2'h0; + end + if (reset) begin + buf_dualtag_0 = 2'h0; + end + if (reset) begin + buf_ldfwdtag_3 = 2'h0; + end + if (reset) begin + buf_ldfwdtag_2 = 2'h0; + end + if (reset) begin + buf_ldfwdtag_1 = 2'h0; + end + if (reset) begin + buf_dualtag_1 = 2'h0; + end + if (reset) begin + buf_dualtag_2 = 2'h0; + end + if (reset) begin + buf_dualtag_3 = 2'h0; + end + if (reset) begin + _T_4336 = 1'h0; + end + if (reset) begin + _T_4339 = 1'h0; + end + if (reset) begin + _T_4342 = 1'h0; + end + if (reset) begin + _T_4345 = 1'h0; + end + if (reset) begin + _T_4411 = 1'h0; + end + if (reset) begin + _T_4406 = 1'h0; + end + if (reset) begin + _T_4401 = 1'h0; + end + if (reset) begin + _T_4396 = 1'h0; + end + if (reset) begin + lsu_nonblock_load_valid_r = 1'h0; + end + if (reset) begin + _T_4987 = 1'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + if (reset) begin + buf_addr_0 <= 32'h0; + end else if (ibuf_drainvec_vld[0]) begin + buf_addr_0 <= ibuf_addr; + end else if (_T_3343) begin + buf_addr_0 <= io_end_addr_r; + end else begin + buf_addr_0 <= io_lsu_addr_r; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4360 <= 1'h0; + end else if (buf_wr_en_3) begin + _T_4360 <= buf_write_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4357 <= 1'h0; + end else if (buf_wr_en_2) begin + _T_4357 <= buf_write_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4354 <= 1'h0; + end else if (buf_wr_en_1) begin + _T_4354 <= buf_write_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4351 <= 1'h0; + end else if (buf_wr_en_0) begin + _T_4351 <= buf_write_in[0]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_state_0 <= 3'h0; + end else if (buf_state_en_0) begin + if (_T_3528) begin + if (io_lsu_bus_clk_en) begin + buf_state_0 <= 3'h2; + end else begin + buf_state_0 <= 3'h1; + end + end else if (_T_3551) begin + if (io_dec_tlu_force_halt) begin + buf_state_0 <= 3'h0; + end else begin + buf_state_0 <= 3'h2; + end + end else if (_T_3555) begin + if (io_dec_tlu_force_halt) begin + buf_state_0 <= 3'h0; + end else if (_T_3559) begin + buf_state_0 <= 3'h5; + end else begin + buf_state_0 <= 3'h3; + end + end else if (_T_3589) begin + if (_T_3594) begin + buf_state_0 <= 3'h0; + end else if (_T_3602) begin + buf_state_0 <= 3'h4; + end else if (_T_3630) begin + buf_state_0 <= 3'h5; + end else begin + buf_state_0 <= 3'h6; + end + end else if (_T_3676) begin + if (io_dec_tlu_force_halt) begin + buf_state_0 <= 3'h0; + end else if (_T_3682) begin + buf_state_0 <= 3'h5; + end else begin + buf_state_0 <= 3'h6; + end + end else if (_T_3694) begin + if (io_dec_tlu_force_halt) begin + buf_state_0 <= 3'h0; + end else begin + buf_state_0 <= 3'h6; + end + end else begin + buf_state_0 <= 3'h0; + end + end + end + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + if (reset) begin + buf_addr_1 <= 32'h0; + end else if (ibuf_drainvec_vld[1]) begin + buf_addr_1 <= ibuf_addr; + end else if (_T_3352) begin + buf_addr_1 <= io_end_addr_r; + end else begin + buf_addr_1 <= io_lsu_addr_r; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_state_1 <= 3'h0; + end else if (buf_state_en_1) begin + if (_T_3721) begin + if (io_lsu_bus_clk_en) begin + buf_state_1 <= 3'h2; + end else begin + buf_state_1 <= 3'h1; + end + end else if (_T_3744) begin + if (io_dec_tlu_force_halt) begin + buf_state_1 <= 3'h0; + end else begin + buf_state_1 <= 3'h2; + end + end else if (_T_3748) begin + if (io_dec_tlu_force_halt) begin + buf_state_1 <= 3'h0; + end else if (_T_3559) begin + buf_state_1 <= 3'h5; + end else begin + buf_state_1 <= 3'h3; + end + end else if (_T_3782) begin + if (_T_3787) begin + buf_state_1 <= 3'h0; + end else if (_T_3795) begin + buf_state_1 <= 3'h4; + end else if (_T_3823) begin + buf_state_1 <= 3'h5; + end else begin + buf_state_1 <= 3'h6; + end + end else if (_T_3869) begin + if (io_dec_tlu_force_halt) begin + buf_state_1 <= 3'h0; + end else if (_T_3875) begin + buf_state_1 <= 3'h5; + end else begin + buf_state_1 <= 3'h6; + end + end else if (_T_3887) begin + if (io_dec_tlu_force_halt) begin + buf_state_1 <= 3'h0; + end else begin + buf_state_1 <= 3'h6; + end + end else begin + buf_state_1 <= 3'h0; + end + end + end + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + if (reset) begin + buf_addr_2 <= 32'h0; + end else if (ibuf_drainvec_vld[2]) begin + buf_addr_2 <= ibuf_addr; + end else if (_T_3361) begin + buf_addr_2 <= io_end_addr_r; + end else begin + buf_addr_2 <= io_lsu_addr_r; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_state_2 <= 3'h0; + end else if (buf_state_en_2) begin + if (_T_3914) begin + if (io_lsu_bus_clk_en) begin + buf_state_2 <= 3'h2; + end else begin + buf_state_2 <= 3'h1; + end + end else if (_T_3937) begin + if (io_dec_tlu_force_halt) begin + buf_state_2 <= 3'h0; + end else begin + buf_state_2 <= 3'h2; + end + end else if (_T_3941) begin + if (io_dec_tlu_force_halt) begin + buf_state_2 <= 3'h0; + end else if (_T_3559) begin + buf_state_2 <= 3'h5; + end else begin + buf_state_2 <= 3'h3; + end + end else if (_T_3975) begin + if (_T_3980) begin + buf_state_2 <= 3'h0; + end else if (_T_3988) begin + buf_state_2 <= 3'h4; + end else if (_T_4016) begin + buf_state_2 <= 3'h5; + end else begin + buf_state_2 <= 3'h6; + end + end else if (_T_4062) begin + if (io_dec_tlu_force_halt) begin + buf_state_2 <= 3'h0; + end else if (_T_4068) begin + buf_state_2 <= 3'h5; + end else begin + buf_state_2 <= 3'h6; + end + end else if (_T_4080) begin + if (io_dec_tlu_force_halt) begin + buf_state_2 <= 3'h0; + end else begin + buf_state_2 <= 3'h6; + end + end else begin + buf_state_2 <= 3'h0; + end + end + end + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + if (reset) begin + buf_addr_3 <= 32'h0; + end else if (ibuf_drainvec_vld[3]) begin + buf_addr_3 <= ibuf_addr; + end else if (_T_3370) begin + buf_addr_3 <= io_end_addr_r; + end else begin + buf_addr_3 <= io_lsu_addr_r; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_state_3 <= 3'h0; + end else if (buf_state_en_3) begin + if (_T_4107) begin + if (io_lsu_bus_clk_en) begin + buf_state_3 <= 3'h2; + end else begin + buf_state_3 <= 3'h1; + end + end else if (_T_4130) begin + if (io_dec_tlu_force_halt) begin + buf_state_3 <= 3'h0; + end else begin + buf_state_3 <= 3'h2; + end + end else if (_T_4134) begin + if (io_dec_tlu_force_halt) begin + buf_state_3 <= 3'h0; + end else if (_T_3559) begin + buf_state_3 <= 3'h5; + end else begin + buf_state_3 <= 3'h3; + end + end else if (_T_4168) begin + if (_T_4173) begin + buf_state_3 <= 3'h0; + end else if (_T_4181) begin + buf_state_3 <= 3'h4; + end else if (_T_4209) begin + buf_state_3 <= 3'h5; + end else begin + buf_state_3 <= 3'h6; + end + end else if (_T_4255) begin + if (io_dec_tlu_force_halt) begin + buf_state_3 <= 3'h0; + end else if (_T_4261) begin + buf_state_3 <= 3'h5; + end else begin + buf_state_3 <= 3'h6; + end + end else if (_T_4273) begin + if (io_dec_tlu_force_halt) begin + buf_state_3 <= 3'h0; + end else begin + buf_state_3 <= 3'h6; + end + end else begin + buf_state_3 <= 3'h0; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_byteen_3 <= 4'h0; + end else if (buf_wr_en_3) begin + if (ibuf_drainvec_vld[3]) begin + buf_byteen_3 <= ibuf_byteen_out; + end else if (_T_3370) begin + buf_byteen_3 <= ldst_byteen_hi_r; + end else begin + buf_byteen_3 <= ldst_byteen_lo_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_byteen_2 <= 4'h0; + end else if (buf_wr_en_2) begin + if (ibuf_drainvec_vld[2]) begin + buf_byteen_2 <= ibuf_byteen_out; + end else if (_T_3361) begin + buf_byteen_2 <= ldst_byteen_hi_r; + end else begin + buf_byteen_2 <= ldst_byteen_lo_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_byteen_1 <= 4'h0; + end else if (buf_wr_en_1) begin + if (ibuf_drainvec_vld[1]) begin + buf_byteen_1 <= ibuf_byteen_out; + end else if (_T_3352) begin + buf_byteen_1 <= ldst_byteen_hi_r; + end else begin + buf_byteen_1 <= ldst_byteen_lo_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_byteen_0 <= 4'h0; + end else if (buf_wr_en_0) begin + if (ibuf_drainvec_vld[0]) begin + buf_byteen_0 <= ibuf_byteen_out; + end else if (_T_3343) begin + buf_byteen_0 <= ldst_byteen_hi_r; + end else begin + buf_byteen_0 <= ldst_byteen_lo_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ageQ_3 <= 4'h0; + end else begin + buf_ageQ_3 <= {_T_2535,_T_2458}; + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + _T_1848 <= 2'h0; + end else if (obuf_wr_en) begin + if (ibuf_buf_byp) begin + _T_1848 <= WrPtr0_r; + end else begin + _T_1848 <= CmdPtr0; + end + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + obuf_merge <= 1'h0; + end else if (obuf_wr_en) begin + obuf_merge <= obuf_merge_en; + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + obuf_tag1 <= 2'h0; + end else if (obuf_wr_en) begin + if (ibuf_buf_byp) begin + obuf_tag1 <= WrPtr1_r; + end else begin + obuf_tag1 <= CmdPtr1; + end + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + obuf_valid <= 1'h0; + end else begin + obuf_valid <= _T_1839 & _T_1840; + end + end + always @(posedge io_lsu_busm_clk or posedge reset) begin + if (reset) begin + obuf_wr_enQ <= 1'h0; + end else begin + obuf_wr_enQ <= _T_1236 & io_lsu_bus_clk_en; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + ibuf_addr <= 32'h0; + end else if (io_ldst_dual_r) begin + ibuf_addr <= io_end_addr_r; + end else begin + ibuf_addr <= io_lsu_addr_r; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_write <= 1'h0; + end else if (ibuf_wr_en) begin + ibuf_write <= io_lsu_pkt_r_bits_store; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + ibuf_valid <= 1'h0; + end else begin + ibuf_valid <= _T_1005 & _T_1006; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_byteen <= 4'h0; + end else if (ibuf_wr_en) begin + if (_T_866) begin + ibuf_byteen <= _T_881; + end else if (io_ldst_dual_r) begin + ibuf_byteen <= ldst_byteen_hi_r; + end else begin + ibuf_byteen <= ldst_byteen_lo_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ageQ_2 <= 4'h0; + end else begin + buf_ageQ_2 <= {_T_2433,_T_2356}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ageQ_1 <= 4'h0; + end else begin + buf_ageQ_1 <= {_T_2331,_T_2254}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ageQ_0 <= 4'h0; + end else begin + buf_ageQ_0 <= {_T_2229,_T_2152}; + end + end + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin + if (reset) begin + buf_data_0 <= 32'h0; + end else if (_T_3528) begin + if (_T_3543) begin + buf_data_0 <= ibuf_data_out; + end else begin + buf_data_0 <= store_data_lo_r; + end + end else if (_T_3551) begin + buf_data_0 <= 32'h0; + end else if (_T_3555) begin + if (buf_error_en_0) begin + buf_data_0 <= io_lsu_axi_r_bits_data[31:0]; + end else if (buf_addr_0[2]) begin + buf_data_0 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_0 <= io_lsu_axi_r_bits_data[31:0]; + end + end else if (_T_3589) begin + if (_T_3669) begin + if (buf_addr_0[2]) begin + buf_data_0 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_0 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_0 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_0 <= 32'h0; + end + end + always @(posedge rvclkhdr_9_io_l1clk or posedge reset) begin + if (reset) begin + buf_data_1 <= 32'h0; + end else if (_T_3721) begin + if (_T_3736) begin + buf_data_1 <= ibuf_data_out; + end else begin + buf_data_1 <= store_data_lo_r; + end + end else if (_T_3744) begin + buf_data_1 <= 32'h0; + end else if (_T_3748) begin + if (buf_error_en_1) begin + buf_data_1 <= io_lsu_axi_r_bits_data[31:0]; + end else if (buf_addr_1[2]) begin + buf_data_1 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_1 <= io_lsu_axi_r_bits_data[31:0]; + end + end else if (_T_3782) begin + if (_T_3862) begin + if (buf_addr_1[2]) begin + buf_data_1 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_1 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_1 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_1 <= 32'h0; + end + end + always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin + if (reset) begin + buf_data_2 <= 32'h0; + end else if (_T_3914) begin + if (_T_3929) begin + buf_data_2 <= ibuf_data_out; + end else begin + buf_data_2 <= store_data_lo_r; + end + end else if (_T_3937) begin + buf_data_2 <= 32'h0; + end else if (_T_3941) begin + if (buf_error_en_2) begin + buf_data_2 <= io_lsu_axi_r_bits_data[31:0]; + end else if (buf_addr_2[2]) begin + buf_data_2 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_2 <= io_lsu_axi_r_bits_data[31:0]; + end + end else if (_T_3975) begin + if (_T_4055) begin + if (buf_addr_2[2]) begin + buf_data_2 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_2 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_2 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_2 <= 32'h0; + end + end + always @(posedge rvclkhdr_11_io_l1clk or posedge reset) begin + if (reset) begin + buf_data_3 <= 32'h0; + end else if (_T_4107) begin + if (_T_4122) begin + buf_data_3 <= ibuf_data_out; + end else begin + buf_data_3 <= store_data_lo_r; + end + end else if (_T_4130) begin + buf_data_3 <= 32'h0; + end else if (_T_4134) begin + if (buf_error_en_3) begin + buf_data_3 <= io_lsu_axi_r_bits_data[31:0]; + end else if (buf_addr_3[2]) begin + buf_data_3 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_3 <= io_lsu_axi_r_bits_data[31:0]; + end + end else if (_T_4168) begin + if (_T_4248) begin + if (buf_addr_3[2]) begin + buf_data_3 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_3 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_3 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_3 <= 32'h0; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + ibuf_data <= 32'h0; + end else begin + ibuf_data <= {_T_922,_T_893}; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + ibuf_timer <= 3'h0; + end else if (ibuf_wr_en) begin + ibuf_timer <= 3'h0; + end else if (_T_923) begin + ibuf_timer <= _T_926; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_sideeffect <= 1'h0; + end else if (ibuf_wr_en) begin + ibuf_sideeffect <= io_is_sideeffects_r; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + WrPtr1_r <= 2'h0; + end else if (_T_1914) begin + WrPtr1_r <= 2'h0; + end else if (_T_1928) begin + WrPtr1_r <= 2'h1; + end else if (_T_1942) begin + WrPtr1_r <= 2'h2; + end else begin + WrPtr1_r <= 2'h3; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + WrPtr0_r <= 2'h0; + end else if (_T_1863) begin + WrPtr0_r <= 2'h0; + end else if (_T_1874) begin + WrPtr0_r <= 2'h1; + end else if (_T_1885) begin + WrPtr0_r <= 2'h2; + end else begin + WrPtr0_r <= 2'h3; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_tag <= 2'h0; + end else if (ibuf_wr_en) begin + if (!(_T_866)) begin + if (io_ldst_dual_r) begin + ibuf_tag <= WrPtr1_r; + end else begin + ibuf_tag <= WrPtr0_r; + end + end + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_dualtag <= 2'h0; + end else if (ibuf_wr_en) begin + ibuf_dualtag <= WrPtr0_r; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_dual <= 1'h0; + end else if (ibuf_wr_en) begin + ibuf_dual <= io_ldst_dual_r; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_samedw <= 1'h0; + end else if (ibuf_wr_en) begin + ibuf_samedw <= ldst_samedw_r; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_nomerge <= 1'h0; + end else if (ibuf_wr_en) begin + ibuf_nomerge <= io_no_dword_merge_r; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_unsign <= 1'h0; + end else if (ibuf_wr_en) begin + ibuf_unsign <= io_lsu_pkt_r_bits_unsign; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_sz <= 2'h0; + end else if (ibuf_wr_en) begin + ibuf_sz <= ibuf_sz_in; + end + end + always @(posedge io_lsu_busm_clk or posedge reset) begin + if (reset) begin + obuf_wr_timer <= 3'h0; + end else if (obuf_wr_en) begin + obuf_wr_timer <= 3'h0; + end else if (_T_1058) begin + obuf_wr_timer <= _T_1060; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_nomerge_0 <= 1'h0; + end else if (buf_wr_en_0) begin + buf_nomerge_0 <= buf_nomerge_in[0]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_nomerge_1 <= 1'h0; + end else if (buf_wr_en_1) begin + buf_nomerge_1 <= buf_nomerge_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_nomerge_2 <= 1'h0; + end else if (buf_wr_en_2) begin + buf_nomerge_2 <= buf_nomerge_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_nomerge_3 <= 1'h0; + end else if (buf_wr_en_3) begin + buf_nomerge_3 <= buf_nomerge_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4330 <= 1'h0; + end else if (buf_wr_en_3) begin + _T_4330 <= buf_sideeffect_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4327 <= 1'h0; + end else if (buf_wr_en_2) begin + _T_4327 <= buf_sideeffect_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4324 <= 1'h0; + end else if (buf_wr_en_1) begin + _T_4324 <= buf_sideeffect_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4321 <= 1'h0; + end else if (buf_wr_en_0) begin + _T_4321 <= buf_sideeffect_in[0]; + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + obuf_sideeffect <= 1'h0; + end else if (obuf_wr_en) begin + if (ibuf_buf_byp) begin + obuf_sideeffect <= io_is_sideeffects_r; + end else begin + obuf_sideeffect <= _T_1051; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dual_3 <= 1'h0; + end else if (buf_wr_en_3) begin + buf_dual_3 <= buf_dual_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dual_2 <= 1'h0; + end else if (buf_wr_en_2) begin + buf_dual_2 <= buf_dual_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dual_1 <= 1'h0; + end else if (buf_wr_en_1) begin + buf_dual_1 <= buf_dual_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dual_0 <= 1'h0; + end else if (buf_wr_en_0) begin + buf_dual_0 <= buf_dual_in[0]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_samedw_3 <= 1'h0; + end else if (buf_wr_en_3) begin + buf_samedw_3 <= buf_samedw_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_samedw_2 <= 1'h0; + end else if (buf_wr_en_2) begin + buf_samedw_2 <= buf_samedw_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_samedw_1 <= 1'h0; + end else if (buf_wr_en_1) begin + buf_samedw_1 <= buf_samedw_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_samedw_0 <= 1'h0; + end else if (buf_wr_en_0) begin + buf_samedw_0 <= buf_samedw_in[0]; + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + obuf_write <= 1'h0; + end else if (obuf_wr_en) begin + if (ibuf_buf_byp) begin + obuf_write <= io_lsu_pkt_r_bits_store; + end else begin + obuf_write <= _T_1202; + end + end + end + always @(posedge io_lsu_busm_clk or posedge reset) begin + if (reset) begin + obuf_cmd_done <= 1'h0; + end else begin + obuf_cmd_done <= _T_1305 & _T_4863; + end + end + always @(posedge io_lsu_busm_clk or posedge reset) begin + if (reset) begin + obuf_data_done <= 1'h0; + end else begin + obuf_data_done <= _T_1305 & _T_4864; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + obuf_nosend <= 1'h0; + end else if (obuf_wr_en) begin + obuf_nosend <= obuf_nosend_in; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + obuf_addr <= 32'h0; + end else if (ibuf_buf_byp) begin + obuf_addr <= io_lsu_addr_r; + end else begin + obuf_addr <= _T_1289; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_sz_0 <= 2'h0; + end else if (buf_wr_en_0) begin + if (ibuf_drainvec_vld[0]) begin + buf_sz_0 <= ibuf_sz; + end else begin + buf_sz_0 <= ibuf_sz_in; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_sz_1 <= 2'h0; + end else if (buf_wr_en_1) begin + if (ibuf_drainvec_vld[1]) begin + buf_sz_1 <= ibuf_sz; + end else begin + buf_sz_1 <= ibuf_sz_in; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_sz_2 <= 2'h0; + end else if (buf_wr_en_2) begin + if (ibuf_drainvec_vld[2]) begin + buf_sz_2 <= ibuf_sz; + end else begin + buf_sz_2 <= ibuf_sz_in; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_sz_3 <= 2'h0; + end else if (buf_wr_en_3) begin + if (ibuf_drainvec_vld[3]) begin + buf_sz_3 <= ibuf_sz; + end else begin + buf_sz_3 <= ibuf_sz_in; + end + end + end + always @(posedge io_lsu_busm_clk or posedge reset) begin + if (reset) begin + obuf_rdrsp_pend <= 1'h0; + end else begin + obuf_rdrsp_pend <= _T_1330 | _T_1334; + end + end + always @(posedge io_lsu_busm_clk or posedge reset) begin + if (reset) begin + obuf_rdrsp_tag <= 3'h0; + end else if (_T_1332) begin + obuf_rdrsp_tag <= obuf_tag0; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualhi_3 <= 1'h0; + end else if (buf_wr_en_3) begin + buf_dualhi_3 <= buf_dualhi_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualhi_2 <= 1'h0; + end else if (buf_wr_en_2) begin + buf_dualhi_2 <= buf_dualhi_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualhi_1 <= 1'h0; + end else if (buf_wr_en_1) begin + buf_dualhi_1 <= buf_dualhi_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualhi_0 <= 1'h0; + end else if (buf_wr_en_0) begin + buf_dualhi_0 <= buf_dualhi_in[0]; + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + obuf_sz <= 2'h0; + end else if (obuf_wr_en) begin + if (ibuf_buf_byp) begin + obuf_sz <= ibuf_sz_in; + end else begin + obuf_sz <= _T_1302; + end + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + obuf_byteen <= 8'h0; + end else if (obuf_wr_en) begin + obuf_byteen <= obuf_byteen_in; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + obuf_data <= 64'h0; + end else begin + obuf_data <= {_T_1620,_T_1579}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_rspageQ_0 <= 4'h0; + end else begin + buf_rspageQ_0 <= {_T_3173,_T_3162}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_rspageQ_1 <= 4'h0; + end else begin + buf_rspageQ_1 <= {_T_3188,_T_3177}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_rspageQ_2 <= 4'h0; + end else begin + buf_rspageQ_2 <= {_T_3203,_T_3192}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_rspageQ_3 <= 4'h0; + end else begin + buf_rspageQ_3 <= {_T_3218,_T_3207}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4307 <= 1'h0; + end else if (buf_ldfwd_en_3) begin + if (_T_4107) begin + _T_4307 <= 1'h0; + end else if (_T_4130) begin + _T_4307 <= 1'h0; + end else begin + _T_4307 <= _T_4134; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4305 <= 1'h0; + end else if (buf_ldfwd_en_2) begin + if (_T_3914) begin + _T_4305 <= 1'h0; + end else if (_T_3937) begin + _T_4305 <= 1'h0; + end else begin + _T_4305 <= _T_3941; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4303 <= 1'h0; + end else if (buf_ldfwd_en_1) begin + if (_T_3721) begin + _T_4303 <= 1'h0; + end else if (_T_3744) begin + _T_4303 <= 1'h0; + end else begin + _T_4303 <= _T_3748; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4301 <= 1'h0; + end else if (buf_ldfwd_en_0) begin + if (_T_3528) begin + _T_4301 <= 1'h0; + end else if (_T_3551) begin + _T_4301 <= 1'h0; + end else begin + _T_4301 <= _T_3555; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ldfwdtag_0 <= 2'h0; + end else if (buf_ldfwd_en_0) begin + if (_T_3528) begin + buf_ldfwdtag_0 <= 2'h0; + end else if (_T_3551) begin + buf_ldfwdtag_0 <= 2'h0; + end else if (_T_3555) begin + buf_ldfwdtag_0 <= obuf_rdrsp_tag[1:0]; + end else begin + buf_ldfwdtag_0 <= 2'h0; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualtag_0 <= 2'h0; + end else if (buf_wr_en_0) begin + if (ibuf_drainvec_vld[0]) begin + buf_dualtag_0 <= ibuf_dualtag; + end else if (_T_3343) begin + buf_dualtag_0 <= WrPtr0_r; + end else begin + buf_dualtag_0 <= WrPtr1_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ldfwdtag_3 <= 2'h0; + end else if (buf_ldfwd_en_3) begin + if (_T_4107) begin + buf_ldfwdtag_3 <= 2'h0; + end else if (_T_4130) begin + buf_ldfwdtag_3 <= 2'h0; + end else if (_T_4134) begin + buf_ldfwdtag_3 <= obuf_rdrsp_tag[1:0]; + end else begin + buf_ldfwdtag_3 <= 2'h0; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ldfwdtag_2 <= 2'h0; + end else if (buf_ldfwd_en_2) begin + if (_T_3914) begin + buf_ldfwdtag_2 <= 2'h0; + end else if (_T_3937) begin + buf_ldfwdtag_2 <= 2'h0; + end else if (_T_3941) begin + buf_ldfwdtag_2 <= obuf_rdrsp_tag[1:0]; + end else begin + buf_ldfwdtag_2 <= 2'h0; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ldfwdtag_1 <= 2'h0; + end else if (buf_ldfwd_en_1) begin + if (_T_3721) begin + buf_ldfwdtag_1 <= 2'h0; + end else if (_T_3744) begin + buf_ldfwdtag_1 <= 2'h0; + end else if (_T_3748) begin + buf_ldfwdtag_1 <= obuf_rdrsp_tag[1:0]; + end else begin + buf_ldfwdtag_1 <= 2'h0; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualtag_1 <= 2'h0; + end else if (buf_wr_en_1) begin + if (ibuf_drainvec_vld[1]) begin + buf_dualtag_1 <= ibuf_dualtag; + end else if (_T_3352) begin + buf_dualtag_1 <= WrPtr0_r; + end else begin + buf_dualtag_1 <= WrPtr1_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualtag_2 <= 2'h0; + end else if (buf_wr_en_2) begin + if (ibuf_drainvec_vld[2]) begin + buf_dualtag_2 <= ibuf_dualtag; + end else if (_T_3361) begin + buf_dualtag_2 <= WrPtr0_r; + end else begin + buf_dualtag_2 <= WrPtr1_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualtag_3 <= 2'h0; + end else if (buf_wr_en_3) begin + if (ibuf_drainvec_vld[3]) begin + buf_dualtag_3 <= ibuf_dualtag; + end else if (_T_3370) begin + buf_dualtag_3 <= WrPtr0_r; + end else begin + buf_dualtag_3 <= WrPtr1_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4336 <= 1'h0; + end else if (buf_wr_en_0) begin + _T_4336 <= buf_unsign_in[0]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4339 <= 1'h0; + end else if (buf_wr_en_1) begin + _T_4339 <= buf_unsign_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4342 <= 1'h0; + end else if (buf_wr_en_2) begin + _T_4342 <= buf_unsign_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4345 <= 1'h0; + end else if (buf_wr_en_3) begin + _T_4345 <= buf_unsign_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4411 <= 1'h0; + end else begin + _T_4411 <= _T_4408 & _T_4409; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4406 <= 1'h0; + end else begin + _T_4406 <= _T_4403 & _T_4404; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4401 <= 1'h0; + end else begin + _T_4401 <= _T_4398 & _T_4399; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4396 <= 1'h0; + end else begin + _T_4396 <= _T_4393 & _T_4394; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + lsu_nonblock_load_valid_r <= 1'h0; + end else begin + lsu_nonblock_load_valid_r <= io_dctl_busbuff_lsu_nonblock_load_valid_m; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_4987 <= 1'h0; + end else begin + _T_4987 <= _T_4984 & _T_4518; + end + end +endmodule diff --git a/pic_ctrl.anno.json b/pic_ctrl.anno.json new file mode 100644 index 00000000..bb6b8ccf --- /dev/null +++ b/pic_ctrl.anno.json @@ -0,0 +1,986 @@ +[ + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~pic_ctrl|pic_ctrl>io_lsu_pic_picm_rd_data", + "sources":[ + "~pic_ctrl|pic_ctrl>io_extintsrc_req" + ] + }, + { + "class":"firrtl.EmitCircuitAnnotation", + "emitter":"firrtl.VerilogEmitter" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>selected_int_priority" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_4_2" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_4_0" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_3_4" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_3_2" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_3_0" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_2_8" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_2_6" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_2_4" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_2_2" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_2_0" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_1_16" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_1_14" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_1_12" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_1_10" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_1_8" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_1_6" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_1_4" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_1_2" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_1_0" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_0_32" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_0_30" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_0_28" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_0_26" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_0_24" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_0_22" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_0_20" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_0_18" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_0_16" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_0_14" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_0_12" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_0_10" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_0_8" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_0_6" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_0_4" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_0_2" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_0_0" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_w_prior_en_0_0" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_w_prior_en_0_1" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_w_prior_en_0_2" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_w_prior_en_0_3" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_w_prior_en_0_4" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_w_prior_en_0_5" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_w_prior_en_0_6" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_w_prior_en_0_7" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_w_prior_en_0_8" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_w_prior_en_0_9" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_w_prior_en_0_10" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_w_prior_en_0_11" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_w_prior_en_0_12" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_w_prior_en_0_13" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_w_prior_en_0_14" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_w_prior_en_0_15" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_w_prior_en_0_16" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_w_prior_en_0_17" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_w_prior_en_0_18" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_w_prior_en_0_19" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_w_prior_en_0_20" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_w_prior_en_0_21" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_w_prior_en_0_22" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_w_prior_en_0_23" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_w_prior_en_0_24" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_w_prior_en_0_25" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_w_prior_en_0_26" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_w_prior_en_0_27" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_w_prior_en_0_28" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_w_prior_en_0_29" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_w_prior_en_0_30" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_w_prior_en_0_31" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_w_prior_en_0_32" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_w_prior_en_0_33" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_0_1" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_0_3" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_0_5" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_0_7" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_0_9" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_0_11" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_0_13" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_0_15" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_0_17" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_0_19" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_0_21" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_0_23" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_0_25" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_0_27" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_0_29" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_0_31" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_0_33" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_1_1" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_1_3" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_1_5" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_1_7" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_1_9" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_1_11" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_1_13" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_1_15" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_1_17" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_1_18" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_1_19" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_1_20" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_1_21" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_1_22" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_1_23" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_1_24" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_1_25" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_1_26" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_1_27" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_1_28" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_1_29" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_1_30" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_1_31" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_1_32" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_1_33" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_2_1" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_2_3" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_2_5" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_2_7" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_2_9" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_2_10" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_2_11" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_2_12" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_2_13" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_2_14" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_2_15" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_2_16" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_2_17" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_2_18" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_2_19" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_2_20" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_2_21" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_2_22" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_2_23" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_2_24" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_2_25" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_2_26" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_2_27" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_2_28" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_2_29" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_2_30" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_2_31" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_2_32" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_2_33" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_3_1" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_3_3" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_3_5" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_3_6" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_3_7" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_3_8" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_3_9" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_3_10" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_3_11" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_3_12" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_3_13" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_3_14" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_3_15" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_3_16" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_3_17" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_3_18" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_3_19" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_3_20" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_3_21" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_3_22" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_3_23" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_3_24" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_3_25" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_3_26" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_3_27" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_3_28" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_3_29" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_3_30" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_3_31" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_3_32" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_3_33" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_4_1" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_4_3" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_4_4" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_4_5" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_4_6" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_4_7" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_4_8" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_4_9" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_4_10" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_4_11" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_4_12" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_4_13" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_4_14" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_4_15" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_4_16" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_4_17" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_4_18" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_4_19" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_4_20" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_4_21" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_4_22" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_4_23" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_4_24" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_4_25" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_4_26" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_4_27" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_4_28" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_4_29" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_4_30" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_4_31" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_4_32" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_4_33" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_5_0" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_5_1" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_5_2" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_5_3" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_5_4" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_5_5" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_5_6" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_5_7" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_5_8" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_5_9" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_5_10" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_5_11" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_5_12" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_5_13" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_5_14" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_5_15" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_5_16" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_5_17" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_5_18" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_5_19" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_5_20" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_5_21" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_5_22" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_5_23" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_5_24" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_5_25" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_5_26" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_5_27" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_5_28" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_5_29" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_5_30" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_5_31" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_5_32" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~pic_ctrl|pic_ctrl>level_intpend_id_5_33" + }, + { + "class":"firrtl.transforms.BlackBoxResourceAnno", + "target":"pic_ctrl.gated_latch", + "resourceId":"/vsrc/gated_latch.v" + }, + { + "class":"firrtl.options.TargetDirAnnotation", + "directory":"." + }, + { + "class":"firrtl.options.OutputAnnotationFileAnnotation", + "file":"pic_ctrl" + }, + { + "class":"firrtl.transforms.BlackBoxTargetDirAnno", + "targetDir":"." + } +] \ No newline at end of file diff --git a/pic_ctrl.fir b/pic_ctrl.fir new file mode 100644 index 00000000..14490d4d --- /dev/null +++ b/pic_ctrl.fir @@ -0,0 +1,4295 @@ +;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 +circuit pic_ctrl : + extmodule gated_latch : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_1 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_1 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_1 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_2 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_2 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_2 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_3 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_3 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_3 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_4 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_4 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_4 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module pic_ctrl : + input clock : Clock + input reset : AsyncReset + output io : {flip scan_mode : UInt<1>, flip free_clk : Clock, flip active_clk : Clock, flip clk_override : UInt<1>, flip extintsrc_req : UInt<32>, flip lsu_pic : {picm_wren : UInt<1>, picm_rden : UInt<1>, picm_mken : UInt<1>, picm_rdaddr : UInt<32>, picm_wraddr : UInt<32>, picm_wr_data : UInt<32>, flip picm_rd_data : UInt<32>}, flip dec_pic : {flip pic_claimid : UInt<8>, flip pic_pl : UInt<4>, flip mhwakeup : UInt<1>, dec_tlu_meicurpl : UInt<4>, dec_tlu_meipt : UInt<4>, flip mexintpend : UInt<1>}} + + wire GW_CONFIG : UInt<32> + GW_CONFIG <= UInt<1>("h00") + wire intpend_rd_out : UInt<32> + intpend_rd_out <= UInt<32>("h00") + wire intpriority_reg_inv : UInt<4>[32] @[pic_ctrl.scala 67:42] + wire intpend_reg_extended : UInt<64> + intpend_reg_extended <= UInt<64>("h00") + wire selected_int_priority : UInt<4> + selected_int_priority <= UInt<4>("h00") + wire intpend_w_prior_en : UInt<4>[32] @[pic_ctrl.scala 70:42] + wire intpend_id : UInt<8>[32] @[pic_ctrl.scala 71:42] + wire levelx_intpend_w_prior_en : UInt<4>[10][4] @[pic_ctrl.scala 72:42] + levelx_intpend_w_prior_en[0][0] <= UInt<1>("h00") @[pic_ctrl.scala 73:158] + levelx_intpend_w_prior_en[0][1] <= UInt<1>("h00") @[pic_ctrl.scala 73:158] + levelx_intpend_w_prior_en[0][2] <= UInt<1>("h00") @[pic_ctrl.scala 73:158] + levelx_intpend_w_prior_en[0][3] <= UInt<1>("h00") @[pic_ctrl.scala 73:158] + levelx_intpend_w_prior_en[0][4] <= UInt<1>("h00") @[pic_ctrl.scala 73:158] + levelx_intpend_w_prior_en[0][5] <= UInt<1>("h00") @[pic_ctrl.scala 73:158] + levelx_intpend_w_prior_en[0][6] <= UInt<1>("h00") @[pic_ctrl.scala 73:158] + levelx_intpend_w_prior_en[0][7] <= UInt<1>("h00") @[pic_ctrl.scala 73:158] + levelx_intpend_w_prior_en[0][8] <= UInt<1>("h00") @[pic_ctrl.scala 73:158] + levelx_intpend_w_prior_en[0][9] <= UInt<1>("h00") @[pic_ctrl.scala 73:158] + levelx_intpend_w_prior_en[1][0] <= UInt<1>("h00") @[pic_ctrl.scala 73:158] + levelx_intpend_w_prior_en[1][1] <= UInt<1>("h00") @[pic_ctrl.scala 73:158] + levelx_intpend_w_prior_en[1][2] <= UInt<1>("h00") @[pic_ctrl.scala 73:158] + levelx_intpend_w_prior_en[1][3] <= UInt<1>("h00") @[pic_ctrl.scala 73:158] + levelx_intpend_w_prior_en[1][4] <= UInt<1>("h00") @[pic_ctrl.scala 73:158] + levelx_intpend_w_prior_en[1][5] <= UInt<1>("h00") @[pic_ctrl.scala 73:158] + levelx_intpend_w_prior_en[1][6] <= UInt<1>("h00") @[pic_ctrl.scala 73:158] + levelx_intpend_w_prior_en[1][7] <= UInt<1>("h00") @[pic_ctrl.scala 73:158] + levelx_intpend_w_prior_en[1][8] <= UInt<1>("h00") @[pic_ctrl.scala 73:158] + levelx_intpend_w_prior_en[1][9] <= UInt<1>("h00") @[pic_ctrl.scala 73:158] + levelx_intpend_w_prior_en[2][0] <= UInt<1>("h00") @[pic_ctrl.scala 73:158] + levelx_intpend_w_prior_en[2][1] <= UInt<1>("h00") @[pic_ctrl.scala 73:158] + levelx_intpend_w_prior_en[2][2] <= UInt<1>("h00") @[pic_ctrl.scala 73:158] + levelx_intpend_w_prior_en[2][3] <= UInt<1>("h00") @[pic_ctrl.scala 73:158] + levelx_intpend_w_prior_en[2][4] <= UInt<1>("h00") @[pic_ctrl.scala 73:158] + levelx_intpend_w_prior_en[2][5] <= UInt<1>("h00") @[pic_ctrl.scala 73:158] + levelx_intpend_w_prior_en[2][6] <= UInt<1>("h00") @[pic_ctrl.scala 73:158] + levelx_intpend_w_prior_en[2][7] <= UInt<1>("h00") @[pic_ctrl.scala 73:158] + levelx_intpend_w_prior_en[2][8] <= UInt<1>("h00") @[pic_ctrl.scala 73:158] + levelx_intpend_w_prior_en[2][9] <= UInt<1>("h00") @[pic_ctrl.scala 73:158] + levelx_intpend_w_prior_en[3][0] <= UInt<1>("h00") @[pic_ctrl.scala 73:158] + levelx_intpend_w_prior_en[3][1] <= UInt<1>("h00") @[pic_ctrl.scala 73:158] + levelx_intpend_w_prior_en[3][2] <= UInt<1>("h00") @[pic_ctrl.scala 73:158] + levelx_intpend_w_prior_en[3][3] <= UInt<1>("h00") @[pic_ctrl.scala 73:158] + levelx_intpend_w_prior_en[3][4] <= UInt<1>("h00") @[pic_ctrl.scala 73:158] + levelx_intpend_w_prior_en[3][5] <= UInt<1>("h00") @[pic_ctrl.scala 73:158] + levelx_intpend_w_prior_en[3][6] <= UInt<1>("h00") @[pic_ctrl.scala 73:158] + levelx_intpend_w_prior_en[3][7] <= UInt<1>("h00") @[pic_ctrl.scala 73:158] + levelx_intpend_w_prior_en[3][8] <= UInt<1>("h00") @[pic_ctrl.scala 73:158] + levelx_intpend_w_prior_en[3][9] <= UInt<1>("h00") @[pic_ctrl.scala 73:158] + wire levelx_intpend_id : UInt<8>[10][4] @[pic_ctrl.scala 74:42] + levelx_intpend_id[0][0] <= UInt<1>("h00") @[pic_ctrl.scala 75:150] + levelx_intpend_id[0][1] <= UInt<1>("h00") @[pic_ctrl.scala 75:150] + levelx_intpend_id[0][2] <= UInt<1>("h00") @[pic_ctrl.scala 75:150] + levelx_intpend_id[0][3] <= UInt<1>("h00") @[pic_ctrl.scala 75:150] + levelx_intpend_id[0][4] <= UInt<1>("h00") @[pic_ctrl.scala 75:150] + levelx_intpend_id[0][5] <= UInt<1>("h00") @[pic_ctrl.scala 75:150] + levelx_intpend_id[0][6] <= UInt<1>("h00") @[pic_ctrl.scala 75:150] + levelx_intpend_id[0][7] <= UInt<1>("h00") @[pic_ctrl.scala 75:150] + levelx_intpend_id[0][8] <= UInt<1>("h00") @[pic_ctrl.scala 75:150] + levelx_intpend_id[0][9] <= UInt<1>("h00") @[pic_ctrl.scala 75:150] + levelx_intpend_id[1][0] <= UInt<1>("h00") @[pic_ctrl.scala 75:150] + levelx_intpend_id[1][1] <= UInt<1>("h00") @[pic_ctrl.scala 75:150] + levelx_intpend_id[1][2] <= UInt<1>("h00") @[pic_ctrl.scala 75:150] + levelx_intpend_id[1][3] <= UInt<1>("h00") @[pic_ctrl.scala 75:150] + levelx_intpend_id[1][4] <= UInt<1>("h00") @[pic_ctrl.scala 75:150] + levelx_intpend_id[1][5] <= UInt<1>("h00") @[pic_ctrl.scala 75:150] + levelx_intpend_id[1][6] <= UInt<1>("h00") @[pic_ctrl.scala 75:150] + levelx_intpend_id[1][7] <= UInt<1>("h00") @[pic_ctrl.scala 75:150] + levelx_intpend_id[1][8] <= UInt<1>("h00") @[pic_ctrl.scala 75:150] + levelx_intpend_id[1][9] <= UInt<1>("h00") @[pic_ctrl.scala 75:150] + levelx_intpend_id[2][0] <= UInt<1>("h00") @[pic_ctrl.scala 75:150] + levelx_intpend_id[2][1] <= UInt<1>("h00") @[pic_ctrl.scala 75:150] + levelx_intpend_id[2][2] <= UInt<1>("h00") @[pic_ctrl.scala 75:150] + levelx_intpend_id[2][3] <= UInt<1>("h00") @[pic_ctrl.scala 75:150] + levelx_intpend_id[2][4] <= UInt<1>("h00") @[pic_ctrl.scala 75:150] + levelx_intpend_id[2][5] <= UInt<1>("h00") @[pic_ctrl.scala 75:150] + levelx_intpend_id[2][6] <= UInt<1>("h00") @[pic_ctrl.scala 75:150] + levelx_intpend_id[2][7] <= UInt<1>("h00") @[pic_ctrl.scala 75:150] + levelx_intpend_id[2][8] <= UInt<1>("h00") @[pic_ctrl.scala 75:150] + levelx_intpend_id[2][9] <= UInt<1>("h00") @[pic_ctrl.scala 75:150] + levelx_intpend_id[3][0] <= UInt<1>("h00") @[pic_ctrl.scala 75:150] + levelx_intpend_id[3][1] <= UInt<1>("h00") @[pic_ctrl.scala 75:150] + levelx_intpend_id[3][2] <= UInt<1>("h00") @[pic_ctrl.scala 75:150] + levelx_intpend_id[3][3] <= UInt<1>("h00") @[pic_ctrl.scala 75:150] + levelx_intpend_id[3][4] <= UInt<1>("h00") @[pic_ctrl.scala 75:150] + levelx_intpend_id[3][5] <= UInt<1>("h00") @[pic_ctrl.scala 75:150] + levelx_intpend_id[3][6] <= UInt<1>("h00") @[pic_ctrl.scala 75:150] + levelx_intpend_id[3][7] <= UInt<1>("h00") @[pic_ctrl.scala 75:150] + levelx_intpend_id[3][8] <= UInt<1>("h00") @[pic_ctrl.scala 75:150] + levelx_intpend_id[3][9] <= UInt<1>("h00") @[pic_ctrl.scala 75:150] + wire l2_intpend_w_prior_en_ff : UInt<4>[8] @[pic_ctrl.scala 76:42] + l2_intpend_w_prior_en_ff[0] <= UInt<1>("h00") @[pic_ctrl.scala 77:109] + l2_intpend_w_prior_en_ff[1] <= UInt<1>("h00") @[pic_ctrl.scala 77:109] + l2_intpend_w_prior_en_ff[2] <= UInt<1>("h00") @[pic_ctrl.scala 77:109] + l2_intpend_w_prior_en_ff[3] <= UInt<1>("h00") @[pic_ctrl.scala 77:109] + l2_intpend_w_prior_en_ff[4] <= UInt<1>("h00") @[pic_ctrl.scala 77:109] + l2_intpend_w_prior_en_ff[5] <= UInt<1>("h00") @[pic_ctrl.scala 77:109] + l2_intpend_w_prior_en_ff[6] <= UInt<1>("h00") @[pic_ctrl.scala 77:109] + l2_intpend_w_prior_en_ff[7] <= UInt<1>("h00") @[pic_ctrl.scala 77:109] + wire l2_intpend_id_ff : UInt<8>[8] @[pic_ctrl.scala 78:42] + l2_intpend_id_ff[0] <= UInt<1>("h00") @[pic_ctrl.scala 79:101] + l2_intpend_id_ff[1] <= UInt<1>("h00") @[pic_ctrl.scala 79:101] + l2_intpend_id_ff[2] <= UInt<1>("h00") @[pic_ctrl.scala 79:101] + l2_intpend_id_ff[3] <= UInt<1>("h00") @[pic_ctrl.scala 79:101] + l2_intpend_id_ff[4] <= UInt<1>("h00") @[pic_ctrl.scala 79:101] + l2_intpend_id_ff[5] <= UInt<1>("h00") @[pic_ctrl.scala 79:101] + l2_intpend_id_ff[6] <= UInt<1>("h00") @[pic_ctrl.scala 79:101] + l2_intpend_id_ff[7] <= UInt<1>("h00") @[pic_ctrl.scala 79:101] + wire config_reg : UInt<1> + config_reg <= UInt<1>("h00") + wire intpriord : UInt<1> + intpriord <= UInt<1>("h00") + wire prithresh_reg_write : UInt<1> + prithresh_reg_write <= UInt<1>("h00") + wire prithresh_reg_read : UInt<1> + prithresh_reg_read <= UInt<1>("h00") + wire picm_wren_ff : UInt<1> + picm_wren_ff <= UInt<1>("h00") + wire picm_rden_ff : UInt<1> + picm_rden_ff <= UInt<1>("h00") + wire picm_raddr_ff : UInt<32> + picm_raddr_ff <= UInt<32>("h00") + wire picm_waddr_ff : UInt<32> + picm_waddr_ff <= UInt<32>("h00") + wire picm_wr_data_ff : UInt<32> + picm_wr_data_ff <= UInt<32>("h00") + wire mask : UInt<4> + mask <= UInt<4>("h00") + wire picm_mken_ff : UInt<1> + picm_mken_ff <= UInt<1>("h00") + wire claimid_in : UInt<8> + claimid_in <= UInt<8>("h00") + wire pic_raddr_c1_clk : Clock @[pic_ctrl.scala 95:42] + wire pic_data_c1_clk : Clock @[pic_ctrl.scala 96:42] + wire pic_pri_c1_clk : Clock @[pic_ctrl.scala 97:42] + wire pic_int_c1_clk : Clock @[pic_ctrl.scala 98:42] + wire gw_config_c1_clk : Clock @[pic_ctrl.scala 99:42] + reg _T : UInt, pic_raddr_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[pic_ctrl.scala 101:56] + _T <= io.lsu_pic.picm_rdaddr @[pic_ctrl.scala 101:56] + picm_raddr_ff <= _T @[pic_ctrl.scala 101:46] + reg _T_1 : UInt, pic_data_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[pic_ctrl.scala 102:57] + _T_1 <= io.lsu_pic.picm_wraddr @[pic_ctrl.scala 102:57] + picm_waddr_ff <= _T_1 @[pic_ctrl.scala 102:46] + reg _T_2 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[pic_ctrl.scala 103:55] + _T_2 <= io.lsu_pic.picm_wren @[pic_ctrl.scala 103:55] + picm_wren_ff <= _T_2 @[pic_ctrl.scala 103:45] + reg _T_3 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[pic_ctrl.scala 104:55] + _T_3 <= io.lsu_pic.picm_rden @[pic_ctrl.scala 104:55] + picm_rden_ff <= _T_3 @[pic_ctrl.scala 104:45] + reg _T_4 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[pic_ctrl.scala 105:55] + _T_4 <= io.lsu_pic.picm_mken @[pic_ctrl.scala 105:55] + picm_mken_ff <= _T_4 @[pic_ctrl.scala 105:45] + reg _T_5 : UInt, pic_data_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[pic_ctrl.scala 106:58] + _T_5 <= io.lsu_pic.picm_wr_data @[pic_ctrl.scala 106:58] + picm_wr_data_ff <= _T_5 @[pic_ctrl.scala 106:48] + node _T_6 = xor(picm_raddr_ff, UInt<32>("h0f00c2000")) @[pic_ctrl.scala 108:59] + node temp_raddr_intenable_base_match = not(_T_6) @[pic_ctrl.scala 108:43] + node _T_7 = bits(temp_raddr_intenable_base_match, 31, 7) @[pic_ctrl.scala 109:71] + node raddr_intenable_base_match = andr(_T_7) @[pic_ctrl.scala 109:89] + node _T_8 = bits(picm_raddr_ff, 31, 7) @[pic_ctrl.scala 111:53] + node raddr_intpriority_base_match = eq(_T_8, UInt<25>("h01e01800")) @[pic_ctrl.scala 111:71] + node _T_9 = bits(picm_raddr_ff, 31, 7) @[pic_ctrl.scala 112:53] + node raddr_config_gw_base_match = eq(_T_9, UInt<25>("h01e01880")) @[pic_ctrl.scala 112:71] + node _T_10 = bits(picm_raddr_ff, 31, 0) @[pic_ctrl.scala 113:53] + node raddr_config_pic_match = eq(_T_10, UInt<32>("h0f00c3000")) @[pic_ctrl.scala 113:71] + node _T_11 = bits(picm_raddr_ff, 31, 6) @[pic_ctrl.scala 114:53] + node addr_intpend_base_match = eq(_T_11, UInt<26>("h03c03040")) @[pic_ctrl.scala 114:71] + node _T_12 = bits(picm_waddr_ff, 31, 0) @[pic_ctrl.scala 116:53] + node waddr_config_pic_match = eq(_T_12, UInt<32>("h0f00c3000")) @[pic_ctrl.scala 116:71] + node _T_13 = bits(picm_waddr_ff, 31, 7) @[pic_ctrl.scala 117:53] + node addr_clear_gw_base_match = eq(_T_13, UInt<25>("h01e018a0")) @[pic_ctrl.scala 117:71] + node _T_14 = bits(picm_waddr_ff, 31, 7) @[pic_ctrl.scala 118:53] + node waddr_intpriority_base_match = eq(_T_14, UInt<25>("h01e01800")) @[pic_ctrl.scala 118:71] + node _T_15 = bits(picm_waddr_ff, 31, 7) @[pic_ctrl.scala 119:53] + node waddr_intenable_base_match = eq(_T_15, UInt<25>("h01e01840")) @[pic_ctrl.scala 119:71] + node _T_16 = bits(picm_waddr_ff, 31, 7) @[pic_ctrl.scala 120:53] + node waddr_config_gw_base_match = eq(_T_16, UInt<25>("h01e01880")) @[pic_ctrl.scala 120:71] + node _T_17 = and(picm_rden_ff, picm_wren_ff) @[pic_ctrl.scala 121:53] + node _T_18 = eq(picm_raddr_ff, picm_waddr_ff) @[pic_ctrl.scala 121:86] + node picm_bypass_ff = and(_T_17, _T_18) @[pic_ctrl.scala 121:68] + node _T_19 = or(io.lsu_pic.picm_mken, io.lsu_pic.picm_rden) @[pic_ctrl.scala 125:50] + node pic_raddr_c1_clken = or(_T_19, io.clk_override) @[pic_ctrl.scala 125:73] + node pic_data_c1_clken = or(io.lsu_pic.picm_wren, io.clk_override) @[pic_ctrl.scala 126:50] + node _T_20 = and(waddr_intpriority_base_match, picm_wren_ff) @[pic_ctrl.scala 127:59] + node _T_21 = and(raddr_intpriority_base_match, picm_rden_ff) @[pic_ctrl.scala 127:108] + node _T_22 = or(_T_20, _T_21) @[pic_ctrl.scala 127:76] + node pic_pri_c1_clken = or(_T_22, io.clk_override) @[pic_ctrl.scala 127:124] + node _T_23 = and(waddr_intenable_base_match, picm_wren_ff) @[pic_ctrl.scala 128:57] + node _T_24 = and(raddr_intenable_base_match, picm_rden_ff) @[pic_ctrl.scala 128:104] + node _T_25 = or(_T_23, _T_24) @[pic_ctrl.scala 128:74] + node pic_int_c1_clken = or(_T_25, io.clk_override) @[pic_ctrl.scala 128:120] + node _T_26 = and(waddr_config_gw_base_match, picm_wren_ff) @[pic_ctrl.scala 129:59] + node _T_27 = and(raddr_config_gw_base_match, picm_rden_ff) @[pic_ctrl.scala 129:108] + node _T_28 = or(_T_26, _T_27) @[pic_ctrl.scala 129:76] + node gw_config_c1_clken = or(_T_28, io.clk_override) @[pic_ctrl.scala 129:124] + inst rvclkhdr of rvclkhdr @[lib.scala 343:22] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 344:17] + rvclkhdr.io.en <= pic_raddr_c1_clken @[lib.scala 345:16] + rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + pic_raddr_c1_clk <= rvclkhdr.io.l1clk @[pic_ctrl.scala 132:21] + inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 343:22] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_1.io.en <= pic_data_c1_clken @[lib.scala 345:16] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + pic_data_c1_clk <= rvclkhdr_1.io.l1clk @[pic_ctrl.scala 133:21] + node _T_29 = bits(pic_pri_c1_clken, 0, 0) @[pic_ctrl.scala 134:56] + inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 343:22] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_2.io.en <= _T_29 @[lib.scala 345:16] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + pic_pri_c1_clk <= rvclkhdr_2.io.l1clk @[pic_ctrl.scala 134:21] + node _T_30 = bits(pic_int_c1_clken, 0, 0) @[pic_ctrl.scala 135:56] + inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 343:22] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_3.io.en <= _T_30 @[lib.scala 345:16] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + pic_int_c1_clk <= rvclkhdr_3.io.l1clk @[pic_ctrl.scala 135:21] + node _T_31 = bits(gw_config_c1_clken, 0, 0) @[pic_ctrl.scala 136:58] + inst rvclkhdr_4 of rvclkhdr_4 @[lib.scala 343:22] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_4.io.en <= _T_31 @[lib.scala 345:16] + rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + gw_config_c1_clk <= rvclkhdr_4.io.l1clk @[pic_ctrl.scala 136:21] + node _T_32 = bits(io.extintsrc_req, 31, 1) @[pic_ctrl.scala 139:58] + reg _T_33 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 37:81] + _T_33 <= _T_32 @[lib.scala 37:81] + reg _T_34 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 37:58] + _T_34 <= _T_33 @[lib.scala 37:58] + node _T_35 = bits(io.extintsrc_req, 0, 0) @[pic_ctrl.scala 139:113] + node extintsrc_req_sync = cat(_T_34, _T_35) @[Cat.scala 29:58] + node _T_36 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 141:122] + node _T_37 = eq(_T_36, UInt<1>("h01")) @[pic_ctrl.scala 141:139] + node _T_38 = and(waddr_intpriority_base_match, _T_37) @[pic_ctrl.scala 141:106] + node intpriority_reg_we_1 = and(_T_38, picm_wren_ff) @[pic_ctrl.scala 141:153] + node _T_39 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 141:122] + node _T_40 = eq(_T_39, UInt<2>("h02")) @[pic_ctrl.scala 141:139] + node _T_41 = and(waddr_intpriority_base_match, _T_40) @[pic_ctrl.scala 141:106] + node intpriority_reg_we_2 = and(_T_41, picm_wren_ff) @[pic_ctrl.scala 141:153] + node _T_42 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 141:122] + node _T_43 = eq(_T_42, UInt<2>("h03")) @[pic_ctrl.scala 141:139] + node _T_44 = and(waddr_intpriority_base_match, _T_43) @[pic_ctrl.scala 141:106] + node intpriority_reg_we_3 = and(_T_44, picm_wren_ff) @[pic_ctrl.scala 141:153] + node _T_45 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 141:122] + node _T_46 = eq(_T_45, UInt<3>("h04")) @[pic_ctrl.scala 141:139] + node _T_47 = and(waddr_intpriority_base_match, _T_46) @[pic_ctrl.scala 141:106] + node intpriority_reg_we_4 = and(_T_47, picm_wren_ff) @[pic_ctrl.scala 141:153] + node _T_48 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 141:122] + node _T_49 = eq(_T_48, UInt<3>("h05")) @[pic_ctrl.scala 141:139] + node _T_50 = and(waddr_intpriority_base_match, _T_49) @[pic_ctrl.scala 141:106] + node intpriority_reg_we_5 = and(_T_50, picm_wren_ff) @[pic_ctrl.scala 141:153] + node _T_51 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 141:122] + node _T_52 = eq(_T_51, UInt<3>("h06")) @[pic_ctrl.scala 141:139] + node _T_53 = and(waddr_intpriority_base_match, _T_52) @[pic_ctrl.scala 141:106] + node intpriority_reg_we_6 = and(_T_53, picm_wren_ff) @[pic_ctrl.scala 141:153] + node _T_54 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 141:122] + node _T_55 = eq(_T_54, UInt<3>("h07")) @[pic_ctrl.scala 141:139] + node _T_56 = and(waddr_intpriority_base_match, _T_55) @[pic_ctrl.scala 141:106] + node intpriority_reg_we_7 = and(_T_56, picm_wren_ff) @[pic_ctrl.scala 141:153] + node _T_57 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 141:122] + node _T_58 = eq(_T_57, UInt<4>("h08")) @[pic_ctrl.scala 141:139] + node _T_59 = and(waddr_intpriority_base_match, _T_58) @[pic_ctrl.scala 141:106] + node intpriority_reg_we_8 = and(_T_59, picm_wren_ff) @[pic_ctrl.scala 141:153] + node _T_60 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 141:122] + node _T_61 = eq(_T_60, UInt<4>("h09")) @[pic_ctrl.scala 141:139] + node _T_62 = and(waddr_intpriority_base_match, _T_61) @[pic_ctrl.scala 141:106] + node intpriority_reg_we_9 = and(_T_62, picm_wren_ff) @[pic_ctrl.scala 141:153] + node _T_63 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 141:122] + node _T_64 = eq(_T_63, UInt<4>("h0a")) @[pic_ctrl.scala 141:139] + node _T_65 = and(waddr_intpriority_base_match, _T_64) @[pic_ctrl.scala 141:106] + node intpriority_reg_we_10 = and(_T_65, picm_wren_ff) @[pic_ctrl.scala 141:153] + node _T_66 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 141:122] + node _T_67 = eq(_T_66, UInt<4>("h0b")) @[pic_ctrl.scala 141:139] + node _T_68 = and(waddr_intpriority_base_match, _T_67) @[pic_ctrl.scala 141:106] + node intpriority_reg_we_11 = and(_T_68, picm_wren_ff) @[pic_ctrl.scala 141:153] + node _T_69 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 141:122] + node _T_70 = eq(_T_69, UInt<4>("h0c")) @[pic_ctrl.scala 141:139] + node _T_71 = and(waddr_intpriority_base_match, _T_70) @[pic_ctrl.scala 141:106] + node intpriority_reg_we_12 = and(_T_71, picm_wren_ff) @[pic_ctrl.scala 141:153] + node _T_72 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 141:122] + node _T_73 = eq(_T_72, UInt<4>("h0d")) @[pic_ctrl.scala 141:139] + node _T_74 = and(waddr_intpriority_base_match, _T_73) @[pic_ctrl.scala 141:106] + node intpriority_reg_we_13 = and(_T_74, picm_wren_ff) @[pic_ctrl.scala 141:153] + node _T_75 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 141:122] + node _T_76 = eq(_T_75, UInt<4>("h0e")) @[pic_ctrl.scala 141:139] + node _T_77 = and(waddr_intpriority_base_match, _T_76) @[pic_ctrl.scala 141:106] + node intpriority_reg_we_14 = and(_T_77, picm_wren_ff) @[pic_ctrl.scala 141:153] + node _T_78 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 141:122] + node _T_79 = eq(_T_78, UInt<4>("h0f")) @[pic_ctrl.scala 141:139] + node _T_80 = and(waddr_intpriority_base_match, _T_79) @[pic_ctrl.scala 141:106] + node intpriority_reg_we_15 = and(_T_80, picm_wren_ff) @[pic_ctrl.scala 141:153] + node _T_81 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 141:122] + node _T_82 = eq(_T_81, UInt<5>("h010")) @[pic_ctrl.scala 141:139] + node _T_83 = and(waddr_intpriority_base_match, _T_82) @[pic_ctrl.scala 141:106] + node intpriority_reg_we_16 = and(_T_83, picm_wren_ff) @[pic_ctrl.scala 141:153] + node _T_84 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 141:122] + node _T_85 = eq(_T_84, UInt<5>("h011")) @[pic_ctrl.scala 141:139] + node _T_86 = and(waddr_intpriority_base_match, _T_85) @[pic_ctrl.scala 141:106] + node intpriority_reg_we_17 = and(_T_86, picm_wren_ff) @[pic_ctrl.scala 141:153] + node _T_87 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 141:122] + node _T_88 = eq(_T_87, UInt<5>("h012")) @[pic_ctrl.scala 141:139] + node _T_89 = and(waddr_intpriority_base_match, _T_88) @[pic_ctrl.scala 141:106] + node intpriority_reg_we_18 = and(_T_89, picm_wren_ff) @[pic_ctrl.scala 141:153] + node _T_90 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 141:122] + node _T_91 = eq(_T_90, UInt<5>("h013")) @[pic_ctrl.scala 141:139] + node _T_92 = and(waddr_intpriority_base_match, _T_91) @[pic_ctrl.scala 141:106] + node intpriority_reg_we_19 = and(_T_92, picm_wren_ff) @[pic_ctrl.scala 141:153] + node _T_93 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 141:122] + node _T_94 = eq(_T_93, UInt<5>("h014")) @[pic_ctrl.scala 141:139] + node _T_95 = and(waddr_intpriority_base_match, _T_94) @[pic_ctrl.scala 141:106] + node intpriority_reg_we_20 = and(_T_95, picm_wren_ff) @[pic_ctrl.scala 141:153] + node _T_96 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 141:122] + node _T_97 = eq(_T_96, UInt<5>("h015")) @[pic_ctrl.scala 141:139] + node _T_98 = and(waddr_intpriority_base_match, _T_97) @[pic_ctrl.scala 141:106] + node intpriority_reg_we_21 = and(_T_98, picm_wren_ff) @[pic_ctrl.scala 141:153] + node _T_99 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 141:122] + node _T_100 = eq(_T_99, UInt<5>("h016")) @[pic_ctrl.scala 141:139] + node _T_101 = and(waddr_intpriority_base_match, _T_100) @[pic_ctrl.scala 141:106] + node intpriority_reg_we_22 = and(_T_101, picm_wren_ff) @[pic_ctrl.scala 141:153] + node _T_102 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 141:122] + node _T_103 = eq(_T_102, UInt<5>("h017")) @[pic_ctrl.scala 141:139] + node _T_104 = and(waddr_intpriority_base_match, _T_103) @[pic_ctrl.scala 141:106] + node intpriority_reg_we_23 = and(_T_104, picm_wren_ff) @[pic_ctrl.scala 141:153] + node _T_105 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 141:122] + node _T_106 = eq(_T_105, UInt<5>("h018")) @[pic_ctrl.scala 141:139] + node _T_107 = and(waddr_intpriority_base_match, _T_106) @[pic_ctrl.scala 141:106] + node intpriority_reg_we_24 = and(_T_107, picm_wren_ff) @[pic_ctrl.scala 141:153] + node _T_108 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 141:122] + node _T_109 = eq(_T_108, UInt<5>("h019")) @[pic_ctrl.scala 141:139] + node _T_110 = and(waddr_intpriority_base_match, _T_109) @[pic_ctrl.scala 141:106] + node intpriority_reg_we_25 = and(_T_110, picm_wren_ff) @[pic_ctrl.scala 141:153] + node _T_111 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 141:122] + node _T_112 = eq(_T_111, UInt<5>("h01a")) @[pic_ctrl.scala 141:139] + node _T_113 = and(waddr_intpriority_base_match, _T_112) @[pic_ctrl.scala 141:106] + node intpriority_reg_we_26 = and(_T_113, picm_wren_ff) @[pic_ctrl.scala 141:153] + node _T_114 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 141:122] + node _T_115 = eq(_T_114, UInt<5>("h01b")) @[pic_ctrl.scala 141:139] + node _T_116 = and(waddr_intpriority_base_match, _T_115) @[pic_ctrl.scala 141:106] + node intpriority_reg_we_27 = and(_T_116, picm_wren_ff) @[pic_ctrl.scala 141:153] + node _T_117 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 141:122] + node _T_118 = eq(_T_117, UInt<5>("h01c")) @[pic_ctrl.scala 141:139] + node _T_119 = and(waddr_intpriority_base_match, _T_118) @[pic_ctrl.scala 141:106] + node intpriority_reg_we_28 = and(_T_119, picm_wren_ff) @[pic_ctrl.scala 141:153] + node _T_120 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 141:122] + node _T_121 = eq(_T_120, UInt<5>("h01d")) @[pic_ctrl.scala 141:139] + node _T_122 = and(waddr_intpriority_base_match, _T_121) @[pic_ctrl.scala 141:106] + node intpriority_reg_we_29 = and(_T_122, picm_wren_ff) @[pic_ctrl.scala 141:153] + node _T_123 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 141:122] + node _T_124 = eq(_T_123, UInt<5>("h01e")) @[pic_ctrl.scala 141:139] + node _T_125 = and(waddr_intpriority_base_match, _T_124) @[pic_ctrl.scala 141:106] + node intpriority_reg_we_30 = and(_T_125, picm_wren_ff) @[pic_ctrl.scala 141:153] + node _T_126 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 141:122] + node _T_127 = eq(_T_126, UInt<5>("h01f")) @[pic_ctrl.scala 141:139] + node _T_128 = and(waddr_intpriority_base_match, _T_127) @[pic_ctrl.scala 141:106] + node intpriority_reg_we_31 = and(_T_128, picm_wren_ff) @[pic_ctrl.scala 141:153] + node _T_129 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 142:122] + node _T_130 = eq(_T_129, UInt<1>("h01")) @[pic_ctrl.scala 142:139] + node _T_131 = and(raddr_intpriority_base_match, _T_130) @[pic_ctrl.scala 142:106] + node intpriority_reg_re_1 = and(_T_131, picm_rden_ff) @[pic_ctrl.scala 142:153] + node _T_132 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 142:122] + node _T_133 = eq(_T_132, UInt<2>("h02")) @[pic_ctrl.scala 142:139] + node _T_134 = and(raddr_intpriority_base_match, _T_133) @[pic_ctrl.scala 142:106] + node intpriority_reg_re_2 = and(_T_134, picm_rden_ff) @[pic_ctrl.scala 142:153] + node _T_135 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 142:122] + node _T_136 = eq(_T_135, UInt<2>("h03")) @[pic_ctrl.scala 142:139] + node _T_137 = and(raddr_intpriority_base_match, _T_136) @[pic_ctrl.scala 142:106] + node intpriority_reg_re_3 = and(_T_137, picm_rden_ff) @[pic_ctrl.scala 142:153] + node _T_138 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 142:122] + node _T_139 = eq(_T_138, UInt<3>("h04")) @[pic_ctrl.scala 142:139] + node _T_140 = and(raddr_intpriority_base_match, _T_139) @[pic_ctrl.scala 142:106] + node intpriority_reg_re_4 = and(_T_140, picm_rden_ff) @[pic_ctrl.scala 142:153] + node _T_141 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 142:122] + node _T_142 = eq(_T_141, UInt<3>("h05")) @[pic_ctrl.scala 142:139] + node _T_143 = and(raddr_intpriority_base_match, _T_142) @[pic_ctrl.scala 142:106] + node intpriority_reg_re_5 = and(_T_143, picm_rden_ff) @[pic_ctrl.scala 142:153] + node _T_144 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 142:122] + node _T_145 = eq(_T_144, UInt<3>("h06")) @[pic_ctrl.scala 142:139] + node _T_146 = and(raddr_intpriority_base_match, _T_145) @[pic_ctrl.scala 142:106] + node intpriority_reg_re_6 = and(_T_146, picm_rden_ff) @[pic_ctrl.scala 142:153] + node _T_147 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 142:122] + node _T_148 = eq(_T_147, UInt<3>("h07")) @[pic_ctrl.scala 142:139] + node _T_149 = and(raddr_intpriority_base_match, _T_148) @[pic_ctrl.scala 142:106] + node intpriority_reg_re_7 = and(_T_149, picm_rden_ff) @[pic_ctrl.scala 142:153] + node _T_150 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 142:122] + node _T_151 = eq(_T_150, UInt<4>("h08")) @[pic_ctrl.scala 142:139] + node _T_152 = and(raddr_intpriority_base_match, _T_151) @[pic_ctrl.scala 142:106] + node intpriority_reg_re_8 = and(_T_152, picm_rden_ff) @[pic_ctrl.scala 142:153] + node _T_153 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 142:122] + node _T_154 = eq(_T_153, UInt<4>("h09")) @[pic_ctrl.scala 142:139] + node _T_155 = and(raddr_intpriority_base_match, _T_154) @[pic_ctrl.scala 142:106] + node intpriority_reg_re_9 = and(_T_155, picm_rden_ff) @[pic_ctrl.scala 142:153] + node _T_156 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 142:122] + node _T_157 = eq(_T_156, UInt<4>("h0a")) @[pic_ctrl.scala 142:139] + node _T_158 = and(raddr_intpriority_base_match, _T_157) @[pic_ctrl.scala 142:106] + node intpriority_reg_re_10 = and(_T_158, picm_rden_ff) @[pic_ctrl.scala 142:153] + node _T_159 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 142:122] + node _T_160 = eq(_T_159, UInt<4>("h0b")) @[pic_ctrl.scala 142:139] + node _T_161 = and(raddr_intpriority_base_match, _T_160) @[pic_ctrl.scala 142:106] + node intpriority_reg_re_11 = and(_T_161, picm_rden_ff) @[pic_ctrl.scala 142:153] + node _T_162 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 142:122] + node _T_163 = eq(_T_162, UInt<4>("h0c")) @[pic_ctrl.scala 142:139] + node _T_164 = and(raddr_intpriority_base_match, _T_163) @[pic_ctrl.scala 142:106] + node intpriority_reg_re_12 = and(_T_164, picm_rden_ff) @[pic_ctrl.scala 142:153] + node _T_165 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 142:122] + node _T_166 = eq(_T_165, UInt<4>("h0d")) @[pic_ctrl.scala 142:139] + node _T_167 = and(raddr_intpriority_base_match, _T_166) @[pic_ctrl.scala 142:106] + node intpriority_reg_re_13 = and(_T_167, picm_rden_ff) @[pic_ctrl.scala 142:153] + node _T_168 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 142:122] + node _T_169 = eq(_T_168, UInt<4>("h0e")) @[pic_ctrl.scala 142:139] + node _T_170 = and(raddr_intpriority_base_match, _T_169) @[pic_ctrl.scala 142:106] + node intpriority_reg_re_14 = and(_T_170, picm_rden_ff) @[pic_ctrl.scala 142:153] + node _T_171 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 142:122] + node _T_172 = eq(_T_171, UInt<4>("h0f")) @[pic_ctrl.scala 142:139] + node _T_173 = and(raddr_intpriority_base_match, _T_172) @[pic_ctrl.scala 142:106] + node intpriority_reg_re_15 = and(_T_173, picm_rden_ff) @[pic_ctrl.scala 142:153] + node _T_174 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 142:122] + node _T_175 = eq(_T_174, UInt<5>("h010")) @[pic_ctrl.scala 142:139] + node _T_176 = and(raddr_intpriority_base_match, _T_175) @[pic_ctrl.scala 142:106] + node intpriority_reg_re_16 = and(_T_176, picm_rden_ff) @[pic_ctrl.scala 142:153] + node _T_177 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 142:122] + node _T_178 = eq(_T_177, UInt<5>("h011")) @[pic_ctrl.scala 142:139] + node _T_179 = and(raddr_intpriority_base_match, _T_178) @[pic_ctrl.scala 142:106] + node intpriority_reg_re_17 = and(_T_179, picm_rden_ff) @[pic_ctrl.scala 142:153] + node _T_180 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 142:122] + node _T_181 = eq(_T_180, UInt<5>("h012")) @[pic_ctrl.scala 142:139] + node _T_182 = and(raddr_intpriority_base_match, _T_181) @[pic_ctrl.scala 142:106] + node intpriority_reg_re_18 = and(_T_182, picm_rden_ff) @[pic_ctrl.scala 142:153] + node _T_183 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 142:122] + node _T_184 = eq(_T_183, UInt<5>("h013")) @[pic_ctrl.scala 142:139] + node _T_185 = and(raddr_intpriority_base_match, _T_184) @[pic_ctrl.scala 142:106] + node intpriority_reg_re_19 = and(_T_185, picm_rden_ff) @[pic_ctrl.scala 142:153] + node _T_186 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 142:122] + node _T_187 = eq(_T_186, UInt<5>("h014")) @[pic_ctrl.scala 142:139] + node _T_188 = and(raddr_intpriority_base_match, _T_187) @[pic_ctrl.scala 142:106] + node intpriority_reg_re_20 = and(_T_188, picm_rden_ff) @[pic_ctrl.scala 142:153] + node _T_189 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 142:122] + node _T_190 = eq(_T_189, UInt<5>("h015")) @[pic_ctrl.scala 142:139] + node _T_191 = and(raddr_intpriority_base_match, _T_190) @[pic_ctrl.scala 142:106] + node intpriority_reg_re_21 = and(_T_191, picm_rden_ff) @[pic_ctrl.scala 142:153] + node _T_192 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 142:122] + node _T_193 = eq(_T_192, UInt<5>("h016")) @[pic_ctrl.scala 142:139] + node _T_194 = and(raddr_intpriority_base_match, _T_193) @[pic_ctrl.scala 142:106] + node intpriority_reg_re_22 = and(_T_194, picm_rden_ff) @[pic_ctrl.scala 142:153] + node _T_195 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 142:122] + node _T_196 = eq(_T_195, UInt<5>("h017")) @[pic_ctrl.scala 142:139] + node _T_197 = and(raddr_intpriority_base_match, _T_196) @[pic_ctrl.scala 142:106] + node intpriority_reg_re_23 = and(_T_197, picm_rden_ff) @[pic_ctrl.scala 142:153] + node _T_198 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 142:122] + node _T_199 = eq(_T_198, UInt<5>("h018")) @[pic_ctrl.scala 142:139] + node _T_200 = and(raddr_intpriority_base_match, _T_199) @[pic_ctrl.scala 142:106] + node intpriority_reg_re_24 = and(_T_200, picm_rden_ff) @[pic_ctrl.scala 142:153] + node _T_201 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 142:122] + node _T_202 = eq(_T_201, UInt<5>("h019")) @[pic_ctrl.scala 142:139] + node _T_203 = and(raddr_intpriority_base_match, _T_202) @[pic_ctrl.scala 142:106] + node intpriority_reg_re_25 = and(_T_203, picm_rden_ff) @[pic_ctrl.scala 142:153] + node _T_204 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 142:122] + node _T_205 = eq(_T_204, UInt<5>("h01a")) @[pic_ctrl.scala 142:139] + node _T_206 = and(raddr_intpriority_base_match, _T_205) @[pic_ctrl.scala 142:106] + node intpriority_reg_re_26 = and(_T_206, picm_rden_ff) @[pic_ctrl.scala 142:153] + node _T_207 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 142:122] + node _T_208 = eq(_T_207, UInt<5>("h01b")) @[pic_ctrl.scala 142:139] + node _T_209 = and(raddr_intpriority_base_match, _T_208) @[pic_ctrl.scala 142:106] + node intpriority_reg_re_27 = and(_T_209, picm_rden_ff) @[pic_ctrl.scala 142:153] + node _T_210 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 142:122] + node _T_211 = eq(_T_210, UInt<5>("h01c")) @[pic_ctrl.scala 142:139] + node _T_212 = and(raddr_intpriority_base_match, _T_211) @[pic_ctrl.scala 142:106] + node intpriority_reg_re_28 = and(_T_212, picm_rden_ff) @[pic_ctrl.scala 142:153] + node _T_213 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 142:122] + node _T_214 = eq(_T_213, UInt<5>("h01d")) @[pic_ctrl.scala 142:139] + node _T_215 = and(raddr_intpriority_base_match, _T_214) @[pic_ctrl.scala 142:106] + node intpriority_reg_re_29 = and(_T_215, picm_rden_ff) @[pic_ctrl.scala 142:153] + node _T_216 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 142:122] + node _T_217 = eq(_T_216, UInt<5>("h01e")) @[pic_ctrl.scala 142:139] + node _T_218 = and(raddr_intpriority_base_match, _T_217) @[pic_ctrl.scala 142:106] + node intpriority_reg_re_30 = and(_T_218, picm_rden_ff) @[pic_ctrl.scala 142:153] + node _T_219 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 142:122] + node _T_220 = eq(_T_219, UInt<5>("h01f")) @[pic_ctrl.scala 142:139] + node _T_221 = and(raddr_intpriority_base_match, _T_220) @[pic_ctrl.scala 142:106] + node intpriority_reg_re_31 = and(_T_221, picm_rden_ff) @[pic_ctrl.scala 142:153] + node _T_222 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 143:122] + node _T_223 = eq(_T_222, UInt<1>("h01")) @[pic_ctrl.scala 143:139] + node _T_224 = and(waddr_intenable_base_match, _T_223) @[pic_ctrl.scala 143:106] + node intenable_reg_we_1 = and(_T_224, picm_wren_ff) @[pic_ctrl.scala 143:153] + node _T_225 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 143:122] + node _T_226 = eq(_T_225, UInt<2>("h02")) @[pic_ctrl.scala 143:139] + node _T_227 = and(waddr_intenable_base_match, _T_226) @[pic_ctrl.scala 143:106] + node intenable_reg_we_2 = and(_T_227, picm_wren_ff) @[pic_ctrl.scala 143:153] + node _T_228 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 143:122] + node _T_229 = eq(_T_228, UInt<2>("h03")) @[pic_ctrl.scala 143:139] + node _T_230 = and(waddr_intenable_base_match, _T_229) @[pic_ctrl.scala 143:106] + node intenable_reg_we_3 = and(_T_230, picm_wren_ff) @[pic_ctrl.scala 143:153] + node _T_231 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 143:122] + node _T_232 = eq(_T_231, UInt<3>("h04")) @[pic_ctrl.scala 143:139] + node _T_233 = and(waddr_intenable_base_match, _T_232) @[pic_ctrl.scala 143:106] + node intenable_reg_we_4 = and(_T_233, picm_wren_ff) @[pic_ctrl.scala 143:153] + node _T_234 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 143:122] + node _T_235 = eq(_T_234, UInt<3>("h05")) @[pic_ctrl.scala 143:139] + node _T_236 = and(waddr_intenable_base_match, _T_235) @[pic_ctrl.scala 143:106] + node intenable_reg_we_5 = and(_T_236, picm_wren_ff) @[pic_ctrl.scala 143:153] + node _T_237 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 143:122] + node _T_238 = eq(_T_237, UInt<3>("h06")) @[pic_ctrl.scala 143:139] + node _T_239 = and(waddr_intenable_base_match, _T_238) @[pic_ctrl.scala 143:106] + node intenable_reg_we_6 = and(_T_239, picm_wren_ff) @[pic_ctrl.scala 143:153] + node _T_240 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 143:122] + node _T_241 = eq(_T_240, UInt<3>("h07")) @[pic_ctrl.scala 143:139] + node _T_242 = and(waddr_intenable_base_match, _T_241) @[pic_ctrl.scala 143:106] + node intenable_reg_we_7 = and(_T_242, picm_wren_ff) @[pic_ctrl.scala 143:153] + node _T_243 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 143:122] + node _T_244 = eq(_T_243, UInt<4>("h08")) @[pic_ctrl.scala 143:139] + node _T_245 = and(waddr_intenable_base_match, _T_244) @[pic_ctrl.scala 143:106] + node intenable_reg_we_8 = and(_T_245, picm_wren_ff) @[pic_ctrl.scala 143:153] + node _T_246 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 143:122] + node _T_247 = eq(_T_246, UInt<4>("h09")) @[pic_ctrl.scala 143:139] + node _T_248 = and(waddr_intenable_base_match, _T_247) @[pic_ctrl.scala 143:106] + node intenable_reg_we_9 = and(_T_248, picm_wren_ff) @[pic_ctrl.scala 143:153] + node _T_249 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 143:122] + node _T_250 = eq(_T_249, UInt<4>("h0a")) @[pic_ctrl.scala 143:139] + node _T_251 = and(waddr_intenable_base_match, _T_250) @[pic_ctrl.scala 143:106] + node intenable_reg_we_10 = and(_T_251, picm_wren_ff) @[pic_ctrl.scala 143:153] + node _T_252 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 143:122] + node _T_253 = eq(_T_252, UInt<4>("h0b")) @[pic_ctrl.scala 143:139] + node _T_254 = and(waddr_intenable_base_match, _T_253) @[pic_ctrl.scala 143:106] + node intenable_reg_we_11 = and(_T_254, picm_wren_ff) @[pic_ctrl.scala 143:153] + node _T_255 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 143:122] + node _T_256 = eq(_T_255, UInt<4>("h0c")) @[pic_ctrl.scala 143:139] + node _T_257 = and(waddr_intenable_base_match, _T_256) @[pic_ctrl.scala 143:106] + node intenable_reg_we_12 = and(_T_257, picm_wren_ff) @[pic_ctrl.scala 143:153] + node _T_258 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 143:122] + node _T_259 = eq(_T_258, UInt<4>("h0d")) @[pic_ctrl.scala 143:139] + node _T_260 = and(waddr_intenable_base_match, _T_259) @[pic_ctrl.scala 143:106] + node intenable_reg_we_13 = and(_T_260, picm_wren_ff) @[pic_ctrl.scala 143:153] + node _T_261 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 143:122] + node _T_262 = eq(_T_261, UInt<4>("h0e")) @[pic_ctrl.scala 143:139] + node _T_263 = and(waddr_intenable_base_match, _T_262) @[pic_ctrl.scala 143:106] + node intenable_reg_we_14 = and(_T_263, picm_wren_ff) @[pic_ctrl.scala 143:153] + node _T_264 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 143:122] + node _T_265 = eq(_T_264, UInt<4>("h0f")) @[pic_ctrl.scala 143:139] + node _T_266 = and(waddr_intenable_base_match, _T_265) @[pic_ctrl.scala 143:106] + node intenable_reg_we_15 = and(_T_266, picm_wren_ff) @[pic_ctrl.scala 143:153] + node _T_267 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 143:122] + node _T_268 = eq(_T_267, UInt<5>("h010")) @[pic_ctrl.scala 143:139] + node _T_269 = and(waddr_intenable_base_match, _T_268) @[pic_ctrl.scala 143:106] + node intenable_reg_we_16 = and(_T_269, picm_wren_ff) @[pic_ctrl.scala 143:153] + node _T_270 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 143:122] + node _T_271 = eq(_T_270, UInt<5>("h011")) @[pic_ctrl.scala 143:139] + node _T_272 = and(waddr_intenable_base_match, _T_271) @[pic_ctrl.scala 143:106] + node intenable_reg_we_17 = and(_T_272, picm_wren_ff) @[pic_ctrl.scala 143:153] + node _T_273 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 143:122] + node _T_274 = eq(_T_273, UInt<5>("h012")) @[pic_ctrl.scala 143:139] + node _T_275 = and(waddr_intenable_base_match, _T_274) @[pic_ctrl.scala 143:106] + node intenable_reg_we_18 = and(_T_275, picm_wren_ff) @[pic_ctrl.scala 143:153] + node _T_276 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 143:122] + node _T_277 = eq(_T_276, UInt<5>("h013")) @[pic_ctrl.scala 143:139] + node _T_278 = and(waddr_intenable_base_match, _T_277) @[pic_ctrl.scala 143:106] + node intenable_reg_we_19 = and(_T_278, picm_wren_ff) @[pic_ctrl.scala 143:153] + node _T_279 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 143:122] + node _T_280 = eq(_T_279, UInt<5>("h014")) @[pic_ctrl.scala 143:139] + node _T_281 = and(waddr_intenable_base_match, _T_280) @[pic_ctrl.scala 143:106] + node intenable_reg_we_20 = and(_T_281, picm_wren_ff) @[pic_ctrl.scala 143:153] + node _T_282 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 143:122] + node _T_283 = eq(_T_282, UInt<5>("h015")) @[pic_ctrl.scala 143:139] + node _T_284 = and(waddr_intenable_base_match, _T_283) @[pic_ctrl.scala 143:106] + node intenable_reg_we_21 = and(_T_284, picm_wren_ff) @[pic_ctrl.scala 143:153] + node _T_285 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 143:122] + node _T_286 = eq(_T_285, UInt<5>("h016")) @[pic_ctrl.scala 143:139] + node _T_287 = and(waddr_intenable_base_match, _T_286) @[pic_ctrl.scala 143:106] + node intenable_reg_we_22 = and(_T_287, picm_wren_ff) @[pic_ctrl.scala 143:153] + node _T_288 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 143:122] + node _T_289 = eq(_T_288, UInt<5>("h017")) @[pic_ctrl.scala 143:139] + node _T_290 = and(waddr_intenable_base_match, _T_289) @[pic_ctrl.scala 143:106] + node intenable_reg_we_23 = and(_T_290, picm_wren_ff) @[pic_ctrl.scala 143:153] + node _T_291 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 143:122] + node _T_292 = eq(_T_291, UInt<5>("h018")) @[pic_ctrl.scala 143:139] + node _T_293 = and(waddr_intenable_base_match, _T_292) @[pic_ctrl.scala 143:106] + node intenable_reg_we_24 = and(_T_293, picm_wren_ff) @[pic_ctrl.scala 143:153] + node _T_294 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 143:122] + node _T_295 = eq(_T_294, UInt<5>("h019")) @[pic_ctrl.scala 143:139] + node _T_296 = and(waddr_intenable_base_match, _T_295) @[pic_ctrl.scala 143:106] + node intenable_reg_we_25 = and(_T_296, picm_wren_ff) @[pic_ctrl.scala 143:153] + node _T_297 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 143:122] + node _T_298 = eq(_T_297, UInt<5>("h01a")) @[pic_ctrl.scala 143:139] + node _T_299 = and(waddr_intenable_base_match, _T_298) @[pic_ctrl.scala 143:106] + node intenable_reg_we_26 = and(_T_299, picm_wren_ff) @[pic_ctrl.scala 143:153] + node _T_300 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 143:122] + node _T_301 = eq(_T_300, UInt<5>("h01b")) @[pic_ctrl.scala 143:139] + node _T_302 = and(waddr_intenable_base_match, _T_301) @[pic_ctrl.scala 143:106] + node intenable_reg_we_27 = and(_T_302, picm_wren_ff) @[pic_ctrl.scala 143:153] + node _T_303 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 143:122] + node _T_304 = eq(_T_303, UInt<5>("h01c")) @[pic_ctrl.scala 143:139] + node _T_305 = and(waddr_intenable_base_match, _T_304) @[pic_ctrl.scala 143:106] + node intenable_reg_we_28 = and(_T_305, picm_wren_ff) @[pic_ctrl.scala 143:153] + node _T_306 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 143:122] + node _T_307 = eq(_T_306, UInt<5>("h01d")) @[pic_ctrl.scala 143:139] + node _T_308 = and(waddr_intenable_base_match, _T_307) @[pic_ctrl.scala 143:106] + node intenable_reg_we_29 = and(_T_308, picm_wren_ff) @[pic_ctrl.scala 143:153] + node _T_309 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 143:122] + node _T_310 = eq(_T_309, UInt<5>("h01e")) @[pic_ctrl.scala 143:139] + node _T_311 = and(waddr_intenable_base_match, _T_310) @[pic_ctrl.scala 143:106] + node intenable_reg_we_30 = and(_T_311, picm_wren_ff) @[pic_ctrl.scala 143:153] + node _T_312 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 143:122] + node _T_313 = eq(_T_312, UInt<5>("h01f")) @[pic_ctrl.scala 143:139] + node _T_314 = and(waddr_intenable_base_match, _T_313) @[pic_ctrl.scala 143:106] + node intenable_reg_we_31 = and(_T_314, picm_wren_ff) @[pic_ctrl.scala 143:153] + node _T_315 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 144:122] + node _T_316 = eq(_T_315, UInt<1>("h01")) @[pic_ctrl.scala 144:139] + node _T_317 = and(raddr_intenable_base_match, _T_316) @[pic_ctrl.scala 144:106] + node intenable_reg_re_1 = and(_T_317, picm_rden_ff) @[pic_ctrl.scala 144:153] + node _T_318 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 144:122] + node _T_319 = eq(_T_318, UInt<2>("h02")) @[pic_ctrl.scala 144:139] + node _T_320 = and(raddr_intenable_base_match, _T_319) @[pic_ctrl.scala 144:106] + node intenable_reg_re_2 = and(_T_320, picm_rden_ff) @[pic_ctrl.scala 144:153] + node _T_321 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 144:122] + node _T_322 = eq(_T_321, UInt<2>("h03")) @[pic_ctrl.scala 144:139] + node _T_323 = and(raddr_intenable_base_match, _T_322) @[pic_ctrl.scala 144:106] + node intenable_reg_re_3 = and(_T_323, picm_rden_ff) @[pic_ctrl.scala 144:153] + node _T_324 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 144:122] + node _T_325 = eq(_T_324, UInt<3>("h04")) @[pic_ctrl.scala 144:139] + node _T_326 = and(raddr_intenable_base_match, _T_325) @[pic_ctrl.scala 144:106] + node intenable_reg_re_4 = and(_T_326, picm_rden_ff) @[pic_ctrl.scala 144:153] + node _T_327 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 144:122] + node _T_328 = eq(_T_327, UInt<3>("h05")) @[pic_ctrl.scala 144:139] + node _T_329 = and(raddr_intenable_base_match, _T_328) @[pic_ctrl.scala 144:106] + node intenable_reg_re_5 = and(_T_329, picm_rden_ff) @[pic_ctrl.scala 144:153] + node _T_330 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 144:122] + node _T_331 = eq(_T_330, UInt<3>("h06")) @[pic_ctrl.scala 144:139] + node _T_332 = and(raddr_intenable_base_match, _T_331) @[pic_ctrl.scala 144:106] + node intenable_reg_re_6 = and(_T_332, picm_rden_ff) @[pic_ctrl.scala 144:153] + node _T_333 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 144:122] + node _T_334 = eq(_T_333, UInt<3>("h07")) @[pic_ctrl.scala 144:139] + node _T_335 = and(raddr_intenable_base_match, _T_334) @[pic_ctrl.scala 144:106] + node intenable_reg_re_7 = and(_T_335, picm_rden_ff) @[pic_ctrl.scala 144:153] + node _T_336 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 144:122] + node _T_337 = eq(_T_336, UInt<4>("h08")) @[pic_ctrl.scala 144:139] + node _T_338 = and(raddr_intenable_base_match, _T_337) @[pic_ctrl.scala 144:106] + node intenable_reg_re_8 = and(_T_338, picm_rden_ff) @[pic_ctrl.scala 144:153] + node _T_339 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 144:122] + node _T_340 = eq(_T_339, UInt<4>("h09")) @[pic_ctrl.scala 144:139] + node _T_341 = and(raddr_intenable_base_match, _T_340) @[pic_ctrl.scala 144:106] + node intenable_reg_re_9 = and(_T_341, picm_rden_ff) @[pic_ctrl.scala 144:153] + node _T_342 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 144:122] + node _T_343 = eq(_T_342, UInt<4>("h0a")) @[pic_ctrl.scala 144:139] + node _T_344 = and(raddr_intenable_base_match, _T_343) @[pic_ctrl.scala 144:106] + node intenable_reg_re_10 = and(_T_344, picm_rden_ff) @[pic_ctrl.scala 144:153] + node _T_345 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 144:122] + node _T_346 = eq(_T_345, UInt<4>("h0b")) @[pic_ctrl.scala 144:139] + node _T_347 = and(raddr_intenable_base_match, _T_346) @[pic_ctrl.scala 144:106] + node intenable_reg_re_11 = and(_T_347, picm_rden_ff) @[pic_ctrl.scala 144:153] + node _T_348 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 144:122] + node _T_349 = eq(_T_348, UInt<4>("h0c")) @[pic_ctrl.scala 144:139] + node _T_350 = and(raddr_intenable_base_match, _T_349) @[pic_ctrl.scala 144:106] + node intenable_reg_re_12 = and(_T_350, picm_rden_ff) @[pic_ctrl.scala 144:153] + node _T_351 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 144:122] + node _T_352 = eq(_T_351, UInt<4>("h0d")) @[pic_ctrl.scala 144:139] + node _T_353 = and(raddr_intenable_base_match, _T_352) @[pic_ctrl.scala 144:106] + node intenable_reg_re_13 = and(_T_353, picm_rden_ff) @[pic_ctrl.scala 144:153] + node _T_354 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 144:122] + node _T_355 = eq(_T_354, UInt<4>("h0e")) @[pic_ctrl.scala 144:139] + node _T_356 = and(raddr_intenable_base_match, _T_355) @[pic_ctrl.scala 144:106] + node intenable_reg_re_14 = and(_T_356, picm_rden_ff) @[pic_ctrl.scala 144:153] + node _T_357 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 144:122] + node _T_358 = eq(_T_357, UInt<4>("h0f")) @[pic_ctrl.scala 144:139] + node _T_359 = and(raddr_intenable_base_match, _T_358) @[pic_ctrl.scala 144:106] + node intenable_reg_re_15 = and(_T_359, picm_rden_ff) @[pic_ctrl.scala 144:153] + node _T_360 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 144:122] + node _T_361 = eq(_T_360, UInt<5>("h010")) @[pic_ctrl.scala 144:139] + node _T_362 = and(raddr_intenable_base_match, _T_361) @[pic_ctrl.scala 144:106] + node intenable_reg_re_16 = and(_T_362, picm_rden_ff) @[pic_ctrl.scala 144:153] + node _T_363 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 144:122] + node _T_364 = eq(_T_363, UInt<5>("h011")) @[pic_ctrl.scala 144:139] + node _T_365 = and(raddr_intenable_base_match, _T_364) @[pic_ctrl.scala 144:106] + node intenable_reg_re_17 = and(_T_365, picm_rden_ff) @[pic_ctrl.scala 144:153] + node _T_366 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 144:122] + node _T_367 = eq(_T_366, UInt<5>("h012")) @[pic_ctrl.scala 144:139] + node _T_368 = and(raddr_intenable_base_match, _T_367) @[pic_ctrl.scala 144:106] + node intenable_reg_re_18 = and(_T_368, picm_rden_ff) @[pic_ctrl.scala 144:153] + node _T_369 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 144:122] + node _T_370 = eq(_T_369, UInt<5>("h013")) @[pic_ctrl.scala 144:139] + node _T_371 = and(raddr_intenable_base_match, _T_370) @[pic_ctrl.scala 144:106] + node intenable_reg_re_19 = and(_T_371, picm_rden_ff) @[pic_ctrl.scala 144:153] + node _T_372 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 144:122] + node _T_373 = eq(_T_372, UInt<5>("h014")) @[pic_ctrl.scala 144:139] + node _T_374 = and(raddr_intenable_base_match, _T_373) @[pic_ctrl.scala 144:106] + node intenable_reg_re_20 = and(_T_374, picm_rden_ff) @[pic_ctrl.scala 144:153] + node _T_375 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 144:122] + node _T_376 = eq(_T_375, UInt<5>("h015")) @[pic_ctrl.scala 144:139] + node _T_377 = and(raddr_intenable_base_match, _T_376) @[pic_ctrl.scala 144:106] + node intenable_reg_re_21 = and(_T_377, picm_rden_ff) @[pic_ctrl.scala 144:153] + node _T_378 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 144:122] + node _T_379 = eq(_T_378, UInt<5>("h016")) @[pic_ctrl.scala 144:139] + node _T_380 = and(raddr_intenable_base_match, _T_379) @[pic_ctrl.scala 144:106] + node intenable_reg_re_22 = and(_T_380, picm_rden_ff) @[pic_ctrl.scala 144:153] + node _T_381 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 144:122] + node _T_382 = eq(_T_381, UInt<5>("h017")) @[pic_ctrl.scala 144:139] + node _T_383 = and(raddr_intenable_base_match, _T_382) @[pic_ctrl.scala 144:106] + node intenable_reg_re_23 = and(_T_383, picm_rden_ff) @[pic_ctrl.scala 144:153] + node _T_384 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 144:122] + node _T_385 = eq(_T_384, UInt<5>("h018")) @[pic_ctrl.scala 144:139] + node _T_386 = and(raddr_intenable_base_match, _T_385) @[pic_ctrl.scala 144:106] + node intenable_reg_re_24 = and(_T_386, picm_rden_ff) @[pic_ctrl.scala 144:153] + node _T_387 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 144:122] + node _T_388 = eq(_T_387, UInt<5>("h019")) @[pic_ctrl.scala 144:139] + node _T_389 = and(raddr_intenable_base_match, _T_388) @[pic_ctrl.scala 144:106] + node intenable_reg_re_25 = and(_T_389, picm_rden_ff) @[pic_ctrl.scala 144:153] + node _T_390 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 144:122] + node _T_391 = eq(_T_390, UInt<5>("h01a")) @[pic_ctrl.scala 144:139] + node _T_392 = and(raddr_intenable_base_match, _T_391) @[pic_ctrl.scala 144:106] + node intenable_reg_re_26 = and(_T_392, picm_rden_ff) @[pic_ctrl.scala 144:153] + node _T_393 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 144:122] + node _T_394 = eq(_T_393, UInt<5>("h01b")) @[pic_ctrl.scala 144:139] + node _T_395 = and(raddr_intenable_base_match, _T_394) @[pic_ctrl.scala 144:106] + node intenable_reg_re_27 = and(_T_395, picm_rden_ff) @[pic_ctrl.scala 144:153] + node _T_396 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 144:122] + node _T_397 = eq(_T_396, UInt<5>("h01c")) @[pic_ctrl.scala 144:139] + node _T_398 = and(raddr_intenable_base_match, _T_397) @[pic_ctrl.scala 144:106] + node intenable_reg_re_28 = and(_T_398, picm_rden_ff) @[pic_ctrl.scala 144:153] + node _T_399 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 144:122] + node _T_400 = eq(_T_399, UInt<5>("h01d")) @[pic_ctrl.scala 144:139] + node _T_401 = and(raddr_intenable_base_match, _T_400) @[pic_ctrl.scala 144:106] + node intenable_reg_re_29 = and(_T_401, picm_rden_ff) @[pic_ctrl.scala 144:153] + node _T_402 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 144:122] + node _T_403 = eq(_T_402, UInt<5>("h01e")) @[pic_ctrl.scala 144:139] + node _T_404 = and(raddr_intenable_base_match, _T_403) @[pic_ctrl.scala 144:106] + node intenable_reg_re_30 = and(_T_404, picm_rden_ff) @[pic_ctrl.scala 144:153] + node _T_405 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 144:122] + node _T_406 = eq(_T_405, UInt<5>("h01f")) @[pic_ctrl.scala 144:139] + node _T_407 = and(raddr_intenable_base_match, _T_406) @[pic_ctrl.scala 144:106] + node intenable_reg_re_31 = and(_T_407, picm_rden_ff) @[pic_ctrl.scala 144:153] + node _T_408 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 145:122] + node _T_409 = eq(_T_408, UInt<1>("h01")) @[pic_ctrl.scala 145:139] + node _T_410 = and(waddr_config_gw_base_match, _T_409) @[pic_ctrl.scala 145:106] + node gw_config_reg_we_1 = and(_T_410, picm_wren_ff) @[pic_ctrl.scala 145:153] + node _T_411 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 145:122] + node _T_412 = eq(_T_411, UInt<2>("h02")) @[pic_ctrl.scala 145:139] + node _T_413 = and(waddr_config_gw_base_match, _T_412) @[pic_ctrl.scala 145:106] + node gw_config_reg_we_2 = and(_T_413, picm_wren_ff) @[pic_ctrl.scala 145:153] + node _T_414 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 145:122] + node _T_415 = eq(_T_414, UInt<2>("h03")) @[pic_ctrl.scala 145:139] + node _T_416 = and(waddr_config_gw_base_match, _T_415) @[pic_ctrl.scala 145:106] + node gw_config_reg_we_3 = and(_T_416, picm_wren_ff) @[pic_ctrl.scala 145:153] + node _T_417 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 145:122] + node _T_418 = eq(_T_417, UInt<3>("h04")) @[pic_ctrl.scala 145:139] + node _T_419 = and(waddr_config_gw_base_match, _T_418) @[pic_ctrl.scala 145:106] + node gw_config_reg_we_4 = and(_T_419, picm_wren_ff) @[pic_ctrl.scala 145:153] + node _T_420 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 145:122] + node _T_421 = eq(_T_420, UInt<3>("h05")) @[pic_ctrl.scala 145:139] + node _T_422 = and(waddr_config_gw_base_match, _T_421) @[pic_ctrl.scala 145:106] + node gw_config_reg_we_5 = and(_T_422, picm_wren_ff) @[pic_ctrl.scala 145:153] + node _T_423 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 145:122] + node _T_424 = eq(_T_423, UInt<3>("h06")) @[pic_ctrl.scala 145:139] + node _T_425 = and(waddr_config_gw_base_match, _T_424) @[pic_ctrl.scala 145:106] + node gw_config_reg_we_6 = and(_T_425, picm_wren_ff) @[pic_ctrl.scala 145:153] + node _T_426 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 145:122] + node _T_427 = eq(_T_426, UInt<3>("h07")) @[pic_ctrl.scala 145:139] + node _T_428 = and(waddr_config_gw_base_match, _T_427) @[pic_ctrl.scala 145:106] + node gw_config_reg_we_7 = and(_T_428, picm_wren_ff) @[pic_ctrl.scala 145:153] + node _T_429 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 145:122] + node _T_430 = eq(_T_429, UInt<4>("h08")) @[pic_ctrl.scala 145:139] + node _T_431 = and(waddr_config_gw_base_match, _T_430) @[pic_ctrl.scala 145:106] + node gw_config_reg_we_8 = and(_T_431, picm_wren_ff) @[pic_ctrl.scala 145:153] + node _T_432 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 145:122] + node _T_433 = eq(_T_432, UInt<4>("h09")) @[pic_ctrl.scala 145:139] + node _T_434 = and(waddr_config_gw_base_match, _T_433) @[pic_ctrl.scala 145:106] + node gw_config_reg_we_9 = and(_T_434, picm_wren_ff) @[pic_ctrl.scala 145:153] + node _T_435 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 145:122] + node _T_436 = eq(_T_435, UInt<4>("h0a")) @[pic_ctrl.scala 145:139] + node _T_437 = and(waddr_config_gw_base_match, _T_436) @[pic_ctrl.scala 145:106] + node gw_config_reg_we_10 = and(_T_437, picm_wren_ff) @[pic_ctrl.scala 145:153] + node _T_438 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 145:122] + node _T_439 = eq(_T_438, UInt<4>("h0b")) @[pic_ctrl.scala 145:139] + node _T_440 = and(waddr_config_gw_base_match, _T_439) @[pic_ctrl.scala 145:106] + node gw_config_reg_we_11 = and(_T_440, picm_wren_ff) @[pic_ctrl.scala 145:153] + node _T_441 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 145:122] + node _T_442 = eq(_T_441, UInt<4>("h0c")) @[pic_ctrl.scala 145:139] + node _T_443 = and(waddr_config_gw_base_match, _T_442) @[pic_ctrl.scala 145:106] + node gw_config_reg_we_12 = and(_T_443, picm_wren_ff) @[pic_ctrl.scala 145:153] + node _T_444 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 145:122] + node _T_445 = eq(_T_444, UInt<4>("h0d")) @[pic_ctrl.scala 145:139] + node _T_446 = and(waddr_config_gw_base_match, _T_445) @[pic_ctrl.scala 145:106] + node gw_config_reg_we_13 = and(_T_446, picm_wren_ff) @[pic_ctrl.scala 145:153] + node _T_447 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 145:122] + node _T_448 = eq(_T_447, UInt<4>("h0e")) @[pic_ctrl.scala 145:139] + node _T_449 = and(waddr_config_gw_base_match, _T_448) @[pic_ctrl.scala 145:106] + node gw_config_reg_we_14 = and(_T_449, picm_wren_ff) @[pic_ctrl.scala 145:153] + node _T_450 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 145:122] + node _T_451 = eq(_T_450, UInt<4>("h0f")) @[pic_ctrl.scala 145:139] + node _T_452 = and(waddr_config_gw_base_match, _T_451) @[pic_ctrl.scala 145:106] + node gw_config_reg_we_15 = and(_T_452, picm_wren_ff) @[pic_ctrl.scala 145:153] + node _T_453 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 145:122] + node _T_454 = eq(_T_453, UInt<5>("h010")) @[pic_ctrl.scala 145:139] + node _T_455 = and(waddr_config_gw_base_match, _T_454) @[pic_ctrl.scala 145:106] + node gw_config_reg_we_16 = and(_T_455, picm_wren_ff) @[pic_ctrl.scala 145:153] + node _T_456 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 145:122] + node _T_457 = eq(_T_456, UInt<5>("h011")) @[pic_ctrl.scala 145:139] + node _T_458 = and(waddr_config_gw_base_match, _T_457) @[pic_ctrl.scala 145:106] + node gw_config_reg_we_17 = and(_T_458, picm_wren_ff) @[pic_ctrl.scala 145:153] + node _T_459 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 145:122] + node _T_460 = eq(_T_459, UInt<5>("h012")) @[pic_ctrl.scala 145:139] + node _T_461 = and(waddr_config_gw_base_match, _T_460) @[pic_ctrl.scala 145:106] + node gw_config_reg_we_18 = and(_T_461, picm_wren_ff) @[pic_ctrl.scala 145:153] + node _T_462 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 145:122] + node _T_463 = eq(_T_462, UInt<5>("h013")) @[pic_ctrl.scala 145:139] + node _T_464 = and(waddr_config_gw_base_match, _T_463) @[pic_ctrl.scala 145:106] + node gw_config_reg_we_19 = and(_T_464, picm_wren_ff) @[pic_ctrl.scala 145:153] + node _T_465 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 145:122] + node _T_466 = eq(_T_465, UInt<5>("h014")) @[pic_ctrl.scala 145:139] + node _T_467 = and(waddr_config_gw_base_match, _T_466) @[pic_ctrl.scala 145:106] + node gw_config_reg_we_20 = and(_T_467, picm_wren_ff) @[pic_ctrl.scala 145:153] + node _T_468 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 145:122] + node _T_469 = eq(_T_468, UInt<5>("h015")) @[pic_ctrl.scala 145:139] + node _T_470 = and(waddr_config_gw_base_match, _T_469) @[pic_ctrl.scala 145:106] + node gw_config_reg_we_21 = and(_T_470, picm_wren_ff) @[pic_ctrl.scala 145:153] + node _T_471 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 145:122] + node _T_472 = eq(_T_471, UInt<5>("h016")) @[pic_ctrl.scala 145:139] + node _T_473 = and(waddr_config_gw_base_match, _T_472) @[pic_ctrl.scala 145:106] + node gw_config_reg_we_22 = and(_T_473, picm_wren_ff) @[pic_ctrl.scala 145:153] + node _T_474 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 145:122] + node _T_475 = eq(_T_474, UInt<5>("h017")) @[pic_ctrl.scala 145:139] + node _T_476 = and(waddr_config_gw_base_match, _T_475) @[pic_ctrl.scala 145:106] + node gw_config_reg_we_23 = and(_T_476, picm_wren_ff) @[pic_ctrl.scala 145:153] + node _T_477 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 145:122] + node _T_478 = eq(_T_477, UInt<5>("h018")) @[pic_ctrl.scala 145:139] + node _T_479 = and(waddr_config_gw_base_match, _T_478) @[pic_ctrl.scala 145:106] + node gw_config_reg_we_24 = and(_T_479, picm_wren_ff) @[pic_ctrl.scala 145:153] + node _T_480 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 145:122] + node _T_481 = eq(_T_480, UInt<5>("h019")) @[pic_ctrl.scala 145:139] + node _T_482 = and(waddr_config_gw_base_match, _T_481) @[pic_ctrl.scala 145:106] + node gw_config_reg_we_25 = and(_T_482, picm_wren_ff) @[pic_ctrl.scala 145:153] + node _T_483 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 145:122] + node _T_484 = eq(_T_483, UInt<5>("h01a")) @[pic_ctrl.scala 145:139] + node _T_485 = and(waddr_config_gw_base_match, _T_484) @[pic_ctrl.scala 145:106] + node gw_config_reg_we_26 = and(_T_485, picm_wren_ff) @[pic_ctrl.scala 145:153] + node _T_486 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 145:122] + node _T_487 = eq(_T_486, UInt<5>("h01b")) @[pic_ctrl.scala 145:139] + node _T_488 = and(waddr_config_gw_base_match, _T_487) @[pic_ctrl.scala 145:106] + node gw_config_reg_we_27 = and(_T_488, picm_wren_ff) @[pic_ctrl.scala 145:153] + node _T_489 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 145:122] + node _T_490 = eq(_T_489, UInt<5>("h01c")) @[pic_ctrl.scala 145:139] + node _T_491 = and(waddr_config_gw_base_match, _T_490) @[pic_ctrl.scala 145:106] + node gw_config_reg_we_28 = and(_T_491, picm_wren_ff) @[pic_ctrl.scala 145:153] + node _T_492 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 145:122] + node _T_493 = eq(_T_492, UInt<5>("h01d")) @[pic_ctrl.scala 145:139] + node _T_494 = and(waddr_config_gw_base_match, _T_493) @[pic_ctrl.scala 145:106] + node gw_config_reg_we_29 = and(_T_494, picm_wren_ff) @[pic_ctrl.scala 145:153] + node _T_495 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 145:122] + node _T_496 = eq(_T_495, UInt<5>("h01e")) @[pic_ctrl.scala 145:139] + node _T_497 = and(waddr_config_gw_base_match, _T_496) @[pic_ctrl.scala 145:106] + node gw_config_reg_we_30 = and(_T_497, picm_wren_ff) @[pic_ctrl.scala 145:153] + node _T_498 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 145:122] + node _T_499 = eq(_T_498, UInt<5>("h01f")) @[pic_ctrl.scala 145:139] + node _T_500 = and(waddr_config_gw_base_match, _T_499) @[pic_ctrl.scala 145:106] + node gw_config_reg_we_31 = and(_T_500, picm_wren_ff) @[pic_ctrl.scala 145:153] + node _T_501 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 146:122] + node _T_502 = eq(_T_501, UInt<1>("h01")) @[pic_ctrl.scala 146:139] + node _T_503 = and(raddr_config_gw_base_match, _T_502) @[pic_ctrl.scala 146:106] + node gw_config_reg_re_1 = and(_T_503, picm_rden_ff) @[pic_ctrl.scala 146:153] + node _T_504 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 146:122] + node _T_505 = eq(_T_504, UInt<2>("h02")) @[pic_ctrl.scala 146:139] + node _T_506 = and(raddr_config_gw_base_match, _T_505) @[pic_ctrl.scala 146:106] + node gw_config_reg_re_2 = and(_T_506, picm_rden_ff) @[pic_ctrl.scala 146:153] + node _T_507 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 146:122] + node _T_508 = eq(_T_507, UInt<2>("h03")) @[pic_ctrl.scala 146:139] + node _T_509 = and(raddr_config_gw_base_match, _T_508) @[pic_ctrl.scala 146:106] + node gw_config_reg_re_3 = and(_T_509, picm_rden_ff) @[pic_ctrl.scala 146:153] + node _T_510 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 146:122] + node _T_511 = eq(_T_510, UInt<3>("h04")) @[pic_ctrl.scala 146:139] + node _T_512 = and(raddr_config_gw_base_match, _T_511) @[pic_ctrl.scala 146:106] + node gw_config_reg_re_4 = and(_T_512, picm_rden_ff) @[pic_ctrl.scala 146:153] + node _T_513 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 146:122] + node _T_514 = eq(_T_513, UInt<3>("h05")) @[pic_ctrl.scala 146:139] + node _T_515 = and(raddr_config_gw_base_match, _T_514) @[pic_ctrl.scala 146:106] + node gw_config_reg_re_5 = and(_T_515, picm_rden_ff) @[pic_ctrl.scala 146:153] + node _T_516 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 146:122] + node _T_517 = eq(_T_516, UInt<3>("h06")) @[pic_ctrl.scala 146:139] + node _T_518 = and(raddr_config_gw_base_match, _T_517) @[pic_ctrl.scala 146:106] + node gw_config_reg_re_6 = and(_T_518, picm_rden_ff) @[pic_ctrl.scala 146:153] + node _T_519 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 146:122] + node _T_520 = eq(_T_519, UInt<3>("h07")) @[pic_ctrl.scala 146:139] + node _T_521 = and(raddr_config_gw_base_match, _T_520) @[pic_ctrl.scala 146:106] + node gw_config_reg_re_7 = and(_T_521, picm_rden_ff) @[pic_ctrl.scala 146:153] + node _T_522 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 146:122] + node _T_523 = eq(_T_522, UInt<4>("h08")) @[pic_ctrl.scala 146:139] + node _T_524 = and(raddr_config_gw_base_match, _T_523) @[pic_ctrl.scala 146:106] + node gw_config_reg_re_8 = and(_T_524, picm_rden_ff) @[pic_ctrl.scala 146:153] + node _T_525 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 146:122] + node _T_526 = eq(_T_525, UInt<4>("h09")) @[pic_ctrl.scala 146:139] + node _T_527 = and(raddr_config_gw_base_match, _T_526) @[pic_ctrl.scala 146:106] + node gw_config_reg_re_9 = and(_T_527, picm_rden_ff) @[pic_ctrl.scala 146:153] + node _T_528 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 146:122] + node _T_529 = eq(_T_528, UInt<4>("h0a")) @[pic_ctrl.scala 146:139] + node _T_530 = and(raddr_config_gw_base_match, _T_529) @[pic_ctrl.scala 146:106] + node gw_config_reg_re_10 = and(_T_530, picm_rden_ff) @[pic_ctrl.scala 146:153] + node _T_531 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 146:122] + node _T_532 = eq(_T_531, UInt<4>("h0b")) @[pic_ctrl.scala 146:139] + node _T_533 = and(raddr_config_gw_base_match, _T_532) @[pic_ctrl.scala 146:106] + node gw_config_reg_re_11 = and(_T_533, picm_rden_ff) @[pic_ctrl.scala 146:153] + node _T_534 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 146:122] + node _T_535 = eq(_T_534, UInt<4>("h0c")) @[pic_ctrl.scala 146:139] + node _T_536 = and(raddr_config_gw_base_match, _T_535) @[pic_ctrl.scala 146:106] + node gw_config_reg_re_12 = and(_T_536, picm_rden_ff) @[pic_ctrl.scala 146:153] + node _T_537 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 146:122] + node _T_538 = eq(_T_537, UInt<4>("h0d")) @[pic_ctrl.scala 146:139] + node _T_539 = and(raddr_config_gw_base_match, _T_538) @[pic_ctrl.scala 146:106] + node gw_config_reg_re_13 = and(_T_539, picm_rden_ff) @[pic_ctrl.scala 146:153] + node _T_540 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 146:122] + node _T_541 = eq(_T_540, UInt<4>("h0e")) @[pic_ctrl.scala 146:139] + node _T_542 = and(raddr_config_gw_base_match, _T_541) @[pic_ctrl.scala 146:106] + node gw_config_reg_re_14 = and(_T_542, picm_rden_ff) @[pic_ctrl.scala 146:153] + node _T_543 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 146:122] + node _T_544 = eq(_T_543, UInt<4>("h0f")) @[pic_ctrl.scala 146:139] + node _T_545 = and(raddr_config_gw_base_match, _T_544) @[pic_ctrl.scala 146:106] + node gw_config_reg_re_15 = and(_T_545, picm_rden_ff) @[pic_ctrl.scala 146:153] + node _T_546 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 146:122] + node _T_547 = eq(_T_546, UInt<5>("h010")) @[pic_ctrl.scala 146:139] + node _T_548 = and(raddr_config_gw_base_match, _T_547) @[pic_ctrl.scala 146:106] + node gw_config_reg_re_16 = and(_T_548, picm_rden_ff) @[pic_ctrl.scala 146:153] + node _T_549 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 146:122] + node _T_550 = eq(_T_549, UInt<5>("h011")) @[pic_ctrl.scala 146:139] + node _T_551 = and(raddr_config_gw_base_match, _T_550) @[pic_ctrl.scala 146:106] + node gw_config_reg_re_17 = and(_T_551, picm_rden_ff) @[pic_ctrl.scala 146:153] + node _T_552 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 146:122] + node _T_553 = eq(_T_552, UInt<5>("h012")) @[pic_ctrl.scala 146:139] + node _T_554 = and(raddr_config_gw_base_match, _T_553) @[pic_ctrl.scala 146:106] + node gw_config_reg_re_18 = and(_T_554, picm_rden_ff) @[pic_ctrl.scala 146:153] + node _T_555 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 146:122] + node _T_556 = eq(_T_555, UInt<5>("h013")) @[pic_ctrl.scala 146:139] + node _T_557 = and(raddr_config_gw_base_match, _T_556) @[pic_ctrl.scala 146:106] + node gw_config_reg_re_19 = and(_T_557, picm_rden_ff) @[pic_ctrl.scala 146:153] + node _T_558 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 146:122] + node _T_559 = eq(_T_558, UInt<5>("h014")) @[pic_ctrl.scala 146:139] + node _T_560 = and(raddr_config_gw_base_match, _T_559) @[pic_ctrl.scala 146:106] + node gw_config_reg_re_20 = and(_T_560, picm_rden_ff) @[pic_ctrl.scala 146:153] + node _T_561 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 146:122] + node _T_562 = eq(_T_561, UInt<5>("h015")) @[pic_ctrl.scala 146:139] + node _T_563 = and(raddr_config_gw_base_match, _T_562) @[pic_ctrl.scala 146:106] + node gw_config_reg_re_21 = and(_T_563, picm_rden_ff) @[pic_ctrl.scala 146:153] + node _T_564 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 146:122] + node _T_565 = eq(_T_564, UInt<5>("h016")) @[pic_ctrl.scala 146:139] + node _T_566 = and(raddr_config_gw_base_match, _T_565) @[pic_ctrl.scala 146:106] + node gw_config_reg_re_22 = and(_T_566, picm_rden_ff) @[pic_ctrl.scala 146:153] + node _T_567 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 146:122] + node _T_568 = eq(_T_567, UInt<5>("h017")) @[pic_ctrl.scala 146:139] + node _T_569 = and(raddr_config_gw_base_match, _T_568) @[pic_ctrl.scala 146:106] + node gw_config_reg_re_23 = and(_T_569, picm_rden_ff) @[pic_ctrl.scala 146:153] + node _T_570 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 146:122] + node _T_571 = eq(_T_570, UInt<5>("h018")) @[pic_ctrl.scala 146:139] + node _T_572 = and(raddr_config_gw_base_match, _T_571) @[pic_ctrl.scala 146:106] + node gw_config_reg_re_24 = and(_T_572, picm_rden_ff) @[pic_ctrl.scala 146:153] + node _T_573 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 146:122] + node _T_574 = eq(_T_573, UInt<5>("h019")) @[pic_ctrl.scala 146:139] + node _T_575 = and(raddr_config_gw_base_match, _T_574) @[pic_ctrl.scala 146:106] + node gw_config_reg_re_25 = and(_T_575, picm_rden_ff) @[pic_ctrl.scala 146:153] + node _T_576 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 146:122] + node _T_577 = eq(_T_576, UInt<5>("h01a")) @[pic_ctrl.scala 146:139] + node _T_578 = and(raddr_config_gw_base_match, _T_577) @[pic_ctrl.scala 146:106] + node gw_config_reg_re_26 = and(_T_578, picm_rden_ff) @[pic_ctrl.scala 146:153] + node _T_579 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 146:122] + node _T_580 = eq(_T_579, UInt<5>("h01b")) @[pic_ctrl.scala 146:139] + node _T_581 = and(raddr_config_gw_base_match, _T_580) @[pic_ctrl.scala 146:106] + node gw_config_reg_re_27 = and(_T_581, picm_rden_ff) @[pic_ctrl.scala 146:153] + node _T_582 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 146:122] + node _T_583 = eq(_T_582, UInt<5>("h01c")) @[pic_ctrl.scala 146:139] + node _T_584 = and(raddr_config_gw_base_match, _T_583) @[pic_ctrl.scala 146:106] + node gw_config_reg_re_28 = and(_T_584, picm_rden_ff) @[pic_ctrl.scala 146:153] + node _T_585 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 146:122] + node _T_586 = eq(_T_585, UInt<5>("h01d")) @[pic_ctrl.scala 146:139] + node _T_587 = and(raddr_config_gw_base_match, _T_586) @[pic_ctrl.scala 146:106] + node gw_config_reg_re_29 = and(_T_587, picm_rden_ff) @[pic_ctrl.scala 146:153] + node _T_588 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 146:122] + node _T_589 = eq(_T_588, UInt<5>("h01e")) @[pic_ctrl.scala 146:139] + node _T_590 = and(raddr_config_gw_base_match, _T_589) @[pic_ctrl.scala 146:106] + node gw_config_reg_re_30 = and(_T_590, picm_rden_ff) @[pic_ctrl.scala 146:153] + node _T_591 = bits(picm_raddr_ff, 6, 2) @[pic_ctrl.scala 146:122] + node _T_592 = eq(_T_591, UInt<5>("h01f")) @[pic_ctrl.scala 146:139] + node _T_593 = and(raddr_config_gw_base_match, _T_592) @[pic_ctrl.scala 146:106] + node gw_config_reg_re_31 = and(_T_593, picm_rden_ff) @[pic_ctrl.scala 146:153] + node _T_594 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 147:122] + node _T_595 = eq(_T_594, UInt<1>("h01")) @[pic_ctrl.scala 147:139] + node _T_596 = and(addr_clear_gw_base_match, _T_595) @[pic_ctrl.scala 147:106] + node gw_clear_reg_we_1 = and(_T_596, picm_wren_ff) @[pic_ctrl.scala 147:153] + node _T_597 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 147:122] + node _T_598 = eq(_T_597, UInt<2>("h02")) @[pic_ctrl.scala 147:139] + node _T_599 = and(addr_clear_gw_base_match, _T_598) @[pic_ctrl.scala 147:106] + node gw_clear_reg_we_2 = and(_T_599, picm_wren_ff) @[pic_ctrl.scala 147:153] + node _T_600 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 147:122] + node _T_601 = eq(_T_600, UInt<2>("h03")) @[pic_ctrl.scala 147:139] + node _T_602 = and(addr_clear_gw_base_match, _T_601) @[pic_ctrl.scala 147:106] + node gw_clear_reg_we_3 = and(_T_602, picm_wren_ff) @[pic_ctrl.scala 147:153] + node _T_603 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 147:122] + node _T_604 = eq(_T_603, UInt<3>("h04")) @[pic_ctrl.scala 147:139] + node _T_605 = and(addr_clear_gw_base_match, _T_604) @[pic_ctrl.scala 147:106] + node gw_clear_reg_we_4 = and(_T_605, picm_wren_ff) @[pic_ctrl.scala 147:153] + node _T_606 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 147:122] + node _T_607 = eq(_T_606, UInt<3>("h05")) @[pic_ctrl.scala 147:139] + node _T_608 = and(addr_clear_gw_base_match, _T_607) @[pic_ctrl.scala 147:106] + node gw_clear_reg_we_5 = and(_T_608, picm_wren_ff) @[pic_ctrl.scala 147:153] + node _T_609 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 147:122] + node _T_610 = eq(_T_609, UInt<3>("h06")) @[pic_ctrl.scala 147:139] + node _T_611 = and(addr_clear_gw_base_match, _T_610) @[pic_ctrl.scala 147:106] + node gw_clear_reg_we_6 = and(_T_611, picm_wren_ff) @[pic_ctrl.scala 147:153] + node _T_612 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 147:122] + node _T_613 = eq(_T_612, UInt<3>("h07")) @[pic_ctrl.scala 147:139] + node _T_614 = and(addr_clear_gw_base_match, _T_613) @[pic_ctrl.scala 147:106] + node gw_clear_reg_we_7 = and(_T_614, picm_wren_ff) @[pic_ctrl.scala 147:153] + node _T_615 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 147:122] + node _T_616 = eq(_T_615, UInt<4>("h08")) @[pic_ctrl.scala 147:139] + node _T_617 = and(addr_clear_gw_base_match, _T_616) @[pic_ctrl.scala 147:106] + node gw_clear_reg_we_8 = and(_T_617, picm_wren_ff) @[pic_ctrl.scala 147:153] + node _T_618 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 147:122] + node _T_619 = eq(_T_618, UInt<4>("h09")) @[pic_ctrl.scala 147:139] + node _T_620 = and(addr_clear_gw_base_match, _T_619) @[pic_ctrl.scala 147:106] + node gw_clear_reg_we_9 = and(_T_620, picm_wren_ff) @[pic_ctrl.scala 147:153] + node _T_621 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 147:122] + node _T_622 = eq(_T_621, UInt<4>("h0a")) @[pic_ctrl.scala 147:139] + node _T_623 = and(addr_clear_gw_base_match, _T_622) @[pic_ctrl.scala 147:106] + node gw_clear_reg_we_10 = and(_T_623, picm_wren_ff) @[pic_ctrl.scala 147:153] + node _T_624 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 147:122] + node _T_625 = eq(_T_624, UInt<4>("h0b")) @[pic_ctrl.scala 147:139] + node _T_626 = and(addr_clear_gw_base_match, _T_625) @[pic_ctrl.scala 147:106] + node gw_clear_reg_we_11 = and(_T_626, picm_wren_ff) @[pic_ctrl.scala 147:153] + node _T_627 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 147:122] + node _T_628 = eq(_T_627, UInt<4>("h0c")) @[pic_ctrl.scala 147:139] + node _T_629 = and(addr_clear_gw_base_match, _T_628) @[pic_ctrl.scala 147:106] + node gw_clear_reg_we_12 = and(_T_629, picm_wren_ff) @[pic_ctrl.scala 147:153] + node _T_630 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 147:122] + node _T_631 = eq(_T_630, UInt<4>("h0d")) @[pic_ctrl.scala 147:139] + node _T_632 = and(addr_clear_gw_base_match, _T_631) @[pic_ctrl.scala 147:106] + node gw_clear_reg_we_13 = and(_T_632, picm_wren_ff) @[pic_ctrl.scala 147:153] + node _T_633 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 147:122] + node _T_634 = eq(_T_633, UInt<4>("h0e")) @[pic_ctrl.scala 147:139] + node _T_635 = and(addr_clear_gw_base_match, _T_634) @[pic_ctrl.scala 147:106] + node gw_clear_reg_we_14 = and(_T_635, picm_wren_ff) @[pic_ctrl.scala 147:153] + node _T_636 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 147:122] + node _T_637 = eq(_T_636, UInt<4>("h0f")) @[pic_ctrl.scala 147:139] + node _T_638 = and(addr_clear_gw_base_match, _T_637) @[pic_ctrl.scala 147:106] + node gw_clear_reg_we_15 = and(_T_638, picm_wren_ff) @[pic_ctrl.scala 147:153] + node _T_639 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 147:122] + node _T_640 = eq(_T_639, UInt<5>("h010")) @[pic_ctrl.scala 147:139] + node _T_641 = and(addr_clear_gw_base_match, _T_640) @[pic_ctrl.scala 147:106] + node gw_clear_reg_we_16 = and(_T_641, picm_wren_ff) @[pic_ctrl.scala 147:153] + node _T_642 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 147:122] + node _T_643 = eq(_T_642, UInt<5>("h011")) @[pic_ctrl.scala 147:139] + node _T_644 = and(addr_clear_gw_base_match, _T_643) @[pic_ctrl.scala 147:106] + node gw_clear_reg_we_17 = and(_T_644, picm_wren_ff) @[pic_ctrl.scala 147:153] + node _T_645 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 147:122] + node _T_646 = eq(_T_645, UInt<5>("h012")) @[pic_ctrl.scala 147:139] + node _T_647 = and(addr_clear_gw_base_match, _T_646) @[pic_ctrl.scala 147:106] + node gw_clear_reg_we_18 = and(_T_647, picm_wren_ff) @[pic_ctrl.scala 147:153] + node _T_648 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 147:122] + node _T_649 = eq(_T_648, UInt<5>("h013")) @[pic_ctrl.scala 147:139] + node _T_650 = and(addr_clear_gw_base_match, _T_649) @[pic_ctrl.scala 147:106] + node gw_clear_reg_we_19 = and(_T_650, picm_wren_ff) @[pic_ctrl.scala 147:153] + node _T_651 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 147:122] + node _T_652 = eq(_T_651, UInt<5>("h014")) @[pic_ctrl.scala 147:139] + node _T_653 = and(addr_clear_gw_base_match, _T_652) @[pic_ctrl.scala 147:106] + node gw_clear_reg_we_20 = and(_T_653, picm_wren_ff) @[pic_ctrl.scala 147:153] + node _T_654 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 147:122] + node _T_655 = eq(_T_654, UInt<5>("h015")) @[pic_ctrl.scala 147:139] + node _T_656 = and(addr_clear_gw_base_match, _T_655) @[pic_ctrl.scala 147:106] + node gw_clear_reg_we_21 = and(_T_656, picm_wren_ff) @[pic_ctrl.scala 147:153] + node _T_657 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 147:122] + node _T_658 = eq(_T_657, UInt<5>("h016")) @[pic_ctrl.scala 147:139] + node _T_659 = and(addr_clear_gw_base_match, _T_658) @[pic_ctrl.scala 147:106] + node gw_clear_reg_we_22 = and(_T_659, picm_wren_ff) @[pic_ctrl.scala 147:153] + node _T_660 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 147:122] + node _T_661 = eq(_T_660, UInt<5>("h017")) @[pic_ctrl.scala 147:139] + node _T_662 = and(addr_clear_gw_base_match, _T_661) @[pic_ctrl.scala 147:106] + node gw_clear_reg_we_23 = and(_T_662, picm_wren_ff) @[pic_ctrl.scala 147:153] + node _T_663 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 147:122] + node _T_664 = eq(_T_663, UInt<5>("h018")) @[pic_ctrl.scala 147:139] + node _T_665 = and(addr_clear_gw_base_match, _T_664) @[pic_ctrl.scala 147:106] + node gw_clear_reg_we_24 = and(_T_665, picm_wren_ff) @[pic_ctrl.scala 147:153] + node _T_666 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 147:122] + node _T_667 = eq(_T_666, UInt<5>("h019")) @[pic_ctrl.scala 147:139] + node _T_668 = and(addr_clear_gw_base_match, _T_667) @[pic_ctrl.scala 147:106] + node gw_clear_reg_we_25 = and(_T_668, picm_wren_ff) @[pic_ctrl.scala 147:153] + node _T_669 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 147:122] + node _T_670 = eq(_T_669, UInt<5>("h01a")) @[pic_ctrl.scala 147:139] + node _T_671 = and(addr_clear_gw_base_match, _T_670) @[pic_ctrl.scala 147:106] + node gw_clear_reg_we_26 = and(_T_671, picm_wren_ff) @[pic_ctrl.scala 147:153] + node _T_672 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 147:122] + node _T_673 = eq(_T_672, UInt<5>("h01b")) @[pic_ctrl.scala 147:139] + node _T_674 = and(addr_clear_gw_base_match, _T_673) @[pic_ctrl.scala 147:106] + node gw_clear_reg_we_27 = and(_T_674, picm_wren_ff) @[pic_ctrl.scala 147:153] + node _T_675 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 147:122] + node _T_676 = eq(_T_675, UInt<5>("h01c")) @[pic_ctrl.scala 147:139] + node _T_677 = and(addr_clear_gw_base_match, _T_676) @[pic_ctrl.scala 147:106] + node gw_clear_reg_we_28 = and(_T_677, picm_wren_ff) @[pic_ctrl.scala 147:153] + node _T_678 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 147:122] + node _T_679 = eq(_T_678, UInt<5>("h01d")) @[pic_ctrl.scala 147:139] + node _T_680 = and(addr_clear_gw_base_match, _T_679) @[pic_ctrl.scala 147:106] + node gw_clear_reg_we_29 = and(_T_680, picm_wren_ff) @[pic_ctrl.scala 147:153] + node _T_681 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 147:122] + node _T_682 = eq(_T_681, UInt<5>("h01e")) @[pic_ctrl.scala 147:139] + node _T_683 = and(addr_clear_gw_base_match, _T_682) @[pic_ctrl.scala 147:106] + node gw_clear_reg_we_30 = and(_T_683, picm_wren_ff) @[pic_ctrl.scala 147:153] + node _T_684 = bits(picm_waddr_ff, 6, 2) @[pic_ctrl.scala 147:122] + node _T_685 = eq(_T_684, UInt<5>("h01f")) @[pic_ctrl.scala 147:139] + node _T_686 = and(addr_clear_gw_base_match, _T_685) @[pic_ctrl.scala 147:106] + node gw_clear_reg_we_31 = and(_T_686, picm_wren_ff) @[pic_ctrl.scala 147:153] + wire intpriority_reg : UInt<4>[32] @[pic_ctrl.scala 148:32] + intpriority_reg[0] <= UInt<4>("h00") @[pic_ctrl.scala 149:208] + node _T_687 = bits(picm_wr_data_ff, 3, 0) @[pic_ctrl.scala 149:125] + node _T_688 = bits(intpriority_reg_we_1, 0, 0) @[pic_ctrl.scala 149:174] + reg _T_689 : UInt, pic_pri_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_688 : @[Reg.scala 28:19] + _T_689 <= _T_687 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intpriority_reg[1] <= _T_689 @[pic_ctrl.scala 149:71] + node _T_690 = bits(picm_wr_data_ff, 3, 0) @[pic_ctrl.scala 149:125] + node _T_691 = bits(intpriority_reg_we_2, 0, 0) @[pic_ctrl.scala 149:174] + reg _T_692 : UInt, pic_pri_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_691 : @[Reg.scala 28:19] + _T_692 <= _T_690 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intpriority_reg[2] <= _T_692 @[pic_ctrl.scala 149:71] + node _T_693 = bits(picm_wr_data_ff, 3, 0) @[pic_ctrl.scala 149:125] + node _T_694 = bits(intpriority_reg_we_3, 0, 0) @[pic_ctrl.scala 149:174] + reg _T_695 : UInt, pic_pri_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_694 : @[Reg.scala 28:19] + _T_695 <= _T_693 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intpriority_reg[3] <= _T_695 @[pic_ctrl.scala 149:71] + node _T_696 = bits(picm_wr_data_ff, 3, 0) @[pic_ctrl.scala 149:125] + node _T_697 = bits(intpriority_reg_we_4, 0, 0) @[pic_ctrl.scala 149:174] + reg _T_698 : UInt, pic_pri_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_697 : @[Reg.scala 28:19] + _T_698 <= _T_696 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intpriority_reg[4] <= _T_698 @[pic_ctrl.scala 149:71] + node _T_699 = bits(picm_wr_data_ff, 3, 0) @[pic_ctrl.scala 149:125] + node _T_700 = bits(intpriority_reg_we_5, 0, 0) @[pic_ctrl.scala 149:174] + reg _T_701 : UInt, pic_pri_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_700 : @[Reg.scala 28:19] + _T_701 <= _T_699 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intpriority_reg[5] <= _T_701 @[pic_ctrl.scala 149:71] + node _T_702 = bits(picm_wr_data_ff, 3, 0) @[pic_ctrl.scala 149:125] + node _T_703 = bits(intpriority_reg_we_6, 0, 0) @[pic_ctrl.scala 149:174] + reg _T_704 : UInt, pic_pri_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_703 : @[Reg.scala 28:19] + _T_704 <= _T_702 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intpriority_reg[6] <= _T_704 @[pic_ctrl.scala 149:71] + node _T_705 = bits(picm_wr_data_ff, 3, 0) @[pic_ctrl.scala 149:125] + node _T_706 = bits(intpriority_reg_we_7, 0, 0) @[pic_ctrl.scala 149:174] + reg _T_707 : UInt, pic_pri_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_706 : @[Reg.scala 28:19] + _T_707 <= _T_705 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intpriority_reg[7] <= _T_707 @[pic_ctrl.scala 149:71] + node _T_708 = bits(picm_wr_data_ff, 3, 0) @[pic_ctrl.scala 149:125] + node _T_709 = bits(intpriority_reg_we_8, 0, 0) @[pic_ctrl.scala 149:174] + reg _T_710 : UInt, pic_pri_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_709 : @[Reg.scala 28:19] + _T_710 <= _T_708 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intpriority_reg[8] <= _T_710 @[pic_ctrl.scala 149:71] + node _T_711 = bits(picm_wr_data_ff, 3, 0) @[pic_ctrl.scala 149:125] + node _T_712 = bits(intpriority_reg_we_9, 0, 0) @[pic_ctrl.scala 149:174] + reg _T_713 : UInt, pic_pri_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_712 : @[Reg.scala 28:19] + _T_713 <= _T_711 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intpriority_reg[9] <= _T_713 @[pic_ctrl.scala 149:71] + node _T_714 = bits(picm_wr_data_ff, 3, 0) @[pic_ctrl.scala 149:125] + node _T_715 = bits(intpriority_reg_we_10, 0, 0) @[pic_ctrl.scala 149:174] + reg _T_716 : UInt, pic_pri_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_715 : @[Reg.scala 28:19] + _T_716 <= _T_714 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intpriority_reg[10] <= _T_716 @[pic_ctrl.scala 149:71] + node _T_717 = bits(picm_wr_data_ff, 3, 0) @[pic_ctrl.scala 149:125] + node _T_718 = bits(intpriority_reg_we_11, 0, 0) @[pic_ctrl.scala 149:174] + reg _T_719 : UInt, pic_pri_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_718 : @[Reg.scala 28:19] + _T_719 <= _T_717 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intpriority_reg[11] <= _T_719 @[pic_ctrl.scala 149:71] + node _T_720 = bits(picm_wr_data_ff, 3, 0) @[pic_ctrl.scala 149:125] + node _T_721 = bits(intpriority_reg_we_12, 0, 0) @[pic_ctrl.scala 149:174] + reg _T_722 : UInt, pic_pri_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_721 : @[Reg.scala 28:19] + _T_722 <= _T_720 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intpriority_reg[12] <= _T_722 @[pic_ctrl.scala 149:71] + node _T_723 = bits(picm_wr_data_ff, 3, 0) @[pic_ctrl.scala 149:125] + node _T_724 = bits(intpriority_reg_we_13, 0, 0) @[pic_ctrl.scala 149:174] + reg _T_725 : UInt, pic_pri_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_724 : @[Reg.scala 28:19] + _T_725 <= _T_723 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intpriority_reg[13] <= _T_725 @[pic_ctrl.scala 149:71] + node _T_726 = bits(picm_wr_data_ff, 3, 0) @[pic_ctrl.scala 149:125] + node _T_727 = bits(intpriority_reg_we_14, 0, 0) @[pic_ctrl.scala 149:174] + reg _T_728 : UInt, pic_pri_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_727 : @[Reg.scala 28:19] + _T_728 <= _T_726 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intpriority_reg[14] <= _T_728 @[pic_ctrl.scala 149:71] + node _T_729 = bits(picm_wr_data_ff, 3, 0) @[pic_ctrl.scala 149:125] + node _T_730 = bits(intpriority_reg_we_15, 0, 0) @[pic_ctrl.scala 149:174] + reg _T_731 : UInt, pic_pri_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_730 : @[Reg.scala 28:19] + _T_731 <= _T_729 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intpriority_reg[15] <= _T_731 @[pic_ctrl.scala 149:71] + node _T_732 = bits(picm_wr_data_ff, 3, 0) @[pic_ctrl.scala 149:125] + node _T_733 = bits(intpriority_reg_we_16, 0, 0) @[pic_ctrl.scala 149:174] + reg _T_734 : UInt, pic_pri_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_733 : @[Reg.scala 28:19] + _T_734 <= _T_732 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intpriority_reg[16] <= _T_734 @[pic_ctrl.scala 149:71] + node _T_735 = bits(picm_wr_data_ff, 3, 0) @[pic_ctrl.scala 149:125] + node _T_736 = bits(intpriority_reg_we_17, 0, 0) @[pic_ctrl.scala 149:174] + reg _T_737 : UInt, pic_pri_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_736 : @[Reg.scala 28:19] + _T_737 <= _T_735 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intpriority_reg[17] <= _T_737 @[pic_ctrl.scala 149:71] + node _T_738 = bits(picm_wr_data_ff, 3, 0) @[pic_ctrl.scala 149:125] + node _T_739 = bits(intpriority_reg_we_18, 0, 0) @[pic_ctrl.scala 149:174] + reg _T_740 : UInt, pic_pri_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_739 : @[Reg.scala 28:19] + _T_740 <= _T_738 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intpriority_reg[18] <= _T_740 @[pic_ctrl.scala 149:71] + node _T_741 = bits(picm_wr_data_ff, 3, 0) @[pic_ctrl.scala 149:125] + node _T_742 = bits(intpriority_reg_we_19, 0, 0) @[pic_ctrl.scala 149:174] + reg _T_743 : UInt, pic_pri_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_742 : @[Reg.scala 28:19] + _T_743 <= _T_741 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intpriority_reg[19] <= _T_743 @[pic_ctrl.scala 149:71] + node _T_744 = bits(picm_wr_data_ff, 3, 0) @[pic_ctrl.scala 149:125] + node _T_745 = bits(intpriority_reg_we_20, 0, 0) @[pic_ctrl.scala 149:174] + reg _T_746 : UInt, pic_pri_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_745 : @[Reg.scala 28:19] + _T_746 <= _T_744 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intpriority_reg[20] <= _T_746 @[pic_ctrl.scala 149:71] + node _T_747 = bits(picm_wr_data_ff, 3, 0) @[pic_ctrl.scala 149:125] + node _T_748 = bits(intpriority_reg_we_21, 0, 0) @[pic_ctrl.scala 149:174] + reg _T_749 : UInt, pic_pri_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_748 : @[Reg.scala 28:19] + _T_749 <= _T_747 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intpriority_reg[21] <= _T_749 @[pic_ctrl.scala 149:71] + node _T_750 = bits(picm_wr_data_ff, 3, 0) @[pic_ctrl.scala 149:125] + node _T_751 = bits(intpriority_reg_we_22, 0, 0) @[pic_ctrl.scala 149:174] + reg _T_752 : UInt, pic_pri_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_751 : @[Reg.scala 28:19] + _T_752 <= _T_750 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intpriority_reg[22] <= _T_752 @[pic_ctrl.scala 149:71] + node _T_753 = bits(picm_wr_data_ff, 3, 0) @[pic_ctrl.scala 149:125] + node _T_754 = bits(intpriority_reg_we_23, 0, 0) @[pic_ctrl.scala 149:174] + reg _T_755 : UInt, pic_pri_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_754 : @[Reg.scala 28:19] + _T_755 <= _T_753 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intpriority_reg[23] <= _T_755 @[pic_ctrl.scala 149:71] + node _T_756 = bits(picm_wr_data_ff, 3, 0) @[pic_ctrl.scala 149:125] + node _T_757 = bits(intpriority_reg_we_24, 0, 0) @[pic_ctrl.scala 149:174] + reg _T_758 : UInt, pic_pri_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_757 : @[Reg.scala 28:19] + _T_758 <= _T_756 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intpriority_reg[24] <= _T_758 @[pic_ctrl.scala 149:71] + node _T_759 = bits(picm_wr_data_ff, 3, 0) @[pic_ctrl.scala 149:125] + node _T_760 = bits(intpriority_reg_we_25, 0, 0) @[pic_ctrl.scala 149:174] + reg _T_761 : UInt, pic_pri_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_760 : @[Reg.scala 28:19] + _T_761 <= _T_759 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intpriority_reg[25] <= _T_761 @[pic_ctrl.scala 149:71] + node _T_762 = bits(picm_wr_data_ff, 3, 0) @[pic_ctrl.scala 149:125] + node _T_763 = bits(intpriority_reg_we_26, 0, 0) @[pic_ctrl.scala 149:174] + reg _T_764 : UInt, pic_pri_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_763 : @[Reg.scala 28:19] + _T_764 <= _T_762 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intpriority_reg[26] <= _T_764 @[pic_ctrl.scala 149:71] + node _T_765 = bits(picm_wr_data_ff, 3, 0) @[pic_ctrl.scala 149:125] + node _T_766 = bits(intpriority_reg_we_27, 0, 0) @[pic_ctrl.scala 149:174] + reg _T_767 : UInt, pic_pri_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_766 : @[Reg.scala 28:19] + _T_767 <= _T_765 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intpriority_reg[27] <= _T_767 @[pic_ctrl.scala 149:71] + node _T_768 = bits(picm_wr_data_ff, 3, 0) @[pic_ctrl.scala 149:125] + node _T_769 = bits(intpriority_reg_we_28, 0, 0) @[pic_ctrl.scala 149:174] + reg _T_770 : UInt, pic_pri_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_769 : @[Reg.scala 28:19] + _T_770 <= _T_768 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intpriority_reg[28] <= _T_770 @[pic_ctrl.scala 149:71] + node _T_771 = bits(picm_wr_data_ff, 3, 0) @[pic_ctrl.scala 149:125] + node _T_772 = bits(intpriority_reg_we_29, 0, 0) @[pic_ctrl.scala 149:174] + reg _T_773 : UInt, pic_pri_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_772 : @[Reg.scala 28:19] + _T_773 <= _T_771 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intpriority_reg[29] <= _T_773 @[pic_ctrl.scala 149:71] + node _T_774 = bits(picm_wr_data_ff, 3, 0) @[pic_ctrl.scala 149:125] + node _T_775 = bits(intpriority_reg_we_30, 0, 0) @[pic_ctrl.scala 149:174] + reg _T_776 : UInt, pic_pri_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_775 : @[Reg.scala 28:19] + _T_776 <= _T_774 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intpriority_reg[30] <= _T_776 @[pic_ctrl.scala 149:71] + node _T_777 = bits(picm_wr_data_ff, 3, 0) @[pic_ctrl.scala 149:125] + node _T_778 = bits(intpriority_reg_we_31, 0, 0) @[pic_ctrl.scala 149:174] + reg _T_779 : UInt, pic_pri_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_778 : @[Reg.scala 28:19] + _T_779 <= _T_777 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intpriority_reg[31] <= _T_779 @[pic_ctrl.scala 149:71] + wire intenable_reg : UInt<1>[32] @[pic_ctrl.scala 150:32] + intenable_reg[0] <= UInt<1>("h00") @[pic_ctrl.scala 151:182] + node _T_780 = bits(picm_wr_data_ff, 0, 0) @[pic_ctrl.scala 151:122] + node _T_781 = bits(intenable_reg_we_1, 0, 0) @[pic_ctrl.scala 151:150] + reg _T_782 : UInt, pic_int_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_781 : @[Reg.scala 28:19] + _T_782 <= _T_780 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intenable_reg[1] <= _T_782 @[pic_ctrl.scala 151:68] + node _T_783 = bits(picm_wr_data_ff, 0, 0) @[pic_ctrl.scala 151:122] + node _T_784 = bits(intenable_reg_we_2, 0, 0) @[pic_ctrl.scala 151:150] + reg _T_785 : UInt, pic_int_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_784 : @[Reg.scala 28:19] + _T_785 <= _T_783 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intenable_reg[2] <= _T_785 @[pic_ctrl.scala 151:68] + node _T_786 = bits(picm_wr_data_ff, 0, 0) @[pic_ctrl.scala 151:122] + node _T_787 = bits(intenable_reg_we_3, 0, 0) @[pic_ctrl.scala 151:150] + reg _T_788 : UInt, pic_int_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_787 : @[Reg.scala 28:19] + _T_788 <= _T_786 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intenable_reg[3] <= _T_788 @[pic_ctrl.scala 151:68] + node _T_789 = bits(picm_wr_data_ff, 0, 0) @[pic_ctrl.scala 151:122] + node _T_790 = bits(intenable_reg_we_4, 0, 0) @[pic_ctrl.scala 151:150] + reg _T_791 : UInt, pic_int_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_790 : @[Reg.scala 28:19] + _T_791 <= _T_789 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intenable_reg[4] <= _T_791 @[pic_ctrl.scala 151:68] + node _T_792 = bits(picm_wr_data_ff, 0, 0) @[pic_ctrl.scala 151:122] + node _T_793 = bits(intenable_reg_we_5, 0, 0) @[pic_ctrl.scala 151:150] + reg _T_794 : UInt, pic_int_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_793 : @[Reg.scala 28:19] + _T_794 <= _T_792 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intenable_reg[5] <= _T_794 @[pic_ctrl.scala 151:68] + node _T_795 = bits(picm_wr_data_ff, 0, 0) @[pic_ctrl.scala 151:122] + node _T_796 = bits(intenable_reg_we_6, 0, 0) @[pic_ctrl.scala 151:150] + reg _T_797 : UInt, pic_int_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_796 : @[Reg.scala 28:19] + _T_797 <= _T_795 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intenable_reg[6] <= _T_797 @[pic_ctrl.scala 151:68] + node _T_798 = bits(picm_wr_data_ff, 0, 0) @[pic_ctrl.scala 151:122] + node _T_799 = bits(intenable_reg_we_7, 0, 0) @[pic_ctrl.scala 151:150] + reg _T_800 : UInt, pic_int_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_799 : @[Reg.scala 28:19] + _T_800 <= _T_798 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intenable_reg[7] <= _T_800 @[pic_ctrl.scala 151:68] + node _T_801 = bits(picm_wr_data_ff, 0, 0) @[pic_ctrl.scala 151:122] + node _T_802 = bits(intenable_reg_we_8, 0, 0) @[pic_ctrl.scala 151:150] + reg _T_803 : UInt, pic_int_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_802 : @[Reg.scala 28:19] + _T_803 <= _T_801 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intenable_reg[8] <= _T_803 @[pic_ctrl.scala 151:68] + node _T_804 = bits(picm_wr_data_ff, 0, 0) @[pic_ctrl.scala 151:122] + node _T_805 = bits(intenable_reg_we_9, 0, 0) @[pic_ctrl.scala 151:150] + reg _T_806 : UInt, pic_int_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_805 : @[Reg.scala 28:19] + _T_806 <= _T_804 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intenable_reg[9] <= _T_806 @[pic_ctrl.scala 151:68] + node _T_807 = bits(picm_wr_data_ff, 0, 0) @[pic_ctrl.scala 151:122] + node _T_808 = bits(intenable_reg_we_10, 0, 0) @[pic_ctrl.scala 151:150] + reg _T_809 : UInt, pic_int_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_808 : @[Reg.scala 28:19] + _T_809 <= _T_807 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intenable_reg[10] <= _T_809 @[pic_ctrl.scala 151:68] + node _T_810 = bits(picm_wr_data_ff, 0, 0) @[pic_ctrl.scala 151:122] + node _T_811 = bits(intenable_reg_we_11, 0, 0) @[pic_ctrl.scala 151:150] + reg _T_812 : UInt, pic_int_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_811 : @[Reg.scala 28:19] + _T_812 <= _T_810 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intenable_reg[11] <= _T_812 @[pic_ctrl.scala 151:68] + node _T_813 = bits(picm_wr_data_ff, 0, 0) @[pic_ctrl.scala 151:122] + node _T_814 = bits(intenable_reg_we_12, 0, 0) @[pic_ctrl.scala 151:150] + reg _T_815 : UInt, pic_int_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_814 : @[Reg.scala 28:19] + _T_815 <= _T_813 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intenable_reg[12] <= _T_815 @[pic_ctrl.scala 151:68] + node _T_816 = bits(picm_wr_data_ff, 0, 0) @[pic_ctrl.scala 151:122] + node _T_817 = bits(intenable_reg_we_13, 0, 0) @[pic_ctrl.scala 151:150] + reg _T_818 : UInt, pic_int_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_817 : @[Reg.scala 28:19] + _T_818 <= _T_816 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intenable_reg[13] <= _T_818 @[pic_ctrl.scala 151:68] + node _T_819 = bits(picm_wr_data_ff, 0, 0) @[pic_ctrl.scala 151:122] + node _T_820 = bits(intenable_reg_we_14, 0, 0) @[pic_ctrl.scala 151:150] + reg _T_821 : UInt, pic_int_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_820 : @[Reg.scala 28:19] + _T_821 <= _T_819 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intenable_reg[14] <= _T_821 @[pic_ctrl.scala 151:68] + node _T_822 = bits(picm_wr_data_ff, 0, 0) @[pic_ctrl.scala 151:122] + node _T_823 = bits(intenable_reg_we_15, 0, 0) @[pic_ctrl.scala 151:150] + reg _T_824 : UInt, pic_int_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_823 : @[Reg.scala 28:19] + _T_824 <= _T_822 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intenable_reg[15] <= _T_824 @[pic_ctrl.scala 151:68] + node _T_825 = bits(picm_wr_data_ff, 0, 0) @[pic_ctrl.scala 151:122] + node _T_826 = bits(intenable_reg_we_16, 0, 0) @[pic_ctrl.scala 151:150] + reg _T_827 : UInt, pic_int_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_826 : @[Reg.scala 28:19] + _T_827 <= _T_825 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intenable_reg[16] <= _T_827 @[pic_ctrl.scala 151:68] + node _T_828 = bits(picm_wr_data_ff, 0, 0) @[pic_ctrl.scala 151:122] + node _T_829 = bits(intenable_reg_we_17, 0, 0) @[pic_ctrl.scala 151:150] + reg _T_830 : UInt, pic_int_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_829 : @[Reg.scala 28:19] + _T_830 <= _T_828 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intenable_reg[17] <= _T_830 @[pic_ctrl.scala 151:68] + node _T_831 = bits(picm_wr_data_ff, 0, 0) @[pic_ctrl.scala 151:122] + node _T_832 = bits(intenable_reg_we_18, 0, 0) @[pic_ctrl.scala 151:150] + reg _T_833 : UInt, pic_int_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_832 : @[Reg.scala 28:19] + _T_833 <= _T_831 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intenable_reg[18] <= _T_833 @[pic_ctrl.scala 151:68] + node _T_834 = bits(picm_wr_data_ff, 0, 0) @[pic_ctrl.scala 151:122] + node _T_835 = bits(intenable_reg_we_19, 0, 0) @[pic_ctrl.scala 151:150] + reg _T_836 : UInt, pic_int_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_835 : @[Reg.scala 28:19] + _T_836 <= _T_834 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intenable_reg[19] <= _T_836 @[pic_ctrl.scala 151:68] + node _T_837 = bits(picm_wr_data_ff, 0, 0) @[pic_ctrl.scala 151:122] + node _T_838 = bits(intenable_reg_we_20, 0, 0) @[pic_ctrl.scala 151:150] + reg _T_839 : UInt, pic_int_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_838 : @[Reg.scala 28:19] + _T_839 <= _T_837 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intenable_reg[20] <= _T_839 @[pic_ctrl.scala 151:68] + node _T_840 = bits(picm_wr_data_ff, 0, 0) @[pic_ctrl.scala 151:122] + node _T_841 = bits(intenable_reg_we_21, 0, 0) @[pic_ctrl.scala 151:150] + reg _T_842 : UInt, pic_int_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_841 : @[Reg.scala 28:19] + _T_842 <= _T_840 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intenable_reg[21] <= _T_842 @[pic_ctrl.scala 151:68] + node _T_843 = bits(picm_wr_data_ff, 0, 0) @[pic_ctrl.scala 151:122] + node _T_844 = bits(intenable_reg_we_22, 0, 0) @[pic_ctrl.scala 151:150] + reg _T_845 : UInt, pic_int_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_844 : @[Reg.scala 28:19] + _T_845 <= _T_843 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intenable_reg[22] <= _T_845 @[pic_ctrl.scala 151:68] + node _T_846 = bits(picm_wr_data_ff, 0, 0) @[pic_ctrl.scala 151:122] + node _T_847 = bits(intenable_reg_we_23, 0, 0) @[pic_ctrl.scala 151:150] + reg _T_848 : UInt, pic_int_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_847 : @[Reg.scala 28:19] + _T_848 <= _T_846 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intenable_reg[23] <= _T_848 @[pic_ctrl.scala 151:68] + node _T_849 = bits(picm_wr_data_ff, 0, 0) @[pic_ctrl.scala 151:122] + node _T_850 = bits(intenable_reg_we_24, 0, 0) @[pic_ctrl.scala 151:150] + reg _T_851 : UInt, pic_int_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_850 : @[Reg.scala 28:19] + _T_851 <= _T_849 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intenable_reg[24] <= _T_851 @[pic_ctrl.scala 151:68] + node _T_852 = bits(picm_wr_data_ff, 0, 0) @[pic_ctrl.scala 151:122] + node _T_853 = bits(intenable_reg_we_25, 0, 0) @[pic_ctrl.scala 151:150] + reg _T_854 : UInt, pic_int_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_853 : @[Reg.scala 28:19] + _T_854 <= _T_852 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intenable_reg[25] <= _T_854 @[pic_ctrl.scala 151:68] + node _T_855 = bits(picm_wr_data_ff, 0, 0) @[pic_ctrl.scala 151:122] + node _T_856 = bits(intenable_reg_we_26, 0, 0) @[pic_ctrl.scala 151:150] + reg _T_857 : UInt, pic_int_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_856 : @[Reg.scala 28:19] + _T_857 <= _T_855 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intenable_reg[26] <= _T_857 @[pic_ctrl.scala 151:68] + node _T_858 = bits(picm_wr_data_ff, 0, 0) @[pic_ctrl.scala 151:122] + node _T_859 = bits(intenable_reg_we_27, 0, 0) @[pic_ctrl.scala 151:150] + reg _T_860 : UInt, pic_int_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_859 : @[Reg.scala 28:19] + _T_860 <= _T_858 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intenable_reg[27] <= _T_860 @[pic_ctrl.scala 151:68] + node _T_861 = bits(picm_wr_data_ff, 0, 0) @[pic_ctrl.scala 151:122] + node _T_862 = bits(intenable_reg_we_28, 0, 0) @[pic_ctrl.scala 151:150] + reg _T_863 : UInt, pic_int_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_862 : @[Reg.scala 28:19] + _T_863 <= _T_861 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intenable_reg[28] <= _T_863 @[pic_ctrl.scala 151:68] + node _T_864 = bits(picm_wr_data_ff, 0, 0) @[pic_ctrl.scala 151:122] + node _T_865 = bits(intenable_reg_we_29, 0, 0) @[pic_ctrl.scala 151:150] + reg _T_866 : UInt, pic_int_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_865 : @[Reg.scala 28:19] + _T_866 <= _T_864 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intenable_reg[29] <= _T_866 @[pic_ctrl.scala 151:68] + node _T_867 = bits(picm_wr_data_ff, 0, 0) @[pic_ctrl.scala 151:122] + node _T_868 = bits(intenable_reg_we_30, 0, 0) @[pic_ctrl.scala 151:150] + reg _T_869 : UInt, pic_int_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_868 : @[Reg.scala 28:19] + _T_869 <= _T_867 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intenable_reg[30] <= _T_869 @[pic_ctrl.scala 151:68] + node _T_870 = bits(picm_wr_data_ff, 0, 0) @[pic_ctrl.scala 151:122] + node _T_871 = bits(intenable_reg_we_31, 0, 0) @[pic_ctrl.scala 151:150] + reg _T_872 : UInt, pic_int_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_871 : @[Reg.scala 28:19] + _T_872 <= _T_870 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + intenable_reg[31] <= _T_872 @[pic_ctrl.scala 151:68] + wire gw_config_reg : UInt<2>[32] @[pic_ctrl.scala 152:32] + gw_config_reg[0] <= UInt<2>("h00") @[pic_ctrl.scala 153:190] + node _T_873 = bits(picm_wr_data_ff, 1, 0) @[pic_ctrl.scala 153:126] + node _T_874 = bits(gw_config_reg_we_1, 0, 0) @[pic_ctrl.scala 153:156] + reg _T_875 : UInt, gw_config_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_874 : @[Reg.scala 28:19] + _T_875 <= _T_873 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + gw_config_reg[1] <= _T_875 @[pic_ctrl.scala 153:70] + node _T_876 = bits(picm_wr_data_ff, 1, 0) @[pic_ctrl.scala 153:126] + node _T_877 = bits(gw_config_reg_we_2, 0, 0) @[pic_ctrl.scala 153:156] + reg _T_878 : UInt, gw_config_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_877 : @[Reg.scala 28:19] + _T_878 <= _T_876 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + gw_config_reg[2] <= _T_878 @[pic_ctrl.scala 153:70] + node _T_879 = bits(picm_wr_data_ff, 1, 0) @[pic_ctrl.scala 153:126] + node _T_880 = bits(gw_config_reg_we_3, 0, 0) @[pic_ctrl.scala 153:156] + reg _T_881 : UInt, gw_config_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_880 : @[Reg.scala 28:19] + _T_881 <= _T_879 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + gw_config_reg[3] <= _T_881 @[pic_ctrl.scala 153:70] + node _T_882 = bits(picm_wr_data_ff, 1, 0) @[pic_ctrl.scala 153:126] + node _T_883 = bits(gw_config_reg_we_4, 0, 0) @[pic_ctrl.scala 153:156] + reg _T_884 : UInt, gw_config_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_883 : @[Reg.scala 28:19] + _T_884 <= _T_882 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + gw_config_reg[4] <= _T_884 @[pic_ctrl.scala 153:70] + node _T_885 = bits(picm_wr_data_ff, 1, 0) @[pic_ctrl.scala 153:126] + node _T_886 = bits(gw_config_reg_we_5, 0, 0) @[pic_ctrl.scala 153:156] + reg _T_887 : UInt, gw_config_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_886 : @[Reg.scala 28:19] + _T_887 <= _T_885 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + gw_config_reg[5] <= _T_887 @[pic_ctrl.scala 153:70] + node _T_888 = bits(picm_wr_data_ff, 1, 0) @[pic_ctrl.scala 153:126] + node _T_889 = bits(gw_config_reg_we_6, 0, 0) @[pic_ctrl.scala 153:156] + reg _T_890 : UInt, gw_config_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_889 : @[Reg.scala 28:19] + _T_890 <= _T_888 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + gw_config_reg[6] <= _T_890 @[pic_ctrl.scala 153:70] + node _T_891 = bits(picm_wr_data_ff, 1, 0) @[pic_ctrl.scala 153:126] + node _T_892 = bits(gw_config_reg_we_7, 0, 0) @[pic_ctrl.scala 153:156] + reg _T_893 : UInt, gw_config_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_892 : @[Reg.scala 28:19] + _T_893 <= _T_891 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + gw_config_reg[7] <= _T_893 @[pic_ctrl.scala 153:70] + node _T_894 = bits(picm_wr_data_ff, 1, 0) @[pic_ctrl.scala 153:126] + node _T_895 = bits(gw_config_reg_we_8, 0, 0) @[pic_ctrl.scala 153:156] + reg _T_896 : UInt, gw_config_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_895 : @[Reg.scala 28:19] + _T_896 <= _T_894 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + gw_config_reg[8] <= _T_896 @[pic_ctrl.scala 153:70] + node _T_897 = bits(picm_wr_data_ff, 1, 0) @[pic_ctrl.scala 153:126] + node _T_898 = bits(gw_config_reg_we_9, 0, 0) @[pic_ctrl.scala 153:156] + reg _T_899 : UInt, gw_config_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_898 : @[Reg.scala 28:19] + _T_899 <= _T_897 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + gw_config_reg[9] <= _T_899 @[pic_ctrl.scala 153:70] + node _T_900 = bits(picm_wr_data_ff, 1, 0) @[pic_ctrl.scala 153:126] + node _T_901 = bits(gw_config_reg_we_10, 0, 0) @[pic_ctrl.scala 153:156] + reg _T_902 : UInt, gw_config_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_901 : @[Reg.scala 28:19] + _T_902 <= _T_900 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + gw_config_reg[10] <= _T_902 @[pic_ctrl.scala 153:70] + node _T_903 = bits(picm_wr_data_ff, 1, 0) @[pic_ctrl.scala 153:126] + node _T_904 = bits(gw_config_reg_we_11, 0, 0) @[pic_ctrl.scala 153:156] + reg _T_905 : UInt, gw_config_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_904 : @[Reg.scala 28:19] + _T_905 <= _T_903 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + gw_config_reg[11] <= _T_905 @[pic_ctrl.scala 153:70] + node _T_906 = bits(picm_wr_data_ff, 1, 0) @[pic_ctrl.scala 153:126] + node _T_907 = bits(gw_config_reg_we_12, 0, 0) @[pic_ctrl.scala 153:156] + reg _T_908 : UInt, gw_config_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_907 : @[Reg.scala 28:19] + _T_908 <= _T_906 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + gw_config_reg[12] <= _T_908 @[pic_ctrl.scala 153:70] + node _T_909 = bits(picm_wr_data_ff, 1, 0) @[pic_ctrl.scala 153:126] + node _T_910 = bits(gw_config_reg_we_13, 0, 0) @[pic_ctrl.scala 153:156] + reg _T_911 : UInt, gw_config_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_910 : @[Reg.scala 28:19] + _T_911 <= _T_909 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + gw_config_reg[13] <= _T_911 @[pic_ctrl.scala 153:70] + node _T_912 = bits(picm_wr_data_ff, 1, 0) @[pic_ctrl.scala 153:126] + node _T_913 = bits(gw_config_reg_we_14, 0, 0) @[pic_ctrl.scala 153:156] + reg _T_914 : UInt, gw_config_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_913 : @[Reg.scala 28:19] + _T_914 <= _T_912 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + gw_config_reg[14] <= _T_914 @[pic_ctrl.scala 153:70] + node _T_915 = bits(picm_wr_data_ff, 1, 0) @[pic_ctrl.scala 153:126] + node _T_916 = bits(gw_config_reg_we_15, 0, 0) @[pic_ctrl.scala 153:156] + reg _T_917 : UInt, gw_config_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_916 : @[Reg.scala 28:19] + _T_917 <= _T_915 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + gw_config_reg[15] <= _T_917 @[pic_ctrl.scala 153:70] + node _T_918 = bits(picm_wr_data_ff, 1, 0) @[pic_ctrl.scala 153:126] + node _T_919 = bits(gw_config_reg_we_16, 0, 0) @[pic_ctrl.scala 153:156] + reg _T_920 : UInt, gw_config_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_919 : @[Reg.scala 28:19] + _T_920 <= _T_918 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + gw_config_reg[16] <= _T_920 @[pic_ctrl.scala 153:70] + node _T_921 = bits(picm_wr_data_ff, 1, 0) @[pic_ctrl.scala 153:126] + node _T_922 = bits(gw_config_reg_we_17, 0, 0) @[pic_ctrl.scala 153:156] + reg _T_923 : UInt, gw_config_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_922 : @[Reg.scala 28:19] + _T_923 <= _T_921 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + gw_config_reg[17] <= _T_923 @[pic_ctrl.scala 153:70] + node _T_924 = bits(picm_wr_data_ff, 1, 0) @[pic_ctrl.scala 153:126] + node _T_925 = bits(gw_config_reg_we_18, 0, 0) @[pic_ctrl.scala 153:156] + reg _T_926 : UInt, gw_config_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_925 : @[Reg.scala 28:19] + _T_926 <= _T_924 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + gw_config_reg[18] <= _T_926 @[pic_ctrl.scala 153:70] + node _T_927 = bits(picm_wr_data_ff, 1, 0) @[pic_ctrl.scala 153:126] + node _T_928 = bits(gw_config_reg_we_19, 0, 0) @[pic_ctrl.scala 153:156] + reg _T_929 : UInt, gw_config_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_928 : @[Reg.scala 28:19] + _T_929 <= _T_927 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + gw_config_reg[19] <= _T_929 @[pic_ctrl.scala 153:70] + node _T_930 = bits(picm_wr_data_ff, 1, 0) @[pic_ctrl.scala 153:126] + node _T_931 = bits(gw_config_reg_we_20, 0, 0) @[pic_ctrl.scala 153:156] + reg _T_932 : UInt, gw_config_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_931 : @[Reg.scala 28:19] + _T_932 <= _T_930 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + gw_config_reg[20] <= _T_932 @[pic_ctrl.scala 153:70] + node _T_933 = bits(picm_wr_data_ff, 1, 0) @[pic_ctrl.scala 153:126] + node _T_934 = bits(gw_config_reg_we_21, 0, 0) @[pic_ctrl.scala 153:156] + reg _T_935 : UInt, gw_config_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_934 : @[Reg.scala 28:19] + _T_935 <= _T_933 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + gw_config_reg[21] <= _T_935 @[pic_ctrl.scala 153:70] + node _T_936 = bits(picm_wr_data_ff, 1, 0) @[pic_ctrl.scala 153:126] + node _T_937 = bits(gw_config_reg_we_22, 0, 0) @[pic_ctrl.scala 153:156] + reg _T_938 : UInt, gw_config_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_937 : @[Reg.scala 28:19] + _T_938 <= _T_936 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + gw_config_reg[22] <= _T_938 @[pic_ctrl.scala 153:70] + node _T_939 = bits(picm_wr_data_ff, 1, 0) @[pic_ctrl.scala 153:126] + node _T_940 = bits(gw_config_reg_we_23, 0, 0) @[pic_ctrl.scala 153:156] + reg _T_941 : UInt, gw_config_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_940 : @[Reg.scala 28:19] + _T_941 <= _T_939 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + gw_config_reg[23] <= _T_941 @[pic_ctrl.scala 153:70] + node _T_942 = bits(picm_wr_data_ff, 1, 0) @[pic_ctrl.scala 153:126] + node _T_943 = bits(gw_config_reg_we_24, 0, 0) @[pic_ctrl.scala 153:156] + reg _T_944 : UInt, gw_config_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_943 : @[Reg.scala 28:19] + _T_944 <= _T_942 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + gw_config_reg[24] <= _T_944 @[pic_ctrl.scala 153:70] + node _T_945 = bits(picm_wr_data_ff, 1, 0) @[pic_ctrl.scala 153:126] + node _T_946 = bits(gw_config_reg_we_25, 0, 0) @[pic_ctrl.scala 153:156] + reg _T_947 : UInt, gw_config_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_946 : @[Reg.scala 28:19] + _T_947 <= _T_945 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + gw_config_reg[25] <= _T_947 @[pic_ctrl.scala 153:70] + node _T_948 = bits(picm_wr_data_ff, 1, 0) @[pic_ctrl.scala 153:126] + node _T_949 = bits(gw_config_reg_we_26, 0, 0) @[pic_ctrl.scala 153:156] + reg _T_950 : UInt, gw_config_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_949 : @[Reg.scala 28:19] + _T_950 <= _T_948 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + gw_config_reg[26] <= _T_950 @[pic_ctrl.scala 153:70] + node _T_951 = bits(picm_wr_data_ff, 1, 0) @[pic_ctrl.scala 153:126] + node _T_952 = bits(gw_config_reg_we_27, 0, 0) @[pic_ctrl.scala 153:156] + reg _T_953 : UInt, gw_config_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_952 : @[Reg.scala 28:19] + _T_953 <= _T_951 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + gw_config_reg[27] <= _T_953 @[pic_ctrl.scala 153:70] + node _T_954 = bits(picm_wr_data_ff, 1, 0) @[pic_ctrl.scala 153:126] + node _T_955 = bits(gw_config_reg_we_28, 0, 0) @[pic_ctrl.scala 153:156] + reg _T_956 : UInt, gw_config_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_955 : @[Reg.scala 28:19] + _T_956 <= _T_954 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + gw_config_reg[28] <= _T_956 @[pic_ctrl.scala 153:70] + node _T_957 = bits(picm_wr_data_ff, 1, 0) @[pic_ctrl.scala 153:126] + node _T_958 = bits(gw_config_reg_we_29, 0, 0) @[pic_ctrl.scala 153:156] + reg _T_959 : UInt, gw_config_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_958 : @[Reg.scala 28:19] + _T_959 <= _T_957 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + gw_config_reg[29] <= _T_959 @[pic_ctrl.scala 153:70] + node _T_960 = bits(picm_wr_data_ff, 1, 0) @[pic_ctrl.scala 153:126] + node _T_961 = bits(gw_config_reg_we_30, 0, 0) @[pic_ctrl.scala 153:156] + reg _T_962 : UInt, gw_config_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_961 : @[Reg.scala 28:19] + _T_962 <= _T_960 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + gw_config_reg[30] <= _T_962 @[pic_ctrl.scala 153:70] + node _T_963 = bits(picm_wr_data_ff, 1, 0) @[pic_ctrl.scala 153:126] + node _T_964 = bits(gw_config_reg_we_31, 0, 0) @[pic_ctrl.scala 153:156] + reg _T_965 : UInt, gw_config_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_964 : @[Reg.scala 28:19] + _T_965 <= _T_963 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + gw_config_reg[31] <= _T_965 @[pic_ctrl.scala 153:70] + node _T_966 = bits(extintsrc_req_sync, 1, 1) @[pic_ctrl.scala 156:52] + node _T_967 = bits(gw_config_reg[1], 0, 0) @[pic_ctrl.scala 156:73] + node _T_968 = bits(gw_config_reg[1], 1, 1) @[pic_ctrl.scala 156:94] + node _T_969 = bits(gw_clear_reg_we_1, 0, 0) @[pic_ctrl.scala 156:124] + wire gw_int_pending : UInt<1> + gw_int_pending <= UInt<1>("h00") + node _T_970 = xor(_T_966, _T_967) @[pic_ctrl.scala 31:50] + node _T_971 = eq(_T_969, UInt<1>("h00")) @[pic_ctrl.scala 31:92] + node _T_972 = and(gw_int_pending, _T_971) @[pic_ctrl.scala 31:90] + node gw_int_pending_in = or(_T_970, _T_972) @[pic_ctrl.scala 31:72] + reg _T_973 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[pic_ctrl.scala 32:45] + _T_973 <= gw_int_pending_in @[pic_ctrl.scala 32:45] + gw_int_pending <= _T_973 @[pic_ctrl.scala 32:20] + node _T_974 = bits(_T_968, 0, 0) @[pic_ctrl.scala 33:30] + node _T_975 = xor(_T_966, _T_967) @[pic_ctrl.scala 33:55] + node _T_976 = or(_T_975, gw_int_pending) @[pic_ctrl.scala 33:78] + node _T_977 = xor(_T_966, _T_967) @[pic_ctrl.scala 33:117] + node extintsrc_req_gw_1 = mux(_T_974, _T_976, _T_977) @[pic_ctrl.scala 33:8] + node _T_978 = bits(extintsrc_req_sync, 2, 2) @[pic_ctrl.scala 156:52] + node _T_979 = bits(gw_config_reg[2], 0, 0) @[pic_ctrl.scala 156:73] + node _T_980 = bits(gw_config_reg[2], 1, 1) @[pic_ctrl.scala 156:94] + node _T_981 = bits(gw_clear_reg_we_2, 0, 0) @[pic_ctrl.scala 156:124] + wire gw_int_pending_1 : UInt<1> + gw_int_pending_1 <= UInt<1>("h00") + node _T_982 = xor(_T_978, _T_979) @[pic_ctrl.scala 31:50] + node _T_983 = eq(_T_981, UInt<1>("h00")) @[pic_ctrl.scala 31:92] + node _T_984 = and(gw_int_pending_1, _T_983) @[pic_ctrl.scala 31:90] + node gw_int_pending_in_1 = or(_T_982, _T_984) @[pic_ctrl.scala 31:72] + reg _T_985 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[pic_ctrl.scala 32:45] + _T_985 <= gw_int_pending_in_1 @[pic_ctrl.scala 32:45] + gw_int_pending_1 <= _T_985 @[pic_ctrl.scala 32:20] + node _T_986 = bits(_T_980, 0, 0) @[pic_ctrl.scala 33:30] + node _T_987 = xor(_T_978, _T_979) @[pic_ctrl.scala 33:55] + node _T_988 = or(_T_987, gw_int_pending_1) @[pic_ctrl.scala 33:78] + node _T_989 = xor(_T_978, _T_979) @[pic_ctrl.scala 33:117] + node extintsrc_req_gw_2 = mux(_T_986, _T_988, _T_989) @[pic_ctrl.scala 33:8] + node _T_990 = bits(extintsrc_req_sync, 3, 3) @[pic_ctrl.scala 156:52] + node _T_991 = bits(gw_config_reg[3], 0, 0) @[pic_ctrl.scala 156:73] + node _T_992 = bits(gw_config_reg[3], 1, 1) @[pic_ctrl.scala 156:94] + node _T_993 = bits(gw_clear_reg_we_3, 0, 0) @[pic_ctrl.scala 156:124] + wire gw_int_pending_2 : UInt<1> + gw_int_pending_2 <= UInt<1>("h00") + node _T_994 = xor(_T_990, _T_991) @[pic_ctrl.scala 31:50] + node _T_995 = eq(_T_993, UInt<1>("h00")) @[pic_ctrl.scala 31:92] + node _T_996 = and(gw_int_pending_2, _T_995) @[pic_ctrl.scala 31:90] + node gw_int_pending_in_2 = or(_T_994, _T_996) @[pic_ctrl.scala 31:72] + reg _T_997 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[pic_ctrl.scala 32:45] + _T_997 <= gw_int_pending_in_2 @[pic_ctrl.scala 32:45] + gw_int_pending_2 <= _T_997 @[pic_ctrl.scala 32:20] + node _T_998 = bits(_T_992, 0, 0) @[pic_ctrl.scala 33:30] + node _T_999 = xor(_T_990, _T_991) @[pic_ctrl.scala 33:55] + node _T_1000 = or(_T_999, gw_int_pending_2) @[pic_ctrl.scala 33:78] + node _T_1001 = xor(_T_990, _T_991) @[pic_ctrl.scala 33:117] + node extintsrc_req_gw_3 = mux(_T_998, _T_1000, _T_1001) @[pic_ctrl.scala 33:8] + node _T_1002 = bits(extintsrc_req_sync, 4, 4) @[pic_ctrl.scala 156:52] + node _T_1003 = bits(gw_config_reg[4], 0, 0) @[pic_ctrl.scala 156:73] + node _T_1004 = bits(gw_config_reg[4], 1, 1) @[pic_ctrl.scala 156:94] + node _T_1005 = bits(gw_clear_reg_we_4, 0, 0) @[pic_ctrl.scala 156:124] + wire gw_int_pending_3 : UInt<1> + gw_int_pending_3 <= UInt<1>("h00") + node _T_1006 = xor(_T_1002, _T_1003) @[pic_ctrl.scala 31:50] + node _T_1007 = eq(_T_1005, UInt<1>("h00")) @[pic_ctrl.scala 31:92] + node _T_1008 = and(gw_int_pending_3, _T_1007) @[pic_ctrl.scala 31:90] + node gw_int_pending_in_3 = or(_T_1006, _T_1008) @[pic_ctrl.scala 31:72] + reg _T_1009 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[pic_ctrl.scala 32:45] + _T_1009 <= gw_int_pending_in_3 @[pic_ctrl.scala 32:45] + gw_int_pending_3 <= _T_1009 @[pic_ctrl.scala 32:20] + node _T_1010 = bits(_T_1004, 0, 0) @[pic_ctrl.scala 33:30] + node _T_1011 = xor(_T_1002, _T_1003) @[pic_ctrl.scala 33:55] + node _T_1012 = or(_T_1011, gw_int_pending_3) @[pic_ctrl.scala 33:78] + node _T_1013 = xor(_T_1002, _T_1003) @[pic_ctrl.scala 33:117] + node extintsrc_req_gw_4 = mux(_T_1010, _T_1012, _T_1013) @[pic_ctrl.scala 33:8] + node _T_1014 = bits(extintsrc_req_sync, 5, 5) @[pic_ctrl.scala 156:52] + node _T_1015 = bits(gw_config_reg[5], 0, 0) @[pic_ctrl.scala 156:73] + node _T_1016 = bits(gw_config_reg[5], 1, 1) @[pic_ctrl.scala 156:94] + node _T_1017 = bits(gw_clear_reg_we_5, 0, 0) @[pic_ctrl.scala 156:124] + wire gw_int_pending_4 : UInt<1> + gw_int_pending_4 <= UInt<1>("h00") + node _T_1018 = xor(_T_1014, _T_1015) @[pic_ctrl.scala 31:50] + node _T_1019 = eq(_T_1017, UInt<1>("h00")) @[pic_ctrl.scala 31:92] + node _T_1020 = and(gw_int_pending_4, _T_1019) @[pic_ctrl.scala 31:90] + node gw_int_pending_in_4 = or(_T_1018, _T_1020) @[pic_ctrl.scala 31:72] + reg _T_1021 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[pic_ctrl.scala 32:45] + _T_1021 <= gw_int_pending_in_4 @[pic_ctrl.scala 32:45] + gw_int_pending_4 <= _T_1021 @[pic_ctrl.scala 32:20] + node _T_1022 = bits(_T_1016, 0, 0) @[pic_ctrl.scala 33:30] + node _T_1023 = xor(_T_1014, _T_1015) @[pic_ctrl.scala 33:55] + node _T_1024 = or(_T_1023, gw_int_pending_4) @[pic_ctrl.scala 33:78] + node _T_1025 = xor(_T_1014, _T_1015) @[pic_ctrl.scala 33:117] + node extintsrc_req_gw_5 = mux(_T_1022, _T_1024, _T_1025) @[pic_ctrl.scala 33:8] + node _T_1026 = bits(extintsrc_req_sync, 6, 6) @[pic_ctrl.scala 156:52] + node _T_1027 = bits(gw_config_reg[6], 0, 0) @[pic_ctrl.scala 156:73] + node _T_1028 = bits(gw_config_reg[6], 1, 1) @[pic_ctrl.scala 156:94] + node _T_1029 = bits(gw_clear_reg_we_6, 0, 0) @[pic_ctrl.scala 156:124] + wire gw_int_pending_5 : UInt<1> + gw_int_pending_5 <= UInt<1>("h00") + node _T_1030 = xor(_T_1026, _T_1027) @[pic_ctrl.scala 31:50] + node _T_1031 = eq(_T_1029, UInt<1>("h00")) @[pic_ctrl.scala 31:92] + node _T_1032 = and(gw_int_pending_5, _T_1031) @[pic_ctrl.scala 31:90] + node gw_int_pending_in_5 = or(_T_1030, _T_1032) @[pic_ctrl.scala 31:72] + reg _T_1033 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[pic_ctrl.scala 32:45] + _T_1033 <= gw_int_pending_in_5 @[pic_ctrl.scala 32:45] + gw_int_pending_5 <= _T_1033 @[pic_ctrl.scala 32:20] + node _T_1034 = bits(_T_1028, 0, 0) @[pic_ctrl.scala 33:30] + node _T_1035 = xor(_T_1026, _T_1027) @[pic_ctrl.scala 33:55] + node _T_1036 = or(_T_1035, gw_int_pending_5) @[pic_ctrl.scala 33:78] + node _T_1037 = xor(_T_1026, _T_1027) @[pic_ctrl.scala 33:117] + node extintsrc_req_gw_6 = mux(_T_1034, _T_1036, _T_1037) @[pic_ctrl.scala 33:8] + node _T_1038 = bits(extintsrc_req_sync, 7, 7) @[pic_ctrl.scala 156:52] + node _T_1039 = bits(gw_config_reg[7], 0, 0) @[pic_ctrl.scala 156:73] + node _T_1040 = bits(gw_config_reg[7], 1, 1) @[pic_ctrl.scala 156:94] + node _T_1041 = bits(gw_clear_reg_we_7, 0, 0) @[pic_ctrl.scala 156:124] + wire gw_int_pending_6 : UInt<1> + gw_int_pending_6 <= UInt<1>("h00") + node _T_1042 = xor(_T_1038, _T_1039) @[pic_ctrl.scala 31:50] + node _T_1043 = eq(_T_1041, UInt<1>("h00")) @[pic_ctrl.scala 31:92] + node _T_1044 = and(gw_int_pending_6, _T_1043) @[pic_ctrl.scala 31:90] + node gw_int_pending_in_6 = or(_T_1042, _T_1044) @[pic_ctrl.scala 31:72] + reg _T_1045 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[pic_ctrl.scala 32:45] + _T_1045 <= gw_int_pending_in_6 @[pic_ctrl.scala 32:45] + gw_int_pending_6 <= _T_1045 @[pic_ctrl.scala 32:20] + node _T_1046 = bits(_T_1040, 0, 0) @[pic_ctrl.scala 33:30] + node _T_1047 = xor(_T_1038, _T_1039) @[pic_ctrl.scala 33:55] + node _T_1048 = or(_T_1047, gw_int_pending_6) @[pic_ctrl.scala 33:78] + node _T_1049 = xor(_T_1038, _T_1039) @[pic_ctrl.scala 33:117] + node extintsrc_req_gw_7 = mux(_T_1046, _T_1048, _T_1049) @[pic_ctrl.scala 33:8] + node _T_1050 = bits(extintsrc_req_sync, 8, 8) @[pic_ctrl.scala 156:52] + node _T_1051 = bits(gw_config_reg[8], 0, 0) @[pic_ctrl.scala 156:73] + node _T_1052 = bits(gw_config_reg[8], 1, 1) @[pic_ctrl.scala 156:94] + node _T_1053 = bits(gw_clear_reg_we_8, 0, 0) @[pic_ctrl.scala 156:124] + wire gw_int_pending_7 : UInt<1> + gw_int_pending_7 <= UInt<1>("h00") + node _T_1054 = xor(_T_1050, _T_1051) @[pic_ctrl.scala 31:50] + node _T_1055 = eq(_T_1053, UInt<1>("h00")) @[pic_ctrl.scala 31:92] + node _T_1056 = and(gw_int_pending_7, _T_1055) @[pic_ctrl.scala 31:90] + node gw_int_pending_in_7 = or(_T_1054, _T_1056) @[pic_ctrl.scala 31:72] + reg _T_1057 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[pic_ctrl.scala 32:45] + _T_1057 <= gw_int_pending_in_7 @[pic_ctrl.scala 32:45] + gw_int_pending_7 <= _T_1057 @[pic_ctrl.scala 32:20] + node _T_1058 = bits(_T_1052, 0, 0) @[pic_ctrl.scala 33:30] + node _T_1059 = xor(_T_1050, _T_1051) @[pic_ctrl.scala 33:55] + node _T_1060 = or(_T_1059, gw_int_pending_7) @[pic_ctrl.scala 33:78] + node _T_1061 = xor(_T_1050, _T_1051) @[pic_ctrl.scala 33:117] + node extintsrc_req_gw_8 = mux(_T_1058, _T_1060, _T_1061) @[pic_ctrl.scala 33:8] + node _T_1062 = bits(extintsrc_req_sync, 9, 9) @[pic_ctrl.scala 156:52] + node _T_1063 = bits(gw_config_reg[9], 0, 0) @[pic_ctrl.scala 156:73] + node _T_1064 = bits(gw_config_reg[9], 1, 1) @[pic_ctrl.scala 156:94] + node _T_1065 = bits(gw_clear_reg_we_9, 0, 0) @[pic_ctrl.scala 156:124] + wire gw_int_pending_8 : UInt<1> + gw_int_pending_8 <= UInt<1>("h00") + node _T_1066 = xor(_T_1062, _T_1063) @[pic_ctrl.scala 31:50] + node _T_1067 = eq(_T_1065, UInt<1>("h00")) @[pic_ctrl.scala 31:92] + node _T_1068 = and(gw_int_pending_8, _T_1067) @[pic_ctrl.scala 31:90] + node gw_int_pending_in_8 = or(_T_1066, _T_1068) @[pic_ctrl.scala 31:72] + reg _T_1069 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[pic_ctrl.scala 32:45] + _T_1069 <= gw_int_pending_in_8 @[pic_ctrl.scala 32:45] + gw_int_pending_8 <= _T_1069 @[pic_ctrl.scala 32:20] + node _T_1070 = bits(_T_1064, 0, 0) @[pic_ctrl.scala 33:30] + node _T_1071 = xor(_T_1062, _T_1063) @[pic_ctrl.scala 33:55] + node _T_1072 = or(_T_1071, gw_int_pending_8) @[pic_ctrl.scala 33:78] + node _T_1073 = xor(_T_1062, _T_1063) @[pic_ctrl.scala 33:117] + node extintsrc_req_gw_9 = mux(_T_1070, _T_1072, _T_1073) @[pic_ctrl.scala 33:8] + node _T_1074 = bits(extintsrc_req_sync, 10, 10) @[pic_ctrl.scala 156:52] + node _T_1075 = bits(gw_config_reg[10], 0, 0) @[pic_ctrl.scala 156:73] + node _T_1076 = bits(gw_config_reg[10], 1, 1) @[pic_ctrl.scala 156:94] + node _T_1077 = bits(gw_clear_reg_we_10, 0, 0) @[pic_ctrl.scala 156:124] + wire gw_int_pending_9 : UInt<1> + gw_int_pending_9 <= UInt<1>("h00") + node _T_1078 = xor(_T_1074, _T_1075) @[pic_ctrl.scala 31:50] + node _T_1079 = eq(_T_1077, UInt<1>("h00")) @[pic_ctrl.scala 31:92] + node _T_1080 = and(gw_int_pending_9, _T_1079) @[pic_ctrl.scala 31:90] + node gw_int_pending_in_9 = or(_T_1078, _T_1080) @[pic_ctrl.scala 31:72] + reg _T_1081 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[pic_ctrl.scala 32:45] + _T_1081 <= gw_int_pending_in_9 @[pic_ctrl.scala 32:45] + gw_int_pending_9 <= _T_1081 @[pic_ctrl.scala 32:20] + node _T_1082 = bits(_T_1076, 0, 0) @[pic_ctrl.scala 33:30] + node _T_1083 = xor(_T_1074, _T_1075) @[pic_ctrl.scala 33:55] + node _T_1084 = or(_T_1083, gw_int_pending_9) @[pic_ctrl.scala 33:78] + node _T_1085 = xor(_T_1074, _T_1075) @[pic_ctrl.scala 33:117] + node extintsrc_req_gw_10 = mux(_T_1082, _T_1084, _T_1085) @[pic_ctrl.scala 33:8] + node _T_1086 = bits(extintsrc_req_sync, 11, 11) @[pic_ctrl.scala 156:52] + node _T_1087 = bits(gw_config_reg[11], 0, 0) @[pic_ctrl.scala 156:73] + node _T_1088 = bits(gw_config_reg[11], 1, 1) @[pic_ctrl.scala 156:94] + node _T_1089 = bits(gw_clear_reg_we_11, 0, 0) @[pic_ctrl.scala 156:124] + wire gw_int_pending_10 : UInt<1> + gw_int_pending_10 <= UInt<1>("h00") + node _T_1090 = xor(_T_1086, _T_1087) @[pic_ctrl.scala 31:50] + node _T_1091 = eq(_T_1089, UInt<1>("h00")) @[pic_ctrl.scala 31:92] + node _T_1092 = and(gw_int_pending_10, _T_1091) @[pic_ctrl.scala 31:90] + node gw_int_pending_in_10 = or(_T_1090, _T_1092) @[pic_ctrl.scala 31:72] + reg _T_1093 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[pic_ctrl.scala 32:45] + _T_1093 <= gw_int_pending_in_10 @[pic_ctrl.scala 32:45] + gw_int_pending_10 <= _T_1093 @[pic_ctrl.scala 32:20] + node _T_1094 = bits(_T_1088, 0, 0) @[pic_ctrl.scala 33:30] + node _T_1095 = xor(_T_1086, _T_1087) @[pic_ctrl.scala 33:55] + node _T_1096 = or(_T_1095, gw_int_pending_10) @[pic_ctrl.scala 33:78] + node _T_1097 = xor(_T_1086, _T_1087) @[pic_ctrl.scala 33:117] + node extintsrc_req_gw_11 = mux(_T_1094, _T_1096, _T_1097) @[pic_ctrl.scala 33:8] + node _T_1098 = bits(extintsrc_req_sync, 12, 12) @[pic_ctrl.scala 156:52] + node _T_1099 = bits(gw_config_reg[12], 0, 0) @[pic_ctrl.scala 156:73] + node _T_1100 = bits(gw_config_reg[12], 1, 1) @[pic_ctrl.scala 156:94] + node _T_1101 = bits(gw_clear_reg_we_12, 0, 0) @[pic_ctrl.scala 156:124] + wire gw_int_pending_11 : UInt<1> + gw_int_pending_11 <= UInt<1>("h00") + node _T_1102 = xor(_T_1098, _T_1099) @[pic_ctrl.scala 31:50] + node _T_1103 = eq(_T_1101, UInt<1>("h00")) @[pic_ctrl.scala 31:92] + node _T_1104 = and(gw_int_pending_11, _T_1103) @[pic_ctrl.scala 31:90] + node gw_int_pending_in_11 = or(_T_1102, _T_1104) @[pic_ctrl.scala 31:72] + reg _T_1105 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[pic_ctrl.scala 32:45] + _T_1105 <= gw_int_pending_in_11 @[pic_ctrl.scala 32:45] + gw_int_pending_11 <= _T_1105 @[pic_ctrl.scala 32:20] + node _T_1106 = bits(_T_1100, 0, 0) @[pic_ctrl.scala 33:30] + node _T_1107 = xor(_T_1098, _T_1099) @[pic_ctrl.scala 33:55] + node _T_1108 = or(_T_1107, gw_int_pending_11) @[pic_ctrl.scala 33:78] + node _T_1109 = xor(_T_1098, _T_1099) @[pic_ctrl.scala 33:117] + node extintsrc_req_gw_12 = mux(_T_1106, _T_1108, _T_1109) @[pic_ctrl.scala 33:8] + node _T_1110 = bits(extintsrc_req_sync, 13, 13) @[pic_ctrl.scala 156:52] + node _T_1111 = bits(gw_config_reg[13], 0, 0) @[pic_ctrl.scala 156:73] + node _T_1112 = bits(gw_config_reg[13], 1, 1) @[pic_ctrl.scala 156:94] + node _T_1113 = bits(gw_clear_reg_we_13, 0, 0) @[pic_ctrl.scala 156:124] + wire gw_int_pending_12 : UInt<1> + gw_int_pending_12 <= UInt<1>("h00") + node _T_1114 = xor(_T_1110, _T_1111) @[pic_ctrl.scala 31:50] + node _T_1115 = eq(_T_1113, UInt<1>("h00")) @[pic_ctrl.scala 31:92] + node _T_1116 = and(gw_int_pending_12, _T_1115) @[pic_ctrl.scala 31:90] + node gw_int_pending_in_12 = or(_T_1114, _T_1116) @[pic_ctrl.scala 31:72] + reg _T_1117 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[pic_ctrl.scala 32:45] + _T_1117 <= gw_int_pending_in_12 @[pic_ctrl.scala 32:45] + gw_int_pending_12 <= _T_1117 @[pic_ctrl.scala 32:20] + node _T_1118 = bits(_T_1112, 0, 0) @[pic_ctrl.scala 33:30] + node _T_1119 = xor(_T_1110, _T_1111) @[pic_ctrl.scala 33:55] + node _T_1120 = or(_T_1119, gw_int_pending_12) @[pic_ctrl.scala 33:78] + node _T_1121 = xor(_T_1110, _T_1111) @[pic_ctrl.scala 33:117] + node extintsrc_req_gw_13 = mux(_T_1118, _T_1120, _T_1121) @[pic_ctrl.scala 33:8] + node _T_1122 = bits(extintsrc_req_sync, 14, 14) @[pic_ctrl.scala 156:52] + node _T_1123 = bits(gw_config_reg[14], 0, 0) @[pic_ctrl.scala 156:73] + node _T_1124 = bits(gw_config_reg[14], 1, 1) @[pic_ctrl.scala 156:94] + node _T_1125 = bits(gw_clear_reg_we_14, 0, 0) @[pic_ctrl.scala 156:124] + wire gw_int_pending_13 : UInt<1> + gw_int_pending_13 <= UInt<1>("h00") + node _T_1126 = xor(_T_1122, _T_1123) @[pic_ctrl.scala 31:50] + node _T_1127 = eq(_T_1125, UInt<1>("h00")) @[pic_ctrl.scala 31:92] + node _T_1128 = and(gw_int_pending_13, _T_1127) @[pic_ctrl.scala 31:90] + node gw_int_pending_in_13 = or(_T_1126, _T_1128) @[pic_ctrl.scala 31:72] + reg _T_1129 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[pic_ctrl.scala 32:45] + _T_1129 <= gw_int_pending_in_13 @[pic_ctrl.scala 32:45] + gw_int_pending_13 <= _T_1129 @[pic_ctrl.scala 32:20] + node _T_1130 = bits(_T_1124, 0, 0) @[pic_ctrl.scala 33:30] + node _T_1131 = xor(_T_1122, _T_1123) @[pic_ctrl.scala 33:55] + node _T_1132 = or(_T_1131, gw_int_pending_13) @[pic_ctrl.scala 33:78] + node _T_1133 = xor(_T_1122, _T_1123) @[pic_ctrl.scala 33:117] + node extintsrc_req_gw_14 = mux(_T_1130, _T_1132, _T_1133) @[pic_ctrl.scala 33:8] + node _T_1134 = bits(extintsrc_req_sync, 15, 15) @[pic_ctrl.scala 156:52] + node _T_1135 = bits(gw_config_reg[15], 0, 0) @[pic_ctrl.scala 156:73] + node _T_1136 = bits(gw_config_reg[15], 1, 1) @[pic_ctrl.scala 156:94] + node _T_1137 = bits(gw_clear_reg_we_15, 0, 0) @[pic_ctrl.scala 156:124] + wire gw_int_pending_14 : UInt<1> + gw_int_pending_14 <= UInt<1>("h00") + node _T_1138 = xor(_T_1134, _T_1135) @[pic_ctrl.scala 31:50] + node _T_1139 = eq(_T_1137, UInt<1>("h00")) @[pic_ctrl.scala 31:92] + node _T_1140 = and(gw_int_pending_14, _T_1139) @[pic_ctrl.scala 31:90] + node gw_int_pending_in_14 = or(_T_1138, _T_1140) @[pic_ctrl.scala 31:72] + reg _T_1141 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[pic_ctrl.scala 32:45] + _T_1141 <= gw_int_pending_in_14 @[pic_ctrl.scala 32:45] + gw_int_pending_14 <= _T_1141 @[pic_ctrl.scala 32:20] + node _T_1142 = bits(_T_1136, 0, 0) @[pic_ctrl.scala 33:30] + node _T_1143 = xor(_T_1134, _T_1135) @[pic_ctrl.scala 33:55] + node _T_1144 = or(_T_1143, gw_int_pending_14) @[pic_ctrl.scala 33:78] + node _T_1145 = xor(_T_1134, _T_1135) @[pic_ctrl.scala 33:117] + node extintsrc_req_gw_15 = mux(_T_1142, _T_1144, _T_1145) @[pic_ctrl.scala 33:8] + node _T_1146 = bits(extintsrc_req_sync, 16, 16) @[pic_ctrl.scala 156:52] + node _T_1147 = bits(gw_config_reg[16], 0, 0) @[pic_ctrl.scala 156:73] + node _T_1148 = bits(gw_config_reg[16], 1, 1) @[pic_ctrl.scala 156:94] + node _T_1149 = bits(gw_clear_reg_we_16, 0, 0) @[pic_ctrl.scala 156:124] + wire gw_int_pending_15 : UInt<1> + gw_int_pending_15 <= UInt<1>("h00") + node _T_1150 = xor(_T_1146, _T_1147) @[pic_ctrl.scala 31:50] + node _T_1151 = eq(_T_1149, UInt<1>("h00")) @[pic_ctrl.scala 31:92] + node _T_1152 = and(gw_int_pending_15, _T_1151) @[pic_ctrl.scala 31:90] + node gw_int_pending_in_15 = or(_T_1150, _T_1152) @[pic_ctrl.scala 31:72] + reg _T_1153 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[pic_ctrl.scala 32:45] + _T_1153 <= gw_int_pending_in_15 @[pic_ctrl.scala 32:45] + gw_int_pending_15 <= _T_1153 @[pic_ctrl.scala 32:20] + node _T_1154 = bits(_T_1148, 0, 0) @[pic_ctrl.scala 33:30] + node _T_1155 = xor(_T_1146, _T_1147) @[pic_ctrl.scala 33:55] + node _T_1156 = or(_T_1155, gw_int_pending_15) @[pic_ctrl.scala 33:78] + node _T_1157 = xor(_T_1146, _T_1147) @[pic_ctrl.scala 33:117] + node extintsrc_req_gw_16 = mux(_T_1154, _T_1156, _T_1157) @[pic_ctrl.scala 33:8] + node _T_1158 = bits(extintsrc_req_sync, 17, 17) @[pic_ctrl.scala 156:52] + node _T_1159 = bits(gw_config_reg[17], 0, 0) @[pic_ctrl.scala 156:73] + node _T_1160 = bits(gw_config_reg[17], 1, 1) @[pic_ctrl.scala 156:94] + node _T_1161 = bits(gw_clear_reg_we_17, 0, 0) @[pic_ctrl.scala 156:124] + wire gw_int_pending_16 : UInt<1> + gw_int_pending_16 <= UInt<1>("h00") + node _T_1162 = xor(_T_1158, _T_1159) @[pic_ctrl.scala 31:50] + node _T_1163 = eq(_T_1161, UInt<1>("h00")) @[pic_ctrl.scala 31:92] + node _T_1164 = and(gw_int_pending_16, _T_1163) @[pic_ctrl.scala 31:90] + node gw_int_pending_in_16 = or(_T_1162, _T_1164) @[pic_ctrl.scala 31:72] + reg _T_1165 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[pic_ctrl.scala 32:45] + _T_1165 <= gw_int_pending_in_16 @[pic_ctrl.scala 32:45] + gw_int_pending_16 <= _T_1165 @[pic_ctrl.scala 32:20] + node _T_1166 = bits(_T_1160, 0, 0) @[pic_ctrl.scala 33:30] + node _T_1167 = xor(_T_1158, _T_1159) @[pic_ctrl.scala 33:55] + node _T_1168 = or(_T_1167, gw_int_pending_16) @[pic_ctrl.scala 33:78] + node _T_1169 = xor(_T_1158, _T_1159) @[pic_ctrl.scala 33:117] + node extintsrc_req_gw_17 = mux(_T_1166, _T_1168, _T_1169) @[pic_ctrl.scala 33:8] + node _T_1170 = bits(extintsrc_req_sync, 18, 18) @[pic_ctrl.scala 156:52] + node _T_1171 = bits(gw_config_reg[18], 0, 0) @[pic_ctrl.scala 156:73] + node _T_1172 = bits(gw_config_reg[18], 1, 1) @[pic_ctrl.scala 156:94] + node _T_1173 = bits(gw_clear_reg_we_18, 0, 0) @[pic_ctrl.scala 156:124] + wire gw_int_pending_17 : UInt<1> + gw_int_pending_17 <= UInt<1>("h00") + node _T_1174 = xor(_T_1170, _T_1171) @[pic_ctrl.scala 31:50] + node _T_1175 = eq(_T_1173, UInt<1>("h00")) @[pic_ctrl.scala 31:92] + node _T_1176 = and(gw_int_pending_17, _T_1175) @[pic_ctrl.scala 31:90] + node gw_int_pending_in_17 = or(_T_1174, _T_1176) @[pic_ctrl.scala 31:72] + reg _T_1177 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[pic_ctrl.scala 32:45] + _T_1177 <= gw_int_pending_in_17 @[pic_ctrl.scala 32:45] + gw_int_pending_17 <= _T_1177 @[pic_ctrl.scala 32:20] + node _T_1178 = bits(_T_1172, 0, 0) @[pic_ctrl.scala 33:30] + node _T_1179 = xor(_T_1170, _T_1171) @[pic_ctrl.scala 33:55] + node _T_1180 = or(_T_1179, gw_int_pending_17) @[pic_ctrl.scala 33:78] + node _T_1181 = xor(_T_1170, _T_1171) @[pic_ctrl.scala 33:117] + node extintsrc_req_gw_18 = mux(_T_1178, _T_1180, _T_1181) @[pic_ctrl.scala 33:8] + node _T_1182 = bits(extintsrc_req_sync, 19, 19) @[pic_ctrl.scala 156:52] + node _T_1183 = bits(gw_config_reg[19], 0, 0) @[pic_ctrl.scala 156:73] + node _T_1184 = bits(gw_config_reg[19], 1, 1) @[pic_ctrl.scala 156:94] + node _T_1185 = bits(gw_clear_reg_we_19, 0, 0) @[pic_ctrl.scala 156:124] + wire gw_int_pending_18 : UInt<1> + gw_int_pending_18 <= UInt<1>("h00") + node _T_1186 = xor(_T_1182, _T_1183) @[pic_ctrl.scala 31:50] + node _T_1187 = eq(_T_1185, UInt<1>("h00")) @[pic_ctrl.scala 31:92] + node _T_1188 = and(gw_int_pending_18, _T_1187) @[pic_ctrl.scala 31:90] + node gw_int_pending_in_18 = or(_T_1186, _T_1188) @[pic_ctrl.scala 31:72] + reg _T_1189 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[pic_ctrl.scala 32:45] + _T_1189 <= gw_int_pending_in_18 @[pic_ctrl.scala 32:45] + gw_int_pending_18 <= _T_1189 @[pic_ctrl.scala 32:20] + node _T_1190 = bits(_T_1184, 0, 0) @[pic_ctrl.scala 33:30] + node _T_1191 = xor(_T_1182, _T_1183) @[pic_ctrl.scala 33:55] + node _T_1192 = or(_T_1191, gw_int_pending_18) @[pic_ctrl.scala 33:78] + node _T_1193 = xor(_T_1182, _T_1183) @[pic_ctrl.scala 33:117] + node extintsrc_req_gw_19 = mux(_T_1190, _T_1192, _T_1193) @[pic_ctrl.scala 33:8] + node _T_1194 = bits(extintsrc_req_sync, 20, 20) @[pic_ctrl.scala 156:52] + node _T_1195 = bits(gw_config_reg[20], 0, 0) @[pic_ctrl.scala 156:73] + node _T_1196 = bits(gw_config_reg[20], 1, 1) @[pic_ctrl.scala 156:94] + node _T_1197 = bits(gw_clear_reg_we_20, 0, 0) @[pic_ctrl.scala 156:124] + wire gw_int_pending_19 : UInt<1> + gw_int_pending_19 <= UInt<1>("h00") + node _T_1198 = xor(_T_1194, _T_1195) @[pic_ctrl.scala 31:50] + node _T_1199 = eq(_T_1197, UInt<1>("h00")) @[pic_ctrl.scala 31:92] + node _T_1200 = and(gw_int_pending_19, _T_1199) @[pic_ctrl.scala 31:90] + node gw_int_pending_in_19 = or(_T_1198, _T_1200) @[pic_ctrl.scala 31:72] + reg _T_1201 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[pic_ctrl.scala 32:45] + _T_1201 <= gw_int_pending_in_19 @[pic_ctrl.scala 32:45] + gw_int_pending_19 <= _T_1201 @[pic_ctrl.scala 32:20] + node _T_1202 = bits(_T_1196, 0, 0) @[pic_ctrl.scala 33:30] + node _T_1203 = xor(_T_1194, _T_1195) @[pic_ctrl.scala 33:55] + node _T_1204 = or(_T_1203, gw_int_pending_19) @[pic_ctrl.scala 33:78] + node _T_1205 = xor(_T_1194, _T_1195) @[pic_ctrl.scala 33:117] + node extintsrc_req_gw_20 = mux(_T_1202, _T_1204, _T_1205) @[pic_ctrl.scala 33:8] + node _T_1206 = bits(extintsrc_req_sync, 21, 21) @[pic_ctrl.scala 156:52] + node _T_1207 = bits(gw_config_reg[21], 0, 0) @[pic_ctrl.scala 156:73] + node _T_1208 = bits(gw_config_reg[21], 1, 1) @[pic_ctrl.scala 156:94] + node _T_1209 = bits(gw_clear_reg_we_21, 0, 0) @[pic_ctrl.scala 156:124] + wire gw_int_pending_20 : UInt<1> + gw_int_pending_20 <= UInt<1>("h00") + node _T_1210 = xor(_T_1206, _T_1207) @[pic_ctrl.scala 31:50] + node _T_1211 = eq(_T_1209, UInt<1>("h00")) @[pic_ctrl.scala 31:92] + node _T_1212 = and(gw_int_pending_20, _T_1211) @[pic_ctrl.scala 31:90] + node gw_int_pending_in_20 = or(_T_1210, _T_1212) @[pic_ctrl.scala 31:72] + reg _T_1213 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[pic_ctrl.scala 32:45] + _T_1213 <= gw_int_pending_in_20 @[pic_ctrl.scala 32:45] + gw_int_pending_20 <= _T_1213 @[pic_ctrl.scala 32:20] + node _T_1214 = bits(_T_1208, 0, 0) @[pic_ctrl.scala 33:30] + node _T_1215 = xor(_T_1206, _T_1207) @[pic_ctrl.scala 33:55] + node _T_1216 = or(_T_1215, gw_int_pending_20) @[pic_ctrl.scala 33:78] + node _T_1217 = xor(_T_1206, _T_1207) @[pic_ctrl.scala 33:117] + node extintsrc_req_gw_21 = mux(_T_1214, _T_1216, _T_1217) @[pic_ctrl.scala 33:8] + node _T_1218 = bits(extintsrc_req_sync, 22, 22) @[pic_ctrl.scala 156:52] + node _T_1219 = bits(gw_config_reg[22], 0, 0) @[pic_ctrl.scala 156:73] + node _T_1220 = bits(gw_config_reg[22], 1, 1) @[pic_ctrl.scala 156:94] + node _T_1221 = bits(gw_clear_reg_we_22, 0, 0) @[pic_ctrl.scala 156:124] + wire gw_int_pending_21 : UInt<1> + gw_int_pending_21 <= UInt<1>("h00") + node _T_1222 = xor(_T_1218, _T_1219) @[pic_ctrl.scala 31:50] + node _T_1223 = eq(_T_1221, UInt<1>("h00")) @[pic_ctrl.scala 31:92] + node _T_1224 = and(gw_int_pending_21, _T_1223) @[pic_ctrl.scala 31:90] + node gw_int_pending_in_21 = or(_T_1222, _T_1224) @[pic_ctrl.scala 31:72] + reg _T_1225 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[pic_ctrl.scala 32:45] + _T_1225 <= gw_int_pending_in_21 @[pic_ctrl.scala 32:45] + gw_int_pending_21 <= _T_1225 @[pic_ctrl.scala 32:20] + node _T_1226 = bits(_T_1220, 0, 0) @[pic_ctrl.scala 33:30] + node _T_1227 = xor(_T_1218, _T_1219) @[pic_ctrl.scala 33:55] + node _T_1228 = or(_T_1227, gw_int_pending_21) @[pic_ctrl.scala 33:78] + node _T_1229 = xor(_T_1218, _T_1219) @[pic_ctrl.scala 33:117] + node extintsrc_req_gw_22 = mux(_T_1226, _T_1228, _T_1229) @[pic_ctrl.scala 33:8] + node _T_1230 = bits(extintsrc_req_sync, 23, 23) @[pic_ctrl.scala 156:52] + node _T_1231 = bits(gw_config_reg[23], 0, 0) @[pic_ctrl.scala 156:73] + node _T_1232 = bits(gw_config_reg[23], 1, 1) @[pic_ctrl.scala 156:94] + node _T_1233 = bits(gw_clear_reg_we_23, 0, 0) @[pic_ctrl.scala 156:124] + wire gw_int_pending_22 : UInt<1> + gw_int_pending_22 <= UInt<1>("h00") + node _T_1234 = xor(_T_1230, _T_1231) @[pic_ctrl.scala 31:50] + node _T_1235 = eq(_T_1233, UInt<1>("h00")) @[pic_ctrl.scala 31:92] + node _T_1236 = and(gw_int_pending_22, _T_1235) @[pic_ctrl.scala 31:90] + node gw_int_pending_in_22 = or(_T_1234, _T_1236) @[pic_ctrl.scala 31:72] + reg _T_1237 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[pic_ctrl.scala 32:45] + _T_1237 <= gw_int_pending_in_22 @[pic_ctrl.scala 32:45] + gw_int_pending_22 <= _T_1237 @[pic_ctrl.scala 32:20] + node _T_1238 = bits(_T_1232, 0, 0) @[pic_ctrl.scala 33:30] + node _T_1239 = xor(_T_1230, _T_1231) @[pic_ctrl.scala 33:55] + node _T_1240 = or(_T_1239, gw_int_pending_22) @[pic_ctrl.scala 33:78] + node _T_1241 = xor(_T_1230, _T_1231) @[pic_ctrl.scala 33:117] + node extintsrc_req_gw_23 = mux(_T_1238, _T_1240, _T_1241) @[pic_ctrl.scala 33:8] + node _T_1242 = bits(extintsrc_req_sync, 24, 24) @[pic_ctrl.scala 156:52] + node _T_1243 = bits(gw_config_reg[24], 0, 0) @[pic_ctrl.scala 156:73] + node _T_1244 = bits(gw_config_reg[24], 1, 1) @[pic_ctrl.scala 156:94] + node _T_1245 = bits(gw_clear_reg_we_24, 0, 0) @[pic_ctrl.scala 156:124] + wire gw_int_pending_23 : UInt<1> + gw_int_pending_23 <= UInt<1>("h00") + node _T_1246 = xor(_T_1242, _T_1243) @[pic_ctrl.scala 31:50] + node _T_1247 = eq(_T_1245, UInt<1>("h00")) @[pic_ctrl.scala 31:92] + node _T_1248 = and(gw_int_pending_23, _T_1247) @[pic_ctrl.scala 31:90] + node gw_int_pending_in_23 = or(_T_1246, _T_1248) @[pic_ctrl.scala 31:72] + reg _T_1249 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[pic_ctrl.scala 32:45] + _T_1249 <= gw_int_pending_in_23 @[pic_ctrl.scala 32:45] + gw_int_pending_23 <= _T_1249 @[pic_ctrl.scala 32:20] + node _T_1250 = bits(_T_1244, 0, 0) @[pic_ctrl.scala 33:30] + node _T_1251 = xor(_T_1242, _T_1243) @[pic_ctrl.scala 33:55] + node _T_1252 = or(_T_1251, gw_int_pending_23) @[pic_ctrl.scala 33:78] + node _T_1253 = xor(_T_1242, _T_1243) @[pic_ctrl.scala 33:117] + node extintsrc_req_gw_24 = mux(_T_1250, _T_1252, _T_1253) @[pic_ctrl.scala 33:8] + node _T_1254 = bits(extintsrc_req_sync, 25, 25) @[pic_ctrl.scala 156:52] + node _T_1255 = bits(gw_config_reg[25], 0, 0) @[pic_ctrl.scala 156:73] + node _T_1256 = bits(gw_config_reg[25], 1, 1) @[pic_ctrl.scala 156:94] + node _T_1257 = bits(gw_clear_reg_we_25, 0, 0) @[pic_ctrl.scala 156:124] + wire gw_int_pending_24 : UInt<1> + gw_int_pending_24 <= UInt<1>("h00") + node _T_1258 = xor(_T_1254, _T_1255) @[pic_ctrl.scala 31:50] + node _T_1259 = eq(_T_1257, UInt<1>("h00")) @[pic_ctrl.scala 31:92] + node _T_1260 = and(gw_int_pending_24, _T_1259) @[pic_ctrl.scala 31:90] + node gw_int_pending_in_24 = or(_T_1258, _T_1260) @[pic_ctrl.scala 31:72] + reg _T_1261 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[pic_ctrl.scala 32:45] + _T_1261 <= gw_int_pending_in_24 @[pic_ctrl.scala 32:45] + gw_int_pending_24 <= _T_1261 @[pic_ctrl.scala 32:20] + node _T_1262 = bits(_T_1256, 0, 0) @[pic_ctrl.scala 33:30] + node _T_1263 = xor(_T_1254, _T_1255) @[pic_ctrl.scala 33:55] + node _T_1264 = or(_T_1263, gw_int_pending_24) @[pic_ctrl.scala 33:78] + node _T_1265 = xor(_T_1254, _T_1255) @[pic_ctrl.scala 33:117] + node extintsrc_req_gw_25 = mux(_T_1262, _T_1264, _T_1265) @[pic_ctrl.scala 33:8] + node _T_1266 = bits(extintsrc_req_sync, 26, 26) @[pic_ctrl.scala 156:52] + node _T_1267 = bits(gw_config_reg[26], 0, 0) @[pic_ctrl.scala 156:73] + node _T_1268 = bits(gw_config_reg[26], 1, 1) @[pic_ctrl.scala 156:94] + node _T_1269 = bits(gw_clear_reg_we_26, 0, 0) @[pic_ctrl.scala 156:124] + wire gw_int_pending_25 : UInt<1> + gw_int_pending_25 <= UInt<1>("h00") + node _T_1270 = xor(_T_1266, _T_1267) @[pic_ctrl.scala 31:50] + node _T_1271 = eq(_T_1269, UInt<1>("h00")) @[pic_ctrl.scala 31:92] + node _T_1272 = and(gw_int_pending_25, _T_1271) @[pic_ctrl.scala 31:90] + node gw_int_pending_in_25 = or(_T_1270, _T_1272) @[pic_ctrl.scala 31:72] + reg _T_1273 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[pic_ctrl.scala 32:45] + _T_1273 <= gw_int_pending_in_25 @[pic_ctrl.scala 32:45] + gw_int_pending_25 <= _T_1273 @[pic_ctrl.scala 32:20] + node _T_1274 = bits(_T_1268, 0, 0) @[pic_ctrl.scala 33:30] + node _T_1275 = xor(_T_1266, _T_1267) @[pic_ctrl.scala 33:55] + node _T_1276 = or(_T_1275, gw_int_pending_25) @[pic_ctrl.scala 33:78] + node _T_1277 = xor(_T_1266, _T_1267) @[pic_ctrl.scala 33:117] + node extintsrc_req_gw_26 = mux(_T_1274, _T_1276, _T_1277) @[pic_ctrl.scala 33:8] + node _T_1278 = bits(extintsrc_req_sync, 27, 27) @[pic_ctrl.scala 156:52] + node _T_1279 = bits(gw_config_reg[27], 0, 0) @[pic_ctrl.scala 156:73] + node _T_1280 = bits(gw_config_reg[27], 1, 1) @[pic_ctrl.scala 156:94] + node _T_1281 = bits(gw_clear_reg_we_27, 0, 0) @[pic_ctrl.scala 156:124] + wire gw_int_pending_26 : UInt<1> + gw_int_pending_26 <= UInt<1>("h00") + node _T_1282 = xor(_T_1278, _T_1279) @[pic_ctrl.scala 31:50] + node _T_1283 = eq(_T_1281, UInt<1>("h00")) @[pic_ctrl.scala 31:92] + node _T_1284 = and(gw_int_pending_26, _T_1283) @[pic_ctrl.scala 31:90] + node gw_int_pending_in_26 = or(_T_1282, _T_1284) @[pic_ctrl.scala 31:72] + reg _T_1285 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[pic_ctrl.scala 32:45] + _T_1285 <= gw_int_pending_in_26 @[pic_ctrl.scala 32:45] + gw_int_pending_26 <= _T_1285 @[pic_ctrl.scala 32:20] + node _T_1286 = bits(_T_1280, 0, 0) @[pic_ctrl.scala 33:30] + node _T_1287 = xor(_T_1278, _T_1279) @[pic_ctrl.scala 33:55] + node _T_1288 = or(_T_1287, gw_int_pending_26) @[pic_ctrl.scala 33:78] + node _T_1289 = xor(_T_1278, _T_1279) @[pic_ctrl.scala 33:117] + node extintsrc_req_gw_27 = mux(_T_1286, _T_1288, _T_1289) @[pic_ctrl.scala 33:8] + node _T_1290 = bits(extintsrc_req_sync, 28, 28) @[pic_ctrl.scala 156:52] + node _T_1291 = bits(gw_config_reg[28], 0, 0) @[pic_ctrl.scala 156:73] + node _T_1292 = bits(gw_config_reg[28], 1, 1) @[pic_ctrl.scala 156:94] + node _T_1293 = bits(gw_clear_reg_we_28, 0, 0) @[pic_ctrl.scala 156:124] + wire gw_int_pending_27 : UInt<1> + gw_int_pending_27 <= UInt<1>("h00") + node _T_1294 = xor(_T_1290, _T_1291) @[pic_ctrl.scala 31:50] + node _T_1295 = eq(_T_1293, UInt<1>("h00")) @[pic_ctrl.scala 31:92] + node _T_1296 = and(gw_int_pending_27, _T_1295) @[pic_ctrl.scala 31:90] + node gw_int_pending_in_27 = or(_T_1294, _T_1296) @[pic_ctrl.scala 31:72] + reg _T_1297 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[pic_ctrl.scala 32:45] + _T_1297 <= gw_int_pending_in_27 @[pic_ctrl.scala 32:45] + gw_int_pending_27 <= _T_1297 @[pic_ctrl.scala 32:20] + node _T_1298 = bits(_T_1292, 0, 0) @[pic_ctrl.scala 33:30] + node _T_1299 = xor(_T_1290, _T_1291) @[pic_ctrl.scala 33:55] + node _T_1300 = or(_T_1299, gw_int_pending_27) @[pic_ctrl.scala 33:78] + node _T_1301 = xor(_T_1290, _T_1291) @[pic_ctrl.scala 33:117] + node extintsrc_req_gw_28 = mux(_T_1298, _T_1300, _T_1301) @[pic_ctrl.scala 33:8] + node _T_1302 = bits(extintsrc_req_sync, 29, 29) @[pic_ctrl.scala 156:52] + node _T_1303 = bits(gw_config_reg[29], 0, 0) @[pic_ctrl.scala 156:73] + node _T_1304 = bits(gw_config_reg[29], 1, 1) @[pic_ctrl.scala 156:94] + node _T_1305 = bits(gw_clear_reg_we_29, 0, 0) @[pic_ctrl.scala 156:124] + wire gw_int_pending_28 : UInt<1> + gw_int_pending_28 <= UInt<1>("h00") + node _T_1306 = xor(_T_1302, _T_1303) @[pic_ctrl.scala 31:50] + node _T_1307 = eq(_T_1305, UInt<1>("h00")) @[pic_ctrl.scala 31:92] + node _T_1308 = and(gw_int_pending_28, _T_1307) @[pic_ctrl.scala 31:90] + node gw_int_pending_in_28 = or(_T_1306, _T_1308) @[pic_ctrl.scala 31:72] + reg _T_1309 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[pic_ctrl.scala 32:45] + _T_1309 <= gw_int_pending_in_28 @[pic_ctrl.scala 32:45] + gw_int_pending_28 <= _T_1309 @[pic_ctrl.scala 32:20] + node _T_1310 = bits(_T_1304, 0, 0) @[pic_ctrl.scala 33:30] + node _T_1311 = xor(_T_1302, _T_1303) @[pic_ctrl.scala 33:55] + node _T_1312 = or(_T_1311, gw_int_pending_28) @[pic_ctrl.scala 33:78] + node _T_1313 = xor(_T_1302, _T_1303) @[pic_ctrl.scala 33:117] + node extintsrc_req_gw_29 = mux(_T_1310, _T_1312, _T_1313) @[pic_ctrl.scala 33:8] + node _T_1314 = bits(extintsrc_req_sync, 30, 30) @[pic_ctrl.scala 156:52] + node _T_1315 = bits(gw_config_reg[30], 0, 0) @[pic_ctrl.scala 156:73] + node _T_1316 = bits(gw_config_reg[30], 1, 1) @[pic_ctrl.scala 156:94] + node _T_1317 = bits(gw_clear_reg_we_30, 0, 0) @[pic_ctrl.scala 156:124] + wire gw_int_pending_29 : UInt<1> + gw_int_pending_29 <= UInt<1>("h00") + node _T_1318 = xor(_T_1314, _T_1315) @[pic_ctrl.scala 31:50] + node _T_1319 = eq(_T_1317, UInt<1>("h00")) @[pic_ctrl.scala 31:92] + node _T_1320 = and(gw_int_pending_29, _T_1319) @[pic_ctrl.scala 31:90] + node gw_int_pending_in_29 = or(_T_1318, _T_1320) @[pic_ctrl.scala 31:72] + reg _T_1321 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[pic_ctrl.scala 32:45] + _T_1321 <= gw_int_pending_in_29 @[pic_ctrl.scala 32:45] + gw_int_pending_29 <= _T_1321 @[pic_ctrl.scala 32:20] + node _T_1322 = bits(_T_1316, 0, 0) @[pic_ctrl.scala 33:30] + node _T_1323 = xor(_T_1314, _T_1315) @[pic_ctrl.scala 33:55] + node _T_1324 = or(_T_1323, gw_int_pending_29) @[pic_ctrl.scala 33:78] + node _T_1325 = xor(_T_1314, _T_1315) @[pic_ctrl.scala 33:117] + node extintsrc_req_gw_30 = mux(_T_1322, _T_1324, _T_1325) @[pic_ctrl.scala 33:8] + node _T_1326 = bits(extintsrc_req_sync, 31, 31) @[pic_ctrl.scala 156:52] + node _T_1327 = bits(gw_config_reg[31], 0, 0) @[pic_ctrl.scala 156:73] + node _T_1328 = bits(gw_config_reg[31], 1, 1) @[pic_ctrl.scala 156:94] + node _T_1329 = bits(gw_clear_reg_we_31, 0, 0) @[pic_ctrl.scala 156:124] + wire gw_int_pending_30 : UInt<1> + gw_int_pending_30 <= UInt<1>("h00") + node _T_1330 = xor(_T_1326, _T_1327) @[pic_ctrl.scala 31:50] + node _T_1331 = eq(_T_1329, UInt<1>("h00")) @[pic_ctrl.scala 31:92] + node _T_1332 = and(gw_int_pending_30, _T_1331) @[pic_ctrl.scala 31:90] + node gw_int_pending_in_30 = or(_T_1330, _T_1332) @[pic_ctrl.scala 31:72] + reg _T_1333 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[pic_ctrl.scala 32:45] + _T_1333 <= gw_int_pending_in_30 @[pic_ctrl.scala 32:45] + gw_int_pending_30 <= _T_1333 @[pic_ctrl.scala 32:20] + node _T_1334 = bits(_T_1328, 0, 0) @[pic_ctrl.scala 33:30] + node _T_1335 = xor(_T_1326, _T_1327) @[pic_ctrl.scala 33:55] + node _T_1336 = or(_T_1335, gw_int_pending_30) @[pic_ctrl.scala 33:78] + node _T_1337 = xor(_T_1326, _T_1327) @[pic_ctrl.scala 33:117] + node extintsrc_req_gw_31 = mux(_T_1334, _T_1336, _T_1337) @[pic_ctrl.scala 33:8] + node _T_1338 = bits(intpriord, 0, 0) @[pic_ctrl.scala 160:82] + node _T_1339 = not(intpriority_reg[0]) @[pic_ctrl.scala 160:90] + node _T_1340 = mux(_T_1338, _T_1339, intpriority_reg[0]) @[pic_ctrl.scala 160:71] + intpriority_reg_inv[0] <= _T_1340 @[pic_ctrl.scala 160:65] + node _T_1341 = bits(intpriord, 0, 0) @[pic_ctrl.scala 160:82] + node _T_1342 = not(intpriority_reg[1]) @[pic_ctrl.scala 160:90] + node _T_1343 = mux(_T_1341, _T_1342, intpriority_reg[1]) @[pic_ctrl.scala 160:71] + intpriority_reg_inv[1] <= _T_1343 @[pic_ctrl.scala 160:65] + node _T_1344 = bits(intpriord, 0, 0) @[pic_ctrl.scala 160:82] + node _T_1345 = not(intpriority_reg[2]) @[pic_ctrl.scala 160:90] + node _T_1346 = mux(_T_1344, _T_1345, intpriority_reg[2]) @[pic_ctrl.scala 160:71] + intpriority_reg_inv[2] <= _T_1346 @[pic_ctrl.scala 160:65] + node _T_1347 = bits(intpriord, 0, 0) @[pic_ctrl.scala 160:82] + node _T_1348 = not(intpriority_reg[3]) @[pic_ctrl.scala 160:90] + node _T_1349 = mux(_T_1347, _T_1348, intpriority_reg[3]) @[pic_ctrl.scala 160:71] + intpriority_reg_inv[3] <= _T_1349 @[pic_ctrl.scala 160:65] + node _T_1350 = bits(intpriord, 0, 0) @[pic_ctrl.scala 160:82] + node _T_1351 = not(intpriority_reg[4]) @[pic_ctrl.scala 160:90] + node _T_1352 = mux(_T_1350, _T_1351, intpriority_reg[4]) @[pic_ctrl.scala 160:71] + intpriority_reg_inv[4] <= _T_1352 @[pic_ctrl.scala 160:65] + node _T_1353 = bits(intpriord, 0, 0) @[pic_ctrl.scala 160:82] + node _T_1354 = not(intpriority_reg[5]) @[pic_ctrl.scala 160:90] + node _T_1355 = mux(_T_1353, _T_1354, intpriority_reg[5]) @[pic_ctrl.scala 160:71] + intpriority_reg_inv[5] <= _T_1355 @[pic_ctrl.scala 160:65] + node _T_1356 = bits(intpriord, 0, 0) @[pic_ctrl.scala 160:82] + node _T_1357 = not(intpriority_reg[6]) @[pic_ctrl.scala 160:90] + node _T_1358 = mux(_T_1356, _T_1357, intpriority_reg[6]) @[pic_ctrl.scala 160:71] + intpriority_reg_inv[6] <= _T_1358 @[pic_ctrl.scala 160:65] + node _T_1359 = bits(intpriord, 0, 0) @[pic_ctrl.scala 160:82] + node _T_1360 = not(intpriority_reg[7]) @[pic_ctrl.scala 160:90] + node _T_1361 = mux(_T_1359, _T_1360, intpriority_reg[7]) @[pic_ctrl.scala 160:71] + intpriority_reg_inv[7] <= _T_1361 @[pic_ctrl.scala 160:65] + node _T_1362 = bits(intpriord, 0, 0) @[pic_ctrl.scala 160:82] + node _T_1363 = not(intpriority_reg[8]) @[pic_ctrl.scala 160:90] + node _T_1364 = mux(_T_1362, _T_1363, intpriority_reg[8]) @[pic_ctrl.scala 160:71] + intpriority_reg_inv[8] <= _T_1364 @[pic_ctrl.scala 160:65] + node _T_1365 = bits(intpriord, 0, 0) @[pic_ctrl.scala 160:82] + node _T_1366 = not(intpriority_reg[9]) @[pic_ctrl.scala 160:90] + node _T_1367 = mux(_T_1365, _T_1366, intpriority_reg[9]) @[pic_ctrl.scala 160:71] + intpriority_reg_inv[9] <= _T_1367 @[pic_ctrl.scala 160:65] + node _T_1368 = bits(intpriord, 0, 0) @[pic_ctrl.scala 160:82] + node _T_1369 = not(intpriority_reg[10]) @[pic_ctrl.scala 160:90] + node _T_1370 = mux(_T_1368, _T_1369, intpriority_reg[10]) @[pic_ctrl.scala 160:71] + intpriority_reg_inv[10] <= _T_1370 @[pic_ctrl.scala 160:65] + node _T_1371 = bits(intpriord, 0, 0) @[pic_ctrl.scala 160:82] + node _T_1372 = not(intpriority_reg[11]) @[pic_ctrl.scala 160:90] + node _T_1373 = mux(_T_1371, _T_1372, intpriority_reg[11]) @[pic_ctrl.scala 160:71] + intpriority_reg_inv[11] <= _T_1373 @[pic_ctrl.scala 160:65] + node _T_1374 = bits(intpriord, 0, 0) @[pic_ctrl.scala 160:82] + node _T_1375 = not(intpriority_reg[12]) @[pic_ctrl.scala 160:90] + node _T_1376 = mux(_T_1374, _T_1375, intpriority_reg[12]) @[pic_ctrl.scala 160:71] + intpriority_reg_inv[12] <= _T_1376 @[pic_ctrl.scala 160:65] + node _T_1377 = bits(intpriord, 0, 0) @[pic_ctrl.scala 160:82] + node _T_1378 = not(intpriority_reg[13]) @[pic_ctrl.scala 160:90] + node _T_1379 = mux(_T_1377, _T_1378, intpriority_reg[13]) @[pic_ctrl.scala 160:71] + intpriority_reg_inv[13] <= _T_1379 @[pic_ctrl.scala 160:65] + node _T_1380 = bits(intpriord, 0, 0) @[pic_ctrl.scala 160:82] + node _T_1381 = not(intpriority_reg[14]) @[pic_ctrl.scala 160:90] + node _T_1382 = mux(_T_1380, _T_1381, intpriority_reg[14]) @[pic_ctrl.scala 160:71] + intpriority_reg_inv[14] <= _T_1382 @[pic_ctrl.scala 160:65] + node _T_1383 = bits(intpriord, 0, 0) @[pic_ctrl.scala 160:82] + node _T_1384 = not(intpriority_reg[15]) @[pic_ctrl.scala 160:90] + node _T_1385 = mux(_T_1383, _T_1384, intpriority_reg[15]) @[pic_ctrl.scala 160:71] + intpriority_reg_inv[15] <= _T_1385 @[pic_ctrl.scala 160:65] + node _T_1386 = bits(intpriord, 0, 0) @[pic_ctrl.scala 160:82] + node _T_1387 = not(intpriority_reg[16]) @[pic_ctrl.scala 160:90] + node _T_1388 = mux(_T_1386, _T_1387, intpriority_reg[16]) @[pic_ctrl.scala 160:71] + intpriority_reg_inv[16] <= _T_1388 @[pic_ctrl.scala 160:65] + node _T_1389 = bits(intpriord, 0, 0) @[pic_ctrl.scala 160:82] + node _T_1390 = not(intpriority_reg[17]) @[pic_ctrl.scala 160:90] + node _T_1391 = mux(_T_1389, _T_1390, intpriority_reg[17]) @[pic_ctrl.scala 160:71] + intpriority_reg_inv[17] <= _T_1391 @[pic_ctrl.scala 160:65] + node _T_1392 = bits(intpriord, 0, 0) @[pic_ctrl.scala 160:82] + node _T_1393 = not(intpriority_reg[18]) @[pic_ctrl.scala 160:90] + node _T_1394 = mux(_T_1392, _T_1393, intpriority_reg[18]) @[pic_ctrl.scala 160:71] + intpriority_reg_inv[18] <= _T_1394 @[pic_ctrl.scala 160:65] + node _T_1395 = bits(intpriord, 0, 0) @[pic_ctrl.scala 160:82] + node _T_1396 = not(intpriority_reg[19]) @[pic_ctrl.scala 160:90] + node _T_1397 = mux(_T_1395, _T_1396, intpriority_reg[19]) @[pic_ctrl.scala 160:71] + intpriority_reg_inv[19] <= _T_1397 @[pic_ctrl.scala 160:65] + node _T_1398 = bits(intpriord, 0, 0) @[pic_ctrl.scala 160:82] + node _T_1399 = not(intpriority_reg[20]) @[pic_ctrl.scala 160:90] + node _T_1400 = mux(_T_1398, _T_1399, intpriority_reg[20]) @[pic_ctrl.scala 160:71] + intpriority_reg_inv[20] <= _T_1400 @[pic_ctrl.scala 160:65] + node _T_1401 = bits(intpriord, 0, 0) @[pic_ctrl.scala 160:82] + node _T_1402 = not(intpriority_reg[21]) @[pic_ctrl.scala 160:90] + node _T_1403 = mux(_T_1401, _T_1402, intpriority_reg[21]) @[pic_ctrl.scala 160:71] + intpriority_reg_inv[21] <= _T_1403 @[pic_ctrl.scala 160:65] + node _T_1404 = bits(intpriord, 0, 0) @[pic_ctrl.scala 160:82] + node _T_1405 = not(intpriority_reg[22]) @[pic_ctrl.scala 160:90] + node _T_1406 = mux(_T_1404, _T_1405, intpriority_reg[22]) @[pic_ctrl.scala 160:71] + intpriority_reg_inv[22] <= _T_1406 @[pic_ctrl.scala 160:65] + node _T_1407 = bits(intpriord, 0, 0) @[pic_ctrl.scala 160:82] + node _T_1408 = not(intpriority_reg[23]) @[pic_ctrl.scala 160:90] + node _T_1409 = mux(_T_1407, _T_1408, intpriority_reg[23]) @[pic_ctrl.scala 160:71] + intpriority_reg_inv[23] <= _T_1409 @[pic_ctrl.scala 160:65] + node _T_1410 = bits(intpriord, 0, 0) @[pic_ctrl.scala 160:82] + node _T_1411 = not(intpriority_reg[24]) @[pic_ctrl.scala 160:90] + node _T_1412 = mux(_T_1410, _T_1411, intpriority_reg[24]) @[pic_ctrl.scala 160:71] + intpriority_reg_inv[24] <= _T_1412 @[pic_ctrl.scala 160:65] + node _T_1413 = bits(intpriord, 0, 0) @[pic_ctrl.scala 160:82] + node _T_1414 = not(intpriority_reg[25]) @[pic_ctrl.scala 160:90] + node _T_1415 = mux(_T_1413, _T_1414, intpriority_reg[25]) @[pic_ctrl.scala 160:71] + intpriority_reg_inv[25] <= _T_1415 @[pic_ctrl.scala 160:65] + node _T_1416 = bits(intpriord, 0, 0) @[pic_ctrl.scala 160:82] + node _T_1417 = not(intpriority_reg[26]) @[pic_ctrl.scala 160:90] + node _T_1418 = mux(_T_1416, _T_1417, intpriority_reg[26]) @[pic_ctrl.scala 160:71] + intpriority_reg_inv[26] <= _T_1418 @[pic_ctrl.scala 160:65] + node _T_1419 = bits(intpriord, 0, 0) @[pic_ctrl.scala 160:82] + node _T_1420 = not(intpriority_reg[27]) @[pic_ctrl.scala 160:90] + node _T_1421 = mux(_T_1419, _T_1420, intpriority_reg[27]) @[pic_ctrl.scala 160:71] + intpriority_reg_inv[27] <= _T_1421 @[pic_ctrl.scala 160:65] + node _T_1422 = bits(intpriord, 0, 0) @[pic_ctrl.scala 160:82] + node _T_1423 = not(intpriority_reg[28]) @[pic_ctrl.scala 160:90] + node _T_1424 = mux(_T_1422, _T_1423, intpriority_reg[28]) @[pic_ctrl.scala 160:71] + intpriority_reg_inv[28] <= _T_1424 @[pic_ctrl.scala 160:65] + node _T_1425 = bits(intpriord, 0, 0) @[pic_ctrl.scala 160:82] + node _T_1426 = not(intpriority_reg[29]) @[pic_ctrl.scala 160:90] + node _T_1427 = mux(_T_1425, _T_1426, intpriority_reg[29]) @[pic_ctrl.scala 160:71] + intpriority_reg_inv[29] <= _T_1427 @[pic_ctrl.scala 160:65] + node _T_1428 = bits(intpriord, 0, 0) @[pic_ctrl.scala 160:82] + node _T_1429 = not(intpriority_reg[30]) @[pic_ctrl.scala 160:90] + node _T_1430 = mux(_T_1428, _T_1429, intpriority_reg[30]) @[pic_ctrl.scala 160:71] + intpriority_reg_inv[30] <= _T_1430 @[pic_ctrl.scala 160:65] + node _T_1431 = bits(intpriord, 0, 0) @[pic_ctrl.scala 160:82] + node _T_1432 = not(intpriority_reg[31]) @[pic_ctrl.scala 160:90] + node _T_1433 = mux(_T_1431, _T_1432, intpriority_reg[31]) @[pic_ctrl.scala 160:71] + intpriority_reg_inv[31] <= _T_1433 @[pic_ctrl.scala 160:65] + node _T_1434 = and(UInt<1>("h00"), intenable_reg[0]) @[pic_ctrl.scala 161:110] + node _T_1435 = bits(_T_1434, 0, 0) @[Bitwise.scala 72:15] + node _T_1436 = mux(_T_1435, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_1437 = and(_T_1436, intpriority_reg_inv[0]) @[pic_ctrl.scala 161:130] + intpend_w_prior_en[0] <= _T_1437 @[pic_ctrl.scala 161:64] + node _T_1438 = and(extintsrc_req_gw_1, intenable_reg[1]) @[pic_ctrl.scala 161:110] + node _T_1439 = bits(_T_1438, 0, 0) @[Bitwise.scala 72:15] + node _T_1440 = mux(_T_1439, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_1441 = and(_T_1440, intpriority_reg_inv[1]) @[pic_ctrl.scala 161:130] + intpend_w_prior_en[1] <= _T_1441 @[pic_ctrl.scala 161:64] + node _T_1442 = and(extintsrc_req_gw_2, intenable_reg[2]) @[pic_ctrl.scala 161:110] + node _T_1443 = bits(_T_1442, 0, 0) @[Bitwise.scala 72:15] + node _T_1444 = mux(_T_1443, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_1445 = and(_T_1444, intpriority_reg_inv[2]) @[pic_ctrl.scala 161:130] + intpend_w_prior_en[2] <= _T_1445 @[pic_ctrl.scala 161:64] + node _T_1446 = and(extintsrc_req_gw_3, intenable_reg[3]) @[pic_ctrl.scala 161:110] + node _T_1447 = bits(_T_1446, 0, 0) @[Bitwise.scala 72:15] + node _T_1448 = mux(_T_1447, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_1449 = and(_T_1448, intpriority_reg_inv[3]) @[pic_ctrl.scala 161:130] + intpend_w_prior_en[3] <= _T_1449 @[pic_ctrl.scala 161:64] + node _T_1450 = and(extintsrc_req_gw_4, intenable_reg[4]) @[pic_ctrl.scala 161:110] + node _T_1451 = bits(_T_1450, 0, 0) @[Bitwise.scala 72:15] + node _T_1452 = mux(_T_1451, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_1453 = and(_T_1452, intpriority_reg_inv[4]) @[pic_ctrl.scala 161:130] + intpend_w_prior_en[4] <= _T_1453 @[pic_ctrl.scala 161:64] + node _T_1454 = and(extintsrc_req_gw_5, intenable_reg[5]) @[pic_ctrl.scala 161:110] + node _T_1455 = bits(_T_1454, 0, 0) @[Bitwise.scala 72:15] + node _T_1456 = mux(_T_1455, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_1457 = and(_T_1456, intpriority_reg_inv[5]) @[pic_ctrl.scala 161:130] + intpend_w_prior_en[5] <= _T_1457 @[pic_ctrl.scala 161:64] + node _T_1458 = and(extintsrc_req_gw_6, intenable_reg[6]) @[pic_ctrl.scala 161:110] + node _T_1459 = bits(_T_1458, 0, 0) @[Bitwise.scala 72:15] + node _T_1460 = mux(_T_1459, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_1461 = and(_T_1460, intpriority_reg_inv[6]) @[pic_ctrl.scala 161:130] + intpend_w_prior_en[6] <= _T_1461 @[pic_ctrl.scala 161:64] + node _T_1462 = and(extintsrc_req_gw_7, intenable_reg[7]) @[pic_ctrl.scala 161:110] + node _T_1463 = bits(_T_1462, 0, 0) @[Bitwise.scala 72:15] + node _T_1464 = mux(_T_1463, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_1465 = and(_T_1464, intpriority_reg_inv[7]) @[pic_ctrl.scala 161:130] + intpend_w_prior_en[7] <= _T_1465 @[pic_ctrl.scala 161:64] + node _T_1466 = and(extintsrc_req_gw_8, intenable_reg[8]) @[pic_ctrl.scala 161:110] + node _T_1467 = bits(_T_1466, 0, 0) @[Bitwise.scala 72:15] + node _T_1468 = mux(_T_1467, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_1469 = and(_T_1468, intpriority_reg_inv[8]) @[pic_ctrl.scala 161:130] + intpend_w_prior_en[8] <= _T_1469 @[pic_ctrl.scala 161:64] + node _T_1470 = and(extintsrc_req_gw_9, intenable_reg[9]) @[pic_ctrl.scala 161:110] + node _T_1471 = bits(_T_1470, 0, 0) @[Bitwise.scala 72:15] + node _T_1472 = mux(_T_1471, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_1473 = and(_T_1472, intpriority_reg_inv[9]) @[pic_ctrl.scala 161:130] + intpend_w_prior_en[9] <= _T_1473 @[pic_ctrl.scala 161:64] + node _T_1474 = and(extintsrc_req_gw_10, intenable_reg[10]) @[pic_ctrl.scala 161:110] + node _T_1475 = bits(_T_1474, 0, 0) @[Bitwise.scala 72:15] + node _T_1476 = mux(_T_1475, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_1477 = and(_T_1476, intpriority_reg_inv[10]) @[pic_ctrl.scala 161:130] + intpend_w_prior_en[10] <= _T_1477 @[pic_ctrl.scala 161:64] + node _T_1478 = and(extintsrc_req_gw_11, intenable_reg[11]) @[pic_ctrl.scala 161:110] + node _T_1479 = bits(_T_1478, 0, 0) @[Bitwise.scala 72:15] + node _T_1480 = mux(_T_1479, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_1481 = and(_T_1480, intpriority_reg_inv[11]) @[pic_ctrl.scala 161:130] + intpend_w_prior_en[11] <= _T_1481 @[pic_ctrl.scala 161:64] + node _T_1482 = and(extintsrc_req_gw_12, intenable_reg[12]) @[pic_ctrl.scala 161:110] + node _T_1483 = bits(_T_1482, 0, 0) @[Bitwise.scala 72:15] + node _T_1484 = mux(_T_1483, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_1485 = and(_T_1484, intpriority_reg_inv[12]) @[pic_ctrl.scala 161:130] + intpend_w_prior_en[12] <= _T_1485 @[pic_ctrl.scala 161:64] + node _T_1486 = and(extintsrc_req_gw_13, intenable_reg[13]) @[pic_ctrl.scala 161:110] + node _T_1487 = bits(_T_1486, 0, 0) @[Bitwise.scala 72:15] + node _T_1488 = mux(_T_1487, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_1489 = and(_T_1488, intpriority_reg_inv[13]) @[pic_ctrl.scala 161:130] + intpend_w_prior_en[13] <= _T_1489 @[pic_ctrl.scala 161:64] + node _T_1490 = and(extintsrc_req_gw_14, intenable_reg[14]) @[pic_ctrl.scala 161:110] + node _T_1491 = bits(_T_1490, 0, 0) @[Bitwise.scala 72:15] + node _T_1492 = mux(_T_1491, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_1493 = and(_T_1492, intpriority_reg_inv[14]) @[pic_ctrl.scala 161:130] + intpend_w_prior_en[14] <= _T_1493 @[pic_ctrl.scala 161:64] + node _T_1494 = and(extintsrc_req_gw_15, intenable_reg[15]) @[pic_ctrl.scala 161:110] + node _T_1495 = bits(_T_1494, 0, 0) @[Bitwise.scala 72:15] + node _T_1496 = mux(_T_1495, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_1497 = and(_T_1496, intpriority_reg_inv[15]) @[pic_ctrl.scala 161:130] + intpend_w_prior_en[15] <= _T_1497 @[pic_ctrl.scala 161:64] + node _T_1498 = and(extintsrc_req_gw_16, intenable_reg[16]) @[pic_ctrl.scala 161:110] + node _T_1499 = bits(_T_1498, 0, 0) @[Bitwise.scala 72:15] + node _T_1500 = mux(_T_1499, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_1501 = and(_T_1500, intpriority_reg_inv[16]) @[pic_ctrl.scala 161:130] + intpend_w_prior_en[16] <= _T_1501 @[pic_ctrl.scala 161:64] + node _T_1502 = and(extintsrc_req_gw_17, intenable_reg[17]) @[pic_ctrl.scala 161:110] + node _T_1503 = bits(_T_1502, 0, 0) @[Bitwise.scala 72:15] + node _T_1504 = mux(_T_1503, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_1505 = and(_T_1504, intpriority_reg_inv[17]) @[pic_ctrl.scala 161:130] + intpend_w_prior_en[17] <= _T_1505 @[pic_ctrl.scala 161:64] + node _T_1506 = and(extintsrc_req_gw_18, intenable_reg[18]) @[pic_ctrl.scala 161:110] + node _T_1507 = bits(_T_1506, 0, 0) @[Bitwise.scala 72:15] + node _T_1508 = mux(_T_1507, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_1509 = and(_T_1508, intpriority_reg_inv[18]) @[pic_ctrl.scala 161:130] + intpend_w_prior_en[18] <= _T_1509 @[pic_ctrl.scala 161:64] + node _T_1510 = and(extintsrc_req_gw_19, intenable_reg[19]) @[pic_ctrl.scala 161:110] + node _T_1511 = bits(_T_1510, 0, 0) @[Bitwise.scala 72:15] + node _T_1512 = mux(_T_1511, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_1513 = and(_T_1512, intpriority_reg_inv[19]) @[pic_ctrl.scala 161:130] + intpend_w_prior_en[19] <= _T_1513 @[pic_ctrl.scala 161:64] + node _T_1514 = and(extintsrc_req_gw_20, intenable_reg[20]) @[pic_ctrl.scala 161:110] + node _T_1515 = bits(_T_1514, 0, 0) @[Bitwise.scala 72:15] + node _T_1516 = mux(_T_1515, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_1517 = and(_T_1516, intpriority_reg_inv[20]) @[pic_ctrl.scala 161:130] + intpend_w_prior_en[20] <= _T_1517 @[pic_ctrl.scala 161:64] + node _T_1518 = and(extintsrc_req_gw_21, intenable_reg[21]) @[pic_ctrl.scala 161:110] + node _T_1519 = bits(_T_1518, 0, 0) @[Bitwise.scala 72:15] + node _T_1520 = mux(_T_1519, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_1521 = and(_T_1520, intpriority_reg_inv[21]) @[pic_ctrl.scala 161:130] + intpend_w_prior_en[21] <= _T_1521 @[pic_ctrl.scala 161:64] + node _T_1522 = and(extintsrc_req_gw_22, intenable_reg[22]) @[pic_ctrl.scala 161:110] + node _T_1523 = bits(_T_1522, 0, 0) @[Bitwise.scala 72:15] + node _T_1524 = mux(_T_1523, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_1525 = and(_T_1524, intpriority_reg_inv[22]) @[pic_ctrl.scala 161:130] + intpend_w_prior_en[22] <= _T_1525 @[pic_ctrl.scala 161:64] + node _T_1526 = and(extintsrc_req_gw_23, intenable_reg[23]) @[pic_ctrl.scala 161:110] + node _T_1527 = bits(_T_1526, 0, 0) @[Bitwise.scala 72:15] + node _T_1528 = mux(_T_1527, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_1529 = and(_T_1528, intpriority_reg_inv[23]) @[pic_ctrl.scala 161:130] + intpend_w_prior_en[23] <= _T_1529 @[pic_ctrl.scala 161:64] + node _T_1530 = and(extintsrc_req_gw_24, intenable_reg[24]) @[pic_ctrl.scala 161:110] + node _T_1531 = bits(_T_1530, 0, 0) @[Bitwise.scala 72:15] + node _T_1532 = mux(_T_1531, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_1533 = and(_T_1532, intpriority_reg_inv[24]) @[pic_ctrl.scala 161:130] + intpend_w_prior_en[24] <= _T_1533 @[pic_ctrl.scala 161:64] + node _T_1534 = and(extintsrc_req_gw_25, intenable_reg[25]) @[pic_ctrl.scala 161:110] + node _T_1535 = bits(_T_1534, 0, 0) @[Bitwise.scala 72:15] + node _T_1536 = mux(_T_1535, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_1537 = and(_T_1536, intpriority_reg_inv[25]) @[pic_ctrl.scala 161:130] + intpend_w_prior_en[25] <= _T_1537 @[pic_ctrl.scala 161:64] + node _T_1538 = and(extintsrc_req_gw_26, intenable_reg[26]) @[pic_ctrl.scala 161:110] + node _T_1539 = bits(_T_1538, 0, 0) @[Bitwise.scala 72:15] + node _T_1540 = mux(_T_1539, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_1541 = and(_T_1540, intpriority_reg_inv[26]) @[pic_ctrl.scala 161:130] + intpend_w_prior_en[26] <= _T_1541 @[pic_ctrl.scala 161:64] + node _T_1542 = and(extintsrc_req_gw_27, intenable_reg[27]) @[pic_ctrl.scala 161:110] + node _T_1543 = bits(_T_1542, 0, 0) @[Bitwise.scala 72:15] + node _T_1544 = mux(_T_1543, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_1545 = and(_T_1544, intpriority_reg_inv[27]) @[pic_ctrl.scala 161:130] + intpend_w_prior_en[27] <= _T_1545 @[pic_ctrl.scala 161:64] + node _T_1546 = and(extintsrc_req_gw_28, intenable_reg[28]) @[pic_ctrl.scala 161:110] + node _T_1547 = bits(_T_1546, 0, 0) @[Bitwise.scala 72:15] + node _T_1548 = mux(_T_1547, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_1549 = and(_T_1548, intpriority_reg_inv[28]) @[pic_ctrl.scala 161:130] + intpend_w_prior_en[28] <= _T_1549 @[pic_ctrl.scala 161:64] + node _T_1550 = and(extintsrc_req_gw_29, intenable_reg[29]) @[pic_ctrl.scala 161:110] + node _T_1551 = bits(_T_1550, 0, 0) @[Bitwise.scala 72:15] + node _T_1552 = mux(_T_1551, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_1553 = and(_T_1552, intpriority_reg_inv[29]) @[pic_ctrl.scala 161:130] + intpend_w_prior_en[29] <= _T_1553 @[pic_ctrl.scala 161:64] + node _T_1554 = and(extintsrc_req_gw_30, intenable_reg[30]) @[pic_ctrl.scala 161:110] + node _T_1555 = bits(_T_1554, 0, 0) @[Bitwise.scala 72:15] + node _T_1556 = mux(_T_1555, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_1557 = and(_T_1556, intpriority_reg_inv[30]) @[pic_ctrl.scala 161:130] + intpend_w_prior_en[30] <= _T_1557 @[pic_ctrl.scala 161:64] + node _T_1558 = and(extintsrc_req_gw_31, intenable_reg[31]) @[pic_ctrl.scala 161:110] + node _T_1559 = bits(_T_1558, 0, 0) @[Bitwise.scala 72:15] + node _T_1560 = mux(_T_1559, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_1561 = and(_T_1560, intpriority_reg_inv[31]) @[pic_ctrl.scala 161:130] + intpend_w_prior_en[31] <= _T_1561 @[pic_ctrl.scala 161:64] + intpend_id[0] <= UInt<1>("h00") @[pic_ctrl.scala 162:56] + intpend_id[1] <= UInt<1>("h01") @[pic_ctrl.scala 162:56] + intpend_id[2] <= UInt<2>("h02") @[pic_ctrl.scala 162:56] + intpend_id[3] <= UInt<2>("h03") @[pic_ctrl.scala 162:56] + intpend_id[4] <= UInt<3>("h04") @[pic_ctrl.scala 162:56] + intpend_id[5] <= UInt<3>("h05") @[pic_ctrl.scala 162:56] + intpend_id[6] <= UInt<3>("h06") @[pic_ctrl.scala 162:56] + intpend_id[7] <= UInt<3>("h07") @[pic_ctrl.scala 162:56] + intpend_id[8] <= UInt<4>("h08") @[pic_ctrl.scala 162:56] + intpend_id[9] <= UInt<4>("h09") @[pic_ctrl.scala 162:56] + intpend_id[10] <= UInt<4>("h0a") @[pic_ctrl.scala 162:56] + intpend_id[11] <= UInt<4>("h0b") @[pic_ctrl.scala 162:56] + intpend_id[12] <= UInt<4>("h0c") @[pic_ctrl.scala 162:56] + intpend_id[13] <= UInt<4>("h0d") @[pic_ctrl.scala 162:56] + intpend_id[14] <= UInt<4>("h0e") @[pic_ctrl.scala 162:56] + intpend_id[15] <= UInt<4>("h0f") @[pic_ctrl.scala 162:56] + intpend_id[16] <= UInt<5>("h010") @[pic_ctrl.scala 162:56] + intpend_id[17] <= UInt<5>("h011") @[pic_ctrl.scala 162:56] + intpend_id[18] <= UInt<5>("h012") @[pic_ctrl.scala 162:56] + intpend_id[19] <= UInt<5>("h013") @[pic_ctrl.scala 162:56] + intpend_id[20] <= UInt<5>("h014") @[pic_ctrl.scala 162:56] + intpend_id[21] <= UInt<5>("h015") @[pic_ctrl.scala 162:56] + intpend_id[22] <= UInt<5>("h016") @[pic_ctrl.scala 162:56] + intpend_id[23] <= UInt<5>("h017") @[pic_ctrl.scala 162:56] + intpend_id[24] <= UInt<5>("h018") @[pic_ctrl.scala 162:56] + intpend_id[25] <= UInt<5>("h019") @[pic_ctrl.scala 162:56] + intpend_id[26] <= UInt<5>("h01a") @[pic_ctrl.scala 162:56] + intpend_id[27] <= UInt<5>("h01b") @[pic_ctrl.scala 162:56] + intpend_id[28] <= UInt<5>("h01c") @[pic_ctrl.scala 162:56] + intpend_id[29] <= UInt<5>("h01d") @[pic_ctrl.scala 162:56] + intpend_id[30] <= UInt<5>("h01e") @[pic_ctrl.scala 162:56] + intpend_id[31] <= UInt<5>("h01f") @[pic_ctrl.scala 162:56] + wire level_intpend_w_prior_en : UInt<4>[34][6] @[pic_ctrl.scala 213:40] + wire level_intpend_id : UInt<8>[34][6] @[pic_ctrl.scala 214:32] + level_intpend_w_prior_en[0][0] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[0][0] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[0][1] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[0][1] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[0][2] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[0][2] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[0][3] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[0][3] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[0][4] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[0][4] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[0][5] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[0][5] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[0][6] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[0][6] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[0][7] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[0][7] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[0][8] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[0][8] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[0][9] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[0][9] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[0][10] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[0][10] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[0][11] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[0][11] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[0][12] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[0][12] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[0][13] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[0][13] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[0][14] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[0][14] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[0][15] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[0][15] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[0][16] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[0][16] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[0][17] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[0][17] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[0][18] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[0][18] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[0][19] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[0][19] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[0][20] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[0][20] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[0][21] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[0][21] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[0][22] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[0][22] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[0][23] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[0][23] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[0][24] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[0][24] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[0][25] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[0][25] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[0][26] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[0][26] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[0][27] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[0][27] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[0][28] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[0][28] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[0][29] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[0][29] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[0][30] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[0][30] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[0][31] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[0][31] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[0][32] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[0][32] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[0][33] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[0][33] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[1][0] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[1][0] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[1][1] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[1][1] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[1][2] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[1][2] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[1][3] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[1][3] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[1][4] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[1][4] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[1][5] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[1][5] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[1][6] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[1][6] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[1][7] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[1][7] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[1][8] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[1][8] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[1][9] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[1][9] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[1][10] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[1][10] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[1][11] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[1][11] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[1][12] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[1][12] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[1][13] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[1][13] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[1][14] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[1][14] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[1][15] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[1][15] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[1][16] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[1][16] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[1][17] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[1][17] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[1][18] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[1][18] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[1][19] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[1][19] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[1][20] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[1][20] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[1][21] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[1][21] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[1][22] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[1][22] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[1][23] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[1][23] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[1][24] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[1][24] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[1][25] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[1][25] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[1][26] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[1][26] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[1][27] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[1][27] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[1][28] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[1][28] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[1][29] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[1][29] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[1][30] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[1][30] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[1][31] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[1][31] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[1][32] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[1][32] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[1][33] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[1][33] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[2][0] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[2][0] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[2][1] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[2][1] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[2][2] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[2][2] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[2][3] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[2][3] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[2][4] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[2][4] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[2][5] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[2][5] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[2][6] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[2][6] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[2][7] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[2][7] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[2][8] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[2][8] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[2][9] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[2][9] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[2][10] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[2][10] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[2][11] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[2][11] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[2][12] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[2][12] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[2][13] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[2][13] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[2][14] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[2][14] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[2][15] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[2][15] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[2][16] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[2][16] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[2][17] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[2][17] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[2][18] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[2][18] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[2][19] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[2][19] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[2][20] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[2][20] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[2][21] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[2][21] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[2][22] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[2][22] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[2][23] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[2][23] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[2][24] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[2][24] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[2][25] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[2][25] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[2][26] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[2][26] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[2][27] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[2][27] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[2][28] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[2][28] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[2][29] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[2][29] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[2][30] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[2][30] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[2][31] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[2][31] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[2][32] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[2][32] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[2][33] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[2][33] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[3][0] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[3][0] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[3][1] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[3][1] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[3][2] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[3][2] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[3][3] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[3][3] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[3][4] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[3][4] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[3][5] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[3][5] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[3][6] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[3][6] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[3][7] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[3][7] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[3][8] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[3][8] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[3][9] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[3][9] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[3][10] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[3][10] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[3][11] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[3][11] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[3][12] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[3][12] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[3][13] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[3][13] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[3][14] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[3][14] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[3][15] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[3][15] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[3][16] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[3][16] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[3][17] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[3][17] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[3][18] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[3][18] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[3][19] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[3][19] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[3][20] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[3][20] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[3][21] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[3][21] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[3][22] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[3][22] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[3][23] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[3][23] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[3][24] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[3][24] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[3][25] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[3][25] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[3][26] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[3][26] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[3][27] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[3][27] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[3][28] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[3][28] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[3][29] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[3][29] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[3][30] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[3][30] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[3][31] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[3][31] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[3][32] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[3][32] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[3][33] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[3][33] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[4][0] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[4][0] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[4][1] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[4][1] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[4][2] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[4][2] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[4][3] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[4][3] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[4][4] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[4][4] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[4][5] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[4][5] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[4][6] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[4][6] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[4][7] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[4][7] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[4][8] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[4][8] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[4][9] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[4][9] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[4][10] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[4][10] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[4][11] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[4][11] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[4][12] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[4][12] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[4][13] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[4][13] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[4][14] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[4][14] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[4][15] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[4][15] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[4][16] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[4][16] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[4][17] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[4][17] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[4][18] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[4][18] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[4][19] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[4][19] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[4][20] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[4][20] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[4][21] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[4][21] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[4][22] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[4][22] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[4][23] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[4][23] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[4][24] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[4][24] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[4][25] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[4][25] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[4][26] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[4][26] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[4][27] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[4][27] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[4][28] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[4][28] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[4][29] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[4][29] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[4][30] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[4][30] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[4][31] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[4][31] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[4][32] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[4][32] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[4][33] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[4][33] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[5][0] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[5][0] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[5][1] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[5][1] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[5][2] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[5][2] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[5][3] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[5][3] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[5][4] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[5][4] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[5][5] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[5][5] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[5][6] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[5][6] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[5][7] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[5][7] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[5][8] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[5][8] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[5][9] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[5][9] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[5][10] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[5][10] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[5][11] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[5][11] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[5][12] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[5][12] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[5][13] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[5][13] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[5][14] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[5][14] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[5][15] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[5][15] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[5][16] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[5][16] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[5][17] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[5][17] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[5][18] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[5][18] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[5][19] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[5][19] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[5][20] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[5][20] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[5][21] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[5][21] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[5][22] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[5][22] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[5][23] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[5][23] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[5][24] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[5][24] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[5][25] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[5][25] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[5][26] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[5][26] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[5][27] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[5][27] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[5][28] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[5][28] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[5][29] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[5][29] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[5][30] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[5][30] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[5][31] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[5][31] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[5][32] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[5][32] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + level_intpend_w_prior_en[5][33] <= UInt<1>("h00") @[pic_ctrl.scala 217:38] + level_intpend_id[5][33] <= UInt<1>("h00") @[pic_ctrl.scala 218:30] + node _T_1562 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_1563 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + level_intpend_w_prior_en[0][0] <= intpend_w_prior_en[0] @[pic_ctrl.scala 220:33] + level_intpend_w_prior_en[0][1] <= intpend_w_prior_en[1] @[pic_ctrl.scala 220:33] + level_intpend_w_prior_en[0][2] <= intpend_w_prior_en[2] @[pic_ctrl.scala 220:33] + level_intpend_w_prior_en[0][3] <= intpend_w_prior_en[3] @[pic_ctrl.scala 220:33] + level_intpend_w_prior_en[0][4] <= intpend_w_prior_en[4] @[pic_ctrl.scala 220:33] + level_intpend_w_prior_en[0][5] <= intpend_w_prior_en[5] @[pic_ctrl.scala 220:33] + level_intpend_w_prior_en[0][6] <= intpend_w_prior_en[6] @[pic_ctrl.scala 220:33] + level_intpend_w_prior_en[0][7] <= intpend_w_prior_en[7] @[pic_ctrl.scala 220:33] + level_intpend_w_prior_en[0][8] <= intpend_w_prior_en[8] @[pic_ctrl.scala 220:33] + level_intpend_w_prior_en[0][9] <= intpend_w_prior_en[9] @[pic_ctrl.scala 220:33] + level_intpend_w_prior_en[0][10] <= intpend_w_prior_en[10] @[pic_ctrl.scala 220:33] + level_intpend_w_prior_en[0][11] <= intpend_w_prior_en[11] @[pic_ctrl.scala 220:33] + level_intpend_w_prior_en[0][12] <= intpend_w_prior_en[12] @[pic_ctrl.scala 220:33] + level_intpend_w_prior_en[0][13] <= intpend_w_prior_en[13] @[pic_ctrl.scala 220:33] + level_intpend_w_prior_en[0][14] <= intpend_w_prior_en[14] @[pic_ctrl.scala 220:33] + level_intpend_w_prior_en[0][15] <= intpend_w_prior_en[15] @[pic_ctrl.scala 220:33] + level_intpend_w_prior_en[0][16] <= intpend_w_prior_en[16] @[pic_ctrl.scala 220:33] + level_intpend_w_prior_en[0][17] <= intpend_w_prior_en[17] @[pic_ctrl.scala 220:33] + level_intpend_w_prior_en[0][18] <= intpend_w_prior_en[18] @[pic_ctrl.scala 220:33] + level_intpend_w_prior_en[0][19] <= intpend_w_prior_en[19] @[pic_ctrl.scala 220:33] + level_intpend_w_prior_en[0][20] <= intpend_w_prior_en[20] @[pic_ctrl.scala 220:33] + level_intpend_w_prior_en[0][21] <= intpend_w_prior_en[21] @[pic_ctrl.scala 220:33] + level_intpend_w_prior_en[0][22] <= intpend_w_prior_en[22] @[pic_ctrl.scala 220:33] + level_intpend_w_prior_en[0][23] <= intpend_w_prior_en[23] @[pic_ctrl.scala 220:33] + level_intpend_w_prior_en[0][24] <= intpend_w_prior_en[24] @[pic_ctrl.scala 220:33] + level_intpend_w_prior_en[0][25] <= intpend_w_prior_en[25] @[pic_ctrl.scala 220:33] + level_intpend_w_prior_en[0][26] <= intpend_w_prior_en[26] @[pic_ctrl.scala 220:33] + level_intpend_w_prior_en[0][27] <= intpend_w_prior_en[27] @[pic_ctrl.scala 220:33] + level_intpend_w_prior_en[0][28] <= intpend_w_prior_en[28] @[pic_ctrl.scala 220:33] + level_intpend_w_prior_en[0][29] <= intpend_w_prior_en[29] @[pic_ctrl.scala 220:33] + level_intpend_w_prior_en[0][30] <= intpend_w_prior_en[30] @[pic_ctrl.scala 220:33] + level_intpend_w_prior_en[0][31] <= intpend_w_prior_en[31] @[pic_ctrl.scala 220:33] + level_intpend_w_prior_en[0][32] <= _T_1562 @[pic_ctrl.scala 220:33] + level_intpend_w_prior_en[0][33] <= _T_1563 @[pic_ctrl.scala 220:33] + node _T_1564 = mux(UInt<1>("h01"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1565 = mux(UInt<1>("h01"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + level_intpend_id[0][0] <= intpend_id[0] @[pic_ctrl.scala 221:33] + level_intpend_id[0][1] <= intpend_id[1] @[pic_ctrl.scala 221:33] + level_intpend_id[0][2] <= intpend_id[2] @[pic_ctrl.scala 221:33] + level_intpend_id[0][3] <= intpend_id[3] @[pic_ctrl.scala 221:33] + level_intpend_id[0][4] <= intpend_id[4] @[pic_ctrl.scala 221:33] + level_intpend_id[0][5] <= intpend_id[5] @[pic_ctrl.scala 221:33] + level_intpend_id[0][6] <= intpend_id[6] @[pic_ctrl.scala 221:33] + level_intpend_id[0][7] <= intpend_id[7] @[pic_ctrl.scala 221:33] + level_intpend_id[0][8] <= intpend_id[8] @[pic_ctrl.scala 221:33] + level_intpend_id[0][9] <= intpend_id[9] @[pic_ctrl.scala 221:33] + level_intpend_id[0][10] <= intpend_id[10] @[pic_ctrl.scala 221:33] + level_intpend_id[0][11] <= intpend_id[11] @[pic_ctrl.scala 221:33] + level_intpend_id[0][12] <= intpend_id[12] @[pic_ctrl.scala 221:33] + level_intpend_id[0][13] <= intpend_id[13] @[pic_ctrl.scala 221:33] + level_intpend_id[0][14] <= intpend_id[14] @[pic_ctrl.scala 221:33] + level_intpend_id[0][15] <= intpend_id[15] @[pic_ctrl.scala 221:33] + level_intpend_id[0][16] <= intpend_id[16] @[pic_ctrl.scala 221:33] + level_intpend_id[0][17] <= intpend_id[17] @[pic_ctrl.scala 221:33] + level_intpend_id[0][18] <= intpend_id[18] @[pic_ctrl.scala 221:33] + level_intpend_id[0][19] <= intpend_id[19] @[pic_ctrl.scala 221:33] + level_intpend_id[0][20] <= intpend_id[20] @[pic_ctrl.scala 221:33] + level_intpend_id[0][21] <= intpend_id[21] @[pic_ctrl.scala 221:33] + level_intpend_id[0][22] <= intpend_id[22] @[pic_ctrl.scala 221:33] + level_intpend_id[0][23] <= intpend_id[23] @[pic_ctrl.scala 221:33] + level_intpend_id[0][24] <= intpend_id[24] @[pic_ctrl.scala 221:33] + level_intpend_id[0][25] <= intpend_id[25] @[pic_ctrl.scala 221:33] + level_intpend_id[0][26] <= intpend_id[26] @[pic_ctrl.scala 221:33] + level_intpend_id[0][27] <= intpend_id[27] @[pic_ctrl.scala 221:33] + level_intpend_id[0][28] <= intpend_id[28] @[pic_ctrl.scala 221:33] + level_intpend_id[0][29] <= intpend_id[29] @[pic_ctrl.scala 221:33] + level_intpend_id[0][30] <= intpend_id[30] @[pic_ctrl.scala 221:33] + level_intpend_id[0][31] <= intpend_id[31] @[pic_ctrl.scala 221:33] + level_intpend_id[0][32] <= _T_1564 @[pic_ctrl.scala 221:33] + level_intpend_id[0][33] <= _T_1565 @[pic_ctrl.scala 221:33] + node _T_1566 = lt(level_intpend_w_prior_en[0][0], level_intpend_w_prior_en[0][1]) @[pic_ctrl.scala 27:20] + node out_id = mux(_T_1566, level_intpend_id[0][1], level_intpend_id[0][0]) @[pic_ctrl.scala 27:9] + node _T_1567 = lt(level_intpend_w_prior_en[0][0], level_intpend_w_prior_en[0][1]) @[pic_ctrl.scala 27:60] + node out_priority = mux(_T_1567, level_intpend_w_prior_en[0][1], level_intpend_w_prior_en[0][0]) @[pic_ctrl.scala 27:49] + level_intpend_id[1][0] <= out_id @[pic_ctrl.scala 232:41] + level_intpend_w_prior_en[1][0] <= out_priority @[pic_ctrl.scala 233:41] + node _T_1568 = lt(level_intpend_w_prior_en[0][2], level_intpend_w_prior_en[0][3]) @[pic_ctrl.scala 27:20] + node out_id_1 = mux(_T_1568, level_intpend_id[0][3], level_intpend_id[0][2]) @[pic_ctrl.scala 27:9] + node _T_1569 = lt(level_intpend_w_prior_en[0][2], level_intpend_w_prior_en[0][3]) @[pic_ctrl.scala 27:60] + node out_priority_1 = mux(_T_1569, level_intpend_w_prior_en[0][3], level_intpend_w_prior_en[0][2]) @[pic_ctrl.scala 27:49] + level_intpend_id[1][1] <= out_id_1 @[pic_ctrl.scala 232:41] + level_intpend_w_prior_en[1][1] <= out_priority_1 @[pic_ctrl.scala 233:41] + node _T_1570 = lt(level_intpend_w_prior_en[0][4], level_intpend_w_prior_en[0][5]) @[pic_ctrl.scala 27:20] + node out_id_2 = mux(_T_1570, level_intpend_id[0][5], level_intpend_id[0][4]) @[pic_ctrl.scala 27:9] + node _T_1571 = lt(level_intpend_w_prior_en[0][4], level_intpend_w_prior_en[0][5]) @[pic_ctrl.scala 27:60] + node out_priority_2 = mux(_T_1571, level_intpend_w_prior_en[0][5], level_intpend_w_prior_en[0][4]) @[pic_ctrl.scala 27:49] + level_intpend_id[1][2] <= out_id_2 @[pic_ctrl.scala 232:41] + level_intpend_w_prior_en[1][2] <= out_priority_2 @[pic_ctrl.scala 233:41] + node _T_1572 = lt(level_intpend_w_prior_en[0][6], level_intpend_w_prior_en[0][7]) @[pic_ctrl.scala 27:20] + node out_id_3 = mux(_T_1572, level_intpend_id[0][7], level_intpend_id[0][6]) @[pic_ctrl.scala 27:9] + node _T_1573 = lt(level_intpend_w_prior_en[0][6], level_intpend_w_prior_en[0][7]) @[pic_ctrl.scala 27:60] + node out_priority_3 = mux(_T_1573, level_intpend_w_prior_en[0][7], level_intpend_w_prior_en[0][6]) @[pic_ctrl.scala 27:49] + level_intpend_id[1][3] <= out_id_3 @[pic_ctrl.scala 232:41] + level_intpend_w_prior_en[1][3] <= out_priority_3 @[pic_ctrl.scala 233:41] + node _T_1574 = lt(level_intpend_w_prior_en[0][8], level_intpend_w_prior_en[0][9]) @[pic_ctrl.scala 27:20] + node out_id_4 = mux(_T_1574, level_intpend_id[0][9], level_intpend_id[0][8]) @[pic_ctrl.scala 27:9] + node _T_1575 = lt(level_intpend_w_prior_en[0][8], level_intpend_w_prior_en[0][9]) @[pic_ctrl.scala 27:60] + node out_priority_4 = mux(_T_1575, level_intpend_w_prior_en[0][9], level_intpend_w_prior_en[0][8]) @[pic_ctrl.scala 27:49] + level_intpend_id[1][4] <= out_id_4 @[pic_ctrl.scala 232:41] + level_intpend_w_prior_en[1][4] <= out_priority_4 @[pic_ctrl.scala 233:41] + node _T_1576 = lt(level_intpend_w_prior_en[0][10], level_intpend_w_prior_en[0][11]) @[pic_ctrl.scala 27:20] + node out_id_5 = mux(_T_1576, level_intpend_id[0][11], level_intpend_id[0][10]) @[pic_ctrl.scala 27:9] + node _T_1577 = lt(level_intpend_w_prior_en[0][10], level_intpend_w_prior_en[0][11]) @[pic_ctrl.scala 27:60] + node out_priority_5 = mux(_T_1577, level_intpend_w_prior_en[0][11], level_intpend_w_prior_en[0][10]) @[pic_ctrl.scala 27:49] + level_intpend_id[1][5] <= out_id_5 @[pic_ctrl.scala 232:41] + level_intpend_w_prior_en[1][5] <= out_priority_5 @[pic_ctrl.scala 233:41] + node _T_1578 = lt(level_intpend_w_prior_en[0][12], level_intpend_w_prior_en[0][13]) @[pic_ctrl.scala 27:20] + node out_id_6 = mux(_T_1578, level_intpend_id[0][13], level_intpend_id[0][12]) @[pic_ctrl.scala 27:9] + node _T_1579 = lt(level_intpend_w_prior_en[0][12], level_intpend_w_prior_en[0][13]) @[pic_ctrl.scala 27:60] + node out_priority_6 = mux(_T_1579, level_intpend_w_prior_en[0][13], level_intpend_w_prior_en[0][12]) @[pic_ctrl.scala 27:49] + level_intpend_id[1][6] <= out_id_6 @[pic_ctrl.scala 232:41] + level_intpend_w_prior_en[1][6] <= out_priority_6 @[pic_ctrl.scala 233:41] + node _T_1580 = lt(level_intpend_w_prior_en[0][14], level_intpend_w_prior_en[0][15]) @[pic_ctrl.scala 27:20] + node out_id_7 = mux(_T_1580, level_intpend_id[0][15], level_intpend_id[0][14]) @[pic_ctrl.scala 27:9] + node _T_1581 = lt(level_intpend_w_prior_en[0][14], level_intpend_w_prior_en[0][15]) @[pic_ctrl.scala 27:60] + node out_priority_7 = mux(_T_1581, level_intpend_w_prior_en[0][15], level_intpend_w_prior_en[0][14]) @[pic_ctrl.scala 27:49] + level_intpend_id[1][7] <= out_id_7 @[pic_ctrl.scala 232:41] + level_intpend_w_prior_en[1][7] <= out_priority_7 @[pic_ctrl.scala 233:41] + node _T_1582 = lt(level_intpend_w_prior_en[0][16], level_intpend_w_prior_en[0][17]) @[pic_ctrl.scala 27:20] + node out_id_8 = mux(_T_1582, level_intpend_id[0][17], level_intpend_id[0][16]) @[pic_ctrl.scala 27:9] + node _T_1583 = lt(level_intpend_w_prior_en[0][16], level_intpend_w_prior_en[0][17]) @[pic_ctrl.scala 27:60] + node out_priority_8 = mux(_T_1583, level_intpend_w_prior_en[0][17], level_intpend_w_prior_en[0][16]) @[pic_ctrl.scala 27:49] + level_intpend_id[1][8] <= out_id_8 @[pic_ctrl.scala 232:41] + level_intpend_w_prior_en[1][8] <= out_priority_8 @[pic_ctrl.scala 233:41] + node _T_1584 = lt(level_intpend_w_prior_en[0][18], level_intpend_w_prior_en[0][19]) @[pic_ctrl.scala 27:20] + node out_id_9 = mux(_T_1584, level_intpend_id[0][19], level_intpend_id[0][18]) @[pic_ctrl.scala 27:9] + node _T_1585 = lt(level_intpend_w_prior_en[0][18], level_intpend_w_prior_en[0][19]) @[pic_ctrl.scala 27:60] + node out_priority_9 = mux(_T_1585, level_intpend_w_prior_en[0][19], level_intpend_w_prior_en[0][18]) @[pic_ctrl.scala 27:49] + level_intpend_id[1][9] <= out_id_9 @[pic_ctrl.scala 232:41] + level_intpend_w_prior_en[1][9] <= out_priority_9 @[pic_ctrl.scala 233:41] + node _T_1586 = lt(level_intpend_w_prior_en[0][20], level_intpend_w_prior_en[0][21]) @[pic_ctrl.scala 27:20] + node out_id_10 = mux(_T_1586, level_intpend_id[0][21], level_intpend_id[0][20]) @[pic_ctrl.scala 27:9] + node _T_1587 = lt(level_intpend_w_prior_en[0][20], level_intpend_w_prior_en[0][21]) @[pic_ctrl.scala 27:60] + node out_priority_10 = mux(_T_1587, level_intpend_w_prior_en[0][21], level_intpend_w_prior_en[0][20]) @[pic_ctrl.scala 27:49] + level_intpend_id[1][10] <= out_id_10 @[pic_ctrl.scala 232:41] + level_intpend_w_prior_en[1][10] <= out_priority_10 @[pic_ctrl.scala 233:41] + node _T_1588 = lt(level_intpend_w_prior_en[0][22], level_intpend_w_prior_en[0][23]) @[pic_ctrl.scala 27:20] + node out_id_11 = mux(_T_1588, level_intpend_id[0][23], level_intpend_id[0][22]) @[pic_ctrl.scala 27:9] + node _T_1589 = lt(level_intpend_w_prior_en[0][22], level_intpend_w_prior_en[0][23]) @[pic_ctrl.scala 27:60] + node out_priority_11 = mux(_T_1589, level_intpend_w_prior_en[0][23], level_intpend_w_prior_en[0][22]) @[pic_ctrl.scala 27:49] + level_intpend_id[1][11] <= out_id_11 @[pic_ctrl.scala 232:41] + level_intpend_w_prior_en[1][11] <= out_priority_11 @[pic_ctrl.scala 233:41] + node _T_1590 = lt(level_intpend_w_prior_en[0][24], level_intpend_w_prior_en[0][25]) @[pic_ctrl.scala 27:20] + node out_id_12 = mux(_T_1590, level_intpend_id[0][25], level_intpend_id[0][24]) @[pic_ctrl.scala 27:9] + node _T_1591 = lt(level_intpend_w_prior_en[0][24], level_intpend_w_prior_en[0][25]) @[pic_ctrl.scala 27:60] + node out_priority_12 = mux(_T_1591, level_intpend_w_prior_en[0][25], level_intpend_w_prior_en[0][24]) @[pic_ctrl.scala 27:49] + level_intpend_id[1][12] <= out_id_12 @[pic_ctrl.scala 232:41] + level_intpend_w_prior_en[1][12] <= out_priority_12 @[pic_ctrl.scala 233:41] + node _T_1592 = lt(level_intpend_w_prior_en[0][26], level_intpend_w_prior_en[0][27]) @[pic_ctrl.scala 27:20] + node out_id_13 = mux(_T_1592, level_intpend_id[0][27], level_intpend_id[0][26]) @[pic_ctrl.scala 27:9] + node _T_1593 = lt(level_intpend_w_prior_en[0][26], level_intpend_w_prior_en[0][27]) @[pic_ctrl.scala 27:60] + node out_priority_13 = mux(_T_1593, level_intpend_w_prior_en[0][27], level_intpend_w_prior_en[0][26]) @[pic_ctrl.scala 27:49] + level_intpend_id[1][13] <= out_id_13 @[pic_ctrl.scala 232:41] + level_intpend_w_prior_en[1][13] <= out_priority_13 @[pic_ctrl.scala 233:41] + node _T_1594 = lt(level_intpend_w_prior_en[0][28], level_intpend_w_prior_en[0][29]) @[pic_ctrl.scala 27:20] + node out_id_14 = mux(_T_1594, level_intpend_id[0][29], level_intpend_id[0][28]) @[pic_ctrl.scala 27:9] + node _T_1595 = lt(level_intpend_w_prior_en[0][28], level_intpend_w_prior_en[0][29]) @[pic_ctrl.scala 27:60] + node out_priority_14 = mux(_T_1595, level_intpend_w_prior_en[0][29], level_intpend_w_prior_en[0][28]) @[pic_ctrl.scala 27:49] + level_intpend_id[1][14] <= out_id_14 @[pic_ctrl.scala 232:41] + level_intpend_w_prior_en[1][14] <= out_priority_14 @[pic_ctrl.scala 233:41] + node _T_1596 = lt(level_intpend_w_prior_en[0][30], level_intpend_w_prior_en[0][31]) @[pic_ctrl.scala 27:20] + node out_id_15 = mux(_T_1596, level_intpend_id[0][31], level_intpend_id[0][30]) @[pic_ctrl.scala 27:9] + node _T_1597 = lt(level_intpend_w_prior_en[0][30], level_intpend_w_prior_en[0][31]) @[pic_ctrl.scala 27:60] + node out_priority_15 = mux(_T_1597, level_intpend_w_prior_en[0][31], level_intpend_w_prior_en[0][30]) @[pic_ctrl.scala 27:49] + level_intpend_id[1][15] <= out_id_15 @[pic_ctrl.scala 232:41] + level_intpend_w_prior_en[1][15] <= out_priority_15 @[pic_ctrl.scala 233:41] + level_intpend_w_prior_en[1][17] <= UInt<1>("h00") @[pic_ctrl.scala 228:44] + level_intpend_id[1][17] <= UInt<1>("h00") @[pic_ctrl.scala 229:44] + node _T_1598 = lt(level_intpend_w_prior_en[0][32], level_intpend_w_prior_en[0][33]) @[pic_ctrl.scala 27:20] + node out_id_16 = mux(_T_1598, level_intpend_id[0][33], level_intpend_id[0][32]) @[pic_ctrl.scala 27:9] + node _T_1599 = lt(level_intpend_w_prior_en[0][32], level_intpend_w_prior_en[0][33]) @[pic_ctrl.scala 27:60] + node out_priority_16 = mux(_T_1599, level_intpend_w_prior_en[0][33], level_intpend_w_prior_en[0][32]) @[pic_ctrl.scala 27:49] + level_intpend_id[1][16] <= out_id_16 @[pic_ctrl.scala 232:41] + level_intpend_w_prior_en[1][16] <= out_priority_16 @[pic_ctrl.scala 233:41] + node _T_1600 = lt(level_intpend_w_prior_en[1][0], level_intpend_w_prior_en[1][1]) @[pic_ctrl.scala 27:20] + node out_id_17 = mux(_T_1600, level_intpend_id[1][1], level_intpend_id[1][0]) @[pic_ctrl.scala 27:9] + node _T_1601 = lt(level_intpend_w_prior_en[1][0], level_intpend_w_prior_en[1][1]) @[pic_ctrl.scala 27:60] + node out_priority_17 = mux(_T_1601, level_intpend_w_prior_en[1][1], level_intpend_w_prior_en[1][0]) @[pic_ctrl.scala 27:49] + level_intpend_id[2][0] <= out_id_17 @[pic_ctrl.scala 232:41] + level_intpend_w_prior_en[2][0] <= out_priority_17 @[pic_ctrl.scala 233:41] + node _T_1602 = lt(level_intpend_w_prior_en[1][2], level_intpend_w_prior_en[1][3]) @[pic_ctrl.scala 27:20] + node out_id_18 = mux(_T_1602, level_intpend_id[1][3], level_intpend_id[1][2]) @[pic_ctrl.scala 27:9] + node _T_1603 = lt(level_intpend_w_prior_en[1][2], level_intpend_w_prior_en[1][3]) @[pic_ctrl.scala 27:60] + node out_priority_18 = mux(_T_1603, level_intpend_w_prior_en[1][3], level_intpend_w_prior_en[1][2]) @[pic_ctrl.scala 27:49] + level_intpend_id[2][1] <= out_id_18 @[pic_ctrl.scala 232:41] + level_intpend_w_prior_en[2][1] <= out_priority_18 @[pic_ctrl.scala 233:41] + node _T_1604 = lt(level_intpend_w_prior_en[1][4], level_intpend_w_prior_en[1][5]) @[pic_ctrl.scala 27:20] + node out_id_19 = mux(_T_1604, level_intpend_id[1][5], level_intpend_id[1][4]) @[pic_ctrl.scala 27:9] + node _T_1605 = lt(level_intpend_w_prior_en[1][4], level_intpend_w_prior_en[1][5]) @[pic_ctrl.scala 27:60] + node out_priority_19 = mux(_T_1605, level_intpend_w_prior_en[1][5], level_intpend_w_prior_en[1][4]) @[pic_ctrl.scala 27:49] + level_intpend_id[2][2] <= out_id_19 @[pic_ctrl.scala 232:41] + level_intpend_w_prior_en[2][2] <= out_priority_19 @[pic_ctrl.scala 233:41] + node _T_1606 = lt(level_intpend_w_prior_en[1][6], level_intpend_w_prior_en[1][7]) @[pic_ctrl.scala 27:20] + node out_id_20 = mux(_T_1606, level_intpend_id[1][7], level_intpend_id[1][6]) @[pic_ctrl.scala 27:9] + node _T_1607 = lt(level_intpend_w_prior_en[1][6], level_intpend_w_prior_en[1][7]) @[pic_ctrl.scala 27:60] + node out_priority_20 = mux(_T_1607, level_intpend_w_prior_en[1][7], level_intpend_w_prior_en[1][6]) @[pic_ctrl.scala 27:49] + level_intpend_id[2][3] <= out_id_20 @[pic_ctrl.scala 232:41] + level_intpend_w_prior_en[2][3] <= out_priority_20 @[pic_ctrl.scala 233:41] + node _T_1608 = lt(level_intpend_w_prior_en[1][8], level_intpend_w_prior_en[1][9]) @[pic_ctrl.scala 27:20] + node out_id_21 = mux(_T_1608, level_intpend_id[1][9], level_intpend_id[1][8]) @[pic_ctrl.scala 27:9] + node _T_1609 = lt(level_intpend_w_prior_en[1][8], level_intpend_w_prior_en[1][9]) @[pic_ctrl.scala 27:60] + node out_priority_21 = mux(_T_1609, level_intpend_w_prior_en[1][9], level_intpend_w_prior_en[1][8]) @[pic_ctrl.scala 27:49] + level_intpend_id[2][4] <= out_id_21 @[pic_ctrl.scala 232:41] + level_intpend_w_prior_en[2][4] <= out_priority_21 @[pic_ctrl.scala 233:41] + node _T_1610 = lt(level_intpend_w_prior_en[1][10], level_intpend_w_prior_en[1][11]) @[pic_ctrl.scala 27:20] + node out_id_22 = mux(_T_1610, level_intpend_id[1][11], level_intpend_id[1][10]) @[pic_ctrl.scala 27:9] + node _T_1611 = lt(level_intpend_w_prior_en[1][10], level_intpend_w_prior_en[1][11]) @[pic_ctrl.scala 27:60] + node out_priority_22 = mux(_T_1611, level_intpend_w_prior_en[1][11], level_intpend_w_prior_en[1][10]) @[pic_ctrl.scala 27:49] + level_intpend_id[2][5] <= out_id_22 @[pic_ctrl.scala 232:41] + level_intpend_w_prior_en[2][5] <= out_priority_22 @[pic_ctrl.scala 233:41] + node _T_1612 = lt(level_intpend_w_prior_en[1][12], level_intpend_w_prior_en[1][13]) @[pic_ctrl.scala 27:20] + node out_id_23 = mux(_T_1612, level_intpend_id[1][13], level_intpend_id[1][12]) @[pic_ctrl.scala 27:9] + node _T_1613 = lt(level_intpend_w_prior_en[1][12], level_intpend_w_prior_en[1][13]) @[pic_ctrl.scala 27:60] + node out_priority_23 = mux(_T_1613, level_intpend_w_prior_en[1][13], level_intpend_w_prior_en[1][12]) @[pic_ctrl.scala 27:49] + level_intpend_id[2][6] <= out_id_23 @[pic_ctrl.scala 232:41] + level_intpend_w_prior_en[2][6] <= out_priority_23 @[pic_ctrl.scala 233:41] + node _T_1614 = lt(level_intpend_w_prior_en[1][14], level_intpend_w_prior_en[1][15]) @[pic_ctrl.scala 27:20] + node out_id_24 = mux(_T_1614, level_intpend_id[1][15], level_intpend_id[1][14]) @[pic_ctrl.scala 27:9] + node _T_1615 = lt(level_intpend_w_prior_en[1][14], level_intpend_w_prior_en[1][15]) @[pic_ctrl.scala 27:60] + node out_priority_24 = mux(_T_1615, level_intpend_w_prior_en[1][15], level_intpend_w_prior_en[1][14]) @[pic_ctrl.scala 27:49] + level_intpend_id[2][7] <= out_id_24 @[pic_ctrl.scala 232:41] + level_intpend_w_prior_en[2][7] <= out_priority_24 @[pic_ctrl.scala 233:41] + level_intpend_w_prior_en[2][9] <= UInt<1>("h00") @[pic_ctrl.scala 228:44] + level_intpend_id[2][9] <= UInt<1>("h00") @[pic_ctrl.scala 229:44] + node _T_1616 = lt(level_intpend_w_prior_en[1][16], level_intpend_w_prior_en[1][17]) @[pic_ctrl.scala 27:20] + node out_id_25 = mux(_T_1616, level_intpend_id[1][17], level_intpend_id[1][16]) @[pic_ctrl.scala 27:9] + node _T_1617 = lt(level_intpend_w_prior_en[1][16], level_intpend_w_prior_en[1][17]) @[pic_ctrl.scala 27:60] + node out_priority_25 = mux(_T_1617, level_intpend_w_prior_en[1][17], level_intpend_w_prior_en[1][16]) @[pic_ctrl.scala 27:49] + level_intpend_id[2][8] <= out_id_25 @[pic_ctrl.scala 232:41] + level_intpend_w_prior_en[2][8] <= out_priority_25 @[pic_ctrl.scala 233:41] + node _T_1618 = lt(level_intpend_w_prior_en[2][0], level_intpend_w_prior_en[2][1]) @[pic_ctrl.scala 27:20] + node out_id_26 = mux(_T_1618, level_intpend_id[2][1], level_intpend_id[2][0]) @[pic_ctrl.scala 27:9] + node _T_1619 = lt(level_intpend_w_prior_en[2][0], level_intpend_w_prior_en[2][1]) @[pic_ctrl.scala 27:60] + node out_priority_26 = mux(_T_1619, level_intpend_w_prior_en[2][1], level_intpend_w_prior_en[2][0]) @[pic_ctrl.scala 27:49] + level_intpend_id[3][0] <= out_id_26 @[pic_ctrl.scala 232:41] + level_intpend_w_prior_en[3][0] <= out_priority_26 @[pic_ctrl.scala 233:41] + node _T_1620 = lt(level_intpend_w_prior_en[2][2], level_intpend_w_prior_en[2][3]) @[pic_ctrl.scala 27:20] + node out_id_27 = mux(_T_1620, level_intpend_id[2][3], level_intpend_id[2][2]) @[pic_ctrl.scala 27:9] + node _T_1621 = lt(level_intpend_w_prior_en[2][2], level_intpend_w_prior_en[2][3]) @[pic_ctrl.scala 27:60] + node out_priority_27 = mux(_T_1621, level_intpend_w_prior_en[2][3], level_intpend_w_prior_en[2][2]) @[pic_ctrl.scala 27:49] + level_intpend_id[3][1] <= out_id_27 @[pic_ctrl.scala 232:41] + level_intpend_w_prior_en[3][1] <= out_priority_27 @[pic_ctrl.scala 233:41] + node _T_1622 = lt(level_intpend_w_prior_en[2][4], level_intpend_w_prior_en[2][5]) @[pic_ctrl.scala 27:20] + node out_id_28 = mux(_T_1622, level_intpend_id[2][5], level_intpend_id[2][4]) @[pic_ctrl.scala 27:9] + node _T_1623 = lt(level_intpend_w_prior_en[2][4], level_intpend_w_prior_en[2][5]) @[pic_ctrl.scala 27:60] + node out_priority_28 = mux(_T_1623, level_intpend_w_prior_en[2][5], level_intpend_w_prior_en[2][4]) @[pic_ctrl.scala 27:49] + level_intpend_id[3][2] <= out_id_28 @[pic_ctrl.scala 232:41] + level_intpend_w_prior_en[3][2] <= out_priority_28 @[pic_ctrl.scala 233:41] + node _T_1624 = lt(level_intpend_w_prior_en[2][6], level_intpend_w_prior_en[2][7]) @[pic_ctrl.scala 27:20] + node out_id_29 = mux(_T_1624, level_intpend_id[2][7], level_intpend_id[2][6]) @[pic_ctrl.scala 27:9] + node _T_1625 = lt(level_intpend_w_prior_en[2][6], level_intpend_w_prior_en[2][7]) @[pic_ctrl.scala 27:60] + node out_priority_29 = mux(_T_1625, level_intpend_w_prior_en[2][7], level_intpend_w_prior_en[2][6]) @[pic_ctrl.scala 27:49] + level_intpend_id[3][3] <= out_id_29 @[pic_ctrl.scala 232:41] + level_intpend_w_prior_en[3][3] <= out_priority_29 @[pic_ctrl.scala 233:41] + level_intpend_w_prior_en[3][5] <= UInt<1>("h00") @[pic_ctrl.scala 228:44] + level_intpend_id[3][5] <= UInt<1>("h00") @[pic_ctrl.scala 229:44] + node _T_1626 = lt(level_intpend_w_prior_en[2][8], level_intpend_w_prior_en[2][9]) @[pic_ctrl.scala 27:20] + node out_id_30 = mux(_T_1626, level_intpend_id[2][9], level_intpend_id[2][8]) @[pic_ctrl.scala 27:9] + node _T_1627 = lt(level_intpend_w_prior_en[2][8], level_intpend_w_prior_en[2][9]) @[pic_ctrl.scala 27:60] + node out_priority_30 = mux(_T_1627, level_intpend_w_prior_en[2][9], level_intpend_w_prior_en[2][8]) @[pic_ctrl.scala 27:49] + level_intpend_id[3][4] <= out_id_30 @[pic_ctrl.scala 232:41] + level_intpend_w_prior_en[3][4] <= out_priority_30 @[pic_ctrl.scala 233:41] + node _T_1628 = lt(level_intpend_w_prior_en[3][0], level_intpend_w_prior_en[3][1]) @[pic_ctrl.scala 27:20] + node out_id_31 = mux(_T_1628, level_intpend_id[3][1], level_intpend_id[3][0]) @[pic_ctrl.scala 27:9] + node _T_1629 = lt(level_intpend_w_prior_en[3][0], level_intpend_w_prior_en[3][1]) @[pic_ctrl.scala 27:60] + node out_priority_31 = mux(_T_1629, level_intpend_w_prior_en[3][1], level_intpend_w_prior_en[3][0]) @[pic_ctrl.scala 27:49] + level_intpend_id[4][0] <= out_id_31 @[pic_ctrl.scala 232:41] + level_intpend_w_prior_en[4][0] <= out_priority_31 @[pic_ctrl.scala 233:41] + node _T_1630 = lt(level_intpend_w_prior_en[3][2], level_intpend_w_prior_en[3][3]) @[pic_ctrl.scala 27:20] + node out_id_32 = mux(_T_1630, level_intpend_id[3][3], level_intpend_id[3][2]) @[pic_ctrl.scala 27:9] + node _T_1631 = lt(level_intpend_w_prior_en[3][2], level_intpend_w_prior_en[3][3]) @[pic_ctrl.scala 27:60] + node out_priority_32 = mux(_T_1631, level_intpend_w_prior_en[3][3], level_intpend_w_prior_en[3][2]) @[pic_ctrl.scala 27:49] + level_intpend_id[4][1] <= out_id_32 @[pic_ctrl.scala 232:41] + level_intpend_w_prior_en[4][1] <= out_priority_32 @[pic_ctrl.scala 233:41] + level_intpend_w_prior_en[4][3] <= UInt<1>("h00") @[pic_ctrl.scala 228:44] + level_intpend_id[4][3] <= UInt<1>("h00") @[pic_ctrl.scala 229:44] + node _T_1632 = lt(level_intpend_w_prior_en[3][4], level_intpend_w_prior_en[3][5]) @[pic_ctrl.scala 27:20] + node out_id_33 = mux(_T_1632, level_intpend_id[3][5], level_intpend_id[3][4]) @[pic_ctrl.scala 27:9] + node _T_1633 = lt(level_intpend_w_prior_en[3][4], level_intpend_w_prior_en[3][5]) @[pic_ctrl.scala 27:60] + node out_priority_33 = mux(_T_1633, level_intpend_w_prior_en[3][5], level_intpend_w_prior_en[3][4]) @[pic_ctrl.scala 27:49] + level_intpend_id[4][2] <= out_id_33 @[pic_ctrl.scala 232:41] + level_intpend_w_prior_en[4][2] <= out_priority_33 @[pic_ctrl.scala 233:41] + node _T_1634 = lt(level_intpend_w_prior_en[4][0], level_intpend_w_prior_en[4][1]) @[pic_ctrl.scala 27:20] + node out_id_34 = mux(_T_1634, level_intpend_id[4][1], level_intpend_id[4][0]) @[pic_ctrl.scala 27:9] + node _T_1635 = lt(level_intpend_w_prior_en[4][0], level_intpend_w_prior_en[4][1]) @[pic_ctrl.scala 27:60] + node out_priority_34 = mux(_T_1635, level_intpend_w_prior_en[4][1], level_intpend_w_prior_en[4][0]) @[pic_ctrl.scala 27:49] + level_intpend_id[5][0] <= out_id_34 @[pic_ctrl.scala 232:41] + level_intpend_w_prior_en[5][0] <= out_priority_34 @[pic_ctrl.scala 233:41] + level_intpend_w_prior_en[5][2] <= UInt<1>("h00") @[pic_ctrl.scala 228:44] + level_intpend_id[5][2] <= UInt<1>("h00") @[pic_ctrl.scala 229:44] + node _T_1636 = lt(level_intpend_w_prior_en[4][2], level_intpend_w_prior_en[4][3]) @[pic_ctrl.scala 27:20] + node out_id_35 = mux(_T_1636, level_intpend_id[4][3], level_intpend_id[4][2]) @[pic_ctrl.scala 27:9] + node _T_1637 = lt(level_intpend_w_prior_en[4][2], level_intpend_w_prior_en[4][3]) @[pic_ctrl.scala 27:60] + node out_priority_35 = mux(_T_1637, level_intpend_w_prior_en[4][3], level_intpend_w_prior_en[4][2]) @[pic_ctrl.scala 27:49] + level_intpend_id[5][1] <= out_id_35 @[pic_ctrl.scala 232:41] + level_intpend_w_prior_en[5][1] <= out_priority_35 @[pic_ctrl.scala 233:41] + claimid_in <= level_intpend_id[5][0] @[pic_ctrl.scala 236:29] + selected_int_priority <= level_intpend_w_prior_en[5][0] @[pic_ctrl.scala 237:29] + node config_reg_we = and(waddr_config_pic_match, picm_wren_ff) @[pic_ctrl.scala 249:47] + node config_reg_re = and(raddr_config_pic_match, picm_rden_ff) @[pic_ctrl.scala 250:47] + node config_reg_in = bits(picm_wr_data_ff, 0, 0) @[pic_ctrl.scala 251:39] + node _T_1638 = bits(config_reg_we, 0, 0) @[pic_ctrl.scala 252:82] + reg _T_1639 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1638 : @[Reg.scala 28:19] + _T_1639 <= config_reg_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + config_reg <= _T_1639 @[pic_ctrl.scala 252:37] + intpriord <= config_reg @[pic_ctrl.scala 253:14] + node _T_1640 = bits(intpriord, 0, 0) @[pic_ctrl.scala 261:31] + node _T_1641 = not(selected_int_priority) @[pic_ctrl.scala 261:38] + node pl_in_q = mux(_T_1640, _T_1641, selected_int_priority) @[pic_ctrl.scala 261:20] + reg _T_1642 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[pic_ctrl.scala 262:59] + _T_1642 <= claimid_in @[pic_ctrl.scala 262:59] + io.dec_pic.pic_claimid <= _T_1642 @[pic_ctrl.scala 262:49] + reg _T_1643 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[pic_ctrl.scala 263:54] + _T_1643 <= pl_in_q @[pic_ctrl.scala 263:54] + io.dec_pic.pic_pl <= _T_1643 @[pic_ctrl.scala 263:44] + node _T_1644 = bits(intpriord, 0, 0) @[pic_ctrl.scala 264:33] + node _T_1645 = not(io.dec_pic.dec_tlu_meipt) @[pic_ctrl.scala 264:40] + node meipt_inv = mux(_T_1644, _T_1645, io.dec_pic.dec_tlu_meipt) @[pic_ctrl.scala 264:22] + node _T_1646 = bits(intpriord, 0, 0) @[pic_ctrl.scala 265:36] + node _T_1647 = not(io.dec_pic.dec_tlu_meicurpl) @[pic_ctrl.scala 265:43] + node meicurpl_inv = mux(_T_1646, _T_1647, io.dec_pic.dec_tlu_meicurpl) @[pic_ctrl.scala 265:25] + node _T_1648 = gt(selected_int_priority, meipt_inv) @[pic_ctrl.scala 266:47] + node _T_1649 = gt(selected_int_priority, meicurpl_inv) @[pic_ctrl.scala 266:86] + node mexintpend_in = and(_T_1648, _T_1649) @[pic_ctrl.scala 266:60] + reg _T_1650 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[pic_ctrl.scala 267:58] + _T_1650 <= mexintpend_in @[pic_ctrl.scala 267:58] + io.dec_pic.mexintpend <= _T_1650 @[pic_ctrl.scala 267:25] + node _T_1651 = bits(intpriord, 0, 0) @[pic_ctrl.scala 268:30] + node maxint = mux(_T_1651, UInt<1>("h00"), UInt<4>("h0f")) @[pic_ctrl.scala 268:19] + node mhwakeup_in = eq(pl_in_q, maxint) @[pic_ctrl.scala 269:29] + reg _T_1652 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[pic_ctrl.scala 270:56] + _T_1652 <= mhwakeup_in @[pic_ctrl.scala 270:56] + io.dec_pic.mhwakeup <= _T_1652 @[pic_ctrl.scala 270:23] + node intpend_reg_read = and(addr_intpend_base_match, picm_rden_ff) @[pic_ctrl.scala 276:60] + node intpriority_reg_read = and(raddr_intpriority_base_match, picm_rden_ff) @[pic_ctrl.scala 277:60] + node intenable_reg_read = and(raddr_intenable_base_match, picm_rden_ff) @[pic_ctrl.scala 278:60] + node gw_config_reg_read = and(raddr_config_gw_base_match, picm_rden_ff) @[pic_ctrl.scala 279:60] + node _T_1653 = mux(UInt<1>("h00"), UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_1654 = cat(extintsrc_req_gw_31, extintsrc_req_gw_30) @[Cat.scala 29:58] + node _T_1655 = cat(_T_1654, extintsrc_req_gw_29) @[Cat.scala 29:58] + node _T_1656 = cat(_T_1655, extintsrc_req_gw_28) @[Cat.scala 29:58] + node _T_1657 = cat(_T_1656, extintsrc_req_gw_27) @[Cat.scala 29:58] + node _T_1658 = cat(_T_1657, extintsrc_req_gw_26) @[Cat.scala 29:58] + node _T_1659 = cat(_T_1658, extintsrc_req_gw_25) @[Cat.scala 29:58] + node _T_1660 = cat(_T_1659, extintsrc_req_gw_24) @[Cat.scala 29:58] + node _T_1661 = cat(_T_1660, extintsrc_req_gw_23) @[Cat.scala 29:58] + node _T_1662 = cat(_T_1661, extintsrc_req_gw_22) @[Cat.scala 29:58] + node _T_1663 = cat(_T_1662, extintsrc_req_gw_21) @[Cat.scala 29:58] + node _T_1664 = cat(_T_1663, extintsrc_req_gw_20) @[Cat.scala 29:58] + node _T_1665 = cat(_T_1664, extintsrc_req_gw_19) @[Cat.scala 29:58] + node _T_1666 = cat(_T_1665, extintsrc_req_gw_18) @[Cat.scala 29:58] + node _T_1667 = cat(_T_1666, extintsrc_req_gw_17) @[Cat.scala 29:58] + node _T_1668 = cat(_T_1667, extintsrc_req_gw_16) @[Cat.scala 29:58] + node _T_1669 = cat(_T_1668, extintsrc_req_gw_15) @[Cat.scala 29:58] + node _T_1670 = cat(_T_1669, extintsrc_req_gw_14) @[Cat.scala 29:58] + node _T_1671 = cat(_T_1670, extintsrc_req_gw_13) @[Cat.scala 29:58] + node _T_1672 = cat(_T_1671, extintsrc_req_gw_12) @[Cat.scala 29:58] + node _T_1673 = cat(_T_1672, extintsrc_req_gw_11) @[Cat.scala 29:58] + node _T_1674 = cat(_T_1673, extintsrc_req_gw_10) @[Cat.scala 29:58] + node _T_1675 = cat(_T_1674, extintsrc_req_gw_9) @[Cat.scala 29:58] + node _T_1676 = cat(_T_1675, extintsrc_req_gw_8) @[Cat.scala 29:58] + node _T_1677 = cat(_T_1676, extintsrc_req_gw_7) @[Cat.scala 29:58] + node _T_1678 = cat(_T_1677, extintsrc_req_gw_6) @[Cat.scala 29:58] + node _T_1679 = cat(_T_1678, extintsrc_req_gw_5) @[Cat.scala 29:58] + node _T_1680 = cat(_T_1679, extintsrc_req_gw_4) @[Cat.scala 29:58] + node _T_1681 = cat(_T_1680, extintsrc_req_gw_3) @[Cat.scala 29:58] + node _T_1682 = cat(_T_1681, extintsrc_req_gw_2) @[Cat.scala 29:58] + node _T_1683 = cat(_T_1682, extintsrc_req_gw_1) @[Cat.scala 29:58] + node _T_1684 = cat(_T_1683, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_1685 = cat(_T_1653, _T_1684) @[Cat.scala 29:58] + intpend_reg_extended <= _T_1685 @[pic_ctrl.scala 281:25] + wire intpend_rd_part_out : UInt<32>[2] @[pic_ctrl.scala 283:33] + node _T_1686 = bits(picm_raddr_ff, 5, 2) @[pic_ctrl.scala 284:101] + node _T_1687 = eq(_T_1686, UInt<1>("h00")) @[pic_ctrl.scala 284:107] + node _T_1688 = and(intpend_reg_read, _T_1687) @[pic_ctrl.scala 284:85] + node _T_1689 = bits(_T_1688, 0, 0) @[Bitwise.scala 72:15] + node _T_1690 = mux(_T_1689, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_1691 = bits(intpend_reg_extended, 31, 0) @[pic_ctrl.scala 284:145] + node _T_1692 = and(_T_1690, _T_1691) @[pic_ctrl.scala 284:123] + intpend_rd_part_out[0] <= _T_1692 @[pic_ctrl.scala 284:56] + node _T_1693 = bits(picm_raddr_ff, 5, 2) @[pic_ctrl.scala 284:101] + node _T_1694 = eq(_T_1693, UInt<1>("h01")) @[pic_ctrl.scala 284:107] + node _T_1695 = and(intpend_reg_read, _T_1694) @[pic_ctrl.scala 284:85] + node _T_1696 = bits(_T_1695, 0, 0) @[Bitwise.scala 72:15] + node _T_1697 = mux(_T_1696, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_1698 = bits(intpend_reg_extended, 63, 32) @[pic_ctrl.scala 284:145] + node _T_1699 = and(_T_1697, _T_1698) @[pic_ctrl.scala 284:123] + intpend_rd_part_out[1] <= _T_1699 @[pic_ctrl.scala 284:56] + node _T_1700 = or(intpend_rd_part_out[0], intpend_rd_part_out[1]) @[pic_ctrl.scala 285:58] + intpend_rd_out <= _T_1700 @[pic_ctrl.scala 285:26] + node _T_1701 = bits(intenable_reg_re_1, 0, 0) @[pic_ctrl.scala 287:97] + node _T_1702 = bits(intenable_reg_re_2, 0, 0) @[pic_ctrl.scala 287:97] + node _T_1703 = bits(intenable_reg_re_3, 0, 0) @[pic_ctrl.scala 287:97] + node _T_1704 = bits(intenable_reg_re_4, 0, 0) @[pic_ctrl.scala 287:97] + node _T_1705 = bits(intenable_reg_re_5, 0, 0) @[pic_ctrl.scala 287:97] + node _T_1706 = bits(intenable_reg_re_6, 0, 0) @[pic_ctrl.scala 287:97] + node _T_1707 = bits(intenable_reg_re_7, 0, 0) @[pic_ctrl.scala 287:97] + node _T_1708 = bits(intenable_reg_re_8, 0, 0) @[pic_ctrl.scala 287:97] + node _T_1709 = bits(intenable_reg_re_9, 0, 0) @[pic_ctrl.scala 287:97] + node _T_1710 = bits(intenable_reg_re_10, 0, 0) @[pic_ctrl.scala 287:97] + node _T_1711 = bits(intenable_reg_re_11, 0, 0) @[pic_ctrl.scala 287:97] + node _T_1712 = bits(intenable_reg_re_12, 0, 0) @[pic_ctrl.scala 287:97] + node _T_1713 = bits(intenable_reg_re_13, 0, 0) @[pic_ctrl.scala 287:97] + node _T_1714 = bits(intenable_reg_re_14, 0, 0) @[pic_ctrl.scala 287:97] + node _T_1715 = bits(intenable_reg_re_15, 0, 0) @[pic_ctrl.scala 287:97] + node _T_1716 = bits(intenable_reg_re_16, 0, 0) @[pic_ctrl.scala 287:97] + node _T_1717 = bits(intenable_reg_re_17, 0, 0) @[pic_ctrl.scala 287:97] + node _T_1718 = bits(intenable_reg_re_18, 0, 0) @[pic_ctrl.scala 287:97] + node _T_1719 = bits(intenable_reg_re_19, 0, 0) @[pic_ctrl.scala 287:97] + node _T_1720 = bits(intenable_reg_re_20, 0, 0) @[pic_ctrl.scala 287:97] + node _T_1721 = bits(intenable_reg_re_21, 0, 0) @[pic_ctrl.scala 287:97] + node _T_1722 = bits(intenable_reg_re_22, 0, 0) @[pic_ctrl.scala 287:97] + node _T_1723 = bits(intenable_reg_re_23, 0, 0) @[pic_ctrl.scala 287:97] + node _T_1724 = bits(intenable_reg_re_24, 0, 0) @[pic_ctrl.scala 287:97] + node _T_1725 = bits(intenable_reg_re_25, 0, 0) @[pic_ctrl.scala 287:97] + node _T_1726 = bits(intenable_reg_re_26, 0, 0) @[pic_ctrl.scala 287:97] + node _T_1727 = bits(intenable_reg_re_27, 0, 0) @[pic_ctrl.scala 287:97] + node _T_1728 = bits(intenable_reg_re_28, 0, 0) @[pic_ctrl.scala 287:97] + node _T_1729 = bits(intenable_reg_re_29, 0, 0) @[pic_ctrl.scala 287:97] + node _T_1730 = bits(intenable_reg_re_30, 0, 0) @[pic_ctrl.scala 287:97] + node _T_1731 = bits(intenable_reg_re_31, 0, 0) @[pic_ctrl.scala 287:97] + node _T_1732 = mux(_T_1731, intenable_reg[31], UInt<1>("h00")) @[Mux.scala 98:16] + node _T_1733 = mux(_T_1730, intenable_reg[30], _T_1732) @[Mux.scala 98:16] + node _T_1734 = mux(_T_1729, intenable_reg[29], _T_1733) @[Mux.scala 98:16] + node _T_1735 = mux(_T_1728, intenable_reg[28], _T_1734) @[Mux.scala 98:16] + node _T_1736 = mux(_T_1727, intenable_reg[27], _T_1735) @[Mux.scala 98:16] + node _T_1737 = mux(_T_1726, intenable_reg[26], _T_1736) @[Mux.scala 98:16] + node _T_1738 = mux(_T_1725, intenable_reg[25], _T_1737) @[Mux.scala 98:16] + node _T_1739 = mux(_T_1724, intenable_reg[24], _T_1738) @[Mux.scala 98:16] + node _T_1740 = mux(_T_1723, intenable_reg[23], _T_1739) @[Mux.scala 98:16] + node _T_1741 = mux(_T_1722, intenable_reg[22], _T_1740) @[Mux.scala 98:16] + node _T_1742 = mux(_T_1721, intenable_reg[21], _T_1741) @[Mux.scala 98:16] + node _T_1743 = mux(_T_1720, intenable_reg[20], _T_1742) @[Mux.scala 98:16] + node _T_1744 = mux(_T_1719, intenable_reg[19], _T_1743) @[Mux.scala 98:16] + node _T_1745 = mux(_T_1718, intenable_reg[18], _T_1744) @[Mux.scala 98:16] + node _T_1746 = mux(_T_1717, intenable_reg[17], _T_1745) @[Mux.scala 98:16] + node _T_1747 = mux(_T_1716, intenable_reg[16], _T_1746) @[Mux.scala 98:16] + node _T_1748 = mux(_T_1715, intenable_reg[15], _T_1747) @[Mux.scala 98:16] + node _T_1749 = mux(_T_1714, intenable_reg[14], _T_1748) @[Mux.scala 98:16] + node _T_1750 = mux(_T_1713, intenable_reg[13], _T_1749) @[Mux.scala 98:16] + node _T_1751 = mux(_T_1712, intenable_reg[12], _T_1750) @[Mux.scala 98:16] + node _T_1752 = mux(_T_1711, intenable_reg[11], _T_1751) @[Mux.scala 98:16] + node _T_1753 = mux(_T_1710, intenable_reg[10], _T_1752) @[Mux.scala 98:16] + node _T_1754 = mux(_T_1709, intenable_reg[9], _T_1753) @[Mux.scala 98:16] + node _T_1755 = mux(_T_1708, intenable_reg[8], _T_1754) @[Mux.scala 98:16] + node _T_1756 = mux(_T_1707, intenable_reg[7], _T_1755) @[Mux.scala 98:16] + node _T_1757 = mux(_T_1706, intenable_reg[6], _T_1756) @[Mux.scala 98:16] + node _T_1758 = mux(_T_1705, intenable_reg[5], _T_1757) @[Mux.scala 98:16] + node _T_1759 = mux(_T_1704, intenable_reg[4], _T_1758) @[Mux.scala 98:16] + node _T_1760 = mux(_T_1703, intenable_reg[3], _T_1759) @[Mux.scala 98:16] + node _T_1761 = mux(_T_1702, intenable_reg[2], _T_1760) @[Mux.scala 98:16] + node _T_1762 = mux(_T_1701, intenable_reg[1], _T_1761) @[Mux.scala 98:16] + node intenable_rd_out = mux(UInt<1>("h00"), intenable_reg[0], _T_1762) @[Mux.scala 98:16] + node _T_1763 = bits(intpriority_reg_re_1, 0, 0) @[pic_ctrl.scala 288:102] + node _T_1764 = bits(intpriority_reg_re_2, 0, 0) @[pic_ctrl.scala 288:102] + node _T_1765 = bits(intpriority_reg_re_3, 0, 0) @[pic_ctrl.scala 288:102] + node _T_1766 = bits(intpriority_reg_re_4, 0, 0) @[pic_ctrl.scala 288:102] + node _T_1767 = bits(intpriority_reg_re_5, 0, 0) @[pic_ctrl.scala 288:102] + node _T_1768 = bits(intpriority_reg_re_6, 0, 0) @[pic_ctrl.scala 288:102] + node _T_1769 = bits(intpriority_reg_re_7, 0, 0) @[pic_ctrl.scala 288:102] + node _T_1770 = bits(intpriority_reg_re_8, 0, 0) @[pic_ctrl.scala 288:102] + node _T_1771 = bits(intpriority_reg_re_9, 0, 0) @[pic_ctrl.scala 288:102] + node _T_1772 = bits(intpriority_reg_re_10, 0, 0) @[pic_ctrl.scala 288:102] + node _T_1773 = bits(intpriority_reg_re_11, 0, 0) @[pic_ctrl.scala 288:102] + node _T_1774 = bits(intpriority_reg_re_12, 0, 0) @[pic_ctrl.scala 288:102] + node _T_1775 = bits(intpriority_reg_re_13, 0, 0) @[pic_ctrl.scala 288:102] + node _T_1776 = bits(intpriority_reg_re_14, 0, 0) @[pic_ctrl.scala 288:102] + node _T_1777 = bits(intpriority_reg_re_15, 0, 0) @[pic_ctrl.scala 288:102] + node _T_1778 = bits(intpriority_reg_re_16, 0, 0) @[pic_ctrl.scala 288:102] + node _T_1779 = bits(intpriority_reg_re_17, 0, 0) @[pic_ctrl.scala 288:102] + node _T_1780 = bits(intpriority_reg_re_18, 0, 0) @[pic_ctrl.scala 288:102] + node _T_1781 = bits(intpriority_reg_re_19, 0, 0) @[pic_ctrl.scala 288:102] + node _T_1782 = bits(intpriority_reg_re_20, 0, 0) @[pic_ctrl.scala 288:102] + node _T_1783 = bits(intpriority_reg_re_21, 0, 0) @[pic_ctrl.scala 288:102] + node _T_1784 = bits(intpriority_reg_re_22, 0, 0) @[pic_ctrl.scala 288:102] + node _T_1785 = bits(intpriority_reg_re_23, 0, 0) @[pic_ctrl.scala 288:102] + node _T_1786 = bits(intpriority_reg_re_24, 0, 0) @[pic_ctrl.scala 288:102] + node _T_1787 = bits(intpriority_reg_re_25, 0, 0) @[pic_ctrl.scala 288:102] + node _T_1788 = bits(intpriority_reg_re_26, 0, 0) @[pic_ctrl.scala 288:102] + node _T_1789 = bits(intpriority_reg_re_27, 0, 0) @[pic_ctrl.scala 288:102] + node _T_1790 = bits(intpriority_reg_re_28, 0, 0) @[pic_ctrl.scala 288:102] + node _T_1791 = bits(intpriority_reg_re_29, 0, 0) @[pic_ctrl.scala 288:102] + node _T_1792 = bits(intpriority_reg_re_30, 0, 0) @[pic_ctrl.scala 288:102] + node _T_1793 = bits(intpriority_reg_re_31, 0, 0) @[pic_ctrl.scala 288:102] + node _T_1794 = mux(_T_1793, intpriority_reg[31], UInt<1>("h00")) @[Mux.scala 98:16] + node _T_1795 = mux(_T_1792, intpriority_reg[30], _T_1794) @[Mux.scala 98:16] + node _T_1796 = mux(_T_1791, intpriority_reg[29], _T_1795) @[Mux.scala 98:16] + node _T_1797 = mux(_T_1790, intpriority_reg[28], _T_1796) @[Mux.scala 98:16] + node _T_1798 = mux(_T_1789, intpriority_reg[27], _T_1797) @[Mux.scala 98:16] + node _T_1799 = mux(_T_1788, intpriority_reg[26], _T_1798) @[Mux.scala 98:16] + node _T_1800 = mux(_T_1787, intpriority_reg[25], _T_1799) @[Mux.scala 98:16] + node _T_1801 = mux(_T_1786, intpriority_reg[24], _T_1800) @[Mux.scala 98:16] + node _T_1802 = mux(_T_1785, intpriority_reg[23], _T_1801) @[Mux.scala 98:16] + node _T_1803 = mux(_T_1784, intpriority_reg[22], _T_1802) @[Mux.scala 98:16] + node _T_1804 = mux(_T_1783, intpriority_reg[21], _T_1803) @[Mux.scala 98:16] + node _T_1805 = mux(_T_1782, intpriority_reg[20], _T_1804) @[Mux.scala 98:16] + node _T_1806 = mux(_T_1781, intpriority_reg[19], _T_1805) @[Mux.scala 98:16] + node _T_1807 = mux(_T_1780, intpriority_reg[18], _T_1806) @[Mux.scala 98:16] + node _T_1808 = mux(_T_1779, intpriority_reg[17], _T_1807) @[Mux.scala 98:16] + node _T_1809 = mux(_T_1778, intpriority_reg[16], _T_1808) @[Mux.scala 98:16] + node _T_1810 = mux(_T_1777, intpriority_reg[15], _T_1809) @[Mux.scala 98:16] + node _T_1811 = mux(_T_1776, intpriority_reg[14], _T_1810) @[Mux.scala 98:16] + node _T_1812 = mux(_T_1775, intpriority_reg[13], _T_1811) @[Mux.scala 98:16] + node _T_1813 = mux(_T_1774, intpriority_reg[12], _T_1812) @[Mux.scala 98:16] + node _T_1814 = mux(_T_1773, intpriority_reg[11], _T_1813) @[Mux.scala 98:16] + node _T_1815 = mux(_T_1772, intpriority_reg[10], _T_1814) @[Mux.scala 98:16] + node _T_1816 = mux(_T_1771, intpriority_reg[9], _T_1815) @[Mux.scala 98:16] + node _T_1817 = mux(_T_1770, intpriority_reg[8], _T_1816) @[Mux.scala 98:16] + node _T_1818 = mux(_T_1769, intpriority_reg[7], _T_1817) @[Mux.scala 98:16] + node _T_1819 = mux(_T_1768, intpriority_reg[6], _T_1818) @[Mux.scala 98:16] + node _T_1820 = mux(_T_1767, intpriority_reg[5], _T_1819) @[Mux.scala 98:16] + node _T_1821 = mux(_T_1766, intpriority_reg[4], _T_1820) @[Mux.scala 98:16] + node _T_1822 = mux(_T_1765, intpriority_reg[3], _T_1821) @[Mux.scala 98:16] + node _T_1823 = mux(_T_1764, intpriority_reg[2], _T_1822) @[Mux.scala 98:16] + node _T_1824 = mux(_T_1763, intpriority_reg[1], _T_1823) @[Mux.scala 98:16] + node intpriority_rd_out = mux(UInt<1>("h00"), intpriority_reg[0], _T_1824) @[Mux.scala 98:16] + node _T_1825 = bits(gw_config_reg_re_1, 0, 0) @[pic_ctrl.scala 289:100] + node _T_1826 = bits(gw_config_reg_re_2, 0, 0) @[pic_ctrl.scala 289:100] + node _T_1827 = bits(gw_config_reg_re_3, 0, 0) @[pic_ctrl.scala 289:100] + node _T_1828 = bits(gw_config_reg_re_4, 0, 0) @[pic_ctrl.scala 289:100] + node _T_1829 = bits(gw_config_reg_re_5, 0, 0) @[pic_ctrl.scala 289:100] + node _T_1830 = bits(gw_config_reg_re_6, 0, 0) @[pic_ctrl.scala 289:100] + node _T_1831 = bits(gw_config_reg_re_7, 0, 0) @[pic_ctrl.scala 289:100] + node _T_1832 = bits(gw_config_reg_re_8, 0, 0) @[pic_ctrl.scala 289:100] + node _T_1833 = bits(gw_config_reg_re_9, 0, 0) @[pic_ctrl.scala 289:100] + node _T_1834 = bits(gw_config_reg_re_10, 0, 0) @[pic_ctrl.scala 289:100] + node _T_1835 = bits(gw_config_reg_re_11, 0, 0) @[pic_ctrl.scala 289:100] + node _T_1836 = bits(gw_config_reg_re_12, 0, 0) @[pic_ctrl.scala 289:100] + node _T_1837 = bits(gw_config_reg_re_13, 0, 0) @[pic_ctrl.scala 289:100] + node _T_1838 = bits(gw_config_reg_re_14, 0, 0) @[pic_ctrl.scala 289:100] + node _T_1839 = bits(gw_config_reg_re_15, 0, 0) @[pic_ctrl.scala 289:100] + node _T_1840 = bits(gw_config_reg_re_16, 0, 0) @[pic_ctrl.scala 289:100] + node _T_1841 = bits(gw_config_reg_re_17, 0, 0) @[pic_ctrl.scala 289:100] + node _T_1842 = bits(gw_config_reg_re_18, 0, 0) @[pic_ctrl.scala 289:100] + node _T_1843 = bits(gw_config_reg_re_19, 0, 0) @[pic_ctrl.scala 289:100] + node _T_1844 = bits(gw_config_reg_re_20, 0, 0) @[pic_ctrl.scala 289:100] + node _T_1845 = bits(gw_config_reg_re_21, 0, 0) @[pic_ctrl.scala 289:100] + node _T_1846 = bits(gw_config_reg_re_22, 0, 0) @[pic_ctrl.scala 289:100] + node _T_1847 = bits(gw_config_reg_re_23, 0, 0) @[pic_ctrl.scala 289:100] + node _T_1848 = bits(gw_config_reg_re_24, 0, 0) @[pic_ctrl.scala 289:100] + node _T_1849 = bits(gw_config_reg_re_25, 0, 0) @[pic_ctrl.scala 289:100] + node _T_1850 = bits(gw_config_reg_re_26, 0, 0) @[pic_ctrl.scala 289:100] + node _T_1851 = bits(gw_config_reg_re_27, 0, 0) @[pic_ctrl.scala 289:100] + node _T_1852 = bits(gw_config_reg_re_28, 0, 0) @[pic_ctrl.scala 289:100] + node _T_1853 = bits(gw_config_reg_re_29, 0, 0) @[pic_ctrl.scala 289:100] + node _T_1854 = bits(gw_config_reg_re_30, 0, 0) @[pic_ctrl.scala 289:100] + node _T_1855 = bits(gw_config_reg_re_31, 0, 0) @[pic_ctrl.scala 289:100] + node _T_1856 = mux(_T_1855, gw_config_reg[31], UInt<1>("h00")) @[Mux.scala 98:16] + node _T_1857 = mux(_T_1854, gw_config_reg[30], _T_1856) @[Mux.scala 98:16] + node _T_1858 = mux(_T_1853, gw_config_reg[29], _T_1857) @[Mux.scala 98:16] + node _T_1859 = mux(_T_1852, gw_config_reg[28], _T_1858) @[Mux.scala 98:16] + node _T_1860 = mux(_T_1851, gw_config_reg[27], _T_1859) @[Mux.scala 98:16] + node _T_1861 = mux(_T_1850, gw_config_reg[26], _T_1860) @[Mux.scala 98:16] + node _T_1862 = mux(_T_1849, gw_config_reg[25], _T_1861) @[Mux.scala 98:16] + node _T_1863 = mux(_T_1848, gw_config_reg[24], _T_1862) @[Mux.scala 98:16] + node _T_1864 = mux(_T_1847, gw_config_reg[23], _T_1863) @[Mux.scala 98:16] + node _T_1865 = mux(_T_1846, gw_config_reg[22], _T_1864) @[Mux.scala 98:16] + node _T_1866 = mux(_T_1845, gw_config_reg[21], _T_1865) @[Mux.scala 98:16] + node _T_1867 = mux(_T_1844, gw_config_reg[20], _T_1866) @[Mux.scala 98:16] + node _T_1868 = mux(_T_1843, gw_config_reg[19], _T_1867) @[Mux.scala 98:16] + node _T_1869 = mux(_T_1842, gw_config_reg[18], _T_1868) @[Mux.scala 98:16] + node _T_1870 = mux(_T_1841, gw_config_reg[17], _T_1869) @[Mux.scala 98:16] + node _T_1871 = mux(_T_1840, gw_config_reg[16], _T_1870) @[Mux.scala 98:16] + node _T_1872 = mux(_T_1839, gw_config_reg[15], _T_1871) @[Mux.scala 98:16] + node _T_1873 = mux(_T_1838, gw_config_reg[14], _T_1872) @[Mux.scala 98:16] + node _T_1874 = mux(_T_1837, gw_config_reg[13], _T_1873) @[Mux.scala 98:16] + node _T_1875 = mux(_T_1836, gw_config_reg[12], _T_1874) @[Mux.scala 98:16] + node _T_1876 = mux(_T_1835, gw_config_reg[11], _T_1875) @[Mux.scala 98:16] + node _T_1877 = mux(_T_1834, gw_config_reg[10], _T_1876) @[Mux.scala 98:16] + node _T_1878 = mux(_T_1833, gw_config_reg[9], _T_1877) @[Mux.scala 98:16] + node _T_1879 = mux(_T_1832, gw_config_reg[8], _T_1878) @[Mux.scala 98:16] + node _T_1880 = mux(_T_1831, gw_config_reg[7], _T_1879) @[Mux.scala 98:16] + node _T_1881 = mux(_T_1830, gw_config_reg[6], _T_1880) @[Mux.scala 98:16] + node _T_1882 = mux(_T_1829, gw_config_reg[5], _T_1881) @[Mux.scala 98:16] + node _T_1883 = mux(_T_1828, gw_config_reg[4], _T_1882) @[Mux.scala 98:16] + node _T_1884 = mux(_T_1827, gw_config_reg[3], _T_1883) @[Mux.scala 98:16] + node _T_1885 = mux(_T_1826, gw_config_reg[2], _T_1884) @[Mux.scala 98:16] + node _T_1886 = mux(_T_1825, gw_config_reg[1], _T_1885) @[Mux.scala 98:16] + node gw_config_rd_out = mux(UInt<1>("h00"), gw_config_reg[0], _T_1886) @[Mux.scala 98:16] + wire picm_rd_data_in : UInt<32> + picm_rd_data_in <= UInt<1>("h00") + node _T_1887 = bits(intpend_reg_read, 0, 0) @[pic_ctrl.scala 294:22] + node _T_1888 = bits(intpriority_reg_read, 0, 0) @[pic_ctrl.scala 295:26] + node _T_1889 = mux(UInt<1>("h00"), UInt<28>("h0fffffff"), UInt<28>("h00")) @[Bitwise.scala 72:12] + node _T_1890 = cat(_T_1889, intpriority_rd_out) @[Cat.scala 29:58] + node _T_1891 = bits(intenable_reg_read, 0, 0) @[pic_ctrl.scala 296:24] + node _T_1892 = mux(UInt<1>("h00"), UInt<31>("h07fffffff"), UInt<31>("h00")) @[Bitwise.scala 72:12] + node _T_1893 = cat(_T_1892, intenable_rd_out) @[Cat.scala 29:58] + node _T_1894 = bits(gw_config_reg_read, 0, 0) @[pic_ctrl.scala 297:24] + node _T_1895 = mux(UInt<1>("h00"), UInt<30>("h03fffffff"), UInt<30>("h00")) @[Bitwise.scala 72:12] + node _T_1896 = cat(_T_1895, gw_config_rd_out) @[Cat.scala 29:58] + node _T_1897 = bits(config_reg_re, 0, 0) @[pic_ctrl.scala 298:19] + node _T_1898 = mux(UInt<1>("h00"), UInt<31>("h07fffffff"), UInt<31>("h00")) @[Bitwise.scala 72:12] + node _T_1899 = cat(_T_1898, config_reg) @[Cat.scala 29:58] + node _T_1900 = bits(mask, 3, 3) @[pic_ctrl.scala 299:25] + node _T_1901 = and(picm_mken_ff, _T_1900) @[pic_ctrl.scala 299:19] + node _T_1902 = bits(_T_1901, 0, 0) @[pic_ctrl.scala 299:30] + node _T_1903 = mux(UInt<1>("h00"), UInt<30>("h03fffffff"), UInt<30>("h00")) @[Bitwise.scala 72:12] + node _T_1904 = cat(_T_1903, UInt<2>("h03")) @[Cat.scala 29:58] + node _T_1905 = bits(mask, 2, 2) @[pic_ctrl.scala 300:25] + node _T_1906 = and(picm_mken_ff, _T_1905) @[pic_ctrl.scala 300:19] + node _T_1907 = bits(_T_1906, 0, 0) @[pic_ctrl.scala 300:30] + node _T_1908 = mux(UInt<1>("h00"), UInt<31>("h07fffffff"), UInt<31>("h00")) @[Bitwise.scala 72:12] + node _T_1909 = cat(_T_1908, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_1910 = bits(mask, 1, 1) @[pic_ctrl.scala 301:25] + node _T_1911 = and(picm_mken_ff, _T_1910) @[pic_ctrl.scala 301:19] + node _T_1912 = bits(_T_1911, 0, 0) @[pic_ctrl.scala 301:30] + node _T_1913 = mux(UInt<1>("h00"), UInt<28>("h0fffffff"), UInt<28>("h00")) @[Bitwise.scala 72:12] + node _T_1914 = cat(_T_1913, UInt<4>("h0f")) @[Cat.scala 29:58] + node _T_1915 = bits(mask, 0, 0) @[pic_ctrl.scala 302:25] + node _T_1916 = and(picm_mken_ff, _T_1915) @[pic_ctrl.scala 302:19] + node _T_1917 = bits(_T_1916, 0, 0) @[pic_ctrl.scala 302:30] + node _T_1918 = mux(UInt<1>("h00"), UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_1919 = mux(_T_1887, intpend_rd_out, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1920 = mux(_T_1888, _T_1890, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1921 = mux(_T_1891, _T_1893, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1922 = mux(_T_1894, _T_1896, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1923 = mux(_T_1897, _T_1899, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1924 = mux(_T_1902, _T_1904, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1925 = mux(_T_1907, _T_1909, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1926 = mux(_T_1912, _T_1914, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1927 = mux(_T_1917, _T_1918, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1928 = or(_T_1919, _T_1920) @[Mux.scala 27:72] + node _T_1929 = or(_T_1928, _T_1921) @[Mux.scala 27:72] + node _T_1930 = or(_T_1929, _T_1922) @[Mux.scala 27:72] + node _T_1931 = or(_T_1930, _T_1923) @[Mux.scala 27:72] + node _T_1932 = or(_T_1931, _T_1924) @[Mux.scala 27:72] + node _T_1933 = or(_T_1932, _T_1925) @[Mux.scala 27:72] + node _T_1934 = or(_T_1933, _T_1926) @[Mux.scala 27:72] + node _T_1935 = or(_T_1934, _T_1927) @[Mux.scala 27:72] + wire _T_1936 : UInt<32> @[Mux.scala 27:72] + _T_1936 <= _T_1935 @[Mux.scala 27:72] + picm_rd_data_in <= _T_1936 @[pic_ctrl.scala 293:19] + node _T_1937 = bits(picm_bypass_ff, 0, 0) @[pic_ctrl.scala 305:49] + node _T_1938 = mux(_T_1937, picm_wr_data_ff, picm_rd_data_in) @[pic_ctrl.scala 305:33] + io.lsu_pic.picm_rd_data <= _T_1938 @[pic_ctrl.scala 305:27] + node address = bits(picm_raddr_ff, 14, 0) @[pic_ctrl.scala 306:30] + mask <= UInt<4>("h01") @[pic_ctrl.scala 308:8] + node _T_1939 = eq(UInt<15>("h03000"), address) @[Conditional.scala 37:30] + when _T_1939 : @[Conditional.scala 40:58] + mask <= UInt<4>("h04") @[pic_ctrl.scala 310:44] + skip @[Conditional.scala 40:58] + else : @[Conditional.scala 39:67] + node _T_1940 = eq(UInt<15>("h04004"), address) @[Conditional.scala 37:30] + when _T_1940 : @[Conditional.scala 39:67] + mask <= UInt<4>("h08") @[pic_ctrl.scala 311:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1941 = eq(UInt<15>("h04008"), address) @[Conditional.scala 37:30] + when _T_1941 : @[Conditional.scala 39:67] + mask <= UInt<4>("h08") @[pic_ctrl.scala 312:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1942 = eq(UInt<15>("h0400c"), address) @[Conditional.scala 37:30] + when _T_1942 : @[Conditional.scala 39:67] + mask <= UInt<4>("h08") @[pic_ctrl.scala 313:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1943 = eq(UInt<15>("h04010"), address) @[Conditional.scala 37:30] + when _T_1943 : @[Conditional.scala 39:67] + mask <= UInt<4>("h08") @[pic_ctrl.scala 314:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1944 = eq(UInt<15>("h04014"), address) @[Conditional.scala 37:30] + when _T_1944 : @[Conditional.scala 39:67] + mask <= UInt<4>("h08") @[pic_ctrl.scala 315:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1945 = eq(UInt<15>("h04018"), address) @[Conditional.scala 37:30] + when _T_1945 : @[Conditional.scala 39:67] + mask <= UInt<4>("h08") @[pic_ctrl.scala 316:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1946 = eq(UInt<15>("h0401c"), address) @[Conditional.scala 37:30] + when _T_1946 : @[Conditional.scala 39:67] + mask <= UInt<4>("h08") @[pic_ctrl.scala 317:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1947 = eq(UInt<15>("h04020"), address) @[Conditional.scala 37:30] + when _T_1947 : @[Conditional.scala 39:67] + mask <= UInt<4>("h08") @[pic_ctrl.scala 318:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1948 = eq(UInt<15>("h04024"), address) @[Conditional.scala 37:30] + when _T_1948 : @[Conditional.scala 39:67] + mask <= UInt<4>("h08") @[pic_ctrl.scala 319:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1949 = eq(UInt<15>("h04028"), address) @[Conditional.scala 37:30] + when _T_1949 : @[Conditional.scala 39:67] + mask <= UInt<4>("h08") @[pic_ctrl.scala 320:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1950 = eq(UInt<15>("h0402c"), address) @[Conditional.scala 37:30] + when _T_1950 : @[Conditional.scala 39:67] + mask <= UInt<4>("h08") @[pic_ctrl.scala 321:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1951 = eq(UInt<15>("h04030"), address) @[Conditional.scala 37:30] + when _T_1951 : @[Conditional.scala 39:67] + mask <= UInt<4>("h08") @[pic_ctrl.scala 322:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1952 = eq(UInt<15>("h04034"), address) @[Conditional.scala 37:30] + when _T_1952 : @[Conditional.scala 39:67] + mask <= UInt<4>("h08") @[pic_ctrl.scala 323:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1953 = eq(UInt<15>("h04038"), address) @[Conditional.scala 37:30] + when _T_1953 : @[Conditional.scala 39:67] + mask <= UInt<4>("h08") @[pic_ctrl.scala 324:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1954 = eq(UInt<15>("h0403c"), address) @[Conditional.scala 37:30] + when _T_1954 : @[Conditional.scala 39:67] + mask <= UInt<4>("h08") @[pic_ctrl.scala 325:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1955 = eq(UInt<15>("h04040"), address) @[Conditional.scala 37:30] + when _T_1955 : @[Conditional.scala 39:67] + mask <= UInt<4>("h08") @[pic_ctrl.scala 326:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1956 = eq(UInt<15>("h04044"), address) @[Conditional.scala 37:30] + when _T_1956 : @[Conditional.scala 39:67] + mask <= UInt<4>("h08") @[pic_ctrl.scala 327:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1957 = eq(UInt<15>("h04048"), address) @[Conditional.scala 37:30] + when _T_1957 : @[Conditional.scala 39:67] + mask <= UInt<4>("h08") @[pic_ctrl.scala 328:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1958 = eq(UInt<15>("h0404c"), address) @[Conditional.scala 37:30] + when _T_1958 : @[Conditional.scala 39:67] + mask <= UInt<4>("h08") @[pic_ctrl.scala 329:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1959 = eq(UInt<15>("h04050"), address) @[Conditional.scala 37:30] + when _T_1959 : @[Conditional.scala 39:67] + mask <= UInt<4>("h08") @[pic_ctrl.scala 330:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1960 = eq(UInt<15>("h04054"), address) @[Conditional.scala 37:30] + when _T_1960 : @[Conditional.scala 39:67] + mask <= UInt<4>("h08") @[pic_ctrl.scala 331:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1961 = eq(UInt<15>("h04058"), address) @[Conditional.scala 37:30] + when _T_1961 : @[Conditional.scala 39:67] + mask <= UInt<4>("h08") @[pic_ctrl.scala 332:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1962 = eq(UInt<15>("h0405c"), address) @[Conditional.scala 37:30] + when _T_1962 : @[Conditional.scala 39:67] + mask <= UInt<4>("h08") @[pic_ctrl.scala 333:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1963 = eq(UInt<15>("h04060"), address) @[Conditional.scala 37:30] + when _T_1963 : @[Conditional.scala 39:67] + mask <= UInt<4>("h08") @[pic_ctrl.scala 334:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1964 = eq(UInt<15>("h04064"), address) @[Conditional.scala 37:30] + when _T_1964 : @[Conditional.scala 39:67] + mask <= UInt<4>("h08") @[pic_ctrl.scala 335:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1965 = eq(UInt<15>("h04068"), address) @[Conditional.scala 37:30] + when _T_1965 : @[Conditional.scala 39:67] + mask <= UInt<4>("h08") @[pic_ctrl.scala 336:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1966 = eq(UInt<15>("h0406c"), address) @[Conditional.scala 37:30] + when _T_1966 : @[Conditional.scala 39:67] + mask <= UInt<4>("h08") @[pic_ctrl.scala 337:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1967 = eq(UInt<15>("h04070"), address) @[Conditional.scala 37:30] + when _T_1967 : @[Conditional.scala 39:67] + mask <= UInt<4>("h08") @[pic_ctrl.scala 338:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1968 = eq(UInt<15>("h04074"), address) @[Conditional.scala 37:30] + when _T_1968 : @[Conditional.scala 39:67] + mask <= UInt<4>("h08") @[pic_ctrl.scala 339:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1969 = eq(UInt<15>("h04078"), address) @[Conditional.scala 37:30] + when _T_1969 : @[Conditional.scala 39:67] + mask <= UInt<4>("h08") @[pic_ctrl.scala 340:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1970 = eq(UInt<15>("h0407c"), address) @[Conditional.scala 37:30] + when _T_1970 : @[Conditional.scala 39:67] + mask <= UInt<4>("h08") @[pic_ctrl.scala 341:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1971 = eq(UInt<15>("h02004"), address) @[Conditional.scala 37:30] + when _T_1971 : @[Conditional.scala 39:67] + mask <= UInt<4>("h04") @[pic_ctrl.scala 342:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1972 = eq(UInt<15>("h02008"), address) @[Conditional.scala 37:30] + when _T_1972 : @[Conditional.scala 39:67] + mask <= UInt<4>("h04") @[pic_ctrl.scala 343:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1973 = eq(UInt<15>("h0200c"), address) @[Conditional.scala 37:30] + when _T_1973 : @[Conditional.scala 39:67] + mask <= UInt<4>("h04") @[pic_ctrl.scala 344:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1974 = eq(UInt<15>("h02010"), address) @[Conditional.scala 37:30] + when _T_1974 : @[Conditional.scala 39:67] + mask <= UInt<4>("h04") @[pic_ctrl.scala 345:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1975 = eq(UInt<15>("h02014"), address) @[Conditional.scala 37:30] + when _T_1975 : @[Conditional.scala 39:67] + mask <= UInt<4>("h04") @[pic_ctrl.scala 346:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1976 = eq(UInt<15>("h02018"), address) @[Conditional.scala 37:30] + when _T_1976 : @[Conditional.scala 39:67] + mask <= UInt<4>("h04") @[pic_ctrl.scala 347:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1977 = eq(UInt<15>("h0201c"), address) @[Conditional.scala 37:30] + when _T_1977 : @[Conditional.scala 39:67] + mask <= UInt<4>("h04") @[pic_ctrl.scala 348:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1978 = eq(UInt<15>("h02020"), address) @[Conditional.scala 37:30] + when _T_1978 : @[Conditional.scala 39:67] + mask <= UInt<4>("h04") @[pic_ctrl.scala 349:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1979 = eq(UInt<15>("h02024"), address) @[Conditional.scala 37:30] + when _T_1979 : @[Conditional.scala 39:67] + mask <= UInt<4>("h04") @[pic_ctrl.scala 350:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1980 = eq(UInt<15>("h02028"), address) @[Conditional.scala 37:30] + when _T_1980 : @[Conditional.scala 39:67] + mask <= UInt<4>("h04") @[pic_ctrl.scala 351:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1981 = eq(UInt<15>("h0202c"), address) @[Conditional.scala 37:30] + when _T_1981 : @[Conditional.scala 39:67] + mask <= UInt<4>("h04") @[pic_ctrl.scala 352:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1982 = eq(UInt<15>("h02030"), address) @[Conditional.scala 37:30] + when _T_1982 : @[Conditional.scala 39:67] + mask <= UInt<4>("h04") @[pic_ctrl.scala 353:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1983 = eq(UInt<15>("h02034"), address) @[Conditional.scala 37:30] + when _T_1983 : @[Conditional.scala 39:67] + mask <= UInt<4>("h04") @[pic_ctrl.scala 354:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1984 = eq(UInt<15>("h02038"), address) @[Conditional.scala 37:30] + when _T_1984 : @[Conditional.scala 39:67] + mask <= UInt<4>("h04") @[pic_ctrl.scala 355:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1985 = eq(UInt<15>("h0203c"), address) @[Conditional.scala 37:30] + when _T_1985 : @[Conditional.scala 39:67] + mask <= UInt<4>("h04") @[pic_ctrl.scala 356:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1986 = eq(UInt<15>("h02040"), address) @[Conditional.scala 37:30] + when _T_1986 : @[Conditional.scala 39:67] + mask <= UInt<4>("h04") @[pic_ctrl.scala 357:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1987 = eq(UInt<15>("h02044"), address) @[Conditional.scala 37:30] + when _T_1987 : @[Conditional.scala 39:67] + mask <= UInt<4>("h04") @[pic_ctrl.scala 358:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1988 = eq(UInt<15>("h02048"), address) @[Conditional.scala 37:30] + when _T_1988 : @[Conditional.scala 39:67] + mask <= UInt<4>("h04") @[pic_ctrl.scala 359:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1989 = eq(UInt<15>("h0204c"), address) @[Conditional.scala 37:30] + when _T_1989 : @[Conditional.scala 39:67] + mask <= UInt<4>("h04") @[pic_ctrl.scala 360:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1990 = eq(UInt<15>("h02050"), address) @[Conditional.scala 37:30] + when _T_1990 : @[Conditional.scala 39:67] + mask <= UInt<4>("h04") @[pic_ctrl.scala 361:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1991 = eq(UInt<15>("h02054"), address) @[Conditional.scala 37:30] + when _T_1991 : @[Conditional.scala 39:67] + mask <= UInt<4>("h04") @[pic_ctrl.scala 362:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1992 = eq(UInt<15>("h02058"), address) @[Conditional.scala 37:30] + when _T_1992 : @[Conditional.scala 39:67] + mask <= UInt<4>("h04") @[pic_ctrl.scala 363:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1993 = eq(UInt<15>("h0205c"), address) @[Conditional.scala 37:30] + when _T_1993 : @[Conditional.scala 39:67] + mask <= UInt<4>("h04") @[pic_ctrl.scala 364:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1994 = eq(UInt<15>("h02060"), address) @[Conditional.scala 37:30] + when _T_1994 : @[Conditional.scala 39:67] + mask <= UInt<4>("h04") @[pic_ctrl.scala 365:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1995 = eq(UInt<15>("h02064"), address) @[Conditional.scala 37:30] + when _T_1995 : @[Conditional.scala 39:67] + mask <= UInt<4>("h04") @[pic_ctrl.scala 366:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1996 = eq(UInt<15>("h02068"), address) @[Conditional.scala 37:30] + when _T_1996 : @[Conditional.scala 39:67] + mask <= UInt<4>("h04") @[pic_ctrl.scala 367:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1997 = eq(UInt<15>("h0206c"), address) @[Conditional.scala 37:30] + when _T_1997 : @[Conditional.scala 39:67] + mask <= UInt<4>("h04") @[pic_ctrl.scala 368:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1998 = eq(UInt<15>("h02070"), address) @[Conditional.scala 37:30] + when _T_1998 : @[Conditional.scala 39:67] + mask <= UInt<4>("h04") @[pic_ctrl.scala 369:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_1999 = eq(UInt<15>("h02074"), address) @[Conditional.scala 37:30] + when _T_1999 : @[Conditional.scala 39:67] + mask <= UInt<4>("h04") @[pic_ctrl.scala 370:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_2000 = eq(UInt<15>("h02078"), address) @[Conditional.scala 37:30] + when _T_2000 : @[Conditional.scala 39:67] + mask <= UInt<4>("h04") @[pic_ctrl.scala 371:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_2001 = eq(UInt<15>("h0207c"), address) @[Conditional.scala 37:30] + when _T_2001 : @[Conditional.scala 39:67] + mask <= UInt<4>("h04") @[pic_ctrl.scala 372:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_2002 = eq(UInt<15>("h04"), address) @[Conditional.scala 37:30] + when _T_2002 : @[Conditional.scala 39:67] + mask <= UInt<4>("h02") @[pic_ctrl.scala 373:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_2003 = eq(UInt<15>("h08"), address) @[Conditional.scala 37:30] + when _T_2003 : @[Conditional.scala 39:67] + mask <= UInt<4>("h02") @[pic_ctrl.scala 374:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_2004 = eq(UInt<15>("h0c"), address) @[Conditional.scala 37:30] + when _T_2004 : @[Conditional.scala 39:67] + mask <= UInt<4>("h02") @[pic_ctrl.scala 375:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_2005 = eq(UInt<15>("h010"), address) @[Conditional.scala 37:30] + when _T_2005 : @[Conditional.scala 39:67] + mask <= UInt<4>("h02") @[pic_ctrl.scala 376:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_2006 = eq(UInt<15>("h014"), address) @[Conditional.scala 37:30] + when _T_2006 : @[Conditional.scala 39:67] + mask <= UInt<4>("h02") @[pic_ctrl.scala 377:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_2007 = eq(UInt<15>("h018"), address) @[Conditional.scala 37:30] + when _T_2007 : @[Conditional.scala 39:67] + mask <= UInt<4>("h02") @[pic_ctrl.scala 378:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_2008 = eq(UInt<15>("h01c"), address) @[Conditional.scala 37:30] + when _T_2008 : @[Conditional.scala 39:67] + mask <= UInt<4>("h02") @[pic_ctrl.scala 379:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_2009 = eq(UInt<15>("h020"), address) @[Conditional.scala 37:30] + when _T_2009 : @[Conditional.scala 39:67] + mask <= UInt<4>("h02") @[pic_ctrl.scala 380:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_2010 = eq(UInt<15>("h024"), address) @[Conditional.scala 37:30] + when _T_2010 : @[Conditional.scala 39:67] + mask <= UInt<4>("h02") @[pic_ctrl.scala 381:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_2011 = eq(UInt<15>("h028"), address) @[Conditional.scala 37:30] + when _T_2011 : @[Conditional.scala 39:67] + mask <= UInt<4>("h02") @[pic_ctrl.scala 382:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_2012 = eq(UInt<15>("h02c"), address) @[Conditional.scala 37:30] + when _T_2012 : @[Conditional.scala 39:67] + mask <= UInt<4>("h02") @[pic_ctrl.scala 383:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_2013 = eq(UInt<15>("h030"), address) @[Conditional.scala 37:30] + when _T_2013 : @[Conditional.scala 39:67] + mask <= UInt<4>("h02") @[pic_ctrl.scala 384:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_2014 = eq(UInt<15>("h034"), address) @[Conditional.scala 37:30] + when _T_2014 : @[Conditional.scala 39:67] + mask <= UInt<4>("h02") @[pic_ctrl.scala 385:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_2015 = eq(UInt<15>("h038"), address) @[Conditional.scala 37:30] + when _T_2015 : @[Conditional.scala 39:67] + mask <= UInt<4>("h02") @[pic_ctrl.scala 386:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_2016 = eq(UInt<15>("h03c"), address) @[Conditional.scala 37:30] + when _T_2016 : @[Conditional.scala 39:67] + mask <= UInt<4>("h02") @[pic_ctrl.scala 387:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_2017 = eq(UInt<15>("h040"), address) @[Conditional.scala 37:30] + when _T_2017 : @[Conditional.scala 39:67] + mask <= UInt<4>("h02") @[pic_ctrl.scala 388:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_2018 = eq(UInt<15>("h044"), address) @[Conditional.scala 37:30] + when _T_2018 : @[Conditional.scala 39:67] + mask <= UInt<4>("h02") @[pic_ctrl.scala 389:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_2019 = eq(UInt<15>("h048"), address) @[Conditional.scala 37:30] + when _T_2019 : @[Conditional.scala 39:67] + mask <= UInt<4>("h02") @[pic_ctrl.scala 390:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_2020 = eq(UInt<15>("h04c"), address) @[Conditional.scala 37:30] + when _T_2020 : @[Conditional.scala 39:67] + mask <= UInt<4>("h02") @[pic_ctrl.scala 391:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_2021 = eq(UInt<15>("h050"), address) @[Conditional.scala 37:30] + when _T_2021 : @[Conditional.scala 39:67] + mask <= UInt<4>("h02") @[pic_ctrl.scala 392:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_2022 = eq(UInt<15>("h054"), address) @[Conditional.scala 37:30] + when _T_2022 : @[Conditional.scala 39:67] + mask <= UInt<4>("h02") @[pic_ctrl.scala 393:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_2023 = eq(UInt<15>("h058"), address) @[Conditional.scala 37:30] + when _T_2023 : @[Conditional.scala 39:67] + mask <= UInt<4>("h02") @[pic_ctrl.scala 394:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_2024 = eq(UInt<15>("h05c"), address) @[Conditional.scala 37:30] + when _T_2024 : @[Conditional.scala 39:67] + mask <= UInt<4>("h02") @[pic_ctrl.scala 395:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_2025 = eq(UInt<15>("h060"), address) @[Conditional.scala 37:30] + when _T_2025 : @[Conditional.scala 39:67] + mask <= UInt<4>("h02") @[pic_ctrl.scala 396:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_2026 = eq(UInt<15>("h064"), address) @[Conditional.scala 37:30] + when _T_2026 : @[Conditional.scala 39:67] + mask <= UInt<4>("h02") @[pic_ctrl.scala 397:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_2027 = eq(UInt<15>("h068"), address) @[Conditional.scala 37:30] + when _T_2027 : @[Conditional.scala 39:67] + mask <= UInt<4>("h02") @[pic_ctrl.scala 398:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_2028 = eq(UInt<15>("h06c"), address) @[Conditional.scala 37:30] + when _T_2028 : @[Conditional.scala 39:67] + mask <= UInt<4>("h02") @[pic_ctrl.scala 399:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_2029 = eq(UInt<15>("h070"), address) @[Conditional.scala 37:30] + when _T_2029 : @[Conditional.scala 39:67] + mask <= UInt<4>("h02") @[pic_ctrl.scala 400:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_2030 = eq(UInt<15>("h074"), address) @[Conditional.scala 37:30] + when _T_2030 : @[Conditional.scala 39:67] + mask <= UInt<4>("h02") @[pic_ctrl.scala 401:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_2031 = eq(UInt<15>("h078"), address) @[Conditional.scala 37:30] + when _T_2031 : @[Conditional.scala 39:67] + mask <= UInt<4>("h02") @[pic_ctrl.scala 402:44] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_2032 = eq(UInt<15>("h07c"), address) @[Conditional.scala 37:30] + when _T_2032 : @[Conditional.scala 39:67] + mask <= UInt<4>("h02") @[pic_ctrl.scala 403:44] + skip @[Conditional.scala 39:67] + diff --git a/pic_ctrl.v b/pic_ctrl.v new file mode 100644 index 00000000..94db629e --- /dev/null +++ b/pic_ctrl.v @@ -0,0 +1,3647 @@ +module rvclkhdr( + output io_l1clk, + input io_clk, + input io_en, + input io_scan_mode +); + wire clkhdr_Q; // @[lib.scala 334:26] + wire clkhdr_CK; // @[lib.scala 334:26] + wire clkhdr_EN; // @[lib.scala 334:26] + wire clkhdr_SE; // @[lib.scala 334:26] + gated_latch clkhdr ( // @[lib.scala 334:26] + .Q(clkhdr_Q), + .CK(clkhdr_CK), + .EN(clkhdr_EN), + .SE(clkhdr_SE) + ); + assign io_l1clk = clkhdr_Q; // @[lib.scala 335:14] + assign clkhdr_CK = io_clk; // @[lib.scala 336:18] + assign clkhdr_EN = io_en; // @[lib.scala 337:18] + assign clkhdr_SE = io_scan_mode; // @[lib.scala 338:18] +endmodule +module pic_ctrl( + input clock, + input reset, + input io_scan_mode, + input io_free_clk, + input io_active_clk, + input io_clk_override, + input [31:0] io_extintsrc_req, + input io_lsu_pic_picm_wren, + input io_lsu_pic_picm_rden, + input io_lsu_pic_picm_mken, + input [31:0] io_lsu_pic_picm_rdaddr, + input [31:0] io_lsu_pic_picm_wraddr, + input [31:0] io_lsu_pic_picm_wr_data, + output [31:0] io_lsu_pic_picm_rd_data, + output [7:0] io_dec_pic_pic_claimid, + output [3:0] io_dec_pic_pic_pl, + output io_dec_pic_mhwakeup, + input [3:0] io_dec_pic_dec_tlu_meicurpl, + input [3:0] io_dec_pic_dec_tlu_meipt, + output io_dec_pic_mexintpend +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; + reg [31:0] _RAND_11; + reg [31:0] _RAND_12; + reg [31:0] _RAND_13; + reg [31:0] _RAND_14; + reg [31:0] _RAND_15; + reg [31:0] _RAND_16; + reg [31:0] _RAND_17; + reg [31:0] _RAND_18; + reg [31:0] _RAND_19; + reg [31:0] _RAND_20; + reg [31:0] _RAND_21; + reg [31:0] _RAND_22; + reg [31:0] _RAND_23; + reg [31:0] _RAND_24; + reg [31:0] _RAND_25; + reg [31:0] _RAND_26; + reg [31:0] _RAND_27; + reg [31:0] _RAND_28; + reg [31:0] _RAND_29; + reg [31:0] _RAND_30; + reg [31:0] _RAND_31; + reg [31:0] _RAND_32; + reg [31:0] _RAND_33; + reg [31:0] _RAND_34; + reg [31:0] _RAND_35; + reg [31:0] _RAND_36; + reg [31:0] _RAND_37; + reg [31:0] _RAND_38; + reg [31:0] _RAND_39; + reg [31:0] _RAND_40; + reg [31:0] _RAND_41; + reg [31:0] _RAND_42; + reg [31:0] _RAND_43; + reg [31:0] _RAND_44; + reg [31:0] _RAND_45; + reg [31:0] _RAND_46; + reg [31:0] _RAND_47; + reg [31:0] _RAND_48; + reg [31:0] _RAND_49; + reg [31:0] _RAND_50; + reg [31:0] _RAND_51; + reg [31:0] _RAND_52; + reg [31:0] _RAND_53; + reg [31:0] _RAND_54; + reg [31:0] _RAND_55; + reg [31:0] _RAND_56; + reg [31:0] _RAND_57; + reg [31:0] _RAND_58; + reg [31:0] _RAND_59; + reg [31:0] _RAND_60; + reg [31:0] _RAND_61; + reg [31:0] _RAND_62; + reg [31:0] _RAND_63; + reg [31:0] _RAND_64; + reg [31:0] _RAND_65; + reg [31:0] _RAND_66; + reg [31:0] _RAND_67; + reg [31:0] _RAND_68; + reg [31:0] _RAND_69; + reg [31:0] _RAND_70; + reg [31:0] _RAND_71; + reg [31:0] _RAND_72; + reg [31:0] _RAND_73; + reg [31:0] _RAND_74; + reg [31:0] _RAND_75; + reg [31:0] _RAND_76; + reg [31:0] _RAND_77; + reg [31:0] _RAND_78; + reg [31:0] _RAND_79; + reg [31:0] _RAND_80; + reg [31:0] _RAND_81; + reg [31:0] _RAND_82; + reg [31:0] _RAND_83; + reg [31:0] _RAND_84; + reg [31:0] _RAND_85; + reg [31:0] _RAND_86; + reg [31:0] _RAND_87; + reg [31:0] _RAND_88; + reg [31:0] _RAND_89; + reg [31:0] _RAND_90; + reg [31:0] _RAND_91; + reg [31:0] _RAND_92; + reg [31:0] _RAND_93; + reg [31:0] _RAND_94; + reg [31:0] _RAND_95; + reg [31:0] _RAND_96; + reg [31:0] _RAND_97; + reg [31:0] _RAND_98; + reg [31:0] _RAND_99; + reg [31:0] _RAND_100; + reg [31:0] _RAND_101; + reg [31:0] _RAND_102; + reg [31:0] _RAND_103; + reg [31:0] _RAND_104; + reg [31:0] _RAND_105; + reg [31:0] _RAND_106; + reg [31:0] _RAND_107; + reg [31:0] _RAND_108; + reg [31:0] _RAND_109; + reg [31:0] _RAND_110; + reg [31:0] _RAND_111; + reg [31:0] _RAND_112; + reg [31:0] _RAND_113; + reg [31:0] _RAND_114; + reg [31:0] _RAND_115; + reg [31:0] _RAND_116; + reg [31:0] _RAND_117; + reg [31:0] _RAND_118; + reg [31:0] _RAND_119; + reg [31:0] _RAND_120; + reg [31:0] _RAND_121; + reg [31:0] _RAND_122; + reg [31:0] _RAND_123; + reg [31:0] _RAND_124; + reg [31:0] _RAND_125; + reg [31:0] _RAND_126; + reg [31:0] _RAND_127; + reg [31:0] _RAND_128; + reg [31:0] _RAND_129; + reg [31:0] _RAND_130; + reg [31:0] _RAND_131; + reg [31:0] _RAND_132; + reg [31:0] _RAND_133; + reg [31:0] _RAND_134; + reg [31:0] _RAND_135; + reg [31:0] _RAND_136; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_io_en; // @[lib.scala 343:22] + wire rvclkhdr_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_1_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_1_io_en; // @[lib.scala 343:22] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_2_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_2_io_en; // @[lib.scala 343:22] + wire rvclkhdr_2_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_3_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_3_io_en; // @[lib.scala 343:22] + wire rvclkhdr_3_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_4_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_4_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_4_io_en; // @[lib.scala 343:22] + wire rvclkhdr_4_io_scan_mode; // @[lib.scala 343:22] + wire pic_raddr_c1_clk = rvclkhdr_io_l1clk; // @[pic_ctrl.scala 95:42 pic_ctrl.scala 132:21] + reg [31:0] picm_raddr_ff; // @[pic_ctrl.scala 101:56] + wire pic_data_c1_clk = rvclkhdr_1_io_l1clk; // @[pic_ctrl.scala 96:42 pic_ctrl.scala 133:21] + reg [31:0] picm_waddr_ff; // @[pic_ctrl.scala 102:57] + reg picm_wren_ff; // @[pic_ctrl.scala 103:55] + reg picm_rden_ff; // @[pic_ctrl.scala 104:55] + reg picm_mken_ff; // @[pic_ctrl.scala 105:55] + reg [31:0] picm_wr_data_ff; // @[pic_ctrl.scala 106:58] + wire [31:0] _T_6 = picm_raddr_ff ^ 32'hf00c2000; // @[pic_ctrl.scala 108:59] + wire [31:0] temp_raddr_intenable_base_match = ~_T_6; // @[pic_ctrl.scala 108:43] + wire raddr_intenable_base_match = &temp_raddr_intenable_base_match[31:7]; // @[pic_ctrl.scala 109:89] + wire raddr_intpriority_base_match = picm_raddr_ff[31:7] == 25'h1e01800; // @[pic_ctrl.scala 111:71] + wire raddr_config_gw_base_match = picm_raddr_ff[31:7] == 25'h1e01880; // @[pic_ctrl.scala 112:71] + wire raddr_config_pic_match = picm_raddr_ff == 32'hf00c3000; // @[pic_ctrl.scala 113:71] + wire addr_intpend_base_match = picm_raddr_ff[31:6] == 26'h3c03040; // @[pic_ctrl.scala 114:71] + wire waddr_config_pic_match = picm_waddr_ff == 32'hf00c3000; // @[pic_ctrl.scala 116:71] + wire addr_clear_gw_base_match = picm_waddr_ff[31:7] == 25'h1e018a0; // @[pic_ctrl.scala 117:71] + wire waddr_intpriority_base_match = picm_waddr_ff[31:7] == 25'h1e01800; // @[pic_ctrl.scala 118:71] + wire waddr_intenable_base_match = picm_waddr_ff[31:7] == 25'h1e01840; // @[pic_ctrl.scala 119:71] + wire waddr_config_gw_base_match = picm_waddr_ff[31:7] == 25'h1e01880; // @[pic_ctrl.scala 120:71] + wire _T_17 = picm_rden_ff & picm_wren_ff; // @[pic_ctrl.scala 121:53] + wire _T_18 = picm_raddr_ff == picm_waddr_ff; // @[pic_ctrl.scala 121:86] + wire picm_bypass_ff = _T_17 & _T_18; // @[pic_ctrl.scala 121:68] + wire _T_19 = io_lsu_pic_picm_mken | io_lsu_pic_picm_rden; // @[pic_ctrl.scala 125:50] + wire _T_20 = waddr_intpriority_base_match & picm_wren_ff; // @[pic_ctrl.scala 127:59] + wire _T_21 = raddr_intpriority_base_match & picm_rden_ff; // @[pic_ctrl.scala 127:108] + wire _T_22 = _T_20 | _T_21; // @[pic_ctrl.scala 127:76] + wire _T_23 = waddr_intenable_base_match & picm_wren_ff; // @[pic_ctrl.scala 128:57] + wire _T_24 = raddr_intenable_base_match & picm_rden_ff; // @[pic_ctrl.scala 128:104] + wire _T_25 = _T_23 | _T_24; // @[pic_ctrl.scala 128:74] + wire _T_26 = waddr_config_gw_base_match & picm_wren_ff; // @[pic_ctrl.scala 129:59] + wire _T_27 = raddr_config_gw_base_match & picm_rden_ff; // @[pic_ctrl.scala 129:108] + wire _T_28 = _T_26 | _T_27; // @[pic_ctrl.scala 129:76] + reg [30:0] _T_33; // @[lib.scala 37:81] + reg [30:0] _T_34; // @[lib.scala 37:58] + wire [31:0] extintsrc_req_sync = {_T_34,io_extintsrc_req[0]}; // @[Cat.scala 29:58] + wire _T_37 = picm_waddr_ff[6:2] == 5'h1; // @[pic_ctrl.scala 141:139] + wire _T_38 = waddr_intpriority_base_match & _T_37; // @[pic_ctrl.scala 141:106] + wire intpriority_reg_we_1 = _T_38 & picm_wren_ff; // @[pic_ctrl.scala 141:153] + wire _T_40 = picm_waddr_ff[6:2] == 5'h2; // @[pic_ctrl.scala 141:139] + wire _T_41 = waddr_intpriority_base_match & _T_40; // @[pic_ctrl.scala 141:106] + wire intpriority_reg_we_2 = _T_41 & picm_wren_ff; // @[pic_ctrl.scala 141:153] + wire _T_43 = picm_waddr_ff[6:2] == 5'h3; // @[pic_ctrl.scala 141:139] + wire _T_44 = waddr_intpriority_base_match & _T_43; // @[pic_ctrl.scala 141:106] + wire intpriority_reg_we_3 = _T_44 & picm_wren_ff; // @[pic_ctrl.scala 141:153] + wire _T_46 = picm_waddr_ff[6:2] == 5'h4; // @[pic_ctrl.scala 141:139] + wire _T_47 = waddr_intpriority_base_match & _T_46; // @[pic_ctrl.scala 141:106] + wire intpriority_reg_we_4 = _T_47 & picm_wren_ff; // @[pic_ctrl.scala 141:153] + wire _T_49 = picm_waddr_ff[6:2] == 5'h5; // @[pic_ctrl.scala 141:139] + wire _T_50 = waddr_intpriority_base_match & _T_49; // @[pic_ctrl.scala 141:106] + wire intpriority_reg_we_5 = _T_50 & picm_wren_ff; // @[pic_ctrl.scala 141:153] + wire _T_52 = picm_waddr_ff[6:2] == 5'h6; // @[pic_ctrl.scala 141:139] + wire _T_53 = waddr_intpriority_base_match & _T_52; // @[pic_ctrl.scala 141:106] + wire intpriority_reg_we_6 = _T_53 & picm_wren_ff; // @[pic_ctrl.scala 141:153] + wire _T_55 = picm_waddr_ff[6:2] == 5'h7; // @[pic_ctrl.scala 141:139] + wire _T_56 = waddr_intpriority_base_match & _T_55; // @[pic_ctrl.scala 141:106] + wire intpriority_reg_we_7 = _T_56 & picm_wren_ff; // @[pic_ctrl.scala 141:153] + wire _T_58 = picm_waddr_ff[6:2] == 5'h8; // @[pic_ctrl.scala 141:139] + wire _T_59 = waddr_intpriority_base_match & _T_58; // @[pic_ctrl.scala 141:106] + wire intpriority_reg_we_8 = _T_59 & picm_wren_ff; // @[pic_ctrl.scala 141:153] + wire _T_61 = picm_waddr_ff[6:2] == 5'h9; // @[pic_ctrl.scala 141:139] + wire _T_62 = waddr_intpriority_base_match & _T_61; // @[pic_ctrl.scala 141:106] + wire intpriority_reg_we_9 = _T_62 & picm_wren_ff; // @[pic_ctrl.scala 141:153] + wire _T_64 = picm_waddr_ff[6:2] == 5'ha; // @[pic_ctrl.scala 141:139] + wire _T_65 = waddr_intpriority_base_match & _T_64; // @[pic_ctrl.scala 141:106] + wire intpriority_reg_we_10 = _T_65 & picm_wren_ff; // @[pic_ctrl.scala 141:153] + wire _T_67 = picm_waddr_ff[6:2] == 5'hb; // @[pic_ctrl.scala 141:139] + wire _T_68 = waddr_intpriority_base_match & _T_67; // @[pic_ctrl.scala 141:106] + wire intpriority_reg_we_11 = _T_68 & picm_wren_ff; // @[pic_ctrl.scala 141:153] + wire _T_70 = picm_waddr_ff[6:2] == 5'hc; // @[pic_ctrl.scala 141:139] + wire _T_71 = waddr_intpriority_base_match & _T_70; // @[pic_ctrl.scala 141:106] + wire intpriority_reg_we_12 = _T_71 & picm_wren_ff; // @[pic_ctrl.scala 141:153] + wire _T_73 = picm_waddr_ff[6:2] == 5'hd; // @[pic_ctrl.scala 141:139] + wire _T_74 = waddr_intpriority_base_match & _T_73; // @[pic_ctrl.scala 141:106] + wire intpriority_reg_we_13 = _T_74 & picm_wren_ff; // @[pic_ctrl.scala 141:153] + wire _T_76 = picm_waddr_ff[6:2] == 5'he; // @[pic_ctrl.scala 141:139] + wire _T_77 = waddr_intpriority_base_match & _T_76; // @[pic_ctrl.scala 141:106] + wire intpriority_reg_we_14 = _T_77 & picm_wren_ff; // @[pic_ctrl.scala 141:153] + wire _T_79 = picm_waddr_ff[6:2] == 5'hf; // @[pic_ctrl.scala 141:139] + wire _T_80 = waddr_intpriority_base_match & _T_79; // @[pic_ctrl.scala 141:106] + wire intpriority_reg_we_15 = _T_80 & picm_wren_ff; // @[pic_ctrl.scala 141:153] + wire _T_82 = picm_waddr_ff[6:2] == 5'h10; // @[pic_ctrl.scala 141:139] + wire _T_83 = waddr_intpriority_base_match & _T_82; // @[pic_ctrl.scala 141:106] + wire intpriority_reg_we_16 = _T_83 & picm_wren_ff; // @[pic_ctrl.scala 141:153] + wire _T_85 = picm_waddr_ff[6:2] == 5'h11; // @[pic_ctrl.scala 141:139] + wire _T_86 = waddr_intpriority_base_match & _T_85; // @[pic_ctrl.scala 141:106] + wire intpriority_reg_we_17 = _T_86 & picm_wren_ff; // @[pic_ctrl.scala 141:153] + wire _T_88 = picm_waddr_ff[6:2] == 5'h12; // @[pic_ctrl.scala 141:139] + wire _T_89 = waddr_intpriority_base_match & _T_88; // @[pic_ctrl.scala 141:106] + wire intpriority_reg_we_18 = _T_89 & picm_wren_ff; // @[pic_ctrl.scala 141:153] + wire _T_91 = picm_waddr_ff[6:2] == 5'h13; // @[pic_ctrl.scala 141:139] + wire _T_92 = waddr_intpriority_base_match & _T_91; // @[pic_ctrl.scala 141:106] + wire intpriority_reg_we_19 = _T_92 & picm_wren_ff; // @[pic_ctrl.scala 141:153] + wire _T_94 = picm_waddr_ff[6:2] == 5'h14; // @[pic_ctrl.scala 141:139] + wire _T_95 = waddr_intpriority_base_match & _T_94; // @[pic_ctrl.scala 141:106] + wire intpriority_reg_we_20 = _T_95 & picm_wren_ff; // @[pic_ctrl.scala 141:153] + wire _T_97 = picm_waddr_ff[6:2] == 5'h15; // @[pic_ctrl.scala 141:139] + wire _T_98 = waddr_intpriority_base_match & _T_97; // @[pic_ctrl.scala 141:106] + wire intpriority_reg_we_21 = _T_98 & picm_wren_ff; // @[pic_ctrl.scala 141:153] + wire _T_100 = picm_waddr_ff[6:2] == 5'h16; // @[pic_ctrl.scala 141:139] + wire _T_101 = waddr_intpriority_base_match & _T_100; // @[pic_ctrl.scala 141:106] + wire intpriority_reg_we_22 = _T_101 & picm_wren_ff; // @[pic_ctrl.scala 141:153] + wire _T_103 = picm_waddr_ff[6:2] == 5'h17; // @[pic_ctrl.scala 141:139] + wire _T_104 = waddr_intpriority_base_match & _T_103; // @[pic_ctrl.scala 141:106] + wire intpriority_reg_we_23 = _T_104 & picm_wren_ff; // @[pic_ctrl.scala 141:153] + wire _T_106 = picm_waddr_ff[6:2] == 5'h18; // @[pic_ctrl.scala 141:139] + wire _T_107 = waddr_intpriority_base_match & _T_106; // @[pic_ctrl.scala 141:106] + wire intpriority_reg_we_24 = _T_107 & picm_wren_ff; // @[pic_ctrl.scala 141:153] + wire _T_109 = picm_waddr_ff[6:2] == 5'h19; // @[pic_ctrl.scala 141:139] + wire _T_110 = waddr_intpriority_base_match & _T_109; // @[pic_ctrl.scala 141:106] + wire intpriority_reg_we_25 = _T_110 & picm_wren_ff; // @[pic_ctrl.scala 141:153] + wire _T_112 = picm_waddr_ff[6:2] == 5'h1a; // @[pic_ctrl.scala 141:139] + wire _T_113 = waddr_intpriority_base_match & _T_112; // @[pic_ctrl.scala 141:106] + wire intpriority_reg_we_26 = _T_113 & picm_wren_ff; // @[pic_ctrl.scala 141:153] + wire _T_115 = picm_waddr_ff[6:2] == 5'h1b; // @[pic_ctrl.scala 141:139] + wire _T_116 = waddr_intpriority_base_match & _T_115; // @[pic_ctrl.scala 141:106] + wire intpriority_reg_we_27 = _T_116 & picm_wren_ff; // @[pic_ctrl.scala 141:153] + wire _T_118 = picm_waddr_ff[6:2] == 5'h1c; // @[pic_ctrl.scala 141:139] + wire _T_119 = waddr_intpriority_base_match & _T_118; // @[pic_ctrl.scala 141:106] + wire intpriority_reg_we_28 = _T_119 & picm_wren_ff; // @[pic_ctrl.scala 141:153] + wire _T_121 = picm_waddr_ff[6:2] == 5'h1d; // @[pic_ctrl.scala 141:139] + wire _T_122 = waddr_intpriority_base_match & _T_121; // @[pic_ctrl.scala 141:106] + wire intpriority_reg_we_29 = _T_122 & picm_wren_ff; // @[pic_ctrl.scala 141:153] + wire _T_124 = picm_waddr_ff[6:2] == 5'h1e; // @[pic_ctrl.scala 141:139] + wire _T_125 = waddr_intpriority_base_match & _T_124; // @[pic_ctrl.scala 141:106] + wire intpriority_reg_we_30 = _T_125 & picm_wren_ff; // @[pic_ctrl.scala 141:153] + wire _T_127 = picm_waddr_ff[6:2] == 5'h1f; // @[pic_ctrl.scala 141:139] + wire _T_128 = waddr_intpriority_base_match & _T_127; // @[pic_ctrl.scala 141:106] + wire intpriority_reg_we_31 = _T_128 & picm_wren_ff; // @[pic_ctrl.scala 141:153] + wire _T_130 = picm_raddr_ff[6:2] == 5'h1; // @[pic_ctrl.scala 142:139] + wire _T_131 = raddr_intpriority_base_match & _T_130; // @[pic_ctrl.scala 142:106] + wire intpriority_reg_re_1 = _T_131 & picm_rden_ff; // @[pic_ctrl.scala 142:153] + wire _T_133 = picm_raddr_ff[6:2] == 5'h2; // @[pic_ctrl.scala 142:139] + wire _T_134 = raddr_intpriority_base_match & _T_133; // @[pic_ctrl.scala 142:106] + wire intpriority_reg_re_2 = _T_134 & picm_rden_ff; // @[pic_ctrl.scala 142:153] + wire _T_136 = picm_raddr_ff[6:2] == 5'h3; // @[pic_ctrl.scala 142:139] + wire _T_137 = raddr_intpriority_base_match & _T_136; // @[pic_ctrl.scala 142:106] + wire intpriority_reg_re_3 = _T_137 & picm_rden_ff; // @[pic_ctrl.scala 142:153] + wire _T_139 = picm_raddr_ff[6:2] == 5'h4; // @[pic_ctrl.scala 142:139] + wire _T_140 = raddr_intpriority_base_match & _T_139; // @[pic_ctrl.scala 142:106] + wire intpriority_reg_re_4 = _T_140 & picm_rden_ff; // @[pic_ctrl.scala 142:153] + wire _T_142 = picm_raddr_ff[6:2] == 5'h5; // @[pic_ctrl.scala 142:139] + wire _T_143 = raddr_intpriority_base_match & _T_142; // @[pic_ctrl.scala 142:106] + wire intpriority_reg_re_5 = _T_143 & picm_rden_ff; // @[pic_ctrl.scala 142:153] + wire _T_145 = picm_raddr_ff[6:2] == 5'h6; // @[pic_ctrl.scala 142:139] + wire _T_146 = raddr_intpriority_base_match & _T_145; // @[pic_ctrl.scala 142:106] + wire intpriority_reg_re_6 = _T_146 & picm_rden_ff; // @[pic_ctrl.scala 142:153] + wire _T_148 = picm_raddr_ff[6:2] == 5'h7; // @[pic_ctrl.scala 142:139] + wire _T_149 = raddr_intpriority_base_match & _T_148; // @[pic_ctrl.scala 142:106] + wire intpriority_reg_re_7 = _T_149 & picm_rden_ff; // @[pic_ctrl.scala 142:153] + wire _T_151 = picm_raddr_ff[6:2] == 5'h8; // @[pic_ctrl.scala 142:139] + wire _T_152 = raddr_intpriority_base_match & _T_151; // @[pic_ctrl.scala 142:106] + wire intpriority_reg_re_8 = _T_152 & picm_rden_ff; // @[pic_ctrl.scala 142:153] + wire _T_154 = picm_raddr_ff[6:2] == 5'h9; // @[pic_ctrl.scala 142:139] + wire _T_155 = raddr_intpriority_base_match & _T_154; // @[pic_ctrl.scala 142:106] + wire intpriority_reg_re_9 = _T_155 & picm_rden_ff; // @[pic_ctrl.scala 142:153] + wire _T_157 = picm_raddr_ff[6:2] == 5'ha; // @[pic_ctrl.scala 142:139] + wire _T_158 = raddr_intpriority_base_match & _T_157; // @[pic_ctrl.scala 142:106] + wire intpriority_reg_re_10 = _T_158 & picm_rden_ff; // @[pic_ctrl.scala 142:153] + wire _T_160 = picm_raddr_ff[6:2] == 5'hb; // @[pic_ctrl.scala 142:139] + wire _T_161 = raddr_intpriority_base_match & _T_160; // @[pic_ctrl.scala 142:106] + wire intpriority_reg_re_11 = _T_161 & picm_rden_ff; // @[pic_ctrl.scala 142:153] + wire _T_163 = picm_raddr_ff[6:2] == 5'hc; // @[pic_ctrl.scala 142:139] + wire _T_164 = raddr_intpriority_base_match & _T_163; // @[pic_ctrl.scala 142:106] + wire intpriority_reg_re_12 = _T_164 & picm_rden_ff; // @[pic_ctrl.scala 142:153] + wire _T_166 = picm_raddr_ff[6:2] == 5'hd; // @[pic_ctrl.scala 142:139] + wire _T_167 = raddr_intpriority_base_match & _T_166; // @[pic_ctrl.scala 142:106] + wire intpriority_reg_re_13 = _T_167 & picm_rden_ff; // @[pic_ctrl.scala 142:153] + wire _T_169 = picm_raddr_ff[6:2] == 5'he; // @[pic_ctrl.scala 142:139] + wire _T_170 = raddr_intpriority_base_match & _T_169; // @[pic_ctrl.scala 142:106] + wire intpriority_reg_re_14 = _T_170 & picm_rden_ff; // @[pic_ctrl.scala 142:153] + wire _T_172 = picm_raddr_ff[6:2] == 5'hf; // @[pic_ctrl.scala 142:139] + wire _T_173 = raddr_intpriority_base_match & _T_172; // @[pic_ctrl.scala 142:106] + wire intpriority_reg_re_15 = _T_173 & picm_rden_ff; // @[pic_ctrl.scala 142:153] + wire _T_175 = picm_raddr_ff[6:2] == 5'h10; // @[pic_ctrl.scala 142:139] + wire _T_176 = raddr_intpriority_base_match & _T_175; // @[pic_ctrl.scala 142:106] + wire intpriority_reg_re_16 = _T_176 & picm_rden_ff; // @[pic_ctrl.scala 142:153] + wire _T_178 = picm_raddr_ff[6:2] == 5'h11; // @[pic_ctrl.scala 142:139] + wire _T_179 = raddr_intpriority_base_match & _T_178; // @[pic_ctrl.scala 142:106] + wire intpriority_reg_re_17 = _T_179 & picm_rden_ff; // @[pic_ctrl.scala 142:153] + wire _T_181 = picm_raddr_ff[6:2] == 5'h12; // @[pic_ctrl.scala 142:139] + wire _T_182 = raddr_intpriority_base_match & _T_181; // @[pic_ctrl.scala 142:106] + wire intpriority_reg_re_18 = _T_182 & picm_rden_ff; // @[pic_ctrl.scala 142:153] + wire _T_184 = picm_raddr_ff[6:2] == 5'h13; // @[pic_ctrl.scala 142:139] + wire _T_185 = raddr_intpriority_base_match & _T_184; // @[pic_ctrl.scala 142:106] + wire intpriority_reg_re_19 = _T_185 & picm_rden_ff; // @[pic_ctrl.scala 142:153] + wire _T_187 = picm_raddr_ff[6:2] == 5'h14; // @[pic_ctrl.scala 142:139] + wire _T_188 = raddr_intpriority_base_match & _T_187; // @[pic_ctrl.scala 142:106] + wire intpriority_reg_re_20 = _T_188 & picm_rden_ff; // @[pic_ctrl.scala 142:153] + wire _T_190 = picm_raddr_ff[6:2] == 5'h15; // @[pic_ctrl.scala 142:139] + wire _T_191 = raddr_intpriority_base_match & _T_190; // @[pic_ctrl.scala 142:106] + wire intpriority_reg_re_21 = _T_191 & picm_rden_ff; // @[pic_ctrl.scala 142:153] + wire _T_193 = picm_raddr_ff[6:2] == 5'h16; // @[pic_ctrl.scala 142:139] + wire _T_194 = raddr_intpriority_base_match & _T_193; // @[pic_ctrl.scala 142:106] + wire intpriority_reg_re_22 = _T_194 & picm_rden_ff; // @[pic_ctrl.scala 142:153] + wire _T_196 = picm_raddr_ff[6:2] == 5'h17; // @[pic_ctrl.scala 142:139] + wire _T_197 = raddr_intpriority_base_match & _T_196; // @[pic_ctrl.scala 142:106] + wire intpriority_reg_re_23 = _T_197 & picm_rden_ff; // @[pic_ctrl.scala 142:153] + wire _T_199 = picm_raddr_ff[6:2] == 5'h18; // @[pic_ctrl.scala 142:139] + wire _T_200 = raddr_intpriority_base_match & _T_199; // @[pic_ctrl.scala 142:106] + wire intpriority_reg_re_24 = _T_200 & picm_rden_ff; // @[pic_ctrl.scala 142:153] + wire _T_202 = picm_raddr_ff[6:2] == 5'h19; // @[pic_ctrl.scala 142:139] + wire _T_203 = raddr_intpriority_base_match & _T_202; // @[pic_ctrl.scala 142:106] + wire intpriority_reg_re_25 = _T_203 & picm_rden_ff; // @[pic_ctrl.scala 142:153] + wire _T_205 = picm_raddr_ff[6:2] == 5'h1a; // @[pic_ctrl.scala 142:139] + wire _T_206 = raddr_intpriority_base_match & _T_205; // @[pic_ctrl.scala 142:106] + wire intpriority_reg_re_26 = _T_206 & picm_rden_ff; // @[pic_ctrl.scala 142:153] + wire _T_208 = picm_raddr_ff[6:2] == 5'h1b; // @[pic_ctrl.scala 142:139] + wire _T_209 = raddr_intpriority_base_match & _T_208; // @[pic_ctrl.scala 142:106] + wire intpriority_reg_re_27 = _T_209 & picm_rden_ff; // @[pic_ctrl.scala 142:153] + wire _T_211 = picm_raddr_ff[6:2] == 5'h1c; // @[pic_ctrl.scala 142:139] + wire _T_212 = raddr_intpriority_base_match & _T_211; // @[pic_ctrl.scala 142:106] + wire intpriority_reg_re_28 = _T_212 & picm_rden_ff; // @[pic_ctrl.scala 142:153] + wire _T_214 = picm_raddr_ff[6:2] == 5'h1d; // @[pic_ctrl.scala 142:139] + wire _T_215 = raddr_intpriority_base_match & _T_214; // @[pic_ctrl.scala 142:106] + wire intpriority_reg_re_29 = _T_215 & picm_rden_ff; // @[pic_ctrl.scala 142:153] + wire _T_217 = picm_raddr_ff[6:2] == 5'h1e; // @[pic_ctrl.scala 142:139] + wire _T_218 = raddr_intpriority_base_match & _T_217; // @[pic_ctrl.scala 142:106] + wire intpriority_reg_re_30 = _T_218 & picm_rden_ff; // @[pic_ctrl.scala 142:153] + wire _T_220 = picm_raddr_ff[6:2] == 5'h1f; // @[pic_ctrl.scala 142:139] + wire _T_221 = raddr_intpriority_base_match & _T_220; // @[pic_ctrl.scala 142:106] + wire intpriority_reg_re_31 = _T_221 & picm_rden_ff; // @[pic_ctrl.scala 142:153] + wire _T_224 = waddr_intenable_base_match & _T_37; // @[pic_ctrl.scala 143:106] + wire intenable_reg_we_1 = _T_224 & picm_wren_ff; // @[pic_ctrl.scala 143:153] + wire _T_227 = waddr_intenable_base_match & _T_40; // @[pic_ctrl.scala 143:106] + wire intenable_reg_we_2 = _T_227 & picm_wren_ff; // @[pic_ctrl.scala 143:153] + wire _T_230 = waddr_intenable_base_match & _T_43; // @[pic_ctrl.scala 143:106] + wire intenable_reg_we_3 = _T_230 & picm_wren_ff; // @[pic_ctrl.scala 143:153] + wire _T_233 = waddr_intenable_base_match & _T_46; // @[pic_ctrl.scala 143:106] + wire intenable_reg_we_4 = _T_233 & picm_wren_ff; // @[pic_ctrl.scala 143:153] + wire _T_236 = waddr_intenable_base_match & _T_49; // @[pic_ctrl.scala 143:106] + wire intenable_reg_we_5 = _T_236 & picm_wren_ff; // @[pic_ctrl.scala 143:153] + wire _T_239 = waddr_intenable_base_match & _T_52; // @[pic_ctrl.scala 143:106] + wire intenable_reg_we_6 = _T_239 & picm_wren_ff; // @[pic_ctrl.scala 143:153] + wire _T_242 = waddr_intenable_base_match & _T_55; // @[pic_ctrl.scala 143:106] + wire intenable_reg_we_7 = _T_242 & picm_wren_ff; // @[pic_ctrl.scala 143:153] + wire _T_245 = waddr_intenable_base_match & _T_58; // @[pic_ctrl.scala 143:106] + wire intenable_reg_we_8 = _T_245 & picm_wren_ff; // @[pic_ctrl.scala 143:153] + wire _T_248 = waddr_intenable_base_match & _T_61; // @[pic_ctrl.scala 143:106] + wire intenable_reg_we_9 = _T_248 & picm_wren_ff; // @[pic_ctrl.scala 143:153] + wire _T_251 = waddr_intenable_base_match & _T_64; // @[pic_ctrl.scala 143:106] + wire intenable_reg_we_10 = _T_251 & picm_wren_ff; // @[pic_ctrl.scala 143:153] + wire _T_254 = waddr_intenable_base_match & _T_67; // @[pic_ctrl.scala 143:106] + wire intenable_reg_we_11 = _T_254 & picm_wren_ff; // @[pic_ctrl.scala 143:153] + wire _T_257 = waddr_intenable_base_match & _T_70; // @[pic_ctrl.scala 143:106] + wire intenable_reg_we_12 = _T_257 & picm_wren_ff; // @[pic_ctrl.scala 143:153] + wire _T_260 = waddr_intenable_base_match & _T_73; // @[pic_ctrl.scala 143:106] + wire intenable_reg_we_13 = _T_260 & picm_wren_ff; // @[pic_ctrl.scala 143:153] + wire _T_263 = waddr_intenable_base_match & _T_76; // @[pic_ctrl.scala 143:106] + wire intenable_reg_we_14 = _T_263 & picm_wren_ff; // @[pic_ctrl.scala 143:153] + wire _T_266 = waddr_intenable_base_match & _T_79; // @[pic_ctrl.scala 143:106] + wire intenable_reg_we_15 = _T_266 & picm_wren_ff; // @[pic_ctrl.scala 143:153] + wire _T_269 = waddr_intenable_base_match & _T_82; // @[pic_ctrl.scala 143:106] + wire intenable_reg_we_16 = _T_269 & picm_wren_ff; // @[pic_ctrl.scala 143:153] + wire _T_272 = waddr_intenable_base_match & _T_85; // @[pic_ctrl.scala 143:106] + wire intenable_reg_we_17 = _T_272 & picm_wren_ff; // @[pic_ctrl.scala 143:153] + wire _T_275 = waddr_intenable_base_match & _T_88; // @[pic_ctrl.scala 143:106] + wire intenable_reg_we_18 = _T_275 & picm_wren_ff; // @[pic_ctrl.scala 143:153] + wire _T_278 = waddr_intenable_base_match & _T_91; // @[pic_ctrl.scala 143:106] + wire intenable_reg_we_19 = _T_278 & picm_wren_ff; // @[pic_ctrl.scala 143:153] + wire _T_281 = waddr_intenable_base_match & _T_94; // @[pic_ctrl.scala 143:106] + wire intenable_reg_we_20 = _T_281 & picm_wren_ff; // @[pic_ctrl.scala 143:153] + wire _T_284 = waddr_intenable_base_match & _T_97; // @[pic_ctrl.scala 143:106] + wire intenable_reg_we_21 = _T_284 & picm_wren_ff; // @[pic_ctrl.scala 143:153] + wire _T_287 = waddr_intenable_base_match & _T_100; // @[pic_ctrl.scala 143:106] + wire intenable_reg_we_22 = _T_287 & picm_wren_ff; // @[pic_ctrl.scala 143:153] + wire _T_290 = waddr_intenable_base_match & _T_103; // @[pic_ctrl.scala 143:106] + wire intenable_reg_we_23 = _T_290 & picm_wren_ff; // @[pic_ctrl.scala 143:153] + wire _T_293 = waddr_intenable_base_match & _T_106; // @[pic_ctrl.scala 143:106] + wire intenable_reg_we_24 = _T_293 & picm_wren_ff; // @[pic_ctrl.scala 143:153] + wire _T_296 = waddr_intenable_base_match & _T_109; // @[pic_ctrl.scala 143:106] + wire intenable_reg_we_25 = _T_296 & picm_wren_ff; // @[pic_ctrl.scala 143:153] + wire _T_299 = waddr_intenable_base_match & _T_112; // @[pic_ctrl.scala 143:106] + wire intenable_reg_we_26 = _T_299 & picm_wren_ff; // @[pic_ctrl.scala 143:153] + wire _T_302 = waddr_intenable_base_match & _T_115; // @[pic_ctrl.scala 143:106] + wire intenable_reg_we_27 = _T_302 & picm_wren_ff; // @[pic_ctrl.scala 143:153] + wire _T_305 = waddr_intenable_base_match & _T_118; // @[pic_ctrl.scala 143:106] + wire intenable_reg_we_28 = _T_305 & picm_wren_ff; // @[pic_ctrl.scala 143:153] + wire _T_308 = waddr_intenable_base_match & _T_121; // @[pic_ctrl.scala 143:106] + wire intenable_reg_we_29 = _T_308 & picm_wren_ff; // @[pic_ctrl.scala 143:153] + wire _T_311 = waddr_intenable_base_match & _T_124; // @[pic_ctrl.scala 143:106] + wire intenable_reg_we_30 = _T_311 & picm_wren_ff; // @[pic_ctrl.scala 143:153] + wire _T_314 = waddr_intenable_base_match & _T_127; // @[pic_ctrl.scala 143:106] + wire intenable_reg_we_31 = _T_314 & picm_wren_ff; // @[pic_ctrl.scala 143:153] + wire _T_317 = raddr_intenable_base_match & _T_130; // @[pic_ctrl.scala 144:106] + wire intenable_reg_re_1 = _T_317 & picm_rden_ff; // @[pic_ctrl.scala 144:153] + wire _T_320 = raddr_intenable_base_match & _T_133; // @[pic_ctrl.scala 144:106] + wire intenable_reg_re_2 = _T_320 & picm_rden_ff; // @[pic_ctrl.scala 144:153] + wire _T_323 = raddr_intenable_base_match & _T_136; // @[pic_ctrl.scala 144:106] + wire intenable_reg_re_3 = _T_323 & picm_rden_ff; // @[pic_ctrl.scala 144:153] + wire _T_326 = raddr_intenable_base_match & _T_139; // @[pic_ctrl.scala 144:106] + wire intenable_reg_re_4 = _T_326 & picm_rden_ff; // @[pic_ctrl.scala 144:153] + wire _T_329 = raddr_intenable_base_match & _T_142; // @[pic_ctrl.scala 144:106] + wire intenable_reg_re_5 = _T_329 & picm_rden_ff; // @[pic_ctrl.scala 144:153] + wire _T_332 = raddr_intenable_base_match & _T_145; // @[pic_ctrl.scala 144:106] + wire intenable_reg_re_6 = _T_332 & picm_rden_ff; // @[pic_ctrl.scala 144:153] + wire _T_335 = raddr_intenable_base_match & _T_148; // @[pic_ctrl.scala 144:106] + wire intenable_reg_re_7 = _T_335 & picm_rden_ff; // @[pic_ctrl.scala 144:153] + wire _T_338 = raddr_intenable_base_match & _T_151; // @[pic_ctrl.scala 144:106] + wire intenable_reg_re_8 = _T_338 & picm_rden_ff; // @[pic_ctrl.scala 144:153] + wire _T_341 = raddr_intenable_base_match & _T_154; // @[pic_ctrl.scala 144:106] + wire intenable_reg_re_9 = _T_341 & picm_rden_ff; // @[pic_ctrl.scala 144:153] + wire _T_344 = raddr_intenable_base_match & _T_157; // @[pic_ctrl.scala 144:106] + wire intenable_reg_re_10 = _T_344 & picm_rden_ff; // @[pic_ctrl.scala 144:153] + wire _T_347 = raddr_intenable_base_match & _T_160; // @[pic_ctrl.scala 144:106] + wire intenable_reg_re_11 = _T_347 & picm_rden_ff; // @[pic_ctrl.scala 144:153] + wire _T_350 = raddr_intenable_base_match & _T_163; // @[pic_ctrl.scala 144:106] + wire intenable_reg_re_12 = _T_350 & picm_rden_ff; // @[pic_ctrl.scala 144:153] + wire _T_353 = raddr_intenable_base_match & _T_166; // @[pic_ctrl.scala 144:106] + wire intenable_reg_re_13 = _T_353 & picm_rden_ff; // @[pic_ctrl.scala 144:153] + wire _T_356 = raddr_intenable_base_match & _T_169; // @[pic_ctrl.scala 144:106] + wire intenable_reg_re_14 = _T_356 & picm_rden_ff; // @[pic_ctrl.scala 144:153] + wire _T_359 = raddr_intenable_base_match & _T_172; // @[pic_ctrl.scala 144:106] + wire intenable_reg_re_15 = _T_359 & picm_rden_ff; // @[pic_ctrl.scala 144:153] + wire _T_362 = raddr_intenable_base_match & _T_175; // @[pic_ctrl.scala 144:106] + wire intenable_reg_re_16 = _T_362 & picm_rden_ff; // @[pic_ctrl.scala 144:153] + wire _T_365 = raddr_intenable_base_match & _T_178; // @[pic_ctrl.scala 144:106] + wire intenable_reg_re_17 = _T_365 & picm_rden_ff; // @[pic_ctrl.scala 144:153] + wire _T_368 = raddr_intenable_base_match & _T_181; // @[pic_ctrl.scala 144:106] + wire intenable_reg_re_18 = _T_368 & picm_rden_ff; // @[pic_ctrl.scala 144:153] + wire _T_371 = raddr_intenable_base_match & _T_184; // @[pic_ctrl.scala 144:106] + wire intenable_reg_re_19 = _T_371 & picm_rden_ff; // @[pic_ctrl.scala 144:153] + wire _T_374 = raddr_intenable_base_match & _T_187; // @[pic_ctrl.scala 144:106] + wire intenable_reg_re_20 = _T_374 & picm_rden_ff; // @[pic_ctrl.scala 144:153] + wire _T_377 = raddr_intenable_base_match & _T_190; // @[pic_ctrl.scala 144:106] + wire intenable_reg_re_21 = _T_377 & picm_rden_ff; // @[pic_ctrl.scala 144:153] + wire _T_380 = raddr_intenable_base_match & _T_193; // @[pic_ctrl.scala 144:106] + wire intenable_reg_re_22 = _T_380 & picm_rden_ff; // @[pic_ctrl.scala 144:153] + wire _T_383 = raddr_intenable_base_match & _T_196; // @[pic_ctrl.scala 144:106] + wire intenable_reg_re_23 = _T_383 & picm_rden_ff; // @[pic_ctrl.scala 144:153] + wire _T_386 = raddr_intenable_base_match & _T_199; // @[pic_ctrl.scala 144:106] + wire intenable_reg_re_24 = _T_386 & picm_rden_ff; // @[pic_ctrl.scala 144:153] + wire _T_389 = raddr_intenable_base_match & _T_202; // @[pic_ctrl.scala 144:106] + wire intenable_reg_re_25 = _T_389 & picm_rden_ff; // @[pic_ctrl.scala 144:153] + wire _T_392 = raddr_intenable_base_match & _T_205; // @[pic_ctrl.scala 144:106] + wire intenable_reg_re_26 = _T_392 & picm_rden_ff; // @[pic_ctrl.scala 144:153] + wire _T_395 = raddr_intenable_base_match & _T_208; // @[pic_ctrl.scala 144:106] + wire intenable_reg_re_27 = _T_395 & picm_rden_ff; // @[pic_ctrl.scala 144:153] + wire _T_398 = raddr_intenable_base_match & _T_211; // @[pic_ctrl.scala 144:106] + wire intenable_reg_re_28 = _T_398 & picm_rden_ff; // @[pic_ctrl.scala 144:153] + wire _T_401 = raddr_intenable_base_match & _T_214; // @[pic_ctrl.scala 144:106] + wire intenable_reg_re_29 = _T_401 & picm_rden_ff; // @[pic_ctrl.scala 144:153] + wire _T_404 = raddr_intenable_base_match & _T_217; // @[pic_ctrl.scala 144:106] + wire intenable_reg_re_30 = _T_404 & picm_rden_ff; // @[pic_ctrl.scala 144:153] + wire _T_407 = raddr_intenable_base_match & _T_220; // @[pic_ctrl.scala 144:106] + wire intenable_reg_re_31 = _T_407 & picm_rden_ff; // @[pic_ctrl.scala 144:153] + wire _T_410 = waddr_config_gw_base_match & _T_37; // @[pic_ctrl.scala 145:106] + wire gw_config_reg_we_1 = _T_410 & picm_wren_ff; // @[pic_ctrl.scala 145:153] + wire _T_413 = waddr_config_gw_base_match & _T_40; // @[pic_ctrl.scala 145:106] + wire gw_config_reg_we_2 = _T_413 & picm_wren_ff; // @[pic_ctrl.scala 145:153] + wire _T_416 = waddr_config_gw_base_match & _T_43; // @[pic_ctrl.scala 145:106] + wire gw_config_reg_we_3 = _T_416 & picm_wren_ff; // @[pic_ctrl.scala 145:153] + wire _T_419 = waddr_config_gw_base_match & _T_46; // @[pic_ctrl.scala 145:106] + wire gw_config_reg_we_4 = _T_419 & picm_wren_ff; // @[pic_ctrl.scala 145:153] + wire _T_422 = waddr_config_gw_base_match & _T_49; // @[pic_ctrl.scala 145:106] + wire gw_config_reg_we_5 = _T_422 & picm_wren_ff; // @[pic_ctrl.scala 145:153] + wire _T_425 = waddr_config_gw_base_match & _T_52; // @[pic_ctrl.scala 145:106] + wire gw_config_reg_we_6 = _T_425 & picm_wren_ff; // @[pic_ctrl.scala 145:153] + wire _T_428 = waddr_config_gw_base_match & _T_55; // @[pic_ctrl.scala 145:106] + wire gw_config_reg_we_7 = _T_428 & picm_wren_ff; // @[pic_ctrl.scala 145:153] + wire _T_431 = waddr_config_gw_base_match & _T_58; // @[pic_ctrl.scala 145:106] + wire gw_config_reg_we_8 = _T_431 & picm_wren_ff; // @[pic_ctrl.scala 145:153] + wire _T_434 = waddr_config_gw_base_match & _T_61; // @[pic_ctrl.scala 145:106] + wire gw_config_reg_we_9 = _T_434 & picm_wren_ff; // @[pic_ctrl.scala 145:153] + wire _T_437 = waddr_config_gw_base_match & _T_64; // @[pic_ctrl.scala 145:106] + wire gw_config_reg_we_10 = _T_437 & picm_wren_ff; // @[pic_ctrl.scala 145:153] + wire _T_440 = waddr_config_gw_base_match & _T_67; // @[pic_ctrl.scala 145:106] + wire gw_config_reg_we_11 = _T_440 & picm_wren_ff; // @[pic_ctrl.scala 145:153] + wire _T_443 = waddr_config_gw_base_match & _T_70; // @[pic_ctrl.scala 145:106] + wire gw_config_reg_we_12 = _T_443 & picm_wren_ff; // @[pic_ctrl.scala 145:153] + wire _T_446 = waddr_config_gw_base_match & _T_73; // @[pic_ctrl.scala 145:106] + wire gw_config_reg_we_13 = _T_446 & picm_wren_ff; // @[pic_ctrl.scala 145:153] + wire _T_449 = waddr_config_gw_base_match & _T_76; // @[pic_ctrl.scala 145:106] + wire gw_config_reg_we_14 = _T_449 & picm_wren_ff; // @[pic_ctrl.scala 145:153] + wire _T_452 = waddr_config_gw_base_match & _T_79; // @[pic_ctrl.scala 145:106] + wire gw_config_reg_we_15 = _T_452 & picm_wren_ff; // @[pic_ctrl.scala 145:153] + wire _T_455 = waddr_config_gw_base_match & _T_82; // @[pic_ctrl.scala 145:106] + wire gw_config_reg_we_16 = _T_455 & picm_wren_ff; // @[pic_ctrl.scala 145:153] + wire _T_458 = waddr_config_gw_base_match & _T_85; // @[pic_ctrl.scala 145:106] + wire gw_config_reg_we_17 = _T_458 & picm_wren_ff; // @[pic_ctrl.scala 145:153] + wire _T_461 = waddr_config_gw_base_match & _T_88; // @[pic_ctrl.scala 145:106] + wire gw_config_reg_we_18 = _T_461 & picm_wren_ff; // @[pic_ctrl.scala 145:153] + wire _T_464 = waddr_config_gw_base_match & _T_91; // @[pic_ctrl.scala 145:106] + wire gw_config_reg_we_19 = _T_464 & picm_wren_ff; // @[pic_ctrl.scala 145:153] + wire _T_467 = waddr_config_gw_base_match & _T_94; // @[pic_ctrl.scala 145:106] + wire gw_config_reg_we_20 = _T_467 & picm_wren_ff; // @[pic_ctrl.scala 145:153] + wire _T_470 = waddr_config_gw_base_match & _T_97; // @[pic_ctrl.scala 145:106] + wire gw_config_reg_we_21 = _T_470 & picm_wren_ff; // @[pic_ctrl.scala 145:153] + wire _T_473 = waddr_config_gw_base_match & _T_100; // @[pic_ctrl.scala 145:106] + wire gw_config_reg_we_22 = _T_473 & picm_wren_ff; // @[pic_ctrl.scala 145:153] + wire _T_476 = waddr_config_gw_base_match & _T_103; // @[pic_ctrl.scala 145:106] + wire gw_config_reg_we_23 = _T_476 & picm_wren_ff; // @[pic_ctrl.scala 145:153] + wire _T_479 = waddr_config_gw_base_match & _T_106; // @[pic_ctrl.scala 145:106] + wire gw_config_reg_we_24 = _T_479 & picm_wren_ff; // @[pic_ctrl.scala 145:153] + wire _T_482 = waddr_config_gw_base_match & _T_109; // @[pic_ctrl.scala 145:106] + wire gw_config_reg_we_25 = _T_482 & picm_wren_ff; // @[pic_ctrl.scala 145:153] + wire _T_485 = waddr_config_gw_base_match & _T_112; // @[pic_ctrl.scala 145:106] + wire gw_config_reg_we_26 = _T_485 & picm_wren_ff; // @[pic_ctrl.scala 145:153] + wire _T_488 = waddr_config_gw_base_match & _T_115; // @[pic_ctrl.scala 145:106] + wire gw_config_reg_we_27 = _T_488 & picm_wren_ff; // @[pic_ctrl.scala 145:153] + wire _T_491 = waddr_config_gw_base_match & _T_118; // @[pic_ctrl.scala 145:106] + wire gw_config_reg_we_28 = _T_491 & picm_wren_ff; // @[pic_ctrl.scala 145:153] + wire _T_494 = waddr_config_gw_base_match & _T_121; // @[pic_ctrl.scala 145:106] + wire gw_config_reg_we_29 = _T_494 & picm_wren_ff; // @[pic_ctrl.scala 145:153] + wire _T_497 = waddr_config_gw_base_match & _T_124; // @[pic_ctrl.scala 145:106] + wire gw_config_reg_we_30 = _T_497 & picm_wren_ff; // @[pic_ctrl.scala 145:153] + wire _T_500 = waddr_config_gw_base_match & _T_127; // @[pic_ctrl.scala 145:106] + wire gw_config_reg_we_31 = _T_500 & picm_wren_ff; // @[pic_ctrl.scala 145:153] + wire _T_503 = raddr_config_gw_base_match & _T_130; // @[pic_ctrl.scala 146:106] + wire gw_config_reg_re_1 = _T_503 & picm_rden_ff; // @[pic_ctrl.scala 146:153] + wire _T_506 = raddr_config_gw_base_match & _T_133; // @[pic_ctrl.scala 146:106] + wire gw_config_reg_re_2 = _T_506 & picm_rden_ff; // @[pic_ctrl.scala 146:153] + wire _T_509 = raddr_config_gw_base_match & _T_136; // @[pic_ctrl.scala 146:106] + wire gw_config_reg_re_3 = _T_509 & picm_rden_ff; // @[pic_ctrl.scala 146:153] + wire _T_512 = raddr_config_gw_base_match & _T_139; // @[pic_ctrl.scala 146:106] + wire gw_config_reg_re_4 = _T_512 & picm_rden_ff; // @[pic_ctrl.scala 146:153] + wire _T_515 = raddr_config_gw_base_match & _T_142; // @[pic_ctrl.scala 146:106] + wire gw_config_reg_re_5 = _T_515 & picm_rden_ff; // @[pic_ctrl.scala 146:153] + wire _T_518 = raddr_config_gw_base_match & _T_145; // @[pic_ctrl.scala 146:106] + wire gw_config_reg_re_6 = _T_518 & picm_rden_ff; // @[pic_ctrl.scala 146:153] + wire _T_521 = raddr_config_gw_base_match & _T_148; // @[pic_ctrl.scala 146:106] + wire gw_config_reg_re_7 = _T_521 & picm_rden_ff; // @[pic_ctrl.scala 146:153] + wire _T_524 = raddr_config_gw_base_match & _T_151; // @[pic_ctrl.scala 146:106] + wire gw_config_reg_re_8 = _T_524 & picm_rden_ff; // @[pic_ctrl.scala 146:153] + wire _T_527 = raddr_config_gw_base_match & _T_154; // @[pic_ctrl.scala 146:106] + wire gw_config_reg_re_9 = _T_527 & picm_rden_ff; // @[pic_ctrl.scala 146:153] + wire _T_530 = raddr_config_gw_base_match & _T_157; // @[pic_ctrl.scala 146:106] + wire gw_config_reg_re_10 = _T_530 & picm_rden_ff; // @[pic_ctrl.scala 146:153] + wire _T_533 = raddr_config_gw_base_match & _T_160; // @[pic_ctrl.scala 146:106] + wire gw_config_reg_re_11 = _T_533 & picm_rden_ff; // @[pic_ctrl.scala 146:153] + wire _T_536 = raddr_config_gw_base_match & _T_163; // @[pic_ctrl.scala 146:106] + wire gw_config_reg_re_12 = _T_536 & picm_rden_ff; // @[pic_ctrl.scala 146:153] + wire _T_539 = raddr_config_gw_base_match & _T_166; // @[pic_ctrl.scala 146:106] + wire gw_config_reg_re_13 = _T_539 & picm_rden_ff; // @[pic_ctrl.scala 146:153] + wire _T_542 = raddr_config_gw_base_match & _T_169; // @[pic_ctrl.scala 146:106] + wire gw_config_reg_re_14 = _T_542 & picm_rden_ff; // @[pic_ctrl.scala 146:153] + wire _T_545 = raddr_config_gw_base_match & _T_172; // @[pic_ctrl.scala 146:106] + wire gw_config_reg_re_15 = _T_545 & picm_rden_ff; // @[pic_ctrl.scala 146:153] + wire _T_548 = raddr_config_gw_base_match & _T_175; // @[pic_ctrl.scala 146:106] + wire gw_config_reg_re_16 = _T_548 & picm_rden_ff; // @[pic_ctrl.scala 146:153] + wire _T_551 = raddr_config_gw_base_match & _T_178; // @[pic_ctrl.scala 146:106] + wire gw_config_reg_re_17 = _T_551 & picm_rden_ff; // @[pic_ctrl.scala 146:153] + wire _T_554 = raddr_config_gw_base_match & _T_181; // @[pic_ctrl.scala 146:106] + wire gw_config_reg_re_18 = _T_554 & picm_rden_ff; // @[pic_ctrl.scala 146:153] + wire _T_557 = raddr_config_gw_base_match & _T_184; // @[pic_ctrl.scala 146:106] + wire gw_config_reg_re_19 = _T_557 & picm_rden_ff; // @[pic_ctrl.scala 146:153] + wire _T_560 = raddr_config_gw_base_match & _T_187; // @[pic_ctrl.scala 146:106] + wire gw_config_reg_re_20 = _T_560 & picm_rden_ff; // @[pic_ctrl.scala 146:153] + wire _T_563 = raddr_config_gw_base_match & _T_190; // @[pic_ctrl.scala 146:106] + wire gw_config_reg_re_21 = _T_563 & picm_rden_ff; // @[pic_ctrl.scala 146:153] + wire _T_566 = raddr_config_gw_base_match & _T_193; // @[pic_ctrl.scala 146:106] + wire gw_config_reg_re_22 = _T_566 & picm_rden_ff; // @[pic_ctrl.scala 146:153] + wire _T_569 = raddr_config_gw_base_match & _T_196; // @[pic_ctrl.scala 146:106] + wire gw_config_reg_re_23 = _T_569 & picm_rden_ff; // @[pic_ctrl.scala 146:153] + wire _T_572 = raddr_config_gw_base_match & _T_199; // @[pic_ctrl.scala 146:106] + wire gw_config_reg_re_24 = _T_572 & picm_rden_ff; // @[pic_ctrl.scala 146:153] + wire _T_575 = raddr_config_gw_base_match & _T_202; // @[pic_ctrl.scala 146:106] + wire gw_config_reg_re_25 = _T_575 & picm_rden_ff; // @[pic_ctrl.scala 146:153] + wire _T_578 = raddr_config_gw_base_match & _T_205; // @[pic_ctrl.scala 146:106] + wire gw_config_reg_re_26 = _T_578 & picm_rden_ff; // @[pic_ctrl.scala 146:153] + wire _T_581 = raddr_config_gw_base_match & _T_208; // @[pic_ctrl.scala 146:106] + wire gw_config_reg_re_27 = _T_581 & picm_rden_ff; // @[pic_ctrl.scala 146:153] + wire _T_584 = raddr_config_gw_base_match & _T_211; // @[pic_ctrl.scala 146:106] + wire gw_config_reg_re_28 = _T_584 & picm_rden_ff; // @[pic_ctrl.scala 146:153] + wire _T_587 = raddr_config_gw_base_match & _T_214; // @[pic_ctrl.scala 146:106] + wire gw_config_reg_re_29 = _T_587 & picm_rden_ff; // @[pic_ctrl.scala 146:153] + wire _T_590 = raddr_config_gw_base_match & _T_217; // @[pic_ctrl.scala 146:106] + wire gw_config_reg_re_30 = _T_590 & picm_rden_ff; // @[pic_ctrl.scala 146:153] + wire _T_593 = raddr_config_gw_base_match & _T_220; // @[pic_ctrl.scala 146:106] + wire gw_config_reg_re_31 = _T_593 & picm_rden_ff; // @[pic_ctrl.scala 146:153] + wire _T_596 = addr_clear_gw_base_match & _T_37; // @[pic_ctrl.scala 147:106] + wire gw_clear_reg_we_1 = _T_596 & picm_wren_ff; // @[pic_ctrl.scala 147:153] + wire _T_599 = addr_clear_gw_base_match & _T_40; // @[pic_ctrl.scala 147:106] + wire gw_clear_reg_we_2 = _T_599 & picm_wren_ff; // @[pic_ctrl.scala 147:153] + wire _T_602 = addr_clear_gw_base_match & _T_43; // @[pic_ctrl.scala 147:106] + wire gw_clear_reg_we_3 = _T_602 & picm_wren_ff; // @[pic_ctrl.scala 147:153] + wire _T_605 = addr_clear_gw_base_match & _T_46; // @[pic_ctrl.scala 147:106] + wire gw_clear_reg_we_4 = _T_605 & picm_wren_ff; // @[pic_ctrl.scala 147:153] + wire _T_608 = addr_clear_gw_base_match & _T_49; // @[pic_ctrl.scala 147:106] + wire gw_clear_reg_we_5 = _T_608 & picm_wren_ff; // @[pic_ctrl.scala 147:153] + wire _T_611 = addr_clear_gw_base_match & _T_52; // @[pic_ctrl.scala 147:106] + wire gw_clear_reg_we_6 = _T_611 & picm_wren_ff; // @[pic_ctrl.scala 147:153] + wire _T_614 = addr_clear_gw_base_match & _T_55; // @[pic_ctrl.scala 147:106] + wire gw_clear_reg_we_7 = _T_614 & picm_wren_ff; // @[pic_ctrl.scala 147:153] + wire _T_617 = addr_clear_gw_base_match & _T_58; // @[pic_ctrl.scala 147:106] + wire gw_clear_reg_we_8 = _T_617 & picm_wren_ff; // @[pic_ctrl.scala 147:153] + wire _T_620 = addr_clear_gw_base_match & _T_61; // @[pic_ctrl.scala 147:106] + wire gw_clear_reg_we_9 = _T_620 & picm_wren_ff; // @[pic_ctrl.scala 147:153] + wire _T_623 = addr_clear_gw_base_match & _T_64; // @[pic_ctrl.scala 147:106] + wire gw_clear_reg_we_10 = _T_623 & picm_wren_ff; // @[pic_ctrl.scala 147:153] + wire _T_626 = addr_clear_gw_base_match & _T_67; // @[pic_ctrl.scala 147:106] + wire gw_clear_reg_we_11 = _T_626 & picm_wren_ff; // @[pic_ctrl.scala 147:153] + wire _T_629 = addr_clear_gw_base_match & _T_70; // @[pic_ctrl.scala 147:106] + wire gw_clear_reg_we_12 = _T_629 & picm_wren_ff; // @[pic_ctrl.scala 147:153] + wire _T_632 = addr_clear_gw_base_match & _T_73; // @[pic_ctrl.scala 147:106] + wire gw_clear_reg_we_13 = _T_632 & picm_wren_ff; // @[pic_ctrl.scala 147:153] + wire _T_635 = addr_clear_gw_base_match & _T_76; // @[pic_ctrl.scala 147:106] + wire gw_clear_reg_we_14 = _T_635 & picm_wren_ff; // @[pic_ctrl.scala 147:153] + wire _T_638 = addr_clear_gw_base_match & _T_79; // @[pic_ctrl.scala 147:106] + wire gw_clear_reg_we_15 = _T_638 & picm_wren_ff; // @[pic_ctrl.scala 147:153] + wire _T_641 = addr_clear_gw_base_match & _T_82; // @[pic_ctrl.scala 147:106] + wire gw_clear_reg_we_16 = _T_641 & picm_wren_ff; // @[pic_ctrl.scala 147:153] + wire _T_644 = addr_clear_gw_base_match & _T_85; // @[pic_ctrl.scala 147:106] + wire gw_clear_reg_we_17 = _T_644 & picm_wren_ff; // @[pic_ctrl.scala 147:153] + wire _T_647 = addr_clear_gw_base_match & _T_88; // @[pic_ctrl.scala 147:106] + wire gw_clear_reg_we_18 = _T_647 & picm_wren_ff; // @[pic_ctrl.scala 147:153] + wire _T_650 = addr_clear_gw_base_match & _T_91; // @[pic_ctrl.scala 147:106] + wire gw_clear_reg_we_19 = _T_650 & picm_wren_ff; // @[pic_ctrl.scala 147:153] + wire _T_653 = addr_clear_gw_base_match & _T_94; // @[pic_ctrl.scala 147:106] + wire gw_clear_reg_we_20 = _T_653 & picm_wren_ff; // @[pic_ctrl.scala 147:153] + wire _T_656 = addr_clear_gw_base_match & _T_97; // @[pic_ctrl.scala 147:106] + wire gw_clear_reg_we_21 = _T_656 & picm_wren_ff; // @[pic_ctrl.scala 147:153] + wire _T_659 = addr_clear_gw_base_match & _T_100; // @[pic_ctrl.scala 147:106] + wire gw_clear_reg_we_22 = _T_659 & picm_wren_ff; // @[pic_ctrl.scala 147:153] + wire _T_662 = addr_clear_gw_base_match & _T_103; // @[pic_ctrl.scala 147:106] + wire gw_clear_reg_we_23 = _T_662 & picm_wren_ff; // @[pic_ctrl.scala 147:153] + wire _T_665 = addr_clear_gw_base_match & _T_106; // @[pic_ctrl.scala 147:106] + wire gw_clear_reg_we_24 = _T_665 & picm_wren_ff; // @[pic_ctrl.scala 147:153] + wire _T_668 = addr_clear_gw_base_match & _T_109; // @[pic_ctrl.scala 147:106] + wire gw_clear_reg_we_25 = _T_668 & picm_wren_ff; // @[pic_ctrl.scala 147:153] + wire _T_671 = addr_clear_gw_base_match & _T_112; // @[pic_ctrl.scala 147:106] + wire gw_clear_reg_we_26 = _T_671 & picm_wren_ff; // @[pic_ctrl.scala 147:153] + wire _T_674 = addr_clear_gw_base_match & _T_115; // @[pic_ctrl.scala 147:106] + wire gw_clear_reg_we_27 = _T_674 & picm_wren_ff; // @[pic_ctrl.scala 147:153] + wire _T_677 = addr_clear_gw_base_match & _T_118; // @[pic_ctrl.scala 147:106] + wire gw_clear_reg_we_28 = _T_677 & picm_wren_ff; // @[pic_ctrl.scala 147:153] + wire _T_680 = addr_clear_gw_base_match & _T_121; // @[pic_ctrl.scala 147:106] + wire gw_clear_reg_we_29 = _T_680 & picm_wren_ff; // @[pic_ctrl.scala 147:153] + wire _T_683 = addr_clear_gw_base_match & _T_124; // @[pic_ctrl.scala 147:106] + wire gw_clear_reg_we_30 = _T_683 & picm_wren_ff; // @[pic_ctrl.scala 147:153] + wire _T_686 = addr_clear_gw_base_match & _T_127; // @[pic_ctrl.scala 147:106] + wire gw_clear_reg_we_31 = _T_686 & picm_wren_ff; // @[pic_ctrl.scala 147:153] + wire pic_pri_c1_clk = rvclkhdr_2_io_l1clk; // @[pic_ctrl.scala 97:42 pic_ctrl.scala 134:21] + reg [3:0] intpriority_reg_1; // @[Reg.scala 27:20] + reg [3:0] intpriority_reg_2; // @[Reg.scala 27:20] + reg [3:0] intpriority_reg_3; // @[Reg.scala 27:20] + reg [3:0] intpriority_reg_4; // @[Reg.scala 27:20] + reg [3:0] intpriority_reg_5; // @[Reg.scala 27:20] + reg [3:0] intpriority_reg_6; // @[Reg.scala 27:20] + reg [3:0] intpriority_reg_7; // @[Reg.scala 27:20] + reg [3:0] intpriority_reg_8; // @[Reg.scala 27:20] + reg [3:0] intpriority_reg_9; // @[Reg.scala 27:20] + reg [3:0] intpriority_reg_10; // @[Reg.scala 27:20] + reg [3:0] intpriority_reg_11; // @[Reg.scala 27:20] + reg [3:0] intpriority_reg_12; // @[Reg.scala 27:20] + reg [3:0] intpriority_reg_13; // @[Reg.scala 27:20] + reg [3:0] intpriority_reg_14; // @[Reg.scala 27:20] + reg [3:0] intpriority_reg_15; // @[Reg.scala 27:20] + reg [3:0] intpriority_reg_16; // @[Reg.scala 27:20] + reg [3:0] intpriority_reg_17; // @[Reg.scala 27:20] + reg [3:0] intpriority_reg_18; // @[Reg.scala 27:20] + reg [3:0] intpriority_reg_19; // @[Reg.scala 27:20] + reg [3:0] intpriority_reg_20; // @[Reg.scala 27:20] + reg [3:0] intpriority_reg_21; // @[Reg.scala 27:20] + reg [3:0] intpriority_reg_22; // @[Reg.scala 27:20] + reg [3:0] intpriority_reg_23; // @[Reg.scala 27:20] + reg [3:0] intpriority_reg_24; // @[Reg.scala 27:20] + reg [3:0] intpriority_reg_25; // @[Reg.scala 27:20] + reg [3:0] intpriority_reg_26; // @[Reg.scala 27:20] + reg [3:0] intpriority_reg_27; // @[Reg.scala 27:20] + reg [3:0] intpriority_reg_28; // @[Reg.scala 27:20] + reg [3:0] intpriority_reg_29; // @[Reg.scala 27:20] + reg [3:0] intpriority_reg_30; // @[Reg.scala 27:20] + reg [3:0] intpriority_reg_31; // @[Reg.scala 27:20] + wire pic_int_c1_clk = rvclkhdr_3_io_l1clk; // @[pic_ctrl.scala 98:42 pic_ctrl.scala 135:21] + reg intenable_reg_1; // @[Reg.scala 27:20] + reg intenable_reg_2; // @[Reg.scala 27:20] + reg intenable_reg_3; // @[Reg.scala 27:20] + reg intenable_reg_4; // @[Reg.scala 27:20] + reg intenable_reg_5; // @[Reg.scala 27:20] + reg intenable_reg_6; // @[Reg.scala 27:20] + reg intenable_reg_7; // @[Reg.scala 27:20] + reg intenable_reg_8; // @[Reg.scala 27:20] + reg intenable_reg_9; // @[Reg.scala 27:20] + reg intenable_reg_10; // @[Reg.scala 27:20] + reg intenable_reg_11; // @[Reg.scala 27:20] + reg intenable_reg_12; // @[Reg.scala 27:20] + reg intenable_reg_13; // @[Reg.scala 27:20] + reg intenable_reg_14; // @[Reg.scala 27:20] + reg intenable_reg_15; // @[Reg.scala 27:20] + reg intenable_reg_16; // @[Reg.scala 27:20] + reg intenable_reg_17; // @[Reg.scala 27:20] + reg intenable_reg_18; // @[Reg.scala 27:20] + reg intenable_reg_19; // @[Reg.scala 27:20] + reg intenable_reg_20; // @[Reg.scala 27:20] + reg intenable_reg_21; // @[Reg.scala 27:20] + reg intenable_reg_22; // @[Reg.scala 27:20] + reg intenable_reg_23; // @[Reg.scala 27:20] + reg intenable_reg_24; // @[Reg.scala 27:20] + reg intenable_reg_25; // @[Reg.scala 27:20] + reg intenable_reg_26; // @[Reg.scala 27:20] + reg intenable_reg_27; // @[Reg.scala 27:20] + reg intenable_reg_28; // @[Reg.scala 27:20] + reg intenable_reg_29; // @[Reg.scala 27:20] + reg intenable_reg_30; // @[Reg.scala 27:20] + reg intenable_reg_31; // @[Reg.scala 27:20] + wire gw_config_c1_clk = rvclkhdr_4_io_l1clk; // @[pic_ctrl.scala 99:42 pic_ctrl.scala 136:21] + reg [1:0] gw_config_reg_1; // @[Reg.scala 27:20] + reg [1:0] gw_config_reg_2; // @[Reg.scala 27:20] + reg [1:0] gw_config_reg_3; // @[Reg.scala 27:20] + reg [1:0] gw_config_reg_4; // @[Reg.scala 27:20] + reg [1:0] gw_config_reg_5; // @[Reg.scala 27:20] + reg [1:0] gw_config_reg_6; // @[Reg.scala 27:20] + reg [1:0] gw_config_reg_7; // @[Reg.scala 27:20] + reg [1:0] gw_config_reg_8; // @[Reg.scala 27:20] + reg [1:0] gw_config_reg_9; // @[Reg.scala 27:20] + reg [1:0] gw_config_reg_10; // @[Reg.scala 27:20] + reg [1:0] gw_config_reg_11; // @[Reg.scala 27:20] + reg [1:0] gw_config_reg_12; // @[Reg.scala 27:20] + reg [1:0] gw_config_reg_13; // @[Reg.scala 27:20] + reg [1:0] gw_config_reg_14; // @[Reg.scala 27:20] + reg [1:0] gw_config_reg_15; // @[Reg.scala 27:20] + reg [1:0] gw_config_reg_16; // @[Reg.scala 27:20] + reg [1:0] gw_config_reg_17; // @[Reg.scala 27:20] + reg [1:0] gw_config_reg_18; // @[Reg.scala 27:20] + reg [1:0] gw_config_reg_19; // @[Reg.scala 27:20] + reg [1:0] gw_config_reg_20; // @[Reg.scala 27:20] + reg [1:0] gw_config_reg_21; // @[Reg.scala 27:20] + reg [1:0] gw_config_reg_22; // @[Reg.scala 27:20] + reg [1:0] gw_config_reg_23; // @[Reg.scala 27:20] + reg [1:0] gw_config_reg_24; // @[Reg.scala 27:20] + reg [1:0] gw_config_reg_25; // @[Reg.scala 27:20] + reg [1:0] gw_config_reg_26; // @[Reg.scala 27:20] + reg [1:0] gw_config_reg_27; // @[Reg.scala 27:20] + reg [1:0] gw_config_reg_28; // @[Reg.scala 27:20] + reg [1:0] gw_config_reg_29; // @[Reg.scala 27:20] + reg [1:0] gw_config_reg_30; // @[Reg.scala 27:20] + reg [1:0] gw_config_reg_31; // @[Reg.scala 27:20] + wire _T_970 = extintsrc_req_sync[1] ^ gw_config_reg_1[0]; // @[pic_ctrl.scala 31:50] + wire _T_971 = ~gw_clear_reg_we_1; // @[pic_ctrl.scala 31:92] + reg gw_int_pending; // @[pic_ctrl.scala 32:45] + wire _T_972 = gw_int_pending & _T_971; // @[pic_ctrl.scala 31:90] + wire _T_976 = _T_970 | gw_int_pending; // @[pic_ctrl.scala 33:78] + wire extintsrc_req_gw_1 = gw_config_reg_1[1] ? _T_976 : _T_970; // @[pic_ctrl.scala 33:8] + wire _T_982 = extintsrc_req_sync[2] ^ gw_config_reg_2[0]; // @[pic_ctrl.scala 31:50] + wire _T_983 = ~gw_clear_reg_we_2; // @[pic_ctrl.scala 31:92] + reg gw_int_pending_1; // @[pic_ctrl.scala 32:45] + wire _T_984 = gw_int_pending_1 & _T_983; // @[pic_ctrl.scala 31:90] + wire _T_988 = _T_982 | gw_int_pending_1; // @[pic_ctrl.scala 33:78] + wire extintsrc_req_gw_2 = gw_config_reg_2[1] ? _T_988 : _T_982; // @[pic_ctrl.scala 33:8] + wire _T_994 = extintsrc_req_sync[3] ^ gw_config_reg_3[0]; // @[pic_ctrl.scala 31:50] + wire _T_995 = ~gw_clear_reg_we_3; // @[pic_ctrl.scala 31:92] + reg gw_int_pending_2; // @[pic_ctrl.scala 32:45] + wire _T_996 = gw_int_pending_2 & _T_995; // @[pic_ctrl.scala 31:90] + wire _T_1000 = _T_994 | gw_int_pending_2; // @[pic_ctrl.scala 33:78] + wire extintsrc_req_gw_3 = gw_config_reg_3[1] ? _T_1000 : _T_994; // @[pic_ctrl.scala 33:8] + wire _T_1006 = extintsrc_req_sync[4] ^ gw_config_reg_4[0]; // @[pic_ctrl.scala 31:50] + wire _T_1007 = ~gw_clear_reg_we_4; // @[pic_ctrl.scala 31:92] + reg gw_int_pending_3; // @[pic_ctrl.scala 32:45] + wire _T_1008 = gw_int_pending_3 & _T_1007; // @[pic_ctrl.scala 31:90] + wire _T_1012 = _T_1006 | gw_int_pending_3; // @[pic_ctrl.scala 33:78] + wire extintsrc_req_gw_4 = gw_config_reg_4[1] ? _T_1012 : _T_1006; // @[pic_ctrl.scala 33:8] + wire _T_1018 = extintsrc_req_sync[5] ^ gw_config_reg_5[0]; // @[pic_ctrl.scala 31:50] + wire _T_1019 = ~gw_clear_reg_we_5; // @[pic_ctrl.scala 31:92] + reg gw_int_pending_4; // @[pic_ctrl.scala 32:45] + wire _T_1020 = gw_int_pending_4 & _T_1019; // @[pic_ctrl.scala 31:90] + wire _T_1024 = _T_1018 | gw_int_pending_4; // @[pic_ctrl.scala 33:78] + wire extintsrc_req_gw_5 = gw_config_reg_5[1] ? _T_1024 : _T_1018; // @[pic_ctrl.scala 33:8] + wire _T_1030 = extintsrc_req_sync[6] ^ gw_config_reg_6[0]; // @[pic_ctrl.scala 31:50] + wire _T_1031 = ~gw_clear_reg_we_6; // @[pic_ctrl.scala 31:92] + reg gw_int_pending_5; // @[pic_ctrl.scala 32:45] + wire _T_1032 = gw_int_pending_5 & _T_1031; // @[pic_ctrl.scala 31:90] + wire _T_1036 = _T_1030 | gw_int_pending_5; // @[pic_ctrl.scala 33:78] + wire extintsrc_req_gw_6 = gw_config_reg_6[1] ? _T_1036 : _T_1030; // @[pic_ctrl.scala 33:8] + wire _T_1042 = extintsrc_req_sync[7] ^ gw_config_reg_7[0]; // @[pic_ctrl.scala 31:50] + wire _T_1043 = ~gw_clear_reg_we_7; // @[pic_ctrl.scala 31:92] + reg gw_int_pending_6; // @[pic_ctrl.scala 32:45] + wire _T_1044 = gw_int_pending_6 & _T_1043; // @[pic_ctrl.scala 31:90] + wire _T_1048 = _T_1042 | gw_int_pending_6; // @[pic_ctrl.scala 33:78] + wire extintsrc_req_gw_7 = gw_config_reg_7[1] ? _T_1048 : _T_1042; // @[pic_ctrl.scala 33:8] + wire _T_1054 = extintsrc_req_sync[8] ^ gw_config_reg_8[0]; // @[pic_ctrl.scala 31:50] + wire _T_1055 = ~gw_clear_reg_we_8; // @[pic_ctrl.scala 31:92] + reg gw_int_pending_7; // @[pic_ctrl.scala 32:45] + wire _T_1056 = gw_int_pending_7 & _T_1055; // @[pic_ctrl.scala 31:90] + wire _T_1060 = _T_1054 | gw_int_pending_7; // @[pic_ctrl.scala 33:78] + wire extintsrc_req_gw_8 = gw_config_reg_8[1] ? _T_1060 : _T_1054; // @[pic_ctrl.scala 33:8] + wire _T_1066 = extintsrc_req_sync[9] ^ gw_config_reg_9[0]; // @[pic_ctrl.scala 31:50] + wire _T_1067 = ~gw_clear_reg_we_9; // @[pic_ctrl.scala 31:92] + reg gw_int_pending_8; // @[pic_ctrl.scala 32:45] + wire _T_1068 = gw_int_pending_8 & _T_1067; // @[pic_ctrl.scala 31:90] + wire _T_1072 = _T_1066 | gw_int_pending_8; // @[pic_ctrl.scala 33:78] + wire extintsrc_req_gw_9 = gw_config_reg_9[1] ? _T_1072 : _T_1066; // @[pic_ctrl.scala 33:8] + wire _T_1078 = extintsrc_req_sync[10] ^ gw_config_reg_10[0]; // @[pic_ctrl.scala 31:50] + wire _T_1079 = ~gw_clear_reg_we_10; // @[pic_ctrl.scala 31:92] + reg gw_int_pending_9; // @[pic_ctrl.scala 32:45] + wire _T_1080 = gw_int_pending_9 & _T_1079; // @[pic_ctrl.scala 31:90] + wire _T_1084 = _T_1078 | gw_int_pending_9; // @[pic_ctrl.scala 33:78] + wire extintsrc_req_gw_10 = gw_config_reg_10[1] ? _T_1084 : _T_1078; // @[pic_ctrl.scala 33:8] + wire _T_1090 = extintsrc_req_sync[11] ^ gw_config_reg_11[0]; // @[pic_ctrl.scala 31:50] + wire _T_1091 = ~gw_clear_reg_we_11; // @[pic_ctrl.scala 31:92] + reg gw_int_pending_10; // @[pic_ctrl.scala 32:45] + wire _T_1092 = gw_int_pending_10 & _T_1091; // @[pic_ctrl.scala 31:90] + wire _T_1096 = _T_1090 | gw_int_pending_10; // @[pic_ctrl.scala 33:78] + wire extintsrc_req_gw_11 = gw_config_reg_11[1] ? _T_1096 : _T_1090; // @[pic_ctrl.scala 33:8] + wire _T_1102 = extintsrc_req_sync[12] ^ gw_config_reg_12[0]; // @[pic_ctrl.scala 31:50] + wire _T_1103 = ~gw_clear_reg_we_12; // @[pic_ctrl.scala 31:92] + reg gw_int_pending_11; // @[pic_ctrl.scala 32:45] + wire _T_1104 = gw_int_pending_11 & _T_1103; // @[pic_ctrl.scala 31:90] + wire _T_1108 = _T_1102 | gw_int_pending_11; // @[pic_ctrl.scala 33:78] + wire extintsrc_req_gw_12 = gw_config_reg_12[1] ? _T_1108 : _T_1102; // @[pic_ctrl.scala 33:8] + wire _T_1114 = extintsrc_req_sync[13] ^ gw_config_reg_13[0]; // @[pic_ctrl.scala 31:50] + wire _T_1115 = ~gw_clear_reg_we_13; // @[pic_ctrl.scala 31:92] + reg gw_int_pending_12; // @[pic_ctrl.scala 32:45] + wire _T_1116 = gw_int_pending_12 & _T_1115; // @[pic_ctrl.scala 31:90] + wire _T_1120 = _T_1114 | gw_int_pending_12; // @[pic_ctrl.scala 33:78] + wire extintsrc_req_gw_13 = gw_config_reg_13[1] ? _T_1120 : _T_1114; // @[pic_ctrl.scala 33:8] + wire _T_1126 = extintsrc_req_sync[14] ^ gw_config_reg_14[0]; // @[pic_ctrl.scala 31:50] + wire _T_1127 = ~gw_clear_reg_we_14; // @[pic_ctrl.scala 31:92] + reg gw_int_pending_13; // @[pic_ctrl.scala 32:45] + wire _T_1128 = gw_int_pending_13 & _T_1127; // @[pic_ctrl.scala 31:90] + wire _T_1132 = _T_1126 | gw_int_pending_13; // @[pic_ctrl.scala 33:78] + wire extintsrc_req_gw_14 = gw_config_reg_14[1] ? _T_1132 : _T_1126; // @[pic_ctrl.scala 33:8] + wire _T_1138 = extintsrc_req_sync[15] ^ gw_config_reg_15[0]; // @[pic_ctrl.scala 31:50] + wire _T_1139 = ~gw_clear_reg_we_15; // @[pic_ctrl.scala 31:92] + reg gw_int_pending_14; // @[pic_ctrl.scala 32:45] + wire _T_1140 = gw_int_pending_14 & _T_1139; // @[pic_ctrl.scala 31:90] + wire _T_1144 = _T_1138 | gw_int_pending_14; // @[pic_ctrl.scala 33:78] + wire extintsrc_req_gw_15 = gw_config_reg_15[1] ? _T_1144 : _T_1138; // @[pic_ctrl.scala 33:8] + wire _T_1150 = extintsrc_req_sync[16] ^ gw_config_reg_16[0]; // @[pic_ctrl.scala 31:50] + wire _T_1151 = ~gw_clear_reg_we_16; // @[pic_ctrl.scala 31:92] + reg gw_int_pending_15; // @[pic_ctrl.scala 32:45] + wire _T_1152 = gw_int_pending_15 & _T_1151; // @[pic_ctrl.scala 31:90] + wire _T_1156 = _T_1150 | gw_int_pending_15; // @[pic_ctrl.scala 33:78] + wire extintsrc_req_gw_16 = gw_config_reg_16[1] ? _T_1156 : _T_1150; // @[pic_ctrl.scala 33:8] + wire _T_1162 = extintsrc_req_sync[17] ^ gw_config_reg_17[0]; // @[pic_ctrl.scala 31:50] + wire _T_1163 = ~gw_clear_reg_we_17; // @[pic_ctrl.scala 31:92] + reg gw_int_pending_16; // @[pic_ctrl.scala 32:45] + wire _T_1164 = gw_int_pending_16 & _T_1163; // @[pic_ctrl.scala 31:90] + wire _T_1168 = _T_1162 | gw_int_pending_16; // @[pic_ctrl.scala 33:78] + wire extintsrc_req_gw_17 = gw_config_reg_17[1] ? _T_1168 : _T_1162; // @[pic_ctrl.scala 33:8] + wire _T_1174 = extintsrc_req_sync[18] ^ gw_config_reg_18[0]; // @[pic_ctrl.scala 31:50] + wire _T_1175 = ~gw_clear_reg_we_18; // @[pic_ctrl.scala 31:92] + reg gw_int_pending_17; // @[pic_ctrl.scala 32:45] + wire _T_1176 = gw_int_pending_17 & _T_1175; // @[pic_ctrl.scala 31:90] + wire _T_1180 = _T_1174 | gw_int_pending_17; // @[pic_ctrl.scala 33:78] + wire extintsrc_req_gw_18 = gw_config_reg_18[1] ? _T_1180 : _T_1174; // @[pic_ctrl.scala 33:8] + wire _T_1186 = extintsrc_req_sync[19] ^ gw_config_reg_19[0]; // @[pic_ctrl.scala 31:50] + wire _T_1187 = ~gw_clear_reg_we_19; // @[pic_ctrl.scala 31:92] + reg gw_int_pending_18; // @[pic_ctrl.scala 32:45] + wire _T_1188 = gw_int_pending_18 & _T_1187; // @[pic_ctrl.scala 31:90] + wire _T_1192 = _T_1186 | gw_int_pending_18; // @[pic_ctrl.scala 33:78] + wire extintsrc_req_gw_19 = gw_config_reg_19[1] ? _T_1192 : _T_1186; // @[pic_ctrl.scala 33:8] + wire _T_1198 = extintsrc_req_sync[20] ^ gw_config_reg_20[0]; // @[pic_ctrl.scala 31:50] + wire _T_1199 = ~gw_clear_reg_we_20; // @[pic_ctrl.scala 31:92] + reg gw_int_pending_19; // @[pic_ctrl.scala 32:45] + wire _T_1200 = gw_int_pending_19 & _T_1199; // @[pic_ctrl.scala 31:90] + wire _T_1204 = _T_1198 | gw_int_pending_19; // @[pic_ctrl.scala 33:78] + wire extintsrc_req_gw_20 = gw_config_reg_20[1] ? _T_1204 : _T_1198; // @[pic_ctrl.scala 33:8] + wire _T_1210 = extintsrc_req_sync[21] ^ gw_config_reg_21[0]; // @[pic_ctrl.scala 31:50] + wire _T_1211 = ~gw_clear_reg_we_21; // @[pic_ctrl.scala 31:92] + reg gw_int_pending_20; // @[pic_ctrl.scala 32:45] + wire _T_1212 = gw_int_pending_20 & _T_1211; // @[pic_ctrl.scala 31:90] + wire _T_1216 = _T_1210 | gw_int_pending_20; // @[pic_ctrl.scala 33:78] + wire extintsrc_req_gw_21 = gw_config_reg_21[1] ? _T_1216 : _T_1210; // @[pic_ctrl.scala 33:8] + wire _T_1222 = extintsrc_req_sync[22] ^ gw_config_reg_22[0]; // @[pic_ctrl.scala 31:50] + wire _T_1223 = ~gw_clear_reg_we_22; // @[pic_ctrl.scala 31:92] + reg gw_int_pending_21; // @[pic_ctrl.scala 32:45] + wire _T_1224 = gw_int_pending_21 & _T_1223; // @[pic_ctrl.scala 31:90] + wire _T_1228 = _T_1222 | gw_int_pending_21; // @[pic_ctrl.scala 33:78] + wire extintsrc_req_gw_22 = gw_config_reg_22[1] ? _T_1228 : _T_1222; // @[pic_ctrl.scala 33:8] + wire _T_1234 = extintsrc_req_sync[23] ^ gw_config_reg_23[0]; // @[pic_ctrl.scala 31:50] + wire _T_1235 = ~gw_clear_reg_we_23; // @[pic_ctrl.scala 31:92] + reg gw_int_pending_22; // @[pic_ctrl.scala 32:45] + wire _T_1236 = gw_int_pending_22 & _T_1235; // @[pic_ctrl.scala 31:90] + wire _T_1240 = _T_1234 | gw_int_pending_22; // @[pic_ctrl.scala 33:78] + wire extintsrc_req_gw_23 = gw_config_reg_23[1] ? _T_1240 : _T_1234; // @[pic_ctrl.scala 33:8] + wire _T_1246 = extintsrc_req_sync[24] ^ gw_config_reg_24[0]; // @[pic_ctrl.scala 31:50] + wire _T_1247 = ~gw_clear_reg_we_24; // @[pic_ctrl.scala 31:92] + reg gw_int_pending_23; // @[pic_ctrl.scala 32:45] + wire _T_1248 = gw_int_pending_23 & _T_1247; // @[pic_ctrl.scala 31:90] + wire _T_1252 = _T_1246 | gw_int_pending_23; // @[pic_ctrl.scala 33:78] + wire extintsrc_req_gw_24 = gw_config_reg_24[1] ? _T_1252 : _T_1246; // @[pic_ctrl.scala 33:8] + wire _T_1258 = extintsrc_req_sync[25] ^ gw_config_reg_25[0]; // @[pic_ctrl.scala 31:50] + wire _T_1259 = ~gw_clear_reg_we_25; // @[pic_ctrl.scala 31:92] + reg gw_int_pending_24; // @[pic_ctrl.scala 32:45] + wire _T_1260 = gw_int_pending_24 & _T_1259; // @[pic_ctrl.scala 31:90] + wire _T_1264 = _T_1258 | gw_int_pending_24; // @[pic_ctrl.scala 33:78] + wire extintsrc_req_gw_25 = gw_config_reg_25[1] ? _T_1264 : _T_1258; // @[pic_ctrl.scala 33:8] + wire _T_1270 = extintsrc_req_sync[26] ^ gw_config_reg_26[0]; // @[pic_ctrl.scala 31:50] + wire _T_1271 = ~gw_clear_reg_we_26; // @[pic_ctrl.scala 31:92] + reg gw_int_pending_25; // @[pic_ctrl.scala 32:45] + wire _T_1272 = gw_int_pending_25 & _T_1271; // @[pic_ctrl.scala 31:90] + wire _T_1276 = _T_1270 | gw_int_pending_25; // @[pic_ctrl.scala 33:78] + wire extintsrc_req_gw_26 = gw_config_reg_26[1] ? _T_1276 : _T_1270; // @[pic_ctrl.scala 33:8] + wire _T_1282 = extintsrc_req_sync[27] ^ gw_config_reg_27[0]; // @[pic_ctrl.scala 31:50] + wire _T_1283 = ~gw_clear_reg_we_27; // @[pic_ctrl.scala 31:92] + reg gw_int_pending_26; // @[pic_ctrl.scala 32:45] + wire _T_1284 = gw_int_pending_26 & _T_1283; // @[pic_ctrl.scala 31:90] + wire _T_1288 = _T_1282 | gw_int_pending_26; // @[pic_ctrl.scala 33:78] + wire extintsrc_req_gw_27 = gw_config_reg_27[1] ? _T_1288 : _T_1282; // @[pic_ctrl.scala 33:8] + wire _T_1294 = extintsrc_req_sync[28] ^ gw_config_reg_28[0]; // @[pic_ctrl.scala 31:50] + wire _T_1295 = ~gw_clear_reg_we_28; // @[pic_ctrl.scala 31:92] + reg gw_int_pending_27; // @[pic_ctrl.scala 32:45] + wire _T_1296 = gw_int_pending_27 & _T_1295; // @[pic_ctrl.scala 31:90] + wire _T_1300 = _T_1294 | gw_int_pending_27; // @[pic_ctrl.scala 33:78] + wire extintsrc_req_gw_28 = gw_config_reg_28[1] ? _T_1300 : _T_1294; // @[pic_ctrl.scala 33:8] + wire _T_1306 = extintsrc_req_sync[29] ^ gw_config_reg_29[0]; // @[pic_ctrl.scala 31:50] + wire _T_1307 = ~gw_clear_reg_we_29; // @[pic_ctrl.scala 31:92] + reg gw_int_pending_28; // @[pic_ctrl.scala 32:45] + wire _T_1308 = gw_int_pending_28 & _T_1307; // @[pic_ctrl.scala 31:90] + wire _T_1312 = _T_1306 | gw_int_pending_28; // @[pic_ctrl.scala 33:78] + wire extintsrc_req_gw_29 = gw_config_reg_29[1] ? _T_1312 : _T_1306; // @[pic_ctrl.scala 33:8] + wire _T_1318 = extintsrc_req_sync[30] ^ gw_config_reg_30[0]; // @[pic_ctrl.scala 31:50] + wire _T_1319 = ~gw_clear_reg_we_30; // @[pic_ctrl.scala 31:92] + reg gw_int_pending_29; // @[pic_ctrl.scala 32:45] + wire _T_1320 = gw_int_pending_29 & _T_1319; // @[pic_ctrl.scala 31:90] + wire _T_1324 = _T_1318 | gw_int_pending_29; // @[pic_ctrl.scala 33:78] + wire extintsrc_req_gw_30 = gw_config_reg_30[1] ? _T_1324 : _T_1318; // @[pic_ctrl.scala 33:8] + wire _T_1330 = extintsrc_req_sync[31] ^ gw_config_reg_31[0]; // @[pic_ctrl.scala 31:50] + wire _T_1331 = ~gw_clear_reg_we_31; // @[pic_ctrl.scala 31:92] + reg gw_int_pending_30; // @[pic_ctrl.scala 32:45] + wire _T_1332 = gw_int_pending_30 & _T_1331; // @[pic_ctrl.scala 31:90] + wire _T_1336 = _T_1330 | gw_int_pending_30; // @[pic_ctrl.scala 33:78] + wire extintsrc_req_gw_31 = gw_config_reg_31[1] ? _T_1336 : _T_1330; // @[pic_ctrl.scala 33:8] + reg config_reg; // @[Reg.scala 27:20] + wire [3:0] intpriority_reg_0 = 4'h0; // @[pic_ctrl.scala 148:32 pic_ctrl.scala 149:208] + wire [3:0] _T_1342 = ~intpriority_reg_1; // @[pic_ctrl.scala 160:90] + wire [3:0] intpriority_reg_inv_1 = config_reg ? _T_1342 : intpriority_reg_1; // @[pic_ctrl.scala 160:71] + wire [3:0] _T_1345 = ~intpriority_reg_2; // @[pic_ctrl.scala 160:90] + wire [3:0] intpriority_reg_inv_2 = config_reg ? _T_1345 : intpriority_reg_2; // @[pic_ctrl.scala 160:71] + wire [3:0] _T_1348 = ~intpriority_reg_3; // @[pic_ctrl.scala 160:90] + wire [3:0] intpriority_reg_inv_3 = config_reg ? _T_1348 : intpriority_reg_3; // @[pic_ctrl.scala 160:71] + wire [3:0] _T_1351 = ~intpriority_reg_4; // @[pic_ctrl.scala 160:90] + wire [3:0] intpriority_reg_inv_4 = config_reg ? _T_1351 : intpriority_reg_4; // @[pic_ctrl.scala 160:71] + wire [3:0] _T_1354 = ~intpriority_reg_5; // @[pic_ctrl.scala 160:90] + wire [3:0] intpriority_reg_inv_5 = config_reg ? _T_1354 : intpriority_reg_5; // @[pic_ctrl.scala 160:71] + wire [3:0] _T_1357 = ~intpriority_reg_6; // @[pic_ctrl.scala 160:90] + wire [3:0] intpriority_reg_inv_6 = config_reg ? _T_1357 : intpriority_reg_6; // @[pic_ctrl.scala 160:71] + wire [3:0] _T_1360 = ~intpriority_reg_7; // @[pic_ctrl.scala 160:90] + wire [3:0] intpriority_reg_inv_7 = config_reg ? _T_1360 : intpriority_reg_7; // @[pic_ctrl.scala 160:71] + wire [3:0] _T_1363 = ~intpriority_reg_8; // @[pic_ctrl.scala 160:90] + wire [3:0] intpriority_reg_inv_8 = config_reg ? _T_1363 : intpriority_reg_8; // @[pic_ctrl.scala 160:71] + wire [3:0] _T_1366 = ~intpriority_reg_9; // @[pic_ctrl.scala 160:90] + wire [3:0] intpriority_reg_inv_9 = config_reg ? _T_1366 : intpriority_reg_9; // @[pic_ctrl.scala 160:71] + wire [3:0] _T_1369 = ~intpriority_reg_10; // @[pic_ctrl.scala 160:90] + wire [3:0] intpriority_reg_inv_10 = config_reg ? _T_1369 : intpriority_reg_10; // @[pic_ctrl.scala 160:71] + wire [3:0] _T_1372 = ~intpriority_reg_11; // @[pic_ctrl.scala 160:90] + wire [3:0] intpriority_reg_inv_11 = config_reg ? _T_1372 : intpriority_reg_11; // @[pic_ctrl.scala 160:71] + wire [3:0] _T_1375 = ~intpriority_reg_12; // @[pic_ctrl.scala 160:90] + wire [3:0] intpriority_reg_inv_12 = config_reg ? _T_1375 : intpriority_reg_12; // @[pic_ctrl.scala 160:71] + wire [3:0] _T_1378 = ~intpriority_reg_13; // @[pic_ctrl.scala 160:90] + wire [3:0] intpriority_reg_inv_13 = config_reg ? _T_1378 : intpriority_reg_13; // @[pic_ctrl.scala 160:71] + wire [3:0] _T_1381 = ~intpriority_reg_14; // @[pic_ctrl.scala 160:90] + wire [3:0] intpriority_reg_inv_14 = config_reg ? _T_1381 : intpriority_reg_14; // @[pic_ctrl.scala 160:71] + wire [3:0] _T_1384 = ~intpriority_reg_15; // @[pic_ctrl.scala 160:90] + wire [3:0] intpriority_reg_inv_15 = config_reg ? _T_1384 : intpriority_reg_15; // @[pic_ctrl.scala 160:71] + wire [3:0] _T_1387 = ~intpriority_reg_16; // @[pic_ctrl.scala 160:90] + wire [3:0] intpriority_reg_inv_16 = config_reg ? _T_1387 : intpriority_reg_16; // @[pic_ctrl.scala 160:71] + wire [3:0] _T_1390 = ~intpriority_reg_17; // @[pic_ctrl.scala 160:90] + wire [3:0] intpriority_reg_inv_17 = config_reg ? _T_1390 : intpriority_reg_17; // @[pic_ctrl.scala 160:71] + wire [3:0] _T_1393 = ~intpriority_reg_18; // @[pic_ctrl.scala 160:90] + wire [3:0] intpriority_reg_inv_18 = config_reg ? _T_1393 : intpriority_reg_18; // @[pic_ctrl.scala 160:71] + wire [3:0] _T_1396 = ~intpriority_reg_19; // @[pic_ctrl.scala 160:90] + wire [3:0] intpriority_reg_inv_19 = config_reg ? _T_1396 : intpriority_reg_19; // @[pic_ctrl.scala 160:71] + wire [3:0] _T_1399 = ~intpriority_reg_20; // @[pic_ctrl.scala 160:90] + wire [3:0] intpriority_reg_inv_20 = config_reg ? _T_1399 : intpriority_reg_20; // @[pic_ctrl.scala 160:71] + wire [3:0] _T_1402 = ~intpriority_reg_21; // @[pic_ctrl.scala 160:90] + wire [3:0] intpriority_reg_inv_21 = config_reg ? _T_1402 : intpriority_reg_21; // @[pic_ctrl.scala 160:71] + wire [3:0] _T_1405 = ~intpriority_reg_22; // @[pic_ctrl.scala 160:90] + wire [3:0] intpriority_reg_inv_22 = config_reg ? _T_1405 : intpriority_reg_22; // @[pic_ctrl.scala 160:71] + wire [3:0] _T_1408 = ~intpriority_reg_23; // @[pic_ctrl.scala 160:90] + wire [3:0] intpriority_reg_inv_23 = config_reg ? _T_1408 : intpriority_reg_23; // @[pic_ctrl.scala 160:71] + wire [3:0] _T_1411 = ~intpriority_reg_24; // @[pic_ctrl.scala 160:90] + wire [3:0] intpriority_reg_inv_24 = config_reg ? _T_1411 : intpriority_reg_24; // @[pic_ctrl.scala 160:71] + wire [3:0] _T_1414 = ~intpriority_reg_25; // @[pic_ctrl.scala 160:90] + wire [3:0] intpriority_reg_inv_25 = config_reg ? _T_1414 : intpriority_reg_25; // @[pic_ctrl.scala 160:71] + wire [3:0] _T_1417 = ~intpriority_reg_26; // @[pic_ctrl.scala 160:90] + wire [3:0] intpriority_reg_inv_26 = config_reg ? _T_1417 : intpriority_reg_26; // @[pic_ctrl.scala 160:71] + wire [3:0] _T_1420 = ~intpriority_reg_27; // @[pic_ctrl.scala 160:90] + wire [3:0] intpriority_reg_inv_27 = config_reg ? _T_1420 : intpriority_reg_27; // @[pic_ctrl.scala 160:71] + wire [3:0] _T_1423 = ~intpriority_reg_28; // @[pic_ctrl.scala 160:90] + wire [3:0] intpriority_reg_inv_28 = config_reg ? _T_1423 : intpriority_reg_28; // @[pic_ctrl.scala 160:71] + wire [3:0] _T_1426 = ~intpriority_reg_29; // @[pic_ctrl.scala 160:90] + wire [3:0] intpriority_reg_inv_29 = config_reg ? _T_1426 : intpriority_reg_29; // @[pic_ctrl.scala 160:71] + wire [3:0] _T_1429 = ~intpriority_reg_30; // @[pic_ctrl.scala 160:90] + wire [3:0] intpriority_reg_inv_30 = config_reg ? _T_1429 : intpriority_reg_30; // @[pic_ctrl.scala 160:71] + wire [3:0] _T_1432 = ~intpriority_reg_31; // @[pic_ctrl.scala 160:90] + wire [3:0] intpriority_reg_inv_31 = config_reg ? _T_1432 : intpriority_reg_31; // @[pic_ctrl.scala 160:71] + wire _T_1438 = extintsrc_req_gw_1 & intenable_reg_1; // @[pic_ctrl.scala 161:110] + wire [3:0] _T_1440 = _T_1438 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] intpend_w_prior_en_1 = _T_1440 & intpriority_reg_inv_1; // @[pic_ctrl.scala 161:130] + wire _T_1442 = extintsrc_req_gw_2 & intenable_reg_2; // @[pic_ctrl.scala 161:110] + wire [3:0] _T_1444 = _T_1442 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] intpend_w_prior_en_2 = _T_1444 & intpriority_reg_inv_2; // @[pic_ctrl.scala 161:130] + wire _T_1446 = extintsrc_req_gw_3 & intenable_reg_3; // @[pic_ctrl.scala 161:110] + wire [3:0] _T_1448 = _T_1446 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] intpend_w_prior_en_3 = _T_1448 & intpriority_reg_inv_3; // @[pic_ctrl.scala 161:130] + wire _T_1450 = extintsrc_req_gw_4 & intenable_reg_4; // @[pic_ctrl.scala 161:110] + wire [3:0] _T_1452 = _T_1450 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] intpend_w_prior_en_4 = _T_1452 & intpriority_reg_inv_4; // @[pic_ctrl.scala 161:130] + wire _T_1454 = extintsrc_req_gw_5 & intenable_reg_5; // @[pic_ctrl.scala 161:110] + wire [3:0] _T_1456 = _T_1454 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] intpend_w_prior_en_5 = _T_1456 & intpriority_reg_inv_5; // @[pic_ctrl.scala 161:130] + wire _T_1458 = extintsrc_req_gw_6 & intenable_reg_6; // @[pic_ctrl.scala 161:110] + wire [3:0] _T_1460 = _T_1458 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] intpend_w_prior_en_6 = _T_1460 & intpriority_reg_inv_6; // @[pic_ctrl.scala 161:130] + wire _T_1462 = extintsrc_req_gw_7 & intenable_reg_7; // @[pic_ctrl.scala 161:110] + wire [3:0] _T_1464 = _T_1462 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] intpend_w_prior_en_7 = _T_1464 & intpriority_reg_inv_7; // @[pic_ctrl.scala 161:130] + wire _T_1466 = extintsrc_req_gw_8 & intenable_reg_8; // @[pic_ctrl.scala 161:110] + wire [3:0] _T_1468 = _T_1466 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] intpend_w_prior_en_8 = _T_1468 & intpriority_reg_inv_8; // @[pic_ctrl.scala 161:130] + wire _T_1470 = extintsrc_req_gw_9 & intenable_reg_9; // @[pic_ctrl.scala 161:110] + wire [3:0] _T_1472 = _T_1470 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] intpend_w_prior_en_9 = _T_1472 & intpriority_reg_inv_9; // @[pic_ctrl.scala 161:130] + wire _T_1474 = extintsrc_req_gw_10 & intenable_reg_10; // @[pic_ctrl.scala 161:110] + wire [3:0] _T_1476 = _T_1474 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] intpend_w_prior_en_10 = _T_1476 & intpriority_reg_inv_10; // @[pic_ctrl.scala 161:130] + wire _T_1478 = extintsrc_req_gw_11 & intenable_reg_11; // @[pic_ctrl.scala 161:110] + wire [3:0] _T_1480 = _T_1478 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] intpend_w_prior_en_11 = _T_1480 & intpriority_reg_inv_11; // @[pic_ctrl.scala 161:130] + wire _T_1482 = extintsrc_req_gw_12 & intenable_reg_12; // @[pic_ctrl.scala 161:110] + wire [3:0] _T_1484 = _T_1482 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] intpend_w_prior_en_12 = _T_1484 & intpriority_reg_inv_12; // @[pic_ctrl.scala 161:130] + wire _T_1486 = extintsrc_req_gw_13 & intenable_reg_13; // @[pic_ctrl.scala 161:110] + wire [3:0] _T_1488 = _T_1486 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] intpend_w_prior_en_13 = _T_1488 & intpriority_reg_inv_13; // @[pic_ctrl.scala 161:130] + wire _T_1490 = extintsrc_req_gw_14 & intenable_reg_14; // @[pic_ctrl.scala 161:110] + wire [3:0] _T_1492 = _T_1490 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] intpend_w_prior_en_14 = _T_1492 & intpriority_reg_inv_14; // @[pic_ctrl.scala 161:130] + wire _T_1494 = extintsrc_req_gw_15 & intenable_reg_15; // @[pic_ctrl.scala 161:110] + wire [3:0] _T_1496 = _T_1494 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] intpend_w_prior_en_15 = _T_1496 & intpriority_reg_inv_15; // @[pic_ctrl.scala 161:130] + wire _T_1498 = extintsrc_req_gw_16 & intenable_reg_16; // @[pic_ctrl.scala 161:110] + wire [3:0] _T_1500 = _T_1498 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] intpend_w_prior_en_16 = _T_1500 & intpriority_reg_inv_16; // @[pic_ctrl.scala 161:130] + wire _T_1502 = extintsrc_req_gw_17 & intenable_reg_17; // @[pic_ctrl.scala 161:110] + wire [3:0] _T_1504 = _T_1502 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] intpend_w_prior_en_17 = _T_1504 & intpriority_reg_inv_17; // @[pic_ctrl.scala 161:130] + wire _T_1506 = extintsrc_req_gw_18 & intenable_reg_18; // @[pic_ctrl.scala 161:110] + wire [3:0] _T_1508 = _T_1506 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] intpend_w_prior_en_18 = _T_1508 & intpriority_reg_inv_18; // @[pic_ctrl.scala 161:130] + wire _T_1510 = extintsrc_req_gw_19 & intenable_reg_19; // @[pic_ctrl.scala 161:110] + wire [3:0] _T_1512 = _T_1510 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] intpend_w_prior_en_19 = _T_1512 & intpriority_reg_inv_19; // @[pic_ctrl.scala 161:130] + wire _T_1514 = extintsrc_req_gw_20 & intenable_reg_20; // @[pic_ctrl.scala 161:110] + wire [3:0] _T_1516 = _T_1514 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] intpend_w_prior_en_20 = _T_1516 & intpriority_reg_inv_20; // @[pic_ctrl.scala 161:130] + wire _T_1518 = extintsrc_req_gw_21 & intenable_reg_21; // @[pic_ctrl.scala 161:110] + wire [3:0] _T_1520 = _T_1518 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] intpend_w_prior_en_21 = _T_1520 & intpriority_reg_inv_21; // @[pic_ctrl.scala 161:130] + wire _T_1522 = extintsrc_req_gw_22 & intenable_reg_22; // @[pic_ctrl.scala 161:110] + wire [3:0] _T_1524 = _T_1522 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] intpend_w_prior_en_22 = _T_1524 & intpriority_reg_inv_22; // @[pic_ctrl.scala 161:130] + wire _T_1526 = extintsrc_req_gw_23 & intenable_reg_23; // @[pic_ctrl.scala 161:110] + wire [3:0] _T_1528 = _T_1526 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] intpend_w_prior_en_23 = _T_1528 & intpriority_reg_inv_23; // @[pic_ctrl.scala 161:130] + wire _T_1530 = extintsrc_req_gw_24 & intenable_reg_24; // @[pic_ctrl.scala 161:110] + wire [3:0] _T_1532 = _T_1530 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] intpend_w_prior_en_24 = _T_1532 & intpriority_reg_inv_24; // @[pic_ctrl.scala 161:130] + wire _T_1534 = extintsrc_req_gw_25 & intenable_reg_25; // @[pic_ctrl.scala 161:110] + wire [3:0] _T_1536 = _T_1534 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] intpend_w_prior_en_25 = _T_1536 & intpriority_reg_inv_25; // @[pic_ctrl.scala 161:130] + wire _T_1538 = extintsrc_req_gw_26 & intenable_reg_26; // @[pic_ctrl.scala 161:110] + wire [3:0] _T_1540 = _T_1538 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] intpend_w_prior_en_26 = _T_1540 & intpriority_reg_inv_26; // @[pic_ctrl.scala 161:130] + wire _T_1542 = extintsrc_req_gw_27 & intenable_reg_27; // @[pic_ctrl.scala 161:110] + wire [3:0] _T_1544 = _T_1542 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] intpend_w_prior_en_27 = _T_1544 & intpriority_reg_inv_27; // @[pic_ctrl.scala 161:130] + wire _T_1546 = extintsrc_req_gw_28 & intenable_reg_28; // @[pic_ctrl.scala 161:110] + wire [3:0] _T_1548 = _T_1546 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] intpend_w_prior_en_28 = _T_1548 & intpriority_reg_inv_28; // @[pic_ctrl.scala 161:130] + wire _T_1550 = extintsrc_req_gw_29 & intenable_reg_29; // @[pic_ctrl.scala 161:110] + wire [3:0] _T_1552 = _T_1550 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] intpend_w_prior_en_29 = _T_1552 & intpriority_reg_inv_29; // @[pic_ctrl.scala 161:130] + wire _T_1554 = extintsrc_req_gw_30 & intenable_reg_30; // @[pic_ctrl.scala 161:110] + wire [3:0] _T_1556 = _T_1554 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] intpend_w_prior_en_30 = _T_1556 & intpriority_reg_inv_30; // @[pic_ctrl.scala 161:130] + wire _T_1558 = extintsrc_req_gw_31 & intenable_reg_31; // @[pic_ctrl.scala 161:110] + wire [3:0] _T_1560 = _T_1558 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] intpend_w_prior_en_31 = _T_1560 & intpriority_reg_inv_31; // @[pic_ctrl.scala 161:130] + wire [7:0] _T_1564 = 8'hff; // @[Bitwise.scala 72:12] + wire [3:0] level_intpend_w_prior_en_0_0 = 4'h0; // @[pic_ctrl.scala 213:40 pic_ctrl.scala 217:38 pic_ctrl.scala 220:33] + wire [3:0] _T_1441 = intpend_w_prior_en_1; // @[pic_ctrl.scala 70:42 pic_ctrl.scala 161:64] + wire [3:0] level_intpend_w_prior_en_0_1 = intpend_w_prior_en_1; // @[pic_ctrl.scala 213:40 pic_ctrl.scala 217:38 pic_ctrl.scala 220:33] + wire _T_1566 = intpriority_reg_0 < _T_1441; // @[pic_ctrl.scala 27:20] + wire [7:0] intpend_id_1 = 8'h1; // @[pic_ctrl.scala 71:42 pic_ctrl.scala 162:56] + wire [7:0] level_intpend_id_0_1 = 8'h1; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 221:33] + wire [7:0] intpend_id_0 = 8'h0; // @[pic_ctrl.scala 71:42 pic_ctrl.scala 162:56] + wire [7:0] level_intpend_id_0_0 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 221:33] + wire [7:0] out_id = _T_1566 ? intpend_id_1 : intpend_id_0; // @[pic_ctrl.scala 27:9] + wire [3:0] out_priority = _T_1566 ? _T_1441 : intpriority_reg_0; // @[pic_ctrl.scala 27:49] + wire [3:0] _T_1445 = intpend_w_prior_en_2; // @[pic_ctrl.scala 70:42 pic_ctrl.scala 161:64] + wire [3:0] level_intpend_w_prior_en_0_2 = intpend_w_prior_en_2; // @[pic_ctrl.scala 213:40 pic_ctrl.scala 217:38 pic_ctrl.scala 220:33] + wire [3:0] _T_1449 = intpend_w_prior_en_3; // @[pic_ctrl.scala 70:42 pic_ctrl.scala 161:64] + wire [3:0] level_intpend_w_prior_en_0_3 = intpend_w_prior_en_3; // @[pic_ctrl.scala 213:40 pic_ctrl.scala 217:38 pic_ctrl.scala 220:33] + wire _T_1568 = _T_1445 < _T_1449; // @[pic_ctrl.scala 27:20] + wire [7:0] intpend_id_3 = 8'h3; // @[pic_ctrl.scala 71:42 pic_ctrl.scala 162:56] + wire [7:0] level_intpend_id_0_3 = 8'h3; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 221:33] + wire [7:0] intpend_id_2 = 8'h2; // @[pic_ctrl.scala 71:42 pic_ctrl.scala 162:56] + wire [7:0] level_intpend_id_0_2 = 8'h2; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 221:33] + wire [7:0] out_id_1 = _T_1568 ? intpend_id_3 : intpend_id_2; // @[pic_ctrl.scala 27:9] + wire [3:0] out_priority_1 = _T_1568 ? _T_1449 : _T_1445; // @[pic_ctrl.scala 27:49] + wire [3:0] _T_1453 = intpend_w_prior_en_4; // @[pic_ctrl.scala 70:42 pic_ctrl.scala 161:64] + wire [3:0] level_intpend_w_prior_en_0_4 = intpend_w_prior_en_4; // @[pic_ctrl.scala 213:40 pic_ctrl.scala 217:38 pic_ctrl.scala 220:33] + wire [3:0] _T_1457 = intpend_w_prior_en_5; // @[pic_ctrl.scala 70:42 pic_ctrl.scala 161:64] + wire [3:0] level_intpend_w_prior_en_0_5 = intpend_w_prior_en_5; // @[pic_ctrl.scala 213:40 pic_ctrl.scala 217:38 pic_ctrl.scala 220:33] + wire _T_1570 = _T_1453 < _T_1457; // @[pic_ctrl.scala 27:20] + wire [7:0] intpend_id_5 = 8'h5; // @[pic_ctrl.scala 71:42 pic_ctrl.scala 162:56] + wire [7:0] level_intpend_id_0_5 = 8'h5; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 221:33] + wire [7:0] intpend_id_4 = 8'h4; // @[pic_ctrl.scala 71:42 pic_ctrl.scala 162:56] + wire [7:0] level_intpend_id_0_4 = 8'h4; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 221:33] + wire [7:0] out_id_2 = _T_1570 ? intpend_id_5 : intpend_id_4; // @[pic_ctrl.scala 27:9] + wire [3:0] out_priority_2 = _T_1570 ? _T_1457 : _T_1453; // @[pic_ctrl.scala 27:49] + wire [3:0] _T_1461 = intpend_w_prior_en_6; // @[pic_ctrl.scala 70:42 pic_ctrl.scala 161:64] + wire [3:0] level_intpend_w_prior_en_0_6 = intpend_w_prior_en_6; // @[pic_ctrl.scala 213:40 pic_ctrl.scala 217:38 pic_ctrl.scala 220:33] + wire [3:0] _T_1465 = intpend_w_prior_en_7; // @[pic_ctrl.scala 70:42 pic_ctrl.scala 161:64] + wire [3:0] level_intpend_w_prior_en_0_7 = intpend_w_prior_en_7; // @[pic_ctrl.scala 213:40 pic_ctrl.scala 217:38 pic_ctrl.scala 220:33] + wire _T_1572 = _T_1461 < _T_1465; // @[pic_ctrl.scala 27:20] + wire [7:0] intpend_id_7 = 8'h7; // @[pic_ctrl.scala 71:42 pic_ctrl.scala 162:56] + wire [7:0] level_intpend_id_0_7 = 8'h7; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 221:33] + wire [7:0] intpend_id_6 = 8'h6; // @[pic_ctrl.scala 71:42 pic_ctrl.scala 162:56] + wire [7:0] level_intpend_id_0_6 = 8'h6; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 221:33] + wire [7:0] out_id_3 = _T_1572 ? intpend_id_7 : intpend_id_6; // @[pic_ctrl.scala 27:9] + wire [3:0] out_priority_3 = _T_1572 ? _T_1465 : _T_1461; // @[pic_ctrl.scala 27:49] + wire [3:0] _T_1469 = intpend_w_prior_en_8; // @[pic_ctrl.scala 70:42 pic_ctrl.scala 161:64] + wire [3:0] level_intpend_w_prior_en_0_8 = intpend_w_prior_en_8; // @[pic_ctrl.scala 213:40 pic_ctrl.scala 217:38 pic_ctrl.scala 220:33] + wire [3:0] _T_1473 = intpend_w_prior_en_9; // @[pic_ctrl.scala 70:42 pic_ctrl.scala 161:64] + wire [3:0] level_intpend_w_prior_en_0_9 = intpend_w_prior_en_9; // @[pic_ctrl.scala 213:40 pic_ctrl.scala 217:38 pic_ctrl.scala 220:33] + wire _T_1574 = _T_1469 < _T_1473; // @[pic_ctrl.scala 27:20] + wire [7:0] intpend_id_9 = 8'h9; // @[pic_ctrl.scala 71:42 pic_ctrl.scala 162:56] + wire [7:0] level_intpend_id_0_9 = 8'h9; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 221:33] + wire [7:0] intpend_id_8 = 8'h8; // @[pic_ctrl.scala 71:42 pic_ctrl.scala 162:56] + wire [7:0] level_intpend_id_0_8 = 8'h8; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 221:33] + wire [7:0] out_id_4 = _T_1574 ? intpend_id_9 : intpend_id_8; // @[pic_ctrl.scala 27:9] + wire [3:0] out_priority_4 = _T_1574 ? _T_1473 : _T_1469; // @[pic_ctrl.scala 27:49] + wire [3:0] _T_1477 = intpend_w_prior_en_10; // @[pic_ctrl.scala 70:42 pic_ctrl.scala 161:64] + wire [3:0] level_intpend_w_prior_en_0_10 = intpend_w_prior_en_10; // @[pic_ctrl.scala 213:40 pic_ctrl.scala 217:38 pic_ctrl.scala 220:33] + wire [3:0] _T_1481 = intpend_w_prior_en_11; // @[pic_ctrl.scala 70:42 pic_ctrl.scala 161:64] + wire [3:0] level_intpend_w_prior_en_0_11 = intpend_w_prior_en_11; // @[pic_ctrl.scala 213:40 pic_ctrl.scala 217:38 pic_ctrl.scala 220:33] + wire _T_1576 = _T_1477 < _T_1481; // @[pic_ctrl.scala 27:20] + wire [7:0] intpend_id_11 = 8'hb; // @[pic_ctrl.scala 71:42 pic_ctrl.scala 162:56] + wire [7:0] level_intpend_id_0_11 = 8'hb; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 221:33] + wire [7:0] intpend_id_10 = 8'ha; // @[pic_ctrl.scala 71:42 pic_ctrl.scala 162:56] + wire [7:0] level_intpend_id_0_10 = 8'ha; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 221:33] + wire [7:0] out_id_5 = _T_1576 ? intpend_id_11 : intpend_id_10; // @[pic_ctrl.scala 27:9] + wire [3:0] out_priority_5 = _T_1576 ? _T_1481 : _T_1477; // @[pic_ctrl.scala 27:49] + wire [3:0] _T_1485 = intpend_w_prior_en_12; // @[pic_ctrl.scala 70:42 pic_ctrl.scala 161:64] + wire [3:0] level_intpend_w_prior_en_0_12 = intpend_w_prior_en_12; // @[pic_ctrl.scala 213:40 pic_ctrl.scala 217:38 pic_ctrl.scala 220:33] + wire [3:0] _T_1489 = intpend_w_prior_en_13; // @[pic_ctrl.scala 70:42 pic_ctrl.scala 161:64] + wire [3:0] level_intpend_w_prior_en_0_13 = intpend_w_prior_en_13; // @[pic_ctrl.scala 213:40 pic_ctrl.scala 217:38 pic_ctrl.scala 220:33] + wire _T_1578 = _T_1485 < _T_1489; // @[pic_ctrl.scala 27:20] + wire [7:0] intpend_id_13 = 8'hd; // @[pic_ctrl.scala 71:42 pic_ctrl.scala 162:56] + wire [7:0] level_intpend_id_0_13 = 8'hd; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 221:33] + wire [7:0] intpend_id_12 = 8'hc; // @[pic_ctrl.scala 71:42 pic_ctrl.scala 162:56] + wire [7:0] level_intpend_id_0_12 = 8'hc; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 221:33] + wire [7:0] out_id_6 = _T_1578 ? intpend_id_13 : intpend_id_12; // @[pic_ctrl.scala 27:9] + wire [3:0] out_priority_6 = _T_1578 ? _T_1489 : _T_1485; // @[pic_ctrl.scala 27:49] + wire [3:0] _T_1493 = intpend_w_prior_en_14; // @[pic_ctrl.scala 70:42 pic_ctrl.scala 161:64] + wire [3:0] level_intpend_w_prior_en_0_14 = intpend_w_prior_en_14; // @[pic_ctrl.scala 213:40 pic_ctrl.scala 217:38 pic_ctrl.scala 220:33] + wire [3:0] _T_1497 = intpend_w_prior_en_15; // @[pic_ctrl.scala 70:42 pic_ctrl.scala 161:64] + wire [3:0] level_intpend_w_prior_en_0_15 = intpend_w_prior_en_15; // @[pic_ctrl.scala 213:40 pic_ctrl.scala 217:38 pic_ctrl.scala 220:33] + wire _T_1580 = _T_1493 < _T_1497; // @[pic_ctrl.scala 27:20] + wire [7:0] intpend_id_15 = 8'hf; // @[pic_ctrl.scala 71:42 pic_ctrl.scala 162:56] + wire [7:0] level_intpend_id_0_15 = 8'hf; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 221:33] + wire [7:0] intpend_id_14 = 8'he; // @[pic_ctrl.scala 71:42 pic_ctrl.scala 162:56] + wire [7:0] level_intpend_id_0_14 = 8'he; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 221:33] + wire [7:0] out_id_7 = _T_1580 ? intpend_id_15 : intpend_id_14; // @[pic_ctrl.scala 27:9] + wire [3:0] out_priority_7 = _T_1580 ? _T_1497 : _T_1493; // @[pic_ctrl.scala 27:49] + wire [3:0] _T_1501 = intpend_w_prior_en_16; // @[pic_ctrl.scala 70:42 pic_ctrl.scala 161:64] + wire [3:0] level_intpend_w_prior_en_0_16 = intpend_w_prior_en_16; // @[pic_ctrl.scala 213:40 pic_ctrl.scala 217:38 pic_ctrl.scala 220:33] + wire [3:0] _T_1505 = intpend_w_prior_en_17; // @[pic_ctrl.scala 70:42 pic_ctrl.scala 161:64] + wire [3:0] level_intpend_w_prior_en_0_17 = intpend_w_prior_en_17; // @[pic_ctrl.scala 213:40 pic_ctrl.scala 217:38 pic_ctrl.scala 220:33] + wire _T_1582 = _T_1501 < _T_1505; // @[pic_ctrl.scala 27:20] + wire [7:0] intpend_id_17 = 8'h11; // @[pic_ctrl.scala 71:42 pic_ctrl.scala 162:56] + wire [7:0] level_intpend_id_0_17 = 8'h11; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 221:33] + wire [7:0] intpend_id_16 = 8'h10; // @[pic_ctrl.scala 71:42 pic_ctrl.scala 162:56] + wire [7:0] level_intpend_id_0_16 = 8'h10; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 221:33] + wire [7:0] out_id_8 = _T_1582 ? intpend_id_17 : intpend_id_16; // @[pic_ctrl.scala 27:9] + wire [3:0] out_priority_8 = _T_1582 ? _T_1505 : _T_1501; // @[pic_ctrl.scala 27:49] + wire [3:0] _T_1509 = intpend_w_prior_en_18; // @[pic_ctrl.scala 70:42 pic_ctrl.scala 161:64] + wire [3:0] level_intpend_w_prior_en_0_18 = intpend_w_prior_en_18; // @[pic_ctrl.scala 213:40 pic_ctrl.scala 217:38 pic_ctrl.scala 220:33] + wire [3:0] _T_1513 = intpend_w_prior_en_19; // @[pic_ctrl.scala 70:42 pic_ctrl.scala 161:64] + wire [3:0] level_intpend_w_prior_en_0_19 = intpend_w_prior_en_19; // @[pic_ctrl.scala 213:40 pic_ctrl.scala 217:38 pic_ctrl.scala 220:33] + wire _T_1584 = _T_1509 < _T_1513; // @[pic_ctrl.scala 27:20] + wire [7:0] intpend_id_19 = 8'h13; // @[pic_ctrl.scala 71:42 pic_ctrl.scala 162:56] + wire [7:0] level_intpend_id_0_19 = 8'h13; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 221:33] + wire [7:0] intpend_id_18 = 8'h12; // @[pic_ctrl.scala 71:42 pic_ctrl.scala 162:56] + wire [7:0] level_intpend_id_0_18 = 8'h12; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 221:33] + wire [7:0] out_id_9 = _T_1584 ? intpend_id_19 : intpend_id_18; // @[pic_ctrl.scala 27:9] + wire [3:0] out_priority_9 = _T_1584 ? _T_1513 : _T_1509; // @[pic_ctrl.scala 27:49] + wire [3:0] _T_1517 = intpend_w_prior_en_20; // @[pic_ctrl.scala 70:42 pic_ctrl.scala 161:64] + wire [3:0] level_intpend_w_prior_en_0_20 = intpend_w_prior_en_20; // @[pic_ctrl.scala 213:40 pic_ctrl.scala 217:38 pic_ctrl.scala 220:33] + wire [3:0] _T_1521 = intpend_w_prior_en_21; // @[pic_ctrl.scala 70:42 pic_ctrl.scala 161:64] + wire [3:0] level_intpend_w_prior_en_0_21 = intpend_w_prior_en_21; // @[pic_ctrl.scala 213:40 pic_ctrl.scala 217:38 pic_ctrl.scala 220:33] + wire _T_1586 = _T_1517 < _T_1521; // @[pic_ctrl.scala 27:20] + wire [7:0] intpend_id_21 = 8'h15; // @[pic_ctrl.scala 71:42 pic_ctrl.scala 162:56] + wire [7:0] level_intpend_id_0_21 = 8'h15; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 221:33] + wire [7:0] intpend_id_20 = 8'h14; // @[pic_ctrl.scala 71:42 pic_ctrl.scala 162:56] + wire [7:0] level_intpend_id_0_20 = 8'h14; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 221:33] + wire [7:0] out_id_10 = _T_1586 ? intpend_id_21 : intpend_id_20; // @[pic_ctrl.scala 27:9] + wire [3:0] out_priority_10 = _T_1586 ? _T_1521 : _T_1517; // @[pic_ctrl.scala 27:49] + wire [3:0] _T_1525 = intpend_w_prior_en_22; // @[pic_ctrl.scala 70:42 pic_ctrl.scala 161:64] + wire [3:0] level_intpend_w_prior_en_0_22 = intpend_w_prior_en_22; // @[pic_ctrl.scala 213:40 pic_ctrl.scala 217:38 pic_ctrl.scala 220:33] + wire [3:0] _T_1529 = intpend_w_prior_en_23; // @[pic_ctrl.scala 70:42 pic_ctrl.scala 161:64] + wire [3:0] level_intpend_w_prior_en_0_23 = intpend_w_prior_en_23; // @[pic_ctrl.scala 213:40 pic_ctrl.scala 217:38 pic_ctrl.scala 220:33] + wire _T_1588 = _T_1525 < _T_1529; // @[pic_ctrl.scala 27:20] + wire [7:0] intpend_id_23 = 8'h17; // @[pic_ctrl.scala 71:42 pic_ctrl.scala 162:56] + wire [7:0] level_intpend_id_0_23 = 8'h17; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 221:33] + wire [7:0] intpend_id_22 = 8'h16; // @[pic_ctrl.scala 71:42 pic_ctrl.scala 162:56] + wire [7:0] level_intpend_id_0_22 = 8'h16; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 221:33] + wire [7:0] out_id_11 = _T_1588 ? intpend_id_23 : intpend_id_22; // @[pic_ctrl.scala 27:9] + wire [3:0] out_priority_11 = _T_1588 ? _T_1529 : _T_1525; // @[pic_ctrl.scala 27:49] + wire [3:0] _T_1533 = intpend_w_prior_en_24; // @[pic_ctrl.scala 70:42 pic_ctrl.scala 161:64] + wire [3:0] level_intpend_w_prior_en_0_24 = intpend_w_prior_en_24; // @[pic_ctrl.scala 213:40 pic_ctrl.scala 217:38 pic_ctrl.scala 220:33] + wire [3:0] _T_1537 = intpend_w_prior_en_25; // @[pic_ctrl.scala 70:42 pic_ctrl.scala 161:64] + wire [3:0] level_intpend_w_prior_en_0_25 = intpend_w_prior_en_25; // @[pic_ctrl.scala 213:40 pic_ctrl.scala 217:38 pic_ctrl.scala 220:33] + wire _T_1590 = _T_1533 < _T_1537; // @[pic_ctrl.scala 27:20] + wire [7:0] intpend_id_25 = 8'h19; // @[pic_ctrl.scala 71:42 pic_ctrl.scala 162:56] + wire [7:0] level_intpend_id_0_25 = 8'h19; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 221:33] + wire [7:0] intpend_id_24 = 8'h18; // @[pic_ctrl.scala 71:42 pic_ctrl.scala 162:56] + wire [7:0] level_intpend_id_0_24 = 8'h18; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 221:33] + wire [7:0] out_id_12 = _T_1590 ? intpend_id_25 : intpend_id_24; // @[pic_ctrl.scala 27:9] + wire [3:0] out_priority_12 = _T_1590 ? _T_1537 : _T_1533; // @[pic_ctrl.scala 27:49] + wire [3:0] _T_1541 = intpend_w_prior_en_26; // @[pic_ctrl.scala 70:42 pic_ctrl.scala 161:64] + wire [3:0] level_intpend_w_prior_en_0_26 = intpend_w_prior_en_26; // @[pic_ctrl.scala 213:40 pic_ctrl.scala 217:38 pic_ctrl.scala 220:33] + wire [3:0] _T_1545 = intpend_w_prior_en_27; // @[pic_ctrl.scala 70:42 pic_ctrl.scala 161:64] + wire [3:0] level_intpend_w_prior_en_0_27 = intpend_w_prior_en_27; // @[pic_ctrl.scala 213:40 pic_ctrl.scala 217:38 pic_ctrl.scala 220:33] + wire _T_1592 = _T_1541 < _T_1545; // @[pic_ctrl.scala 27:20] + wire [7:0] intpend_id_27 = 8'h1b; // @[pic_ctrl.scala 71:42 pic_ctrl.scala 162:56] + wire [7:0] level_intpend_id_0_27 = 8'h1b; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 221:33] + wire [7:0] intpend_id_26 = 8'h1a; // @[pic_ctrl.scala 71:42 pic_ctrl.scala 162:56] + wire [7:0] level_intpend_id_0_26 = 8'h1a; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 221:33] + wire [7:0] out_id_13 = _T_1592 ? intpend_id_27 : intpend_id_26; // @[pic_ctrl.scala 27:9] + wire [3:0] out_priority_13 = _T_1592 ? _T_1545 : _T_1541; // @[pic_ctrl.scala 27:49] + wire [3:0] _T_1549 = intpend_w_prior_en_28; // @[pic_ctrl.scala 70:42 pic_ctrl.scala 161:64] + wire [3:0] level_intpend_w_prior_en_0_28 = intpend_w_prior_en_28; // @[pic_ctrl.scala 213:40 pic_ctrl.scala 217:38 pic_ctrl.scala 220:33] + wire [3:0] _T_1553 = intpend_w_prior_en_29; // @[pic_ctrl.scala 70:42 pic_ctrl.scala 161:64] + wire [3:0] level_intpend_w_prior_en_0_29 = intpend_w_prior_en_29; // @[pic_ctrl.scala 213:40 pic_ctrl.scala 217:38 pic_ctrl.scala 220:33] + wire _T_1594 = _T_1549 < _T_1553; // @[pic_ctrl.scala 27:20] + wire [7:0] intpend_id_29 = 8'h1d; // @[pic_ctrl.scala 71:42 pic_ctrl.scala 162:56] + wire [7:0] level_intpend_id_0_29 = 8'h1d; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 221:33] + wire [7:0] intpend_id_28 = 8'h1c; // @[pic_ctrl.scala 71:42 pic_ctrl.scala 162:56] + wire [7:0] level_intpend_id_0_28 = 8'h1c; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 221:33] + wire [7:0] out_id_14 = _T_1594 ? intpend_id_29 : intpend_id_28; // @[pic_ctrl.scala 27:9] + wire [3:0] out_priority_14 = _T_1594 ? _T_1553 : _T_1549; // @[pic_ctrl.scala 27:49] + wire [3:0] _T_1557 = intpend_w_prior_en_30; // @[pic_ctrl.scala 70:42 pic_ctrl.scala 161:64] + wire [3:0] level_intpend_w_prior_en_0_30 = intpend_w_prior_en_30; // @[pic_ctrl.scala 213:40 pic_ctrl.scala 217:38 pic_ctrl.scala 220:33] + wire [3:0] _T_1561 = intpend_w_prior_en_31; // @[pic_ctrl.scala 70:42 pic_ctrl.scala 161:64] + wire [3:0] level_intpend_w_prior_en_0_31 = intpend_w_prior_en_31; // @[pic_ctrl.scala 213:40 pic_ctrl.scala 217:38 pic_ctrl.scala 220:33] + wire _T_1596 = _T_1557 < _T_1561; // @[pic_ctrl.scala 27:20] + wire [7:0] intpend_id_31 = 8'h1f; // @[pic_ctrl.scala 71:42 pic_ctrl.scala 162:56] + wire [7:0] level_intpend_id_0_31 = 8'h1f; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 221:33] + wire [7:0] intpend_id_30 = 8'h1e; // @[pic_ctrl.scala 71:42 pic_ctrl.scala 162:56] + wire [7:0] level_intpend_id_0_30 = 8'h1e; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 221:33] + wire [7:0] out_id_15 = _T_1596 ? intpend_id_31 : intpend_id_30; // @[pic_ctrl.scala 27:9] + wire [3:0] out_priority_15 = _T_1596 ? _T_1561 : _T_1557; // @[pic_ctrl.scala 27:49] + wire [3:0] level_intpend_w_prior_en_0_32 = 4'h0; // @[pic_ctrl.scala 213:40 pic_ctrl.scala 217:38 pic_ctrl.scala 220:33] + wire [3:0] level_intpend_w_prior_en_0_33 = 4'h0; // @[pic_ctrl.scala 213:40 pic_ctrl.scala 217:38 pic_ctrl.scala 220:33] + wire _T_1598 = intpriority_reg_0 < intpriority_reg_0; // @[pic_ctrl.scala 27:20] + wire [7:0] level_intpend_id_0_33 = 8'hff; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 221:33] + wire [7:0] level_intpend_id_0_32 = 8'hff; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 221:33] + wire [7:0] out_id_16 = _T_1598 ? _T_1564 : _T_1564; // @[pic_ctrl.scala 27:9] + wire _T_1600 = out_priority < out_priority_1; // @[pic_ctrl.scala 27:20] + wire [7:0] level_intpend_id_1_1 = out_id_1; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 232:41] + wire [7:0] level_intpend_id_1_0 = out_id; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 232:41] + wire [7:0] out_id_17 = _T_1600 ? level_intpend_id_1_1 : level_intpend_id_1_0; // @[pic_ctrl.scala 27:9] + wire [3:0] out_priority_17 = _T_1600 ? out_priority_1 : out_priority; // @[pic_ctrl.scala 27:49] + wire _T_1602 = out_priority_2 < out_priority_3; // @[pic_ctrl.scala 27:20] + wire [7:0] level_intpend_id_1_3 = out_id_3; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 232:41] + wire [7:0] level_intpend_id_1_2 = out_id_2; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 232:41] + wire [7:0] out_id_18 = _T_1602 ? level_intpend_id_1_3 : level_intpend_id_1_2; // @[pic_ctrl.scala 27:9] + wire [3:0] out_priority_18 = _T_1602 ? out_priority_3 : out_priority_2; // @[pic_ctrl.scala 27:49] + wire _T_1604 = out_priority_4 < out_priority_5; // @[pic_ctrl.scala 27:20] + wire [7:0] level_intpend_id_1_5 = out_id_5; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 232:41] + wire [7:0] level_intpend_id_1_4 = out_id_4; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 232:41] + wire [7:0] out_id_19 = _T_1604 ? level_intpend_id_1_5 : level_intpend_id_1_4; // @[pic_ctrl.scala 27:9] + wire [3:0] out_priority_19 = _T_1604 ? out_priority_5 : out_priority_4; // @[pic_ctrl.scala 27:49] + wire _T_1606 = out_priority_6 < out_priority_7; // @[pic_ctrl.scala 27:20] + wire [7:0] level_intpend_id_1_7 = out_id_7; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 232:41] + wire [7:0] level_intpend_id_1_6 = out_id_6; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 232:41] + wire [7:0] out_id_20 = _T_1606 ? level_intpend_id_1_7 : level_intpend_id_1_6; // @[pic_ctrl.scala 27:9] + wire [3:0] out_priority_20 = _T_1606 ? out_priority_7 : out_priority_6; // @[pic_ctrl.scala 27:49] + wire _T_1608 = out_priority_8 < out_priority_9; // @[pic_ctrl.scala 27:20] + wire [7:0] level_intpend_id_1_9 = out_id_9; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 232:41] + wire [7:0] level_intpend_id_1_8 = out_id_8; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 232:41] + wire [7:0] out_id_21 = _T_1608 ? level_intpend_id_1_9 : level_intpend_id_1_8; // @[pic_ctrl.scala 27:9] + wire [3:0] out_priority_21 = _T_1608 ? out_priority_9 : out_priority_8; // @[pic_ctrl.scala 27:49] + wire _T_1610 = out_priority_10 < out_priority_11; // @[pic_ctrl.scala 27:20] + wire [7:0] level_intpend_id_1_11 = out_id_11; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 232:41] + wire [7:0] level_intpend_id_1_10 = out_id_10; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 232:41] + wire [7:0] out_id_22 = _T_1610 ? level_intpend_id_1_11 : level_intpend_id_1_10; // @[pic_ctrl.scala 27:9] + wire [3:0] out_priority_22 = _T_1610 ? out_priority_11 : out_priority_10; // @[pic_ctrl.scala 27:49] + wire _T_1612 = out_priority_12 < out_priority_13; // @[pic_ctrl.scala 27:20] + wire [7:0] level_intpend_id_1_13 = out_id_13; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 232:41] + wire [7:0] level_intpend_id_1_12 = out_id_12; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 232:41] + wire [7:0] out_id_23 = _T_1612 ? level_intpend_id_1_13 : level_intpend_id_1_12; // @[pic_ctrl.scala 27:9] + wire [3:0] out_priority_23 = _T_1612 ? out_priority_13 : out_priority_12; // @[pic_ctrl.scala 27:49] + wire _T_1614 = out_priority_14 < out_priority_15; // @[pic_ctrl.scala 27:20] + wire [7:0] level_intpend_id_1_15 = out_id_15; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 232:41] + wire [7:0] level_intpend_id_1_14 = out_id_14; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 232:41] + wire [7:0] out_id_24 = _T_1614 ? level_intpend_id_1_15 : level_intpend_id_1_14; // @[pic_ctrl.scala 27:9] + wire [3:0] out_priority_24 = _T_1614 ? out_priority_15 : out_priority_14; // @[pic_ctrl.scala 27:49] + wire [7:0] level_intpend_id_1_17 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 229:44] + wire [7:0] level_intpend_id_1_16 = out_id_16; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 232:41] + wire [7:0] out_id_25 = level_intpend_id_1_16; // @[pic_ctrl.scala 27:9] + wire _T_1618 = out_priority_17 < out_priority_18; // @[pic_ctrl.scala 27:20] + wire [7:0] level_intpend_id_2_1 = out_id_18; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 232:41] + wire [7:0] level_intpend_id_2_0 = out_id_17; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 232:41] + wire [7:0] out_id_26 = _T_1618 ? level_intpend_id_2_1 : level_intpend_id_2_0; // @[pic_ctrl.scala 27:9] + wire [3:0] out_priority_26 = _T_1618 ? out_priority_18 : out_priority_17; // @[pic_ctrl.scala 27:49] + wire _T_1620 = out_priority_19 < out_priority_20; // @[pic_ctrl.scala 27:20] + wire [7:0] level_intpend_id_2_3 = out_id_20; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 232:41] + wire [7:0] level_intpend_id_2_2 = out_id_19; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 232:41] + wire [7:0] out_id_27 = _T_1620 ? level_intpend_id_2_3 : level_intpend_id_2_2; // @[pic_ctrl.scala 27:9] + wire [3:0] out_priority_27 = _T_1620 ? out_priority_20 : out_priority_19; // @[pic_ctrl.scala 27:49] + wire _T_1622 = out_priority_21 < out_priority_22; // @[pic_ctrl.scala 27:20] + wire [7:0] level_intpend_id_2_5 = out_id_22; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 232:41] + wire [7:0] level_intpend_id_2_4 = out_id_21; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 232:41] + wire [7:0] out_id_28 = _T_1622 ? level_intpend_id_2_5 : level_intpend_id_2_4; // @[pic_ctrl.scala 27:9] + wire [3:0] out_priority_28 = _T_1622 ? out_priority_22 : out_priority_21; // @[pic_ctrl.scala 27:49] + wire _T_1624 = out_priority_23 < out_priority_24; // @[pic_ctrl.scala 27:20] + wire [7:0] level_intpend_id_2_7 = out_id_24; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 232:41] + wire [7:0] level_intpend_id_2_6 = out_id_23; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 232:41] + wire [7:0] out_id_29 = _T_1624 ? level_intpend_id_2_7 : level_intpend_id_2_6; // @[pic_ctrl.scala 27:9] + wire [3:0] out_priority_29 = _T_1624 ? out_priority_24 : out_priority_23; // @[pic_ctrl.scala 27:49] + wire [7:0] level_intpend_id_2_9 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 229:44] + wire [7:0] level_intpend_id_2_8 = level_intpend_id_1_16; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 232:41] + wire [7:0] out_id_30 = out_id_25; // @[pic_ctrl.scala 27:9] + wire _T_1628 = out_priority_26 < out_priority_27; // @[pic_ctrl.scala 27:20] + wire [7:0] level_intpend_id_3_1 = out_id_27; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 232:41] + wire [7:0] level_intpend_id_3_0 = out_id_26; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 232:41] + wire [7:0] out_id_31 = _T_1628 ? level_intpend_id_3_1 : level_intpend_id_3_0; // @[pic_ctrl.scala 27:9] + wire [3:0] out_priority_31 = _T_1628 ? out_priority_27 : out_priority_26; // @[pic_ctrl.scala 27:49] + wire _T_1630 = out_priority_28 < out_priority_29; // @[pic_ctrl.scala 27:20] + wire [7:0] level_intpend_id_3_3 = out_id_29; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 232:41] + wire [7:0] level_intpend_id_3_2 = out_id_28; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 232:41] + wire [7:0] out_id_32 = _T_1630 ? level_intpend_id_3_3 : level_intpend_id_3_2; // @[pic_ctrl.scala 27:9] + wire [3:0] out_priority_32 = _T_1630 ? out_priority_29 : out_priority_28; // @[pic_ctrl.scala 27:49] + wire [7:0] level_intpend_id_3_5 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 229:44] + wire [7:0] level_intpend_id_3_4 = out_id_25; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 232:41] + wire [7:0] out_id_33 = out_id_30; // @[pic_ctrl.scala 27:9] + wire _T_1634 = out_priority_31 < out_priority_32; // @[pic_ctrl.scala 27:20] + wire [7:0] level_intpend_id_4_1 = out_id_32; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 232:41] + wire [7:0] level_intpend_id_4_0 = out_id_31; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 232:41] + wire [7:0] out_id_34 = _T_1634 ? level_intpend_id_4_1 : level_intpend_id_4_0; // @[pic_ctrl.scala 27:9] + wire [3:0] out_priority_34 = _T_1634 ? out_priority_32 : out_priority_31; // @[pic_ctrl.scala 27:49] + wire [7:0] level_intpend_id_4_3 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 229:44] + wire [7:0] level_intpend_id_4_2 = out_id_30; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 232:41] + wire config_reg_we = waddr_config_pic_match & picm_wren_ff; // @[pic_ctrl.scala 249:47] + wire config_reg_re = raddr_config_pic_match & picm_rden_ff; // @[pic_ctrl.scala 250:47] + wire [3:0] level_intpend_w_prior_en_5_0 = out_priority_34; // @[pic_ctrl.scala 213:40 pic_ctrl.scala 217:38 pic_ctrl.scala 233:41] + wire [3:0] selected_int_priority = out_priority_34; // @[pic_ctrl.scala 237:29] + wire [3:0] _T_1641 = ~level_intpend_w_prior_en_5_0; // @[pic_ctrl.scala 261:38] + wire [3:0] pl_in_q = config_reg ? _T_1641 : level_intpend_w_prior_en_5_0; // @[pic_ctrl.scala 261:20] + reg [7:0] _T_1642; // @[pic_ctrl.scala 262:59] + reg [3:0] _T_1643; // @[pic_ctrl.scala 263:54] + wire [3:0] _T_1645 = ~io_dec_pic_dec_tlu_meipt; // @[pic_ctrl.scala 264:40] + wire [3:0] meipt_inv = config_reg ? _T_1645 : io_dec_pic_dec_tlu_meipt; // @[pic_ctrl.scala 264:22] + wire [3:0] _T_1647 = ~io_dec_pic_dec_tlu_meicurpl; // @[pic_ctrl.scala 265:43] + wire [3:0] meicurpl_inv = config_reg ? _T_1647 : io_dec_pic_dec_tlu_meicurpl; // @[pic_ctrl.scala 265:25] + wire _T_1648 = level_intpend_w_prior_en_5_0 > meipt_inv; // @[pic_ctrl.scala 266:47] + wire _T_1649 = level_intpend_w_prior_en_5_0 > meicurpl_inv; // @[pic_ctrl.scala 266:86] + reg _T_1650; // @[pic_ctrl.scala 267:58] + wire [3:0] maxint = config_reg ? 4'h0 : 4'hf; // @[pic_ctrl.scala 268:19] + reg _T_1652; // @[pic_ctrl.scala 270:56] + wire intpend_reg_read = addr_intpend_base_match & picm_rden_ff; // @[pic_ctrl.scala 276:60] + wire [9:0] _T_1662 = {extintsrc_req_gw_31,extintsrc_req_gw_30,extintsrc_req_gw_29,extintsrc_req_gw_28,extintsrc_req_gw_27,extintsrc_req_gw_26,extintsrc_req_gw_25,extintsrc_req_gw_24,extintsrc_req_gw_23,extintsrc_req_gw_22}; // @[Cat.scala 29:58] + wire [18:0] _T_1671 = {_T_1662,extintsrc_req_gw_21,extintsrc_req_gw_20,extintsrc_req_gw_19,extintsrc_req_gw_18,extintsrc_req_gw_17,extintsrc_req_gw_16,extintsrc_req_gw_15,extintsrc_req_gw_14,extintsrc_req_gw_13}; // @[Cat.scala 29:58] + wire [27:0] _T_1680 = {_T_1671,extintsrc_req_gw_12,extintsrc_req_gw_11,extintsrc_req_gw_10,extintsrc_req_gw_9,extintsrc_req_gw_8,extintsrc_req_gw_7,extintsrc_req_gw_6,extintsrc_req_gw_5,extintsrc_req_gw_4}; // @[Cat.scala 29:58] + wire [63:0] intpend_reg_extended = {32'h0,_T_1680,extintsrc_req_gw_3,extintsrc_req_gw_2,extintsrc_req_gw_1,1'h0}; // @[Cat.scala 29:58] + wire _T_1687 = picm_raddr_ff[5:2] == 4'h0; // @[pic_ctrl.scala 284:107] + wire _T_1688 = intpend_reg_read & _T_1687; // @[pic_ctrl.scala 284:85] + wire [31:0] _T_1690 = _T_1688 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] intpend_rd_part_out_0 = _T_1690 & intpend_reg_extended[31:0]; // @[pic_ctrl.scala 284:123] + wire _T_1694 = picm_raddr_ff[5:2] == 4'h1; // @[pic_ctrl.scala 284:107] + wire _T_1695 = intpend_reg_read & _T_1694; // @[pic_ctrl.scala 284:85] + wire [31:0] _T_1697 = _T_1695 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] intpend_rd_part_out_1 = _T_1697 & intpend_reg_extended[63:32]; // @[pic_ctrl.scala 284:123] + wire [31:0] intpend_rd_out = intpend_rd_part_out_0 | intpend_rd_part_out_1; // @[pic_ctrl.scala 285:58] + wire _T_1732 = intenable_reg_re_31 & intenable_reg_31; // @[Mux.scala 98:16] + wire _T_1733 = intenable_reg_re_30 ? intenable_reg_30 : _T_1732; // @[Mux.scala 98:16] + wire _T_1734 = intenable_reg_re_29 ? intenable_reg_29 : _T_1733; // @[Mux.scala 98:16] + wire _T_1735 = intenable_reg_re_28 ? intenable_reg_28 : _T_1734; // @[Mux.scala 98:16] + wire _T_1736 = intenable_reg_re_27 ? intenable_reg_27 : _T_1735; // @[Mux.scala 98:16] + wire _T_1737 = intenable_reg_re_26 ? intenable_reg_26 : _T_1736; // @[Mux.scala 98:16] + wire _T_1738 = intenable_reg_re_25 ? intenable_reg_25 : _T_1737; // @[Mux.scala 98:16] + wire _T_1739 = intenable_reg_re_24 ? intenable_reg_24 : _T_1738; // @[Mux.scala 98:16] + wire _T_1740 = intenable_reg_re_23 ? intenable_reg_23 : _T_1739; // @[Mux.scala 98:16] + wire _T_1741 = intenable_reg_re_22 ? intenable_reg_22 : _T_1740; // @[Mux.scala 98:16] + wire _T_1742 = intenable_reg_re_21 ? intenable_reg_21 : _T_1741; // @[Mux.scala 98:16] + wire _T_1743 = intenable_reg_re_20 ? intenable_reg_20 : _T_1742; // @[Mux.scala 98:16] + wire _T_1744 = intenable_reg_re_19 ? intenable_reg_19 : _T_1743; // @[Mux.scala 98:16] + wire _T_1745 = intenable_reg_re_18 ? intenable_reg_18 : _T_1744; // @[Mux.scala 98:16] + wire _T_1746 = intenable_reg_re_17 ? intenable_reg_17 : _T_1745; // @[Mux.scala 98:16] + wire _T_1747 = intenable_reg_re_16 ? intenable_reg_16 : _T_1746; // @[Mux.scala 98:16] + wire _T_1748 = intenable_reg_re_15 ? intenable_reg_15 : _T_1747; // @[Mux.scala 98:16] + wire _T_1749 = intenable_reg_re_14 ? intenable_reg_14 : _T_1748; // @[Mux.scala 98:16] + wire _T_1750 = intenable_reg_re_13 ? intenable_reg_13 : _T_1749; // @[Mux.scala 98:16] + wire _T_1751 = intenable_reg_re_12 ? intenable_reg_12 : _T_1750; // @[Mux.scala 98:16] + wire _T_1752 = intenable_reg_re_11 ? intenable_reg_11 : _T_1751; // @[Mux.scala 98:16] + wire _T_1753 = intenable_reg_re_10 ? intenable_reg_10 : _T_1752; // @[Mux.scala 98:16] + wire _T_1754 = intenable_reg_re_9 ? intenable_reg_9 : _T_1753; // @[Mux.scala 98:16] + wire _T_1755 = intenable_reg_re_8 ? intenable_reg_8 : _T_1754; // @[Mux.scala 98:16] + wire _T_1756 = intenable_reg_re_7 ? intenable_reg_7 : _T_1755; // @[Mux.scala 98:16] + wire _T_1757 = intenable_reg_re_6 ? intenable_reg_6 : _T_1756; // @[Mux.scala 98:16] + wire _T_1758 = intenable_reg_re_5 ? intenable_reg_5 : _T_1757; // @[Mux.scala 98:16] + wire _T_1759 = intenable_reg_re_4 ? intenable_reg_4 : _T_1758; // @[Mux.scala 98:16] + wire _T_1760 = intenable_reg_re_3 ? intenable_reg_3 : _T_1759; // @[Mux.scala 98:16] + wire _T_1761 = intenable_reg_re_2 ? intenable_reg_2 : _T_1760; // @[Mux.scala 98:16] + wire intenable_rd_out = intenable_reg_re_1 ? intenable_reg_1 : _T_1761; // @[Mux.scala 98:16] + wire [3:0] _T_1794 = intpriority_reg_re_31 ? intpriority_reg_31 : 4'h0; // @[Mux.scala 98:16] + wire [3:0] _T_1795 = intpriority_reg_re_30 ? intpriority_reg_30 : _T_1794; // @[Mux.scala 98:16] + wire [3:0] _T_1796 = intpriority_reg_re_29 ? intpriority_reg_29 : _T_1795; // @[Mux.scala 98:16] + wire [3:0] _T_1797 = intpriority_reg_re_28 ? intpriority_reg_28 : _T_1796; // @[Mux.scala 98:16] + wire [3:0] _T_1798 = intpriority_reg_re_27 ? intpriority_reg_27 : _T_1797; // @[Mux.scala 98:16] + wire [3:0] _T_1799 = intpriority_reg_re_26 ? intpriority_reg_26 : _T_1798; // @[Mux.scala 98:16] + wire [3:0] _T_1800 = intpriority_reg_re_25 ? intpriority_reg_25 : _T_1799; // @[Mux.scala 98:16] + wire [3:0] _T_1801 = intpriority_reg_re_24 ? intpriority_reg_24 : _T_1800; // @[Mux.scala 98:16] + wire [3:0] _T_1802 = intpriority_reg_re_23 ? intpriority_reg_23 : _T_1801; // @[Mux.scala 98:16] + wire [3:0] _T_1803 = intpriority_reg_re_22 ? intpriority_reg_22 : _T_1802; // @[Mux.scala 98:16] + wire [3:0] _T_1804 = intpriority_reg_re_21 ? intpriority_reg_21 : _T_1803; // @[Mux.scala 98:16] + wire [3:0] _T_1805 = intpriority_reg_re_20 ? intpriority_reg_20 : _T_1804; // @[Mux.scala 98:16] + wire [3:0] _T_1806 = intpriority_reg_re_19 ? intpriority_reg_19 : _T_1805; // @[Mux.scala 98:16] + wire [3:0] _T_1807 = intpriority_reg_re_18 ? intpriority_reg_18 : _T_1806; // @[Mux.scala 98:16] + wire [3:0] _T_1808 = intpriority_reg_re_17 ? intpriority_reg_17 : _T_1807; // @[Mux.scala 98:16] + wire [3:0] _T_1809 = intpriority_reg_re_16 ? intpriority_reg_16 : _T_1808; // @[Mux.scala 98:16] + wire [3:0] _T_1810 = intpriority_reg_re_15 ? intpriority_reg_15 : _T_1809; // @[Mux.scala 98:16] + wire [3:0] _T_1811 = intpriority_reg_re_14 ? intpriority_reg_14 : _T_1810; // @[Mux.scala 98:16] + wire [3:0] _T_1812 = intpriority_reg_re_13 ? intpriority_reg_13 : _T_1811; // @[Mux.scala 98:16] + wire [3:0] _T_1813 = intpriority_reg_re_12 ? intpriority_reg_12 : _T_1812; // @[Mux.scala 98:16] + wire [3:0] _T_1814 = intpriority_reg_re_11 ? intpriority_reg_11 : _T_1813; // @[Mux.scala 98:16] + wire [3:0] _T_1815 = intpriority_reg_re_10 ? intpriority_reg_10 : _T_1814; // @[Mux.scala 98:16] + wire [3:0] _T_1816 = intpriority_reg_re_9 ? intpriority_reg_9 : _T_1815; // @[Mux.scala 98:16] + wire [3:0] _T_1817 = intpriority_reg_re_8 ? intpriority_reg_8 : _T_1816; // @[Mux.scala 98:16] + wire [3:0] _T_1818 = intpriority_reg_re_7 ? intpriority_reg_7 : _T_1817; // @[Mux.scala 98:16] + wire [3:0] _T_1819 = intpriority_reg_re_6 ? intpriority_reg_6 : _T_1818; // @[Mux.scala 98:16] + wire [3:0] _T_1820 = intpriority_reg_re_5 ? intpriority_reg_5 : _T_1819; // @[Mux.scala 98:16] + wire [3:0] _T_1821 = intpriority_reg_re_4 ? intpriority_reg_4 : _T_1820; // @[Mux.scala 98:16] + wire [3:0] _T_1822 = intpriority_reg_re_3 ? intpriority_reg_3 : _T_1821; // @[Mux.scala 98:16] + wire [3:0] _T_1823 = intpriority_reg_re_2 ? intpriority_reg_2 : _T_1822; // @[Mux.scala 98:16] + wire [3:0] intpriority_rd_out = intpriority_reg_re_1 ? intpriority_reg_1 : _T_1823; // @[Mux.scala 98:16] + wire [1:0] _T_1856 = gw_config_reg_re_31 ? gw_config_reg_31 : 2'h0; // @[Mux.scala 98:16] + wire [1:0] _T_1857 = gw_config_reg_re_30 ? gw_config_reg_30 : _T_1856; // @[Mux.scala 98:16] + wire [1:0] _T_1858 = gw_config_reg_re_29 ? gw_config_reg_29 : _T_1857; // @[Mux.scala 98:16] + wire [1:0] _T_1859 = gw_config_reg_re_28 ? gw_config_reg_28 : _T_1858; // @[Mux.scala 98:16] + wire [1:0] _T_1860 = gw_config_reg_re_27 ? gw_config_reg_27 : _T_1859; // @[Mux.scala 98:16] + wire [1:0] _T_1861 = gw_config_reg_re_26 ? gw_config_reg_26 : _T_1860; // @[Mux.scala 98:16] + wire [1:0] _T_1862 = gw_config_reg_re_25 ? gw_config_reg_25 : _T_1861; // @[Mux.scala 98:16] + wire [1:0] _T_1863 = gw_config_reg_re_24 ? gw_config_reg_24 : _T_1862; // @[Mux.scala 98:16] + wire [1:0] _T_1864 = gw_config_reg_re_23 ? gw_config_reg_23 : _T_1863; // @[Mux.scala 98:16] + wire [1:0] _T_1865 = gw_config_reg_re_22 ? gw_config_reg_22 : _T_1864; // @[Mux.scala 98:16] + wire [1:0] _T_1866 = gw_config_reg_re_21 ? gw_config_reg_21 : _T_1865; // @[Mux.scala 98:16] + wire [1:0] _T_1867 = gw_config_reg_re_20 ? gw_config_reg_20 : _T_1866; // @[Mux.scala 98:16] + wire [1:0] _T_1868 = gw_config_reg_re_19 ? gw_config_reg_19 : _T_1867; // @[Mux.scala 98:16] + wire [1:0] _T_1869 = gw_config_reg_re_18 ? gw_config_reg_18 : _T_1868; // @[Mux.scala 98:16] + wire [1:0] _T_1870 = gw_config_reg_re_17 ? gw_config_reg_17 : _T_1869; // @[Mux.scala 98:16] + wire [1:0] _T_1871 = gw_config_reg_re_16 ? gw_config_reg_16 : _T_1870; // @[Mux.scala 98:16] + wire [1:0] _T_1872 = gw_config_reg_re_15 ? gw_config_reg_15 : _T_1871; // @[Mux.scala 98:16] + wire [1:0] _T_1873 = gw_config_reg_re_14 ? gw_config_reg_14 : _T_1872; // @[Mux.scala 98:16] + wire [1:0] _T_1874 = gw_config_reg_re_13 ? gw_config_reg_13 : _T_1873; // @[Mux.scala 98:16] + wire [1:0] _T_1875 = gw_config_reg_re_12 ? gw_config_reg_12 : _T_1874; // @[Mux.scala 98:16] + wire [1:0] _T_1876 = gw_config_reg_re_11 ? gw_config_reg_11 : _T_1875; // @[Mux.scala 98:16] + wire [1:0] _T_1877 = gw_config_reg_re_10 ? gw_config_reg_10 : _T_1876; // @[Mux.scala 98:16] + wire [1:0] _T_1878 = gw_config_reg_re_9 ? gw_config_reg_9 : _T_1877; // @[Mux.scala 98:16] + wire [1:0] _T_1879 = gw_config_reg_re_8 ? gw_config_reg_8 : _T_1878; // @[Mux.scala 98:16] + wire [1:0] _T_1880 = gw_config_reg_re_7 ? gw_config_reg_7 : _T_1879; // @[Mux.scala 98:16] + wire [1:0] _T_1881 = gw_config_reg_re_6 ? gw_config_reg_6 : _T_1880; // @[Mux.scala 98:16] + wire [1:0] _T_1882 = gw_config_reg_re_5 ? gw_config_reg_5 : _T_1881; // @[Mux.scala 98:16] + wire [1:0] _T_1883 = gw_config_reg_re_4 ? gw_config_reg_4 : _T_1882; // @[Mux.scala 98:16] + wire [1:0] _T_1884 = gw_config_reg_re_3 ? gw_config_reg_3 : _T_1883; // @[Mux.scala 98:16] + wire [1:0] _T_1885 = gw_config_reg_re_2 ? gw_config_reg_2 : _T_1884; // @[Mux.scala 98:16] + wire [1:0] gw_config_rd_out = gw_config_reg_re_1 ? gw_config_reg_1 : _T_1885; // @[Mux.scala 98:16] + wire [31:0] _T_1890 = {28'h0,intpriority_rd_out}; // @[Cat.scala 29:58] + wire [31:0] _T_1893 = {31'h0,intenable_rd_out}; // @[Cat.scala 29:58] + wire [31:0] _T_1896 = {30'h0,gw_config_rd_out}; // @[Cat.scala 29:58] + wire [31:0] _T_1899 = {31'h0,config_reg}; // @[Cat.scala 29:58] + wire [14:0] address = picm_raddr_ff[14:0]; // @[pic_ctrl.scala 306:30] + wire _T_1939 = 15'h3000 == address; // @[Conditional.scala 37:30] + wire _T_1940 = 15'h4004 == address; // @[Conditional.scala 37:30] + wire _T_1941 = 15'h4008 == address; // @[Conditional.scala 37:30] + wire _T_1942 = 15'h400c == address; // @[Conditional.scala 37:30] + wire _T_1943 = 15'h4010 == address; // @[Conditional.scala 37:30] + wire _T_1944 = 15'h4014 == address; // @[Conditional.scala 37:30] + wire _T_1945 = 15'h4018 == address; // @[Conditional.scala 37:30] + wire _T_1946 = 15'h401c == address; // @[Conditional.scala 37:30] + wire _T_1947 = 15'h4020 == address; // @[Conditional.scala 37:30] + wire _T_1948 = 15'h4024 == address; // @[Conditional.scala 37:30] + wire _T_1949 = 15'h4028 == address; // @[Conditional.scala 37:30] + wire _T_1950 = 15'h402c == address; // @[Conditional.scala 37:30] + wire _T_1951 = 15'h4030 == address; // @[Conditional.scala 37:30] + wire _T_1952 = 15'h4034 == address; // @[Conditional.scala 37:30] + wire _T_1953 = 15'h4038 == address; // @[Conditional.scala 37:30] + wire _T_1954 = 15'h403c == address; // @[Conditional.scala 37:30] + wire _T_1955 = 15'h4040 == address; // @[Conditional.scala 37:30] + wire _T_1956 = 15'h4044 == address; // @[Conditional.scala 37:30] + wire _T_1957 = 15'h4048 == address; // @[Conditional.scala 37:30] + wire _T_1958 = 15'h404c == address; // @[Conditional.scala 37:30] + wire _T_1959 = 15'h4050 == address; // @[Conditional.scala 37:30] + wire _T_1960 = 15'h4054 == address; // @[Conditional.scala 37:30] + wire _T_1961 = 15'h4058 == address; // @[Conditional.scala 37:30] + wire _T_1962 = 15'h405c == address; // @[Conditional.scala 37:30] + wire _T_1963 = 15'h4060 == address; // @[Conditional.scala 37:30] + wire _T_1964 = 15'h4064 == address; // @[Conditional.scala 37:30] + wire _T_1965 = 15'h4068 == address; // @[Conditional.scala 37:30] + wire _T_1966 = 15'h406c == address; // @[Conditional.scala 37:30] + wire _T_1967 = 15'h4070 == address; // @[Conditional.scala 37:30] + wire _T_1968 = 15'h4074 == address; // @[Conditional.scala 37:30] + wire _T_1969 = 15'h4078 == address; // @[Conditional.scala 37:30] + wire _T_1970 = 15'h407c == address; // @[Conditional.scala 37:30] + wire _T_1971 = 15'h2004 == address; // @[Conditional.scala 37:30] + wire _T_1972 = 15'h2008 == address; // @[Conditional.scala 37:30] + wire _T_1973 = 15'h200c == address; // @[Conditional.scala 37:30] + wire _T_1974 = 15'h2010 == address; // @[Conditional.scala 37:30] + wire _T_1975 = 15'h2014 == address; // @[Conditional.scala 37:30] + wire _T_1976 = 15'h2018 == address; // @[Conditional.scala 37:30] + wire _T_1977 = 15'h201c == address; // @[Conditional.scala 37:30] + wire _T_1978 = 15'h2020 == address; // @[Conditional.scala 37:30] + wire _T_1979 = 15'h2024 == address; // @[Conditional.scala 37:30] + wire _T_1980 = 15'h2028 == address; // @[Conditional.scala 37:30] + wire _T_1981 = 15'h202c == address; // @[Conditional.scala 37:30] + wire _T_1982 = 15'h2030 == address; // @[Conditional.scala 37:30] + wire _T_1983 = 15'h2034 == address; // @[Conditional.scala 37:30] + wire _T_1984 = 15'h2038 == address; // @[Conditional.scala 37:30] + wire _T_1985 = 15'h203c == address; // @[Conditional.scala 37:30] + wire _T_1986 = 15'h2040 == address; // @[Conditional.scala 37:30] + wire _T_1987 = 15'h2044 == address; // @[Conditional.scala 37:30] + wire _T_1988 = 15'h2048 == address; // @[Conditional.scala 37:30] + wire _T_1989 = 15'h204c == address; // @[Conditional.scala 37:30] + wire _T_1990 = 15'h2050 == address; // @[Conditional.scala 37:30] + wire _T_1991 = 15'h2054 == address; // @[Conditional.scala 37:30] + wire _T_1992 = 15'h2058 == address; // @[Conditional.scala 37:30] + wire _T_1993 = 15'h205c == address; // @[Conditional.scala 37:30] + wire _T_1994 = 15'h2060 == address; // @[Conditional.scala 37:30] + wire _T_1995 = 15'h2064 == address; // @[Conditional.scala 37:30] + wire _T_1996 = 15'h2068 == address; // @[Conditional.scala 37:30] + wire _T_1997 = 15'h206c == address; // @[Conditional.scala 37:30] + wire _T_1998 = 15'h2070 == address; // @[Conditional.scala 37:30] + wire _T_1999 = 15'h2074 == address; // @[Conditional.scala 37:30] + wire _T_2000 = 15'h2078 == address; // @[Conditional.scala 37:30] + wire _T_2001 = 15'h207c == address; // @[Conditional.scala 37:30] + wire _T_2002 = 15'h4 == address; // @[Conditional.scala 37:30] + wire _T_2003 = 15'h8 == address; // @[Conditional.scala 37:30] + wire _T_2004 = 15'hc == address; // @[Conditional.scala 37:30] + wire _T_2005 = 15'h10 == address; // @[Conditional.scala 37:30] + wire _T_2006 = 15'h14 == address; // @[Conditional.scala 37:30] + wire _T_2007 = 15'h18 == address; // @[Conditional.scala 37:30] + wire _T_2008 = 15'h1c == address; // @[Conditional.scala 37:30] + wire _T_2009 = 15'h20 == address; // @[Conditional.scala 37:30] + wire _T_2010 = 15'h24 == address; // @[Conditional.scala 37:30] + wire _T_2011 = 15'h28 == address; // @[Conditional.scala 37:30] + wire _T_2012 = 15'h2c == address; // @[Conditional.scala 37:30] + wire _T_2013 = 15'h30 == address; // @[Conditional.scala 37:30] + wire _T_2014 = 15'h34 == address; // @[Conditional.scala 37:30] + wire _T_2015 = 15'h38 == address; // @[Conditional.scala 37:30] + wire _T_2016 = 15'h3c == address; // @[Conditional.scala 37:30] + wire _T_2017 = 15'h40 == address; // @[Conditional.scala 37:30] + wire _T_2018 = 15'h44 == address; // @[Conditional.scala 37:30] + wire _T_2019 = 15'h48 == address; // @[Conditional.scala 37:30] + wire _T_2020 = 15'h4c == address; // @[Conditional.scala 37:30] + wire _T_2021 = 15'h50 == address; // @[Conditional.scala 37:30] + wire _T_2022 = 15'h54 == address; // @[Conditional.scala 37:30] + wire _T_2023 = 15'h58 == address; // @[Conditional.scala 37:30] + wire _T_2024 = 15'h5c == address; // @[Conditional.scala 37:30] + wire _T_2025 = 15'h60 == address; // @[Conditional.scala 37:30] + wire _T_2026 = 15'h64 == address; // @[Conditional.scala 37:30] + wire _T_2027 = 15'h68 == address; // @[Conditional.scala 37:30] + wire _T_2028 = 15'h6c == address; // @[Conditional.scala 37:30] + wire _T_2029 = 15'h70 == address; // @[Conditional.scala 37:30] + wire _T_2030 = 15'h74 == address; // @[Conditional.scala 37:30] + wire _T_2031 = 15'h78 == address; // @[Conditional.scala 37:30] + wire _T_2032 = 15'h7c == address; // @[Conditional.scala 37:30] + wire [3:0] _GEN_94 = _T_2032 ? 4'h2 : 4'h1; // @[Conditional.scala 39:67] + wire [3:0] _GEN_95 = _T_2031 ? 4'h2 : _GEN_94; // @[Conditional.scala 39:67] + wire [3:0] _GEN_96 = _T_2030 ? 4'h2 : _GEN_95; // @[Conditional.scala 39:67] + wire [3:0] _GEN_97 = _T_2029 ? 4'h2 : _GEN_96; // @[Conditional.scala 39:67] + wire [3:0] _GEN_98 = _T_2028 ? 4'h2 : _GEN_97; // @[Conditional.scala 39:67] + wire [3:0] _GEN_99 = _T_2027 ? 4'h2 : _GEN_98; // @[Conditional.scala 39:67] + wire [3:0] _GEN_100 = _T_2026 ? 4'h2 : _GEN_99; // @[Conditional.scala 39:67] + wire [3:0] _GEN_101 = _T_2025 ? 4'h2 : _GEN_100; // @[Conditional.scala 39:67] + wire [3:0] _GEN_102 = _T_2024 ? 4'h2 : _GEN_101; // @[Conditional.scala 39:67] + wire [3:0] _GEN_103 = _T_2023 ? 4'h2 : _GEN_102; // @[Conditional.scala 39:67] + wire [3:0] _GEN_104 = _T_2022 ? 4'h2 : _GEN_103; // @[Conditional.scala 39:67] + wire [3:0] _GEN_105 = _T_2021 ? 4'h2 : _GEN_104; // @[Conditional.scala 39:67] + wire [3:0] _GEN_106 = _T_2020 ? 4'h2 : _GEN_105; // @[Conditional.scala 39:67] + wire [3:0] _GEN_107 = _T_2019 ? 4'h2 : _GEN_106; // @[Conditional.scala 39:67] + wire [3:0] _GEN_108 = _T_2018 ? 4'h2 : _GEN_107; // @[Conditional.scala 39:67] + wire [3:0] _GEN_109 = _T_2017 ? 4'h2 : _GEN_108; // @[Conditional.scala 39:67] + wire [3:0] _GEN_110 = _T_2016 ? 4'h2 : _GEN_109; // @[Conditional.scala 39:67] + wire [3:0] _GEN_111 = _T_2015 ? 4'h2 : _GEN_110; // @[Conditional.scala 39:67] + wire [3:0] _GEN_112 = _T_2014 ? 4'h2 : _GEN_111; // @[Conditional.scala 39:67] + wire [3:0] _GEN_113 = _T_2013 ? 4'h2 : _GEN_112; // @[Conditional.scala 39:67] + wire [3:0] _GEN_114 = _T_2012 ? 4'h2 : _GEN_113; // @[Conditional.scala 39:67] + wire [3:0] _GEN_115 = _T_2011 ? 4'h2 : _GEN_114; // @[Conditional.scala 39:67] + wire [3:0] _GEN_116 = _T_2010 ? 4'h2 : _GEN_115; // @[Conditional.scala 39:67] + wire [3:0] _GEN_117 = _T_2009 ? 4'h2 : _GEN_116; // @[Conditional.scala 39:67] + wire [3:0] _GEN_118 = _T_2008 ? 4'h2 : _GEN_117; // @[Conditional.scala 39:67] + wire [3:0] _GEN_119 = _T_2007 ? 4'h2 : _GEN_118; // @[Conditional.scala 39:67] + wire [3:0] _GEN_120 = _T_2006 ? 4'h2 : _GEN_119; // @[Conditional.scala 39:67] + wire [3:0] _GEN_121 = _T_2005 ? 4'h2 : _GEN_120; // @[Conditional.scala 39:67] + wire [3:0] _GEN_122 = _T_2004 ? 4'h2 : _GEN_121; // @[Conditional.scala 39:67] + wire [3:0] _GEN_123 = _T_2003 ? 4'h2 : _GEN_122; // @[Conditional.scala 39:67] + wire [3:0] _GEN_124 = _T_2002 ? 4'h2 : _GEN_123; // @[Conditional.scala 39:67] + wire [3:0] _GEN_125 = _T_2001 ? 4'h4 : _GEN_124; // @[Conditional.scala 39:67] + wire [3:0] _GEN_126 = _T_2000 ? 4'h4 : _GEN_125; // @[Conditional.scala 39:67] + wire [3:0] _GEN_127 = _T_1999 ? 4'h4 : _GEN_126; // @[Conditional.scala 39:67] + wire [3:0] _GEN_128 = _T_1998 ? 4'h4 : _GEN_127; // @[Conditional.scala 39:67] + wire [3:0] _GEN_129 = _T_1997 ? 4'h4 : _GEN_128; // @[Conditional.scala 39:67] + wire [3:0] _GEN_130 = _T_1996 ? 4'h4 : _GEN_129; // @[Conditional.scala 39:67] + wire [3:0] _GEN_131 = _T_1995 ? 4'h4 : _GEN_130; // @[Conditional.scala 39:67] + wire [3:0] _GEN_132 = _T_1994 ? 4'h4 : _GEN_131; // @[Conditional.scala 39:67] + wire [3:0] _GEN_133 = _T_1993 ? 4'h4 : _GEN_132; // @[Conditional.scala 39:67] + wire [3:0] _GEN_134 = _T_1992 ? 4'h4 : _GEN_133; // @[Conditional.scala 39:67] + wire [3:0] _GEN_135 = _T_1991 ? 4'h4 : _GEN_134; // @[Conditional.scala 39:67] + wire [3:0] _GEN_136 = _T_1990 ? 4'h4 : _GEN_135; // @[Conditional.scala 39:67] + wire [3:0] _GEN_137 = _T_1989 ? 4'h4 : _GEN_136; // @[Conditional.scala 39:67] + wire [3:0] _GEN_138 = _T_1988 ? 4'h4 : _GEN_137; // @[Conditional.scala 39:67] + wire [3:0] _GEN_139 = _T_1987 ? 4'h4 : _GEN_138; // @[Conditional.scala 39:67] + wire [3:0] _GEN_140 = _T_1986 ? 4'h4 : _GEN_139; // @[Conditional.scala 39:67] + wire [3:0] _GEN_141 = _T_1985 ? 4'h4 : _GEN_140; // @[Conditional.scala 39:67] + wire [3:0] _GEN_142 = _T_1984 ? 4'h4 : _GEN_141; // @[Conditional.scala 39:67] + wire [3:0] _GEN_143 = _T_1983 ? 4'h4 : _GEN_142; // @[Conditional.scala 39:67] + wire [3:0] _GEN_144 = _T_1982 ? 4'h4 : _GEN_143; // @[Conditional.scala 39:67] + wire [3:0] _GEN_145 = _T_1981 ? 4'h4 : _GEN_144; // @[Conditional.scala 39:67] + wire [3:0] _GEN_146 = _T_1980 ? 4'h4 : _GEN_145; // @[Conditional.scala 39:67] + wire [3:0] _GEN_147 = _T_1979 ? 4'h4 : _GEN_146; // @[Conditional.scala 39:67] + wire [3:0] _GEN_148 = _T_1978 ? 4'h4 : _GEN_147; // @[Conditional.scala 39:67] + wire [3:0] _GEN_149 = _T_1977 ? 4'h4 : _GEN_148; // @[Conditional.scala 39:67] + wire [3:0] _GEN_150 = _T_1976 ? 4'h4 : _GEN_149; // @[Conditional.scala 39:67] + wire [3:0] _GEN_151 = _T_1975 ? 4'h4 : _GEN_150; // @[Conditional.scala 39:67] + wire [3:0] _GEN_152 = _T_1974 ? 4'h4 : _GEN_151; // @[Conditional.scala 39:67] + wire [3:0] _GEN_153 = _T_1973 ? 4'h4 : _GEN_152; // @[Conditional.scala 39:67] + wire [3:0] _GEN_154 = _T_1972 ? 4'h4 : _GEN_153; // @[Conditional.scala 39:67] + wire [3:0] _GEN_155 = _T_1971 ? 4'h4 : _GEN_154; // @[Conditional.scala 39:67] + wire [3:0] _GEN_156 = _T_1970 ? 4'h8 : _GEN_155; // @[Conditional.scala 39:67] + wire [3:0] _GEN_157 = _T_1969 ? 4'h8 : _GEN_156; // @[Conditional.scala 39:67] + wire [3:0] _GEN_158 = _T_1968 ? 4'h8 : _GEN_157; // @[Conditional.scala 39:67] + wire [3:0] _GEN_159 = _T_1967 ? 4'h8 : _GEN_158; // @[Conditional.scala 39:67] + wire [3:0] _GEN_160 = _T_1966 ? 4'h8 : _GEN_159; // @[Conditional.scala 39:67] + wire [3:0] _GEN_161 = _T_1965 ? 4'h8 : _GEN_160; // @[Conditional.scala 39:67] + wire [3:0] _GEN_162 = _T_1964 ? 4'h8 : _GEN_161; // @[Conditional.scala 39:67] + wire [3:0] _GEN_163 = _T_1963 ? 4'h8 : _GEN_162; // @[Conditional.scala 39:67] + wire [3:0] _GEN_164 = _T_1962 ? 4'h8 : _GEN_163; // @[Conditional.scala 39:67] + wire [3:0] _GEN_165 = _T_1961 ? 4'h8 : _GEN_164; // @[Conditional.scala 39:67] + wire [3:0] _GEN_166 = _T_1960 ? 4'h8 : _GEN_165; // @[Conditional.scala 39:67] + wire [3:0] _GEN_167 = _T_1959 ? 4'h8 : _GEN_166; // @[Conditional.scala 39:67] + wire [3:0] _GEN_168 = _T_1958 ? 4'h8 : _GEN_167; // @[Conditional.scala 39:67] + wire [3:0] _GEN_169 = _T_1957 ? 4'h8 : _GEN_168; // @[Conditional.scala 39:67] + wire [3:0] _GEN_170 = _T_1956 ? 4'h8 : _GEN_169; // @[Conditional.scala 39:67] + wire [3:0] _GEN_171 = _T_1955 ? 4'h8 : _GEN_170; // @[Conditional.scala 39:67] + wire [3:0] _GEN_172 = _T_1954 ? 4'h8 : _GEN_171; // @[Conditional.scala 39:67] + wire [3:0] _GEN_173 = _T_1953 ? 4'h8 : _GEN_172; // @[Conditional.scala 39:67] + wire [3:0] _GEN_174 = _T_1952 ? 4'h8 : _GEN_173; // @[Conditional.scala 39:67] + wire [3:0] _GEN_175 = _T_1951 ? 4'h8 : _GEN_174; // @[Conditional.scala 39:67] + wire [3:0] _GEN_176 = _T_1950 ? 4'h8 : _GEN_175; // @[Conditional.scala 39:67] + wire [3:0] _GEN_177 = _T_1949 ? 4'h8 : _GEN_176; // @[Conditional.scala 39:67] + wire [3:0] _GEN_178 = _T_1948 ? 4'h8 : _GEN_177; // @[Conditional.scala 39:67] + wire [3:0] _GEN_179 = _T_1947 ? 4'h8 : _GEN_178; // @[Conditional.scala 39:67] + wire [3:0] _GEN_180 = _T_1946 ? 4'h8 : _GEN_179; // @[Conditional.scala 39:67] + wire [3:0] _GEN_181 = _T_1945 ? 4'h8 : _GEN_180; // @[Conditional.scala 39:67] + wire [3:0] _GEN_182 = _T_1944 ? 4'h8 : _GEN_181; // @[Conditional.scala 39:67] + wire [3:0] _GEN_183 = _T_1943 ? 4'h8 : _GEN_182; // @[Conditional.scala 39:67] + wire [3:0] _GEN_184 = _T_1942 ? 4'h8 : _GEN_183; // @[Conditional.scala 39:67] + wire [3:0] _GEN_185 = _T_1941 ? 4'h8 : _GEN_184; // @[Conditional.scala 39:67] + wire [3:0] _GEN_186 = _T_1940 ? 4'h8 : _GEN_185; // @[Conditional.scala 39:67] + wire [3:0] mask = _T_1939 ? 4'h4 : _GEN_186; // @[Conditional.scala 40:58] + wire _T_1901 = picm_mken_ff & mask[3]; // @[pic_ctrl.scala 299:19] + wire _T_1906 = picm_mken_ff & mask[2]; // @[pic_ctrl.scala 300:19] + wire _T_1911 = picm_mken_ff & mask[1]; // @[pic_ctrl.scala 301:19] + wire [31:0] _T_1919 = intpend_reg_read ? intpend_rd_out : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1920 = _T_21 ? _T_1890 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1921 = _T_24 ? _T_1893 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1922 = _T_27 ? _T_1896 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1923 = config_reg_re ? _T_1899 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1924 = _T_1901 ? 32'h3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1925 = _T_1906 ? 32'h1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1926 = _T_1911 ? 32'hf : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1928 = _T_1919 | _T_1920; // @[Mux.scala 27:72] + wire [31:0] _T_1929 = _T_1928 | _T_1921; // @[Mux.scala 27:72] + wire [31:0] _T_1930 = _T_1929 | _T_1922; // @[Mux.scala 27:72] + wire [31:0] _T_1931 = _T_1930 | _T_1923; // @[Mux.scala 27:72] + wire [31:0] _T_1932 = _T_1931 | _T_1924; // @[Mux.scala 27:72] + wire [31:0] _T_1933 = _T_1932 | _T_1925; // @[Mux.scala 27:72] + wire [31:0] picm_rd_data_in = _T_1933 | _T_1926; // @[Mux.scala 27:72] + wire [7:0] level_intpend_id_5_0 = out_id_34; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 232:41] + wire [7:0] level_intpend_id_1_18 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_1_19 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_1_20 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_1_21 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_1_22 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_1_23 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_1_24 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_1_25 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_1_26 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_1_27 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_1_28 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_1_29 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_1_30 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_1_31 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_1_32 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_1_33 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_2_10 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_2_11 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_2_12 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_2_13 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_2_14 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_2_15 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_2_16 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_2_17 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_2_18 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_2_19 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_2_20 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_2_21 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_2_22 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_2_23 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_2_24 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_2_25 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_2_26 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_2_27 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_2_28 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_2_29 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_2_30 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_2_31 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_2_32 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_2_33 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_3_6 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_3_7 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_3_8 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_3_9 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_3_10 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_3_11 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_3_12 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_3_13 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_3_14 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_3_15 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_3_16 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_3_17 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_3_18 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_3_19 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_3_20 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_3_21 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_3_22 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_3_23 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_3_24 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_3_25 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_3_26 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_3_27 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_3_28 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_3_29 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_3_30 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_3_31 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_3_32 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_3_33 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_4_4 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_4_5 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_4_6 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_4_7 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_4_8 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_4_9 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_4_10 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_4_11 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_4_12 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_4_13 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_4_14 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_4_15 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_4_16 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_4_17 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_4_18 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_4_19 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_4_20 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_4_21 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_4_22 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_4_23 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_4_24 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_4_25 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_4_26 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_4_27 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_4_28 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_4_29 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_4_30 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_4_31 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_4_32 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_4_33 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_5_1 = out_id_33; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 232:41] + wire [7:0] level_intpend_id_5_2 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30 pic_ctrl.scala 229:44] + wire [7:0] level_intpend_id_5_3 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_5_4 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_5_5 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_5_6 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_5_7 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_5_8 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_5_9 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_5_10 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_5_11 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_5_12 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_5_13 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_5_14 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_5_15 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_5_16 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_5_17 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_5_18 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_5_19 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_5_20 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_5_21 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_5_22 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_5_23 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_5_24 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_5_25 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_5_26 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_5_27 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_5_28 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_5_29 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_5_30 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_5_31 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_5_32 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + wire [7:0] level_intpend_id_5_33 = 8'h0; // @[pic_ctrl.scala 214:32 pic_ctrl.scala 218:30] + rvclkhdr rvclkhdr ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + rvclkhdr rvclkhdr_4 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_4_io_l1clk), + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en), + .io_scan_mode(rvclkhdr_4_io_scan_mode) + ); + assign io_lsu_pic_picm_rd_data = picm_bypass_ff ? picm_wr_data_ff : picm_rd_data_in; // @[pic_ctrl.scala 305:27] + assign io_dec_pic_pic_claimid = _T_1642; // @[pic_ctrl.scala 262:49] + assign io_dec_pic_pic_pl = _T_1643; // @[pic_ctrl.scala 263:44] + assign io_dec_pic_mhwakeup = _T_1652; // @[pic_ctrl.scala 270:23] + assign io_dec_pic_mexintpend = _T_1650; // @[pic_ctrl.scala 267:25] + assign rvclkhdr_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_io_en = _T_19 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_1_io_en = io_lsu_pic_picm_wren | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_2_io_en = _T_22 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_3_io_en = _T_25 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_4_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_4_io_en = _T_28 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + picm_raddr_ff = _RAND_0[31:0]; + _RAND_1 = {1{`RANDOM}}; + picm_waddr_ff = _RAND_1[31:0]; + _RAND_2 = {1{`RANDOM}}; + picm_wren_ff = _RAND_2[0:0]; + _RAND_3 = {1{`RANDOM}}; + picm_rden_ff = _RAND_3[0:0]; + _RAND_4 = {1{`RANDOM}}; + picm_mken_ff = _RAND_4[0:0]; + _RAND_5 = {1{`RANDOM}}; + picm_wr_data_ff = _RAND_5[31:0]; + _RAND_6 = {1{`RANDOM}}; + _T_33 = _RAND_6[30:0]; + _RAND_7 = {1{`RANDOM}}; + _T_34 = _RAND_7[30:0]; + _RAND_8 = {1{`RANDOM}}; + intpriority_reg_1 = _RAND_8[3:0]; + _RAND_9 = {1{`RANDOM}}; + intpriority_reg_2 = _RAND_9[3:0]; + _RAND_10 = {1{`RANDOM}}; + intpriority_reg_3 = _RAND_10[3:0]; + _RAND_11 = {1{`RANDOM}}; + intpriority_reg_4 = _RAND_11[3:0]; + _RAND_12 = {1{`RANDOM}}; + intpriority_reg_5 = _RAND_12[3:0]; + _RAND_13 = {1{`RANDOM}}; + intpriority_reg_6 = _RAND_13[3:0]; + _RAND_14 = {1{`RANDOM}}; + intpriority_reg_7 = _RAND_14[3:0]; + _RAND_15 = {1{`RANDOM}}; + intpriority_reg_8 = _RAND_15[3:0]; + _RAND_16 = {1{`RANDOM}}; + intpriority_reg_9 = _RAND_16[3:0]; + _RAND_17 = {1{`RANDOM}}; + intpriority_reg_10 = _RAND_17[3:0]; + _RAND_18 = {1{`RANDOM}}; + intpriority_reg_11 = _RAND_18[3:0]; + _RAND_19 = {1{`RANDOM}}; + intpriority_reg_12 = _RAND_19[3:0]; + _RAND_20 = {1{`RANDOM}}; + intpriority_reg_13 = _RAND_20[3:0]; + _RAND_21 = {1{`RANDOM}}; + intpriority_reg_14 = _RAND_21[3:0]; + _RAND_22 = {1{`RANDOM}}; + intpriority_reg_15 = _RAND_22[3:0]; + _RAND_23 = {1{`RANDOM}}; + intpriority_reg_16 = _RAND_23[3:0]; + _RAND_24 = {1{`RANDOM}}; + intpriority_reg_17 = _RAND_24[3:0]; + _RAND_25 = {1{`RANDOM}}; + intpriority_reg_18 = _RAND_25[3:0]; + _RAND_26 = {1{`RANDOM}}; + intpriority_reg_19 = _RAND_26[3:0]; + _RAND_27 = {1{`RANDOM}}; + intpriority_reg_20 = _RAND_27[3:0]; + _RAND_28 = {1{`RANDOM}}; + intpriority_reg_21 = _RAND_28[3:0]; + _RAND_29 = {1{`RANDOM}}; + intpriority_reg_22 = _RAND_29[3:0]; + _RAND_30 = {1{`RANDOM}}; + intpriority_reg_23 = _RAND_30[3:0]; + _RAND_31 = {1{`RANDOM}}; + intpriority_reg_24 = _RAND_31[3:0]; + _RAND_32 = {1{`RANDOM}}; + intpriority_reg_25 = _RAND_32[3:0]; + _RAND_33 = {1{`RANDOM}}; + intpriority_reg_26 = _RAND_33[3:0]; + _RAND_34 = {1{`RANDOM}}; + intpriority_reg_27 = _RAND_34[3:0]; + _RAND_35 = {1{`RANDOM}}; + intpriority_reg_28 = _RAND_35[3:0]; + _RAND_36 = {1{`RANDOM}}; + intpriority_reg_29 = _RAND_36[3:0]; + _RAND_37 = {1{`RANDOM}}; + intpriority_reg_30 = _RAND_37[3:0]; + _RAND_38 = {1{`RANDOM}}; + intpriority_reg_31 = _RAND_38[3:0]; + _RAND_39 = {1{`RANDOM}}; + intenable_reg_1 = _RAND_39[0:0]; + _RAND_40 = {1{`RANDOM}}; + intenable_reg_2 = _RAND_40[0:0]; + _RAND_41 = {1{`RANDOM}}; + intenable_reg_3 = _RAND_41[0:0]; + _RAND_42 = {1{`RANDOM}}; + intenable_reg_4 = _RAND_42[0:0]; + _RAND_43 = {1{`RANDOM}}; + intenable_reg_5 = _RAND_43[0:0]; + _RAND_44 = {1{`RANDOM}}; + intenable_reg_6 = _RAND_44[0:0]; + _RAND_45 = {1{`RANDOM}}; + intenable_reg_7 = _RAND_45[0:0]; + _RAND_46 = {1{`RANDOM}}; + intenable_reg_8 = _RAND_46[0:0]; + _RAND_47 = {1{`RANDOM}}; + intenable_reg_9 = _RAND_47[0:0]; + _RAND_48 = {1{`RANDOM}}; + intenable_reg_10 = _RAND_48[0:0]; + _RAND_49 = {1{`RANDOM}}; + intenable_reg_11 = _RAND_49[0:0]; + _RAND_50 = {1{`RANDOM}}; + intenable_reg_12 = _RAND_50[0:0]; + _RAND_51 = {1{`RANDOM}}; + intenable_reg_13 = _RAND_51[0:0]; + _RAND_52 = {1{`RANDOM}}; + intenable_reg_14 = _RAND_52[0:0]; + _RAND_53 = {1{`RANDOM}}; + intenable_reg_15 = _RAND_53[0:0]; + _RAND_54 = {1{`RANDOM}}; + intenable_reg_16 = _RAND_54[0:0]; + _RAND_55 = {1{`RANDOM}}; + intenable_reg_17 = _RAND_55[0:0]; + _RAND_56 = {1{`RANDOM}}; + intenable_reg_18 = _RAND_56[0:0]; + _RAND_57 = {1{`RANDOM}}; + intenable_reg_19 = _RAND_57[0:0]; + _RAND_58 = {1{`RANDOM}}; + intenable_reg_20 = _RAND_58[0:0]; + _RAND_59 = {1{`RANDOM}}; + intenable_reg_21 = _RAND_59[0:0]; + _RAND_60 = {1{`RANDOM}}; + intenable_reg_22 = _RAND_60[0:0]; + _RAND_61 = {1{`RANDOM}}; + intenable_reg_23 = _RAND_61[0:0]; + _RAND_62 = {1{`RANDOM}}; + intenable_reg_24 = _RAND_62[0:0]; + _RAND_63 = {1{`RANDOM}}; + intenable_reg_25 = _RAND_63[0:0]; + _RAND_64 = {1{`RANDOM}}; + intenable_reg_26 = _RAND_64[0:0]; + _RAND_65 = {1{`RANDOM}}; + intenable_reg_27 = _RAND_65[0:0]; + _RAND_66 = {1{`RANDOM}}; + intenable_reg_28 = _RAND_66[0:0]; + _RAND_67 = {1{`RANDOM}}; + intenable_reg_29 = _RAND_67[0:0]; + _RAND_68 = {1{`RANDOM}}; + intenable_reg_30 = _RAND_68[0:0]; + _RAND_69 = {1{`RANDOM}}; + intenable_reg_31 = _RAND_69[0:0]; + _RAND_70 = {1{`RANDOM}}; + gw_config_reg_1 = _RAND_70[1:0]; + _RAND_71 = {1{`RANDOM}}; + gw_config_reg_2 = _RAND_71[1:0]; + _RAND_72 = {1{`RANDOM}}; + gw_config_reg_3 = _RAND_72[1:0]; + _RAND_73 = {1{`RANDOM}}; + gw_config_reg_4 = _RAND_73[1:0]; + _RAND_74 = {1{`RANDOM}}; + gw_config_reg_5 = _RAND_74[1:0]; + _RAND_75 = {1{`RANDOM}}; + gw_config_reg_6 = _RAND_75[1:0]; + _RAND_76 = {1{`RANDOM}}; + gw_config_reg_7 = _RAND_76[1:0]; + _RAND_77 = {1{`RANDOM}}; + gw_config_reg_8 = _RAND_77[1:0]; + _RAND_78 = {1{`RANDOM}}; + gw_config_reg_9 = _RAND_78[1:0]; + _RAND_79 = {1{`RANDOM}}; + gw_config_reg_10 = _RAND_79[1:0]; + _RAND_80 = {1{`RANDOM}}; + gw_config_reg_11 = _RAND_80[1:0]; + _RAND_81 = {1{`RANDOM}}; + gw_config_reg_12 = _RAND_81[1:0]; + _RAND_82 = {1{`RANDOM}}; + gw_config_reg_13 = _RAND_82[1:0]; + _RAND_83 = {1{`RANDOM}}; + gw_config_reg_14 = _RAND_83[1:0]; + _RAND_84 = {1{`RANDOM}}; + gw_config_reg_15 = _RAND_84[1:0]; + _RAND_85 = {1{`RANDOM}}; + gw_config_reg_16 = _RAND_85[1:0]; + _RAND_86 = {1{`RANDOM}}; + gw_config_reg_17 = _RAND_86[1:0]; + _RAND_87 = {1{`RANDOM}}; + gw_config_reg_18 = _RAND_87[1:0]; + _RAND_88 = {1{`RANDOM}}; + gw_config_reg_19 = _RAND_88[1:0]; + _RAND_89 = {1{`RANDOM}}; + gw_config_reg_20 = _RAND_89[1:0]; + _RAND_90 = {1{`RANDOM}}; + gw_config_reg_21 = _RAND_90[1:0]; + _RAND_91 = {1{`RANDOM}}; + gw_config_reg_22 = _RAND_91[1:0]; + _RAND_92 = {1{`RANDOM}}; + gw_config_reg_23 = _RAND_92[1:0]; + _RAND_93 = {1{`RANDOM}}; + gw_config_reg_24 = _RAND_93[1:0]; + _RAND_94 = {1{`RANDOM}}; + gw_config_reg_25 = _RAND_94[1:0]; + _RAND_95 = {1{`RANDOM}}; + gw_config_reg_26 = _RAND_95[1:0]; + _RAND_96 = {1{`RANDOM}}; + gw_config_reg_27 = _RAND_96[1:0]; + _RAND_97 = {1{`RANDOM}}; + gw_config_reg_28 = _RAND_97[1:0]; + _RAND_98 = {1{`RANDOM}}; + gw_config_reg_29 = _RAND_98[1:0]; + _RAND_99 = {1{`RANDOM}}; + gw_config_reg_30 = _RAND_99[1:0]; + _RAND_100 = {1{`RANDOM}}; + gw_config_reg_31 = _RAND_100[1:0]; + _RAND_101 = {1{`RANDOM}}; + gw_int_pending = _RAND_101[0:0]; + _RAND_102 = {1{`RANDOM}}; + gw_int_pending_1 = _RAND_102[0:0]; + _RAND_103 = {1{`RANDOM}}; + gw_int_pending_2 = _RAND_103[0:0]; + _RAND_104 = {1{`RANDOM}}; + gw_int_pending_3 = _RAND_104[0:0]; + _RAND_105 = {1{`RANDOM}}; + gw_int_pending_4 = _RAND_105[0:0]; + _RAND_106 = {1{`RANDOM}}; + gw_int_pending_5 = _RAND_106[0:0]; + _RAND_107 = {1{`RANDOM}}; + gw_int_pending_6 = _RAND_107[0:0]; + _RAND_108 = {1{`RANDOM}}; + gw_int_pending_7 = _RAND_108[0:0]; + _RAND_109 = {1{`RANDOM}}; + gw_int_pending_8 = _RAND_109[0:0]; + _RAND_110 = {1{`RANDOM}}; + gw_int_pending_9 = _RAND_110[0:0]; + _RAND_111 = {1{`RANDOM}}; + gw_int_pending_10 = _RAND_111[0:0]; + _RAND_112 = {1{`RANDOM}}; + gw_int_pending_11 = _RAND_112[0:0]; + _RAND_113 = {1{`RANDOM}}; + gw_int_pending_12 = _RAND_113[0:0]; + _RAND_114 = {1{`RANDOM}}; + gw_int_pending_13 = _RAND_114[0:0]; + _RAND_115 = {1{`RANDOM}}; + gw_int_pending_14 = _RAND_115[0:0]; + _RAND_116 = {1{`RANDOM}}; + gw_int_pending_15 = _RAND_116[0:0]; + _RAND_117 = {1{`RANDOM}}; + gw_int_pending_16 = _RAND_117[0:0]; + _RAND_118 = {1{`RANDOM}}; + gw_int_pending_17 = _RAND_118[0:0]; + _RAND_119 = {1{`RANDOM}}; + gw_int_pending_18 = _RAND_119[0:0]; + _RAND_120 = {1{`RANDOM}}; + gw_int_pending_19 = _RAND_120[0:0]; + _RAND_121 = {1{`RANDOM}}; + gw_int_pending_20 = _RAND_121[0:0]; + _RAND_122 = {1{`RANDOM}}; + gw_int_pending_21 = _RAND_122[0:0]; + _RAND_123 = {1{`RANDOM}}; + gw_int_pending_22 = _RAND_123[0:0]; + _RAND_124 = {1{`RANDOM}}; + gw_int_pending_23 = _RAND_124[0:0]; + _RAND_125 = {1{`RANDOM}}; + gw_int_pending_24 = _RAND_125[0:0]; + _RAND_126 = {1{`RANDOM}}; + gw_int_pending_25 = _RAND_126[0:0]; + _RAND_127 = {1{`RANDOM}}; + gw_int_pending_26 = _RAND_127[0:0]; + _RAND_128 = {1{`RANDOM}}; + gw_int_pending_27 = _RAND_128[0:0]; + _RAND_129 = {1{`RANDOM}}; + gw_int_pending_28 = _RAND_129[0:0]; + _RAND_130 = {1{`RANDOM}}; + gw_int_pending_29 = _RAND_130[0:0]; + _RAND_131 = {1{`RANDOM}}; + gw_int_pending_30 = _RAND_131[0:0]; + _RAND_132 = {1{`RANDOM}}; + config_reg = _RAND_132[0:0]; + _RAND_133 = {1{`RANDOM}}; + _T_1642 = _RAND_133[7:0]; + _RAND_134 = {1{`RANDOM}}; + _T_1643 = _RAND_134[3:0]; + _RAND_135 = {1{`RANDOM}}; + _T_1650 = _RAND_135[0:0]; + _RAND_136 = {1{`RANDOM}}; + _T_1652 = _RAND_136[0:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + picm_raddr_ff = 32'h0; + end + if (reset) begin + picm_waddr_ff = 32'h0; + end + if (reset) begin + picm_wren_ff = 1'h0; + end + if (reset) begin + picm_rden_ff = 1'h0; + end + if (reset) begin + picm_mken_ff = 1'h0; + end + if (reset) begin + picm_wr_data_ff = 32'h0; + end + if (reset) begin + _T_33 = 31'h0; + end + if (reset) begin + _T_34 = 31'h0; + end + if (reset) begin + intpriority_reg_1 = 4'h0; + end + if (reset) begin + intpriority_reg_2 = 4'h0; + end + if (reset) begin + intpriority_reg_3 = 4'h0; + end + if (reset) begin + intpriority_reg_4 = 4'h0; + end + if (reset) begin + intpriority_reg_5 = 4'h0; + end + if (reset) begin + intpriority_reg_6 = 4'h0; + end + if (reset) begin + intpriority_reg_7 = 4'h0; + end + if (reset) begin + intpriority_reg_8 = 4'h0; + end + if (reset) begin + intpriority_reg_9 = 4'h0; + end + if (reset) begin + intpriority_reg_10 = 4'h0; + end + if (reset) begin + intpriority_reg_11 = 4'h0; + end + if (reset) begin + intpriority_reg_12 = 4'h0; + end + if (reset) begin + intpriority_reg_13 = 4'h0; + end + if (reset) begin + intpriority_reg_14 = 4'h0; + end + if (reset) begin + intpriority_reg_15 = 4'h0; + end + if (reset) begin + intpriority_reg_16 = 4'h0; + end + if (reset) begin + intpriority_reg_17 = 4'h0; + end + if (reset) begin + intpriority_reg_18 = 4'h0; + end + if (reset) begin + intpriority_reg_19 = 4'h0; + end + if (reset) begin + intpriority_reg_20 = 4'h0; + end + if (reset) begin + intpriority_reg_21 = 4'h0; + end + if (reset) begin + intpriority_reg_22 = 4'h0; + end + if (reset) begin + intpriority_reg_23 = 4'h0; + end + if (reset) begin + intpriority_reg_24 = 4'h0; + end + if (reset) begin + intpriority_reg_25 = 4'h0; + end + if (reset) begin + intpriority_reg_26 = 4'h0; + end + if (reset) begin + intpriority_reg_27 = 4'h0; + end + if (reset) begin + intpriority_reg_28 = 4'h0; + end + if (reset) begin + intpriority_reg_29 = 4'h0; + end + if (reset) begin + intpriority_reg_30 = 4'h0; + end + if (reset) begin + intpriority_reg_31 = 4'h0; + end + if (reset) begin + intenable_reg_1 = 1'h0; + end + if (reset) begin + intenable_reg_2 = 1'h0; + end + if (reset) begin + intenable_reg_3 = 1'h0; + end + if (reset) begin + intenable_reg_4 = 1'h0; + end + if (reset) begin + intenable_reg_5 = 1'h0; + end + if (reset) begin + intenable_reg_6 = 1'h0; + end + if (reset) begin + intenable_reg_7 = 1'h0; + end + if (reset) begin + intenable_reg_8 = 1'h0; + end + if (reset) begin + intenable_reg_9 = 1'h0; + end + if (reset) begin + intenable_reg_10 = 1'h0; + end + if (reset) begin + intenable_reg_11 = 1'h0; + end + if (reset) begin + intenable_reg_12 = 1'h0; + end + if (reset) begin + intenable_reg_13 = 1'h0; + end + if (reset) begin + intenable_reg_14 = 1'h0; + end + if (reset) begin + intenable_reg_15 = 1'h0; + end + if (reset) begin + intenable_reg_16 = 1'h0; + end + if (reset) begin + intenable_reg_17 = 1'h0; + end + if (reset) begin + intenable_reg_18 = 1'h0; + end + if (reset) begin + intenable_reg_19 = 1'h0; + end + if (reset) begin + intenable_reg_20 = 1'h0; + end + if (reset) begin + intenable_reg_21 = 1'h0; + end + if (reset) begin + intenable_reg_22 = 1'h0; + end + if (reset) begin + intenable_reg_23 = 1'h0; + end + if (reset) begin + intenable_reg_24 = 1'h0; + end + if (reset) begin + intenable_reg_25 = 1'h0; + end + if (reset) begin + intenable_reg_26 = 1'h0; + end + if (reset) begin + intenable_reg_27 = 1'h0; + end + if (reset) begin + intenable_reg_28 = 1'h0; + end + if (reset) begin + intenable_reg_29 = 1'h0; + end + if (reset) begin + intenable_reg_30 = 1'h0; + end + if (reset) begin + intenable_reg_31 = 1'h0; + end + if (reset) begin + gw_config_reg_1 = 2'h0; + end + if (reset) begin + gw_config_reg_2 = 2'h0; + end + if (reset) begin + gw_config_reg_3 = 2'h0; + end + if (reset) begin + gw_config_reg_4 = 2'h0; + end + if (reset) begin + gw_config_reg_5 = 2'h0; + end + if (reset) begin + gw_config_reg_6 = 2'h0; + end + if (reset) begin + gw_config_reg_7 = 2'h0; + end + if (reset) begin + gw_config_reg_8 = 2'h0; + end + if (reset) begin + gw_config_reg_9 = 2'h0; + end + if (reset) begin + gw_config_reg_10 = 2'h0; + end + if (reset) begin + gw_config_reg_11 = 2'h0; + end + if (reset) begin + gw_config_reg_12 = 2'h0; + end + if (reset) begin + gw_config_reg_13 = 2'h0; + end + if (reset) begin + gw_config_reg_14 = 2'h0; + end + if (reset) begin + gw_config_reg_15 = 2'h0; + end + if (reset) begin + gw_config_reg_16 = 2'h0; + end + if (reset) begin + gw_config_reg_17 = 2'h0; + end + if (reset) begin + gw_config_reg_18 = 2'h0; + end + if (reset) begin + gw_config_reg_19 = 2'h0; + end + if (reset) begin + gw_config_reg_20 = 2'h0; + end + if (reset) begin + gw_config_reg_21 = 2'h0; + end + if (reset) begin + gw_config_reg_22 = 2'h0; + end + if (reset) begin + gw_config_reg_23 = 2'h0; + end + if (reset) begin + gw_config_reg_24 = 2'h0; + end + if (reset) begin + gw_config_reg_25 = 2'h0; + end + if (reset) begin + gw_config_reg_26 = 2'h0; + end + if (reset) begin + gw_config_reg_27 = 2'h0; + end + if (reset) begin + gw_config_reg_28 = 2'h0; + end + if (reset) begin + gw_config_reg_29 = 2'h0; + end + if (reset) begin + gw_config_reg_30 = 2'h0; + end + if (reset) begin + gw_config_reg_31 = 2'h0; + end + if (reset) begin + gw_int_pending = 1'h0; + end + if (reset) begin + gw_int_pending_1 = 1'h0; + end + if (reset) begin + gw_int_pending_2 = 1'h0; + end + if (reset) begin + gw_int_pending_3 = 1'h0; + end + if (reset) begin + gw_int_pending_4 = 1'h0; + end + if (reset) begin + gw_int_pending_5 = 1'h0; + end + if (reset) begin + gw_int_pending_6 = 1'h0; + end + if (reset) begin + gw_int_pending_7 = 1'h0; + end + if (reset) begin + gw_int_pending_8 = 1'h0; + end + if (reset) begin + gw_int_pending_9 = 1'h0; + end + if (reset) begin + gw_int_pending_10 = 1'h0; + end + if (reset) begin + gw_int_pending_11 = 1'h0; + end + if (reset) begin + gw_int_pending_12 = 1'h0; + end + if (reset) begin + gw_int_pending_13 = 1'h0; + end + if (reset) begin + gw_int_pending_14 = 1'h0; + end + if (reset) begin + gw_int_pending_15 = 1'h0; + end + if (reset) begin + gw_int_pending_16 = 1'h0; + end + if (reset) begin + gw_int_pending_17 = 1'h0; + end + if (reset) begin + gw_int_pending_18 = 1'h0; + end + if (reset) begin + gw_int_pending_19 = 1'h0; + end + if (reset) begin + gw_int_pending_20 = 1'h0; + end + if (reset) begin + gw_int_pending_21 = 1'h0; + end + if (reset) begin + gw_int_pending_22 = 1'h0; + end + if (reset) begin + gw_int_pending_23 = 1'h0; + end + if (reset) begin + gw_int_pending_24 = 1'h0; + end + if (reset) begin + gw_int_pending_25 = 1'h0; + end + if (reset) begin + gw_int_pending_26 = 1'h0; + end + if (reset) begin + gw_int_pending_27 = 1'h0; + end + if (reset) begin + gw_int_pending_28 = 1'h0; + end + if (reset) begin + gw_int_pending_29 = 1'h0; + end + if (reset) begin + gw_int_pending_30 = 1'h0; + end + if (reset) begin + config_reg = 1'h0; + end + if (reset) begin + _T_1642 = 8'h0; + end + if (reset) begin + _T_1643 = 4'h0; + end + if (reset) begin + _T_1650 = 1'h0; + end + if (reset) begin + _T_1652 = 1'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge pic_raddr_c1_clk or posedge reset) begin + if (reset) begin + picm_raddr_ff <= 32'h0; + end else begin + picm_raddr_ff <= io_lsu_pic_picm_rdaddr; + end + end + always @(posedge pic_data_c1_clk or posedge reset) begin + if (reset) begin + picm_waddr_ff <= 32'h0; + end else begin + picm_waddr_ff <= io_lsu_pic_picm_wraddr; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + picm_wren_ff <= 1'h0; + end else begin + picm_wren_ff <= io_lsu_pic_picm_wren; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + picm_rden_ff <= 1'h0; + end else begin + picm_rden_ff <= io_lsu_pic_picm_rden; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + picm_mken_ff <= 1'h0; + end else begin + picm_mken_ff <= io_lsu_pic_picm_mken; + end + end + always @(posedge pic_data_c1_clk or posedge reset) begin + if (reset) begin + picm_wr_data_ff <= 32'h0; + end else begin + picm_wr_data_ff <= io_lsu_pic_picm_wr_data; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_33 <= 31'h0; + end else begin + _T_33 <= io_extintsrc_req[31:1]; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_34 <= 31'h0; + end else begin + _T_34 <= _T_33; + end + end + always @(posedge pic_pri_c1_clk or posedge reset) begin + if (reset) begin + intpriority_reg_1 <= 4'h0; + end else if (intpriority_reg_we_1) begin + intpriority_reg_1 <= picm_wr_data_ff[3:0]; + end + end + always @(posedge pic_pri_c1_clk or posedge reset) begin + if (reset) begin + intpriority_reg_2 <= 4'h0; + end else if (intpriority_reg_we_2) begin + intpriority_reg_2 <= picm_wr_data_ff[3:0]; + end + end + always @(posedge pic_pri_c1_clk or posedge reset) begin + if (reset) begin + intpriority_reg_3 <= 4'h0; + end else if (intpriority_reg_we_3) begin + intpriority_reg_3 <= picm_wr_data_ff[3:0]; + end + end + always @(posedge pic_pri_c1_clk or posedge reset) begin + if (reset) begin + intpriority_reg_4 <= 4'h0; + end else if (intpriority_reg_we_4) begin + intpriority_reg_4 <= picm_wr_data_ff[3:0]; + end + end + always @(posedge pic_pri_c1_clk or posedge reset) begin + if (reset) begin + intpriority_reg_5 <= 4'h0; + end else if (intpriority_reg_we_5) begin + intpriority_reg_5 <= picm_wr_data_ff[3:0]; + end + end + always @(posedge pic_pri_c1_clk or posedge reset) begin + if (reset) begin + intpriority_reg_6 <= 4'h0; + end else if (intpriority_reg_we_6) begin + intpriority_reg_6 <= picm_wr_data_ff[3:0]; + end + end + always @(posedge pic_pri_c1_clk or posedge reset) begin + if (reset) begin + intpriority_reg_7 <= 4'h0; + end else if (intpriority_reg_we_7) begin + intpriority_reg_7 <= picm_wr_data_ff[3:0]; + end + end + always @(posedge pic_pri_c1_clk or posedge reset) begin + if (reset) begin + intpriority_reg_8 <= 4'h0; + end else if (intpriority_reg_we_8) begin + intpriority_reg_8 <= picm_wr_data_ff[3:0]; + end + end + always @(posedge pic_pri_c1_clk or posedge reset) begin + if (reset) begin + intpriority_reg_9 <= 4'h0; + end else if (intpriority_reg_we_9) begin + intpriority_reg_9 <= picm_wr_data_ff[3:0]; + end + end + always @(posedge pic_pri_c1_clk or posedge reset) begin + if (reset) begin + intpriority_reg_10 <= 4'h0; + end else if (intpriority_reg_we_10) begin + intpriority_reg_10 <= picm_wr_data_ff[3:0]; + end + end + always @(posedge pic_pri_c1_clk or posedge reset) begin + if (reset) begin + intpriority_reg_11 <= 4'h0; + end else if (intpriority_reg_we_11) begin + intpriority_reg_11 <= picm_wr_data_ff[3:0]; + end + end + always @(posedge pic_pri_c1_clk or posedge reset) begin + if (reset) begin + intpriority_reg_12 <= 4'h0; + end else if (intpriority_reg_we_12) begin + intpriority_reg_12 <= picm_wr_data_ff[3:0]; + end + end + always @(posedge pic_pri_c1_clk or posedge reset) begin + if (reset) begin + intpriority_reg_13 <= 4'h0; + end else if (intpriority_reg_we_13) begin + intpriority_reg_13 <= picm_wr_data_ff[3:0]; + end + end + always @(posedge pic_pri_c1_clk or posedge reset) begin + if (reset) begin + intpriority_reg_14 <= 4'h0; + end else if (intpriority_reg_we_14) begin + intpriority_reg_14 <= picm_wr_data_ff[3:0]; + end + end + always @(posedge pic_pri_c1_clk or posedge reset) begin + if (reset) begin + intpriority_reg_15 <= 4'h0; + end else if (intpriority_reg_we_15) begin + intpriority_reg_15 <= picm_wr_data_ff[3:0]; + end + end + always @(posedge pic_pri_c1_clk or posedge reset) begin + if (reset) begin + intpriority_reg_16 <= 4'h0; + end else if (intpriority_reg_we_16) begin + intpriority_reg_16 <= picm_wr_data_ff[3:0]; + end + end + always @(posedge pic_pri_c1_clk or posedge reset) begin + if (reset) begin + intpriority_reg_17 <= 4'h0; + end else if (intpriority_reg_we_17) begin + intpriority_reg_17 <= picm_wr_data_ff[3:0]; + end + end + always @(posedge pic_pri_c1_clk or posedge reset) begin + if (reset) begin + intpriority_reg_18 <= 4'h0; + end else if (intpriority_reg_we_18) begin + intpriority_reg_18 <= picm_wr_data_ff[3:0]; + end + end + always @(posedge pic_pri_c1_clk or posedge reset) begin + if (reset) begin + intpriority_reg_19 <= 4'h0; + end else if (intpriority_reg_we_19) begin + intpriority_reg_19 <= picm_wr_data_ff[3:0]; + end + end + always @(posedge pic_pri_c1_clk or posedge reset) begin + if (reset) begin + intpriority_reg_20 <= 4'h0; + end else if (intpriority_reg_we_20) begin + intpriority_reg_20 <= picm_wr_data_ff[3:0]; + end + end + always @(posedge pic_pri_c1_clk or posedge reset) begin + if (reset) begin + intpriority_reg_21 <= 4'h0; + end else if (intpriority_reg_we_21) begin + intpriority_reg_21 <= picm_wr_data_ff[3:0]; + end + end + always @(posedge pic_pri_c1_clk or posedge reset) begin + if (reset) begin + intpriority_reg_22 <= 4'h0; + end else if (intpriority_reg_we_22) begin + intpriority_reg_22 <= picm_wr_data_ff[3:0]; + end + end + always @(posedge pic_pri_c1_clk or posedge reset) begin + if (reset) begin + intpriority_reg_23 <= 4'h0; + end else if (intpriority_reg_we_23) begin + intpriority_reg_23 <= picm_wr_data_ff[3:0]; + end + end + always @(posedge pic_pri_c1_clk or posedge reset) begin + if (reset) begin + intpriority_reg_24 <= 4'h0; + end else if (intpriority_reg_we_24) begin + intpriority_reg_24 <= picm_wr_data_ff[3:0]; + end + end + always @(posedge pic_pri_c1_clk or posedge reset) begin + if (reset) begin + intpriority_reg_25 <= 4'h0; + end else if (intpriority_reg_we_25) begin + intpriority_reg_25 <= picm_wr_data_ff[3:0]; + end + end + always @(posedge pic_pri_c1_clk or posedge reset) begin + if (reset) begin + intpriority_reg_26 <= 4'h0; + end else if (intpriority_reg_we_26) begin + intpriority_reg_26 <= picm_wr_data_ff[3:0]; + end + end + always @(posedge pic_pri_c1_clk or posedge reset) begin + if (reset) begin + intpriority_reg_27 <= 4'h0; + end else if (intpriority_reg_we_27) begin + intpriority_reg_27 <= picm_wr_data_ff[3:0]; + end + end + always @(posedge pic_pri_c1_clk or posedge reset) begin + if (reset) begin + intpriority_reg_28 <= 4'h0; + end else if (intpriority_reg_we_28) begin + intpriority_reg_28 <= picm_wr_data_ff[3:0]; + end + end + always @(posedge pic_pri_c1_clk or posedge reset) begin + if (reset) begin + intpriority_reg_29 <= 4'h0; + end else if (intpriority_reg_we_29) begin + intpriority_reg_29 <= picm_wr_data_ff[3:0]; + end + end + always @(posedge pic_pri_c1_clk or posedge reset) begin + if (reset) begin + intpriority_reg_30 <= 4'h0; + end else if (intpriority_reg_we_30) begin + intpriority_reg_30 <= picm_wr_data_ff[3:0]; + end + end + always @(posedge pic_pri_c1_clk or posedge reset) begin + if (reset) begin + intpriority_reg_31 <= 4'h0; + end else if (intpriority_reg_we_31) begin + intpriority_reg_31 <= picm_wr_data_ff[3:0]; + end + end + always @(posedge pic_int_c1_clk or posedge reset) begin + if (reset) begin + intenable_reg_1 <= 1'h0; + end else if (intenable_reg_we_1) begin + intenable_reg_1 <= picm_wr_data_ff[0]; + end + end + always @(posedge pic_int_c1_clk or posedge reset) begin + if (reset) begin + intenable_reg_2 <= 1'h0; + end else if (intenable_reg_we_2) begin + intenable_reg_2 <= picm_wr_data_ff[0]; + end + end + always @(posedge pic_int_c1_clk or posedge reset) begin + if (reset) begin + intenable_reg_3 <= 1'h0; + end else if (intenable_reg_we_3) begin + intenable_reg_3 <= picm_wr_data_ff[0]; + end + end + always @(posedge pic_int_c1_clk or posedge reset) begin + if (reset) begin + intenable_reg_4 <= 1'h0; + end else if (intenable_reg_we_4) begin + intenable_reg_4 <= picm_wr_data_ff[0]; + end + end + always @(posedge pic_int_c1_clk or posedge reset) begin + if (reset) begin + intenable_reg_5 <= 1'h0; + end else if (intenable_reg_we_5) begin + intenable_reg_5 <= picm_wr_data_ff[0]; + end + end + always @(posedge pic_int_c1_clk or posedge reset) begin + if (reset) begin + intenable_reg_6 <= 1'h0; + end else if (intenable_reg_we_6) begin + intenable_reg_6 <= picm_wr_data_ff[0]; + end + end + always @(posedge pic_int_c1_clk or posedge reset) begin + if (reset) begin + intenable_reg_7 <= 1'h0; + end else if (intenable_reg_we_7) begin + intenable_reg_7 <= picm_wr_data_ff[0]; + end + end + always @(posedge pic_int_c1_clk or posedge reset) begin + if (reset) begin + intenable_reg_8 <= 1'h0; + end else if (intenable_reg_we_8) begin + intenable_reg_8 <= picm_wr_data_ff[0]; + end + end + always @(posedge pic_int_c1_clk or posedge reset) begin + if (reset) begin + intenable_reg_9 <= 1'h0; + end else if (intenable_reg_we_9) begin + intenable_reg_9 <= picm_wr_data_ff[0]; + end + end + always @(posedge pic_int_c1_clk or posedge reset) begin + if (reset) begin + intenable_reg_10 <= 1'h0; + end else if (intenable_reg_we_10) begin + intenable_reg_10 <= picm_wr_data_ff[0]; + end + end + always @(posedge pic_int_c1_clk or posedge reset) begin + if (reset) begin + intenable_reg_11 <= 1'h0; + end else if (intenable_reg_we_11) begin + intenable_reg_11 <= picm_wr_data_ff[0]; + end + end + always @(posedge pic_int_c1_clk or posedge reset) begin + if (reset) begin + intenable_reg_12 <= 1'h0; + end else if (intenable_reg_we_12) begin + intenable_reg_12 <= picm_wr_data_ff[0]; + end + end + always @(posedge pic_int_c1_clk or posedge reset) begin + if (reset) begin + intenable_reg_13 <= 1'h0; + end else if (intenable_reg_we_13) begin + intenable_reg_13 <= picm_wr_data_ff[0]; + end + end + always @(posedge pic_int_c1_clk or posedge reset) begin + if (reset) begin + intenable_reg_14 <= 1'h0; + end else if (intenable_reg_we_14) begin + intenable_reg_14 <= picm_wr_data_ff[0]; + end + end + always @(posedge pic_int_c1_clk or posedge reset) begin + if (reset) begin + intenable_reg_15 <= 1'h0; + end else if (intenable_reg_we_15) begin + intenable_reg_15 <= picm_wr_data_ff[0]; + end + end + always @(posedge pic_int_c1_clk or posedge reset) begin + if (reset) begin + intenable_reg_16 <= 1'h0; + end else if (intenable_reg_we_16) begin + intenable_reg_16 <= picm_wr_data_ff[0]; + end + end + always @(posedge pic_int_c1_clk or posedge reset) begin + if (reset) begin + intenable_reg_17 <= 1'h0; + end else if (intenable_reg_we_17) begin + intenable_reg_17 <= picm_wr_data_ff[0]; + end + end + always @(posedge pic_int_c1_clk or posedge reset) begin + if (reset) begin + intenable_reg_18 <= 1'h0; + end else if (intenable_reg_we_18) begin + intenable_reg_18 <= picm_wr_data_ff[0]; + end + end + always @(posedge pic_int_c1_clk or posedge reset) begin + if (reset) begin + intenable_reg_19 <= 1'h0; + end else if (intenable_reg_we_19) begin + intenable_reg_19 <= picm_wr_data_ff[0]; + end + end + always @(posedge pic_int_c1_clk or posedge reset) begin + if (reset) begin + intenable_reg_20 <= 1'h0; + end else if (intenable_reg_we_20) begin + intenable_reg_20 <= picm_wr_data_ff[0]; + end + end + always @(posedge pic_int_c1_clk or posedge reset) begin + if (reset) begin + intenable_reg_21 <= 1'h0; + end else if (intenable_reg_we_21) begin + intenable_reg_21 <= picm_wr_data_ff[0]; + end + end + always @(posedge pic_int_c1_clk or posedge reset) begin + if (reset) begin + intenable_reg_22 <= 1'h0; + end else if (intenable_reg_we_22) begin + intenable_reg_22 <= picm_wr_data_ff[0]; + end + end + always @(posedge pic_int_c1_clk or posedge reset) begin + if (reset) begin + intenable_reg_23 <= 1'h0; + end else if (intenable_reg_we_23) begin + intenable_reg_23 <= picm_wr_data_ff[0]; + end + end + always @(posedge pic_int_c1_clk or posedge reset) begin + if (reset) begin + intenable_reg_24 <= 1'h0; + end else if (intenable_reg_we_24) begin + intenable_reg_24 <= picm_wr_data_ff[0]; + end + end + always @(posedge pic_int_c1_clk or posedge reset) begin + if (reset) begin + intenable_reg_25 <= 1'h0; + end else if (intenable_reg_we_25) begin + intenable_reg_25 <= picm_wr_data_ff[0]; + end + end + always @(posedge pic_int_c1_clk or posedge reset) begin + if (reset) begin + intenable_reg_26 <= 1'h0; + end else if (intenable_reg_we_26) begin + intenable_reg_26 <= picm_wr_data_ff[0]; + end + end + always @(posedge pic_int_c1_clk or posedge reset) begin + if (reset) begin + intenable_reg_27 <= 1'h0; + end else if (intenable_reg_we_27) begin + intenable_reg_27 <= picm_wr_data_ff[0]; + end + end + always @(posedge pic_int_c1_clk or posedge reset) begin + if (reset) begin + intenable_reg_28 <= 1'h0; + end else if (intenable_reg_we_28) begin + intenable_reg_28 <= picm_wr_data_ff[0]; + end + end + always @(posedge pic_int_c1_clk or posedge reset) begin + if (reset) begin + intenable_reg_29 <= 1'h0; + end else if (intenable_reg_we_29) begin + intenable_reg_29 <= picm_wr_data_ff[0]; + end + end + always @(posedge pic_int_c1_clk or posedge reset) begin + if (reset) begin + intenable_reg_30 <= 1'h0; + end else if (intenable_reg_we_30) begin + intenable_reg_30 <= picm_wr_data_ff[0]; + end + end + always @(posedge pic_int_c1_clk or posedge reset) begin + if (reset) begin + intenable_reg_31 <= 1'h0; + end else if (intenable_reg_we_31) begin + intenable_reg_31 <= picm_wr_data_ff[0]; + end + end + always @(posedge gw_config_c1_clk or posedge reset) begin + if (reset) begin + gw_config_reg_1 <= 2'h0; + end else if (gw_config_reg_we_1) begin + gw_config_reg_1 <= picm_wr_data_ff[1:0]; + end + end + always @(posedge gw_config_c1_clk or posedge reset) begin + if (reset) begin + gw_config_reg_2 <= 2'h0; + end else if (gw_config_reg_we_2) begin + gw_config_reg_2 <= picm_wr_data_ff[1:0]; + end + end + always @(posedge gw_config_c1_clk or posedge reset) begin + if (reset) begin + gw_config_reg_3 <= 2'h0; + end else if (gw_config_reg_we_3) begin + gw_config_reg_3 <= picm_wr_data_ff[1:0]; + end + end + always @(posedge gw_config_c1_clk or posedge reset) begin + if (reset) begin + gw_config_reg_4 <= 2'h0; + end else if (gw_config_reg_we_4) begin + gw_config_reg_4 <= picm_wr_data_ff[1:0]; + end + end + always @(posedge gw_config_c1_clk or posedge reset) begin + if (reset) begin + gw_config_reg_5 <= 2'h0; + end else if (gw_config_reg_we_5) begin + gw_config_reg_5 <= picm_wr_data_ff[1:0]; + end + end + always @(posedge gw_config_c1_clk or posedge reset) begin + if (reset) begin + gw_config_reg_6 <= 2'h0; + end else if (gw_config_reg_we_6) begin + gw_config_reg_6 <= picm_wr_data_ff[1:0]; + end + end + always @(posedge gw_config_c1_clk or posedge reset) begin + if (reset) begin + gw_config_reg_7 <= 2'h0; + end else if (gw_config_reg_we_7) begin + gw_config_reg_7 <= picm_wr_data_ff[1:0]; + end + end + always @(posedge gw_config_c1_clk or posedge reset) begin + if (reset) begin + gw_config_reg_8 <= 2'h0; + end else if (gw_config_reg_we_8) begin + gw_config_reg_8 <= picm_wr_data_ff[1:0]; + end + end + always @(posedge gw_config_c1_clk or posedge reset) begin + if (reset) begin + gw_config_reg_9 <= 2'h0; + end else if (gw_config_reg_we_9) begin + gw_config_reg_9 <= picm_wr_data_ff[1:0]; + end + end + always @(posedge gw_config_c1_clk or posedge reset) begin + if (reset) begin + gw_config_reg_10 <= 2'h0; + end else if (gw_config_reg_we_10) begin + gw_config_reg_10 <= picm_wr_data_ff[1:0]; + end + end + always @(posedge gw_config_c1_clk or posedge reset) begin + if (reset) begin + gw_config_reg_11 <= 2'h0; + end else if (gw_config_reg_we_11) begin + gw_config_reg_11 <= picm_wr_data_ff[1:0]; + end + end + always @(posedge gw_config_c1_clk or posedge reset) begin + if (reset) begin + gw_config_reg_12 <= 2'h0; + end else if (gw_config_reg_we_12) begin + gw_config_reg_12 <= picm_wr_data_ff[1:0]; + end + end + always @(posedge gw_config_c1_clk or posedge reset) begin + if (reset) begin + gw_config_reg_13 <= 2'h0; + end else if (gw_config_reg_we_13) begin + gw_config_reg_13 <= picm_wr_data_ff[1:0]; + end + end + always @(posedge gw_config_c1_clk or posedge reset) begin + if (reset) begin + gw_config_reg_14 <= 2'h0; + end else if (gw_config_reg_we_14) begin + gw_config_reg_14 <= picm_wr_data_ff[1:0]; + end + end + always @(posedge gw_config_c1_clk or posedge reset) begin + if (reset) begin + gw_config_reg_15 <= 2'h0; + end else if (gw_config_reg_we_15) begin + gw_config_reg_15 <= picm_wr_data_ff[1:0]; + end + end + always @(posedge gw_config_c1_clk or posedge reset) begin + if (reset) begin + gw_config_reg_16 <= 2'h0; + end else if (gw_config_reg_we_16) begin + gw_config_reg_16 <= picm_wr_data_ff[1:0]; + end + end + always @(posedge gw_config_c1_clk or posedge reset) begin + if (reset) begin + gw_config_reg_17 <= 2'h0; + end else if (gw_config_reg_we_17) begin + gw_config_reg_17 <= picm_wr_data_ff[1:0]; + end + end + always @(posedge gw_config_c1_clk or posedge reset) begin + if (reset) begin + gw_config_reg_18 <= 2'h0; + end else if (gw_config_reg_we_18) begin + gw_config_reg_18 <= picm_wr_data_ff[1:0]; + end + end + always @(posedge gw_config_c1_clk or posedge reset) begin + if (reset) begin + gw_config_reg_19 <= 2'h0; + end else if (gw_config_reg_we_19) begin + gw_config_reg_19 <= picm_wr_data_ff[1:0]; + end + end + always @(posedge gw_config_c1_clk or posedge reset) begin + if (reset) begin + gw_config_reg_20 <= 2'h0; + end else if (gw_config_reg_we_20) begin + gw_config_reg_20 <= picm_wr_data_ff[1:0]; + end + end + always @(posedge gw_config_c1_clk or posedge reset) begin + if (reset) begin + gw_config_reg_21 <= 2'h0; + end else if (gw_config_reg_we_21) begin + gw_config_reg_21 <= picm_wr_data_ff[1:0]; + end + end + always @(posedge gw_config_c1_clk or posedge reset) begin + if (reset) begin + gw_config_reg_22 <= 2'h0; + end else if (gw_config_reg_we_22) begin + gw_config_reg_22 <= picm_wr_data_ff[1:0]; + end + end + always @(posedge gw_config_c1_clk or posedge reset) begin + if (reset) begin + gw_config_reg_23 <= 2'h0; + end else if (gw_config_reg_we_23) begin + gw_config_reg_23 <= picm_wr_data_ff[1:0]; + end + end + always @(posedge gw_config_c1_clk or posedge reset) begin + if (reset) begin + gw_config_reg_24 <= 2'h0; + end else if (gw_config_reg_we_24) begin + gw_config_reg_24 <= picm_wr_data_ff[1:0]; + end + end + always @(posedge gw_config_c1_clk or posedge reset) begin + if (reset) begin + gw_config_reg_25 <= 2'h0; + end else if (gw_config_reg_we_25) begin + gw_config_reg_25 <= picm_wr_data_ff[1:0]; + end + end + always @(posedge gw_config_c1_clk or posedge reset) begin + if (reset) begin + gw_config_reg_26 <= 2'h0; + end else if (gw_config_reg_we_26) begin + gw_config_reg_26 <= picm_wr_data_ff[1:0]; + end + end + always @(posedge gw_config_c1_clk or posedge reset) begin + if (reset) begin + gw_config_reg_27 <= 2'h0; + end else if (gw_config_reg_we_27) begin + gw_config_reg_27 <= picm_wr_data_ff[1:0]; + end + end + always @(posedge gw_config_c1_clk or posedge reset) begin + if (reset) begin + gw_config_reg_28 <= 2'h0; + end else if (gw_config_reg_we_28) begin + gw_config_reg_28 <= picm_wr_data_ff[1:0]; + end + end + always @(posedge gw_config_c1_clk or posedge reset) begin + if (reset) begin + gw_config_reg_29 <= 2'h0; + end else if (gw_config_reg_we_29) begin + gw_config_reg_29 <= picm_wr_data_ff[1:0]; + end + end + always @(posedge gw_config_c1_clk or posedge reset) begin + if (reset) begin + gw_config_reg_30 <= 2'h0; + end else if (gw_config_reg_we_30) begin + gw_config_reg_30 <= picm_wr_data_ff[1:0]; + end + end + always @(posedge gw_config_c1_clk or posedge reset) begin + if (reset) begin + gw_config_reg_31 <= 2'h0; + end else if (gw_config_reg_we_31) begin + gw_config_reg_31 <= picm_wr_data_ff[1:0]; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + gw_int_pending <= 1'h0; + end else begin + gw_int_pending <= _T_970 | _T_972; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + gw_int_pending_1 <= 1'h0; + end else begin + gw_int_pending_1 <= _T_982 | _T_984; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + gw_int_pending_2 <= 1'h0; + end else begin + gw_int_pending_2 <= _T_994 | _T_996; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + gw_int_pending_3 <= 1'h0; + end else begin + gw_int_pending_3 <= _T_1006 | _T_1008; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + gw_int_pending_4 <= 1'h0; + end else begin + gw_int_pending_4 <= _T_1018 | _T_1020; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + gw_int_pending_5 <= 1'h0; + end else begin + gw_int_pending_5 <= _T_1030 | _T_1032; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + gw_int_pending_6 <= 1'h0; + end else begin + gw_int_pending_6 <= _T_1042 | _T_1044; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + gw_int_pending_7 <= 1'h0; + end else begin + gw_int_pending_7 <= _T_1054 | _T_1056; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + gw_int_pending_8 <= 1'h0; + end else begin + gw_int_pending_8 <= _T_1066 | _T_1068; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + gw_int_pending_9 <= 1'h0; + end else begin + gw_int_pending_9 <= _T_1078 | _T_1080; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + gw_int_pending_10 <= 1'h0; + end else begin + gw_int_pending_10 <= _T_1090 | _T_1092; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + gw_int_pending_11 <= 1'h0; + end else begin + gw_int_pending_11 <= _T_1102 | _T_1104; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + gw_int_pending_12 <= 1'h0; + end else begin + gw_int_pending_12 <= _T_1114 | _T_1116; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + gw_int_pending_13 <= 1'h0; + end else begin + gw_int_pending_13 <= _T_1126 | _T_1128; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + gw_int_pending_14 <= 1'h0; + end else begin + gw_int_pending_14 <= _T_1138 | _T_1140; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + gw_int_pending_15 <= 1'h0; + end else begin + gw_int_pending_15 <= _T_1150 | _T_1152; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + gw_int_pending_16 <= 1'h0; + end else begin + gw_int_pending_16 <= _T_1162 | _T_1164; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + gw_int_pending_17 <= 1'h0; + end else begin + gw_int_pending_17 <= _T_1174 | _T_1176; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + gw_int_pending_18 <= 1'h0; + end else begin + gw_int_pending_18 <= _T_1186 | _T_1188; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + gw_int_pending_19 <= 1'h0; + end else begin + gw_int_pending_19 <= _T_1198 | _T_1200; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + gw_int_pending_20 <= 1'h0; + end else begin + gw_int_pending_20 <= _T_1210 | _T_1212; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + gw_int_pending_21 <= 1'h0; + end else begin + gw_int_pending_21 <= _T_1222 | _T_1224; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + gw_int_pending_22 <= 1'h0; + end else begin + gw_int_pending_22 <= _T_1234 | _T_1236; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + gw_int_pending_23 <= 1'h0; + end else begin + gw_int_pending_23 <= _T_1246 | _T_1248; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + gw_int_pending_24 <= 1'h0; + end else begin + gw_int_pending_24 <= _T_1258 | _T_1260; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + gw_int_pending_25 <= 1'h0; + end else begin + gw_int_pending_25 <= _T_1270 | _T_1272; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + gw_int_pending_26 <= 1'h0; + end else begin + gw_int_pending_26 <= _T_1282 | _T_1284; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + gw_int_pending_27 <= 1'h0; + end else begin + gw_int_pending_27 <= _T_1294 | _T_1296; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + gw_int_pending_28 <= 1'h0; + end else begin + gw_int_pending_28 <= _T_1306 | _T_1308; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + gw_int_pending_29 <= 1'h0; + end else begin + gw_int_pending_29 <= _T_1318 | _T_1320; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + gw_int_pending_30 <= 1'h0; + end else begin + gw_int_pending_30 <= _T_1330 | _T_1332; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + config_reg <= 1'h0; + end else if (config_reg_we) begin + config_reg <= picm_wr_data_ff[0]; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_1642 <= 8'h0; + end else begin + _T_1642 <= level_intpend_id_5_0; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_1643 <= 4'h0; + end else if (config_reg) begin + _T_1643 <= _T_1641; + end else begin + _T_1643 <= level_intpend_w_prior_en_5_0; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_1650 <= 1'h0; + end else begin + _T_1650 <= _T_1648 & _T_1649; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_1652 <= 1'h0; + end else begin + _T_1652 <= pl_in_q == maxint; + end + end +endmodule diff --git a/project/project/target/config-classes/$d699f422732d26382db0$.class b/project/project/target/config-classes/$53ee03a357389737b8c9$.class similarity index 84% rename from project/project/target/config-classes/$d699f422732d26382db0$.class rename to project/project/target/config-classes/$53ee03a357389737b8c9$.class index a9d3c5d8..ce550190 100644 Binary files a/project/project/target/config-classes/$d699f422732d26382db0$.class and b/project/project/target/config-classes/$53ee03a357389737b8c9$.class differ diff --git a/project/project/target/config-classes/$63149cb333b0c3a71ff7.cache b/project/project/target/config-classes/$53ee03a357389737b8c9.cache similarity index 100% rename from project/project/target/config-classes/$63149cb333b0c3a71ff7.cache rename to project/project/target/config-classes/$53ee03a357389737b8c9.cache diff --git a/project/project/target/config-classes/$53ee03a357389737b8c9.class b/project/project/target/config-classes/$53ee03a357389737b8c9.class new file mode 100644 index 00000000..f4f292fe Binary files /dev/null and b/project/project/target/config-classes/$53ee03a357389737b8c9.class differ diff --git a/project/project/target/config-classes/$63149cb333b0c3a71ff7$.class b/project/project/target/config-classes/$63149cb333b0c3a71ff7$.class deleted file mode 100644 index cadd59aa..00000000 Binary files a/project/project/target/config-classes/$63149cb333b0c3a71ff7$.class and /dev/null differ diff --git a/project/project/target/config-classes/$63149cb333b0c3a71ff7.class b/project/project/target/config-classes/$63149cb333b0c3a71ff7.class deleted file mode 100644 index 9ecd1b08..00000000 Binary files a/project/project/target/config-classes/$63149cb333b0c3a71ff7.class and /dev/null differ diff --git a/project/project/target/config-classes/$d699f422732d26382db0.class b/project/project/target/config-classes/$d699f422732d26382db0.class deleted file mode 100644 index d2381692..00000000 Binary files a/project/project/target/config-classes/$d699f422732d26382db0.class and /dev/null differ diff --git a/project/target/config-classes/$002bbb8e911a1216d26b.class b/project/target/config-classes/$002bbb8e911a1216d26b.class deleted file mode 100644 index f4fbbb3a..00000000 Binary files a/project/target/config-classes/$002bbb8e911a1216d26b.class and /dev/null differ diff --git a/project/target/config-classes/$d0ae4ca9225d1421917c$.class b/project/target/config-classes/$17f06865018241ea70ec$.class similarity index 82% rename from project/target/config-classes/$d0ae4ca9225d1421917c$.class rename to project/target/config-classes/$17f06865018241ea70ec$.class index 5ade4165..72343531 100644 Binary files a/project/target/config-classes/$d0ae4ca9225d1421917c$.class and b/project/target/config-classes/$17f06865018241ea70ec$.class differ diff --git a/project/project/target/config-classes/$d699f422732d26382db0.cache b/project/target/config-classes/$17f06865018241ea70ec.cache similarity index 100% rename from project/project/target/config-classes/$d699f422732d26382db0.cache rename to project/target/config-classes/$17f06865018241ea70ec.cache diff --git a/project/target/config-classes/$17f06865018241ea70ec.class b/project/target/config-classes/$17f06865018241ea70ec.class new file mode 100644 index 00000000..87513352 Binary files /dev/null and b/project/target/config-classes/$17f06865018241ea70ec.class differ diff --git a/project/target/config-classes/$780e88545c8418cff8f6$.class b/project/target/config-classes/$2e9e74bb305552dad16c$.class similarity index 61% rename from project/target/config-classes/$780e88545c8418cff8f6$.class rename to project/target/config-classes/$2e9e74bb305552dad16c$.class index 516f71c0..12f1d229 100644 Binary files a/project/target/config-classes/$780e88545c8418cff8f6$.class and b/project/target/config-classes/$2e9e74bb305552dad16c$.class differ diff --git a/project/target/config-classes/$002bbb8e911a1216d26b.cache b/project/target/config-classes/$2e9e74bb305552dad16c.cache similarity index 100% rename from project/target/config-classes/$002bbb8e911a1216d26b.cache rename to project/target/config-classes/$2e9e74bb305552dad16c.cache diff --git a/project/target/config-classes/$2e9e74bb305552dad16c.class b/project/target/config-classes/$2e9e74bb305552dad16c.class new file mode 100644 index 00000000..739b6980 Binary files /dev/null and b/project/target/config-classes/$2e9e74bb305552dad16c.class differ diff --git a/project/target/config-classes/$37f696c7fe176ddbd269.class b/project/target/config-classes/$37f696c7fe176ddbd269.class deleted file mode 100644 index 19cf0e84..00000000 Binary files a/project/target/config-classes/$37f696c7fe176ddbd269.class and /dev/null differ diff --git a/project/target/config-classes/$4a2c1117e72e688d413a$.class b/project/target/config-classes/$4a2c1117e72e688d413a$.class deleted file mode 100644 index ac450a54..00000000 Binary files a/project/target/config-classes/$4a2c1117e72e688d413a$.class and /dev/null differ diff --git a/project/target/config-classes/$59bd9c84f92e39772903$.class b/project/target/config-classes/$59bd9c84f92e39772903$.class new file mode 100644 index 00000000..bed6756e Binary files /dev/null and b/project/target/config-classes/$59bd9c84f92e39772903$.class differ diff --git a/project/target/config-classes/$37f696c7fe176ddbd269.cache b/project/target/config-classes/$59bd9c84f92e39772903.cache similarity index 100% rename from project/target/config-classes/$37f696c7fe176ddbd269.cache rename to project/target/config-classes/$59bd9c84f92e39772903.cache diff --git a/project/target/config-classes/$59bd9c84f92e39772903.class b/project/target/config-classes/$59bd9c84f92e39772903.class new file mode 100644 index 00000000..2a80d499 Binary files /dev/null and b/project/target/config-classes/$59bd9c84f92e39772903.class differ diff --git a/project/target/config-classes/$5df5b8b9ff48760ca165.class b/project/target/config-classes/$5df5b8b9ff48760ca165.class deleted file mode 100644 index b0325322..00000000 Binary files a/project/target/config-classes/$5df5b8b9ff48760ca165.class and /dev/null differ diff --git a/project/target/config-classes/$6b94ea1e2ee568602651$.class b/project/target/config-classes/$6b94ea1e2ee568602651$.class new file mode 100644 index 00000000..09094505 Binary files /dev/null and b/project/target/config-classes/$6b94ea1e2ee568602651$.class differ diff --git a/project/target/config-classes/$4a2c1117e72e688d413a.cache b/project/target/config-classes/$6b94ea1e2ee568602651.cache similarity index 100% rename from project/target/config-classes/$4a2c1117e72e688d413a.cache rename to project/target/config-classes/$6b94ea1e2ee568602651.cache diff --git a/project/target/config-classes/$4a2c1117e72e688d413a.class b/project/target/config-classes/$6b94ea1e2ee568602651.class similarity index 67% rename from project/target/config-classes/$4a2c1117e72e688d413a.class rename to project/target/config-classes/$6b94ea1e2ee568602651.class index 8256cfcf..df6ab72d 100644 Binary files a/project/target/config-classes/$4a2c1117e72e688d413a.class and b/project/target/config-classes/$6b94ea1e2ee568602651.class differ diff --git a/project/target/config-classes/$6c53bf809896a65884db.class b/project/target/config-classes/$6c53bf809896a65884db.class deleted file mode 100644 index 1d1cb45c..00000000 Binary files a/project/target/config-classes/$6c53bf809896a65884db.class and /dev/null differ diff --git a/project/target/config-classes/$780e88545c8418cff8f6.class b/project/target/config-classes/$780e88545c8418cff8f6.class deleted file mode 100644 index fe4ae569..00000000 Binary files a/project/target/config-classes/$780e88545c8418cff8f6.class and /dev/null differ diff --git a/project/target/config-classes/$844c9a21291eefa49c80.class b/project/target/config-classes/$844c9a21291eefa49c80.class deleted file mode 100644 index d82b58f7..00000000 Binary files a/project/target/config-classes/$844c9a21291eefa49c80.class and /dev/null differ diff --git a/project/target/config-classes/$002bbb8e911a1216d26b$.class b/project/target/config-classes/$937c8eae15366283b66b$.class similarity index 84% rename from project/target/config-classes/$002bbb8e911a1216d26b$.class rename to project/target/config-classes/$937c8eae15366283b66b$.class index ff0acfae..b6febd3a 100644 Binary files a/project/target/config-classes/$002bbb8e911a1216d26b$.class and b/project/target/config-classes/$937c8eae15366283b66b$.class differ diff --git a/project/target/config-classes/$5df5b8b9ff48760ca165.cache b/project/target/config-classes/$937c8eae15366283b66b.cache similarity index 100% rename from project/target/config-classes/$5df5b8b9ff48760ca165.cache rename to project/target/config-classes/$937c8eae15366283b66b.cache diff --git a/project/target/config-classes/$937c8eae15366283b66b.class b/project/target/config-classes/$937c8eae15366283b66b.class new file mode 100644 index 00000000..e6a6eaf2 Binary files /dev/null and b/project/target/config-classes/$937c8eae15366283b66b.class differ diff --git a/project/target/config-classes/$d8718d457abef2334e58$.class b/project/target/config-classes/$96da3118e1d68b9394ea$.class similarity index 80% rename from project/target/config-classes/$d8718d457abef2334e58$.class rename to project/target/config-classes/$96da3118e1d68b9394ea$.class index b9556852..874f743a 100644 Binary files a/project/target/config-classes/$d8718d457abef2334e58$.class and b/project/target/config-classes/$96da3118e1d68b9394ea$.class differ diff --git a/project/target/config-classes/$6c53bf809896a65884db.cache b/project/target/config-classes/$96da3118e1d68b9394ea.cache similarity index 100% rename from project/target/config-classes/$6c53bf809896a65884db.cache rename to project/target/config-classes/$96da3118e1d68b9394ea.cache diff --git a/project/target/config-classes/$96da3118e1d68b9394ea.class b/project/target/config-classes/$96da3118e1d68b9394ea.class new file mode 100644 index 00000000..04363c70 Binary files /dev/null and b/project/target/config-classes/$96da3118e1d68b9394ea.class differ diff --git a/project/target/config-classes/$5df5b8b9ff48760ca165$.class b/project/target/config-classes/$9adc2b0724ea0bf028a7$.class similarity index 82% rename from project/target/config-classes/$5df5b8b9ff48760ca165$.class rename to project/target/config-classes/$9adc2b0724ea0bf028a7$.class index 284db6fb..2b2c6560 100644 Binary files a/project/target/config-classes/$5df5b8b9ff48760ca165$.class and b/project/target/config-classes/$9adc2b0724ea0bf028a7$.class differ diff --git a/project/target/config-classes/$780e88545c8418cff8f6.cache b/project/target/config-classes/$9adc2b0724ea0bf028a7.cache similarity index 100% rename from project/target/config-classes/$780e88545c8418cff8f6.cache rename to project/target/config-classes/$9adc2b0724ea0bf028a7.cache diff --git a/project/target/config-classes/$9adc2b0724ea0bf028a7.class b/project/target/config-classes/$9adc2b0724ea0bf028a7.class new file mode 100644 index 00000000..1507441a Binary files /dev/null and b/project/target/config-classes/$9adc2b0724ea0bf028a7.class differ diff --git a/project/target/config-classes/$afdbdf25059f66220026.class b/project/target/config-classes/$afdbdf25059f66220026.class deleted file mode 100644 index 7796dbeb..00000000 Binary files a/project/target/config-classes/$afdbdf25059f66220026.class and /dev/null differ diff --git a/project/target/config-classes/$c4c02c4c8e274a076c1d$.class b/project/target/config-classes/$c4c02c4c8e274a076c1d$.class new file mode 100644 index 00000000..ded596ed Binary files /dev/null and b/project/target/config-classes/$c4c02c4c8e274a076c1d$.class differ diff --git a/project/target/config-classes/$844c9a21291eefa49c80.cache b/project/target/config-classes/$c4c02c4c8e274a076c1d.cache similarity index 100% rename from project/target/config-classes/$844c9a21291eefa49c80.cache rename to project/target/config-classes/$c4c02c4c8e274a076c1d.cache diff --git a/project/target/config-classes/$c4c02c4c8e274a076c1d.class b/project/target/config-classes/$c4c02c4c8e274a076c1d.class new file mode 100644 index 00000000..54b2af50 Binary files /dev/null and b/project/target/config-classes/$c4c02c4c8e274a076c1d.class differ diff --git a/project/target/config-classes/$d0ae4ca9225d1421917c.class b/project/target/config-classes/$d0ae4ca9225d1421917c.class deleted file mode 100644 index a8aae8e5..00000000 Binary files a/project/target/config-classes/$d0ae4ca9225d1421917c.class and /dev/null differ diff --git a/project/target/config-classes/$6c53bf809896a65884db$.class b/project/target/config-classes/$d104dcb8ff71ee0786b4$.class similarity index 50% rename from project/target/config-classes/$6c53bf809896a65884db$.class rename to project/target/config-classes/$d104dcb8ff71ee0786b4$.class index b58a1b9c..9d7063cd 100644 Binary files a/project/target/config-classes/$6c53bf809896a65884db$.class and b/project/target/config-classes/$d104dcb8ff71ee0786b4$.class differ diff --git a/project/target/config-classes/$afdbdf25059f66220026.cache b/project/target/config-classes/$d104dcb8ff71ee0786b4.cache similarity index 100% rename from project/target/config-classes/$afdbdf25059f66220026.cache rename to project/target/config-classes/$d104dcb8ff71ee0786b4.cache diff --git a/project/target/config-classes/$d104dcb8ff71ee0786b4.class b/project/target/config-classes/$d104dcb8ff71ee0786b4.class new file mode 100644 index 00000000..fc829820 Binary files /dev/null and b/project/target/config-classes/$d104dcb8ff71ee0786b4.class differ diff --git a/project/target/config-classes/$d8718d457abef2334e58.class b/project/target/config-classes/$d8718d457abef2334e58.class deleted file mode 100644 index 61519f71..00000000 Binary files a/project/target/config-classes/$d8718d457abef2334e58.class and /dev/null differ diff --git a/project/target/config-classes/$afdbdf25059f66220026$.class b/project/target/config-classes/$d8bf3f4e8dd4bd015254$.class similarity index 74% rename from project/target/config-classes/$afdbdf25059f66220026$.class rename to project/target/config-classes/$d8bf3f4e8dd4bd015254$.class index 5ddd2cab..07dc1345 100644 Binary files a/project/target/config-classes/$afdbdf25059f66220026$.class and b/project/target/config-classes/$d8bf3f4e8dd4bd015254$.class differ diff --git a/project/target/config-classes/$d0ae4ca9225d1421917c.cache b/project/target/config-classes/$d8bf3f4e8dd4bd015254.cache similarity index 100% rename from project/target/config-classes/$d0ae4ca9225d1421917c.cache rename to project/target/config-classes/$d8bf3f4e8dd4bd015254.cache diff --git a/project/target/config-classes/$d8bf3f4e8dd4bd015254.class b/project/target/config-classes/$d8bf3f4e8dd4bd015254.class new file mode 100644 index 00000000..abaf6f02 Binary files /dev/null and b/project/target/config-classes/$d8bf3f4e8dd4bd015254.class differ diff --git a/project/target/config-classes/$db14910429e5a804ea10$.class b/project/target/config-classes/$db14910429e5a804ea10$.class deleted file mode 100644 index 2341ad57..00000000 Binary files a/project/target/config-classes/$db14910429e5a804ea10$.class and /dev/null differ diff --git a/project/target/config-classes/$db14910429e5a804ea10.class b/project/target/config-classes/$db14910429e5a804ea10.class deleted file mode 100644 index dc424563..00000000 Binary files a/project/target/config-classes/$db14910429e5a804ea10.class and /dev/null differ diff --git a/project/target/config-classes/$844c9a21291eefa49c80$.class b/project/target/config-classes/$ebd174226f14fd073dda$.class similarity index 80% rename from project/target/config-classes/$844c9a21291eefa49c80$.class rename to project/target/config-classes/$ebd174226f14fd073dda$.class index d8579bdc..577e0f3e 100644 Binary files a/project/target/config-classes/$844c9a21291eefa49c80$.class and b/project/target/config-classes/$ebd174226f14fd073dda$.class differ diff --git a/project/target/config-classes/$d8718d457abef2334e58.cache b/project/target/config-classes/$ebd174226f14fd073dda.cache similarity index 100% rename from project/target/config-classes/$d8718d457abef2334e58.cache rename to project/target/config-classes/$ebd174226f14fd073dda.cache diff --git a/project/target/config-classes/$ebd174226f14fd073dda.class b/project/target/config-classes/$ebd174226f14fd073dda.class new file mode 100644 index 00000000..0d3b6e47 Binary files /dev/null and b/project/target/config-classes/$ebd174226f14fd073dda.class differ diff --git a/project/target/config-classes/$37f696c7fe176ddbd269$.class b/project/target/config-classes/$ef68d9894f4fc35b186c$.class similarity index 51% rename from project/target/config-classes/$37f696c7fe176ddbd269$.class rename to project/target/config-classes/$ef68d9894f4fc35b186c$.class index 826d88d8..4785fb75 100644 Binary files a/project/target/config-classes/$37f696c7fe176ddbd269$.class and b/project/target/config-classes/$ef68d9894f4fc35b186c$.class differ diff --git a/project/target/config-classes/$db14910429e5a804ea10.cache b/project/target/config-classes/$ef68d9894f4fc35b186c.cache similarity index 100% rename from project/target/config-classes/$db14910429e5a804ea10.cache rename to project/target/config-classes/$ef68d9894f4fc35b186c.cache diff --git a/project/target/config-classes/$ef68d9894f4fc35b186c.class b/project/target/config-classes/$ef68d9894f4fc35b186c.class new file mode 100644 index 00000000..774ab265 Binary files /dev/null and b/project/target/config-classes/$ef68d9894f4fc35b186c.class differ diff --git a/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/inputs b/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/inputs index 478881f0..122aefac 100644 --- a/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/inputs +++ b/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/inputs @@ -1 +1 @@ --1554290228 \ No newline at end of file +433638439 \ No newline at end of file diff --git a/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/output b/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/output index c5733b1c..aeee154c 100644 --- a/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/output +++ b/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/output @@ -1 +1 @@ -{"cachedDescriptor":".","configurations":[{"configuration":{"name":"compile"},"modules":[{"module":{"organization":"org.jetbrains","name":"sbt-structure-extractor","revision":"2020.3","configurations":"compile","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbt-structure-extractor","type":"jar","extension":"jar","configurations":[],"url":"file:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-structure-extractor/scala_2.12/sbt_1.0/2020.3/jars/sbt-structure-extractor.jar","extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-structure-extractor/scala_2.12/sbt_1.0/2020.3/jars/sbt-structure-extractor.jar"]],"missingArtifacts":[],"publicationDate":"2020-12-24T17:16:09+05:00","evicted":false,"extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"configurations":[{"name":"pom"},{"name":"test"},{"name":"provided"},{"name":"docs"},{"name":"optional"},{"name":"compile"},{"name":"sources"},{"name":"runtime"}],"licenses":[["Apache-2.0","http://www.apache.org/licenses/LICENSE-2.0.html"]],"callers":[]},{"module":{"organization":"org.jetbrains","name":"sbt-idea-shell","revision":"2018.3","configurations":"compile","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbt-idea-shell","type":"jar","extension":"jar","configurations":[],"url":"file:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/jars/sbt-idea-shell.jar","extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/jars/sbt-idea-shell.jar"],[{"name":"sbt-idea-shell","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"file:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/srcs/sbt-idea-shell-sources.jar","extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/srcs/sbt-idea-shell-sources.jar"],[{"name":"sbt-idea-shell","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"file:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/docs/sbt-idea-shell-javadoc.jar","extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/docs/sbt-idea-shell-javadoc.jar"]],"missingArtifacts":[],"publicationDate":"2018-12-05T21:00:00+05:00","evicted":false,"extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"configurations":[{"name":"plugin"},{"name":"pom"},{"name":"test"},{"name":"provided"},{"name":"compile-internal"},{"name":"scripted-sbt"},{"name":"optional"},{"name":"compile"},{"name":"test-internal"},{"name":"scala-tool"},{"name":"scripted-sbt-launch"},{"name":"runtime"},{"name":"runtime-internal"}],"licenses":[["Apache-2.0","http://www.apache.org/licenses/LICENSE-2.0.html"]],"callers":[]},{"module":{"organization":"org.jetbrains.scala","name":"sbt-idea-compiler-indices","revision":"1.0.8","configurations":"compile","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbt-idea-compiler-indices","type":"jar","extension":"jar","configurations":[],"url":"file:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains.scala/sbt-idea-compiler-indices/scala_2.12/sbt_1.0/1.0.8/jars/sbt-idea-compiler-indices.jar","extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains.scala/sbt-idea-compiler-indices/scala_2.12/sbt_1.0/1.0.8/jars/sbt-idea-compiler-indices.jar"]],"missingArtifacts":[],"publicationDate":"2020-12-12T22:47:25+05:00","evicted":false,"extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"configurations":[{"name":"plugin"},{"name":"pom"},{"name":"test"},{"name":"provided"},{"name":"compile-internal"},{"name":"scripted-sbt"},{"name":"docs"},{"name":"optional"},{"name":"compile"},{"name":"test-internal"},{"name":"scala-tool"},{"name":"scripted-sbt-launch"},{"name":"sources"},{"name":"runtime"},{"name":"runtime-internal"}],"licenses":[],"callers":[]},{"module":{"organization":"org.jetbrains.scala","name":"scala-compiler-indices-protocol_2.12","revision":"1.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler-indices-protocol_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/jetbrains/scala/scala-compiler-indices-protocol_2.12/1.0.8/scala-compiler-indices-protocol_2.12-1.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/jetbrains/scala/scala-compiler-indices-protocol_2.12/1.0.8/scala-compiler-indices-protocol_2.12-1.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/JetBrains/intellij-scala-indices-protocol","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","http://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.12","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.12/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.sbt/boot/scala-2.12.12/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.12/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"io.spray","name":"spray-json_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"spray-json_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/io/spray/spray-json_2.12/1.3.5/spray-json_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/io/spray/spray-json_2.12/1.3.5/spray-json_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/spray/spray-json","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache 2","http://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]}],"details":[]},{"configuration":{"name":"compile-internal"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.12","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.12/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.sbt/boot/scala-2.12.12/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.12/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.jetbrains","name":"sbt-structure-extractor","revision":"2020.3","configurations":"compile","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbt-structure-extractor","type":"jar","extension":"jar","configurations":[],"url":"file:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-structure-extractor/scala_2.12/sbt_1.0/2020.3/jars/sbt-structure-extractor.jar","extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-structure-extractor/scala_2.12/sbt_1.0/2020.3/jars/sbt-structure-extractor.jar"]],"missingArtifacts":[],"publicationDate":"2020-12-24T17:16:09+05:00","evicted":false,"extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"configurations":[{"name":"pom"},{"name":"test"},{"name":"provided"},{"name":"docs"},{"name":"optional"},{"name":"compile"},{"name":"sources"},{"name":"runtime"}],"licenses":[["Apache-2.0","http://www.apache.org/licenses/LICENSE-2.0.html"]],"callers":[]},{"module":{"organization":"org.jetbrains","name":"sbt-idea-shell","revision":"2018.3","configurations":"compile","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbt-idea-shell","type":"jar","extension":"jar","configurations":[],"url":"file:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/jars/sbt-idea-shell.jar","extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/jars/sbt-idea-shell.jar"],[{"name":"sbt-idea-shell","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"file:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/srcs/sbt-idea-shell-sources.jar","extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/srcs/sbt-idea-shell-sources.jar"],[{"name":"sbt-idea-shell","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"file:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/docs/sbt-idea-shell-javadoc.jar","extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/docs/sbt-idea-shell-javadoc.jar"]],"missingArtifacts":[],"publicationDate":"2018-12-05T21:00:00+05:00","evicted":false,"extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"configurations":[{"name":"plugin"},{"name":"pom"},{"name":"test"},{"name":"provided"},{"name":"compile-internal"},{"name":"scripted-sbt"},{"name":"optional"},{"name":"compile"},{"name":"test-internal"},{"name":"scala-tool"},{"name":"scripted-sbt-launch"},{"name":"runtime"},{"name":"runtime-internal"}],"licenses":[["Apache-2.0","http://www.apache.org/licenses/LICENSE-2.0.html"]],"callers":[]},{"module":{"organization":"org.jetbrains.scala","name":"sbt-idea-compiler-indices","revision":"1.0.8","configurations":"compile","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbt-idea-compiler-indices","type":"jar","extension":"jar","configurations":[],"url":"file:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains.scala/sbt-idea-compiler-indices/scala_2.12/sbt_1.0/1.0.8/jars/sbt-idea-compiler-indices.jar","extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains.scala/sbt-idea-compiler-indices/scala_2.12/sbt_1.0/1.0.8/jars/sbt-idea-compiler-indices.jar"]],"missingArtifacts":[],"publicationDate":"2020-12-12T22:47:25+05:00","evicted":false,"extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"configurations":[{"name":"plugin"},{"name":"pom"},{"name":"test"},{"name":"provided"},{"name":"compile-internal"},{"name":"scripted-sbt"},{"name":"docs"},{"name":"optional"},{"name":"compile"},{"name":"test-internal"},{"name":"scala-tool"},{"name":"scripted-sbt-launch"},{"name":"sources"},{"name":"runtime"},{"name":"runtime-internal"}],"licenses":[],"callers":[]},{"module":{"organization":"org.jetbrains.scala","name":"scala-compiler-indices-protocol_2.12","revision":"1.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler-indices-protocol_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/jetbrains/scala/scala-compiler-indices-protocol_2.12/1.0.8/scala-compiler-indices-protocol_2.12-1.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/jetbrains/scala/scala-compiler-indices-protocol_2.12/1.0.8/scala-compiler-indices-protocol_2.12-1.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/JetBrains/intellij-scala-indices-protocol","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","http://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"io.spray","name":"spray-json_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"spray-json_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/io/spray/spray-json_2.12/1.3.5/spray-json_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/io/spray/spray-json_2.12/1.3.5/spray-json_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/spray/spray-json","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache 2","http://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]}],"details":[]},{"configuration":{"name":"docs"},"modules":[],"details":[]},{"configuration":{"name":"optional"},"modules":[],"details":[]},{"configuration":{"name":"plugin"},"modules":[],"details":[]},{"configuration":{"name":"pom"},"modules":[],"details":[]},{"configuration":{"name":"provided"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.12","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.12/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.sbt/boot/scala-2.12.12/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.12/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]}],"details":[]},{"configuration":{"name":"runtime"},"modules":[{"module":{"organization":"org.jetbrains","name":"sbt-structure-extractor","revision":"2020.3","configurations":"compile","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbt-structure-extractor","type":"jar","extension":"jar","configurations":[],"url":"file:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-structure-extractor/scala_2.12/sbt_1.0/2020.3/jars/sbt-structure-extractor.jar","extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-structure-extractor/scala_2.12/sbt_1.0/2020.3/jars/sbt-structure-extractor.jar"]],"missingArtifacts":[],"publicationDate":"2020-12-24T17:16:09+05:00","evicted":false,"extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"configurations":[{"name":"pom"},{"name":"test"},{"name":"provided"},{"name":"docs"},{"name":"optional"},{"name":"compile"},{"name":"sources"},{"name":"runtime"}],"licenses":[["Apache-2.0","http://www.apache.org/licenses/LICENSE-2.0.html"]],"callers":[]},{"module":{"organization":"org.jetbrains","name":"sbt-idea-shell","revision":"2018.3","configurations":"compile","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbt-idea-shell","type":"jar","extension":"jar","configurations":[],"url":"file:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/jars/sbt-idea-shell.jar","extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/jars/sbt-idea-shell.jar"],[{"name":"sbt-idea-shell","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"file:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/srcs/sbt-idea-shell-sources.jar","extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/srcs/sbt-idea-shell-sources.jar"],[{"name":"sbt-idea-shell","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"file:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/docs/sbt-idea-shell-javadoc.jar","extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/docs/sbt-idea-shell-javadoc.jar"]],"missingArtifacts":[],"publicationDate":"2018-12-05T21:00:00+05:00","evicted":false,"extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"configurations":[{"name":"plugin"},{"name":"pom"},{"name":"test"},{"name":"provided"},{"name":"compile-internal"},{"name":"scripted-sbt"},{"name":"optional"},{"name":"compile"},{"name":"test-internal"},{"name":"scala-tool"},{"name":"scripted-sbt-launch"},{"name":"runtime"},{"name":"runtime-internal"}],"licenses":[["Apache-2.0","http://www.apache.org/licenses/LICENSE-2.0.html"]],"callers":[]},{"module":{"organization":"org.jetbrains.scala","name":"sbt-idea-compiler-indices","revision":"1.0.8","configurations":"compile","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbt-idea-compiler-indices","type":"jar","extension":"jar","configurations":[],"url":"file:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains.scala/sbt-idea-compiler-indices/scala_2.12/sbt_1.0/1.0.8/jars/sbt-idea-compiler-indices.jar","extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains.scala/sbt-idea-compiler-indices/scala_2.12/sbt_1.0/1.0.8/jars/sbt-idea-compiler-indices.jar"]],"missingArtifacts":[],"publicationDate":"2020-12-12T22:47:25+05:00","evicted":false,"extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"configurations":[{"name":"plugin"},{"name":"pom"},{"name":"test"},{"name":"provided"},{"name":"compile-internal"},{"name":"scripted-sbt"},{"name":"docs"},{"name":"optional"},{"name":"compile"},{"name":"test-internal"},{"name":"scala-tool"},{"name":"scripted-sbt-launch"},{"name":"sources"},{"name":"runtime"},{"name":"runtime-internal"}],"licenses":[],"callers":[]},{"module":{"organization":"org.jetbrains.scala","name":"scala-compiler-indices-protocol_2.12","revision":"1.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler-indices-protocol_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/jetbrains/scala/scala-compiler-indices-protocol_2.12/1.0.8/scala-compiler-indices-protocol_2.12-1.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/jetbrains/scala/scala-compiler-indices-protocol_2.12/1.0.8/scala-compiler-indices-protocol_2.12-1.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/JetBrains/intellij-scala-indices-protocol","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","http://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.12","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.12/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.sbt/boot/scala-2.12.12/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.12/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"io.spray","name":"spray-json_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"spray-json_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/io/spray/spray-json_2.12/1.3.5/spray-json_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/io/spray/spray-json_2.12/1.3.5/spray-json_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/spray/spray-json","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache 2","http://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]}],"details":[]},{"configuration":{"name":"runtime-internal"},"modules":[{"module":{"organization":"org.jetbrains","name":"sbt-structure-extractor","revision":"2020.3","configurations":"compile","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbt-structure-extractor","type":"jar","extension":"jar","configurations":[],"url":"file:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-structure-extractor/scala_2.12/sbt_1.0/2020.3/jars/sbt-structure-extractor.jar","extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-structure-extractor/scala_2.12/sbt_1.0/2020.3/jars/sbt-structure-extractor.jar"]],"missingArtifacts":[],"publicationDate":"2020-12-24T17:16:09+05:00","evicted":false,"extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"configurations":[{"name":"pom"},{"name":"test"},{"name":"provided"},{"name":"docs"},{"name":"optional"},{"name":"compile"},{"name":"sources"},{"name":"runtime"}],"licenses":[["Apache-2.0","http://www.apache.org/licenses/LICENSE-2.0.html"]],"callers":[]},{"module":{"organization":"org.jetbrains","name":"sbt-idea-shell","revision":"2018.3","configurations":"compile","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbt-idea-shell","type":"jar","extension":"jar","configurations":[],"url":"file:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/jars/sbt-idea-shell.jar","extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/jars/sbt-idea-shell.jar"],[{"name":"sbt-idea-shell","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"file:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/srcs/sbt-idea-shell-sources.jar","extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/srcs/sbt-idea-shell-sources.jar"],[{"name":"sbt-idea-shell","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"file:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/docs/sbt-idea-shell-javadoc.jar","extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/docs/sbt-idea-shell-javadoc.jar"]],"missingArtifacts":[],"publicationDate":"2018-12-05T21:00:00+05:00","evicted":false,"extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"configurations":[{"name":"plugin"},{"name":"pom"},{"name":"test"},{"name":"provided"},{"name":"compile-internal"},{"name":"scripted-sbt"},{"name":"optional"},{"name":"compile"},{"name":"test-internal"},{"name":"scala-tool"},{"name":"scripted-sbt-launch"},{"name":"runtime"},{"name":"runtime-internal"}],"licenses":[["Apache-2.0","http://www.apache.org/licenses/LICENSE-2.0.html"]],"callers":[]},{"module":{"organization":"org.jetbrains.scala","name":"sbt-idea-compiler-indices","revision":"1.0.8","configurations":"compile","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbt-idea-compiler-indices","type":"jar","extension":"jar","configurations":[],"url":"file:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains.scala/sbt-idea-compiler-indices/scala_2.12/sbt_1.0/1.0.8/jars/sbt-idea-compiler-indices.jar","extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains.scala/sbt-idea-compiler-indices/scala_2.12/sbt_1.0/1.0.8/jars/sbt-idea-compiler-indices.jar"]],"missingArtifacts":[],"publicationDate":"2020-12-12T22:47:25+05:00","evicted":false,"extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"configurations":[{"name":"plugin"},{"name":"pom"},{"name":"test"},{"name":"provided"},{"name":"compile-internal"},{"name":"scripted-sbt"},{"name":"docs"},{"name":"optional"},{"name":"compile"},{"name":"test-internal"},{"name":"scala-tool"},{"name":"scripted-sbt-launch"},{"name":"sources"},{"name":"runtime"},{"name":"runtime-internal"}],"licenses":[],"callers":[]},{"module":{"organization":"org.jetbrains.scala","name":"scala-compiler-indices-protocol_2.12","revision":"1.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler-indices-protocol_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/jetbrains/scala/scala-compiler-indices-protocol_2.12/1.0.8/scala-compiler-indices-protocol_2.12-1.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/jetbrains/scala/scala-compiler-indices-protocol_2.12/1.0.8/scala-compiler-indices-protocol_2.12-1.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/JetBrains/intellij-scala-indices-protocol","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","http://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.12","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.12/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.sbt/boot/scala-2.12.12/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.12/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"io.spray","name":"spray-json_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"spray-json_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/io/spray/spray-json_2.12/1.3.5/spray-json_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/io/spray/spray-json_2.12/1.3.5/spray-json_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/spray/spray-json","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache 2","http://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]}],"details":[]},{"configuration":{"name":"scala-tool"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.12","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.sbt/boot/scala-2.12.12/lib/scala-compiler.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.12","configurations":"optional","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.sbt/boot/scala-2.12.12/lib/scala-compiler.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.12","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.12/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.sbt/boot/scala-2.12.12/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.12/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.12","configurations":"optional","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.12/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.sbt/boot/scala-2.12.12/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.12/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.12","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.12/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.sbt/boot/scala-2.12.12/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.12/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.0.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-lang","name":"*","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.0.6/scala-xml_2.12-1.0.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.0.6/scala-xml_2.12-1.0.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["BSD 3-clause","http://opensource.org/licenses/BSD-3-Clause"]],"callers":[]},{"module":{"organization":"jline","name":"jline","revision":"2.14.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["The BSD License","http://www.opensource.org/licenses/bsd-license.php"]],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.12","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.12/jansi-1.12.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.12/jansi-1.12.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"sources"},"modules":[],"details":[]},{"configuration":{"name":"test"},"modules":[{"module":{"organization":"org.jetbrains","name":"sbt-structure-extractor","revision":"2020.3","configurations":"compile","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbt-structure-extractor","type":"jar","extension":"jar","configurations":[],"url":"file:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-structure-extractor/scala_2.12/sbt_1.0/2020.3/jars/sbt-structure-extractor.jar","extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-structure-extractor/scala_2.12/sbt_1.0/2020.3/jars/sbt-structure-extractor.jar"]],"missingArtifacts":[],"publicationDate":"2020-12-24T17:16:09+05:00","evicted":false,"extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"configurations":[{"name":"pom"},{"name":"test"},{"name":"provided"},{"name":"docs"},{"name":"optional"},{"name":"compile"},{"name":"sources"},{"name":"runtime"}],"licenses":[["Apache-2.0","http://www.apache.org/licenses/LICENSE-2.0.html"]],"callers":[]},{"module":{"organization":"org.jetbrains","name":"sbt-idea-shell","revision":"2018.3","configurations":"compile","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbt-idea-shell","type":"jar","extension":"jar","configurations":[],"url":"file:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/jars/sbt-idea-shell.jar","extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/jars/sbt-idea-shell.jar"],[{"name":"sbt-idea-shell","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"file:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/srcs/sbt-idea-shell-sources.jar","extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/srcs/sbt-idea-shell-sources.jar"],[{"name":"sbt-idea-shell","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"file:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/docs/sbt-idea-shell-javadoc.jar","extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/docs/sbt-idea-shell-javadoc.jar"]],"missingArtifacts":[],"publicationDate":"2018-12-05T21:00:00+05:00","evicted":false,"extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"configurations":[{"name":"plugin"},{"name":"pom"},{"name":"test"},{"name":"provided"},{"name":"compile-internal"},{"name":"scripted-sbt"},{"name":"optional"},{"name":"compile"},{"name":"test-internal"},{"name":"scala-tool"},{"name":"scripted-sbt-launch"},{"name":"runtime"},{"name":"runtime-internal"}],"licenses":[["Apache-2.0","http://www.apache.org/licenses/LICENSE-2.0.html"]],"callers":[]},{"module":{"organization":"org.jetbrains.scala","name":"sbt-idea-compiler-indices","revision":"1.0.8","configurations":"compile","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbt-idea-compiler-indices","type":"jar","extension":"jar","configurations":[],"url":"file:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains.scala/sbt-idea-compiler-indices/scala_2.12/sbt_1.0/1.0.8/jars/sbt-idea-compiler-indices.jar","extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains.scala/sbt-idea-compiler-indices/scala_2.12/sbt_1.0/1.0.8/jars/sbt-idea-compiler-indices.jar"]],"missingArtifacts":[],"publicationDate":"2020-12-12T22:47:25+05:00","evicted":false,"extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"configurations":[{"name":"plugin"},{"name":"pom"},{"name":"test"},{"name":"provided"},{"name":"compile-internal"},{"name":"scripted-sbt"},{"name":"docs"},{"name":"optional"},{"name":"compile"},{"name":"test-internal"},{"name":"scala-tool"},{"name":"scripted-sbt-launch"},{"name":"sources"},{"name":"runtime"},{"name":"runtime-internal"}],"licenses":[],"callers":[]},{"module":{"organization":"org.jetbrains.scala","name":"scala-compiler-indices-protocol_2.12","revision":"1.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler-indices-protocol_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/jetbrains/scala/scala-compiler-indices-protocol_2.12/1.0.8/scala-compiler-indices-protocol_2.12-1.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/jetbrains/scala/scala-compiler-indices-protocol_2.12/1.0.8/scala-compiler-indices-protocol_2.12-1.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/JetBrains/intellij-scala-indices-protocol","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","http://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.12","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.12/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.sbt/boot/scala-2.12.12/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.12/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"io.spray","name":"spray-json_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"spray-json_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/io/spray/spray-json_2.12/1.3.5/spray-json_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/io/spray/spray-json_2.12/1.3.5/spray-json_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/spray/spray-json","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache 2","http://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]}],"details":[]},{"configuration":{"name":"test-internal"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.12","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.12/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.sbt/boot/scala-2.12.12/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.12/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.jetbrains","name":"sbt-structure-extractor","revision":"2020.3","configurations":"compile","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbt-structure-extractor","type":"jar","extension":"jar","configurations":[],"url":"file:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-structure-extractor/scala_2.12/sbt_1.0/2020.3/jars/sbt-structure-extractor.jar","extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-structure-extractor/scala_2.12/sbt_1.0/2020.3/jars/sbt-structure-extractor.jar"]],"missingArtifacts":[],"publicationDate":"2020-12-24T17:16:09+05:00","evicted":false,"extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"configurations":[{"name":"pom"},{"name":"test"},{"name":"provided"},{"name":"docs"},{"name":"optional"},{"name":"compile"},{"name":"sources"},{"name":"runtime"}],"licenses":[["Apache-2.0","http://www.apache.org/licenses/LICENSE-2.0.html"]],"callers":[]},{"module":{"organization":"org.jetbrains","name":"sbt-idea-shell","revision":"2018.3","configurations":"compile","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbt-idea-shell","type":"jar","extension":"jar","configurations":[],"url":"file:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/jars/sbt-idea-shell.jar","extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/jars/sbt-idea-shell.jar"],[{"name":"sbt-idea-shell","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"file:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/srcs/sbt-idea-shell-sources.jar","extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/srcs/sbt-idea-shell-sources.jar"],[{"name":"sbt-idea-shell","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"file:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/docs/sbt-idea-shell-javadoc.jar","extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/docs/sbt-idea-shell-javadoc.jar"]],"missingArtifacts":[],"publicationDate":"2018-12-05T21:00:00+05:00","evicted":false,"extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"configurations":[{"name":"plugin"},{"name":"pom"},{"name":"test"},{"name":"provided"},{"name":"compile-internal"},{"name":"scripted-sbt"},{"name":"optional"},{"name":"compile"},{"name":"test-internal"},{"name":"scala-tool"},{"name":"scripted-sbt-launch"},{"name":"runtime"},{"name":"runtime-internal"}],"licenses":[["Apache-2.0","http://www.apache.org/licenses/LICENSE-2.0.html"]],"callers":[]},{"module":{"organization":"org.jetbrains.scala","name":"sbt-idea-compiler-indices","revision":"1.0.8","configurations":"compile","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbt-idea-compiler-indices","type":"jar","extension":"jar","configurations":[],"url":"file:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains.scala/sbt-idea-compiler-indices/scala_2.12/sbt_1.0/1.0.8/jars/sbt-idea-compiler-indices.jar","extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains.scala/sbt-idea-compiler-indices/scala_2.12/sbt_1.0/1.0.8/jars/sbt-idea-compiler-indices.jar"]],"missingArtifacts":[],"publicationDate":"2020-12-12T22:47:25+05:00","evicted":false,"extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"configurations":[{"name":"plugin"},{"name":"pom"},{"name":"test"},{"name":"provided"},{"name":"compile-internal"},{"name":"scripted-sbt"},{"name":"docs"},{"name":"optional"},{"name":"compile"},{"name":"test-internal"},{"name":"scala-tool"},{"name":"scripted-sbt-launch"},{"name":"sources"},{"name":"runtime"},{"name":"runtime-internal"}],"licenses":[],"callers":[]},{"module":{"organization":"org.jetbrains.scala","name":"scala-compiler-indices-protocol_2.12","revision":"1.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler-indices-protocol_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/jetbrains/scala/scala-compiler-indices-protocol_2.12/1.0.8/scala-compiler-indices-protocol_2.12-1.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/jetbrains/scala/scala-compiler-indices-protocol_2.12/1.0.8/scala-compiler-indices-protocol_2.12-1.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/JetBrains/intellij-scala-indices-protocol","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","http://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"io.spray","name":"spray-json_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"spray-json_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/io/spray/spray-json_2.12/1.3.5/spray-json_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/io/spray/spray-json_2.12/1.3.5/spray-json_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/spray/spray-json","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache 2","http://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]}],"details":[]}],"stats":{"resolveTime":-1,"downloadTime":-1,"downloadSize":-1,"cached":false},"stamps":{}} \ No newline at end of file +{"cachedDescriptor":".","configurations":[{"configuration":{"name":"plugin"},"modules":[],"details":[]},{"configuration":{"name":"pom"},"modules":[],"details":[]},{"configuration":{"name":"test"},"modules":[],"details":[]},{"configuration":{"name":"provided"},"modules":[{"module":{"organization":"org.scala-sbt","name":"sbt","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbt","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.10/sbt-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.10/sbt-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"main_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"main_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.10/main_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.10/main_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"io_2.12","revision":"1.3.4","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"io_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.3.4/io_2.12-1.3.4.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.3.4/io_2.12-1.3.4.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/io","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"logic_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"logic_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.3.10/logic_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.3.10/logic_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"actions_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"actions_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.3.10/actions_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.3.10/actions_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"main-settings_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"main-settings_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/1.3.10/main-settings_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/1.3.10/main-settings_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"run_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"run_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.3.10/run_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.3.10/run_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"command_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"command_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.3.10/command_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.3.10/command_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"collections_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"collections_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.3.10/collections_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.3.10/collections_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"scripted-sbt-redux_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scripted-sbt-redux_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/scripted-sbt-redux_2.12/1.3.10/scripted-sbt-redux_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/scripted-sbt-redux_2.12/1.3.10/scripted-sbt-redux_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scripted-plugin_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.12/1.3.10/scripted-plugin_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.12/1.3.10/scripted-plugin_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-lm-integration_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-lm-integration_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration_2.12/1.3.10/zinc-lm-integration_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration_2.12/1.3.10/zinc-lm-integration_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"launcher-interface","revision":"1.1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"launcher-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/launcher-interface/1.1.3/launcher-interface-1.1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/launcher-interface/1.1.3/launcher-interface-1.1.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.logging.log4j","name":"log4j-api","revision":"2.11.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"log4j-api","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-api/2.11.2/log4j-api-2.11.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-api/2.11.2/log4j-api-2.11.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.logging.log4j","name":"log4j-core","revision":"2.11.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"log4j-core","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-core/2.11.2/log4j-core-2.11.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-core/2.11.2/log4j-core-2.11.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.logging.log4j","name":"log4j-slf4j-impl","revision":"2.11.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"log4j-slf4j-impl","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-slf4j-impl/2.11.2/log4j-slf4j-impl-2.11.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-slf4j-impl/2.11.2/log4j-slf4j-impl-2.11.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.cb372","name":"scalacache-caffeine_2.12","revision":"0.20.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacache-caffeine_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/cb372/scalacache-caffeine_2.12/0.20.0/scalacache-caffeine_2.12-0.20.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/cb372/scalacache-caffeine_2.12/0.20.0/scalacache-caffeine_2.12-0.20.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/cb372/scalacache","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"io.get-coursier","name":"lm-coursier-shaded_2.12","revision":"2.0.0-RC6-2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"lm-coursier-shaded_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shaded_2.12/2.0.0-RC6-2/lm-coursier-shaded_2.12-2.0.0-RC6-2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/lm-coursier-shaded_2.12/2.0.0-RC6-2/lm-coursier-shaded_2.12-2.0.0-RC6-2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/coursier/sbt-coursier","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-logging_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-logging_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1.3.3/util-logging_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1.3.3/util-logging_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","revision":"1.3.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"librarymanagement-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-core_2.12/1.3.2/librarymanagement-core_2.12-1.3.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/librarymanagement-core_2.12/1.3.2/librarymanagement-core_2.12-1.3.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/librarymanagement","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","revision":"1.3.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"librarymanagement-ivy_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-ivy_2.12/1.3.2/librarymanagement-ivy_2.12-1.3.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/librarymanagement-ivy_2.12/1.3.2/librarymanagement-ivy_2.12-1.3.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/librarymanagement","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"compiler-interface","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"compiler-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/compiler-interface/1.3.5/compiler-interface-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/compiler-interface/1.3.5/compiler-interface-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-compile_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-compile_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile_2.12/1.3.5/zinc-compile_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-compile_2.12/1.3.5/zinc-compile_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.swoval","name":"file-tree-views","revision":"2.1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"file-tree-views","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/swoval/file-tree-views/2.1.3/file-tree-views-2.1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/swoval/file-tree-views/2.1.3/file-tree-views-2.1.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/swoval/swoval","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.java.dev.jna","name":"jna","revision":"5.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jna","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/net/java/dev/jna/jna/5.5.0/jna-5.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/java/dev/jna/jna/5.5.0/jna-5.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/java-native-access/jna","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.java.dev.jna","name":"jna-platform","revision":"5.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jna-platform","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/net/java/dev/jna/jna-platform/5.5.0/jna-platform-5.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/java/dev/jna/jna-platform/5.5.0/jna-platform-5.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/java-native-access/jna","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-relation_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-relation_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-relation_2.12/1.3.3/util-relation_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-relation_2.12/1.3.3/util-relation_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"completion_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"completion_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.3.10/completion_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.3.10/completion_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"task-system_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"task-system_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.3.10/task-system_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.3.10/task-system_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"tasks_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"tasks_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.3.10/tasks_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.3.10/tasks_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"testing_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"testing_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.3.10/testing_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.3.10/testing_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"sjson-new-scalajson_2.12","revision":"0.8.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sjson-new-scalajson_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-scalajson_2.12/0.8.3/sjson-new-scalajson_2.12-0.8.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-scalajson_2.12/0.8.3/sjson-new-scalajson_2.12-0.8.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/sjson-new","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-tracking_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-tracking_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/1.3.3/util-tracking_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/1.3.3/util-tracking_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-classpath_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-classpath_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12/1.3.5/zinc-classpath_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12/1.3.5/zinc-classpath_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-apiinfo_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-apiinfo_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1.3.5/zinc-apiinfo_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1.3.5/zinc-apiinfo_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.3.5/zinc_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.3.5/zinc_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"core-macros_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"core-macros_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.3.10/core-macros_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.3.10/core-macros_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-cache_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-cache_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.3.3/util-cache_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.3.3/util-cache_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-control_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-control_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1.3.3/util-control_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1.3.3/util-control_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"protocol_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protocol_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.3.10/protocol_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.3.10/protocol_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"sjson-new-core_2.12","revision":"0.8.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sjson-new-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-core_2.12/0.8.3/sjson-new-core_2.12-0.8.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-core_2.12/0.8.3/sjson-new-core_2.12-0.8.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/sjson-new","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"template-resolver","revision":"0.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"template-resolver","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/template-resolver/0.1/template-resolver-0.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/template-resolver/0.1/template-resolver-0.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/template-resolver","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-position_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-position_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/1.3.3/util-position_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/1.3.3/util-position_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-scripted_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-scripted_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/1.3.3/util-scripted_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/1.3.3/util-scripted_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-compile-core_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-compile-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2.12/1.3.5/zinc-compile-core_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2.12/1.3.5/zinc-compile-core_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.slf4j","name":"slf4j-api","revision":"1.7.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"slf4j-api","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.26/slf4j-api-1.7.26.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.26/slf4j-api-1.7.26.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.slf4j.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.cb372","name":"scalacache-core_2.12","revision":"0.20.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacache-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/cb372/scalacache-core_2.12/0.20.0/scalacache-core_2.12-0.20.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/cb372/scalacache-core_2.12/0.20.0/scalacache-core_2.12-0.20.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/cb372/scalacache","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.ben-manes.caffeine","name":"caffeine","revision":"2.5.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"caffeine","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/ben-manes/caffeine/caffeine/2.5.6/caffeine-2.5.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/ben-manes/caffeine/caffeine/2.5.6/caffeine-2.5.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/ben-manes/caffeine","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-interface","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-interface/1.3.3/util-interface-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-interface/1.3.3/util-interface-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"jline","name":"jline","revision":"2.14.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lmax","name":"disruptor","revision":"3.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"disruptor","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lmax/disruptor/3.4.2/disruptor-3.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lmax/disruptor/3.4.2/disruptor-3.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://lmax-exchange.github.com/disruptor","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-compiler.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.jcraft","name":"jsch","revision":"0.1.54","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jsch","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/jcraft/jsch/0.1.54/jsch-0.1.54.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/jcraft/jsch/0.1.54/jsch-0.1.54.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.jcraft.com/jsch/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"gigahorse-okhttp_2.12","revision":"0.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"gigahorse-okhttp_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/gigahorse-okhttp_2.12/0.5.0/gigahorse-okhttp_2.12-0.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/gigahorse-okhttp_2.12/0.5.0/gigahorse-okhttp_2.12-0.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/gigahorse","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.squareup.okhttp3","name":"okhttp-urlconnection","revision":"3.7.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"okhttp-urlconnection","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/squareup/okhttp3/okhttp-urlconnection/3.7.0/okhttp-urlconnection-3.7.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okhttp3/okhttp-urlconnection/3.7.0/okhttp-urlconnection-3.7.0.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt.ivy","name":"ivy","revision":"2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"ivy","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5/ivy-2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5/ivy-2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/ivy","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.7.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.7.0/protobuf-java-3.7.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.7.0/protobuf-java-3.7.0.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-agent","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-agent","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-agent/1.3.10/test-agent-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-agent/1.3.10/test-agent-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"shaded-scalajson_2.12","revision":"1.0.0-M4","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"shaded-scalajson_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/shaded-scalajson_2.12/1.0.0-M4/shaded-scalajson_2.12-1.0.0-M4.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/shaded-scalajson_2.12/1.0.0-M4/shaded-scalajson_2.12-1.0.0-M4.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/mdedetrich/scalajson","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.spire-math","name":"jawn-parser_2.12","revision":"0.10.4","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jawn-parser_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/spire-math/jawn-parser_2.12/0.10.4/jawn-parser_2.12-0.10.4.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/spire-math/jawn-parser_2.12/0.10.4/jawn-parser_2.12-0.10.4.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://github.com/non/jawn","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"compiler-bridge_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"compiler-bridge_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.12/1.3.5/compiler-bridge_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.12/1.3.5/compiler-bridge_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-classfile_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-classfile_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12/1.3.5/zinc-classfile_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12/1.3.5/zinc-classfile_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-core_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.5/zinc-core_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.5/zinc-core_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-persist_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-persist_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1.3.5/zinc-persist_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1.3.5/zinc-persist_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"sjson-new-murmurhash_2.12","revision":"0.8.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sjson-new-murmurhash_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-murmurhash_2.12/0.8.3/sjson-new-murmurhash_2.12-0.8.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-murmurhash_2.12/0.8.3/sjson-new-murmurhash_2.12-0.8.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/sjson-new","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt.ipcsocket","name":"ipcsocket","revision":"1.0.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"ipcsocket","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/ipcsocket/ipcsocket/1.0.1/ipcsocket-1.0.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/ipcsocket/ipcsocket/1.0.1/ipcsocket-1.0.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/ipcsocket","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-parser-combinators_2.12","revision":"1.1.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-parser-combinators_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-parser-combinators_2.12/1.1.2/scala-parser-combinators_2.12-1.1.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-parser-combinators_2.12/1.1.2/scala-parser-combinators_2.12-1.1.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"gigahorse-core_2.12","revision":"0.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"gigahorse-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/gigahorse-core_2.12/0.5.0/gigahorse-core_2.12-0.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/gigahorse-core_2.12/0.5.0/gigahorse-core_2.12-0.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/gigahorse","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.squareup.okhttp3","name":"okhttp","revision":"3.14.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"okhttp","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/squareup/okhttp3/okhttp/3.14.2/okhttp-3.14.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okhttp3/okhttp/3.14.2/okhttp-3.14.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.trueaccord.scalapb","name":"scalapb-runtime_2.12","revision":"0.6.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalapb-runtime_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/trueaccord/scalapb/scalapb-runtime_2.12/0.6.0/scalapb-runtime_2.12-0.6.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/trueaccord/scalapb/scalapb-runtime_2.12/0.6.0/scalapb-runtime_2.12-0.6.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scalapb/ScalaPB","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"sbinary_2.12","revision":"0.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbinary_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.5.0/sbinary_2.12-0.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.5.0/sbinary_2.12-0.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbinary","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.typesafe","name":"ssl-config-core_2.12","revision":"0.4.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"ssl-config-core_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/typesafe/ssl-config-core_2.12/0.4.0/ssl-config-core_2.12-0.4.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/typesafe/ssl-config-core_2.12/0.4.0/ssl-config-core_2.12-0.4.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lightbend/ssl-config","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.reactivestreams","name":"reactive-streams","revision":"1.0.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"reactive-streams","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/reactivestreams/reactive-streams/1.0.2/reactive-streams-1.0.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/reactivestreams/reactive-streams/1.0.2/reactive-streams-1.0.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.reactive-streams.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.squareup.okio","name":"okio","revision":"1.17.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"okio","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/squareup/okio/okio/1.17.2/okio-1.17.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okio/okio/1.17.2/okio-1.17.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.trueaccord.lenses","name":"lenses_2.12","revision":"0.4.12","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"lenses_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/trueaccord/lenses/lenses_2.12/0.4.12/lenses_2.12-0.4.12.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/trueaccord/lenses/lenses_2.12/0.4.12/lenses_2.12-0.4.12.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/trueaccord/lenses","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"fastparse_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"fastparse_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/fastparse_2.12/0.4.2/fastparse_2.12-0.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/fastparse_2.12/0.4.2/fastparse_2.12-0.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/scala-parser","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.typesafe","name":"config","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"config","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/typesafe/config/1.3.3/config-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/typesafe/config/1.3.3/config-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lightbend/config","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"fastparse-utils_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"fastparse-utils_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/fastparse-utils_2.12/0.4.2/fastparse-utils_2.12-0.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/fastparse-utils_2.12/0.4.2/fastparse-utils_2.12-0.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/scala-parser","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"sourcecode_2.12","revision":"0.1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sourcecode_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.12/0.1.3/sourcecode_2.12-0.1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.12/0.1.3/sourcecode_2.12-0.1.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/sourcecode","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"compile-internal"},"modules":[{"module":{"organization":"org.scala-sbt","name":"sbt","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbt","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.10/sbt-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.10/sbt-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"main_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"main_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.10/main_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.10/main_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"io_2.12","revision":"1.3.4","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"io_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.3.4/io_2.12-1.3.4.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.3.4/io_2.12-1.3.4.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/io","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"logic_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"logic_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.3.10/logic_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.3.10/logic_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"actions_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"actions_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.3.10/actions_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.3.10/actions_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"main-settings_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"main-settings_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/1.3.10/main-settings_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/1.3.10/main-settings_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"run_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"run_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.3.10/run_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.3.10/run_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"command_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"command_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.3.10/command_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.3.10/command_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"collections_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"collections_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.3.10/collections_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.3.10/collections_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"scripted-sbt-redux_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scripted-sbt-redux_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/scripted-sbt-redux_2.12/1.3.10/scripted-sbt-redux_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/scripted-sbt-redux_2.12/1.3.10/scripted-sbt-redux_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scripted-plugin_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.12/1.3.10/scripted-plugin_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.12/1.3.10/scripted-plugin_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-lm-integration_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-lm-integration_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration_2.12/1.3.10/zinc-lm-integration_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration_2.12/1.3.10/zinc-lm-integration_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"launcher-interface","revision":"1.1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"launcher-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/launcher-interface/1.1.3/launcher-interface-1.1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/launcher-interface/1.1.3/launcher-interface-1.1.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.logging.log4j","name":"log4j-api","revision":"2.11.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"log4j-api","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-api/2.11.2/log4j-api-2.11.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-api/2.11.2/log4j-api-2.11.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.logging.log4j","name":"log4j-core","revision":"2.11.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"log4j-core","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-core/2.11.2/log4j-core-2.11.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-core/2.11.2/log4j-core-2.11.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.logging.log4j","name":"log4j-slf4j-impl","revision":"2.11.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"log4j-slf4j-impl","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-slf4j-impl/2.11.2/log4j-slf4j-impl-2.11.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-slf4j-impl/2.11.2/log4j-slf4j-impl-2.11.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.cb372","name":"scalacache-caffeine_2.12","revision":"0.20.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacache-caffeine_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/cb372/scalacache-caffeine_2.12/0.20.0/scalacache-caffeine_2.12-0.20.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/cb372/scalacache-caffeine_2.12/0.20.0/scalacache-caffeine_2.12-0.20.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/cb372/scalacache","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"io.get-coursier","name":"lm-coursier-shaded_2.12","revision":"2.0.0-RC6-2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"lm-coursier-shaded_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shaded_2.12/2.0.0-RC6-2/lm-coursier-shaded_2.12-2.0.0-RC6-2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/lm-coursier-shaded_2.12/2.0.0-RC6-2/lm-coursier-shaded_2.12-2.0.0-RC6-2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/coursier/sbt-coursier","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-logging_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-logging_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1.3.3/util-logging_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1.3.3/util-logging_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","revision":"1.3.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"librarymanagement-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-core_2.12/1.3.2/librarymanagement-core_2.12-1.3.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/librarymanagement-core_2.12/1.3.2/librarymanagement-core_2.12-1.3.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/librarymanagement","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","revision":"1.3.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"librarymanagement-ivy_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-ivy_2.12/1.3.2/librarymanagement-ivy_2.12-1.3.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/librarymanagement-ivy_2.12/1.3.2/librarymanagement-ivy_2.12-1.3.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/librarymanagement","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"compiler-interface","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"compiler-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/compiler-interface/1.3.5/compiler-interface-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/compiler-interface/1.3.5/compiler-interface-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-compile_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-compile_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile_2.12/1.3.5/zinc-compile_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-compile_2.12/1.3.5/zinc-compile_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.swoval","name":"file-tree-views","revision":"2.1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"file-tree-views","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/swoval/file-tree-views/2.1.3/file-tree-views-2.1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/swoval/file-tree-views/2.1.3/file-tree-views-2.1.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/swoval/swoval","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.java.dev.jna","name":"jna","revision":"5.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jna","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/net/java/dev/jna/jna/5.5.0/jna-5.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/java/dev/jna/jna/5.5.0/jna-5.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/java-native-access/jna","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.java.dev.jna","name":"jna-platform","revision":"5.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jna-platform","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/net/java/dev/jna/jna-platform/5.5.0/jna-platform-5.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/java/dev/jna/jna-platform/5.5.0/jna-platform-5.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/java-native-access/jna","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-relation_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-relation_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-relation_2.12/1.3.3/util-relation_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-relation_2.12/1.3.3/util-relation_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"completion_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"completion_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.3.10/completion_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.3.10/completion_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"task-system_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"task-system_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.3.10/task-system_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.3.10/task-system_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"tasks_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"tasks_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.3.10/tasks_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.3.10/tasks_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"testing_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"testing_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.3.10/testing_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.3.10/testing_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"sjson-new-scalajson_2.12","revision":"0.8.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sjson-new-scalajson_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-scalajson_2.12/0.8.3/sjson-new-scalajson_2.12-0.8.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-scalajson_2.12/0.8.3/sjson-new-scalajson_2.12-0.8.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/sjson-new","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-tracking_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-tracking_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/1.3.3/util-tracking_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/1.3.3/util-tracking_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-classpath_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-classpath_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12/1.3.5/zinc-classpath_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12/1.3.5/zinc-classpath_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-apiinfo_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-apiinfo_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1.3.5/zinc-apiinfo_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1.3.5/zinc-apiinfo_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.3.5/zinc_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.3.5/zinc_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"core-macros_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"core-macros_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.3.10/core-macros_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.3.10/core-macros_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-cache_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-cache_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.3.3/util-cache_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.3.3/util-cache_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-control_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-control_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1.3.3/util-control_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1.3.3/util-control_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"protocol_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protocol_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.3.10/protocol_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.3.10/protocol_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"sjson-new-core_2.12","revision":"0.8.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sjson-new-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-core_2.12/0.8.3/sjson-new-core_2.12-0.8.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-core_2.12/0.8.3/sjson-new-core_2.12-0.8.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/sjson-new","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"template-resolver","revision":"0.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"template-resolver","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/template-resolver/0.1/template-resolver-0.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/template-resolver/0.1/template-resolver-0.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/template-resolver","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-position_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-position_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/1.3.3/util-position_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/1.3.3/util-position_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-scripted_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-scripted_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/1.3.3/util-scripted_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/1.3.3/util-scripted_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-compile-core_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-compile-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2.12/1.3.5/zinc-compile-core_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2.12/1.3.5/zinc-compile-core_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.slf4j","name":"slf4j-api","revision":"1.7.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"slf4j-api","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.26/slf4j-api-1.7.26.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.26/slf4j-api-1.7.26.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.slf4j.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.cb372","name":"scalacache-core_2.12","revision":"0.20.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacache-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/cb372/scalacache-core_2.12/0.20.0/scalacache-core_2.12-0.20.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/cb372/scalacache-core_2.12/0.20.0/scalacache-core_2.12-0.20.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/cb372/scalacache","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.ben-manes.caffeine","name":"caffeine","revision":"2.5.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"caffeine","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/ben-manes/caffeine/caffeine/2.5.6/caffeine-2.5.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/ben-manes/caffeine/caffeine/2.5.6/caffeine-2.5.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/ben-manes/caffeine","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-interface","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-interface/1.3.3/util-interface-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-interface/1.3.3/util-interface-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"jline","name":"jline","revision":"2.14.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lmax","name":"disruptor","revision":"3.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"disruptor","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lmax/disruptor/3.4.2/disruptor-3.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lmax/disruptor/3.4.2/disruptor-3.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://lmax-exchange.github.com/disruptor","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-compiler.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.jcraft","name":"jsch","revision":"0.1.54","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jsch","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/jcraft/jsch/0.1.54/jsch-0.1.54.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/jcraft/jsch/0.1.54/jsch-0.1.54.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.jcraft.com/jsch/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"gigahorse-okhttp_2.12","revision":"0.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"gigahorse-okhttp_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/gigahorse-okhttp_2.12/0.5.0/gigahorse-okhttp_2.12-0.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/gigahorse-okhttp_2.12/0.5.0/gigahorse-okhttp_2.12-0.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/gigahorse","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.squareup.okhttp3","name":"okhttp-urlconnection","revision":"3.7.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"okhttp-urlconnection","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/squareup/okhttp3/okhttp-urlconnection/3.7.0/okhttp-urlconnection-3.7.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okhttp3/okhttp-urlconnection/3.7.0/okhttp-urlconnection-3.7.0.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt.ivy","name":"ivy","revision":"2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"ivy","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5/ivy-2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5/ivy-2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/ivy","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.7.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.7.0/protobuf-java-3.7.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.7.0/protobuf-java-3.7.0.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-agent","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-agent","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-agent/1.3.10/test-agent-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-agent/1.3.10/test-agent-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"shaded-scalajson_2.12","revision":"1.0.0-M4","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"shaded-scalajson_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/shaded-scalajson_2.12/1.0.0-M4/shaded-scalajson_2.12-1.0.0-M4.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/shaded-scalajson_2.12/1.0.0-M4/shaded-scalajson_2.12-1.0.0-M4.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/mdedetrich/scalajson","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.spire-math","name":"jawn-parser_2.12","revision":"0.10.4","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jawn-parser_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/spire-math/jawn-parser_2.12/0.10.4/jawn-parser_2.12-0.10.4.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/spire-math/jawn-parser_2.12/0.10.4/jawn-parser_2.12-0.10.4.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://github.com/non/jawn","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"compiler-bridge_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"compiler-bridge_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.12/1.3.5/compiler-bridge_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.12/1.3.5/compiler-bridge_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-classfile_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-classfile_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12/1.3.5/zinc-classfile_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12/1.3.5/zinc-classfile_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-core_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.5/zinc-core_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.5/zinc-core_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-persist_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-persist_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1.3.5/zinc-persist_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1.3.5/zinc-persist_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"sjson-new-murmurhash_2.12","revision":"0.8.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sjson-new-murmurhash_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-murmurhash_2.12/0.8.3/sjson-new-murmurhash_2.12-0.8.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-murmurhash_2.12/0.8.3/sjson-new-murmurhash_2.12-0.8.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/sjson-new","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt.ipcsocket","name":"ipcsocket","revision":"1.0.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"ipcsocket","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/ipcsocket/ipcsocket/1.0.1/ipcsocket-1.0.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/ipcsocket/ipcsocket/1.0.1/ipcsocket-1.0.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/ipcsocket","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-parser-combinators_2.12","revision":"1.1.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-parser-combinators_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-parser-combinators_2.12/1.1.2/scala-parser-combinators_2.12-1.1.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-parser-combinators_2.12/1.1.2/scala-parser-combinators_2.12-1.1.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"gigahorse-core_2.12","revision":"0.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"gigahorse-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/gigahorse-core_2.12/0.5.0/gigahorse-core_2.12-0.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/gigahorse-core_2.12/0.5.0/gigahorse-core_2.12-0.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/gigahorse","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.squareup.okhttp3","name":"okhttp","revision":"3.14.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"okhttp","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/squareup/okhttp3/okhttp/3.14.2/okhttp-3.14.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okhttp3/okhttp/3.14.2/okhttp-3.14.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.trueaccord.scalapb","name":"scalapb-runtime_2.12","revision":"0.6.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalapb-runtime_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/trueaccord/scalapb/scalapb-runtime_2.12/0.6.0/scalapb-runtime_2.12-0.6.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/trueaccord/scalapb/scalapb-runtime_2.12/0.6.0/scalapb-runtime_2.12-0.6.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scalapb/ScalaPB","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"sbinary_2.12","revision":"0.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbinary_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.5.0/sbinary_2.12-0.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.5.0/sbinary_2.12-0.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbinary","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.typesafe","name":"ssl-config-core_2.12","revision":"0.4.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"ssl-config-core_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/typesafe/ssl-config-core_2.12/0.4.0/ssl-config-core_2.12-0.4.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/typesafe/ssl-config-core_2.12/0.4.0/ssl-config-core_2.12-0.4.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lightbend/ssl-config","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.reactivestreams","name":"reactive-streams","revision":"1.0.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"reactive-streams","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/reactivestreams/reactive-streams/1.0.2/reactive-streams-1.0.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/reactivestreams/reactive-streams/1.0.2/reactive-streams-1.0.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.reactive-streams.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.squareup.okio","name":"okio","revision":"1.17.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"okio","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/squareup/okio/okio/1.17.2/okio-1.17.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okio/okio/1.17.2/okio-1.17.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.trueaccord.lenses","name":"lenses_2.12","revision":"0.4.12","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"lenses_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/trueaccord/lenses/lenses_2.12/0.4.12/lenses_2.12-0.4.12.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/trueaccord/lenses/lenses_2.12/0.4.12/lenses_2.12-0.4.12.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/trueaccord/lenses","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"fastparse_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"fastparse_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/fastparse_2.12/0.4.2/fastparse_2.12-0.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/fastparse_2.12/0.4.2/fastparse_2.12-0.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/scala-parser","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.typesafe","name":"config","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"config","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/typesafe/config/1.3.3/config-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/typesafe/config/1.3.3/config-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lightbend/config","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"fastparse-utils_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"fastparse-utils_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/fastparse-utils_2.12/0.4.2/fastparse-utils_2.12-0.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/fastparse-utils_2.12/0.4.2/fastparse-utils_2.12-0.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/scala-parser","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"sourcecode_2.12","revision":"0.1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sourcecode_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.12/0.1.3/sourcecode_2.12-0.1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.12/0.1.3/sourcecode_2.12-0.1.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/sourcecode","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"docs"},"modules":[],"details":[]},{"configuration":{"name":"optional"},"modules":[],"details":[]},{"configuration":{"name":"compile"},"modules":[],"details":[]},{"configuration":{"name":"test-internal"},"modules":[{"module":{"organization":"org.scala-sbt","name":"sbt","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbt","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.10/sbt-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.10/sbt-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"main_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"main_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.10/main_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.10/main_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"io_2.12","revision":"1.3.4","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"io_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.3.4/io_2.12-1.3.4.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.3.4/io_2.12-1.3.4.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/io","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"logic_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"logic_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.3.10/logic_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.3.10/logic_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"actions_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"actions_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.3.10/actions_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.3.10/actions_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"main-settings_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"main-settings_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/1.3.10/main-settings_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/1.3.10/main-settings_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"run_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"run_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.3.10/run_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.3.10/run_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"command_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"command_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.3.10/command_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.3.10/command_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"collections_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"collections_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.3.10/collections_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.3.10/collections_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"scripted-sbt-redux_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scripted-sbt-redux_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/scripted-sbt-redux_2.12/1.3.10/scripted-sbt-redux_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/scripted-sbt-redux_2.12/1.3.10/scripted-sbt-redux_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scripted-plugin_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.12/1.3.10/scripted-plugin_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.12/1.3.10/scripted-plugin_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-lm-integration_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-lm-integration_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration_2.12/1.3.10/zinc-lm-integration_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration_2.12/1.3.10/zinc-lm-integration_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"launcher-interface","revision":"1.1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"launcher-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/launcher-interface/1.1.3/launcher-interface-1.1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/launcher-interface/1.1.3/launcher-interface-1.1.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.logging.log4j","name":"log4j-api","revision":"2.11.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"log4j-api","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-api/2.11.2/log4j-api-2.11.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-api/2.11.2/log4j-api-2.11.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.logging.log4j","name":"log4j-core","revision":"2.11.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"log4j-core","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-core/2.11.2/log4j-core-2.11.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-core/2.11.2/log4j-core-2.11.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.logging.log4j","name":"log4j-slf4j-impl","revision":"2.11.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"log4j-slf4j-impl","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-slf4j-impl/2.11.2/log4j-slf4j-impl-2.11.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-slf4j-impl/2.11.2/log4j-slf4j-impl-2.11.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.cb372","name":"scalacache-caffeine_2.12","revision":"0.20.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacache-caffeine_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/cb372/scalacache-caffeine_2.12/0.20.0/scalacache-caffeine_2.12-0.20.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/cb372/scalacache-caffeine_2.12/0.20.0/scalacache-caffeine_2.12-0.20.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/cb372/scalacache","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"io.get-coursier","name":"lm-coursier-shaded_2.12","revision":"2.0.0-RC6-2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"lm-coursier-shaded_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shaded_2.12/2.0.0-RC6-2/lm-coursier-shaded_2.12-2.0.0-RC6-2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/lm-coursier-shaded_2.12/2.0.0-RC6-2/lm-coursier-shaded_2.12-2.0.0-RC6-2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/coursier/sbt-coursier","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-logging_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-logging_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1.3.3/util-logging_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1.3.3/util-logging_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","revision":"1.3.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"librarymanagement-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-core_2.12/1.3.2/librarymanagement-core_2.12-1.3.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/librarymanagement-core_2.12/1.3.2/librarymanagement-core_2.12-1.3.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/librarymanagement","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","revision":"1.3.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"librarymanagement-ivy_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-ivy_2.12/1.3.2/librarymanagement-ivy_2.12-1.3.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/librarymanagement-ivy_2.12/1.3.2/librarymanagement-ivy_2.12-1.3.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/librarymanagement","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"compiler-interface","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"compiler-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/compiler-interface/1.3.5/compiler-interface-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/compiler-interface/1.3.5/compiler-interface-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-compile_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-compile_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile_2.12/1.3.5/zinc-compile_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-compile_2.12/1.3.5/zinc-compile_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.swoval","name":"file-tree-views","revision":"2.1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"file-tree-views","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/swoval/file-tree-views/2.1.3/file-tree-views-2.1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/swoval/file-tree-views/2.1.3/file-tree-views-2.1.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/swoval/swoval","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.java.dev.jna","name":"jna","revision":"5.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jna","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/net/java/dev/jna/jna/5.5.0/jna-5.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/java/dev/jna/jna/5.5.0/jna-5.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/java-native-access/jna","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.java.dev.jna","name":"jna-platform","revision":"5.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jna-platform","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/net/java/dev/jna/jna-platform/5.5.0/jna-platform-5.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/java/dev/jna/jna-platform/5.5.0/jna-platform-5.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/java-native-access/jna","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-relation_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-relation_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-relation_2.12/1.3.3/util-relation_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-relation_2.12/1.3.3/util-relation_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"completion_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"completion_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.3.10/completion_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.3.10/completion_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"task-system_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"task-system_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.3.10/task-system_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.3.10/task-system_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"tasks_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"tasks_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.3.10/tasks_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.3.10/tasks_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"testing_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"testing_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.3.10/testing_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.3.10/testing_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"sjson-new-scalajson_2.12","revision":"0.8.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sjson-new-scalajson_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-scalajson_2.12/0.8.3/sjson-new-scalajson_2.12-0.8.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-scalajson_2.12/0.8.3/sjson-new-scalajson_2.12-0.8.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/sjson-new","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-tracking_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-tracking_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/1.3.3/util-tracking_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/1.3.3/util-tracking_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-classpath_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-classpath_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12/1.3.5/zinc-classpath_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12/1.3.5/zinc-classpath_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-apiinfo_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-apiinfo_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1.3.5/zinc-apiinfo_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1.3.5/zinc-apiinfo_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.3.5/zinc_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.3.5/zinc_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"core-macros_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"core-macros_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.3.10/core-macros_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.3.10/core-macros_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-cache_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-cache_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.3.3/util-cache_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.3.3/util-cache_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-control_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-control_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1.3.3/util-control_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1.3.3/util-control_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"protocol_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protocol_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.3.10/protocol_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.3.10/protocol_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"sjson-new-core_2.12","revision":"0.8.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sjson-new-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-core_2.12/0.8.3/sjson-new-core_2.12-0.8.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-core_2.12/0.8.3/sjson-new-core_2.12-0.8.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/sjson-new","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"template-resolver","revision":"0.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"template-resolver","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/template-resolver/0.1/template-resolver-0.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/template-resolver/0.1/template-resolver-0.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/template-resolver","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-position_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-position_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/1.3.3/util-position_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/1.3.3/util-position_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-scripted_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-scripted_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/1.3.3/util-scripted_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/1.3.3/util-scripted_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-compile-core_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-compile-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2.12/1.3.5/zinc-compile-core_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2.12/1.3.5/zinc-compile-core_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.slf4j","name":"slf4j-api","revision":"1.7.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"slf4j-api","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.26/slf4j-api-1.7.26.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.26/slf4j-api-1.7.26.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.slf4j.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.cb372","name":"scalacache-core_2.12","revision":"0.20.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacache-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/cb372/scalacache-core_2.12/0.20.0/scalacache-core_2.12-0.20.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/cb372/scalacache-core_2.12/0.20.0/scalacache-core_2.12-0.20.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/cb372/scalacache","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.ben-manes.caffeine","name":"caffeine","revision":"2.5.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"caffeine","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/ben-manes/caffeine/caffeine/2.5.6/caffeine-2.5.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/ben-manes/caffeine/caffeine/2.5.6/caffeine-2.5.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/ben-manes/caffeine","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-interface","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-interface/1.3.3/util-interface-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-interface/1.3.3/util-interface-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"jline","name":"jline","revision":"2.14.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lmax","name":"disruptor","revision":"3.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"disruptor","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lmax/disruptor/3.4.2/disruptor-3.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lmax/disruptor/3.4.2/disruptor-3.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://lmax-exchange.github.com/disruptor","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-compiler.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.jcraft","name":"jsch","revision":"0.1.54","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jsch","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/jcraft/jsch/0.1.54/jsch-0.1.54.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/jcraft/jsch/0.1.54/jsch-0.1.54.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.jcraft.com/jsch/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"gigahorse-okhttp_2.12","revision":"0.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"gigahorse-okhttp_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/gigahorse-okhttp_2.12/0.5.0/gigahorse-okhttp_2.12-0.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/gigahorse-okhttp_2.12/0.5.0/gigahorse-okhttp_2.12-0.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/gigahorse","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.squareup.okhttp3","name":"okhttp-urlconnection","revision":"3.7.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"okhttp-urlconnection","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/squareup/okhttp3/okhttp-urlconnection/3.7.0/okhttp-urlconnection-3.7.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okhttp3/okhttp-urlconnection/3.7.0/okhttp-urlconnection-3.7.0.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt.ivy","name":"ivy","revision":"2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"ivy","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5/ivy-2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5/ivy-2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/ivy","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.7.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.7.0/protobuf-java-3.7.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.7.0/protobuf-java-3.7.0.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-agent","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-agent","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-agent/1.3.10/test-agent-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-agent/1.3.10/test-agent-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"shaded-scalajson_2.12","revision":"1.0.0-M4","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"shaded-scalajson_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/shaded-scalajson_2.12/1.0.0-M4/shaded-scalajson_2.12-1.0.0-M4.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/shaded-scalajson_2.12/1.0.0-M4/shaded-scalajson_2.12-1.0.0-M4.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/mdedetrich/scalajson","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.spire-math","name":"jawn-parser_2.12","revision":"0.10.4","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jawn-parser_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/spire-math/jawn-parser_2.12/0.10.4/jawn-parser_2.12-0.10.4.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/spire-math/jawn-parser_2.12/0.10.4/jawn-parser_2.12-0.10.4.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://github.com/non/jawn","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"compiler-bridge_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"compiler-bridge_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.12/1.3.5/compiler-bridge_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.12/1.3.5/compiler-bridge_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-classfile_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-classfile_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12/1.3.5/zinc-classfile_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12/1.3.5/zinc-classfile_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-core_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.5/zinc-core_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.5/zinc-core_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-persist_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-persist_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1.3.5/zinc-persist_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1.3.5/zinc-persist_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"sjson-new-murmurhash_2.12","revision":"0.8.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sjson-new-murmurhash_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-murmurhash_2.12/0.8.3/sjson-new-murmurhash_2.12-0.8.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-murmurhash_2.12/0.8.3/sjson-new-murmurhash_2.12-0.8.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/sjson-new","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt.ipcsocket","name":"ipcsocket","revision":"1.0.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"ipcsocket","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/ipcsocket/ipcsocket/1.0.1/ipcsocket-1.0.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/ipcsocket/ipcsocket/1.0.1/ipcsocket-1.0.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/ipcsocket","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-parser-combinators_2.12","revision":"1.1.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-parser-combinators_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-parser-combinators_2.12/1.1.2/scala-parser-combinators_2.12-1.1.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-parser-combinators_2.12/1.1.2/scala-parser-combinators_2.12-1.1.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"gigahorse-core_2.12","revision":"0.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"gigahorse-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/gigahorse-core_2.12/0.5.0/gigahorse-core_2.12-0.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/gigahorse-core_2.12/0.5.0/gigahorse-core_2.12-0.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/gigahorse","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.squareup.okhttp3","name":"okhttp","revision":"3.14.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"okhttp","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/squareup/okhttp3/okhttp/3.14.2/okhttp-3.14.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okhttp3/okhttp/3.14.2/okhttp-3.14.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.trueaccord.scalapb","name":"scalapb-runtime_2.12","revision":"0.6.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalapb-runtime_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/trueaccord/scalapb/scalapb-runtime_2.12/0.6.0/scalapb-runtime_2.12-0.6.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/trueaccord/scalapb/scalapb-runtime_2.12/0.6.0/scalapb-runtime_2.12-0.6.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scalapb/ScalaPB","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"sbinary_2.12","revision":"0.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbinary_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.5.0/sbinary_2.12-0.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.5.0/sbinary_2.12-0.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbinary","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.typesafe","name":"ssl-config-core_2.12","revision":"0.4.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"ssl-config-core_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/typesafe/ssl-config-core_2.12/0.4.0/ssl-config-core_2.12-0.4.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/typesafe/ssl-config-core_2.12/0.4.0/ssl-config-core_2.12-0.4.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lightbend/ssl-config","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.reactivestreams","name":"reactive-streams","revision":"1.0.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"reactive-streams","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/reactivestreams/reactive-streams/1.0.2/reactive-streams-1.0.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/reactivestreams/reactive-streams/1.0.2/reactive-streams-1.0.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.reactive-streams.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.squareup.okio","name":"okio","revision":"1.17.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"okio","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/squareup/okio/okio/1.17.2/okio-1.17.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okio/okio/1.17.2/okio-1.17.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.trueaccord.lenses","name":"lenses_2.12","revision":"0.4.12","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"lenses_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/trueaccord/lenses/lenses_2.12/0.4.12/lenses_2.12-0.4.12.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/trueaccord/lenses/lenses_2.12/0.4.12/lenses_2.12-0.4.12.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/trueaccord/lenses","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"fastparse_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"fastparse_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/fastparse_2.12/0.4.2/fastparse_2.12-0.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/fastparse_2.12/0.4.2/fastparse_2.12-0.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/scala-parser","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.typesafe","name":"config","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"config","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/typesafe/config/1.3.3/config-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/typesafe/config/1.3.3/config-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lightbend/config","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"fastparse-utils_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"fastparse-utils_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/fastparse-utils_2.12/0.4.2/fastparse-utils_2.12-0.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/fastparse-utils_2.12/0.4.2/fastparse-utils_2.12-0.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/scala-parser","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"sourcecode_2.12","revision":"0.1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sourcecode_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.12/0.1.3/sourcecode_2.12-0.1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.12/0.1.3/sourcecode_2.12-0.1.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/sourcecode","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"scala-tool"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-compiler.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.10","configurations":"optional","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-compiler.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"optional","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.0.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.0.6/scala-xml_2.12-1.0.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.0.6/scala-xml_2.12-1.0.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"jline","name":"jline","revision":"2.14.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.12","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.12/jansi-1.12.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.12/jansi-1.12.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"sources"},"modules":[],"details":[]},{"configuration":{"name":"runtime"},"modules":[],"details":[]},{"configuration":{"name":"runtime-internal"},"modules":[],"details":[]}],"stats":{"resolveTime":-1,"downloadTime":-1,"downloadSize":-1,"cached":false},"stamps":{}} \ No newline at end of file diff --git a/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/input_dsp b/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/input_dsp index ce69dc13..6cc9b2e0 100644 --- a/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/input_dsp +++ b/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/input_dsp @@ -1 +1 @@ --1826982995 \ No newline at end of file +-1413115869 \ No newline at end of file diff --git a/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp b/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp index 4bb439e6..2d4cf3d8 100644 --- a/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp +++ b/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp @@ -1 +1 @@ -{"{\"organization\":\"org.scala-lang\",\"name\":\"scala-library\",\"revision\":\"2.12.12\",\"configurations\":\"provided\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Disabled\"}}":{"value":{"$fields":["path","startLine"],"path":"(sbt.Classpaths.jvmBaseSettings) Defaults.scala","startLine":3049},"type":"LinePosition"},"{\"organization\":\"org.jetbrains\",\"name\":\"sbt-structure-extractor\",\"revision\":\"2020.3\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{\"e:sbtVersion\":\"1.0\",\"e:scalaVersion\":\"2.12\"},\"crossVersion\":{\"type\":\"Disabled\"}}":{"value":{"$fields":["path","range"],"path":"/tmp/idea.sbt","range":{"$fields":["start","end"],"start":5,"end":11}},"type":"RangePosition"},"{\"organization\":\"org.jetbrains\",\"name\":\"sbt-idea-shell\",\"revision\":\"2018.3\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{\"e:sbtVersion\":\"1.0\",\"e:scalaVersion\":\"2.12\"},\"crossVersion\":{\"type\":\"Disabled\"}}":{"value":{"$fields":["path","range"],"path":"/tmp/idea.sbt","range":{"$fields":["start","end"],"start":5,"end":11}},"type":"RangePosition"},"{\"organization\":\"org.jetbrains.scala\",\"name\":\"sbt-idea-compiler-indices\",\"revision\":\"1.0.8\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{\"e:sbtVersion\":\"1.0\",\"e:scalaVersion\":\"2.12\"},\"crossVersion\":{\"type\":\"Disabled\"}}":{"value":{"$fields":["path","range"],"path":"/tmp/idea.sbt","range":{"$fields":["start","end"],"start":5,"end":11}},"type":"RangePosition"}} \ No newline at end of file +{"{\"organization\":\"org.scala-lang\",\"name\":\"scala-library\",\"revision\":\"2.12.10\",\"configurations\":\"provided\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Disabled\"}}":{"value":{"$fields":["path","startLine"],"path":"(sbt.Classpaths.jvmBaseSettings) Defaults.scala","startLine":2531},"type":"LinePosition"}} \ No newline at end of file diff --git a/project/target/streams/_global/update/_global/streams/out b/project/target/streams/_global/update/_global/streams/out index 0c660a02..76069e9b 100644 --- a/project/target/streams/_global/update/_global/streams/out +++ b/project/target/streams/_global/update/_global/streams/out @@ -1,3 +1,3 @@ -[debug] not up to date. inChanged = true, force = false -[debug] Updating ProjectRef(uri("file:/home/abdulhameed.akram/Documents/SweRV-Chislified/project/"), "swerv-chislified-build")... -[debug] Done updating ProjectRef(uri("file:/home/abdulhameed.akram/Documents/SweRV-Chislified/project/"), "swerv-chislified-build") +[debug] "not up to date. inChanged = true, force = false +[debug] Updating ProjectRef(uri("file:/home/laraibkhan/Desktop/SweRV-Chislified/project/"), "swerv-chislified-build")... +[debug] Done updating ProjectRef(uri("file:/home/laraibkhan/Desktop/SweRV-Chislified/project/"), "swerv-chislified-build") diff --git a/project/target/streams/compile/_global/_global/compileBinaryFileInputs/previous b/project/target/streams/compile/_global/_global/compileBinaryFileInputs/previous index 2c776575..8edd4105 100644 --- a/project/target/streams/compile/_global/_global/compileBinaryFileInputs/previous +++ b/project/target/streams/compile/_global/_global/compileBinaryFileInputs/previous @@ -1 +1 @@ -["sbt.Task[scala.collection.immutable.Map[java.lang.String, scala.collection.Seq[scala.Tuple2[java.nio.file.Path, sbt.nio.FileStamp]]]]",{"2.12.10":{"hashes":[],"lastModifiedTimes":[["/home/waleedbinehsan/idea-IE-201.7846.105/plugins/Scala/repo/org.jetbrains/sbt-structure-extractor/scala_2.12/sbt_1.0/2018.2.1+4-88400d3f/jars/sbt-structure-extractor.jar",1592576981000],["/home/waleedbinehsan/idea-IE-201.7846.105/plugins/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/jars/sbt-idea-shell.jar",1592576981000],["/home/waleedbinehsan/idea-IE-201.7846.105/plugins/Scala/repo/org.jetbrains/sbt-idea-compiler-indices/scala_2.12/sbt_1.0/0.1.3/jars/sbt-idea-compiler-indices.jar",1592576981000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.10/sbt-1.3.10.jar",1586919578000],["/home/waleedbinehsan/.sbt/boot/scala-2.12.10/lib/scala-library.jar",1568150453000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/sugakandrey/scala-compiler-indices-protocol_2.12/0.1.1/scala-compiler-indices-protocol_2.12-0.1.1.jar",1552482712000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.10/main_2.12-1.3.10.jar",1586919585000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.3.4/io_2.12-1.3.4.jar",1585597895000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/io/spray/spray-json_2.12/1.3.4/spray-json_2.12-1.3.4.jar",1508828031000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.3.10/logic_2.12-1.3.10.jar",1586919582000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.3.10/actions_2.12-1.3.10.jar",1586919588000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/1.3.10/main-settings_2.12-1.3.10.jar",1586919593000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.3.10/run_2.12-1.3.10.jar",1586919595000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.3.10/command_2.12-1.3.10.jar",1586919569000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.3.10/collections_2.12-1.3.10.jar",1586919594000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/scripted-sbt-redux_2.12/1.3.10/scripted-sbt-redux_2.12-1.3.10.jar",1586919582000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.12/1.3.10/scripted-plugin_2.12-1.3.10.jar",1586919592000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration_2.12/1.3.10/zinc-lm-integration_2.12-1.3.10.jar",1586919595000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar",1554476959000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/launcher-interface/1.1.3/launcher-interface-1.1.3.jar",1566946835000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-api/2.11.2/log4j-api-2.11.2.jar",1549415503000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-core/2.11.2/log4j-core-2.11.2.jar",1549415573000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-slf4j-impl/2.11.2/log4j-slf4j-impl-2.11.2.jar",1549415635000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/cb372/scalacache-caffeine_2.12/0.20.0/scalacache-caffeine_2.12-0.20.0.jar",1510153827000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/lm-coursier-shaded_2.12/2.0.0-RC6-2/lm-coursier-shaded_2.12-2.0.0-RC6-2.jar",1584360077000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1.3.3/util-logging_2.12-1.3.3.jar",1576119989000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/librarymanagement-core_2.12/1.3.2/librarymanagement-core_2.12-1.3.2.jar",1586906938000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/librarymanagement-ivy_2.12/1.3.2/librarymanagement-ivy_2.12-1.3.2.jar",1586906935000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/compiler-interface/1.3.5/compiler-interface-1.3.5.jar",1585527738000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-compile_2.12/1.3.5/zinc-compile_2.12-1.3.5.jar",1585527746000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/swoval/file-tree-views/2.1.3/file-tree-views-2.1.3.jar",1562617173000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/java/dev/jna/jna/5.5.0/jna-5.5.0.jar",1572453456000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/java/dev/jna/jna-platform/5.5.0/jna-platform-5.5.0.jar",1572453499000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-relation_2.12/1.3.3/util-relation_2.12-1.3.3.jar",1576119995000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.3.10/completion_2.12-1.3.10.jar",1586919580000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.3.10/task-system_2.12-1.3.10.jar",1586919576000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.3.10/tasks_2.12-1.3.10.jar",1586919595000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.3.10/testing_2.12-1.3.10.jar",1586919585000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-scalajson_2.12/0.8.3/sjson-new-scalajson_2.12-0.8.3.jar",1563056822000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/1.3.3/util-tracking_2.12-1.3.3.jar",1576119981000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12/1.3.5/zinc-classpath_2.12-1.3.5.jar",1585527746000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1.3.5/zinc-apiinfo_2.12-1.3.5.jar",1585527734000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.3.5/zinc_2.12-1.3.5.jar",1585527734000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.3.10/core-macros_2.12-1.3.10.jar",1586919602000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.3.3/util-cache_2.12-1.3.3.jar",1576119990000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1.3.3/util-control_2.12-1.3.3.jar",1576119992000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.3.10/protocol_2.12-1.3.10.jar",1586919599000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-core_2.12/0.8.3/sjson-new-core_2.12-0.8.3.jar",1563056819000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/template-resolver/0.1/template-resolver-0.1.jar",1471834035000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/1.3.3/util-position_2.12-1.3.3.jar",1576119996000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/1.3.3/util-scripted_2.12-1.3.3.jar",1576119985000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2.12/1.3.5/zinc-compile-core_2.12-1.3.5.jar",1585527731000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.26/slf4j-api-1.7.26.jar",1550531761000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/cb372/scalacache-core_2.12/0.20.0/scalacache-core_2.12-0.20.0.jar",1510153816000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/ben-manes/caffeine/caffeine/2.5.6/caffeine-2.5.6.jar",1506124453000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-interface/1.3.3/util-interface-1.3.3.jar",1576119983000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar",1522055915000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lmax/disruptor/3.4.2/disruptor-3.4.2.jar",1523227268000],["/home/waleedbinehsan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar",1568150359000],["/home/waleedbinehsan/.sbt/boot/scala-2.12.10/lib/scala-compiler.jar",1568150551000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/jcraft/jsch/0.1.54/jsch-0.1.54.jar",1472895734000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/gigahorse-okhttp_2.12/0.5.0/gigahorse-okhttp_2.12-0.5.0.jar",1560903298000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okhttp3/okhttp-urlconnection/3.7.0/okhttp-urlconnection-3.7.0.jar",1492307721000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5/ivy-2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5.jar",1582398156000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.7.0/protobuf-java-3.7.0.jar",1551913597000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-agent/1.3.10/test-agent-1.3.10.jar",1586919587000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar",1372459476000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/shaded-scalajson_2.12/1.0.0-M4/shaded-scalajson_2.12-1.0.0-M4.jar",1499894894000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/spire-math/jawn-parser_2.12/0.10.4/jawn-parser_2.12-0.10.4.jar",1479009615000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.12/1.3.5/compiler-bridge_2.12-1.3.5.jar",1585527750000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12/1.3.5/zinc-classfile_2.12-1.3.5.jar",1585527748000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.5/zinc-core_2.12-1.3.5.jar",1585527737000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1.3.5/zinc-persist_2.12-1.3.5.jar",1585527726000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-murmurhash_2.12/0.8.3/sjson-new-murmurhash_2.12-0.8.3.jar",1563056833000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/ipcsocket/ipcsocket/1.0.1/ipcsocket-1.0.1.jar",1585533820000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-parser-combinators_2.12/1.1.2/scala-parser-combinators_2.12-1.1.2.jar",1554501477000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/gigahorse-core_2.12/0.5.0/gigahorse-core_2.12-0.5.0.jar",1560903293000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okhttp3/okhttp/3.14.2/okhttp-3.14.2.jar",1558287483000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/trueaccord/scalapb/scalapb-runtime_2.12/0.6.0/scalapb-runtime_2.12-0.6.0.jar",1498805900000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.5.0/sbinary_2.12-0.5.0.jar",1535526772000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/typesafe/ssl-config-core_2.12/0.4.0/ssl-config-core_2.12-0.4.0.jar",1556196605000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/reactivestreams/reactive-streams/1.0.2/reactive-streams-1.0.2.jar",1513627594000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okio/okio/1.17.2/okio-1.17.2.jar",1547754336000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/trueaccord/lenses/lenses_2.12/0.4.12/lenses_2.12-0.4.12.jar",1496557872000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/fastparse_2.12/0.4.2/fastparse_2.12-0.4.2.jar",1478110998000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/typesafe/config/1.3.3/config-1.3.3.jar",1519222085000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/fastparse-utils_2.12/0.4.2/fastparse-utils_2.12-0.4.2.jar",1478111016000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.12/0.1.3/sourcecode_2.12-0.1.3.jar",1477938730000]]}}] \ No newline at end of file +["sbt.Task[scala.collection.immutable.Map[java.lang.String, scala.collection.Seq[scala.Tuple2[java.nio.file.Path, sbt.nio.FileStamp]]]]",{"2.12.10":{"hashes":[],"lastModifiedTimes":[["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.10/sbt-1.3.10.jar",1586919578000],["/home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar",1568150453000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.10/main_2.12-1.3.10.jar",1586919585000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.3.4/io_2.12-1.3.4.jar",1585597895000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.3.10/logic_2.12-1.3.10.jar",1586919582000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.3.10/actions_2.12-1.3.10.jar",1586919588000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/1.3.10/main-settings_2.12-1.3.10.jar",1586919593000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.3.10/run_2.12-1.3.10.jar",1586919595000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.3.10/command_2.12-1.3.10.jar",1586919569000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.3.10/collections_2.12-1.3.10.jar",1586919594000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/scripted-sbt-redux_2.12/1.3.10/scripted-sbt-redux_2.12-1.3.10.jar",1586919582000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.12/1.3.10/scripted-plugin_2.12-1.3.10.jar",1586919592000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration_2.12/1.3.10/zinc-lm-integration_2.12-1.3.10.jar",1586919595000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar",1554476959000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/launcher-interface/1.1.3/launcher-interface-1.1.3.jar",1566946835000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-api/2.11.2/log4j-api-2.11.2.jar",1549415503000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-core/2.11.2/log4j-core-2.11.2.jar",1549415573000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-slf4j-impl/2.11.2/log4j-slf4j-impl-2.11.2.jar",1549415635000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/cb372/scalacache-caffeine_2.12/0.20.0/scalacache-caffeine_2.12-0.20.0.jar",1510153827000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/lm-coursier-shaded_2.12/2.0.0-RC6-2/lm-coursier-shaded_2.12-2.0.0-RC6-2.jar",1584360077000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1.3.3/util-logging_2.12-1.3.3.jar",1576119989000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/librarymanagement-core_2.12/1.3.2/librarymanagement-core_2.12-1.3.2.jar",1586906938000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/librarymanagement-ivy_2.12/1.3.2/librarymanagement-ivy_2.12-1.3.2.jar",1586906935000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/compiler-interface/1.3.5/compiler-interface-1.3.5.jar",1585527738000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-compile_2.12/1.3.5/zinc-compile_2.12-1.3.5.jar",1585527746000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/swoval/file-tree-views/2.1.3/file-tree-views-2.1.3.jar",1562617173000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/java/dev/jna/jna/5.5.0/jna-5.5.0.jar",1572453456000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/java/dev/jna/jna-platform/5.5.0/jna-platform-5.5.0.jar",1572453499000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-relation_2.12/1.3.3/util-relation_2.12-1.3.3.jar",1576119995000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.3.10/completion_2.12-1.3.10.jar",1586919580000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.3.10/task-system_2.12-1.3.10.jar",1586919576000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.3.10/tasks_2.12-1.3.10.jar",1586919595000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.3.10/testing_2.12-1.3.10.jar",1586919585000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-scalajson_2.12/0.8.3/sjson-new-scalajson_2.12-0.8.3.jar",1563056822000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/1.3.3/util-tracking_2.12-1.3.3.jar",1576119981000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12/1.3.5/zinc-classpath_2.12-1.3.5.jar",1585527746000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1.3.5/zinc-apiinfo_2.12-1.3.5.jar",1585527734000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.3.5/zinc_2.12-1.3.5.jar",1585527734000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.3.10/core-macros_2.12-1.3.10.jar",1586919602000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.3.3/util-cache_2.12-1.3.3.jar",1576119990000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1.3.3/util-control_2.12-1.3.3.jar",1576119992000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.3.10/protocol_2.12-1.3.10.jar",1586919599000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-core_2.12/0.8.3/sjson-new-core_2.12-0.8.3.jar",1563056819000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/template-resolver/0.1/template-resolver-0.1.jar",1471834035000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/1.3.3/util-position_2.12-1.3.3.jar",1576119996000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/1.3.3/util-scripted_2.12-1.3.3.jar",1576119985000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2.12/1.3.5/zinc-compile-core_2.12-1.3.5.jar",1585527731000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.26/slf4j-api-1.7.26.jar",1550531761000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/cb372/scalacache-core_2.12/0.20.0/scalacache-core_2.12-0.20.0.jar",1510153816000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/ben-manes/caffeine/caffeine/2.5.6/caffeine-2.5.6.jar",1506124453000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-interface/1.3.3/util-interface-1.3.3.jar",1576119983000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar",1522055915000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lmax/disruptor/3.4.2/disruptor-3.4.2.jar",1523227268000],["/home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar",1568150359000],["/home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-compiler.jar",1568150551000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/jcraft/jsch/0.1.54/jsch-0.1.54.jar",1472895734000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/gigahorse-okhttp_2.12/0.5.0/gigahorse-okhttp_2.12-0.5.0.jar",1560903298000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okhttp3/okhttp-urlconnection/3.7.0/okhttp-urlconnection-3.7.0.jar",1492307721000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5/ivy-2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5.jar",1582398156000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.7.0/protobuf-java-3.7.0.jar",1551913597000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-agent/1.3.10/test-agent-1.3.10.jar",1586919587000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar",1372459476000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/shaded-scalajson_2.12/1.0.0-M4/shaded-scalajson_2.12-1.0.0-M4.jar",1499894894000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/spire-math/jawn-parser_2.12/0.10.4/jawn-parser_2.12-0.10.4.jar",1479009615000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.12/1.3.5/compiler-bridge_2.12-1.3.5.jar",1585527750000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12/1.3.5/zinc-classfile_2.12-1.3.5.jar",1585527748000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.5/zinc-core_2.12-1.3.5.jar",1585527737000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1.3.5/zinc-persist_2.12-1.3.5.jar",1585527726000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-murmurhash_2.12/0.8.3/sjson-new-murmurhash_2.12-0.8.3.jar",1563056833000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/ipcsocket/ipcsocket/1.0.1/ipcsocket-1.0.1.jar",1585533820000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-parser-combinators_2.12/1.1.2/scala-parser-combinators_2.12-1.1.2.jar",1554501477000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/gigahorse-core_2.12/0.5.0/gigahorse-core_2.12-0.5.0.jar",1560903293000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okhttp3/okhttp/3.14.2/okhttp-3.14.2.jar",1558287483000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/trueaccord/scalapb/scalapb-runtime_2.12/0.6.0/scalapb-runtime_2.12-0.6.0.jar",1498805900000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.5.0/sbinary_2.12-0.5.0.jar",1535526772000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/typesafe/ssl-config-core_2.12/0.4.0/ssl-config-core_2.12-0.4.0.jar",1556196605000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/reactivestreams/reactive-streams/1.0.2/reactive-streams-1.0.2.jar",1513627594000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okio/okio/1.17.2/okio-1.17.2.jar",1547754336000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/trueaccord/lenses/lenses_2.12/0.4.12/lenses_2.12-0.4.12.jar",1496557872000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/fastparse_2.12/0.4.2/fastparse_2.12-0.4.2.jar",1478110998000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/typesafe/config/1.3.3/config-1.3.3.jar",1519222085000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/fastparse-utils_2.12/0.4.2/fastparse-utils_2.12-0.4.2.jar",1478111016000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.12/0.1.3/sourcecode_2.12-0.1.3.jar",1477938730000]]}}] \ No newline at end of file diff --git a/project/target/streams/compile/_global/_global/compileOutputs/previous b/project/target/streams/compile/_global/_global/compileOutputs/previous index c9b8939a..c886742e 100644 --- a/project/target/streams/compile/_global/_global/compileOutputs/previous +++ b/project/target/streams/compile/_global/_global/compileOutputs/previous @@ -1 +1 @@ -["sbt.Task[scala.collection.Seq[java.nio.file.Path]]",["/home/abdulhameed.akram/Documents/SweRV-Chislified/project/target/scala-2.12/sbt-1.0/zinc/inc_compile_2.12.zip"]] \ No newline at end of file +["sbt.Task[scala.collection.Seq[java.nio.file.Path]]",["/home/laraibkhan/Desktop/SweRV-Chislified/project/target/streams/compile/compileOutputs/_global/streams/inc_compile_2.12.zip"]] \ No newline at end of file diff --git a/project/target/streams/compile/_global/_global/dependencyClasspathFiles/previous b/project/target/streams/compile/_global/_global/dependencyClasspathFiles/previous index cb0933f2..59c4ecf5 100644 --- a/project/target/streams/compile/_global/_global/dependencyClasspathFiles/previous +++ b/project/target/streams/compile/_global/_global/dependencyClasspathFiles/previous @@ -1 +1 @@ -["sbt.Task[scala.collection.Seq[java.nio.file.Path]]",["/home/waleedbinehsan/.sbt/1.0/plugins/target/scala-2.12/sbt-1.0/classes","/home/waleedbinehsan/idea-IE-201.7846.105/plugins/Scala/repo/org.jetbrains/sbt-structure-extractor/scala_2.12/sbt_1.0/2018.2.1+4-88400d3f/jars/sbt-structure-extractor.jar","/home/waleedbinehsan/idea-IE-201.7846.105/plugins/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/jars/sbt-idea-shell.jar","/home/waleedbinehsan/idea-IE-201.7846.105/plugins/Scala/repo/org.jetbrains/sbt-idea-compiler-indices/scala_2.12/sbt_1.0/0.1.3/jars/sbt-idea-compiler-indices.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.10/sbt-1.3.10.jar","/home/waleedbinehsan/.sbt/boot/scala-2.12.10/lib/scala-library.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/sugakandrey/scala-compiler-indices-protocol_2.12/0.1.1/scala-compiler-indices-protocol_2.12-0.1.1.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.10/main_2.12-1.3.10.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.3.4/io_2.12-1.3.4.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/io/spray/spray-json_2.12/1.3.4/spray-json_2.12-1.3.4.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.3.10/logic_2.12-1.3.10.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.3.10/actions_2.12-1.3.10.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/1.3.10/main-settings_2.12-1.3.10.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.3.10/run_2.12-1.3.10.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.3.10/command_2.12-1.3.10.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.3.10/collections_2.12-1.3.10.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/scripted-sbt-redux_2.12/1.3.10/scripted-sbt-redux_2.12-1.3.10.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.12/1.3.10/scripted-plugin_2.12-1.3.10.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration_2.12/1.3.10/zinc-lm-integration_2.12-1.3.10.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/launcher-interface/1.1.3/launcher-interface-1.1.3.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-api/2.11.2/log4j-api-2.11.2.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-core/2.11.2/log4j-core-2.11.2.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-slf4j-impl/2.11.2/log4j-slf4j-impl-2.11.2.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/cb372/scalacache-caffeine_2.12/0.20.0/scalacache-caffeine_2.12-0.20.0.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/lm-coursier-shaded_2.12/2.0.0-RC6-2/lm-coursier-shaded_2.12-2.0.0-RC6-2.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1.3.3/util-logging_2.12-1.3.3.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/librarymanagement-core_2.12/1.3.2/librarymanagement-core_2.12-1.3.2.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/librarymanagement-ivy_2.12/1.3.2/librarymanagement-ivy_2.12-1.3.2.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/compiler-interface/1.3.5/compiler-interface-1.3.5.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-compile_2.12/1.3.5/zinc-compile_2.12-1.3.5.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/swoval/file-tree-views/2.1.3/file-tree-views-2.1.3.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/java/dev/jna/jna/5.5.0/jna-5.5.0.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/java/dev/jna/jna-platform/5.5.0/jna-platform-5.5.0.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-relation_2.12/1.3.3/util-relation_2.12-1.3.3.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.3.10/completion_2.12-1.3.10.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.3.10/task-system_2.12-1.3.10.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.3.10/tasks_2.12-1.3.10.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.3.10/testing_2.12-1.3.10.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-scalajson_2.12/0.8.3/sjson-new-scalajson_2.12-0.8.3.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/1.3.3/util-tracking_2.12-1.3.3.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12/1.3.5/zinc-classpath_2.12-1.3.5.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1.3.5/zinc-apiinfo_2.12-1.3.5.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.3.5/zinc_2.12-1.3.5.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.3.10/core-macros_2.12-1.3.10.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.3.3/util-cache_2.12-1.3.3.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1.3.3/util-control_2.12-1.3.3.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.3.10/protocol_2.12-1.3.10.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-core_2.12/0.8.3/sjson-new-core_2.12-0.8.3.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/template-resolver/0.1/template-resolver-0.1.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/1.3.3/util-position_2.12-1.3.3.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/1.3.3/util-scripted_2.12-1.3.3.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2.12/1.3.5/zinc-compile-core_2.12-1.3.5.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.26/slf4j-api-1.7.26.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/cb372/scalacache-core_2.12/0.20.0/scalacache-core_2.12-0.20.0.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/ben-manes/caffeine/caffeine/2.5.6/caffeine-2.5.6.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-interface/1.3.3/util-interface-1.3.3.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lmax/disruptor/3.4.2/disruptor-3.4.2.jar","/home/waleedbinehsan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar","/home/waleedbinehsan/.sbt/boot/scala-2.12.10/lib/scala-compiler.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/jcraft/jsch/0.1.54/jsch-0.1.54.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/gigahorse-okhttp_2.12/0.5.0/gigahorse-okhttp_2.12-0.5.0.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okhttp3/okhttp-urlconnection/3.7.0/okhttp-urlconnection-3.7.0.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5/ivy-2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.7.0/protobuf-java-3.7.0.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-agent/1.3.10/test-agent-1.3.10.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/shaded-scalajson_2.12/1.0.0-M4/shaded-scalajson_2.12-1.0.0-M4.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/spire-math/jawn-parser_2.12/0.10.4/jawn-parser_2.12-0.10.4.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.12/1.3.5/compiler-bridge_2.12-1.3.5.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12/1.3.5/zinc-classfile_2.12-1.3.5.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.5/zinc-core_2.12-1.3.5.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1.3.5/zinc-persist_2.12-1.3.5.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-murmurhash_2.12/0.8.3/sjson-new-murmurhash_2.12-0.8.3.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/ipcsocket/ipcsocket/1.0.1/ipcsocket-1.0.1.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-parser-combinators_2.12/1.1.2/scala-parser-combinators_2.12-1.1.2.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/gigahorse-core_2.12/0.5.0/gigahorse-core_2.12-0.5.0.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okhttp3/okhttp/3.14.2/okhttp-3.14.2.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/trueaccord/scalapb/scalapb-runtime_2.12/0.6.0/scalapb-runtime_2.12-0.6.0.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.5.0/sbinary_2.12-0.5.0.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/typesafe/ssl-config-core_2.12/0.4.0/ssl-config-core_2.12-0.4.0.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/reactivestreams/reactive-streams/1.0.2/reactive-streams-1.0.2.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okio/okio/1.17.2/okio-1.17.2.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/trueaccord/lenses/lenses_2.12/0.4.12/lenses_2.12-0.4.12.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/fastparse_2.12/0.4.2/fastparse_2.12-0.4.2.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/typesafe/config/1.3.3/config-1.3.3.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/fastparse-utils_2.12/0.4.2/fastparse-utils_2.12-0.4.2.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.12/0.1.3/sourcecode_2.12-0.1.3.jar"]] \ No newline at end of file +["sbt.Task[scala.collection.Seq[java.nio.file.Path]]",["/home/laraibkhan/.sbt/1.0/plugins/target/scala-2.12/sbt-1.0/classes","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.10/sbt-1.3.10.jar","/home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.10/main_2.12-1.3.10.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.3.4/io_2.12-1.3.4.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.3.10/logic_2.12-1.3.10.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.3.10/actions_2.12-1.3.10.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/1.3.10/main-settings_2.12-1.3.10.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.3.10/run_2.12-1.3.10.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.3.10/command_2.12-1.3.10.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.3.10/collections_2.12-1.3.10.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/scripted-sbt-redux_2.12/1.3.10/scripted-sbt-redux_2.12-1.3.10.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.12/1.3.10/scripted-plugin_2.12-1.3.10.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration_2.12/1.3.10/zinc-lm-integration_2.12-1.3.10.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/launcher-interface/1.1.3/launcher-interface-1.1.3.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-api/2.11.2/log4j-api-2.11.2.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-core/2.11.2/log4j-core-2.11.2.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-slf4j-impl/2.11.2/log4j-slf4j-impl-2.11.2.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/cb372/scalacache-caffeine_2.12/0.20.0/scalacache-caffeine_2.12-0.20.0.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/lm-coursier-shaded_2.12/2.0.0-RC6-2/lm-coursier-shaded_2.12-2.0.0-RC6-2.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1.3.3/util-logging_2.12-1.3.3.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/librarymanagement-core_2.12/1.3.2/librarymanagement-core_2.12-1.3.2.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/librarymanagement-ivy_2.12/1.3.2/librarymanagement-ivy_2.12-1.3.2.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/compiler-interface/1.3.5/compiler-interface-1.3.5.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-compile_2.12/1.3.5/zinc-compile_2.12-1.3.5.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/swoval/file-tree-views/2.1.3/file-tree-views-2.1.3.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/java/dev/jna/jna/5.5.0/jna-5.5.0.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/java/dev/jna/jna-platform/5.5.0/jna-platform-5.5.0.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-relation_2.12/1.3.3/util-relation_2.12-1.3.3.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.3.10/completion_2.12-1.3.10.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.3.10/task-system_2.12-1.3.10.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.3.10/tasks_2.12-1.3.10.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.3.10/testing_2.12-1.3.10.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-scalajson_2.12/0.8.3/sjson-new-scalajson_2.12-0.8.3.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/1.3.3/util-tracking_2.12-1.3.3.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12/1.3.5/zinc-classpath_2.12-1.3.5.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1.3.5/zinc-apiinfo_2.12-1.3.5.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.3.5/zinc_2.12-1.3.5.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.3.10/core-macros_2.12-1.3.10.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.3.3/util-cache_2.12-1.3.3.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1.3.3/util-control_2.12-1.3.3.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.3.10/protocol_2.12-1.3.10.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-core_2.12/0.8.3/sjson-new-core_2.12-0.8.3.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/template-resolver/0.1/template-resolver-0.1.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/1.3.3/util-position_2.12-1.3.3.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/1.3.3/util-scripted_2.12-1.3.3.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2.12/1.3.5/zinc-compile-core_2.12-1.3.5.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.26/slf4j-api-1.7.26.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/cb372/scalacache-core_2.12/0.20.0/scalacache-core_2.12-0.20.0.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/ben-manes/caffeine/caffeine/2.5.6/caffeine-2.5.6.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-interface/1.3.3/util-interface-1.3.3.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lmax/disruptor/3.4.2/disruptor-3.4.2.jar","/home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar","/home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-compiler.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/jcraft/jsch/0.1.54/jsch-0.1.54.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/gigahorse-okhttp_2.12/0.5.0/gigahorse-okhttp_2.12-0.5.0.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okhttp3/okhttp-urlconnection/3.7.0/okhttp-urlconnection-3.7.0.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5/ivy-2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.7.0/protobuf-java-3.7.0.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-agent/1.3.10/test-agent-1.3.10.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/shaded-scalajson_2.12/1.0.0-M4/shaded-scalajson_2.12-1.0.0-M4.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/spire-math/jawn-parser_2.12/0.10.4/jawn-parser_2.12-0.10.4.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.12/1.3.5/compiler-bridge_2.12-1.3.5.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12/1.3.5/zinc-classfile_2.12-1.3.5.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.5/zinc-core_2.12-1.3.5.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1.3.5/zinc-persist_2.12-1.3.5.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-murmurhash_2.12/0.8.3/sjson-new-murmurhash_2.12-0.8.3.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/ipcsocket/ipcsocket/1.0.1/ipcsocket-1.0.1.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-parser-combinators_2.12/1.1.2/scala-parser-combinators_2.12-1.1.2.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/gigahorse-core_2.12/0.5.0/gigahorse-core_2.12-0.5.0.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okhttp3/okhttp/3.14.2/okhttp-3.14.2.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/trueaccord/scalapb/scalapb-runtime_2.12/0.6.0/scalapb-runtime_2.12-0.6.0.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.5.0/sbinary_2.12-0.5.0.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/typesafe/ssl-config-core_2.12/0.4.0/ssl-config-core_2.12-0.4.0.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/reactivestreams/reactive-streams/1.0.2/reactive-streams-1.0.2.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okio/okio/1.17.2/okio-1.17.2.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/trueaccord/lenses/lenses_2.12/0.4.12/lenses_2.12-0.4.12.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/fastparse_2.12/0.4.2/fastparse_2.12-0.4.2.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/typesafe/config/1.3.3/config-1.3.3.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/fastparse-utils_2.12/0.4.2/fastparse-utils_2.12-0.4.2.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.12/0.1.3/sourcecode_2.12-0.1.3.jar"]] \ No newline at end of file diff --git a/project/target/streams/compile/compileIncremental/_global/streams/out b/project/target/streams/compile/compileIncremental/_global/streams/out index 9cca155c..a24bed6f 100644 --- a/project/target/streams/compile/compileIncremental/_global/streams/out +++ b/project/target/streams/compile/compileIncremental/_global/streams/out @@ -1,6 +1 @@ -[debug] [zinc] IncrementalCompile ----------- -[debug] IncrementalCompile.incrementalCompile -[debug] previous = Stamps for: 0 products, 0 sources, 0 libraries -[debug] current source = Set() -[debug] > initialChanges = InitialChanges(Changes(added = Set(), removed = Set(), changed = Set(), unmodified = ...),Set(),Set(),API Changes: Set()) -[debug] Full compilation, no sources in previous analysis. +[debug] Full compilation, no sources in previous analysis. diff --git a/project/target/streams/compile/copyResources/_global/streams/out b/project/target/streams/compile/copyResources/_global/streams/out index f25042f2..49995276 100644 --- a/project/target/streams/compile/copyResources/_global/streams/out +++ b/project/target/streams/compile/copyResources/_global/streams/out @@ -1,2 +1,2 @@ -[debug] Copy resource mappings:  -[debug]   +[debug] Copy resource mappings: +[debug] diff --git a/project/target/streams/compile/dependencyClasspath/_global/streams/export b/project/target/streams/compile/dependencyClasspath/_global/streams/export index cef773af..ff6ec25a 100644 --- a/project/target/streams/compile/dependencyClasspath/_global/streams/export +++ b/project/target/streams/compile/dependencyClasspath/_global/streams/export @@ -1 +1 @@ -/home/abdulhameed.akram/.sbt/1.0/plugins/target/scala-2.12/sbt-1.0/classes:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/lib/scala-library.jar:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-structure-extractor/scala_2.12/sbt_1.0/2020.3/jars/sbt-structure-extractor.jar:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/jars/sbt-idea-shell.jar:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains.scala/sbt-idea-compiler-indices/scala_2.12/sbt_1.0/1.0.8/jars/sbt-idea-compiler-indices.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/jetbrains/scala/scala-compiler-indices-protocol_2.12/1.0.8/scala-compiler-indices-protocol_2.12-1.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/io/spray/spray-json_2.12/1.3.5/spray-json_2.12-1.3.5.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-classpath_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jna-platform-5.5.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/scala-parser-combinators_2.12-1.1.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/librarymanagement-core_2.12-1.4.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/caffeine-2.8.5.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-terminal-jansi-3.16.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/okhttp-urlconnection-3.7.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/sjson-new-murmurhash_2.12-0.9.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-position_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/log4j-api-2.11.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-reader-3.16.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-tracking_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-relation_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/test-interface-1.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/run_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/io_2.12-1.4.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/main-settings_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/log4j-core-2.11.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/lm-coursier-shaded_2.12-2.0.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/disruptor-3.4.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/librarymanagement-ivy_2.12-1.4.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jansi-1.18.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-style-3.16.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/ipcsocket-1.1.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/checker-qual-3.4.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/slf4j-api-1.7.26.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/scala-reflect-2.12.12.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-compile_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-2.14.7-sbt-5e51b9d4f9631ebfa29753ce4accc57808e7fd6b.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/testing_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/test-agent-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/launcher-interface-1.1.5.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-core_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-interface-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-persist-core-assembly-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-compile-core_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/compiler-bridge_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/gigahorse-core_2.12-0.5.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/template-resolver-0.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-logging_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-lm-integration_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/error_prone_annotations-2.4.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/completion_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/sbt-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/shaded-jawn-parser_2.12-0.9.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/okio-1.17.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jna-5.5.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/logic_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/reactive-streams-1.0.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/shaded-scalajson_2.12-1.0.0-M4.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jsch-0.1.54.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-builtins-3.16.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/task-system_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/scala-xml_2.12-1.3.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/log4j-slf4j-impl-2.11.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/config-1.3.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/sjson-new-scalajson_2.12-0.9.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-classfile_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/sjson-new-core_2.12-0.9.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/sbinary_2.12-0.5.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/okhttp-3.14.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/core-macros_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/command_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/tasks_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zero-allocation-hashing-0.10.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/protocol_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-terminal-jna-3.16.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/file-tree-views-2.1.4.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/actions_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-persist_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/compiler-interface-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-cache_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-terminal-3.16.0-sbt-211a082ed6326908dc84ca017ce4430728f18a8a.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/collections_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/scala-collection-compat_2.12-2.2.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-control_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/main_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-terminal-3.16.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-apiinfo_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/ivy-2.3.0-sbt-839fad1cdc07cf6fc81364d74c323867230432ad.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/ssl-config-core_2.12-0.4.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/scripted-plugin_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/gigahorse-okhttp_2.12-0.5.0.jar +/home/laraibkhan/.sbt/1.0/plugins/target/scala-2.12/sbt-1.0/classes:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.10/sbt-1.3.10.jar:/home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.10/main_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.3.4/io_2.12-1.3.4.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.3.10/logic_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.3.10/actions_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/1.3.10/main-settings_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.3.10/run_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.3.10/command_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.3.10/collections_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/scripted-sbt-redux_2.12/1.3.10/scripted-sbt-redux_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.12/1.3.10/scripted-plugin_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration_2.12/1.3.10/zinc-lm-integration_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/launcher-interface/1.1.3/launcher-interface-1.1.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-api/2.11.2/log4j-api-2.11.2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-core/2.11.2/log4j-core-2.11.2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-slf4j-impl/2.11.2/log4j-slf4j-impl-2.11.2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/cb372/scalacache-caffeine_2.12/0.20.0/scalacache-caffeine_2.12-0.20.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/lm-coursier-shaded_2.12/2.0.0-RC6-2/lm-coursier-shaded_2.12-2.0.0-RC6-2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1.3.3/util-logging_2.12-1.3.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/librarymanagement-core_2.12/1.3.2/librarymanagement-core_2.12-1.3.2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/librarymanagement-ivy_2.12/1.3.2/librarymanagement-ivy_2.12-1.3.2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/compiler-interface/1.3.5/compiler-interface-1.3.5.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-compile_2.12/1.3.5/zinc-compile_2.12-1.3.5.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/swoval/file-tree-views/2.1.3/file-tree-views-2.1.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/java/dev/jna/jna/5.5.0/jna-5.5.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/java/dev/jna/jna-platform/5.5.0/jna-platform-5.5.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-relation_2.12/1.3.3/util-relation_2.12-1.3.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.3.10/completion_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.3.10/task-system_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.3.10/tasks_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.3.10/testing_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-scalajson_2.12/0.8.3/sjson-new-scalajson_2.12-0.8.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/1.3.3/util-tracking_2.12-1.3.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12/1.3.5/zinc-classpath_2.12-1.3.5.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1.3.5/zinc-apiinfo_2.12-1.3.5.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.3.5/zinc_2.12-1.3.5.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.3.10/core-macros_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.3.3/util-cache_2.12-1.3.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1.3.3/util-control_2.12-1.3.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.3.10/protocol_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-core_2.12/0.8.3/sjson-new-core_2.12-0.8.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/template-resolver/0.1/template-resolver-0.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/1.3.3/util-position_2.12-1.3.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/1.3.3/util-scripted_2.12-1.3.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2.12/1.3.5/zinc-compile-core_2.12-1.3.5.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.26/slf4j-api-1.7.26.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/cb372/scalacache-core_2.12/0.20.0/scalacache-core_2.12-0.20.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/ben-manes/caffeine/caffeine/2.5.6/caffeine-2.5.6.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-interface/1.3.3/util-interface-1.3.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lmax/disruptor/3.4.2/disruptor-3.4.2.jar:/home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-compiler.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/jcraft/jsch/0.1.54/jsch-0.1.54.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/gigahorse-okhttp_2.12/0.5.0/gigahorse-okhttp_2.12-0.5.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okhttp3/okhttp-urlconnection/3.7.0/okhttp-urlconnection-3.7.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5/ivy-2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.7.0/protobuf-java-3.7.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-agent/1.3.10/test-agent-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/shaded-scalajson_2.12/1.0.0-M4/shaded-scalajson_2.12-1.0.0-M4.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/spire-math/jawn-parser_2.12/0.10.4/jawn-parser_2.12-0.10.4.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.12/1.3.5/compiler-bridge_2.12-1.3.5.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12/1.3.5/zinc-classfile_2.12-1.3.5.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.5/zinc-core_2.12-1.3.5.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1.3.5/zinc-persist_2.12-1.3.5.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-murmurhash_2.12/0.8.3/sjson-new-murmurhash_2.12-0.8.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/ipcsocket/ipcsocket/1.0.1/ipcsocket-1.0.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-parser-combinators_2.12/1.1.2/scala-parser-combinators_2.12-1.1.2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/gigahorse-core_2.12/0.5.0/gigahorse-core_2.12-0.5.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okhttp3/okhttp/3.14.2/okhttp-3.14.2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/trueaccord/scalapb/scalapb-runtime_2.12/0.6.0/scalapb-runtime_2.12-0.6.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.5.0/sbinary_2.12-0.5.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/typesafe/ssl-config-core_2.12/0.4.0/ssl-config-core_2.12-0.4.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/reactivestreams/reactive-streams/1.0.2/reactive-streams-1.0.2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okio/okio/1.17.2/okio-1.17.2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/trueaccord/lenses/lenses_2.12/0.4.12/lenses_2.12-0.4.12.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/fastparse_2.12/0.4.2/fastparse_2.12-0.4.2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/typesafe/config/1.3.3/config-1.3.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/fastparse-utils_2.12/0.4.2/fastparse-utils_2.12-0.4.2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.12/0.1.3/sourcecode_2.12-0.1.3.jar diff --git a/project/target/streams/compile/exportedProducts/_global/streams/export b/project/target/streams/compile/exportedProducts/_global/streams/export index 30c0db45..fd258ea7 100644 --- a/project/target/streams/compile/exportedProducts/_global/streams/export +++ b/project/target/streams/compile/exportedProducts/_global/streams/export @@ -1 +1 @@ -/home/abdulhameed.akram/Documents/SweRV-Chislified/project/target/scala-2.12/sbt-1.0/classes +/home/laraibkhan/Desktop/SweRV-Chislified/project/target/scala-2.12/sbt-1.0/classes diff --git a/project/target/streams/compile/externalDependencyClasspath/_global/streams/export b/project/target/streams/compile/externalDependencyClasspath/_global/streams/export index 1323851b..dae7de2e 100644 --- a/project/target/streams/compile/externalDependencyClasspath/_global/streams/export +++ b/project/target/streams/compile/externalDependencyClasspath/_global/streams/export @@ -1 +1 @@ -/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/lib/scala-library.jar:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-structure-extractor/scala_2.12/sbt_1.0/2020.3/jars/sbt-structure-extractor.jar:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/jars/sbt-idea-shell.jar:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains.scala/sbt-idea-compiler-indices/scala_2.12/sbt_1.0/1.0.8/jars/sbt-idea-compiler-indices.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/jetbrains/scala/scala-compiler-indices-protocol_2.12/1.0.8/scala-compiler-indices-protocol_2.12-1.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/io/spray/spray-json_2.12/1.3.5/spray-json_2.12-1.3.5.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-classpath_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jna-platform-5.5.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/scala-parser-combinators_2.12-1.1.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/librarymanagement-core_2.12-1.4.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/caffeine-2.8.5.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-terminal-jansi-3.16.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/okhttp-urlconnection-3.7.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/sjson-new-murmurhash_2.12-0.9.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-position_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/log4j-api-2.11.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-reader-3.16.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-tracking_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-relation_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/test-interface-1.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/run_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/io_2.12-1.4.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/main-settings_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/log4j-core-2.11.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/lm-coursier-shaded_2.12-2.0.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/disruptor-3.4.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/librarymanagement-ivy_2.12-1.4.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jansi-1.18.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-style-3.16.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/ipcsocket-1.1.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/checker-qual-3.4.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/slf4j-api-1.7.26.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/scala-reflect-2.12.12.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-compile_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-2.14.7-sbt-5e51b9d4f9631ebfa29753ce4accc57808e7fd6b.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/testing_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/test-agent-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/launcher-interface-1.1.5.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-core_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-interface-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-persist-core-assembly-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-compile-core_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/compiler-bridge_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/gigahorse-core_2.12-0.5.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/template-resolver-0.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-logging_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-lm-integration_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/error_prone_annotations-2.4.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/completion_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/sbt-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/shaded-jawn-parser_2.12-0.9.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/okio-1.17.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jna-5.5.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/logic_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/reactive-streams-1.0.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/shaded-scalajson_2.12-1.0.0-M4.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jsch-0.1.54.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-builtins-3.16.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/task-system_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/scala-xml_2.12-1.3.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/log4j-slf4j-impl-2.11.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/config-1.3.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/sjson-new-scalajson_2.12-0.9.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-classfile_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/sjson-new-core_2.12-0.9.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/sbinary_2.12-0.5.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/okhttp-3.14.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/core-macros_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/command_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/tasks_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zero-allocation-hashing-0.10.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/protocol_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-terminal-jna-3.16.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/file-tree-views-2.1.4.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/actions_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-persist_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/compiler-interface-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-cache_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-terminal-3.16.0-sbt-211a082ed6326908dc84ca017ce4430728f18a8a.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/collections_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/scala-collection-compat_2.12-2.2.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-control_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/main_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-terminal-3.16.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-apiinfo_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/ivy-2.3.0-sbt-839fad1cdc07cf6fc81364d74c323867230432ad.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/ssl-config-core_2.12-0.4.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/scripted-plugin_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/gigahorse-okhttp_2.12-0.5.0.jar +/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.10/sbt-1.3.10.jar:/home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.10/main_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.3.4/io_2.12-1.3.4.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.3.10/logic_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.3.10/actions_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/1.3.10/main-settings_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.3.10/run_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.3.10/command_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.3.10/collections_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/scripted-sbt-redux_2.12/1.3.10/scripted-sbt-redux_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.12/1.3.10/scripted-plugin_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration_2.12/1.3.10/zinc-lm-integration_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/launcher-interface/1.1.3/launcher-interface-1.1.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-api/2.11.2/log4j-api-2.11.2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-core/2.11.2/log4j-core-2.11.2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-slf4j-impl/2.11.2/log4j-slf4j-impl-2.11.2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/cb372/scalacache-caffeine_2.12/0.20.0/scalacache-caffeine_2.12-0.20.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/lm-coursier-shaded_2.12/2.0.0-RC6-2/lm-coursier-shaded_2.12-2.0.0-RC6-2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1.3.3/util-logging_2.12-1.3.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/librarymanagement-core_2.12/1.3.2/librarymanagement-core_2.12-1.3.2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/librarymanagement-ivy_2.12/1.3.2/librarymanagement-ivy_2.12-1.3.2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/compiler-interface/1.3.5/compiler-interface-1.3.5.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-compile_2.12/1.3.5/zinc-compile_2.12-1.3.5.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/swoval/file-tree-views/2.1.3/file-tree-views-2.1.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/java/dev/jna/jna/5.5.0/jna-5.5.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/java/dev/jna/jna-platform/5.5.0/jna-platform-5.5.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-relation_2.12/1.3.3/util-relation_2.12-1.3.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.3.10/completion_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.3.10/task-system_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.3.10/tasks_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.3.10/testing_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-scalajson_2.12/0.8.3/sjson-new-scalajson_2.12-0.8.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/1.3.3/util-tracking_2.12-1.3.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12/1.3.5/zinc-classpath_2.12-1.3.5.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1.3.5/zinc-apiinfo_2.12-1.3.5.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.3.5/zinc_2.12-1.3.5.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.3.10/core-macros_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.3.3/util-cache_2.12-1.3.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1.3.3/util-control_2.12-1.3.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.3.10/protocol_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-core_2.12/0.8.3/sjson-new-core_2.12-0.8.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/template-resolver/0.1/template-resolver-0.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/1.3.3/util-position_2.12-1.3.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/1.3.3/util-scripted_2.12-1.3.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2.12/1.3.5/zinc-compile-core_2.12-1.3.5.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.26/slf4j-api-1.7.26.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/cb372/scalacache-core_2.12/0.20.0/scalacache-core_2.12-0.20.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/ben-manes/caffeine/caffeine/2.5.6/caffeine-2.5.6.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-interface/1.3.3/util-interface-1.3.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lmax/disruptor/3.4.2/disruptor-3.4.2.jar:/home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-compiler.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/jcraft/jsch/0.1.54/jsch-0.1.54.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/gigahorse-okhttp_2.12/0.5.0/gigahorse-okhttp_2.12-0.5.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okhttp3/okhttp-urlconnection/3.7.0/okhttp-urlconnection-3.7.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5/ivy-2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.7.0/protobuf-java-3.7.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-agent/1.3.10/test-agent-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/shaded-scalajson_2.12/1.0.0-M4/shaded-scalajson_2.12-1.0.0-M4.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/spire-math/jawn-parser_2.12/0.10.4/jawn-parser_2.12-0.10.4.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.12/1.3.5/compiler-bridge_2.12-1.3.5.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12/1.3.5/zinc-classfile_2.12-1.3.5.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.5/zinc-core_2.12-1.3.5.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1.3.5/zinc-persist_2.12-1.3.5.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-murmurhash_2.12/0.8.3/sjson-new-murmurhash_2.12-0.8.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/ipcsocket/ipcsocket/1.0.1/ipcsocket-1.0.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-parser-combinators_2.12/1.1.2/scala-parser-combinators_2.12-1.1.2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/gigahorse-core_2.12/0.5.0/gigahorse-core_2.12-0.5.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okhttp3/okhttp/3.14.2/okhttp-3.14.2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/trueaccord/scalapb/scalapb-runtime_2.12/0.6.0/scalapb-runtime_2.12-0.6.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.5.0/sbinary_2.12-0.5.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/typesafe/ssl-config-core_2.12/0.4.0/ssl-config-core_2.12-0.4.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/reactivestreams/reactive-streams/1.0.2/reactive-streams-1.0.2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okio/okio/1.17.2/okio-1.17.2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/trueaccord/lenses/lenses_2.12/0.4.12/lenses_2.12-0.4.12.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/fastparse_2.12/0.4.2/fastparse_2.12-0.4.2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/typesafe/config/1.3.3/config-1.3.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/fastparse-utils_2.12/0.4.2/fastparse-utils_2.12-0.4.2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.12/0.1.3/sourcecode_2.12-0.1.3.jar diff --git a/project/target/streams/compile/incOptions/_global/streams/out b/project/target/streams/compile/incOptions/_global/streams/out index 6858bcb1..b04f87de 100644 --- a/project/target/streams/compile/incOptions/_global/streams/out +++ b/project/target/streams/compile/incOptions/_global/streams/out @@ -1,2 +1,2 @@ -[debug] Created transactional ClassFileManager with tempDir = /home/abdulhameed.akram/Documents/SweRV-Chislified/project/target/scala-2.12/sbt-1.0/classes.bak -[debug] Removing the temporary directory used for backing up class files: /home/abdulhameed.akram/Documents/SweRV-Chislified/project/target/scala-2.12/sbt-1.0/classes.bak +[debug] Created transactional ClassFileManager with tempDir = /home/abdulhameed.akram/Downloads/SweRV-Chislified_withlsu/project/target/scala-2.12/sbt-1.0/classes.bak +[debug] Removing the temporary directory used for backing up class files: /home/abdulhameed.akram/Downloads/SweRV-Chislified_withlsu/project/target/scala-2.12/sbt-1.0/classes.bak diff --git a/project/target/streams/compile/managedClasspath/_global/streams/export b/project/target/streams/compile/managedClasspath/_global/streams/export index 1323851b..dae7de2e 100644 --- a/project/target/streams/compile/managedClasspath/_global/streams/export +++ b/project/target/streams/compile/managedClasspath/_global/streams/export @@ -1 +1 @@ -/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/lib/scala-library.jar:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-structure-extractor/scala_2.12/sbt_1.0/2020.3/jars/sbt-structure-extractor.jar:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/jars/sbt-idea-shell.jar:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains.scala/sbt-idea-compiler-indices/scala_2.12/sbt_1.0/1.0.8/jars/sbt-idea-compiler-indices.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/jetbrains/scala/scala-compiler-indices-protocol_2.12/1.0.8/scala-compiler-indices-protocol_2.12-1.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/io/spray/spray-json_2.12/1.3.5/spray-json_2.12-1.3.5.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-classpath_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jna-platform-5.5.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/scala-parser-combinators_2.12-1.1.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/librarymanagement-core_2.12-1.4.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/caffeine-2.8.5.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-terminal-jansi-3.16.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/okhttp-urlconnection-3.7.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/sjson-new-murmurhash_2.12-0.9.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-position_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/log4j-api-2.11.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-reader-3.16.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-tracking_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-relation_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/test-interface-1.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/run_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/io_2.12-1.4.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/main-settings_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/log4j-core-2.11.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/lm-coursier-shaded_2.12-2.0.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/disruptor-3.4.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/librarymanagement-ivy_2.12-1.4.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jansi-1.18.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-style-3.16.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/ipcsocket-1.1.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/checker-qual-3.4.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/slf4j-api-1.7.26.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/scala-reflect-2.12.12.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-compile_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-2.14.7-sbt-5e51b9d4f9631ebfa29753ce4accc57808e7fd6b.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/testing_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/test-agent-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/launcher-interface-1.1.5.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-core_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-interface-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-persist-core-assembly-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-compile-core_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/compiler-bridge_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/gigahorse-core_2.12-0.5.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/template-resolver-0.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-logging_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-lm-integration_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/error_prone_annotations-2.4.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/completion_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/sbt-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/shaded-jawn-parser_2.12-0.9.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/okio-1.17.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jna-5.5.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/logic_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/reactive-streams-1.0.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/shaded-scalajson_2.12-1.0.0-M4.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jsch-0.1.54.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-builtins-3.16.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/task-system_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/scala-xml_2.12-1.3.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/log4j-slf4j-impl-2.11.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/config-1.3.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/sjson-new-scalajson_2.12-0.9.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-classfile_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/sjson-new-core_2.12-0.9.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/sbinary_2.12-0.5.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/okhttp-3.14.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/core-macros_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/command_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/tasks_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zero-allocation-hashing-0.10.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/protocol_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-terminal-jna-3.16.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/file-tree-views-2.1.4.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/actions_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-persist_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/compiler-interface-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-cache_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-terminal-3.16.0-sbt-211a082ed6326908dc84ca017ce4430728f18a8a.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/collections_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/scala-collection-compat_2.12-2.2.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-control_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/main_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-terminal-3.16.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-apiinfo_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/ivy-2.3.0-sbt-839fad1cdc07cf6fc81364d74c323867230432ad.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/ssl-config-core_2.12-0.4.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/scripted-plugin_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/gigahorse-okhttp_2.12-0.5.0.jar +/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.10/sbt-1.3.10.jar:/home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.10/main_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.3.4/io_2.12-1.3.4.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.3.10/logic_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.3.10/actions_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/1.3.10/main-settings_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.3.10/run_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.3.10/command_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.3.10/collections_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/scripted-sbt-redux_2.12/1.3.10/scripted-sbt-redux_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.12/1.3.10/scripted-plugin_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration_2.12/1.3.10/zinc-lm-integration_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/launcher-interface/1.1.3/launcher-interface-1.1.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-api/2.11.2/log4j-api-2.11.2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-core/2.11.2/log4j-core-2.11.2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-slf4j-impl/2.11.2/log4j-slf4j-impl-2.11.2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/cb372/scalacache-caffeine_2.12/0.20.0/scalacache-caffeine_2.12-0.20.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/lm-coursier-shaded_2.12/2.0.0-RC6-2/lm-coursier-shaded_2.12-2.0.0-RC6-2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1.3.3/util-logging_2.12-1.3.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/librarymanagement-core_2.12/1.3.2/librarymanagement-core_2.12-1.3.2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/librarymanagement-ivy_2.12/1.3.2/librarymanagement-ivy_2.12-1.3.2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/compiler-interface/1.3.5/compiler-interface-1.3.5.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-compile_2.12/1.3.5/zinc-compile_2.12-1.3.5.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/swoval/file-tree-views/2.1.3/file-tree-views-2.1.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/java/dev/jna/jna/5.5.0/jna-5.5.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/java/dev/jna/jna-platform/5.5.0/jna-platform-5.5.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-relation_2.12/1.3.3/util-relation_2.12-1.3.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.3.10/completion_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.3.10/task-system_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.3.10/tasks_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.3.10/testing_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-scalajson_2.12/0.8.3/sjson-new-scalajson_2.12-0.8.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/1.3.3/util-tracking_2.12-1.3.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12/1.3.5/zinc-classpath_2.12-1.3.5.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1.3.5/zinc-apiinfo_2.12-1.3.5.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.3.5/zinc_2.12-1.3.5.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.3.10/core-macros_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.3.3/util-cache_2.12-1.3.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1.3.3/util-control_2.12-1.3.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.3.10/protocol_2.12-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-core_2.12/0.8.3/sjson-new-core_2.12-0.8.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/template-resolver/0.1/template-resolver-0.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/1.3.3/util-position_2.12-1.3.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/1.3.3/util-scripted_2.12-1.3.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2.12/1.3.5/zinc-compile-core_2.12-1.3.5.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.26/slf4j-api-1.7.26.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/cb372/scalacache-core_2.12/0.20.0/scalacache-core_2.12-0.20.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/ben-manes/caffeine/caffeine/2.5.6/caffeine-2.5.6.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-interface/1.3.3/util-interface-1.3.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lmax/disruptor/3.4.2/disruptor-3.4.2.jar:/home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-compiler.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/jcraft/jsch/0.1.54/jsch-0.1.54.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/gigahorse-okhttp_2.12/0.5.0/gigahorse-okhttp_2.12-0.5.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okhttp3/okhttp-urlconnection/3.7.0/okhttp-urlconnection-3.7.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5/ivy-2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.7.0/protobuf-java-3.7.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-agent/1.3.10/test-agent-1.3.10.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/shaded-scalajson_2.12/1.0.0-M4/shaded-scalajson_2.12-1.0.0-M4.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/spire-math/jawn-parser_2.12/0.10.4/jawn-parser_2.12-0.10.4.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.12/1.3.5/compiler-bridge_2.12-1.3.5.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12/1.3.5/zinc-classfile_2.12-1.3.5.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.5/zinc-core_2.12-1.3.5.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1.3.5/zinc-persist_2.12-1.3.5.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-murmurhash_2.12/0.8.3/sjson-new-murmurhash_2.12-0.8.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/ipcsocket/ipcsocket/1.0.1/ipcsocket-1.0.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-parser-combinators_2.12/1.1.2/scala-parser-combinators_2.12-1.1.2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/gigahorse-core_2.12/0.5.0/gigahorse-core_2.12-0.5.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okhttp3/okhttp/3.14.2/okhttp-3.14.2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/trueaccord/scalapb/scalapb-runtime_2.12/0.6.0/scalapb-runtime_2.12-0.6.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.5.0/sbinary_2.12-0.5.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/typesafe/ssl-config-core_2.12/0.4.0/ssl-config-core_2.12-0.4.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/reactivestreams/reactive-streams/1.0.2/reactive-streams-1.0.2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okio/okio/1.17.2/okio-1.17.2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/trueaccord/lenses/lenses_2.12/0.4.12/lenses_2.12-0.4.12.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/fastparse_2.12/0.4.2/fastparse_2.12-0.4.2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/typesafe/config/1.3.3/config-1.3.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/fastparse-utils_2.12/0.4.2/fastparse-utils_2.12-0.4.2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.12/0.1.3/sourcecode_2.12-0.1.3.jar diff --git a/project/target/streams/runtime/dependencyClasspath/_global/streams/export b/project/target/streams/runtime/dependencyClasspath/_global/streams/export index 1e3d2513..4b8c689e 100644 --- a/project/target/streams/runtime/dependencyClasspath/_global/streams/export +++ b/project/target/streams/runtime/dependencyClasspath/_global/streams/export @@ -1 +1 @@ -/home/abdulhameed.akram/Documents/SweRV-Chislified/project/target/scala-2.12/sbt-1.0/classes:/home/abdulhameed.akram/.sbt/1.0/plugins/target/scala-2.12/sbt-1.0/classes:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-structure-extractor/scala_2.12/sbt_1.0/2020.3/jars/sbt-structure-extractor.jar:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/jars/sbt-idea-shell.jar:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains.scala/sbt-idea-compiler-indices/scala_2.12/sbt_1.0/1.0.8/jars/sbt-idea-compiler-indices.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/jetbrains/scala/scala-compiler-indices-protocol_2.12/1.0.8/scala-compiler-indices-protocol_2.12-1.0.8.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/lib/scala-library.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/io/spray/spray-json_2.12/1.3.5/spray-json_2.12-1.3.5.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-classpath_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jna-platform-5.5.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/scala-parser-combinators_2.12-1.1.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/librarymanagement-core_2.12-1.4.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/caffeine-2.8.5.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-terminal-jansi-3.16.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/okhttp-urlconnection-3.7.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/sjson-new-murmurhash_2.12-0.9.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-position_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/log4j-api-2.11.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-reader-3.16.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-tracking_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-relation_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/test-interface-1.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/run_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/io_2.12-1.4.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/main-settings_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/log4j-core-2.11.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/lm-coursier-shaded_2.12-2.0.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/disruptor-3.4.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/librarymanagement-ivy_2.12-1.4.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jansi-1.18.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-style-3.16.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/ipcsocket-1.1.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/checker-qual-3.4.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/slf4j-api-1.7.26.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/scala-reflect-2.12.12.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-compile_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-2.14.7-sbt-5e51b9d4f9631ebfa29753ce4accc57808e7fd6b.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/testing_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/test-agent-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/launcher-interface-1.1.5.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-core_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-interface-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-persist-core-assembly-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-compile-core_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/compiler-bridge_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/gigahorse-core_2.12-0.5.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/template-resolver-0.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-logging_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-lm-integration_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/error_prone_annotations-2.4.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/completion_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/sbt-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/shaded-jawn-parser_2.12-0.9.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/okio-1.17.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jna-5.5.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/logic_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/reactive-streams-1.0.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/shaded-scalajson_2.12-1.0.0-M4.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jsch-0.1.54.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-builtins-3.16.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/task-system_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/scala-xml_2.12-1.3.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/log4j-slf4j-impl-2.11.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/config-1.3.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/sjson-new-scalajson_2.12-0.9.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-classfile_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/sjson-new-core_2.12-0.9.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/sbinary_2.12-0.5.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/okhttp-3.14.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/core-macros_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/command_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/tasks_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zero-allocation-hashing-0.10.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/protocol_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-terminal-jna-3.16.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/file-tree-views-2.1.4.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/actions_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-persist_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/compiler-interface-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-cache_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-terminal-3.16.0-sbt-211a082ed6326908dc84ca017ce4430728f18a8a.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/collections_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/scala-collection-compat_2.12-2.2.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-control_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/main_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-terminal-3.16.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-apiinfo_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/ivy-2.3.0-sbt-839fad1cdc07cf6fc81364d74c323867230432ad.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/ssl-config-core_2.12-0.4.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/scripted-plugin_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/gigahorse-okhttp_2.12-0.5.0.jar +/home/laraibkhan/Desktop/SweRV-Chislified/project/target/scala-2.12/sbt-1.0/classes:/home/laraibkhan/.sbt/1.0/plugins/target/scala-2.12/sbt-1.0/classes diff --git a/project/target/streams/runtime/exportedProducts/_global/streams/export b/project/target/streams/runtime/exportedProducts/_global/streams/export index 30c0db45..fd258ea7 100644 --- a/project/target/streams/runtime/exportedProducts/_global/streams/export +++ b/project/target/streams/runtime/exportedProducts/_global/streams/export @@ -1 +1 @@ -/home/abdulhameed.akram/Documents/SweRV-Chislified/project/target/scala-2.12/sbt-1.0/classes +/home/laraibkhan/Desktop/SweRV-Chislified/project/target/scala-2.12/sbt-1.0/classes diff --git a/project/target/streams/runtime/externalDependencyClasspath/_global/streams/export b/project/target/streams/runtime/externalDependencyClasspath/_global/streams/export index ea2c5f5c..8b137891 100644 --- a/project/target/streams/runtime/externalDependencyClasspath/_global/streams/export +++ b/project/target/streams/runtime/externalDependencyClasspath/_global/streams/export @@ -1 +1 @@ -/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-structure-extractor/scala_2.12/sbt_1.0/2020.3/jars/sbt-structure-extractor.jar:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/jars/sbt-idea-shell.jar:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains.scala/sbt-idea-compiler-indices/scala_2.12/sbt_1.0/1.0.8/jars/sbt-idea-compiler-indices.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/jetbrains/scala/scala-compiler-indices-protocol_2.12/1.0.8/scala-compiler-indices-protocol_2.12-1.0.8.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/lib/scala-library.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/io/spray/spray-json_2.12/1.3.5/spray-json_2.12-1.3.5.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-classpath_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jna-platform-5.5.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/scala-parser-combinators_2.12-1.1.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/librarymanagement-core_2.12-1.4.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/caffeine-2.8.5.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-terminal-jansi-3.16.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/okhttp-urlconnection-3.7.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/sjson-new-murmurhash_2.12-0.9.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-position_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/log4j-api-2.11.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-reader-3.16.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-tracking_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-relation_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/test-interface-1.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/run_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/io_2.12-1.4.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/main-settings_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/log4j-core-2.11.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/lm-coursier-shaded_2.12-2.0.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/disruptor-3.4.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/librarymanagement-ivy_2.12-1.4.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jansi-1.18.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-style-3.16.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/ipcsocket-1.1.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/checker-qual-3.4.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/slf4j-api-1.7.26.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/scala-reflect-2.12.12.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-compile_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-2.14.7-sbt-5e51b9d4f9631ebfa29753ce4accc57808e7fd6b.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/testing_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/test-agent-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/launcher-interface-1.1.5.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-core_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-interface-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-persist-core-assembly-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-compile-core_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/compiler-bridge_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/gigahorse-core_2.12-0.5.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/template-resolver-0.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-logging_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-lm-integration_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/error_prone_annotations-2.4.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/completion_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/sbt-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/shaded-jawn-parser_2.12-0.9.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/okio-1.17.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jna-5.5.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/logic_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/reactive-streams-1.0.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/shaded-scalajson_2.12-1.0.0-M4.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jsch-0.1.54.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-builtins-3.16.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/task-system_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/scala-xml_2.12-1.3.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/log4j-slf4j-impl-2.11.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/config-1.3.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/sjson-new-scalajson_2.12-0.9.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-classfile_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/sjson-new-core_2.12-0.9.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/sbinary_2.12-0.5.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/okhttp-3.14.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/core-macros_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/command_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/tasks_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zero-allocation-hashing-0.10.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/protocol_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-terminal-jna-3.16.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/file-tree-views-2.1.4.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/actions_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-persist_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/compiler-interface-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-cache_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-terminal-3.16.0-sbt-211a082ed6326908dc84ca017ce4430728f18a8a.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/collections_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/scala-collection-compat_2.12-2.2.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-control_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/main_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-terminal-3.16.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-apiinfo_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/ivy-2.3.0-sbt-839fad1cdc07cf6fc81364d74c323867230432ad.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/ssl-config-core_2.12-0.4.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/scripted-plugin_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/gigahorse-okhttp_2.12-0.5.0.jar + diff --git a/project/target/streams/runtime/fullClasspath/_global/streams/export b/project/target/streams/runtime/fullClasspath/_global/streams/export index 1e3d2513..4b8c689e 100644 --- a/project/target/streams/runtime/fullClasspath/_global/streams/export +++ b/project/target/streams/runtime/fullClasspath/_global/streams/export @@ -1 +1 @@ -/home/abdulhameed.akram/Documents/SweRV-Chislified/project/target/scala-2.12/sbt-1.0/classes:/home/abdulhameed.akram/.sbt/1.0/plugins/target/scala-2.12/sbt-1.0/classes:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-structure-extractor/scala_2.12/sbt_1.0/2020.3/jars/sbt-structure-extractor.jar:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/jars/sbt-idea-shell.jar:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains.scala/sbt-idea-compiler-indices/scala_2.12/sbt_1.0/1.0.8/jars/sbt-idea-compiler-indices.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/jetbrains/scala/scala-compiler-indices-protocol_2.12/1.0.8/scala-compiler-indices-protocol_2.12-1.0.8.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/lib/scala-library.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/io/spray/spray-json_2.12/1.3.5/spray-json_2.12-1.3.5.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-classpath_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jna-platform-5.5.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/scala-parser-combinators_2.12-1.1.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/librarymanagement-core_2.12-1.4.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/caffeine-2.8.5.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-terminal-jansi-3.16.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/okhttp-urlconnection-3.7.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/sjson-new-murmurhash_2.12-0.9.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-position_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/log4j-api-2.11.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-reader-3.16.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-tracking_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-relation_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/test-interface-1.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/run_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/io_2.12-1.4.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/main-settings_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/log4j-core-2.11.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/lm-coursier-shaded_2.12-2.0.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/disruptor-3.4.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/librarymanagement-ivy_2.12-1.4.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jansi-1.18.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-style-3.16.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/ipcsocket-1.1.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/checker-qual-3.4.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/slf4j-api-1.7.26.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/scala-reflect-2.12.12.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-compile_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-2.14.7-sbt-5e51b9d4f9631ebfa29753ce4accc57808e7fd6b.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/testing_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/test-agent-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/launcher-interface-1.1.5.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-core_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-interface-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-persist-core-assembly-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-compile-core_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/compiler-bridge_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/gigahorse-core_2.12-0.5.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/template-resolver-0.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-logging_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-lm-integration_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/error_prone_annotations-2.4.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/completion_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/sbt-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/shaded-jawn-parser_2.12-0.9.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/okio-1.17.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jna-5.5.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/logic_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/reactive-streams-1.0.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/shaded-scalajson_2.12-1.0.0-M4.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jsch-0.1.54.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-builtins-3.16.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/task-system_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/scala-xml_2.12-1.3.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/log4j-slf4j-impl-2.11.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/config-1.3.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/sjson-new-scalajson_2.12-0.9.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-classfile_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/sjson-new-core_2.12-0.9.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/sbinary_2.12-0.5.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/okhttp-3.14.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/core-macros_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/command_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/tasks_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zero-allocation-hashing-0.10.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/protocol_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-terminal-jna-3.16.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/file-tree-views-2.1.4.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/actions_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-persist_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/compiler-interface-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-cache_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-terminal-3.16.0-sbt-211a082ed6326908dc84ca017ce4430728f18a8a.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/collections_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/scala-collection-compat_2.12-2.2.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-control_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/main_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-terminal-3.16.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-apiinfo_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/ivy-2.3.0-sbt-839fad1cdc07cf6fc81364d74c323867230432ad.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/ssl-config-core_2.12-0.4.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/scripted-plugin_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/gigahorse-okhttp_2.12-0.5.0.jar +/home/laraibkhan/Desktop/SweRV-Chislified/project/target/scala-2.12/sbt-1.0/classes:/home/laraibkhan/.sbt/1.0/plugins/target/scala-2.12/sbt-1.0/classes diff --git a/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export b/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export index 30c0db45..fd258ea7 100644 --- a/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export +++ b/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export @@ -1 +1 @@ -/home/abdulhameed.akram/Documents/SweRV-Chislified/project/target/scala-2.12/sbt-1.0/classes +/home/laraibkhan/Desktop/SweRV-Chislified/project/target/scala-2.12/sbt-1.0/classes diff --git a/project/target/streams/runtime/managedClasspath/_global/streams/export b/project/target/streams/runtime/managedClasspath/_global/streams/export index ea2c5f5c..8b137891 100644 --- a/project/target/streams/runtime/managedClasspath/_global/streams/export +++ b/project/target/streams/runtime/managedClasspath/_global/streams/export @@ -1 +1 @@ -/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-structure-extractor/scala_2.12/sbt_1.0/2020.3/jars/sbt-structure-extractor.jar:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-idea-shell/scala_2.12/sbt_1.0/2018.3/jars/sbt-idea-shell.jar:/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains.scala/sbt-idea-compiler-indices/scala_2.12/sbt_1.0/1.0.8/jars/sbt-idea-compiler-indices.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/jetbrains/scala/scala-compiler-indices-protocol_2.12/1.0.8/scala-compiler-indices-protocol_2.12-1.0.8.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/lib/scala-library.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/io/spray/spray-json_2.12/1.3.5/spray-json_2.12-1.3.5.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-classpath_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jna-platform-5.5.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/scala-parser-combinators_2.12-1.1.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/librarymanagement-core_2.12-1.4.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/caffeine-2.8.5.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-terminal-jansi-3.16.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/okhttp-urlconnection-3.7.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/sjson-new-murmurhash_2.12-0.9.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-position_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/log4j-api-2.11.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-reader-3.16.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-tracking_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-relation_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/test-interface-1.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/run_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/io_2.12-1.4.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/main-settings_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/log4j-core-2.11.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/lm-coursier-shaded_2.12-2.0.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/disruptor-3.4.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/librarymanagement-ivy_2.12-1.4.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jansi-1.18.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-style-3.16.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/ipcsocket-1.1.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/checker-qual-3.4.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/slf4j-api-1.7.26.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/scala-reflect-2.12.12.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-compile_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-2.14.7-sbt-5e51b9d4f9631ebfa29753ce4accc57808e7fd6b.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/testing_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/test-agent-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/launcher-interface-1.1.5.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-core_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-interface-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-persist-core-assembly-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-compile-core_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/compiler-bridge_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/gigahorse-core_2.12-0.5.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/template-resolver-0.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-logging_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-lm-integration_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/error_prone_annotations-2.4.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/completion_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/sbt-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/shaded-jawn-parser_2.12-0.9.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/okio-1.17.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jna-5.5.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/logic_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/reactive-streams-1.0.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/shaded-scalajson_2.12-1.0.0-M4.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jsch-0.1.54.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-builtins-3.16.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/task-system_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/scala-xml_2.12-1.3.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/log4j-slf4j-impl-2.11.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/config-1.3.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/sjson-new-scalajson_2.12-0.9.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-classfile_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/sjson-new-core_2.12-0.9.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/sbinary_2.12-0.5.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/okhttp-3.14.2.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/core-macros_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/command_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/tasks_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zero-allocation-hashing-0.10.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/protocol_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-terminal-jna-3.16.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/file-tree-views-2.1.4.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/actions_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-persist_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/compiler-interface-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-cache_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-terminal-3.16.0-sbt-211a082ed6326908dc84ca017ce4430728f18a8a.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/collections_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/scala-collection-compat_2.12-2.2.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/util-control_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/main_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/jline-terminal-3.16.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/zinc-apiinfo_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/ivy-2.3.0-sbt-839fad1cdc07cf6fc81364d74c323867230432ad.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/ssl-config-core_2.12-0.4.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/scripted-plugin_2.12-1.4.3.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.12/org.scala-sbt/sbt/1.4.3/gigahorse-okhttp_2.12-0.5.0.jar + diff --git a/quasar.anno.json b/quasar.anno.json index f12951ca..45c5a322 100644 --- a/quasar.anno.json +++ b/quasar.anno.json @@ -1,45 +1,30 @@ [ { "class":"firrtl.transforms.CombinationalPath", - "sink":"~quasar|quasar>io_swerv_mem_wr_addr_hi", + "sink":"~quasar|quasar>io_dccm_rd_addr_lo", "sources":[ - "~quasar|quasar>io_swerv_mem_rd_data_hi", - "~quasar|quasar>io_swerv_mem_rd_data_lo", + "~quasar|quasar>io_dccm_rd_data_hi", + "~quasar|quasar>io_dccm_rd_data_lo", "~quasar|quasar>io_extintsrc_req", "~quasar|quasar>io_mpc_reset_run_req" ] }, { "class":"firrtl.transforms.CombinationalPath", - "sink":"~quasar|quasar>io_swerv_mem_rd_addr_hi", - "sources":[ - "~quasar|quasar>io_swerv_mem_rd_data_hi", - "~quasar|quasar>io_swerv_mem_rd_data_lo", - "~quasar|quasar>io_extintsrc_req", - "~quasar|quasar>io_mpc_reset_run_req" - ] - }, - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~quasar|quasar>io_ic_rw_addr", + "sink":"~quasar|quasar>io_iccm_wr_data", "sources":[ + "~quasar|quasar>io_iccm_rd_data_ecc", "~quasar|quasar>io_ic_rd_hit", + "~quasar|quasar>io_ic_rd_data", + "~quasar|quasar>io_ifu_axi_r_bits_id", + "~quasar|quasar>io_ifu_axi_r_valid", + "~quasar|quasar>io_ifu_bus_clk_en", "~quasar|quasar>io_mpc_reset_run_req", + "~quasar|quasar>io_dccm_rd_data_hi", + "~quasar|quasar>io_dccm_rd_data_lo", "~quasar|quasar>io_rst_vec", "~quasar|quasar>io_nmi_vec", "~quasar|quasar>io_core_id", - "~quasar|quasar>io_swerv_mem_rd_data_hi", - "~quasar|quasar>io_swerv_mem_rd_data_lo", - "~quasar|quasar>io_extintsrc_req" - ] - }, - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~quasar|quasar>io_swerv_mem_rden", - "sources":[ - "~quasar|quasar>io_swerv_mem_rd_data_hi", - "~quasar|quasar>io_swerv_mem_rd_data_lo", - "~quasar|quasar>io_mpc_reset_run_req", "~quasar|quasar>io_extintsrc_req" ] }, @@ -57,8 +42,8 @@ "~quasar|quasar>io_rst_vec", "~quasar|quasar>io_nmi_vec", "~quasar|quasar>io_core_id", - "~quasar|quasar>io_swerv_mem_rd_data_hi", - "~quasar|quasar>io_swerv_mem_rd_data_lo", + "~quasar|quasar>io_dccm_rd_data_hi", + "~quasar|quasar>io_dccm_rd_data_lo", "~quasar|quasar>io_extintsrc_req" ] }, @@ -71,28 +56,55 @@ "~quasar|quasar>io_ifu_axi_r_valid", "~quasar|quasar>io_ifu_bus_clk_en", "~quasar|quasar>io_mpc_reset_run_req", - "~quasar|quasar>io_swerv_mem_rd_data_hi", - "~quasar|quasar>io_swerv_mem_rd_data_lo", + "~quasar|quasar>io_dccm_rd_data_hi", + "~quasar|quasar>io_dccm_rd_data_lo", "~quasar|quasar>io_core_id", "~quasar|quasar>io_extintsrc_req" ] }, { "class":"firrtl.transforms.CombinationalPath", - "sink":"~quasar|quasar>io_ic_premux_data", + "sink":"~quasar|quasar>io_ic_rw_addr", "sources":[ - "~quasar|quasar>io_iccm_rd_data", "~quasar|quasar>io_ic_rd_hit", + "~quasar|quasar>io_mpc_reset_run_req", + "~quasar|quasar>io_rst_vec", + "~quasar|quasar>io_nmi_vec", + "~quasar|quasar>io_core_id", + "~quasar|quasar>io_dccm_rd_data_hi", + "~quasar|quasar>io_dccm_rd_data_lo", + "~quasar|quasar>io_extintsrc_req" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~quasar|quasar>io_iccm_wr_size", + "sources":[ + "~quasar|quasar>io_iccm_rd_data_ecc", + "~quasar|quasar>io_ic_rd_hit", + "~quasar|quasar>io_ic_rd_data", "~quasar|quasar>io_ifu_axi_r_bits_id", "~quasar|quasar>io_ifu_axi_r_valid", "~quasar|quasar>io_ifu_bus_clk_en", "~quasar|quasar>io_mpc_reset_run_req", - "~quasar|quasar>io_swerv_mem_rd_data_hi", - "~quasar|quasar>io_swerv_mem_rd_data_lo", + "~quasar|quasar>io_dccm_rd_data_hi", + "~quasar|quasar>io_dccm_rd_data_lo", + "~quasar|quasar>io_rst_vec", + "~quasar|quasar>io_nmi_vec", "~quasar|quasar>io_core_id", "~quasar|quasar>io_extintsrc_req" ] }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~quasar|quasar>io_dccm_rd_addr_hi", + "sources":[ + "~quasar|quasar>io_dccm_rd_data_hi", + "~quasar|quasar>io_dccm_rd_data_lo", + "~quasar|quasar>io_extintsrc_req", + "~quasar|quasar>io_mpc_reset_run_req" + ] + }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~quasar|quasar>io_iccm_rw_addr", @@ -107,11 +119,79 @@ "~quasar|quasar>io_rst_vec", "~quasar|quasar>io_nmi_vec", "~quasar|quasar>io_core_id", - "~quasar|quasar>io_swerv_mem_rd_data_hi", - "~quasar|quasar>io_swerv_mem_rd_data_lo", + "~quasar|quasar>io_dccm_rd_data_hi", + "~quasar|quasar>io_dccm_rd_data_lo", "~quasar|quasar>io_extintsrc_req" ] }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~quasar|quasar>io_dccm_wren", + "sources":[ + "~quasar|quasar>io_dccm_rd_data_hi", + "~quasar|quasar>io_dccm_rd_data_lo", + "~quasar|quasar>io_mpc_reset_run_req", + "~quasar|quasar>io_extintsrc_req" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~quasar|quasar>io_dccm_wr_addr_lo", + "sources":[ + "~quasar|quasar>io_dccm_rd_data_hi", + "~quasar|quasar>io_dccm_rd_data_lo", + "~quasar|quasar>io_extintsrc_req", + "~quasar|quasar>io_mpc_reset_run_req" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~quasar|quasar>io_ic_tag_valid", + "sources":[ + "~quasar|quasar>io_mpc_reset_run_req", + "~quasar|quasar>io_core_id", + "~quasar|quasar>io_dccm_rd_data_hi", + "~quasar|quasar>io_dccm_rd_data_lo", + "~quasar|quasar>io_extintsrc_req" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~quasar|quasar>io_iccm_wren", + "sources":[ + "~quasar|quasar>io_iccm_rd_data_ecc", + "~quasar|quasar>io_ic_rd_hit", + "~quasar|quasar>io_ic_rd_data", + "~quasar|quasar>io_ifu_axi_r_bits_id", + "~quasar|quasar>io_ifu_axi_r_valid", + "~quasar|quasar>io_ifu_bus_clk_en", + "~quasar|quasar>io_mpc_reset_run_req", + "~quasar|quasar>io_dccm_rd_data_hi", + "~quasar|quasar>io_dccm_rd_data_lo", + "~quasar|quasar>io_rst_vec", + "~quasar|quasar>io_nmi_vec", + "~quasar|quasar>io_core_id", + "~quasar|quasar>io_extintsrc_req" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~quasar|quasar>io_dccm_rden", + "sources":[ + "~quasar|quasar>io_dccm_rd_data_hi", + "~quasar|quasar>io_dccm_rd_data_lo", + "~quasar|quasar>io_mpc_reset_run_req", + "~quasar|quasar>io_extintsrc_req" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~quasar|quasar>io_core_rst_l", + "sources":[ + "~quasar|quasar>reset", + "~quasar|quasar>io_scan_mode" + ] + }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~quasar|quasar>io_ic_rd_en", @@ -125,137 +205,57 @@ "~quasar|quasar>io_rst_vec", "~quasar|quasar>io_nmi_vec", "~quasar|quasar>io_core_id", - "~quasar|quasar>io_swerv_mem_rd_data_hi", - "~quasar|quasar>io_swerv_mem_rd_data_lo", + "~quasar|quasar>io_dccm_rd_data_hi", + "~quasar|quasar>io_dccm_rd_data_lo", "~quasar|quasar>io_extintsrc_req" ] }, { "class":"firrtl.transforms.CombinationalPath", - "sink":"~quasar|quasar>io_swerv_mem_wr_data_lo", + "sink":"~quasar|quasar>io_ic_premux_data", "sources":[ - "~quasar|quasar>io_swerv_mem_rd_data_hi", - "~quasar|quasar>io_swerv_mem_rd_data_lo", - "~quasar|quasar>io_extintsrc_req", - "~quasar|quasar>io_mpc_reset_run_req" - ] - }, - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~quasar|quasar>io_iccm_wr_data", - "sources":[ - "~quasar|quasar>io_iccm_rd_data_ecc", + "~quasar|quasar>io_iccm_rd_data", "~quasar|quasar>io_ic_rd_hit", - "~quasar|quasar>io_ic_rd_data", "~quasar|quasar>io_ifu_axi_r_bits_id", "~quasar|quasar>io_ifu_axi_r_valid", "~quasar|quasar>io_ifu_bus_clk_en", "~quasar|quasar>io_mpc_reset_run_req", - "~quasar|quasar>io_swerv_mem_rd_data_hi", - "~quasar|quasar>io_swerv_mem_rd_data_lo", - "~quasar|quasar>io_rst_vec", - "~quasar|quasar>io_nmi_vec", + "~quasar|quasar>io_dccm_rd_data_hi", + "~quasar|quasar>io_dccm_rd_data_lo", "~quasar|quasar>io_core_id", "~quasar|quasar>io_extintsrc_req" ] }, { "class":"firrtl.transforms.CombinationalPath", - "sink":"~quasar|quasar>io_swerv_mem_wr_data_hi", + "sink":"~quasar|quasar>io_dccm_wr_addr_hi", "sources":[ - "~quasar|quasar>io_swerv_mem_rd_data_hi", - "~quasar|quasar>io_swerv_mem_rd_data_lo", + "~quasar|quasar>io_dccm_rd_data_hi", + "~quasar|quasar>io_dccm_rd_data_lo", "~quasar|quasar>io_extintsrc_req", "~quasar|quasar>io_mpc_reset_run_req" ] }, { "class":"firrtl.transforms.CombinationalPath", - "sink":"~quasar|quasar>io_iccm_wr_size", + "sink":"~quasar|quasar>io_dccm_wr_data_hi", "sources":[ - "~quasar|quasar>io_iccm_rd_data_ecc", - "~quasar|quasar>io_ic_rd_hit", - "~quasar|quasar>io_ic_rd_data", - "~quasar|quasar>io_ifu_axi_r_bits_id", - "~quasar|quasar>io_ifu_axi_r_valid", - "~quasar|quasar>io_ifu_bus_clk_en", - "~quasar|quasar>io_mpc_reset_run_req", - "~quasar|quasar>io_swerv_mem_rd_data_hi", - "~quasar|quasar>io_swerv_mem_rd_data_lo", - "~quasar|quasar>io_rst_vec", - "~quasar|quasar>io_nmi_vec", - "~quasar|quasar>io_core_id", - "~quasar|quasar>io_extintsrc_req" - ] - }, - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~quasar|quasar>io_swerv_mem_wren", - "sources":[ - "~quasar|quasar>io_swerv_mem_rd_data_hi", - "~quasar|quasar>io_swerv_mem_rd_data_lo", - "~quasar|quasar>io_mpc_reset_run_req", - "~quasar|quasar>io_extintsrc_req" - ] - }, - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~quasar|quasar>io_core_rst_l", - "sources":[ - "~quasar|quasar>reset", - "~quasar|quasar>io_scan_mode" - ] - }, - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~quasar|quasar>io_swerv_mem_wr_addr_lo", - "sources":[ - "~quasar|quasar>io_swerv_mem_rd_data_hi", - "~quasar|quasar>io_swerv_mem_rd_data_lo", + "~quasar|quasar>io_dccm_rd_data_hi", + "~quasar|quasar>io_dccm_rd_data_lo", "~quasar|quasar>io_extintsrc_req", "~quasar|quasar>io_mpc_reset_run_req" ] }, { "class":"firrtl.transforms.CombinationalPath", - "sink":"~quasar|quasar>io_iccm_wren", + "sink":"~quasar|quasar>io_dccm_wr_data_lo", "sources":[ - "~quasar|quasar>io_iccm_rd_data_ecc", - "~quasar|quasar>io_ic_rd_hit", - "~quasar|quasar>io_ic_rd_data", - "~quasar|quasar>io_ifu_axi_r_bits_id", - "~quasar|quasar>io_ifu_axi_r_valid", - "~quasar|quasar>io_ifu_bus_clk_en", - "~quasar|quasar>io_mpc_reset_run_req", - "~quasar|quasar>io_swerv_mem_rd_data_hi", - "~quasar|quasar>io_swerv_mem_rd_data_lo", - "~quasar|quasar>io_rst_vec", - "~quasar|quasar>io_nmi_vec", - "~quasar|quasar>io_core_id", - "~quasar|quasar>io_extintsrc_req" - ] - }, - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~quasar|quasar>io_swerv_mem_rd_addr_lo", - "sources":[ - "~quasar|quasar>io_swerv_mem_rd_data_hi", - "~quasar|quasar>io_swerv_mem_rd_data_lo", + "~quasar|quasar>io_dccm_rd_data_hi", + "~quasar|quasar>io_dccm_rd_data_lo", "~quasar|quasar>io_extintsrc_req", "~quasar|quasar>io_mpc_reset_run_req" ] }, - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~quasar|quasar>io_ic_tag_valid", - "sources":[ - "~quasar|quasar>io_mpc_reset_run_req", - "~quasar|quasar>io_core_id", - "~quasar|quasar>io_swerv_mem_rd_data_hi", - "~quasar|quasar>io_swerv_mem_rd_data_lo", - "~quasar|quasar>io_extintsrc_req" - ] - }, { "class":"firrtl.EmitCircuitAnnotation", "emitter":"firrtl.VerilogEmitter" @@ -263,7 +263,7 @@ { "class":"firrtl.transforms.BlackBoxResourceAnno", "target":"quasar.gated_latch", - "resourceId":"/vsrc/gated_latch.sv" + "resourceId":"/vsrc/gated_latch.v" }, { "class":"firrtl.transforms.DontTouchAnnotation", @@ -1237,6 +1237,10 @@ "class":"firrtl.transforms.DontTouchAnnotation", "target":"~quasar|dec_trigger>io_dec_i0_trigger_match_d" }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~quasar|csr_tlu>_T_755" + }, { "class":"firrtl.options.TargetDirAnnotation", "directory":"." diff --git a/quasar.fir b/quasar.fir index 448ca5f0..bc4ad73e 100644 --- a/quasar.fir +++ b/quasar.fir @@ -2259,7 +2259,7 @@ circuit quasar : module ifu_mem_ctl : input clock : Clock input reset : AsyncReset - output io : {flip free_clk : Clock, flip active_clk : Clock, flip exu_flush_final : UInt<1>, dec_mem_ctrl : {flip dec_tlu_flush_lower_wb : UInt<1>, flip dec_tlu_flush_err_wb : UInt<1>, flip dec_tlu_i0_commit_cmt : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip dec_tlu_fence_i_wb : UInt<1>, flip dec_tlu_ic_diag_pkt : {icache_wrdata : UInt<71>, icache_dicawics : UInt<17>, icache_rd_valid : UInt<1>, icache_wr_valid : UInt<1>}, flip dec_tlu_core_ecc_disable : UInt<1>, ifu_pmu_ic_miss : UInt<1>, ifu_pmu_ic_hit : UInt<1>, ifu_pmu_bus_error : UInt<1>, ifu_pmu_bus_busy : UInt<1>, ifu_pmu_bus_trxn : UInt<1>, ifu_ic_error_start : UInt<1>, ifu_iccm_rd_ecc_single_err : UInt<1>, ifu_ic_debug_rd_data : UInt<71>, ifu_ic_debug_rd_data_valid : UInt<1>, ifu_miss_state_idle : UInt<1>}, flip ifc_fetch_addr_bf : UInt<31>, flip ifc_fetch_uncacheable_bf : UInt<1>, flip ifc_fetch_req_bf : UInt<1>, flip ifc_fetch_req_bf_raw : UInt<1>, flip ifc_iccm_access_bf : UInt<1>, flip ifc_region_acc_fault_bf : UInt<1>, flip ifc_dma_access_ok : UInt<1>, flip ifu_bp_hit_taken_f : UInt<1>, flip ifu_bp_inst_mask_f : UInt<1>, ifu_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip ifu_bus_clk_en : UInt<1>, dma_mem_ctl : {flip dma_iccm_req : UInt<1>, flip dma_mem_addr : UInt<32>, flip dma_mem_sz : UInt<3>, flip dma_mem_write : UInt<1>, flip dma_mem_wdata : UInt<64>, flip dma_mem_tag : UInt<3>}, iccm : {rw_addr : UInt<15>, buf_correct_ecc : UInt<1>, correction_state : UInt<1>, wren : UInt<1>, rden : UInt<1>, wr_size : UInt<3>, wr_data : UInt<78>, flip rd_data : UInt<64>, flip rd_data_ecc : UInt<78>}, ic : {rw_addr : UInt<31>, tag_valid : UInt<2>, wr_en : UInt<2>, rd_en : UInt<1>, wr_data : UInt<71>[2], debug_wr_data : UInt<71>, debug_addr : UInt<10>, flip rd_data : UInt<64>, flip debug_rd_data : UInt<71>, flip tag_debug_rd_data : UInt<26>, flip eccerr : UInt<2>, flip parerr : UInt<2>, flip rd_hit : UInt<2>, flip tag_perr : UInt<1>, debug_rd_en : UInt<1>, debug_wr_en : UInt<1>, debug_tag_array : UInt<1>, debug_way : UInt<2>, premux_data : UInt<64>, sel_premux_data : UInt<1>}, flip ifu_fetch_val : UInt<2>, ifu_ic_mb_empty : UInt<1>, ic_dma_active : UInt<1>, ic_write_stall : UInt<1>, iccm_dma_ecc_error : UInt<1>, iccm_dma_rvalid : UInt<1>, iccm_dma_rdata : UInt<64>, iccm_dma_rtag : UInt<3>, iccm_ready : UInt<1>, flip dec_tlu_flush_lower_wb : UInt<1>, iccm_rd_ecc_double_err : UInt<1>, iccm_dma_sb_error : UInt<1>, ic_hit_f : UInt<1>, ic_access_fault_f : UInt<1>, ic_access_fault_type_f : UInt<2>, ifu_async_error_start : UInt<1>, ic_fetch_val_f : UInt<2>, ic_data_f : UInt<32>, flip scan_mode : UInt<1>} + output io : {flip free_clk : Clock, flip active_clk : Clock, flip exu_flush_final : UInt<1>, dec_mem_ctrl : {flip dec_tlu_flush_err_wb : UInt<1>, flip dec_tlu_i0_commit_cmt : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip dec_tlu_fence_i_wb : UInt<1>, flip dec_tlu_ic_diag_pkt : {icache_wrdata : UInt<71>, icache_dicawics : UInt<17>, icache_rd_valid : UInt<1>, icache_wr_valid : UInt<1>}, flip dec_tlu_core_ecc_disable : UInt<1>, ifu_pmu_ic_miss : UInt<1>, ifu_pmu_ic_hit : UInt<1>, ifu_pmu_bus_error : UInt<1>, ifu_pmu_bus_busy : UInt<1>, ifu_pmu_bus_trxn : UInt<1>, ifu_ic_error_start : UInt<1>, ifu_iccm_rd_ecc_single_err : UInt<1>, ifu_ic_debug_rd_data : UInt<71>, ifu_ic_debug_rd_data_valid : UInt<1>, ifu_miss_state_idle : UInt<1>}, flip ifc_fetch_addr_bf : UInt<31>, flip ifc_fetch_uncacheable_bf : UInt<1>, flip ifc_fetch_req_bf : UInt<1>, flip ifc_fetch_req_bf_raw : UInt<1>, flip ifc_iccm_access_bf : UInt<1>, flip ifc_region_acc_fault_bf : UInt<1>, flip ifc_dma_access_ok : UInt<1>, flip ifu_bp_hit_taken_f : UInt<1>, flip ifu_bp_inst_mask_f : UInt<1>, ifu_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip ifu_bus_clk_en : UInt<1>, dma_mem_ctl : {flip dma_iccm_req : UInt<1>, flip dma_mem_addr : UInt<32>, flip dma_mem_sz : UInt<3>, flip dma_mem_write : UInt<1>, flip dma_mem_wdata : UInt<64>, flip dma_mem_tag : UInt<3>}, iccm : {rw_addr : UInt<15>, buf_correct_ecc : UInt<1>, correction_state : UInt<1>, wren : UInt<1>, rden : UInt<1>, wr_size : UInt<3>, wr_data : UInt<78>, flip rd_data : UInt<64>, flip rd_data_ecc : UInt<78>}, ic : {rw_addr : UInt<31>, tag_valid : UInt<2>, wr_en : UInt<2>, rd_en : UInt<1>, wr_data : UInt<71>[2], debug_wr_data : UInt<71>, debug_addr : UInt<10>, flip rd_data : UInt<64>, flip debug_rd_data : UInt<71>, flip tag_debug_rd_data : UInt<26>, flip eccerr : UInt<2>, flip parerr : UInt<2>, flip rd_hit : UInt<2>, flip tag_perr : UInt<1>, debug_rd_en : UInt<1>, debug_wr_en : UInt<1>, debug_tag_array : UInt<1>, debug_way : UInt<2>, premux_data : UInt<64>, sel_premux_data : UInt<1>}, flip ifu_fetch_val : UInt<2>, ifu_ic_mb_empty : UInt<1>, ic_dma_active : UInt<1>, ic_write_stall : UInt<1>, iccm_dma_ecc_error : UInt<1>, iccm_dma_rvalid : UInt<1>, iccm_dma_rdata : UInt<64>, iccm_dma_rtag : UInt<3>, iccm_ready : UInt<1>, flip dec_tlu_flush_lower_wb : UInt<1>, iccm_rd_ecc_double_err : UInt<1>, iccm_dma_sb_error : UInt<1>, ic_hit_f : UInt<1>, ic_access_fault_f : UInt<1>, ic_access_fault_type_f : UInt<2>, ifu_async_error_start : UInt<1>, ic_fetch_val_f : UInt<2>, ic_data_f : UInt<32>, flip scan_mode : UInt<1>} wire iccm_single_ecc_error : UInt<2> iccm_single_ecc_error <= UInt<1>("h00") @@ -29043,7 +29043,7 @@ circuit quasar : module ifu_bp_ctl : input clock : Clock input reset : AsyncReset - output io : {flip active_clk : Clock, flip ic_hit_f : UInt<1>, flip exu_flush_final : UInt<1>, flip ifc_fetch_addr_f : UInt<31>, flip ifc_fetch_req_f : UInt<1>, dec_bp : {flip dec_tlu_br0_r_pkt : {valid : UInt<1>, bits : {hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, way : UInt<1>, middle : UInt<1>}}, flip dec_tlu_flush_lower_wb : UInt<1>, flip dec_tlu_flush_leak_one_wb : UInt<1>, flip dec_tlu_bpred_disable : UInt<1>}, flip dec_tlu_flush_lower_wb : UInt<1>, flip exu_bp : {exu_i0_br_index_r : UInt<8>, exu_i0_br_fghr_r : UInt<8>, exu_i0_br_way_r : UInt<1>, exu_mp_pkt : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<31>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}}, exu_mp_eghr : UInt<8>, exu_mp_fghr : UInt<8>, exu_mp_index : UInt<8>, exu_mp_btag : UInt<5>}, ifu_bp_hit_taken_f : UInt<1>, ifu_bp_btb_target_f : UInt<31>, ifu_bp_inst_mask_f : UInt<1>, ifu_bp_fghr_f : UInt<8>, ifu_bp_way_f : UInt<2>, ifu_bp_ret_f : UInt<2>, ifu_bp_hist1_f : UInt<2>, ifu_bp_hist0_f : UInt<2>, ifu_bp_pc4_f : UInt<2>, ifu_bp_valid_f : UInt<2>, ifu_bp_poffset_f : UInt<12>, flip scan_mode : UInt<1>} + output io : {flip active_clk : Clock, flip ic_hit_f : UInt<1>, flip exu_flush_final : UInt<1>, flip ifc_fetch_addr_f : UInt<31>, flip ifc_fetch_req_f : UInt<1>, dec_bp : {flip dec_tlu_br0_r_pkt : {valid : UInt<1>, bits : {hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, way : UInt<1>, middle : UInt<1>}}, flip dec_tlu_flush_leak_one_wb : UInt<1>, flip dec_tlu_bpred_disable : UInt<1>}, flip dec_tlu_flush_lower_wb : UInt<1>, flip exu_bp : {exu_i0_br_index_r : UInt<8>, exu_i0_br_fghr_r : UInt<8>, exu_i0_br_way_r : UInt<1>, exu_mp_pkt : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<31>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}}, exu_mp_eghr : UInt<8>, exu_mp_fghr : UInt<8>, exu_mp_index : UInt<8>, exu_mp_btag : UInt<5>}, ifu_bp_hit_taken_f : UInt<1>, ifu_bp_btb_target_f : UInt<31>, ifu_bp_inst_mask_f : UInt<1>, ifu_bp_fghr_f : UInt<8>, ifu_bp_way_f : UInt<2>, ifu_bp_ret_f : UInt<2>, ifu_bp_hist1_f : UInt<2>, ifu_bp_hist0_f : UInt<2>, ifu_bp_pc4_f : UInt<2>, ifu_bp_valid_f : UInt<2>, ifu_bp_poffset_f : UInt<12>, flip scan_mode : UInt<1>} wire leak_one_f : UInt<1> leak_one_f <= UInt<1>("h00") @@ -63961,7 +63961,7 @@ circuit quasar : module ifu : input clock : Clock input reset : AsyncReset - output io : {flip exu_flush_final : UInt<1>, flip exu_flush_path_final : UInt<31>, flip free_clk : Clock, flip active_clk : Clock, ifu_dec : {dec_aln : {aln_dec : {flip dec_i0_decode_d : UInt<1>, ifu_i0_cinst : UInt<16>}, aln_ib : {ifu_i0_icaf : UInt<1>, ifu_i0_icaf_type : UInt<2>, ifu_i0_icaf_f1 : UInt<1>, ifu_i0_dbecc : UInt<1>, ifu_i0_bp_index : UInt<8>, ifu_i0_bp_fghr : UInt<8>, ifu_i0_bp_btag : UInt<5>, ifu_i0_valid : UInt<1>, ifu_i0_instr : UInt<32>, ifu_i0_pc : UInt<31>, ifu_i0_pc4 : UInt<1>, i0_brp : {valid : UInt<1>, bits : {toffset : UInt<12>, hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, bank : UInt<1>, prett : UInt<31>, way : UInt<1>, ret : UInt<1>}}}, ifu_pmu_instr_aligned : UInt<1>}, dec_mem_ctrl : {flip dec_tlu_flush_lower_wb : UInt<1>, flip dec_tlu_flush_err_wb : UInt<1>, flip dec_tlu_i0_commit_cmt : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip dec_tlu_fence_i_wb : UInt<1>, flip dec_tlu_ic_diag_pkt : {icache_wrdata : UInt<71>, icache_dicawics : UInt<17>, icache_rd_valid : UInt<1>, icache_wr_valid : UInt<1>}, flip dec_tlu_core_ecc_disable : UInt<1>, ifu_pmu_ic_miss : UInt<1>, ifu_pmu_ic_hit : UInt<1>, ifu_pmu_bus_error : UInt<1>, ifu_pmu_bus_busy : UInt<1>, ifu_pmu_bus_trxn : UInt<1>, ifu_ic_error_start : UInt<1>, ifu_iccm_rd_ecc_single_err : UInt<1>, ifu_ic_debug_rd_data : UInt<71>, ifu_ic_debug_rd_data_valid : UInt<1>, ifu_miss_state_idle : UInt<1>}, dec_ifc : {flip dec_tlu_flush_noredir_wb : UInt<1>, flip dec_tlu_mrac_ff : UInt<32>, ifu_pmu_fetch_stall : UInt<1>}, dec_bp : {flip dec_tlu_br0_r_pkt : {valid : UInt<1>, bits : {hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, way : UInt<1>, middle : UInt<1>}}, flip dec_tlu_flush_lower_wb : UInt<1>, flip dec_tlu_flush_leak_one_wb : UInt<1>, flip dec_tlu_bpred_disable : UInt<1>}}, exu_ifu : {flip exu_bp : {exu_i0_br_index_r : UInt<8>, exu_i0_br_fghr_r : UInt<8>, exu_i0_br_way_r : UInt<1>, exu_mp_pkt : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<31>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}}, exu_mp_eghr : UInt<8>, exu_mp_fghr : UInt<8>, exu_mp_index : UInt<8>, exu_mp_btag : UInt<5>}}, iccm : {rw_addr : UInt<15>, buf_correct_ecc : UInt<1>, correction_state : UInt<1>, wren : UInt<1>, rden : UInt<1>, wr_size : UInt<3>, wr_data : UInt<78>, flip rd_data : UInt<64>, flip rd_data_ecc : UInt<78>}, ic : {rw_addr : UInt<31>, tag_valid : UInt<2>, wr_en : UInt<2>, rd_en : UInt<1>, wr_data : UInt<71>[2], debug_wr_data : UInt<71>, debug_addr : UInt<10>, flip rd_data : UInt<64>, flip debug_rd_data : UInt<71>, flip tag_debug_rd_data : UInt<26>, flip eccerr : UInt<2>, flip parerr : UInt<2>, flip rd_hit : UInt<2>, flip tag_perr : UInt<1>, debug_rd_en : UInt<1>, debug_wr_en : UInt<1>, debug_tag_array : UInt<1>, debug_way : UInt<2>, premux_data : UInt<64>, sel_premux_data : UInt<1>}, ifu : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip ifu_bus_clk_en : UInt<1>, ifu_dma : {dma_ifc : {flip dma_iccm_stall_any : UInt<1>}, dma_mem_ctl : {flip dma_iccm_req : UInt<1>, flip dma_mem_addr : UInt<32>, flip dma_mem_sz : UInt<3>, flip dma_mem_write : UInt<1>, flip dma_mem_wdata : UInt<64>, flip dma_mem_tag : UInt<3>}}, iccm_dma_ecc_error : UInt<1>, iccm_dma_rvalid : UInt<1>, iccm_dma_rdata : UInt<64>, iccm_dma_rtag : UInt<3>, iccm_ready : UInt<1>, iccm_dma_sb_error : UInt<1>, flip dec_tlu_flush_lower_wb : UInt<1>, flip scan_mode : UInt<1>} + output io : {flip exu_flush_final : UInt<1>, flip exu_flush_path_final : UInt<31>, flip free_clk : Clock, flip active_clk : Clock, ifu_dec : {dec_aln : {aln_dec : {flip dec_i0_decode_d : UInt<1>, ifu_i0_cinst : UInt<16>}, aln_ib : {ifu_i0_icaf : UInt<1>, ifu_i0_icaf_type : UInt<2>, ifu_i0_icaf_f1 : UInt<1>, ifu_i0_dbecc : UInt<1>, ifu_i0_bp_index : UInt<8>, ifu_i0_bp_fghr : UInt<8>, ifu_i0_bp_btag : UInt<5>, ifu_i0_valid : UInt<1>, ifu_i0_instr : UInt<32>, ifu_i0_pc : UInt<31>, ifu_i0_pc4 : UInt<1>, i0_brp : {valid : UInt<1>, bits : {toffset : UInt<12>, hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, bank : UInt<1>, prett : UInt<31>, way : UInt<1>, ret : UInt<1>}}}, ifu_pmu_instr_aligned : UInt<1>}, dec_mem_ctrl : {flip dec_tlu_flush_err_wb : UInt<1>, flip dec_tlu_i0_commit_cmt : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip dec_tlu_fence_i_wb : UInt<1>, flip dec_tlu_ic_diag_pkt : {icache_wrdata : UInt<71>, icache_dicawics : UInt<17>, icache_rd_valid : UInt<1>, icache_wr_valid : UInt<1>}, flip dec_tlu_core_ecc_disable : UInt<1>, ifu_pmu_ic_miss : UInt<1>, ifu_pmu_ic_hit : UInt<1>, ifu_pmu_bus_error : UInt<1>, ifu_pmu_bus_busy : UInt<1>, ifu_pmu_bus_trxn : UInt<1>, ifu_ic_error_start : UInt<1>, ifu_iccm_rd_ecc_single_err : UInt<1>, ifu_ic_debug_rd_data : UInt<71>, ifu_ic_debug_rd_data_valid : UInt<1>, ifu_miss_state_idle : UInt<1>}, dec_ifc : {flip dec_tlu_flush_noredir_wb : UInt<1>, flip dec_tlu_mrac_ff : UInt<32>, ifu_pmu_fetch_stall : UInt<1>}, dec_bp : {flip dec_tlu_br0_r_pkt : {valid : UInt<1>, bits : {hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, way : UInt<1>, middle : UInt<1>}}, flip dec_tlu_flush_leak_one_wb : UInt<1>, flip dec_tlu_bpred_disable : UInt<1>}}, exu_ifu : {flip exu_bp : {exu_i0_br_index_r : UInt<8>, exu_i0_br_fghr_r : UInt<8>, exu_i0_br_way_r : UInt<1>, exu_mp_pkt : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<31>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}}, exu_mp_eghr : UInt<8>, exu_mp_fghr : UInt<8>, exu_mp_index : UInt<8>, exu_mp_btag : UInt<5>}}, iccm : {rw_addr : UInt<15>, buf_correct_ecc : UInt<1>, correction_state : UInt<1>, wren : UInt<1>, rden : UInt<1>, wr_size : UInt<3>, wr_data : UInt<78>, flip rd_data : UInt<64>, flip rd_data_ecc : UInt<78>}, ic : {rw_addr : UInt<31>, tag_valid : UInt<2>, wr_en : UInt<2>, rd_en : UInt<1>, wr_data : UInt<71>[2], debug_wr_data : UInt<71>, debug_addr : UInt<10>, flip rd_data : UInt<64>, flip debug_rd_data : UInt<71>, flip tag_debug_rd_data : UInt<26>, flip eccerr : UInt<2>, flip parerr : UInt<2>, flip rd_hit : UInt<2>, flip tag_perr : UInt<1>, debug_rd_en : UInt<1>, debug_wr_en : UInt<1>, debug_tag_array : UInt<1>, debug_way : UInt<2>, premux_data : UInt<64>, sel_premux_data : UInt<1>}, ifu : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip ifu_bus_clk_en : UInt<1>, ifu_dma : {dma_ifc : {flip dma_iccm_stall_any : UInt<1>}, dma_mem_ctl : {flip dma_iccm_req : UInt<1>, flip dma_mem_addr : UInt<32>, flip dma_mem_sz : UInt<3>, flip dma_mem_write : UInt<1>, flip dma_mem_wdata : UInt<64>, flip dma_mem_tag : UInt<3>}}, iccm_dma_ecc_error : UInt<1>, iccm_dma_rvalid : UInt<1>, iccm_dma_rdata : UInt<64>, iccm_dma_rtag : UInt<3>, iccm_ready : UInt<1>, iccm_dma_sb_error : UInt<1>, flip dec_tlu_flush_lower_wb : UInt<1>, flip scan_mode : UInt<1>} inst mem_ctl of ifu_mem_ctl @[ifu.scala 34:23] mem_ctl.clock <= clock @@ -64041,7 +64041,6 @@ circuit quasar : bp_ctl.io.ifc_fetch_req_f <= ifc_ctl.io.ifc_fetch_req_f @[ifu.scala 83:29] bp_ctl.io.dec_bp.dec_tlu_bpred_disable <= io.ifu_dec.dec_bp.dec_tlu_bpred_disable @[ifu.scala 84:20] bp_ctl.io.dec_bp.dec_tlu_flush_leak_one_wb <= io.ifu_dec.dec_bp.dec_tlu_flush_leak_one_wb @[ifu.scala 84:20] - bp_ctl.io.dec_bp.dec_tlu_flush_lower_wb <= io.ifu_dec.dec_bp.dec_tlu_flush_lower_wb @[ifu.scala 84:20] bp_ctl.io.dec_bp.dec_tlu_br0_r_pkt.bits.middle <= io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.middle @[ifu.scala 84:20] bp_ctl.io.dec_bp.dec_tlu_br0_r_pkt.bits.way <= io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.way @[ifu.scala 84:20] bp_ctl.io.dec_bp.dec_tlu_br0_r_pkt.bits.br_start_error <= io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.br_start_error @[ifu.scala 84:20] @@ -64093,7 +64092,6 @@ circuit quasar : mem_ctl.io.dec_mem_ctrl.dec_tlu_force_halt <= io.ifu_dec.dec_mem_ctrl.dec_tlu_force_halt @[ifu.scala 93:27] mem_ctl.io.dec_mem_ctrl.dec_tlu_i0_commit_cmt <= io.ifu_dec.dec_mem_ctrl.dec_tlu_i0_commit_cmt @[ifu.scala 93:27] mem_ctl.io.dec_mem_ctrl.dec_tlu_flush_err_wb <= io.ifu_dec.dec_mem_ctrl.dec_tlu_flush_err_wb @[ifu.scala 93:27] - mem_ctl.io.dec_mem_ctrl.dec_tlu_flush_lower_wb <= io.ifu_dec.dec_mem_ctrl.dec_tlu_flush_lower_wb @[ifu.scala 93:27] mem_ctl.io.ifc_fetch_addr_bf <= ifc_ctl.io.ifc_fetch_addr_bf @[ifu.scala 94:32] mem_ctl.io.ifc_fetch_uncacheable_bf <= ifc_ctl.io.ifc_fetch_uncacheable_bf @[ifu.scala 95:39] mem_ctl.io.ifc_fetch_req_bf <= ifc_ctl.io.ifc_fetch_req_bf @[ifu.scala 96:31] @@ -66765,81 +66763,81 @@ circuit quasar : module dec_decode_ctl : input clock : Clock input reset : AsyncReset - output io : {flip decode_exu : {flip dec_data_en : UInt<2>, flip dec_ctl_en : UInt<2>, flip i0_ap : {land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, srl : UInt<1>, sra : UInt<1>, beq : UInt<1>, bne : UInt<1>, blt : UInt<1>, bge : UInt<1>, add : UInt<1>, sub : UInt<1>, slt : UInt<1>, unsign : UInt<1>, jal : UInt<1>, predict_t : UInt<1>, predict_nt : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>}, flip dec_i0_predict_p_d : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<31>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}}, flip i0_predict_fghr_d : UInt<8>, flip i0_predict_index_d : UInt<8>, flip i0_predict_btag_d : UInt<5>, flip dec_i0_rs1_en_d : UInt<1>, flip dec_i0_rs2_en_d : UInt<1>, flip dec_i0_immed_d : UInt<32>, flip dec_i0_rs1_bypass_data_d : UInt<32>, flip dec_i0_rs2_bypass_data_d : UInt<32>, flip dec_i0_select_pc_d : UInt<1>, flip dec_i0_rs1_bypass_en_d : UInt<2>, flip dec_i0_rs2_bypass_en_d : UInt<2>, flip mul_p : {valid : UInt<1>, bits : {rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, bext : UInt<1>, bdep : UInt<1>, clmul : UInt<1>, clmulh : UInt<1>, clmulr : UInt<1>, grev : UInt<1>, shfl : UInt<1>, unshfl : UInt<1>, crc32_b : UInt<1>, crc32_h : UInt<1>, crc32_w : UInt<1>, crc32c_b : UInt<1>, crc32c_h : UInt<1>, crc32c_w : UInt<1>, bfp : UInt<1>}}, flip pred_correct_npc_x : UInt<31>, flip dec_extint_stall : UInt<1>, exu_i0_result_x : UInt<32>, exu_csr_rs1_x : UInt<32>}, flip dec_alu : {flip dec_i0_alu_decode_d : UInt<1>, flip dec_csr_ren_d : UInt<1>, flip dec_i0_br_immed_d : UInt<12>, exu_i0_pc_x : UInt<31>}, flip dec_div : {flip div_p : {valid : UInt<1>, bits : {unsign : UInt<1>, rem : UInt<1>}}, flip dec_div_cancel : UInt<1>}, flip dctl_busbuff : {lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>}, dctl_dma : {flip dma_dccm_stall_any : UInt<1>}, flip dec_tlu_flush_extint : UInt<1>, flip dec_tlu_force_halt : UInt<1>, dec_i0_inst_wb1 : UInt<32>, dec_i0_pc_wb1 : UInt<31>, flip dec_i0_trigger_match_d : UInt<4>, flip dec_tlu_wr_pause_r : UInt<1>, flip dec_tlu_pipelining_disable : UInt<1>, flip lsu_trigger_match_m : UInt<4>, flip lsu_pmu_misaligned_m : UInt<1>, flip dec_tlu_debug_stall : UInt<1>, flip dec_tlu_flush_leak_one_r : UInt<1>, flip dec_debug_fence_d : UInt<1>, flip dec_i0_icaf_d : UInt<1>, flip dec_i0_icaf_f1_d : UInt<1>, flip dec_i0_icaf_type_d : UInt<2>, flip dec_i0_dbecc_d : UInt<1>, flip dec_i0_brp : {valid : UInt<1>, bits : {toffset : UInt<12>, hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, bank : UInt<1>, prett : UInt<31>, way : UInt<1>, ret : UInt<1>}}, flip dec_i0_bp_index : UInt<8>, flip dec_i0_bp_fghr : UInt<8>, flip dec_i0_bp_btag : UInt<5>, flip dec_i0_pc_d : UInt<31>, flip lsu_idle_any : UInt<1>, flip lsu_load_stall_any : UInt<1>, flip lsu_store_stall_any : UInt<1>, flip exu_div_wren : UInt<1>, flip dec_tlu_i0_kill_writeb_wb : UInt<1>, flip dec_tlu_flush_lower_wb : UInt<1>, flip dec_tlu_i0_kill_writeb_r : UInt<1>, flip dec_tlu_flush_lower_r : UInt<1>, flip dec_tlu_flush_pause_r : UInt<1>, flip dec_tlu_presync_d : UInt<1>, flip dec_tlu_postsync_d : UInt<1>, flip dec_i0_pc4_d : UInt<1>, flip dec_csr_rddata_d : UInt<32>, flip dec_csr_legal_d : UInt<1>, flip lsu_result_m : UInt<32>, flip lsu_result_corr_r : UInt<32>, flip exu_flush_final : UInt<1>, flip dec_i0_instr_d : UInt<32>, flip dec_ib0_valid_d : UInt<1>, flip free_clk : Clock, flip active_clk : Clock, flip clk_override : UInt<1>, dec_i0_rs1_d : UInt<5>, dec_i0_rs2_d : UInt<5>, dec_i0_waddr_r : UInt<5>, dec_i0_wen_r : UInt<1>, dec_i0_wdata_r : UInt<32>, lsu_p : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, div_waddr_wb : UInt<5>, dec_lsu_valid_raw_d : UInt<1>, dec_lsu_offset_d : UInt<12>, dec_csr_wen_unq_d : UInt<1>, dec_csr_any_unq_d : UInt<1>, dec_csr_rdaddr_d : UInt<12>, dec_csr_wen_r : UInt<1>, dec_csr_wraddr_r : UInt<12>, dec_csr_wrdata_r : UInt<32>, dec_csr_stall_int_ff : UInt<1>, dec_tlu_i0_valid_r : UInt<1>, dec_tlu_packet_r : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>}, dec_tlu_i0_pc_r : UInt<31>, dec_illegal_inst : UInt<32>, dec_pmu_instr_decoded : UInt<1>, dec_pmu_decode_stall : UInt<1>, dec_pmu_presync_stall : UInt<1>, dec_pmu_postsync_stall : UInt<1>, dec_nonblock_load_wen : UInt<1>, dec_nonblock_load_waddr : UInt<5>, dec_pause_state : UInt<1>, dec_pause_state_cg : UInt<1>, dec_div_active : UInt<1>, flip scan_mode : UInt<1>, flip dec_aln : {flip dec_i0_decode_d : UInt<1>, ifu_i0_cinst : UInt<16>}, dbg_dctl : {flip dbg_cmd_wrdata : UInt<2>}} + output io : {flip decode_exu : {flip dec_data_en : UInt<2>, flip dec_ctl_en : UInt<2>, flip i0_ap : {land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, srl : UInt<1>, sra : UInt<1>, beq : UInt<1>, bne : UInt<1>, blt : UInt<1>, bge : UInt<1>, add : UInt<1>, sub : UInt<1>, slt : UInt<1>, unsign : UInt<1>, jal : UInt<1>, predict_t : UInt<1>, predict_nt : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>}, flip dec_i0_predict_p_d : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<31>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}}, flip i0_predict_fghr_d : UInt<8>, flip i0_predict_index_d : UInt<8>, flip i0_predict_btag_d : UInt<5>, flip dec_i0_rs1_en_d : UInt<1>, flip dec_i0_rs2_en_d : UInt<1>, flip dec_i0_immed_d : UInt<32>, flip dec_i0_rs1_bypass_data_d : UInt<32>, flip dec_i0_rs2_bypass_data_d : UInt<32>, flip dec_i0_select_pc_d : UInt<1>, flip dec_i0_rs1_bypass_en_d : UInt<2>, flip dec_i0_rs2_bypass_en_d : UInt<2>, flip mul_p : {valid : UInt<1>, bits : {rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, bext : UInt<1>, bdep : UInt<1>, clmul : UInt<1>, clmulh : UInt<1>, clmulr : UInt<1>, grev : UInt<1>, shfl : UInt<1>, unshfl : UInt<1>, crc32_b : UInt<1>, crc32_h : UInt<1>, crc32_w : UInt<1>, crc32c_b : UInt<1>, crc32c_h : UInt<1>, crc32c_w : UInt<1>, bfp : UInt<1>}}, flip pred_correct_npc_x : UInt<31>, flip dec_extint_stall : UInt<1>, exu_i0_result_x : UInt<32>, exu_csr_rs1_x : UInt<32>}, flip dec_alu : {flip dec_i0_alu_decode_d : UInt<1>, flip dec_csr_ren_d : UInt<1>, flip dec_i0_br_immed_d : UInt<12>, exu_i0_pc_x : UInt<31>}, flip dec_div : {flip div_p : {valid : UInt<1>, bits : {unsign : UInt<1>, rem : UInt<1>}}, flip dec_div_cancel : UInt<1>}, flip dctl_busbuff : {lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>}, dctl_dma : {flip dma_dccm_stall_any : UInt<1>}, flip dec_aln : {flip dec_i0_decode_d : UInt<1>, ifu_i0_cinst : UInt<16>}, dbg_dctl : {flip dbg_cmd_wrdata : UInt<32>}, flip dec_tlu_flush_extint : UInt<1>, flip dec_tlu_force_halt : UInt<1>, dec_i0_inst_wb1 : UInt<32>, dec_i0_pc_wb1 : UInt<31>, flip dec_i0_trigger_match_d : UInt<4>, flip dec_tlu_wr_pause_r : UInt<1>, flip dec_tlu_pipelining_disable : UInt<1>, flip lsu_trigger_match_m : UInt<4>, flip lsu_pmu_misaligned_m : UInt<1>, flip dec_tlu_debug_stall : UInt<1>, flip dec_tlu_flush_leak_one_r : UInt<1>, flip dec_debug_fence_d : UInt<1>, flip dec_i0_icaf_d : UInt<1>, flip dec_i0_icaf_f1_d : UInt<1>, flip dec_i0_icaf_type_d : UInt<2>, flip dec_i0_dbecc_d : UInt<1>, flip dec_i0_brp : {valid : UInt<1>, bits : {toffset : UInt<12>, hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, bank : UInt<1>, prett : UInt<31>, way : UInt<1>, ret : UInt<1>}}, flip dec_i0_bp_index : UInt<8>, flip dec_i0_bp_fghr : UInt<8>, flip dec_i0_bp_btag : UInt<5>, flip dec_i0_pc_d : UInt<31>, flip lsu_idle_any : UInt<1>, flip lsu_load_stall_any : UInt<1>, flip lsu_store_stall_any : UInt<1>, flip exu_div_wren : UInt<1>, flip dec_tlu_i0_kill_writeb_wb : UInt<1>, flip dec_tlu_flush_lower_wb : UInt<1>, flip dec_tlu_i0_kill_writeb_r : UInt<1>, flip dec_tlu_flush_lower_r : UInt<1>, flip dec_tlu_flush_pause_r : UInt<1>, flip dec_tlu_presync_d : UInt<1>, flip dec_tlu_postsync_d : UInt<1>, flip dec_i0_pc4_d : UInt<1>, flip dec_csr_rddata_d : UInt<32>, flip dec_csr_legal_d : UInt<1>, flip lsu_result_m : UInt<32>, flip lsu_result_corr_r : UInt<32>, flip exu_flush_final : UInt<1>, flip dec_i0_instr_d : UInt<32>, flip dec_ib0_valid_d : UInt<1>, flip free_clk : Clock, flip active_clk : Clock, flip clk_override : UInt<1>, dec_i0_rs1_d : UInt<5>, dec_i0_rs2_d : UInt<5>, dec_i0_waddr_r : UInt<5>, dec_i0_wen_r : UInt<1>, dec_i0_wdata_r : UInt<32>, lsu_p : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, div_waddr_wb : UInt<5>, dec_lsu_valid_raw_d : UInt<1>, dec_lsu_offset_d : UInt<12>, dec_csr_wen_unq_d : UInt<1>, dec_csr_any_unq_d : UInt<1>, dec_csr_rdaddr_d : UInt<12>, dec_csr_wen_r : UInt<1>, dec_csr_wraddr_r : UInt<12>, dec_csr_wrdata_r : UInt<32>, dec_csr_stall_int_ff : UInt<1>, dec_tlu_i0_valid_r : UInt<1>, dec_tlu_packet_r : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>}, dec_tlu_i0_pc_r : UInt<31>, dec_illegal_inst : UInt<32>, dec_pmu_instr_decoded : UInt<1>, dec_pmu_decode_stall : UInt<1>, dec_pmu_presync_stall : UInt<1>, dec_pmu_postsync_stall : UInt<1>, dec_nonblock_load_wen : UInt<1>, dec_nonblock_load_waddr : UInt<5>, dec_pause_state : UInt<1>, dec_pause_state_cg : UInt<1>, dec_div_active : UInt<1>, flip scan_mode : UInt<1>} - wire _T : {valid : UInt<1>, bits : {rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, bext : UInt<1>, bdep : UInt<1>, clmul : UInt<1>, clmulh : UInt<1>, clmulr : UInt<1>, grev : UInt<1>, shfl : UInt<1>, unshfl : UInt<1>, crc32_b : UInt<1>, crc32_h : UInt<1>, crc32_w : UInt<1>, crc32c_b : UInt<1>, crc32c_h : UInt<1>, crc32c_w : UInt<1>, bfp : UInt<1>}} @[dec_decode_ctl.scala 97:38] - _T.bits.bfp <= UInt<1>("h00") @[dec_decode_ctl.scala 97:38] - _T.bits.crc32c_w <= UInt<1>("h00") @[dec_decode_ctl.scala 97:38] - _T.bits.crc32c_h <= UInt<1>("h00") @[dec_decode_ctl.scala 97:38] - _T.bits.crc32c_b <= UInt<1>("h00") @[dec_decode_ctl.scala 97:38] - _T.bits.crc32_w <= UInt<1>("h00") @[dec_decode_ctl.scala 97:38] - _T.bits.crc32_h <= UInt<1>("h00") @[dec_decode_ctl.scala 97:38] - _T.bits.crc32_b <= UInt<1>("h00") @[dec_decode_ctl.scala 97:38] - _T.bits.unshfl <= UInt<1>("h00") @[dec_decode_ctl.scala 97:38] - _T.bits.shfl <= UInt<1>("h00") @[dec_decode_ctl.scala 97:38] - _T.bits.grev <= UInt<1>("h00") @[dec_decode_ctl.scala 97:38] - _T.bits.clmulr <= UInt<1>("h00") @[dec_decode_ctl.scala 97:38] - _T.bits.clmulh <= UInt<1>("h00") @[dec_decode_ctl.scala 97:38] - _T.bits.clmul <= UInt<1>("h00") @[dec_decode_ctl.scala 97:38] - _T.bits.bdep <= UInt<1>("h00") @[dec_decode_ctl.scala 97:38] - _T.bits.bext <= UInt<1>("h00") @[dec_decode_ctl.scala 97:38] - _T.bits.low <= UInt<1>("h00") @[dec_decode_ctl.scala 97:38] - _T.bits.rs2_sign <= UInt<1>("h00") @[dec_decode_ctl.scala 97:38] - _T.bits.rs1_sign <= UInt<1>("h00") @[dec_decode_ctl.scala 97:38] - _T.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 97:38] - io.decode_exu.mul_p.bits.bfp <= _T.bits.bfp @[dec_decode_ctl.scala 97:23] - io.decode_exu.mul_p.bits.crc32c_w <= _T.bits.crc32c_w @[dec_decode_ctl.scala 97:23] - io.decode_exu.mul_p.bits.crc32c_h <= _T.bits.crc32c_h @[dec_decode_ctl.scala 97:23] - io.decode_exu.mul_p.bits.crc32c_b <= _T.bits.crc32c_b @[dec_decode_ctl.scala 97:23] - io.decode_exu.mul_p.bits.crc32_w <= _T.bits.crc32_w @[dec_decode_ctl.scala 97:23] - io.decode_exu.mul_p.bits.crc32_h <= _T.bits.crc32_h @[dec_decode_ctl.scala 97:23] - io.decode_exu.mul_p.bits.crc32_b <= _T.bits.crc32_b @[dec_decode_ctl.scala 97:23] - io.decode_exu.mul_p.bits.unshfl <= _T.bits.unshfl @[dec_decode_ctl.scala 97:23] - io.decode_exu.mul_p.bits.shfl <= _T.bits.shfl @[dec_decode_ctl.scala 97:23] - io.decode_exu.mul_p.bits.grev <= _T.bits.grev @[dec_decode_ctl.scala 97:23] - io.decode_exu.mul_p.bits.clmulr <= _T.bits.clmulr @[dec_decode_ctl.scala 97:23] - io.decode_exu.mul_p.bits.clmulh <= _T.bits.clmulh @[dec_decode_ctl.scala 97:23] - io.decode_exu.mul_p.bits.clmul <= _T.bits.clmul @[dec_decode_ctl.scala 97:23] - io.decode_exu.mul_p.bits.bdep <= _T.bits.bdep @[dec_decode_ctl.scala 97:23] - io.decode_exu.mul_p.bits.bext <= _T.bits.bext @[dec_decode_ctl.scala 97:23] - io.decode_exu.mul_p.bits.low <= _T.bits.low @[dec_decode_ctl.scala 97:23] - io.decode_exu.mul_p.bits.rs2_sign <= _T.bits.rs2_sign @[dec_decode_ctl.scala 97:23] - io.decode_exu.mul_p.bits.rs1_sign <= _T.bits.rs1_sign @[dec_decode_ctl.scala 97:23] - io.decode_exu.mul_p.valid <= _T.valid @[dec_decode_ctl.scala 97:23] + wire _T : {valid : UInt<1>, bits : {rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, bext : UInt<1>, bdep : UInt<1>, clmul : UInt<1>, clmulh : UInt<1>, clmulr : UInt<1>, grev : UInt<1>, shfl : UInt<1>, unshfl : UInt<1>, crc32_b : UInt<1>, crc32_h : UInt<1>, crc32_w : UInt<1>, crc32c_b : UInt<1>, crc32c_h : UInt<1>, crc32c_w : UInt<1>, bfp : UInt<1>}} @[dec_decode_ctl.scala 95:40] + _T.bits.bfp <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.crc32c_w <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.crc32c_h <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.crc32c_b <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.crc32_w <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.crc32_h <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.crc32_b <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.unshfl <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.shfl <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.grev <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.clmulr <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.clmulh <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.clmul <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.bdep <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.bext <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.low <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.rs2_sign <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.rs1_sign <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + io.decode_exu.mul_p.bits.bfp <= _T.bits.bfp @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.crc32c_w <= _T.bits.crc32c_w @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.crc32c_h <= _T.bits.crc32c_h @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.crc32c_b <= _T.bits.crc32c_b @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.crc32_w <= _T.bits.crc32_w @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.crc32_h <= _T.bits.crc32_h @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.crc32_b <= _T.bits.crc32_b @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.unshfl <= _T.bits.unshfl @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.shfl <= _T.bits.shfl @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.grev <= _T.bits.grev @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.clmulr <= _T.bits.clmulr @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.clmulh <= _T.bits.clmulh @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.clmul <= _T.bits.clmul @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.bdep <= _T.bits.bdep @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.bext <= _T.bits.bext @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.low <= _T.bits.low @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.rs2_sign <= _T.bits.rs2_sign @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.rs1_sign <= _T.bits.rs1_sign @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.valid <= _T.valid @[dec_decode_ctl.scala 95:25] wire leak1_i1_stall_in : UInt<1> leak1_i1_stall_in <= UInt<1>("h00") wire leak1_i0_stall_in : UInt<1> leak1_i0_stall_in <= UInt<1>("h00") - wire i0r : {rs1 : UInt<5>, rs2 : UInt<5>, rd : UInt<5>} @[dec_decode_ctl.scala 101:17] - wire d_t : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[dec_decode_ctl.scala 102:17] - wire x_t : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[dec_decode_ctl.scala 103:17] - wire x_t_in : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[dec_decode_ctl.scala 104:20] - wire r_t : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[dec_decode_ctl.scala 105:17] - wire r_t_in : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[dec_decode_ctl.scala 106:23] - wire d_d : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[dec_decode_ctl.scala 107:17] - wire x_d : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[dec_decode_ctl.scala 108:17] - wire r_d : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[dec_decode_ctl.scala 109:17] - wire r_d_in : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[dec_decode_ctl.scala 110:20] - wire wbd : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[dec_decode_ctl.scala 111:17] - wire i0_d_c : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[dec_decode_ctl.scala 112:20] - wire i0_rs1_class_d : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[dec_decode_ctl.scala 113:28] - wire i0_rs2_class_d : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[dec_decode_ctl.scala 114:28] + wire i0r : {rs1 : UInt<5>, rs2 : UInt<5>, rd : UInt<5>} @[dec_decode_ctl.scala 99:37] + wire d_t : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[dec_decode_ctl.scala 100:37] + wire x_t : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[dec_decode_ctl.scala 101:37] + wire x_t_in : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[dec_decode_ctl.scala 102:37] + wire r_t : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[dec_decode_ctl.scala 103:37] + wire r_t_in : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[dec_decode_ctl.scala 104:37] + wire d_d : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[dec_decode_ctl.scala 105:37] + wire x_d : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[dec_decode_ctl.scala 106:37] + wire r_d : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[dec_decode_ctl.scala 107:37] + wire r_d_in : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[dec_decode_ctl.scala 108:37] + wire wbd : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[dec_decode_ctl.scala 109:37] + wire i0_d_c : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[dec_decode_ctl.scala 110:37] + wire i0_rs1_class_d : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[dec_decode_ctl.scala 111:37] + wire i0_rs2_class_d : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[dec_decode_ctl.scala 112:37] wire i0_rs1_depth_d : UInt<2> i0_rs1_depth_d <= UInt<1>("h00") wire i0_rs2_depth_d : UInt<2> i0_rs2_depth_d <= UInt<1>("h00") wire cam_wen : UInt<4> cam_wen <= UInt<1>("h00") - wire cam : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}[4] @[dec_decode_ctl.scala 118:17] + wire cam : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}[4] @[dec_decode_ctl.scala 116:37] wire cam_write : UInt<1> cam_write <= UInt<1>("h00") - wire cam_inv_reset_val : UInt<1>[4] @[dec_decode_ctl.scala 120:29] - wire cam_data_reset_val : UInt<1>[4] @[dec_decode_ctl.scala 121:30] - wire nonblock_load_write : UInt<1>[4] @[dec_decode_ctl.scala 122:31] - wire cam_raw : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}[4] @[dec_decode_ctl.scala 123:20] - wire cam_in : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}[4] @[dec_decode_ctl.scala 124:20] - wire i0_dp : {alu : UInt<1>, rs1 : UInt<1>, rs2 : UInt<1>, imm12 : UInt<1>, rd : UInt<1>, shimm5 : UInt<1>, imm20 : UInt<1>, pc : UInt<1>, load : UInt<1>, store : UInt<1>, lsu : UInt<1>, add : UInt<1>, sub : UInt<1>, land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, sra : UInt<1>, srl : UInt<1>, slt : UInt<1>, unsign : UInt<1>, condbr : UInt<1>, beq : UInt<1>, bne : UInt<1>, bge : UInt<1>, blt : UInt<1>, jal : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, csr_read : UInt<1>, csr_clr : UInt<1>, csr_set : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>, presync : UInt<1>, postsync : UInt<1>, ebreak : UInt<1>, ecall : UInt<1>, mret : UInt<1>, mul : UInt<1>, rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, div : UInt<1>, rem : UInt<1>, fence : UInt<1>, fence_i : UInt<1>, pm_alu : UInt<1>, legal : UInt<1>} @[dec_decode_ctl.scala 126:18] - wire i0_dp_raw : {alu : UInt<1>, rs1 : UInt<1>, rs2 : UInt<1>, imm12 : UInt<1>, rd : UInt<1>, shimm5 : UInt<1>, imm20 : UInt<1>, pc : UInt<1>, load : UInt<1>, store : UInt<1>, lsu : UInt<1>, add : UInt<1>, sub : UInt<1>, land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, sra : UInt<1>, srl : UInt<1>, slt : UInt<1>, unsign : UInt<1>, condbr : UInt<1>, beq : UInt<1>, bne : UInt<1>, bge : UInt<1>, blt : UInt<1>, jal : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, csr_read : UInt<1>, csr_clr : UInt<1>, csr_set : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>, presync : UInt<1>, postsync : UInt<1>, ebreak : UInt<1>, ecall : UInt<1>, mret : UInt<1>, mul : UInt<1>, rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, div : UInt<1>, rem : UInt<1>, fence : UInt<1>, fence_i : UInt<1>, pm_alu : UInt<1>, legal : UInt<1>} @[dec_decode_ctl.scala 127:22] + wire cam_inv_reset_val : UInt<1>[4] @[dec_decode_ctl.scala 118:37] + wire cam_data_reset_val : UInt<1>[4] @[dec_decode_ctl.scala 119:37] + wire nonblock_load_write : UInt<1>[4] @[dec_decode_ctl.scala 120:37] + wire cam_raw : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}[4] @[dec_decode_ctl.scala 121:37] + wire cam_in : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}[4] @[dec_decode_ctl.scala 122:37] + wire i0_dp : {alu : UInt<1>, rs1 : UInt<1>, rs2 : UInt<1>, imm12 : UInt<1>, rd : UInt<1>, shimm5 : UInt<1>, imm20 : UInt<1>, pc : UInt<1>, load : UInt<1>, store : UInt<1>, lsu : UInt<1>, add : UInt<1>, sub : UInt<1>, land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, sra : UInt<1>, srl : UInt<1>, slt : UInt<1>, unsign : UInt<1>, condbr : UInt<1>, beq : UInt<1>, bne : UInt<1>, bge : UInt<1>, blt : UInt<1>, jal : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, csr_read : UInt<1>, csr_clr : UInt<1>, csr_set : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>, presync : UInt<1>, postsync : UInt<1>, ebreak : UInt<1>, ecall : UInt<1>, mret : UInt<1>, mul : UInt<1>, rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, div : UInt<1>, rem : UInt<1>, fence : UInt<1>, fence_i : UInt<1>, pm_alu : UInt<1>, legal : UInt<1>} @[dec_decode_ctl.scala 123:37] + wire i0_dp_raw : {alu : UInt<1>, rs1 : UInt<1>, rs2 : UInt<1>, imm12 : UInt<1>, rd : UInt<1>, shimm5 : UInt<1>, imm20 : UInt<1>, pc : UInt<1>, load : UInt<1>, store : UInt<1>, lsu : UInt<1>, add : UInt<1>, sub : UInt<1>, land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, sra : UInt<1>, srl : UInt<1>, slt : UInt<1>, unsign : UInt<1>, condbr : UInt<1>, beq : UInt<1>, bne : UInt<1>, bge : UInt<1>, blt : UInt<1>, jal : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, csr_read : UInt<1>, csr_clr : UInt<1>, csr_set : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>, presync : UInt<1>, postsync : UInt<1>, ebreak : UInt<1>, ecall : UInt<1>, mret : UInt<1>, mul : UInt<1>, rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, div : UInt<1>, rem : UInt<1>, fence : UInt<1>, fence_i : UInt<1>, pm_alu : UInt<1>, legal : UInt<1>} @[dec_decode_ctl.scala 124:37] wire i0_rs1bypass : UInt<3> i0_rs1bypass <= UInt<1>("h00") wire i0_rs2bypass : UInt<3> @@ -66942,299 +66940,299 @@ circuit quasar : i0_result_x <= UInt<1>("h00") wire i0_result_r : UInt<32> i0_result_r <= UInt<1>("h00") - node _T_1 = xor(io.dec_tlu_wr_pause_r, tlu_wr_pause_r1) @[dec_decode_ctl.scala 181:51] - node _T_2 = xor(tlu_wr_pause_r1, tlu_wr_pause_r2) @[dec_decode_ctl.scala 182:32] - node _T_3 = or(_T_1, _T_2) @[dec_decode_ctl.scala 181:73] - node _T_4 = xor(io.dec_tlu_flush_extint, io.decode_exu.dec_extint_stall) @[dec_decode_ctl.scala 183:32] - node _T_5 = or(_T_3, _T_4) @[dec_decode_ctl.scala 182:56] - node _T_6 = xor(leak1_i1_stall_in, leak1_i1_stall) @[dec_decode_ctl.scala 184:32] - node _T_7 = or(_T_5, _T_6) @[dec_decode_ctl.scala 183:67] - node _T_8 = xor(leak1_i0_stall_in, leak1_i0_stall) @[dec_decode_ctl.scala 185:32] - node _T_9 = or(_T_7, _T_8) @[dec_decode_ctl.scala 184:56] - node _T_10 = xor(pause_state_in, pause_stall) @[dec_decode_ctl.scala 186:32] - node _T_11 = or(_T_9, _T_10) @[dec_decode_ctl.scala 185:56] - node _T_12 = xor(ps_stall_in, postsync_stall) @[dec_decode_ctl.scala 187:32] - node _T_13 = or(_T_11, _T_12) @[dec_decode_ctl.scala 186:56] - node _T_14 = xor(io.exu_flush_final, flush_final_r) @[dec_decode_ctl.scala 188:32] - node _T_15 = or(_T_13, _T_14) @[dec_decode_ctl.scala 187:56] - node _T_16 = xor(illegal_lockout_in, illegal_lockout) @[dec_decode_ctl.scala 189:32] - node data_gate_en = or(_T_15, _T_16) @[dec_decode_ctl.scala 188:56] - node _T_17 = bits(data_gate_en, 0, 0) @[dec_decode_ctl.scala 192:56] + node _T_1 = xor(io.dec_tlu_wr_pause_r, tlu_wr_pause_r1) @[dec_decode_ctl.scala 178:54] + node _T_2 = xor(tlu_wr_pause_r1, tlu_wr_pause_r2) @[dec_decode_ctl.scala 179:54] + node _T_3 = or(_T_1, _T_2) @[dec_decode_ctl.scala 178:89] + node _T_4 = xor(io.dec_tlu_flush_extint, io.decode_exu.dec_extint_stall) @[dec_decode_ctl.scala 180:54] + node _T_5 = or(_T_3, _T_4) @[dec_decode_ctl.scala 179:89] + node _T_6 = xor(leak1_i1_stall_in, leak1_i1_stall) @[dec_decode_ctl.scala 181:54] + node _T_7 = or(_T_5, _T_6) @[dec_decode_ctl.scala 180:89] + node _T_8 = xor(leak1_i0_stall_in, leak1_i0_stall) @[dec_decode_ctl.scala 182:54] + node _T_9 = or(_T_7, _T_8) @[dec_decode_ctl.scala 181:89] + node _T_10 = xor(pause_state_in, pause_stall) @[dec_decode_ctl.scala 183:54] + node _T_11 = or(_T_9, _T_10) @[dec_decode_ctl.scala 182:89] + node _T_12 = xor(ps_stall_in, postsync_stall) @[dec_decode_ctl.scala 184:54] + node _T_13 = or(_T_11, _T_12) @[dec_decode_ctl.scala 183:89] + node _T_14 = xor(io.exu_flush_final, flush_final_r) @[dec_decode_ctl.scala 185:54] + node _T_15 = or(_T_13, _T_14) @[dec_decode_ctl.scala 184:89] + node _T_16 = xor(illegal_lockout_in, illegal_lockout) @[dec_decode_ctl.scala 186:54] + node data_gate_en = or(_T_15, _T_16) @[dec_decode_ctl.scala 185:89] + node _T_17 = bits(data_gate_en, 0, 0) @[dec_decode_ctl.scala 189:57] inst rvclkhdr of rvclkhdr_661 @[lib.scala 343:22] rvclkhdr.clock <= clock rvclkhdr.reset <= reset rvclkhdr.io.clk <= clock @[lib.scala 344:17] rvclkhdr.io.en <= _T_17 @[lib.scala 345:16] rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - node _T_18 = eq(leak1_mode, UInt<1>("h00")) @[dec_decode_ctl.scala 196:62] - node i0_brp_valid = and(io.dec_i0_brp.valid, _T_18) @[dec_decode_ctl.scala 196:60] - io.decode_exu.dec_i0_predict_p_d.bits.misp <= UInt<1>("h00") @[dec_decode_ctl.scala 197:54] - io.decode_exu.dec_i0_predict_p_d.bits.ataken <= UInt<1>("h00") @[dec_decode_ctl.scala 198:54] - io.decode_exu.dec_i0_predict_p_d.bits.boffset <= UInt<1>("h00") @[dec_decode_ctl.scala 199:54] - io.decode_exu.dec_i0_predict_p_d.bits.pcall <= i0_pcall @[dec_decode_ctl.scala 200:54] - io.decode_exu.dec_i0_predict_p_d.bits.pja <= i0_pja @[dec_decode_ctl.scala 201:54] - io.decode_exu.dec_i0_predict_p_d.bits.pret <= i0_pret @[dec_decode_ctl.scala 202:54] - io.decode_exu.dec_i0_predict_p_d.bits.prett <= io.dec_i0_brp.bits.prett @[dec_decode_ctl.scala 203:54] - io.decode_exu.dec_i0_predict_p_d.bits.pc4 <= io.dec_i0_pc4_d @[dec_decode_ctl.scala 204:54] - io.decode_exu.dec_i0_predict_p_d.bits.hist <= io.dec_i0_brp.bits.hist @[dec_decode_ctl.scala 205:54] - node _T_19 = and(i0_brp_valid, i0_legal_decode_d) @[dec_decode_ctl.scala 206:66] - io.decode_exu.dec_i0_predict_p_d.valid <= _T_19 @[dec_decode_ctl.scala 206:49] - node _T_20 = or(i0_dp_raw.condbr, i0_pcall_raw) @[dec_decode_ctl.scala 207:75] - node _T_21 = or(_T_20, i0_pja_raw) @[dec_decode_ctl.scala 207:90] - node _T_22 = or(_T_21, i0_pret_raw) @[dec_decode_ctl.scala 207:103] - node _T_23 = eq(_T_22, UInt<1>("h00")) @[dec_decode_ctl.scala 207:56] - node i0_notbr_error = and(i0_brp_valid, _T_23) @[dec_decode_ctl.scala 207:54] - node _T_24 = bits(io.dec_i0_brp.bits.hist, 1, 1) @[dec_decode_ctl.scala 210:72] - node _T_25 = and(i0_brp_valid, _T_24) @[dec_decode_ctl.scala 210:47] - node _T_26 = neq(io.dec_i0_brp.bits.toffset, i0_br_offset) @[dec_decode_ctl.scala 210:106] - node _T_27 = and(_T_25, _T_26) @[dec_decode_ctl.scala 210:76] - node _T_28 = eq(i0_pret_raw, UInt<1>("h00")) @[dec_decode_ctl.scala 210:126] - node i0_br_toffset_error = and(_T_27, _T_28) @[dec_decode_ctl.scala 210:124] - node _T_29 = and(i0_brp_valid, io.dec_i0_brp.bits.ret) @[dec_decode_ctl.scala 211:47] - node _T_30 = eq(i0_pret_raw, UInt<1>("h00")) @[dec_decode_ctl.scala 211:74] - node i0_ret_error = and(_T_29, _T_30) @[dec_decode_ctl.scala 211:72] - node _T_31 = or(io.dec_i0_brp.bits.br_error, i0_notbr_error) @[dec_decode_ctl.scala 212:62] - node _T_32 = or(_T_31, i0_br_toffset_error) @[dec_decode_ctl.scala 212:79] - node i0_br_error = or(_T_32, i0_ret_error) @[dec_decode_ctl.scala 212:101] - node _T_33 = and(i0_br_error, i0_legal_decode_d) @[dec_decode_ctl.scala 213:83] - node _T_34 = eq(leak1_mode, UInt<1>("h00")) @[dec_decode_ctl.scala 213:105] - node _T_35 = and(_T_33, _T_34) @[dec_decode_ctl.scala 213:103] - io.decode_exu.dec_i0_predict_p_d.bits.br_error <= _T_35 @[dec_decode_ctl.scala 213:67] - node _T_36 = and(io.dec_i0_brp.bits.br_start_error, i0_legal_decode_d) @[dec_decode_ctl.scala 214:105] - node _T_37 = eq(leak1_mode, UInt<1>("h00")) @[dec_decode_ctl.scala 214:127] - node _T_38 = and(_T_36, _T_37) @[dec_decode_ctl.scala 214:125] - io.decode_exu.dec_i0_predict_p_d.bits.br_start_error <= _T_38 @[dec_decode_ctl.scala 214:67] - io.decode_exu.i0_predict_index_d <= io.dec_i0_bp_index @[dec_decode_ctl.scala 215:43] - io.decode_exu.i0_predict_btag_d <= io.dec_i0_bp_btag @[dec_decode_ctl.scala 216:43] - node _T_39 = or(i0_br_error, io.dec_i0_brp.bits.br_start_error) @[dec_decode_ctl.scala 217:47] - node _T_40 = eq(leak1_mode, UInt<1>("h00")) @[dec_decode_ctl.scala 217:86] - node i0_br_error_all = and(_T_39, _T_40) @[dec_decode_ctl.scala 217:84] - io.decode_exu.dec_i0_predict_p_d.bits.toffset <= i0_br_offset @[dec_decode_ctl.scala 218:60] - io.decode_exu.i0_predict_fghr_d <= io.dec_i0_bp_fghr @[dec_decode_ctl.scala 219:43] - io.decode_exu.dec_i0_predict_p_d.bits.way <= io.dec_i0_brp.bits.way @[dec_decode_ctl.scala 220:67] - node i0_icaf_d = or(io.dec_i0_icaf_d, io.dec_i0_dbecc_d) @[dec_decode_ctl.scala 226:36] - i0_dp.legal <= i0_dp_raw.legal @[dec_decode_ctl.scala 229:9] - i0_dp.pm_alu <= i0_dp_raw.pm_alu @[dec_decode_ctl.scala 229:9] - i0_dp.fence_i <= i0_dp_raw.fence_i @[dec_decode_ctl.scala 229:9] - i0_dp.fence <= i0_dp_raw.fence @[dec_decode_ctl.scala 229:9] - i0_dp.rem <= i0_dp_raw.rem @[dec_decode_ctl.scala 229:9] - i0_dp.div <= i0_dp_raw.div @[dec_decode_ctl.scala 229:9] - i0_dp.low <= i0_dp_raw.low @[dec_decode_ctl.scala 229:9] - i0_dp.rs2_sign <= i0_dp_raw.rs2_sign @[dec_decode_ctl.scala 229:9] - i0_dp.rs1_sign <= i0_dp_raw.rs1_sign @[dec_decode_ctl.scala 229:9] - i0_dp.mul <= i0_dp_raw.mul @[dec_decode_ctl.scala 229:9] - i0_dp.mret <= i0_dp_raw.mret @[dec_decode_ctl.scala 229:9] - i0_dp.ecall <= i0_dp_raw.ecall @[dec_decode_ctl.scala 229:9] - i0_dp.ebreak <= i0_dp_raw.ebreak @[dec_decode_ctl.scala 229:9] - i0_dp.postsync <= i0_dp_raw.postsync @[dec_decode_ctl.scala 229:9] - i0_dp.presync <= i0_dp_raw.presync @[dec_decode_ctl.scala 229:9] - i0_dp.csr_imm <= i0_dp_raw.csr_imm @[dec_decode_ctl.scala 229:9] - i0_dp.csr_write <= i0_dp_raw.csr_write @[dec_decode_ctl.scala 229:9] - i0_dp.csr_set <= i0_dp_raw.csr_set @[dec_decode_ctl.scala 229:9] - i0_dp.csr_clr <= i0_dp_raw.csr_clr @[dec_decode_ctl.scala 229:9] - i0_dp.csr_read <= i0_dp_raw.csr_read @[dec_decode_ctl.scala 229:9] - i0_dp.word <= i0_dp_raw.word @[dec_decode_ctl.scala 229:9] - i0_dp.half <= i0_dp_raw.half @[dec_decode_ctl.scala 229:9] - i0_dp.by <= i0_dp_raw.by @[dec_decode_ctl.scala 229:9] - i0_dp.jal <= i0_dp_raw.jal @[dec_decode_ctl.scala 229:9] - i0_dp.blt <= i0_dp_raw.blt @[dec_decode_ctl.scala 229:9] - i0_dp.bge <= i0_dp_raw.bge @[dec_decode_ctl.scala 229:9] - i0_dp.bne <= i0_dp_raw.bne @[dec_decode_ctl.scala 229:9] - i0_dp.beq <= i0_dp_raw.beq @[dec_decode_ctl.scala 229:9] - i0_dp.condbr <= i0_dp_raw.condbr @[dec_decode_ctl.scala 229:9] - i0_dp.unsign <= i0_dp_raw.unsign @[dec_decode_ctl.scala 229:9] - i0_dp.slt <= i0_dp_raw.slt @[dec_decode_ctl.scala 229:9] - i0_dp.srl <= i0_dp_raw.srl @[dec_decode_ctl.scala 229:9] - i0_dp.sra <= i0_dp_raw.sra @[dec_decode_ctl.scala 229:9] - i0_dp.sll <= i0_dp_raw.sll @[dec_decode_ctl.scala 229:9] - i0_dp.lxor <= i0_dp_raw.lxor @[dec_decode_ctl.scala 229:9] - i0_dp.lor <= i0_dp_raw.lor @[dec_decode_ctl.scala 229:9] - i0_dp.land <= i0_dp_raw.land @[dec_decode_ctl.scala 229:9] - i0_dp.sub <= i0_dp_raw.sub @[dec_decode_ctl.scala 229:9] - i0_dp.add <= i0_dp_raw.add @[dec_decode_ctl.scala 229:9] - i0_dp.lsu <= i0_dp_raw.lsu @[dec_decode_ctl.scala 229:9] - i0_dp.store <= i0_dp_raw.store @[dec_decode_ctl.scala 229:9] - i0_dp.load <= i0_dp_raw.load @[dec_decode_ctl.scala 229:9] - i0_dp.pc <= i0_dp_raw.pc @[dec_decode_ctl.scala 229:9] - i0_dp.imm20 <= i0_dp_raw.imm20 @[dec_decode_ctl.scala 229:9] - i0_dp.shimm5 <= i0_dp_raw.shimm5 @[dec_decode_ctl.scala 229:9] - i0_dp.rd <= i0_dp_raw.rd @[dec_decode_ctl.scala 229:9] - i0_dp.imm12 <= i0_dp_raw.imm12 @[dec_decode_ctl.scala 229:9] - i0_dp.rs2 <= i0_dp_raw.rs2 @[dec_decode_ctl.scala 229:9] - i0_dp.rs1 <= i0_dp_raw.rs1 @[dec_decode_ctl.scala 229:9] - i0_dp.alu <= i0_dp_raw.alu @[dec_decode_ctl.scala 229:9] - node _T_41 = or(i0_br_error_all, i0_icaf_d) @[dec_decode_ctl.scala 230:25] - node _T_42 = bits(_T_41, 0, 0) @[dec_decode_ctl.scala 230:43] - when _T_42 : @[dec_decode_ctl.scala 230:50] - wire _T_43 : {alu : UInt<1>, rs1 : UInt<1>, rs2 : UInt<1>, imm12 : UInt<1>, rd : UInt<1>, shimm5 : UInt<1>, imm20 : UInt<1>, pc : UInt<1>, load : UInt<1>, store : UInt<1>, lsu : UInt<1>, add : UInt<1>, sub : UInt<1>, land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, sra : UInt<1>, srl : UInt<1>, slt : UInt<1>, unsign : UInt<1>, condbr : UInt<1>, beq : UInt<1>, bne : UInt<1>, bge : UInt<1>, blt : UInt<1>, jal : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, csr_read : UInt<1>, csr_clr : UInt<1>, csr_set : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>, presync : UInt<1>, postsync : UInt<1>, ebreak : UInt<1>, ecall : UInt<1>, mret : UInt<1>, mul : UInt<1>, rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, div : UInt<1>, rem : UInt<1>, fence : UInt<1>, fence_i : UInt<1>, pm_alu : UInt<1>, legal : UInt<1>} @[dec_decode_ctl.scala 231:35] - _T_43.legal <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.pm_alu <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.fence_i <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.fence <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.rem <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.div <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.low <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.rs2_sign <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.rs1_sign <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.mul <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.mret <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.ecall <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.ebreak <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.postsync <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.presync <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.csr_imm <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.csr_write <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.csr_set <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.csr_clr <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.csr_read <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.word <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.half <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.by <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.jal <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.blt <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.bge <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.bne <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.beq <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.condbr <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.unsign <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.slt <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.srl <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.sra <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.sll <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.lxor <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.lor <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.land <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.sub <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.add <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.lsu <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.store <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.load <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.pc <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.imm20 <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.shimm5 <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.rd <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.imm12 <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.rs2 <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.rs1 <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.alu <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - i0_dp.legal <= _T_43.legal @[dec_decode_ctl.scala 231:20] - i0_dp.pm_alu <= _T_43.pm_alu @[dec_decode_ctl.scala 231:20] - i0_dp.fence_i <= _T_43.fence_i @[dec_decode_ctl.scala 231:20] - i0_dp.fence <= _T_43.fence @[dec_decode_ctl.scala 231:20] - i0_dp.rem <= _T_43.rem @[dec_decode_ctl.scala 231:20] - i0_dp.div <= _T_43.div @[dec_decode_ctl.scala 231:20] - i0_dp.low <= _T_43.low @[dec_decode_ctl.scala 231:20] - i0_dp.rs2_sign <= _T_43.rs2_sign @[dec_decode_ctl.scala 231:20] - i0_dp.rs1_sign <= _T_43.rs1_sign @[dec_decode_ctl.scala 231:20] - i0_dp.mul <= _T_43.mul @[dec_decode_ctl.scala 231:20] - i0_dp.mret <= _T_43.mret @[dec_decode_ctl.scala 231:20] - i0_dp.ecall <= _T_43.ecall @[dec_decode_ctl.scala 231:20] - i0_dp.ebreak <= _T_43.ebreak @[dec_decode_ctl.scala 231:20] - i0_dp.postsync <= _T_43.postsync @[dec_decode_ctl.scala 231:20] - i0_dp.presync <= _T_43.presync @[dec_decode_ctl.scala 231:20] - i0_dp.csr_imm <= _T_43.csr_imm @[dec_decode_ctl.scala 231:20] - i0_dp.csr_write <= _T_43.csr_write @[dec_decode_ctl.scala 231:20] - i0_dp.csr_set <= _T_43.csr_set @[dec_decode_ctl.scala 231:20] - i0_dp.csr_clr <= _T_43.csr_clr @[dec_decode_ctl.scala 231:20] - i0_dp.csr_read <= _T_43.csr_read @[dec_decode_ctl.scala 231:20] - i0_dp.word <= _T_43.word @[dec_decode_ctl.scala 231:20] - i0_dp.half <= _T_43.half @[dec_decode_ctl.scala 231:20] - i0_dp.by <= _T_43.by @[dec_decode_ctl.scala 231:20] - i0_dp.jal <= _T_43.jal @[dec_decode_ctl.scala 231:20] - i0_dp.blt <= _T_43.blt @[dec_decode_ctl.scala 231:20] - i0_dp.bge <= _T_43.bge @[dec_decode_ctl.scala 231:20] - i0_dp.bne <= _T_43.bne @[dec_decode_ctl.scala 231:20] - i0_dp.beq <= _T_43.beq @[dec_decode_ctl.scala 231:20] - i0_dp.condbr <= _T_43.condbr @[dec_decode_ctl.scala 231:20] - i0_dp.unsign <= _T_43.unsign @[dec_decode_ctl.scala 231:20] - i0_dp.slt <= _T_43.slt @[dec_decode_ctl.scala 231:20] - i0_dp.srl <= _T_43.srl @[dec_decode_ctl.scala 231:20] - i0_dp.sra <= _T_43.sra @[dec_decode_ctl.scala 231:20] - i0_dp.sll <= _T_43.sll @[dec_decode_ctl.scala 231:20] - i0_dp.lxor <= _T_43.lxor @[dec_decode_ctl.scala 231:20] - i0_dp.lor <= _T_43.lor @[dec_decode_ctl.scala 231:20] - i0_dp.land <= _T_43.land @[dec_decode_ctl.scala 231:20] - i0_dp.sub <= _T_43.sub @[dec_decode_ctl.scala 231:20] - i0_dp.add <= _T_43.add @[dec_decode_ctl.scala 231:20] - i0_dp.lsu <= _T_43.lsu @[dec_decode_ctl.scala 231:20] - i0_dp.store <= _T_43.store @[dec_decode_ctl.scala 231:20] - i0_dp.load <= _T_43.load @[dec_decode_ctl.scala 231:20] - i0_dp.pc <= _T_43.pc @[dec_decode_ctl.scala 231:20] - i0_dp.imm20 <= _T_43.imm20 @[dec_decode_ctl.scala 231:20] - i0_dp.shimm5 <= _T_43.shimm5 @[dec_decode_ctl.scala 231:20] - i0_dp.rd <= _T_43.rd @[dec_decode_ctl.scala 231:20] - i0_dp.imm12 <= _T_43.imm12 @[dec_decode_ctl.scala 231:20] - i0_dp.rs2 <= _T_43.rs2 @[dec_decode_ctl.scala 231:20] - i0_dp.rs1 <= _T_43.rs1 @[dec_decode_ctl.scala 231:20] - i0_dp.alu <= _T_43.alu @[dec_decode_ctl.scala 231:20] - i0_dp.alu <= UInt<1>("h01") @[dec_decode_ctl.scala 232:20] - i0_dp.rs1 <= UInt<1>("h01") @[dec_decode_ctl.scala 233:20] - i0_dp.rs2 <= UInt<1>("h01") @[dec_decode_ctl.scala 234:20] - i0_dp.lor <= UInt<1>("h01") @[dec_decode_ctl.scala 235:20] - i0_dp.legal <= UInt<1>("h01") @[dec_decode_ctl.scala 236:20] - i0_dp.postsync <= UInt<1>("h01") @[dec_decode_ctl.scala 237:20] - skip @[dec_decode_ctl.scala 230:50] - io.decode_exu.dec_i0_select_pc_d <= i0_dp.pc @[dec_decode_ctl.scala 241:36] - node _T_44 = or(i0_dp.condbr, i0_pcall) @[dec_decode_ctl.scala 244:40] - node _T_45 = or(_T_44, i0_pja) @[dec_decode_ctl.scala 244:51] - node i0_predict_br = or(_T_45, i0_pret) @[dec_decode_ctl.scala 244:60] - node _T_46 = bits(io.dec_i0_brp.bits.hist, 1, 1) @[dec_decode_ctl.scala 246:51] - node _T_47 = and(_T_46, i0_brp_valid) @[dec_decode_ctl.scala 246:55] - node _T_48 = eq(_T_47, UInt<1>("h00")) @[dec_decode_ctl.scala 246:26] - node i0_predict_nt = and(_T_48, i0_predict_br) @[dec_decode_ctl.scala 246:71] - node _T_49 = bits(io.dec_i0_brp.bits.hist, 1, 1) @[dec_decode_ctl.scala 247:51] - node _T_50 = and(_T_49, i0_brp_valid) @[dec_decode_ctl.scala 247:55] - node i0_predict_t = and(_T_50, i0_predict_br) @[dec_decode_ctl.scala 247:71] - node i0_ap_pc2 = eq(io.dec_i0_pc4_d, UInt<1>("h00")) @[dec_decode_ctl.scala 248:20] - io.decode_exu.i0_ap.predict_nt <= i0_predict_nt @[dec_decode_ctl.scala 250:37] - io.decode_exu.i0_ap.predict_t <= i0_predict_t @[dec_decode_ctl.scala 251:37] - io.decode_exu.i0_ap.add <= i0_dp.add @[dec_decode_ctl.scala 253:31] - io.decode_exu.i0_ap.sub <= i0_dp.sub @[dec_decode_ctl.scala 254:31] - io.decode_exu.i0_ap.land <= i0_dp.land @[dec_decode_ctl.scala 255:31] - io.decode_exu.i0_ap.lor <= i0_dp.lor @[dec_decode_ctl.scala 256:31] - io.decode_exu.i0_ap.lxor <= i0_dp.lxor @[dec_decode_ctl.scala 257:31] - io.decode_exu.i0_ap.sll <= i0_dp.sll @[dec_decode_ctl.scala 258:31] - io.decode_exu.i0_ap.srl <= i0_dp.srl @[dec_decode_ctl.scala 259:31] - io.decode_exu.i0_ap.sra <= i0_dp.sra @[dec_decode_ctl.scala 260:31] - io.decode_exu.i0_ap.slt <= i0_dp.slt @[dec_decode_ctl.scala 261:31] - io.decode_exu.i0_ap.unsign <= i0_dp.unsign @[dec_decode_ctl.scala 262:31] - io.decode_exu.i0_ap.beq <= i0_dp.beq @[dec_decode_ctl.scala 263:31] - io.decode_exu.i0_ap.bne <= i0_dp.bne @[dec_decode_ctl.scala 264:31] - io.decode_exu.i0_ap.blt <= i0_dp.blt @[dec_decode_ctl.scala 265:31] - io.decode_exu.i0_ap.bge <= i0_dp.bge @[dec_decode_ctl.scala 266:31] - io.decode_exu.i0_ap.csr_write <= i0_csr_write_only_d @[dec_decode_ctl.scala 267:33] - io.decode_exu.i0_ap.csr_imm <= i0_dp.csr_imm @[dec_decode_ctl.scala 268:33] - io.decode_exu.i0_ap.jal <= i0_jal @[dec_decode_ctl.scala 269:33] - node _T_51 = eq(cam[0].valid, UInt<1>("h00")) @[dec_decode_ctl.scala 273:78] - node _T_52 = bits(_T_51, 0, 0) @[dec_decode_ctl.scala 273:137] - node _T_53 = shl(cam_write, 0) @[dec_decode_ctl.scala 273:158] - node _T_54 = eq(cam[1].valid, UInt<1>("h00")) @[dec_decode_ctl.scala 273:78] - node _T_55 = bits(cam[0].valid, 0, 0) @[dec_decode_ctl.scala 273:120] - node _T_56 = bits(_T_54, 0, 0) @[dec_decode_ctl.scala 273:129] - node _T_57 = and(_T_55, _T_56) @[dec_decode_ctl.scala 273:126] - node _T_58 = bits(_T_57, 0, 0) @[dec_decode_ctl.scala 273:137] - node _T_59 = shl(cam_write, 1) @[dec_decode_ctl.scala 273:158] - node _T_60 = eq(cam[2].valid, UInt<1>("h00")) @[dec_decode_ctl.scala 273:78] - node _T_61 = bits(cam[0].valid, 0, 0) @[dec_decode_ctl.scala 273:120] - node _T_62 = bits(cam[1].valid, 0, 0) @[dec_decode_ctl.scala 273:129] - node _T_63 = and(_T_61, _T_62) @[dec_decode_ctl.scala 273:126] - node _T_64 = bits(_T_63, 0, 0) @[dec_decode_ctl.scala 273:120] - node _T_65 = bits(_T_60, 0, 0) @[dec_decode_ctl.scala 273:129] - node _T_66 = and(_T_64, _T_65) @[dec_decode_ctl.scala 273:126] - node _T_67 = bits(_T_66, 0, 0) @[dec_decode_ctl.scala 273:137] - node _T_68 = shl(cam_write, 2) @[dec_decode_ctl.scala 273:158] - node _T_69 = eq(cam[3].valid, UInt<1>("h00")) @[dec_decode_ctl.scala 273:78] - node _T_70 = bits(cam[0].valid, 0, 0) @[dec_decode_ctl.scala 273:120] - node _T_71 = bits(cam[1].valid, 0, 0) @[dec_decode_ctl.scala 273:129] - node _T_72 = and(_T_70, _T_71) @[dec_decode_ctl.scala 273:126] - node _T_73 = bits(_T_72, 0, 0) @[dec_decode_ctl.scala 273:120] - node _T_74 = bits(cam[2].valid, 0, 0) @[dec_decode_ctl.scala 273:129] - node _T_75 = and(_T_73, _T_74) @[dec_decode_ctl.scala 273:126] - node _T_76 = bits(_T_75, 0, 0) @[dec_decode_ctl.scala 273:120] - node _T_77 = bits(_T_69, 0, 0) @[dec_decode_ctl.scala 273:129] - node _T_78 = and(_T_76, _T_77) @[dec_decode_ctl.scala 273:126] - node _T_79 = bits(_T_78, 0, 0) @[dec_decode_ctl.scala 273:137] - node _T_80 = shl(cam_write, 3) @[dec_decode_ctl.scala 273:158] + node _T_18 = eq(leak1_mode, UInt<1>("h00")) @[dec_decode_ctl.scala 192:80] + node i0_brp_valid = and(io.dec_i0_brp.valid, _T_18) @[dec_decode_ctl.scala 192:78] + io.decode_exu.dec_i0_predict_p_d.bits.misp <= UInt<1>("h00") @[dec_decode_ctl.scala 193:55] + io.decode_exu.dec_i0_predict_p_d.bits.ataken <= UInt<1>("h00") @[dec_decode_ctl.scala 194:55] + io.decode_exu.dec_i0_predict_p_d.bits.boffset <= UInt<1>("h00") @[dec_decode_ctl.scala 195:55] + io.decode_exu.dec_i0_predict_p_d.bits.pcall <= i0_pcall @[dec_decode_ctl.scala 196:55] + io.decode_exu.dec_i0_predict_p_d.bits.pja <= i0_pja @[dec_decode_ctl.scala 197:55] + io.decode_exu.dec_i0_predict_p_d.bits.pret <= i0_pret @[dec_decode_ctl.scala 198:55] + io.decode_exu.dec_i0_predict_p_d.bits.prett <= io.dec_i0_brp.bits.prett @[dec_decode_ctl.scala 199:55] + io.decode_exu.dec_i0_predict_p_d.bits.pc4 <= io.dec_i0_pc4_d @[dec_decode_ctl.scala 200:55] + io.decode_exu.dec_i0_predict_p_d.bits.hist <= io.dec_i0_brp.bits.hist @[dec_decode_ctl.scala 201:55] + node _T_19 = and(i0_brp_valid, i0_legal_decode_d) @[dec_decode_ctl.scala 202:71] + io.decode_exu.dec_i0_predict_p_d.valid <= _T_19 @[dec_decode_ctl.scala 202:55] + node _T_20 = or(i0_dp_raw.condbr, i0_pcall_raw) @[dec_decode_ctl.scala 203:92] + node _T_21 = or(_T_20, i0_pja_raw) @[dec_decode_ctl.scala 203:107] + node _T_22 = or(_T_21, i0_pret_raw) @[dec_decode_ctl.scala 203:120] + node _T_23 = eq(_T_22, UInt<1>("h00")) @[dec_decode_ctl.scala 203:73] + node i0_notbr_error = and(i0_brp_valid, _T_23) @[dec_decode_ctl.scala 203:71] + node _T_24 = bits(io.dec_i0_brp.bits.hist, 1, 1) @[dec_decode_ctl.scala 206:97] + node _T_25 = and(i0_brp_valid, _T_24) @[dec_decode_ctl.scala 206:72] + node _T_26 = neq(io.dec_i0_brp.bits.toffset, i0_br_offset) @[dec_decode_ctl.scala 206:131] + node _T_27 = and(_T_25, _T_26) @[dec_decode_ctl.scala 206:101] + node _T_28 = eq(i0_pret_raw, UInt<1>("h00")) @[dec_decode_ctl.scala 206:151] + node i0_br_toffset_error = and(_T_27, _T_28) @[dec_decode_ctl.scala 206:149] + node _T_29 = and(i0_brp_valid, io.dec_i0_brp.bits.ret) @[dec_decode_ctl.scala 207:72] + node _T_30 = eq(i0_pret_raw, UInt<1>("h00")) @[dec_decode_ctl.scala 207:99] + node i0_ret_error = and(_T_29, _T_30) @[dec_decode_ctl.scala 207:97] + node _T_31 = or(io.dec_i0_brp.bits.br_error, i0_notbr_error) @[dec_decode_ctl.scala 208:87] + node _T_32 = or(_T_31, i0_br_toffset_error) @[dec_decode_ctl.scala 208:104] + node i0_br_error = or(_T_32, i0_ret_error) @[dec_decode_ctl.scala 208:126] + node _T_33 = and(i0_br_error, i0_legal_decode_d) @[dec_decode_ctl.scala 209:72] + node _T_34 = eq(leak1_mode, UInt<1>("h00")) @[dec_decode_ctl.scala 209:94] + node _T_35 = and(_T_33, _T_34) @[dec_decode_ctl.scala 209:92] + io.decode_exu.dec_i0_predict_p_d.bits.br_error <= _T_35 @[dec_decode_ctl.scala 209:56] + node _T_36 = and(io.dec_i0_brp.bits.br_start_error, i0_legal_decode_d) @[dec_decode_ctl.scala 210:94] + node _T_37 = eq(leak1_mode, UInt<1>("h00")) @[dec_decode_ctl.scala 210:116] + node _T_38 = and(_T_36, _T_37) @[dec_decode_ctl.scala 210:114] + io.decode_exu.dec_i0_predict_p_d.bits.br_start_error <= _T_38 @[dec_decode_ctl.scala 210:56] + io.decode_exu.i0_predict_index_d <= io.dec_i0_bp_index @[dec_decode_ctl.scala 211:56] + io.decode_exu.i0_predict_btag_d <= io.dec_i0_bp_btag @[dec_decode_ctl.scala 212:56] + node _T_39 = or(i0_br_error, io.dec_i0_brp.bits.br_start_error) @[dec_decode_ctl.scala 213:72] + node _T_40 = eq(leak1_mode, UInt<1>("h00")) @[dec_decode_ctl.scala 213:111] + node i0_br_error_all = and(_T_39, _T_40) @[dec_decode_ctl.scala 213:109] + io.decode_exu.dec_i0_predict_p_d.bits.toffset <= i0_br_offset @[dec_decode_ctl.scala 214:56] + io.decode_exu.i0_predict_fghr_d <= io.dec_i0_bp_fghr @[dec_decode_ctl.scala 215:56] + io.decode_exu.dec_i0_predict_p_d.bits.way <= io.dec_i0_brp.bits.way @[dec_decode_ctl.scala 216:56] + node i0_icaf_d = or(io.dec_i0_icaf_d, io.dec_i0_dbecc_d) @[dec_decode_ctl.scala 222:43] + i0_dp.legal <= i0_dp_raw.legal @[dec_decode_ctl.scala 224:23] + i0_dp.pm_alu <= i0_dp_raw.pm_alu @[dec_decode_ctl.scala 224:23] + i0_dp.fence_i <= i0_dp_raw.fence_i @[dec_decode_ctl.scala 224:23] + i0_dp.fence <= i0_dp_raw.fence @[dec_decode_ctl.scala 224:23] + i0_dp.rem <= i0_dp_raw.rem @[dec_decode_ctl.scala 224:23] + i0_dp.div <= i0_dp_raw.div @[dec_decode_ctl.scala 224:23] + i0_dp.low <= i0_dp_raw.low @[dec_decode_ctl.scala 224:23] + i0_dp.rs2_sign <= i0_dp_raw.rs2_sign @[dec_decode_ctl.scala 224:23] + i0_dp.rs1_sign <= i0_dp_raw.rs1_sign @[dec_decode_ctl.scala 224:23] + i0_dp.mul <= i0_dp_raw.mul @[dec_decode_ctl.scala 224:23] + i0_dp.mret <= i0_dp_raw.mret @[dec_decode_ctl.scala 224:23] + i0_dp.ecall <= i0_dp_raw.ecall @[dec_decode_ctl.scala 224:23] + i0_dp.ebreak <= i0_dp_raw.ebreak @[dec_decode_ctl.scala 224:23] + i0_dp.postsync <= i0_dp_raw.postsync @[dec_decode_ctl.scala 224:23] + i0_dp.presync <= i0_dp_raw.presync @[dec_decode_ctl.scala 224:23] + i0_dp.csr_imm <= i0_dp_raw.csr_imm @[dec_decode_ctl.scala 224:23] + i0_dp.csr_write <= i0_dp_raw.csr_write @[dec_decode_ctl.scala 224:23] + i0_dp.csr_set <= i0_dp_raw.csr_set @[dec_decode_ctl.scala 224:23] + i0_dp.csr_clr <= i0_dp_raw.csr_clr @[dec_decode_ctl.scala 224:23] + i0_dp.csr_read <= i0_dp_raw.csr_read @[dec_decode_ctl.scala 224:23] + i0_dp.word <= i0_dp_raw.word @[dec_decode_ctl.scala 224:23] + i0_dp.half <= i0_dp_raw.half @[dec_decode_ctl.scala 224:23] + i0_dp.by <= i0_dp_raw.by @[dec_decode_ctl.scala 224:23] + i0_dp.jal <= i0_dp_raw.jal @[dec_decode_ctl.scala 224:23] + i0_dp.blt <= i0_dp_raw.blt @[dec_decode_ctl.scala 224:23] + i0_dp.bge <= i0_dp_raw.bge @[dec_decode_ctl.scala 224:23] + i0_dp.bne <= i0_dp_raw.bne @[dec_decode_ctl.scala 224:23] + i0_dp.beq <= i0_dp_raw.beq @[dec_decode_ctl.scala 224:23] + i0_dp.condbr <= i0_dp_raw.condbr @[dec_decode_ctl.scala 224:23] + i0_dp.unsign <= i0_dp_raw.unsign @[dec_decode_ctl.scala 224:23] + i0_dp.slt <= i0_dp_raw.slt @[dec_decode_ctl.scala 224:23] + i0_dp.srl <= i0_dp_raw.srl @[dec_decode_ctl.scala 224:23] + i0_dp.sra <= i0_dp_raw.sra @[dec_decode_ctl.scala 224:23] + i0_dp.sll <= i0_dp_raw.sll @[dec_decode_ctl.scala 224:23] + i0_dp.lxor <= i0_dp_raw.lxor @[dec_decode_ctl.scala 224:23] + i0_dp.lor <= i0_dp_raw.lor @[dec_decode_ctl.scala 224:23] + i0_dp.land <= i0_dp_raw.land @[dec_decode_ctl.scala 224:23] + i0_dp.sub <= i0_dp_raw.sub @[dec_decode_ctl.scala 224:23] + i0_dp.add <= i0_dp_raw.add @[dec_decode_ctl.scala 224:23] + i0_dp.lsu <= i0_dp_raw.lsu @[dec_decode_ctl.scala 224:23] + i0_dp.store <= i0_dp_raw.store @[dec_decode_ctl.scala 224:23] + i0_dp.load <= i0_dp_raw.load @[dec_decode_ctl.scala 224:23] + i0_dp.pc <= i0_dp_raw.pc @[dec_decode_ctl.scala 224:23] + i0_dp.imm20 <= i0_dp_raw.imm20 @[dec_decode_ctl.scala 224:23] + i0_dp.shimm5 <= i0_dp_raw.shimm5 @[dec_decode_ctl.scala 224:23] + i0_dp.rd <= i0_dp_raw.rd @[dec_decode_ctl.scala 224:23] + i0_dp.imm12 <= i0_dp_raw.imm12 @[dec_decode_ctl.scala 224:23] + i0_dp.rs2 <= i0_dp_raw.rs2 @[dec_decode_ctl.scala 224:23] + i0_dp.rs1 <= i0_dp_raw.rs1 @[dec_decode_ctl.scala 224:23] + i0_dp.alu <= i0_dp_raw.alu @[dec_decode_ctl.scala 224:23] + node _T_41 = or(i0_br_error_all, i0_icaf_d) @[dec_decode_ctl.scala 225:25] + node _T_42 = bits(_T_41, 0, 0) @[dec_decode_ctl.scala 225:43] + when _T_42 : @[dec_decode_ctl.scala 225:50] + wire _T_43 : {alu : UInt<1>, rs1 : UInt<1>, rs2 : UInt<1>, imm12 : UInt<1>, rd : UInt<1>, shimm5 : UInt<1>, imm20 : UInt<1>, pc : UInt<1>, load : UInt<1>, store : UInt<1>, lsu : UInt<1>, add : UInt<1>, sub : UInt<1>, land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, sra : UInt<1>, srl : UInt<1>, slt : UInt<1>, unsign : UInt<1>, condbr : UInt<1>, beq : UInt<1>, bne : UInt<1>, bge : UInt<1>, blt : UInt<1>, jal : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, csr_read : UInt<1>, csr_clr : UInt<1>, csr_set : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>, presync : UInt<1>, postsync : UInt<1>, ebreak : UInt<1>, ecall : UInt<1>, mret : UInt<1>, mul : UInt<1>, rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, div : UInt<1>, rem : UInt<1>, fence : UInt<1>, fence_i : UInt<1>, pm_alu : UInt<1>, legal : UInt<1>} @[dec_decode_ctl.scala 226:38] + _T_43.legal <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.pm_alu <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.fence_i <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.fence <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.rem <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.div <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.low <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.rs2_sign <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.rs1_sign <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.mul <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.mret <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.ecall <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.ebreak <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.postsync <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.presync <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.csr_imm <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.csr_write <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.csr_set <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.csr_clr <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.csr_read <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.word <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.half <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.by <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.jal <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.blt <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.bge <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.bne <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.beq <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.condbr <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.unsign <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.slt <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.srl <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.sra <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.sll <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.lxor <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.lor <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.land <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.sub <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.add <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.lsu <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.store <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.load <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.pc <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.imm20 <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.shimm5 <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.rd <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.imm12 <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.rs2 <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.rs1 <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.alu <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + i0_dp.legal <= _T_43.legal @[dec_decode_ctl.scala 226:23] + i0_dp.pm_alu <= _T_43.pm_alu @[dec_decode_ctl.scala 226:23] + i0_dp.fence_i <= _T_43.fence_i @[dec_decode_ctl.scala 226:23] + i0_dp.fence <= _T_43.fence @[dec_decode_ctl.scala 226:23] + i0_dp.rem <= _T_43.rem @[dec_decode_ctl.scala 226:23] + i0_dp.div <= _T_43.div @[dec_decode_ctl.scala 226:23] + i0_dp.low <= _T_43.low @[dec_decode_ctl.scala 226:23] + i0_dp.rs2_sign <= _T_43.rs2_sign @[dec_decode_ctl.scala 226:23] + i0_dp.rs1_sign <= _T_43.rs1_sign @[dec_decode_ctl.scala 226:23] + i0_dp.mul <= _T_43.mul @[dec_decode_ctl.scala 226:23] + i0_dp.mret <= _T_43.mret @[dec_decode_ctl.scala 226:23] + i0_dp.ecall <= _T_43.ecall @[dec_decode_ctl.scala 226:23] + i0_dp.ebreak <= _T_43.ebreak @[dec_decode_ctl.scala 226:23] + i0_dp.postsync <= _T_43.postsync @[dec_decode_ctl.scala 226:23] + i0_dp.presync <= _T_43.presync @[dec_decode_ctl.scala 226:23] + i0_dp.csr_imm <= _T_43.csr_imm @[dec_decode_ctl.scala 226:23] + i0_dp.csr_write <= _T_43.csr_write @[dec_decode_ctl.scala 226:23] + i0_dp.csr_set <= _T_43.csr_set @[dec_decode_ctl.scala 226:23] + i0_dp.csr_clr <= _T_43.csr_clr @[dec_decode_ctl.scala 226:23] + i0_dp.csr_read <= _T_43.csr_read @[dec_decode_ctl.scala 226:23] + i0_dp.word <= _T_43.word @[dec_decode_ctl.scala 226:23] + i0_dp.half <= _T_43.half @[dec_decode_ctl.scala 226:23] + i0_dp.by <= _T_43.by @[dec_decode_ctl.scala 226:23] + i0_dp.jal <= _T_43.jal @[dec_decode_ctl.scala 226:23] + i0_dp.blt <= _T_43.blt @[dec_decode_ctl.scala 226:23] + i0_dp.bge <= _T_43.bge @[dec_decode_ctl.scala 226:23] + i0_dp.bne <= _T_43.bne @[dec_decode_ctl.scala 226:23] + i0_dp.beq <= _T_43.beq @[dec_decode_ctl.scala 226:23] + i0_dp.condbr <= _T_43.condbr @[dec_decode_ctl.scala 226:23] + i0_dp.unsign <= _T_43.unsign @[dec_decode_ctl.scala 226:23] + i0_dp.slt <= _T_43.slt @[dec_decode_ctl.scala 226:23] + i0_dp.srl <= _T_43.srl @[dec_decode_ctl.scala 226:23] + i0_dp.sra <= _T_43.sra @[dec_decode_ctl.scala 226:23] + i0_dp.sll <= _T_43.sll @[dec_decode_ctl.scala 226:23] + i0_dp.lxor <= _T_43.lxor @[dec_decode_ctl.scala 226:23] + i0_dp.lor <= _T_43.lor @[dec_decode_ctl.scala 226:23] + i0_dp.land <= _T_43.land @[dec_decode_ctl.scala 226:23] + i0_dp.sub <= _T_43.sub @[dec_decode_ctl.scala 226:23] + i0_dp.add <= _T_43.add @[dec_decode_ctl.scala 226:23] + i0_dp.lsu <= _T_43.lsu @[dec_decode_ctl.scala 226:23] + i0_dp.store <= _T_43.store @[dec_decode_ctl.scala 226:23] + i0_dp.load <= _T_43.load @[dec_decode_ctl.scala 226:23] + i0_dp.pc <= _T_43.pc @[dec_decode_ctl.scala 226:23] + i0_dp.imm20 <= _T_43.imm20 @[dec_decode_ctl.scala 226:23] + i0_dp.shimm5 <= _T_43.shimm5 @[dec_decode_ctl.scala 226:23] + i0_dp.rd <= _T_43.rd @[dec_decode_ctl.scala 226:23] + i0_dp.imm12 <= _T_43.imm12 @[dec_decode_ctl.scala 226:23] + i0_dp.rs2 <= _T_43.rs2 @[dec_decode_ctl.scala 226:23] + i0_dp.rs1 <= _T_43.rs1 @[dec_decode_ctl.scala 226:23] + i0_dp.alu <= _T_43.alu @[dec_decode_ctl.scala 226:23] + i0_dp.alu <= UInt<1>("h01") @[dec_decode_ctl.scala 227:23] + i0_dp.rs1 <= UInt<1>("h01") @[dec_decode_ctl.scala 228:23] + i0_dp.rs2 <= UInt<1>("h01") @[dec_decode_ctl.scala 229:23] + i0_dp.lor <= UInt<1>("h01") @[dec_decode_ctl.scala 230:23] + i0_dp.legal <= UInt<1>("h01") @[dec_decode_ctl.scala 231:23] + i0_dp.postsync <= UInt<1>("h01") @[dec_decode_ctl.scala 232:23] + skip @[dec_decode_ctl.scala 225:50] + io.decode_exu.dec_i0_select_pc_d <= i0_dp.pc @[dec_decode_ctl.scala 236:36] + node _T_44 = or(i0_dp.condbr, i0_pcall) @[dec_decode_ctl.scala 239:54] + node _T_45 = or(_T_44, i0_pja) @[dec_decode_ctl.scala 239:65] + node i0_predict_br = or(_T_45, i0_pret) @[dec_decode_ctl.scala 239:74] + node _T_46 = bits(io.dec_i0_brp.bits.hist, 1, 1) @[dec_decode_ctl.scala 240:65] + node _T_47 = and(_T_46, i0_brp_valid) @[dec_decode_ctl.scala 240:69] + node _T_48 = eq(_T_47, UInt<1>("h00")) @[dec_decode_ctl.scala 240:40] + node i0_predict_nt = and(_T_48, i0_predict_br) @[dec_decode_ctl.scala 240:85] + node _T_49 = bits(io.dec_i0_brp.bits.hist, 1, 1) @[dec_decode_ctl.scala 241:65] + node _T_50 = and(_T_49, i0_brp_valid) @[dec_decode_ctl.scala 241:69] + node i0_predict_t = and(_T_50, i0_predict_br) @[dec_decode_ctl.scala 241:85] + node i0_ap_pc2 = eq(io.dec_i0_pc4_d, UInt<1>("h00")) @[dec_decode_ctl.scala 242:40] + io.decode_exu.i0_ap.predict_nt <= i0_predict_nt @[dec_decode_ctl.scala 244:37] + io.decode_exu.i0_ap.predict_t <= i0_predict_t @[dec_decode_ctl.scala 245:37] + io.decode_exu.i0_ap.add <= i0_dp.add @[dec_decode_ctl.scala 247:37] + io.decode_exu.i0_ap.sub <= i0_dp.sub @[dec_decode_ctl.scala 248:37] + io.decode_exu.i0_ap.land <= i0_dp.land @[dec_decode_ctl.scala 249:37] + io.decode_exu.i0_ap.lor <= i0_dp.lor @[dec_decode_ctl.scala 250:37] + io.decode_exu.i0_ap.lxor <= i0_dp.lxor @[dec_decode_ctl.scala 251:37] + io.decode_exu.i0_ap.sll <= i0_dp.sll @[dec_decode_ctl.scala 252:37] + io.decode_exu.i0_ap.srl <= i0_dp.srl @[dec_decode_ctl.scala 253:37] + io.decode_exu.i0_ap.sra <= i0_dp.sra @[dec_decode_ctl.scala 254:37] + io.decode_exu.i0_ap.slt <= i0_dp.slt @[dec_decode_ctl.scala 255:37] + io.decode_exu.i0_ap.unsign <= i0_dp.unsign @[dec_decode_ctl.scala 256:37] + io.decode_exu.i0_ap.beq <= i0_dp.beq @[dec_decode_ctl.scala 257:37] + io.decode_exu.i0_ap.bne <= i0_dp.bne @[dec_decode_ctl.scala 258:37] + io.decode_exu.i0_ap.blt <= i0_dp.blt @[dec_decode_ctl.scala 259:37] + io.decode_exu.i0_ap.bge <= i0_dp.bge @[dec_decode_ctl.scala 260:37] + io.decode_exu.i0_ap.csr_write <= i0_csr_write_only_d @[dec_decode_ctl.scala 261:37] + io.decode_exu.i0_ap.csr_imm <= i0_dp.csr_imm @[dec_decode_ctl.scala 262:37] + io.decode_exu.i0_ap.jal <= i0_jal @[dec_decode_ctl.scala 263:37] + node _T_51 = eq(cam[0].valid, UInt<1>("h00")) @[dec_decode_ctl.scala 267:78] + node _T_52 = bits(_T_51, 0, 0) @[dec_decode_ctl.scala 267:137] + node _T_53 = shl(cam_write, 0) @[dec_decode_ctl.scala 267:158] + node _T_54 = eq(cam[1].valid, UInt<1>("h00")) @[dec_decode_ctl.scala 267:78] + node _T_55 = bits(cam[0].valid, 0, 0) @[dec_decode_ctl.scala 267:120] + node _T_56 = bits(_T_54, 0, 0) @[dec_decode_ctl.scala 267:129] + node _T_57 = and(_T_55, _T_56) @[dec_decode_ctl.scala 267:126] + node _T_58 = bits(_T_57, 0, 0) @[dec_decode_ctl.scala 267:137] + node _T_59 = shl(cam_write, 1) @[dec_decode_ctl.scala 267:158] + node _T_60 = eq(cam[2].valid, UInt<1>("h00")) @[dec_decode_ctl.scala 267:78] + node _T_61 = bits(cam[0].valid, 0, 0) @[dec_decode_ctl.scala 267:120] + node _T_62 = bits(cam[1].valid, 0, 0) @[dec_decode_ctl.scala 267:129] + node _T_63 = and(_T_61, _T_62) @[dec_decode_ctl.scala 267:126] + node _T_64 = bits(_T_63, 0, 0) @[dec_decode_ctl.scala 267:120] + node _T_65 = bits(_T_60, 0, 0) @[dec_decode_ctl.scala 267:129] + node _T_66 = and(_T_64, _T_65) @[dec_decode_ctl.scala 267:126] + node _T_67 = bits(_T_66, 0, 0) @[dec_decode_ctl.scala 267:137] + node _T_68 = shl(cam_write, 2) @[dec_decode_ctl.scala 267:158] + node _T_69 = eq(cam[3].valid, UInt<1>("h00")) @[dec_decode_ctl.scala 267:78] + node _T_70 = bits(cam[0].valid, 0, 0) @[dec_decode_ctl.scala 267:120] + node _T_71 = bits(cam[1].valid, 0, 0) @[dec_decode_ctl.scala 267:129] + node _T_72 = and(_T_70, _T_71) @[dec_decode_ctl.scala 267:126] + node _T_73 = bits(_T_72, 0, 0) @[dec_decode_ctl.scala 267:120] + node _T_74 = bits(cam[2].valid, 0, 0) @[dec_decode_ctl.scala 267:129] + node _T_75 = and(_T_73, _T_74) @[dec_decode_ctl.scala 267:126] + node _T_76 = bits(_T_75, 0, 0) @[dec_decode_ctl.scala 267:120] + node _T_77 = bits(_T_69, 0, 0) @[dec_decode_ctl.scala 267:129] + node _T_78 = and(_T_76, _T_77) @[dec_decode_ctl.scala 267:126] + node _T_79 = bits(_T_78, 0, 0) @[dec_decode_ctl.scala 267:137] + node _T_80 = shl(cam_write, 3) @[dec_decode_ctl.scala 267:158] node _T_81 = mux(_T_52, _T_53, UInt<1>("h00")) @[Mux.scala 27:72] node _T_82 = mux(_T_58, _T_59, UInt<1>("h00")) @[Mux.scala 27:72] node _T_83 = mux(_T_67, _T_68, UInt<1>("h00")) @[Mux.scala 27:72] @@ -67244,410 +67242,410 @@ circuit quasar : node _T_87 = or(_T_86, _T_84) @[Mux.scala 27:72] wire _T_88 : UInt<4> @[Mux.scala 27:72] _T_88 <= _T_87 @[Mux.scala 27:72] - cam_wen <= _T_88 @[dec_decode_ctl.scala 273:11] - cam_write <= io.dctl_busbuff.lsu_nonblock_load_valid_m @[dec_decode_ctl.scala 275:25] - node cam_write_tag = bits(io.dctl_busbuff.lsu_nonblock_load_tag_m, 1, 0) @[dec_decode_ctl.scala 276:67] - node cam_data_reset = or(io.dctl_busbuff.lsu_nonblock_load_data_valid, io.dctl_busbuff.lsu_nonblock_load_data_error) @[dec_decode_ctl.scala 281:76] - node _T_89 = bits(x_d.bits.i0load, 0, 0) @[dec_decode_ctl.scala 284:48] - node nonblock_load_rd = mux(_T_89, x_d.bits.i0rd, UInt<5>("h00")) @[dec_decode_ctl.scala 284:31] - node _T_90 = bits(i0_r_ctl_en, 0, 0) @[dec_decode_ctl.scala 288:129] + cam_wen <= _T_88 @[dec_decode_ctl.scala 267:11] + cam_write <= io.dctl_busbuff.lsu_nonblock_load_valid_m @[dec_decode_ctl.scala 269:25] + node cam_write_tag = bits(io.dctl_busbuff.lsu_nonblock_load_tag_m, 1, 0) @[dec_decode_ctl.scala 270:67] + node cam_data_reset = or(io.dctl_busbuff.lsu_nonblock_load_data_valid, io.dctl_busbuff.lsu_nonblock_load_data_error) @[dec_decode_ctl.scala 275:76] + node _T_89 = bits(x_d.bits.i0load, 0, 0) @[dec_decode_ctl.scala 278:48] + node nonblock_load_rd = mux(_T_89, x_d.bits.i0rd, UInt<5>("h00")) @[dec_decode_ctl.scala 278:31] + node _T_90 = bits(i0_r_ctl_en, 0, 0) @[dec_decode_ctl.scala 282:129] reg nonblock_load_valid_m_delay : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_90 : @[Reg.scala 28:19] nonblock_load_valid_m_delay <= io.dctl_busbuff.lsu_nonblock_load_valid_m @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node i0_load_kill_wen_r = and(nonblock_load_valid_m_delay, r_d.bits.i0load) @[dec_decode_ctl.scala 289:56] - node _T_91 = eq(io.dctl_busbuff.lsu_nonblock_load_inv_tag_r, cam[0].bits.tag) @[dec_decode_ctl.scala 291:66] - node _T_92 = and(io.dctl_busbuff.lsu_nonblock_load_inv_r, _T_91) @[dec_decode_ctl.scala 291:45] - node _T_93 = and(_T_92, cam[0].valid) @[dec_decode_ctl.scala 291:87] - cam_inv_reset_val[0] <= _T_93 @[dec_decode_ctl.scala 291:26] - node _T_94 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, cam[0].bits.tag) @[dec_decode_ctl.scala 292:67] - node _T_95 = and(cam_data_reset, _T_94) @[dec_decode_ctl.scala 292:45] - node _T_96 = and(_T_95, cam_raw[0].valid) @[dec_decode_ctl.scala 292:88] - cam_data_reset_val[0] <= _T_96 @[dec_decode_ctl.scala 292:27] - wire _T_97 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[dec_decode_ctl.scala 293:28] - _T_97.bits.rd <= UInt<5>("h00") @[dec_decode_ctl.scala 293:28] - _T_97.bits.tag <= UInt<3>("h00") @[dec_decode_ctl.scala 293:28] - _T_97.bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 293:28] - _T_97.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 293:28] - cam_in[0].bits.rd <= _T_97.bits.rd @[dec_decode_ctl.scala 293:14] - cam_in[0].bits.tag <= _T_97.bits.tag @[dec_decode_ctl.scala 293:14] - cam_in[0].bits.wb <= _T_97.bits.wb @[dec_decode_ctl.scala 293:14] - cam_in[0].valid <= _T_97.valid @[dec_decode_ctl.scala 293:14] - cam[0].bits.rd <= cam_raw[0].bits.rd @[dec_decode_ctl.scala 294:11] - cam[0].bits.tag <= cam_raw[0].bits.tag @[dec_decode_ctl.scala 294:11] - cam[0].bits.wb <= cam_raw[0].bits.wb @[dec_decode_ctl.scala 294:11] - cam[0].valid <= cam_raw[0].valid @[dec_decode_ctl.scala 294:11] - node _T_98 = bits(cam_data_reset_val[0], 0, 0) @[dec_decode_ctl.scala 296:32] - when _T_98 : @[dec_decode_ctl.scala 296:39] - cam[0].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 297:20] - skip @[dec_decode_ctl.scala 296:39] - node _T_99 = bits(cam_wen, 0, 0) @[dec_decode_ctl.scala 299:17] - node _T_100 = bits(_T_99, 0, 0) @[dec_decode_ctl.scala 299:21] - when _T_100 : @[dec_decode_ctl.scala 299:28] - cam_in[0].valid <= UInt<1>("h01") @[dec_decode_ctl.scala 300:27] - cam_in[0].bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 301:32] - cam_in[0].bits.tag <= cam_write_tag @[dec_decode_ctl.scala 302:32] - cam_in[0].bits.rd <= nonblock_load_rd @[dec_decode_ctl.scala 303:32] - skip @[dec_decode_ctl.scala 299:28] - else : @[dec_decode_ctl.scala 304:131] - node _T_101 = bits(cam_inv_reset_val[0], 0, 0) @[dec_decode_ctl.scala 304:37] - node _T_102 = bits(i0_wen_r, 0, 0) @[dec_decode_ctl.scala 304:57] - node _T_103 = eq(r_d_in.bits.i0rd, cam[0].bits.rd) @[dec_decode_ctl.scala 304:85] - node _T_104 = and(_T_102, _T_103) @[dec_decode_ctl.scala 304:64] - node _T_105 = bits(cam[0].bits.wb, 0, 0) @[dec_decode_ctl.scala 304:123] - node _T_106 = and(_T_104, _T_105) @[dec_decode_ctl.scala 304:105] - node _T_107 = or(_T_101, _T_106) @[dec_decode_ctl.scala 304:44] - when _T_107 : @[dec_decode_ctl.scala 304:131] - cam_in[0].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 305:23] - skip @[dec_decode_ctl.scala 304:131] - else : @[dec_decode_ctl.scala 306:16] - cam_in[0].bits.rd <= cam[0].bits.rd @[dec_decode_ctl.scala 307:22] - cam_in[0].bits.tag <= cam[0].bits.tag @[dec_decode_ctl.scala 307:22] - cam_in[0].bits.wb <= cam[0].bits.wb @[dec_decode_ctl.scala 307:22] - cam_in[0].valid <= cam[0].valid @[dec_decode_ctl.scala 307:22] - skip @[dec_decode_ctl.scala 306:16] - node _T_108 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[dec_decode_ctl.scala 309:37] - node _T_109 = eq(io.dctl_busbuff.lsu_nonblock_load_inv_tag_r, cam[0].bits.tag) @[dec_decode_ctl.scala 309:92] - node _T_110 = and(_T_108, _T_109) @[dec_decode_ctl.scala 309:44] - node _T_111 = eq(cam[0].valid, UInt<1>("h01")) @[dec_decode_ctl.scala 309:128] - node _T_112 = and(_T_110, _T_111) @[dec_decode_ctl.scala 309:113] - when _T_112 : @[dec_decode_ctl.scala 309:135] - cam_in[0].bits.wb <= UInt<1>("h01") @[dec_decode_ctl.scala 310:25] - skip @[dec_decode_ctl.scala 309:135] - when io.dec_tlu_force_halt : @[dec_decode_ctl.scala 313:32] - cam_in[0].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 314:23] - skip @[dec_decode_ctl.scala 313:32] - wire _T_113 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[dec_decode_ctl.scala 317:70] - _T_113.bits.rd <= UInt<5>("h00") @[dec_decode_ctl.scala 317:70] - _T_113.bits.tag <= UInt<3>("h00") @[dec_decode_ctl.scala 317:70] - _T_113.bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 317:70] - _T_113.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 317:70] - reg _T_114 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}, io.free_clk with : (reset => (reset, _T_113)) @[dec_decode_ctl.scala 317:47] - _T_114.bits.rd <= cam_in[0].bits.rd @[dec_decode_ctl.scala 317:47] - _T_114.bits.tag <= cam_in[0].bits.tag @[dec_decode_ctl.scala 317:47] - _T_114.bits.wb <= cam_in[0].bits.wb @[dec_decode_ctl.scala 317:47] - _T_114.valid <= cam_in[0].valid @[dec_decode_ctl.scala 317:47] - cam_raw[0].bits.rd <= _T_114.bits.rd @[dec_decode_ctl.scala 317:15] - cam_raw[0].bits.tag <= _T_114.bits.tag @[dec_decode_ctl.scala 317:15] - cam_raw[0].bits.wb <= _T_114.bits.wb @[dec_decode_ctl.scala 317:15] - cam_raw[0].valid <= _T_114.valid @[dec_decode_ctl.scala 317:15] - node _T_115 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, cam_raw[0].bits.tag) @[dec_decode_ctl.scala 318:46] - node _T_116 = and(_T_115, cam_raw[0].valid) @[dec_decode_ctl.scala 318:71] - nonblock_load_write[0] <= _T_116 @[dec_decode_ctl.scala 318:28] - node _T_117 = eq(io.dctl_busbuff.lsu_nonblock_load_inv_tag_r, cam[1].bits.tag) @[dec_decode_ctl.scala 291:66] - node _T_118 = and(io.dctl_busbuff.lsu_nonblock_load_inv_r, _T_117) @[dec_decode_ctl.scala 291:45] - node _T_119 = and(_T_118, cam[1].valid) @[dec_decode_ctl.scala 291:87] - cam_inv_reset_val[1] <= _T_119 @[dec_decode_ctl.scala 291:26] - node _T_120 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, cam[1].bits.tag) @[dec_decode_ctl.scala 292:67] - node _T_121 = and(cam_data_reset, _T_120) @[dec_decode_ctl.scala 292:45] - node _T_122 = and(_T_121, cam_raw[1].valid) @[dec_decode_ctl.scala 292:88] - cam_data_reset_val[1] <= _T_122 @[dec_decode_ctl.scala 292:27] - wire _T_123 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[dec_decode_ctl.scala 293:28] - _T_123.bits.rd <= UInt<5>("h00") @[dec_decode_ctl.scala 293:28] - _T_123.bits.tag <= UInt<3>("h00") @[dec_decode_ctl.scala 293:28] - _T_123.bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 293:28] - _T_123.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 293:28] - cam_in[1].bits.rd <= _T_123.bits.rd @[dec_decode_ctl.scala 293:14] - cam_in[1].bits.tag <= _T_123.bits.tag @[dec_decode_ctl.scala 293:14] - cam_in[1].bits.wb <= _T_123.bits.wb @[dec_decode_ctl.scala 293:14] - cam_in[1].valid <= _T_123.valid @[dec_decode_ctl.scala 293:14] - cam[1].bits.rd <= cam_raw[1].bits.rd @[dec_decode_ctl.scala 294:11] - cam[1].bits.tag <= cam_raw[1].bits.tag @[dec_decode_ctl.scala 294:11] - cam[1].bits.wb <= cam_raw[1].bits.wb @[dec_decode_ctl.scala 294:11] - cam[1].valid <= cam_raw[1].valid @[dec_decode_ctl.scala 294:11] - node _T_124 = bits(cam_data_reset_val[1], 0, 0) @[dec_decode_ctl.scala 296:32] - when _T_124 : @[dec_decode_ctl.scala 296:39] - cam[1].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 297:20] - skip @[dec_decode_ctl.scala 296:39] - node _T_125 = bits(cam_wen, 1, 1) @[dec_decode_ctl.scala 299:17] - node _T_126 = bits(_T_125, 0, 0) @[dec_decode_ctl.scala 299:21] - when _T_126 : @[dec_decode_ctl.scala 299:28] - cam_in[1].valid <= UInt<1>("h01") @[dec_decode_ctl.scala 300:27] - cam_in[1].bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 301:32] - cam_in[1].bits.tag <= cam_write_tag @[dec_decode_ctl.scala 302:32] - cam_in[1].bits.rd <= nonblock_load_rd @[dec_decode_ctl.scala 303:32] - skip @[dec_decode_ctl.scala 299:28] - else : @[dec_decode_ctl.scala 304:131] - node _T_127 = bits(cam_inv_reset_val[1], 0, 0) @[dec_decode_ctl.scala 304:37] - node _T_128 = bits(i0_wen_r, 0, 0) @[dec_decode_ctl.scala 304:57] - node _T_129 = eq(r_d_in.bits.i0rd, cam[1].bits.rd) @[dec_decode_ctl.scala 304:85] - node _T_130 = and(_T_128, _T_129) @[dec_decode_ctl.scala 304:64] - node _T_131 = bits(cam[1].bits.wb, 0, 0) @[dec_decode_ctl.scala 304:123] - node _T_132 = and(_T_130, _T_131) @[dec_decode_ctl.scala 304:105] - node _T_133 = or(_T_127, _T_132) @[dec_decode_ctl.scala 304:44] - when _T_133 : @[dec_decode_ctl.scala 304:131] - cam_in[1].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 305:23] - skip @[dec_decode_ctl.scala 304:131] - else : @[dec_decode_ctl.scala 306:16] - cam_in[1].bits.rd <= cam[1].bits.rd @[dec_decode_ctl.scala 307:22] - cam_in[1].bits.tag <= cam[1].bits.tag @[dec_decode_ctl.scala 307:22] - cam_in[1].bits.wb <= cam[1].bits.wb @[dec_decode_ctl.scala 307:22] - cam_in[1].valid <= cam[1].valid @[dec_decode_ctl.scala 307:22] - skip @[dec_decode_ctl.scala 306:16] - node _T_134 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[dec_decode_ctl.scala 309:37] - node _T_135 = eq(io.dctl_busbuff.lsu_nonblock_load_inv_tag_r, cam[1].bits.tag) @[dec_decode_ctl.scala 309:92] - node _T_136 = and(_T_134, _T_135) @[dec_decode_ctl.scala 309:44] - node _T_137 = eq(cam[1].valid, UInt<1>("h01")) @[dec_decode_ctl.scala 309:128] - node _T_138 = and(_T_136, _T_137) @[dec_decode_ctl.scala 309:113] - when _T_138 : @[dec_decode_ctl.scala 309:135] - cam_in[1].bits.wb <= UInt<1>("h01") @[dec_decode_ctl.scala 310:25] - skip @[dec_decode_ctl.scala 309:135] - when io.dec_tlu_force_halt : @[dec_decode_ctl.scala 313:32] - cam_in[1].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 314:23] - skip @[dec_decode_ctl.scala 313:32] - wire _T_139 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[dec_decode_ctl.scala 317:70] - _T_139.bits.rd <= UInt<5>("h00") @[dec_decode_ctl.scala 317:70] - _T_139.bits.tag <= UInt<3>("h00") @[dec_decode_ctl.scala 317:70] - _T_139.bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 317:70] - _T_139.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 317:70] - reg _T_140 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}, io.free_clk with : (reset => (reset, _T_139)) @[dec_decode_ctl.scala 317:47] - _T_140.bits.rd <= cam_in[1].bits.rd @[dec_decode_ctl.scala 317:47] - _T_140.bits.tag <= cam_in[1].bits.tag @[dec_decode_ctl.scala 317:47] - _T_140.bits.wb <= cam_in[1].bits.wb @[dec_decode_ctl.scala 317:47] - _T_140.valid <= cam_in[1].valid @[dec_decode_ctl.scala 317:47] - cam_raw[1].bits.rd <= _T_140.bits.rd @[dec_decode_ctl.scala 317:15] - cam_raw[1].bits.tag <= _T_140.bits.tag @[dec_decode_ctl.scala 317:15] - cam_raw[1].bits.wb <= _T_140.bits.wb @[dec_decode_ctl.scala 317:15] - cam_raw[1].valid <= _T_140.valid @[dec_decode_ctl.scala 317:15] - node _T_141 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, cam_raw[1].bits.tag) @[dec_decode_ctl.scala 318:46] - node _T_142 = and(_T_141, cam_raw[1].valid) @[dec_decode_ctl.scala 318:71] - nonblock_load_write[1] <= _T_142 @[dec_decode_ctl.scala 318:28] - node _T_143 = eq(io.dctl_busbuff.lsu_nonblock_load_inv_tag_r, cam[2].bits.tag) @[dec_decode_ctl.scala 291:66] - node _T_144 = and(io.dctl_busbuff.lsu_nonblock_load_inv_r, _T_143) @[dec_decode_ctl.scala 291:45] - node _T_145 = and(_T_144, cam[2].valid) @[dec_decode_ctl.scala 291:87] - cam_inv_reset_val[2] <= _T_145 @[dec_decode_ctl.scala 291:26] - node _T_146 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, cam[2].bits.tag) @[dec_decode_ctl.scala 292:67] - node _T_147 = and(cam_data_reset, _T_146) @[dec_decode_ctl.scala 292:45] - node _T_148 = and(_T_147, cam_raw[2].valid) @[dec_decode_ctl.scala 292:88] - cam_data_reset_val[2] <= _T_148 @[dec_decode_ctl.scala 292:27] - wire _T_149 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[dec_decode_ctl.scala 293:28] - _T_149.bits.rd <= UInt<5>("h00") @[dec_decode_ctl.scala 293:28] - _T_149.bits.tag <= UInt<3>("h00") @[dec_decode_ctl.scala 293:28] - _T_149.bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 293:28] - _T_149.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 293:28] - cam_in[2].bits.rd <= _T_149.bits.rd @[dec_decode_ctl.scala 293:14] - cam_in[2].bits.tag <= _T_149.bits.tag @[dec_decode_ctl.scala 293:14] - cam_in[2].bits.wb <= _T_149.bits.wb @[dec_decode_ctl.scala 293:14] - cam_in[2].valid <= _T_149.valid @[dec_decode_ctl.scala 293:14] - cam[2].bits.rd <= cam_raw[2].bits.rd @[dec_decode_ctl.scala 294:11] - cam[2].bits.tag <= cam_raw[2].bits.tag @[dec_decode_ctl.scala 294:11] - cam[2].bits.wb <= cam_raw[2].bits.wb @[dec_decode_ctl.scala 294:11] - cam[2].valid <= cam_raw[2].valid @[dec_decode_ctl.scala 294:11] - node _T_150 = bits(cam_data_reset_val[2], 0, 0) @[dec_decode_ctl.scala 296:32] - when _T_150 : @[dec_decode_ctl.scala 296:39] - cam[2].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 297:20] - skip @[dec_decode_ctl.scala 296:39] - node _T_151 = bits(cam_wen, 2, 2) @[dec_decode_ctl.scala 299:17] - node _T_152 = bits(_T_151, 0, 0) @[dec_decode_ctl.scala 299:21] - when _T_152 : @[dec_decode_ctl.scala 299:28] - cam_in[2].valid <= UInt<1>("h01") @[dec_decode_ctl.scala 300:27] - cam_in[2].bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 301:32] - cam_in[2].bits.tag <= cam_write_tag @[dec_decode_ctl.scala 302:32] - cam_in[2].bits.rd <= nonblock_load_rd @[dec_decode_ctl.scala 303:32] - skip @[dec_decode_ctl.scala 299:28] - else : @[dec_decode_ctl.scala 304:131] - node _T_153 = bits(cam_inv_reset_val[2], 0, 0) @[dec_decode_ctl.scala 304:37] - node _T_154 = bits(i0_wen_r, 0, 0) @[dec_decode_ctl.scala 304:57] - node _T_155 = eq(r_d_in.bits.i0rd, cam[2].bits.rd) @[dec_decode_ctl.scala 304:85] - node _T_156 = and(_T_154, _T_155) @[dec_decode_ctl.scala 304:64] - node _T_157 = bits(cam[2].bits.wb, 0, 0) @[dec_decode_ctl.scala 304:123] - node _T_158 = and(_T_156, _T_157) @[dec_decode_ctl.scala 304:105] - node _T_159 = or(_T_153, _T_158) @[dec_decode_ctl.scala 304:44] - when _T_159 : @[dec_decode_ctl.scala 304:131] - cam_in[2].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 305:23] - skip @[dec_decode_ctl.scala 304:131] - else : @[dec_decode_ctl.scala 306:16] - cam_in[2].bits.rd <= cam[2].bits.rd @[dec_decode_ctl.scala 307:22] - cam_in[2].bits.tag <= cam[2].bits.tag @[dec_decode_ctl.scala 307:22] - cam_in[2].bits.wb <= cam[2].bits.wb @[dec_decode_ctl.scala 307:22] - cam_in[2].valid <= cam[2].valid @[dec_decode_ctl.scala 307:22] - skip @[dec_decode_ctl.scala 306:16] - node _T_160 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[dec_decode_ctl.scala 309:37] - node _T_161 = eq(io.dctl_busbuff.lsu_nonblock_load_inv_tag_r, cam[2].bits.tag) @[dec_decode_ctl.scala 309:92] - node _T_162 = and(_T_160, _T_161) @[dec_decode_ctl.scala 309:44] - node _T_163 = eq(cam[2].valid, UInt<1>("h01")) @[dec_decode_ctl.scala 309:128] - node _T_164 = and(_T_162, _T_163) @[dec_decode_ctl.scala 309:113] - when _T_164 : @[dec_decode_ctl.scala 309:135] - cam_in[2].bits.wb <= UInt<1>("h01") @[dec_decode_ctl.scala 310:25] - skip @[dec_decode_ctl.scala 309:135] - when io.dec_tlu_force_halt : @[dec_decode_ctl.scala 313:32] - cam_in[2].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 314:23] - skip @[dec_decode_ctl.scala 313:32] - wire _T_165 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[dec_decode_ctl.scala 317:70] - _T_165.bits.rd <= UInt<5>("h00") @[dec_decode_ctl.scala 317:70] - _T_165.bits.tag <= UInt<3>("h00") @[dec_decode_ctl.scala 317:70] - _T_165.bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 317:70] - _T_165.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 317:70] - reg _T_166 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}, io.free_clk with : (reset => (reset, _T_165)) @[dec_decode_ctl.scala 317:47] - _T_166.bits.rd <= cam_in[2].bits.rd @[dec_decode_ctl.scala 317:47] - _T_166.bits.tag <= cam_in[2].bits.tag @[dec_decode_ctl.scala 317:47] - _T_166.bits.wb <= cam_in[2].bits.wb @[dec_decode_ctl.scala 317:47] - _T_166.valid <= cam_in[2].valid @[dec_decode_ctl.scala 317:47] - cam_raw[2].bits.rd <= _T_166.bits.rd @[dec_decode_ctl.scala 317:15] - cam_raw[2].bits.tag <= _T_166.bits.tag @[dec_decode_ctl.scala 317:15] - cam_raw[2].bits.wb <= _T_166.bits.wb @[dec_decode_ctl.scala 317:15] - cam_raw[2].valid <= _T_166.valid @[dec_decode_ctl.scala 317:15] - node _T_167 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, cam_raw[2].bits.tag) @[dec_decode_ctl.scala 318:46] - node _T_168 = and(_T_167, cam_raw[2].valid) @[dec_decode_ctl.scala 318:71] - nonblock_load_write[2] <= _T_168 @[dec_decode_ctl.scala 318:28] - node _T_169 = eq(io.dctl_busbuff.lsu_nonblock_load_inv_tag_r, cam[3].bits.tag) @[dec_decode_ctl.scala 291:66] - node _T_170 = and(io.dctl_busbuff.lsu_nonblock_load_inv_r, _T_169) @[dec_decode_ctl.scala 291:45] - node _T_171 = and(_T_170, cam[3].valid) @[dec_decode_ctl.scala 291:87] - cam_inv_reset_val[3] <= _T_171 @[dec_decode_ctl.scala 291:26] - node _T_172 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, cam[3].bits.tag) @[dec_decode_ctl.scala 292:67] - node _T_173 = and(cam_data_reset, _T_172) @[dec_decode_ctl.scala 292:45] - node _T_174 = and(_T_173, cam_raw[3].valid) @[dec_decode_ctl.scala 292:88] - cam_data_reset_val[3] <= _T_174 @[dec_decode_ctl.scala 292:27] - wire _T_175 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[dec_decode_ctl.scala 293:28] - _T_175.bits.rd <= UInt<5>("h00") @[dec_decode_ctl.scala 293:28] - _T_175.bits.tag <= UInt<3>("h00") @[dec_decode_ctl.scala 293:28] - _T_175.bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 293:28] - _T_175.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 293:28] - cam_in[3].bits.rd <= _T_175.bits.rd @[dec_decode_ctl.scala 293:14] - cam_in[3].bits.tag <= _T_175.bits.tag @[dec_decode_ctl.scala 293:14] - cam_in[3].bits.wb <= _T_175.bits.wb @[dec_decode_ctl.scala 293:14] - cam_in[3].valid <= _T_175.valid @[dec_decode_ctl.scala 293:14] - cam[3].bits.rd <= cam_raw[3].bits.rd @[dec_decode_ctl.scala 294:11] - cam[3].bits.tag <= cam_raw[3].bits.tag @[dec_decode_ctl.scala 294:11] - cam[3].bits.wb <= cam_raw[3].bits.wb @[dec_decode_ctl.scala 294:11] - cam[3].valid <= cam_raw[3].valid @[dec_decode_ctl.scala 294:11] - node _T_176 = bits(cam_data_reset_val[3], 0, 0) @[dec_decode_ctl.scala 296:32] - when _T_176 : @[dec_decode_ctl.scala 296:39] - cam[3].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 297:20] - skip @[dec_decode_ctl.scala 296:39] - node _T_177 = bits(cam_wen, 3, 3) @[dec_decode_ctl.scala 299:17] - node _T_178 = bits(_T_177, 0, 0) @[dec_decode_ctl.scala 299:21] - when _T_178 : @[dec_decode_ctl.scala 299:28] - cam_in[3].valid <= UInt<1>("h01") @[dec_decode_ctl.scala 300:27] - cam_in[3].bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 301:32] - cam_in[3].bits.tag <= cam_write_tag @[dec_decode_ctl.scala 302:32] - cam_in[3].bits.rd <= nonblock_load_rd @[dec_decode_ctl.scala 303:32] - skip @[dec_decode_ctl.scala 299:28] - else : @[dec_decode_ctl.scala 304:131] - node _T_179 = bits(cam_inv_reset_val[3], 0, 0) @[dec_decode_ctl.scala 304:37] - node _T_180 = bits(i0_wen_r, 0, 0) @[dec_decode_ctl.scala 304:57] - node _T_181 = eq(r_d_in.bits.i0rd, cam[3].bits.rd) @[dec_decode_ctl.scala 304:85] - node _T_182 = and(_T_180, _T_181) @[dec_decode_ctl.scala 304:64] - node _T_183 = bits(cam[3].bits.wb, 0, 0) @[dec_decode_ctl.scala 304:123] - node _T_184 = and(_T_182, _T_183) @[dec_decode_ctl.scala 304:105] - node _T_185 = or(_T_179, _T_184) @[dec_decode_ctl.scala 304:44] - when _T_185 : @[dec_decode_ctl.scala 304:131] - cam_in[3].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 305:23] - skip @[dec_decode_ctl.scala 304:131] - else : @[dec_decode_ctl.scala 306:16] - cam_in[3].bits.rd <= cam[3].bits.rd @[dec_decode_ctl.scala 307:22] - cam_in[3].bits.tag <= cam[3].bits.tag @[dec_decode_ctl.scala 307:22] - cam_in[3].bits.wb <= cam[3].bits.wb @[dec_decode_ctl.scala 307:22] - cam_in[3].valid <= cam[3].valid @[dec_decode_ctl.scala 307:22] - skip @[dec_decode_ctl.scala 306:16] - node _T_186 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[dec_decode_ctl.scala 309:37] - node _T_187 = eq(io.dctl_busbuff.lsu_nonblock_load_inv_tag_r, cam[3].bits.tag) @[dec_decode_ctl.scala 309:92] - node _T_188 = and(_T_186, _T_187) @[dec_decode_ctl.scala 309:44] - node _T_189 = eq(cam[3].valid, UInt<1>("h01")) @[dec_decode_ctl.scala 309:128] - node _T_190 = and(_T_188, _T_189) @[dec_decode_ctl.scala 309:113] - when _T_190 : @[dec_decode_ctl.scala 309:135] - cam_in[3].bits.wb <= UInt<1>("h01") @[dec_decode_ctl.scala 310:25] - skip @[dec_decode_ctl.scala 309:135] - when io.dec_tlu_force_halt : @[dec_decode_ctl.scala 313:32] - cam_in[3].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 314:23] - skip @[dec_decode_ctl.scala 313:32] - wire _T_191 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[dec_decode_ctl.scala 317:70] - _T_191.bits.rd <= UInt<5>("h00") @[dec_decode_ctl.scala 317:70] - _T_191.bits.tag <= UInt<3>("h00") @[dec_decode_ctl.scala 317:70] - _T_191.bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 317:70] - _T_191.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 317:70] - reg _T_192 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}, io.free_clk with : (reset => (reset, _T_191)) @[dec_decode_ctl.scala 317:47] - _T_192.bits.rd <= cam_in[3].bits.rd @[dec_decode_ctl.scala 317:47] - _T_192.bits.tag <= cam_in[3].bits.tag @[dec_decode_ctl.scala 317:47] - _T_192.bits.wb <= cam_in[3].bits.wb @[dec_decode_ctl.scala 317:47] - _T_192.valid <= cam_in[3].valid @[dec_decode_ctl.scala 317:47] - cam_raw[3].bits.rd <= _T_192.bits.rd @[dec_decode_ctl.scala 317:15] - cam_raw[3].bits.tag <= _T_192.bits.tag @[dec_decode_ctl.scala 317:15] - cam_raw[3].bits.wb <= _T_192.bits.wb @[dec_decode_ctl.scala 317:15] - cam_raw[3].valid <= _T_192.valid @[dec_decode_ctl.scala 317:15] - node _T_193 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, cam_raw[3].bits.tag) @[dec_decode_ctl.scala 318:46] - node _T_194 = and(_T_193, cam_raw[3].valid) @[dec_decode_ctl.scala 318:71] - nonblock_load_write[3] <= _T_194 @[dec_decode_ctl.scala 318:28] - io.dec_nonblock_load_waddr <= UInt<5>("h00") @[dec_decode_ctl.scala 321:29] - node _T_195 = eq(r_d_in.bits.i0rd, io.dec_nonblock_load_waddr) @[dec_decode_ctl.scala 323:49] - node nonblock_load_cancel = and(_T_195, i0_wen_r) @[dec_decode_ctl.scala 323:81] - node _T_196 = or(nonblock_load_write[0], nonblock_load_write[1]) @[dec_decode_ctl.scala 324:108] - node _T_197 = or(_T_196, nonblock_load_write[2]) @[dec_decode_ctl.scala 324:108] - node _T_198 = or(_T_197, nonblock_load_write[3]) @[dec_decode_ctl.scala 324:108] - node _T_199 = bits(_T_198, 0, 0) @[dec_decode_ctl.scala 324:112] - node _T_200 = and(io.dctl_busbuff.lsu_nonblock_load_data_valid, _T_199) @[dec_decode_ctl.scala 324:77] - node _T_201 = eq(nonblock_load_cancel, UInt<1>("h00")) @[dec_decode_ctl.scala 324:122] - node _T_202 = and(_T_200, _T_201) @[dec_decode_ctl.scala 324:119] - io.dec_nonblock_load_wen <= _T_202 @[dec_decode_ctl.scala 324:28] - node _T_203 = eq(nonblock_load_rd, i0r.rs1) @[dec_decode_ctl.scala 325:54] - node _T_204 = and(_T_203, io.dctl_busbuff.lsu_nonblock_load_valid_m) @[dec_decode_ctl.scala 325:66] - node _T_205 = and(_T_204, io.decode_exu.dec_i0_rs1_en_d) @[dec_decode_ctl.scala 325:110] - node _T_206 = eq(nonblock_load_rd, i0r.rs2) @[dec_decode_ctl.scala 325:161] - node _T_207 = and(_T_206, io.dctl_busbuff.lsu_nonblock_load_valid_m) @[dec_decode_ctl.scala 325:173] - node _T_208 = and(_T_207, io.decode_exu.dec_i0_rs2_en_d) @[dec_decode_ctl.scala 325:217] - node i0_nonblock_boundary_stall = or(_T_205, _T_208) @[dec_decode_ctl.scala 325:142] - i0_nonblock_load_stall <= i0_nonblock_boundary_stall @[dec_decode_ctl.scala 327:26] + node i0_load_kill_wen_r = and(nonblock_load_valid_m_delay, r_d.bits.i0load) @[dec_decode_ctl.scala 283:56] + node _T_91 = eq(io.dctl_busbuff.lsu_nonblock_load_inv_tag_r, cam[0].bits.tag) @[dec_decode_ctl.scala 285:66] + node _T_92 = and(io.dctl_busbuff.lsu_nonblock_load_inv_r, _T_91) @[dec_decode_ctl.scala 285:45] + node _T_93 = and(_T_92, cam[0].valid) @[dec_decode_ctl.scala 285:87] + cam_inv_reset_val[0] <= _T_93 @[dec_decode_ctl.scala 285:26] + node _T_94 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, cam[0].bits.tag) @[dec_decode_ctl.scala 286:67] + node _T_95 = and(cam_data_reset, _T_94) @[dec_decode_ctl.scala 286:45] + node _T_96 = and(_T_95, cam_raw[0].valid) @[dec_decode_ctl.scala 286:88] + cam_data_reset_val[0] <= _T_96 @[dec_decode_ctl.scala 286:27] + wire _T_97 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[dec_decode_ctl.scala 287:28] + _T_97.bits.rd <= UInt<5>("h00") @[dec_decode_ctl.scala 287:28] + _T_97.bits.tag <= UInt<3>("h00") @[dec_decode_ctl.scala 287:28] + _T_97.bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 287:28] + _T_97.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 287:28] + cam_in[0].bits.rd <= _T_97.bits.rd @[dec_decode_ctl.scala 287:14] + cam_in[0].bits.tag <= _T_97.bits.tag @[dec_decode_ctl.scala 287:14] + cam_in[0].bits.wb <= _T_97.bits.wb @[dec_decode_ctl.scala 287:14] + cam_in[0].valid <= _T_97.valid @[dec_decode_ctl.scala 287:14] + cam[0].bits.rd <= cam_raw[0].bits.rd @[dec_decode_ctl.scala 288:11] + cam[0].bits.tag <= cam_raw[0].bits.tag @[dec_decode_ctl.scala 288:11] + cam[0].bits.wb <= cam_raw[0].bits.wb @[dec_decode_ctl.scala 288:11] + cam[0].valid <= cam_raw[0].valid @[dec_decode_ctl.scala 288:11] + node _T_98 = bits(cam_data_reset_val[0], 0, 0) @[dec_decode_ctl.scala 290:32] + when _T_98 : @[dec_decode_ctl.scala 290:39] + cam[0].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 291:20] + skip @[dec_decode_ctl.scala 290:39] + node _T_99 = bits(cam_wen, 0, 0) @[dec_decode_ctl.scala 293:17] + node _T_100 = bits(_T_99, 0, 0) @[dec_decode_ctl.scala 293:21] + when _T_100 : @[dec_decode_ctl.scala 293:28] + cam_in[0].valid <= UInt<1>("h01") @[dec_decode_ctl.scala 294:27] + cam_in[0].bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 295:32] + cam_in[0].bits.tag <= cam_write_tag @[dec_decode_ctl.scala 296:32] + cam_in[0].bits.rd <= nonblock_load_rd @[dec_decode_ctl.scala 297:32] + skip @[dec_decode_ctl.scala 293:28] + else : @[dec_decode_ctl.scala 298:131] + node _T_101 = bits(cam_inv_reset_val[0], 0, 0) @[dec_decode_ctl.scala 298:37] + node _T_102 = bits(i0_wen_r, 0, 0) @[dec_decode_ctl.scala 298:57] + node _T_103 = eq(r_d_in.bits.i0rd, cam[0].bits.rd) @[dec_decode_ctl.scala 298:85] + node _T_104 = and(_T_102, _T_103) @[dec_decode_ctl.scala 298:64] + node _T_105 = bits(cam[0].bits.wb, 0, 0) @[dec_decode_ctl.scala 298:123] + node _T_106 = and(_T_104, _T_105) @[dec_decode_ctl.scala 298:105] + node _T_107 = or(_T_101, _T_106) @[dec_decode_ctl.scala 298:44] + when _T_107 : @[dec_decode_ctl.scala 298:131] + cam_in[0].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 299:23] + skip @[dec_decode_ctl.scala 298:131] + else : @[dec_decode_ctl.scala 300:16] + cam_in[0].bits.rd <= cam[0].bits.rd @[dec_decode_ctl.scala 301:22] + cam_in[0].bits.tag <= cam[0].bits.tag @[dec_decode_ctl.scala 301:22] + cam_in[0].bits.wb <= cam[0].bits.wb @[dec_decode_ctl.scala 301:22] + cam_in[0].valid <= cam[0].valid @[dec_decode_ctl.scala 301:22] + skip @[dec_decode_ctl.scala 300:16] + node _T_108 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[dec_decode_ctl.scala 303:37] + node _T_109 = eq(io.dctl_busbuff.lsu_nonblock_load_inv_tag_r, cam[0].bits.tag) @[dec_decode_ctl.scala 303:92] + node _T_110 = and(_T_108, _T_109) @[dec_decode_ctl.scala 303:44] + node _T_111 = eq(cam[0].valid, UInt<1>("h01")) @[dec_decode_ctl.scala 303:128] + node _T_112 = and(_T_110, _T_111) @[dec_decode_ctl.scala 303:113] + when _T_112 : @[dec_decode_ctl.scala 303:135] + cam_in[0].bits.wb <= UInt<1>("h01") @[dec_decode_ctl.scala 304:25] + skip @[dec_decode_ctl.scala 303:135] + when io.dec_tlu_force_halt : @[dec_decode_ctl.scala 307:32] + cam_in[0].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 308:23] + skip @[dec_decode_ctl.scala 307:32] + wire _T_113 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[dec_decode_ctl.scala 311:70] + _T_113.bits.rd <= UInt<5>("h00") @[dec_decode_ctl.scala 311:70] + _T_113.bits.tag <= UInt<3>("h00") @[dec_decode_ctl.scala 311:70] + _T_113.bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 311:70] + _T_113.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 311:70] + reg _T_114 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}, io.free_clk with : (reset => (reset, _T_113)) @[dec_decode_ctl.scala 311:47] + _T_114.bits.rd <= cam_in[0].bits.rd @[dec_decode_ctl.scala 311:47] + _T_114.bits.tag <= cam_in[0].bits.tag @[dec_decode_ctl.scala 311:47] + _T_114.bits.wb <= cam_in[0].bits.wb @[dec_decode_ctl.scala 311:47] + _T_114.valid <= cam_in[0].valid @[dec_decode_ctl.scala 311:47] + cam_raw[0].bits.rd <= _T_114.bits.rd @[dec_decode_ctl.scala 311:15] + cam_raw[0].bits.tag <= _T_114.bits.tag @[dec_decode_ctl.scala 311:15] + cam_raw[0].bits.wb <= _T_114.bits.wb @[dec_decode_ctl.scala 311:15] + cam_raw[0].valid <= _T_114.valid @[dec_decode_ctl.scala 311:15] + node _T_115 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, cam_raw[0].bits.tag) @[dec_decode_ctl.scala 312:46] + node _T_116 = and(_T_115, cam_raw[0].valid) @[dec_decode_ctl.scala 312:71] + nonblock_load_write[0] <= _T_116 @[dec_decode_ctl.scala 312:28] + node _T_117 = eq(io.dctl_busbuff.lsu_nonblock_load_inv_tag_r, cam[1].bits.tag) @[dec_decode_ctl.scala 285:66] + node _T_118 = and(io.dctl_busbuff.lsu_nonblock_load_inv_r, _T_117) @[dec_decode_ctl.scala 285:45] + node _T_119 = and(_T_118, cam[1].valid) @[dec_decode_ctl.scala 285:87] + cam_inv_reset_val[1] <= _T_119 @[dec_decode_ctl.scala 285:26] + node _T_120 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, cam[1].bits.tag) @[dec_decode_ctl.scala 286:67] + node _T_121 = and(cam_data_reset, _T_120) @[dec_decode_ctl.scala 286:45] + node _T_122 = and(_T_121, cam_raw[1].valid) @[dec_decode_ctl.scala 286:88] + cam_data_reset_val[1] <= _T_122 @[dec_decode_ctl.scala 286:27] + wire _T_123 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[dec_decode_ctl.scala 287:28] + _T_123.bits.rd <= UInt<5>("h00") @[dec_decode_ctl.scala 287:28] + _T_123.bits.tag <= UInt<3>("h00") @[dec_decode_ctl.scala 287:28] + _T_123.bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 287:28] + _T_123.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 287:28] + cam_in[1].bits.rd <= _T_123.bits.rd @[dec_decode_ctl.scala 287:14] + cam_in[1].bits.tag <= _T_123.bits.tag @[dec_decode_ctl.scala 287:14] + cam_in[1].bits.wb <= _T_123.bits.wb @[dec_decode_ctl.scala 287:14] + cam_in[1].valid <= _T_123.valid @[dec_decode_ctl.scala 287:14] + cam[1].bits.rd <= cam_raw[1].bits.rd @[dec_decode_ctl.scala 288:11] + cam[1].bits.tag <= cam_raw[1].bits.tag @[dec_decode_ctl.scala 288:11] + cam[1].bits.wb <= cam_raw[1].bits.wb @[dec_decode_ctl.scala 288:11] + cam[1].valid <= cam_raw[1].valid @[dec_decode_ctl.scala 288:11] + node _T_124 = bits(cam_data_reset_val[1], 0, 0) @[dec_decode_ctl.scala 290:32] + when _T_124 : @[dec_decode_ctl.scala 290:39] + cam[1].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 291:20] + skip @[dec_decode_ctl.scala 290:39] + node _T_125 = bits(cam_wen, 1, 1) @[dec_decode_ctl.scala 293:17] + node _T_126 = bits(_T_125, 0, 0) @[dec_decode_ctl.scala 293:21] + when _T_126 : @[dec_decode_ctl.scala 293:28] + cam_in[1].valid <= UInt<1>("h01") @[dec_decode_ctl.scala 294:27] + cam_in[1].bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 295:32] + cam_in[1].bits.tag <= cam_write_tag @[dec_decode_ctl.scala 296:32] + cam_in[1].bits.rd <= nonblock_load_rd @[dec_decode_ctl.scala 297:32] + skip @[dec_decode_ctl.scala 293:28] + else : @[dec_decode_ctl.scala 298:131] + node _T_127 = bits(cam_inv_reset_val[1], 0, 0) @[dec_decode_ctl.scala 298:37] + node _T_128 = bits(i0_wen_r, 0, 0) @[dec_decode_ctl.scala 298:57] + node _T_129 = eq(r_d_in.bits.i0rd, cam[1].bits.rd) @[dec_decode_ctl.scala 298:85] + node _T_130 = and(_T_128, _T_129) @[dec_decode_ctl.scala 298:64] + node _T_131 = bits(cam[1].bits.wb, 0, 0) @[dec_decode_ctl.scala 298:123] + node _T_132 = and(_T_130, _T_131) @[dec_decode_ctl.scala 298:105] + node _T_133 = or(_T_127, _T_132) @[dec_decode_ctl.scala 298:44] + when _T_133 : @[dec_decode_ctl.scala 298:131] + cam_in[1].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 299:23] + skip @[dec_decode_ctl.scala 298:131] + else : @[dec_decode_ctl.scala 300:16] + cam_in[1].bits.rd <= cam[1].bits.rd @[dec_decode_ctl.scala 301:22] + cam_in[1].bits.tag <= cam[1].bits.tag @[dec_decode_ctl.scala 301:22] + cam_in[1].bits.wb <= cam[1].bits.wb @[dec_decode_ctl.scala 301:22] + cam_in[1].valid <= cam[1].valid @[dec_decode_ctl.scala 301:22] + skip @[dec_decode_ctl.scala 300:16] + node _T_134 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[dec_decode_ctl.scala 303:37] + node _T_135 = eq(io.dctl_busbuff.lsu_nonblock_load_inv_tag_r, cam[1].bits.tag) @[dec_decode_ctl.scala 303:92] + node _T_136 = and(_T_134, _T_135) @[dec_decode_ctl.scala 303:44] + node _T_137 = eq(cam[1].valid, UInt<1>("h01")) @[dec_decode_ctl.scala 303:128] + node _T_138 = and(_T_136, _T_137) @[dec_decode_ctl.scala 303:113] + when _T_138 : @[dec_decode_ctl.scala 303:135] + cam_in[1].bits.wb <= UInt<1>("h01") @[dec_decode_ctl.scala 304:25] + skip @[dec_decode_ctl.scala 303:135] + when io.dec_tlu_force_halt : @[dec_decode_ctl.scala 307:32] + cam_in[1].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 308:23] + skip @[dec_decode_ctl.scala 307:32] + wire _T_139 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[dec_decode_ctl.scala 311:70] + _T_139.bits.rd <= UInt<5>("h00") @[dec_decode_ctl.scala 311:70] + _T_139.bits.tag <= UInt<3>("h00") @[dec_decode_ctl.scala 311:70] + _T_139.bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 311:70] + _T_139.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 311:70] + reg _T_140 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}, io.free_clk with : (reset => (reset, _T_139)) @[dec_decode_ctl.scala 311:47] + _T_140.bits.rd <= cam_in[1].bits.rd @[dec_decode_ctl.scala 311:47] + _T_140.bits.tag <= cam_in[1].bits.tag @[dec_decode_ctl.scala 311:47] + _T_140.bits.wb <= cam_in[1].bits.wb @[dec_decode_ctl.scala 311:47] + _T_140.valid <= cam_in[1].valid @[dec_decode_ctl.scala 311:47] + cam_raw[1].bits.rd <= _T_140.bits.rd @[dec_decode_ctl.scala 311:15] + cam_raw[1].bits.tag <= _T_140.bits.tag @[dec_decode_ctl.scala 311:15] + cam_raw[1].bits.wb <= _T_140.bits.wb @[dec_decode_ctl.scala 311:15] + cam_raw[1].valid <= _T_140.valid @[dec_decode_ctl.scala 311:15] + node _T_141 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, cam_raw[1].bits.tag) @[dec_decode_ctl.scala 312:46] + node _T_142 = and(_T_141, cam_raw[1].valid) @[dec_decode_ctl.scala 312:71] + nonblock_load_write[1] <= _T_142 @[dec_decode_ctl.scala 312:28] + node _T_143 = eq(io.dctl_busbuff.lsu_nonblock_load_inv_tag_r, cam[2].bits.tag) @[dec_decode_ctl.scala 285:66] + node _T_144 = and(io.dctl_busbuff.lsu_nonblock_load_inv_r, _T_143) @[dec_decode_ctl.scala 285:45] + node _T_145 = and(_T_144, cam[2].valid) @[dec_decode_ctl.scala 285:87] + cam_inv_reset_val[2] <= _T_145 @[dec_decode_ctl.scala 285:26] + node _T_146 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, cam[2].bits.tag) @[dec_decode_ctl.scala 286:67] + node _T_147 = and(cam_data_reset, _T_146) @[dec_decode_ctl.scala 286:45] + node _T_148 = and(_T_147, cam_raw[2].valid) @[dec_decode_ctl.scala 286:88] + cam_data_reset_val[2] <= _T_148 @[dec_decode_ctl.scala 286:27] + wire _T_149 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[dec_decode_ctl.scala 287:28] + _T_149.bits.rd <= UInt<5>("h00") @[dec_decode_ctl.scala 287:28] + _T_149.bits.tag <= UInt<3>("h00") @[dec_decode_ctl.scala 287:28] + _T_149.bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 287:28] + _T_149.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 287:28] + cam_in[2].bits.rd <= _T_149.bits.rd @[dec_decode_ctl.scala 287:14] + cam_in[2].bits.tag <= _T_149.bits.tag @[dec_decode_ctl.scala 287:14] + cam_in[2].bits.wb <= _T_149.bits.wb @[dec_decode_ctl.scala 287:14] + cam_in[2].valid <= _T_149.valid @[dec_decode_ctl.scala 287:14] + cam[2].bits.rd <= cam_raw[2].bits.rd @[dec_decode_ctl.scala 288:11] + cam[2].bits.tag <= cam_raw[2].bits.tag @[dec_decode_ctl.scala 288:11] + cam[2].bits.wb <= cam_raw[2].bits.wb @[dec_decode_ctl.scala 288:11] + cam[2].valid <= cam_raw[2].valid @[dec_decode_ctl.scala 288:11] + node _T_150 = bits(cam_data_reset_val[2], 0, 0) @[dec_decode_ctl.scala 290:32] + when _T_150 : @[dec_decode_ctl.scala 290:39] + cam[2].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 291:20] + skip @[dec_decode_ctl.scala 290:39] + node _T_151 = bits(cam_wen, 2, 2) @[dec_decode_ctl.scala 293:17] + node _T_152 = bits(_T_151, 0, 0) @[dec_decode_ctl.scala 293:21] + when _T_152 : @[dec_decode_ctl.scala 293:28] + cam_in[2].valid <= UInt<1>("h01") @[dec_decode_ctl.scala 294:27] + cam_in[2].bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 295:32] + cam_in[2].bits.tag <= cam_write_tag @[dec_decode_ctl.scala 296:32] + cam_in[2].bits.rd <= nonblock_load_rd @[dec_decode_ctl.scala 297:32] + skip @[dec_decode_ctl.scala 293:28] + else : @[dec_decode_ctl.scala 298:131] + node _T_153 = bits(cam_inv_reset_val[2], 0, 0) @[dec_decode_ctl.scala 298:37] + node _T_154 = bits(i0_wen_r, 0, 0) @[dec_decode_ctl.scala 298:57] + node _T_155 = eq(r_d_in.bits.i0rd, cam[2].bits.rd) @[dec_decode_ctl.scala 298:85] + node _T_156 = and(_T_154, _T_155) @[dec_decode_ctl.scala 298:64] + node _T_157 = bits(cam[2].bits.wb, 0, 0) @[dec_decode_ctl.scala 298:123] + node _T_158 = and(_T_156, _T_157) @[dec_decode_ctl.scala 298:105] + node _T_159 = or(_T_153, _T_158) @[dec_decode_ctl.scala 298:44] + when _T_159 : @[dec_decode_ctl.scala 298:131] + cam_in[2].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 299:23] + skip @[dec_decode_ctl.scala 298:131] + else : @[dec_decode_ctl.scala 300:16] + cam_in[2].bits.rd <= cam[2].bits.rd @[dec_decode_ctl.scala 301:22] + cam_in[2].bits.tag <= cam[2].bits.tag @[dec_decode_ctl.scala 301:22] + cam_in[2].bits.wb <= cam[2].bits.wb @[dec_decode_ctl.scala 301:22] + cam_in[2].valid <= cam[2].valid @[dec_decode_ctl.scala 301:22] + skip @[dec_decode_ctl.scala 300:16] + node _T_160 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[dec_decode_ctl.scala 303:37] + node _T_161 = eq(io.dctl_busbuff.lsu_nonblock_load_inv_tag_r, cam[2].bits.tag) @[dec_decode_ctl.scala 303:92] + node _T_162 = and(_T_160, _T_161) @[dec_decode_ctl.scala 303:44] + node _T_163 = eq(cam[2].valid, UInt<1>("h01")) @[dec_decode_ctl.scala 303:128] + node _T_164 = and(_T_162, _T_163) @[dec_decode_ctl.scala 303:113] + when _T_164 : @[dec_decode_ctl.scala 303:135] + cam_in[2].bits.wb <= UInt<1>("h01") @[dec_decode_ctl.scala 304:25] + skip @[dec_decode_ctl.scala 303:135] + when io.dec_tlu_force_halt : @[dec_decode_ctl.scala 307:32] + cam_in[2].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 308:23] + skip @[dec_decode_ctl.scala 307:32] + wire _T_165 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[dec_decode_ctl.scala 311:70] + _T_165.bits.rd <= UInt<5>("h00") @[dec_decode_ctl.scala 311:70] + _T_165.bits.tag <= UInt<3>("h00") @[dec_decode_ctl.scala 311:70] + _T_165.bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 311:70] + _T_165.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 311:70] + reg _T_166 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}, io.free_clk with : (reset => (reset, _T_165)) @[dec_decode_ctl.scala 311:47] + _T_166.bits.rd <= cam_in[2].bits.rd @[dec_decode_ctl.scala 311:47] + _T_166.bits.tag <= cam_in[2].bits.tag @[dec_decode_ctl.scala 311:47] + _T_166.bits.wb <= cam_in[2].bits.wb @[dec_decode_ctl.scala 311:47] + _T_166.valid <= cam_in[2].valid @[dec_decode_ctl.scala 311:47] + cam_raw[2].bits.rd <= _T_166.bits.rd @[dec_decode_ctl.scala 311:15] + cam_raw[2].bits.tag <= _T_166.bits.tag @[dec_decode_ctl.scala 311:15] + cam_raw[2].bits.wb <= _T_166.bits.wb @[dec_decode_ctl.scala 311:15] + cam_raw[2].valid <= _T_166.valid @[dec_decode_ctl.scala 311:15] + node _T_167 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, cam_raw[2].bits.tag) @[dec_decode_ctl.scala 312:46] + node _T_168 = and(_T_167, cam_raw[2].valid) @[dec_decode_ctl.scala 312:71] + nonblock_load_write[2] <= _T_168 @[dec_decode_ctl.scala 312:28] + node _T_169 = eq(io.dctl_busbuff.lsu_nonblock_load_inv_tag_r, cam[3].bits.tag) @[dec_decode_ctl.scala 285:66] + node _T_170 = and(io.dctl_busbuff.lsu_nonblock_load_inv_r, _T_169) @[dec_decode_ctl.scala 285:45] + node _T_171 = and(_T_170, cam[3].valid) @[dec_decode_ctl.scala 285:87] + cam_inv_reset_val[3] <= _T_171 @[dec_decode_ctl.scala 285:26] + node _T_172 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, cam[3].bits.tag) @[dec_decode_ctl.scala 286:67] + node _T_173 = and(cam_data_reset, _T_172) @[dec_decode_ctl.scala 286:45] + node _T_174 = and(_T_173, cam_raw[3].valid) @[dec_decode_ctl.scala 286:88] + cam_data_reset_val[3] <= _T_174 @[dec_decode_ctl.scala 286:27] + wire _T_175 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[dec_decode_ctl.scala 287:28] + _T_175.bits.rd <= UInt<5>("h00") @[dec_decode_ctl.scala 287:28] + _T_175.bits.tag <= UInt<3>("h00") @[dec_decode_ctl.scala 287:28] + _T_175.bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 287:28] + _T_175.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 287:28] + cam_in[3].bits.rd <= _T_175.bits.rd @[dec_decode_ctl.scala 287:14] + cam_in[3].bits.tag <= _T_175.bits.tag @[dec_decode_ctl.scala 287:14] + cam_in[3].bits.wb <= _T_175.bits.wb @[dec_decode_ctl.scala 287:14] + cam_in[3].valid <= _T_175.valid @[dec_decode_ctl.scala 287:14] + cam[3].bits.rd <= cam_raw[3].bits.rd @[dec_decode_ctl.scala 288:11] + cam[3].bits.tag <= cam_raw[3].bits.tag @[dec_decode_ctl.scala 288:11] + cam[3].bits.wb <= cam_raw[3].bits.wb @[dec_decode_ctl.scala 288:11] + cam[3].valid <= cam_raw[3].valid @[dec_decode_ctl.scala 288:11] + node _T_176 = bits(cam_data_reset_val[3], 0, 0) @[dec_decode_ctl.scala 290:32] + when _T_176 : @[dec_decode_ctl.scala 290:39] + cam[3].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 291:20] + skip @[dec_decode_ctl.scala 290:39] + node _T_177 = bits(cam_wen, 3, 3) @[dec_decode_ctl.scala 293:17] + node _T_178 = bits(_T_177, 0, 0) @[dec_decode_ctl.scala 293:21] + when _T_178 : @[dec_decode_ctl.scala 293:28] + cam_in[3].valid <= UInt<1>("h01") @[dec_decode_ctl.scala 294:27] + cam_in[3].bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 295:32] + cam_in[3].bits.tag <= cam_write_tag @[dec_decode_ctl.scala 296:32] + cam_in[3].bits.rd <= nonblock_load_rd @[dec_decode_ctl.scala 297:32] + skip @[dec_decode_ctl.scala 293:28] + else : @[dec_decode_ctl.scala 298:131] + node _T_179 = bits(cam_inv_reset_val[3], 0, 0) @[dec_decode_ctl.scala 298:37] + node _T_180 = bits(i0_wen_r, 0, 0) @[dec_decode_ctl.scala 298:57] + node _T_181 = eq(r_d_in.bits.i0rd, cam[3].bits.rd) @[dec_decode_ctl.scala 298:85] + node _T_182 = and(_T_180, _T_181) @[dec_decode_ctl.scala 298:64] + node _T_183 = bits(cam[3].bits.wb, 0, 0) @[dec_decode_ctl.scala 298:123] + node _T_184 = and(_T_182, _T_183) @[dec_decode_ctl.scala 298:105] + node _T_185 = or(_T_179, _T_184) @[dec_decode_ctl.scala 298:44] + when _T_185 : @[dec_decode_ctl.scala 298:131] + cam_in[3].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 299:23] + skip @[dec_decode_ctl.scala 298:131] + else : @[dec_decode_ctl.scala 300:16] + cam_in[3].bits.rd <= cam[3].bits.rd @[dec_decode_ctl.scala 301:22] + cam_in[3].bits.tag <= cam[3].bits.tag @[dec_decode_ctl.scala 301:22] + cam_in[3].bits.wb <= cam[3].bits.wb @[dec_decode_ctl.scala 301:22] + cam_in[3].valid <= cam[3].valid @[dec_decode_ctl.scala 301:22] + skip @[dec_decode_ctl.scala 300:16] + node _T_186 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[dec_decode_ctl.scala 303:37] + node _T_187 = eq(io.dctl_busbuff.lsu_nonblock_load_inv_tag_r, cam[3].bits.tag) @[dec_decode_ctl.scala 303:92] + node _T_188 = and(_T_186, _T_187) @[dec_decode_ctl.scala 303:44] + node _T_189 = eq(cam[3].valid, UInt<1>("h01")) @[dec_decode_ctl.scala 303:128] + node _T_190 = and(_T_188, _T_189) @[dec_decode_ctl.scala 303:113] + when _T_190 : @[dec_decode_ctl.scala 303:135] + cam_in[3].bits.wb <= UInt<1>("h01") @[dec_decode_ctl.scala 304:25] + skip @[dec_decode_ctl.scala 303:135] + when io.dec_tlu_force_halt : @[dec_decode_ctl.scala 307:32] + cam_in[3].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 308:23] + skip @[dec_decode_ctl.scala 307:32] + wire _T_191 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[dec_decode_ctl.scala 311:70] + _T_191.bits.rd <= UInt<5>("h00") @[dec_decode_ctl.scala 311:70] + _T_191.bits.tag <= UInt<3>("h00") @[dec_decode_ctl.scala 311:70] + _T_191.bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 311:70] + _T_191.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 311:70] + reg _T_192 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}, io.free_clk with : (reset => (reset, _T_191)) @[dec_decode_ctl.scala 311:47] + _T_192.bits.rd <= cam_in[3].bits.rd @[dec_decode_ctl.scala 311:47] + _T_192.bits.tag <= cam_in[3].bits.tag @[dec_decode_ctl.scala 311:47] + _T_192.bits.wb <= cam_in[3].bits.wb @[dec_decode_ctl.scala 311:47] + _T_192.valid <= cam_in[3].valid @[dec_decode_ctl.scala 311:47] + cam_raw[3].bits.rd <= _T_192.bits.rd @[dec_decode_ctl.scala 311:15] + cam_raw[3].bits.tag <= _T_192.bits.tag @[dec_decode_ctl.scala 311:15] + cam_raw[3].bits.wb <= _T_192.bits.wb @[dec_decode_ctl.scala 311:15] + cam_raw[3].valid <= _T_192.valid @[dec_decode_ctl.scala 311:15] + node _T_193 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, cam_raw[3].bits.tag) @[dec_decode_ctl.scala 312:46] + node _T_194 = and(_T_193, cam_raw[3].valid) @[dec_decode_ctl.scala 312:71] + nonblock_load_write[3] <= _T_194 @[dec_decode_ctl.scala 312:28] + io.dec_nonblock_load_waddr <= UInt<5>("h00") @[dec_decode_ctl.scala 315:29] + node _T_195 = eq(r_d_in.bits.i0rd, io.dec_nonblock_load_waddr) @[dec_decode_ctl.scala 317:49] + node nonblock_load_cancel = and(_T_195, i0_wen_r) @[dec_decode_ctl.scala 317:81] + node _T_196 = or(nonblock_load_write[0], nonblock_load_write[1]) @[dec_decode_ctl.scala 318:108] + node _T_197 = or(_T_196, nonblock_load_write[2]) @[dec_decode_ctl.scala 318:108] + node _T_198 = or(_T_197, nonblock_load_write[3]) @[dec_decode_ctl.scala 318:108] + node _T_199 = bits(_T_198, 0, 0) @[dec_decode_ctl.scala 318:112] + node _T_200 = and(io.dctl_busbuff.lsu_nonblock_load_data_valid, _T_199) @[dec_decode_ctl.scala 318:77] + node _T_201 = eq(nonblock_load_cancel, UInt<1>("h00")) @[dec_decode_ctl.scala 318:122] + node _T_202 = and(_T_200, _T_201) @[dec_decode_ctl.scala 318:119] + io.dec_nonblock_load_wen <= _T_202 @[dec_decode_ctl.scala 318:28] + node _T_203 = eq(nonblock_load_rd, i0r.rs1) @[dec_decode_ctl.scala 319:54] + node _T_204 = and(_T_203, io.dctl_busbuff.lsu_nonblock_load_valid_m) @[dec_decode_ctl.scala 319:66] + node _T_205 = and(_T_204, io.decode_exu.dec_i0_rs1_en_d) @[dec_decode_ctl.scala 319:110] + node _T_206 = eq(nonblock_load_rd, i0r.rs2) @[dec_decode_ctl.scala 319:161] + node _T_207 = and(_T_206, io.dctl_busbuff.lsu_nonblock_load_valid_m) @[dec_decode_ctl.scala 319:173] + node _T_208 = and(_T_207, io.decode_exu.dec_i0_rs2_en_d) @[dec_decode_ctl.scala 319:217] + node i0_nonblock_boundary_stall = or(_T_205, _T_208) @[dec_decode_ctl.scala 319:142] + i0_nonblock_load_stall <= i0_nonblock_boundary_stall @[dec_decode_ctl.scala 321:26] node _T_209 = bits(nonblock_load_write[0], 0, 0) @[Bitwise.scala 72:15] node _T_210 = mux(_T_209, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] - node _T_211 = and(_T_210, cam[0].bits.rd) @[dec_decode_ctl.scala 329:88] - node _T_212 = and(io.decode_exu.dec_i0_rs1_en_d, cam[0].valid) @[dec_decode_ctl.scala 329:137] - node _T_213 = eq(cam[0].bits.rd, i0r.rs1) @[dec_decode_ctl.scala 329:170] - node _T_214 = and(_T_212, _T_213) @[dec_decode_ctl.scala 329:152] - node _T_215 = and(io.decode_exu.dec_i0_rs2_en_d, cam[0].valid) @[dec_decode_ctl.scala 329:214] - node _T_216 = eq(cam[0].bits.rd, i0r.rs2) @[dec_decode_ctl.scala 329:247] - node _T_217 = and(_T_215, _T_216) @[dec_decode_ctl.scala 329:229] + node _T_211 = and(_T_210, cam[0].bits.rd) @[dec_decode_ctl.scala 323:88] + node _T_212 = and(io.decode_exu.dec_i0_rs1_en_d, cam[0].valid) @[dec_decode_ctl.scala 323:137] + node _T_213 = eq(cam[0].bits.rd, i0r.rs1) @[dec_decode_ctl.scala 323:170] + node _T_214 = and(_T_212, _T_213) @[dec_decode_ctl.scala 323:152] + node _T_215 = and(io.decode_exu.dec_i0_rs2_en_d, cam[0].valid) @[dec_decode_ctl.scala 323:214] + node _T_216 = eq(cam[0].bits.rd, i0r.rs2) @[dec_decode_ctl.scala 323:247] + node _T_217 = and(_T_215, _T_216) @[dec_decode_ctl.scala 323:229] node _T_218 = bits(nonblock_load_write[1], 0, 0) @[Bitwise.scala 72:15] node _T_219 = mux(_T_218, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] - node _T_220 = and(_T_219, cam[1].bits.rd) @[dec_decode_ctl.scala 329:88] - node _T_221 = and(io.decode_exu.dec_i0_rs1_en_d, cam[1].valid) @[dec_decode_ctl.scala 329:137] - node _T_222 = eq(cam[1].bits.rd, i0r.rs1) @[dec_decode_ctl.scala 329:170] - node _T_223 = and(_T_221, _T_222) @[dec_decode_ctl.scala 329:152] - node _T_224 = and(io.decode_exu.dec_i0_rs2_en_d, cam[1].valid) @[dec_decode_ctl.scala 329:214] - node _T_225 = eq(cam[1].bits.rd, i0r.rs2) @[dec_decode_ctl.scala 329:247] - node _T_226 = and(_T_224, _T_225) @[dec_decode_ctl.scala 329:229] + node _T_220 = and(_T_219, cam[1].bits.rd) @[dec_decode_ctl.scala 323:88] + node _T_221 = and(io.decode_exu.dec_i0_rs1_en_d, cam[1].valid) @[dec_decode_ctl.scala 323:137] + node _T_222 = eq(cam[1].bits.rd, i0r.rs1) @[dec_decode_ctl.scala 323:170] + node _T_223 = and(_T_221, _T_222) @[dec_decode_ctl.scala 323:152] + node _T_224 = and(io.decode_exu.dec_i0_rs2_en_d, cam[1].valid) @[dec_decode_ctl.scala 323:214] + node _T_225 = eq(cam[1].bits.rd, i0r.rs2) @[dec_decode_ctl.scala 323:247] + node _T_226 = and(_T_224, _T_225) @[dec_decode_ctl.scala 323:229] node _T_227 = bits(nonblock_load_write[2], 0, 0) @[Bitwise.scala 72:15] node _T_228 = mux(_T_227, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] - node _T_229 = and(_T_228, cam[2].bits.rd) @[dec_decode_ctl.scala 329:88] - node _T_230 = and(io.decode_exu.dec_i0_rs1_en_d, cam[2].valid) @[dec_decode_ctl.scala 329:137] - node _T_231 = eq(cam[2].bits.rd, i0r.rs1) @[dec_decode_ctl.scala 329:170] - node _T_232 = and(_T_230, _T_231) @[dec_decode_ctl.scala 329:152] - node _T_233 = and(io.decode_exu.dec_i0_rs2_en_d, cam[2].valid) @[dec_decode_ctl.scala 329:214] - node _T_234 = eq(cam[2].bits.rd, i0r.rs2) @[dec_decode_ctl.scala 329:247] - node _T_235 = and(_T_233, _T_234) @[dec_decode_ctl.scala 329:229] + node _T_229 = and(_T_228, cam[2].bits.rd) @[dec_decode_ctl.scala 323:88] + node _T_230 = and(io.decode_exu.dec_i0_rs1_en_d, cam[2].valid) @[dec_decode_ctl.scala 323:137] + node _T_231 = eq(cam[2].bits.rd, i0r.rs1) @[dec_decode_ctl.scala 323:170] + node _T_232 = and(_T_230, _T_231) @[dec_decode_ctl.scala 323:152] + node _T_233 = and(io.decode_exu.dec_i0_rs2_en_d, cam[2].valid) @[dec_decode_ctl.scala 323:214] + node _T_234 = eq(cam[2].bits.rd, i0r.rs2) @[dec_decode_ctl.scala 323:247] + node _T_235 = and(_T_233, _T_234) @[dec_decode_ctl.scala 323:229] node _T_236 = bits(nonblock_load_write[3], 0, 0) @[Bitwise.scala 72:15] node _T_237 = mux(_T_236, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] - node _T_238 = and(_T_237, cam[3].bits.rd) @[dec_decode_ctl.scala 329:88] - node _T_239 = and(io.decode_exu.dec_i0_rs1_en_d, cam[3].valid) @[dec_decode_ctl.scala 329:137] - node _T_240 = eq(cam[3].bits.rd, i0r.rs1) @[dec_decode_ctl.scala 329:170] - node _T_241 = and(_T_239, _T_240) @[dec_decode_ctl.scala 329:152] - node _T_242 = and(io.decode_exu.dec_i0_rs2_en_d, cam[3].valid) @[dec_decode_ctl.scala 329:214] - node _T_243 = eq(cam[3].bits.rd, i0r.rs2) @[dec_decode_ctl.scala 329:247] - node _T_244 = and(_T_242, _T_243) @[dec_decode_ctl.scala 329:229] - node _T_245 = or(_T_211, _T_220) @[dec_decode_ctl.scala 330:69] - node _T_246 = or(_T_245, _T_229) @[dec_decode_ctl.scala 330:69] - node waddr = or(_T_246, _T_238) @[dec_decode_ctl.scala 330:69] - node _T_247 = or(_T_214, _T_223) @[dec_decode_ctl.scala 330:102] - node _T_248 = or(_T_247, _T_232) @[dec_decode_ctl.scala 330:102] - node ld_stall_1 = or(_T_248, _T_241) @[dec_decode_ctl.scala 330:102] - node _T_249 = or(_T_217, _T_226) @[dec_decode_ctl.scala 330:134] - node _T_250 = or(_T_249, _T_235) @[dec_decode_ctl.scala 330:134] - node ld_stall_2 = or(_T_250, _T_244) @[dec_decode_ctl.scala 330:134] - io.dec_nonblock_load_waddr <= waddr @[dec_decode_ctl.scala 331:29] - node _T_251 = or(ld_stall_1, ld_stall_2) @[dec_decode_ctl.scala 332:38] - node _T_252 = or(_T_251, i0_nonblock_boundary_stall) @[dec_decode_ctl.scala 332:51] - i0_nonblock_load_stall <= _T_252 @[dec_decode_ctl.scala 332:25] - node _T_253 = eq(i0_predict_br, UInt<1>("h00")) @[dec_decode_ctl.scala 341:34] - node i0_br_unpred = and(i0_dp.jal, _T_253) @[dec_decode_ctl.scala 341:32] + node _T_238 = and(_T_237, cam[3].bits.rd) @[dec_decode_ctl.scala 323:88] + node _T_239 = and(io.decode_exu.dec_i0_rs1_en_d, cam[3].valid) @[dec_decode_ctl.scala 323:137] + node _T_240 = eq(cam[3].bits.rd, i0r.rs1) @[dec_decode_ctl.scala 323:170] + node _T_241 = and(_T_239, _T_240) @[dec_decode_ctl.scala 323:152] + node _T_242 = and(io.decode_exu.dec_i0_rs2_en_d, cam[3].valid) @[dec_decode_ctl.scala 323:214] + node _T_243 = eq(cam[3].bits.rd, i0r.rs2) @[dec_decode_ctl.scala 323:247] + node _T_244 = and(_T_242, _T_243) @[dec_decode_ctl.scala 323:229] + node _T_245 = or(_T_211, _T_220) @[dec_decode_ctl.scala 324:69] + node _T_246 = or(_T_245, _T_229) @[dec_decode_ctl.scala 324:69] + node waddr = or(_T_246, _T_238) @[dec_decode_ctl.scala 324:69] + node _T_247 = or(_T_214, _T_223) @[dec_decode_ctl.scala 324:102] + node _T_248 = or(_T_247, _T_232) @[dec_decode_ctl.scala 324:102] + node ld_stall_1 = or(_T_248, _T_241) @[dec_decode_ctl.scala 324:102] + node _T_249 = or(_T_217, _T_226) @[dec_decode_ctl.scala 324:134] + node _T_250 = or(_T_249, _T_235) @[dec_decode_ctl.scala 324:134] + node ld_stall_2 = or(_T_250, _T_244) @[dec_decode_ctl.scala 324:134] + io.dec_nonblock_load_waddr <= waddr @[dec_decode_ctl.scala 325:29] + node _T_251 = or(ld_stall_1, ld_stall_2) @[dec_decode_ctl.scala 326:38] + node _T_252 = or(_T_251, i0_nonblock_boundary_stall) @[dec_decode_ctl.scala 326:51] + i0_nonblock_load_stall <= _T_252 @[dec_decode_ctl.scala 326:25] + node _T_253 = eq(i0_predict_br, UInt<1>("h00")) @[dec_decode_ctl.scala 335:34] + node i0_br_unpred = and(i0_dp.jal, _T_253) @[dec_decode_ctl.scala 335:32] node _T_254 = bits(i0_legal_decode_d, 0, 0) @[Bitwise.scala 72:15] node _T_255 = mux(_T_254, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_256 = and(csr_read, io.dec_csr_wen_unq_d) @[dec_decode_ctl.scala 353:16] - node _T_257 = bits(_T_256, 0, 0) @[dec_decode_ctl.scala 353:30] - node _T_258 = eq(csr_read, UInt<1>("h00")) @[dec_decode_ctl.scala 354:6] - node _T_259 = and(_T_258, io.dec_csr_wen_unq_d) @[dec_decode_ctl.scala 354:16] - node _T_260 = bits(_T_259, 0, 0) @[dec_decode_ctl.scala 354:30] - node _T_261 = eq(io.dec_csr_wen_unq_d, UInt<1>("h00")) @[dec_decode_ctl.scala 355:18] - node _T_262 = and(csr_read, _T_261) @[dec_decode_ctl.scala 355:16] - node _T_263 = bits(_T_262, 0, 0) @[dec_decode_ctl.scala 355:30] + node _T_256 = and(csr_read, io.dec_csr_wen_unq_d) @[dec_decode_ctl.scala 347:16] + node _T_257 = bits(_T_256, 0, 0) @[dec_decode_ctl.scala 347:30] + node _T_258 = eq(csr_read, UInt<1>("h00")) @[dec_decode_ctl.scala 348:6] + node _T_259 = and(_T_258, io.dec_csr_wen_unq_d) @[dec_decode_ctl.scala 348:16] + node _T_260 = bits(_T_259, 0, 0) @[dec_decode_ctl.scala 348:30] + node _T_261 = eq(io.dec_csr_wen_unq_d, UInt<1>("h00")) @[dec_decode_ctl.scala 349:18] + node _T_262 = and(csr_read, _T_261) @[dec_decode_ctl.scala 349:16] + node _T_263 = bits(_T_262, 0, 0) @[dec_decode_ctl.scala 349:30] node _T_264 = mux(i0_dp.mul, UInt<4>("h01"), UInt<4>("h00")) @[Mux.scala 98:16] node _T_265 = mux(i0_dp.load, UInt<4>("h02"), _T_264) @[Mux.scala 98:16] node _T_266 = mux(i0_dp.store, UInt<4>("h03"), _T_265) @[Mux.scala 98:16] @@ -67662,244 +67660,244 @@ circuit quasar : node _T_275 = mux(i0_dp.mret, UInt<4>("h0c"), _T_274) @[Mux.scala 98:16] node _T_276 = mux(i0_dp.condbr, UInt<4>("h0d"), _T_275) @[Mux.scala 98:16] node _T_277 = mux(i0_dp.jal, UInt<4>("h0e"), _T_276) @[Mux.scala 98:16] - node _T_278 = and(_T_255, _T_277) @[dec_decode_ctl.scala 345:49] - d_t.pmu_i0_itype <= _T_278 @[dec_decode_ctl.scala 345:21] - inst i0_dec of dec_dec_ctl @[dec_decode_ctl.scala 362:22] + node _T_278 = and(_T_255, _T_277) @[dec_decode_ctl.scala 339:49] + d_t.pmu_i0_itype <= _T_278 @[dec_decode_ctl.scala 339:21] + inst i0_dec of dec_dec_ctl @[dec_decode_ctl.scala 356:22] i0_dec.clock <= clock i0_dec.reset <= reset - i0_dec.io.ins <= io.dec_i0_instr_d @[dec_decode_ctl.scala 363:16] - i0_dp_raw.legal <= i0_dec.io.out.legal @[dec_decode_ctl.scala 364:12] - i0_dp_raw.pm_alu <= i0_dec.io.out.pm_alu @[dec_decode_ctl.scala 364:12] - i0_dp_raw.fence_i <= i0_dec.io.out.fence_i @[dec_decode_ctl.scala 364:12] - i0_dp_raw.fence <= i0_dec.io.out.fence @[dec_decode_ctl.scala 364:12] - i0_dp_raw.rem <= i0_dec.io.out.rem @[dec_decode_ctl.scala 364:12] - i0_dp_raw.div <= i0_dec.io.out.div @[dec_decode_ctl.scala 364:12] - i0_dp_raw.low <= i0_dec.io.out.low @[dec_decode_ctl.scala 364:12] - i0_dp_raw.rs2_sign <= i0_dec.io.out.rs2_sign @[dec_decode_ctl.scala 364:12] - i0_dp_raw.rs1_sign <= i0_dec.io.out.rs1_sign @[dec_decode_ctl.scala 364:12] - i0_dp_raw.mul <= i0_dec.io.out.mul @[dec_decode_ctl.scala 364:12] - i0_dp_raw.mret <= i0_dec.io.out.mret @[dec_decode_ctl.scala 364:12] - i0_dp_raw.ecall <= i0_dec.io.out.ecall @[dec_decode_ctl.scala 364:12] - i0_dp_raw.ebreak <= i0_dec.io.out.ebreak @[dec_decode_ctl.scala 364:12] - i0_dp_raw.postsync <= i0_dec.io.out.postsync @[dec_decode_ctl.scala 364:12] - i0_dp_raw.presync <= i0_dec.io.out.presync @[dec_decode_ctl.scala 364:12] - i0_dp_raw.csr_imm <= i0_dec.io.out.csr_imm @[dec_decode_ctl.scala 364:12] - i0_dp_raw.csr_write <= i0_dec.io.out.csr_write @[dec_decode_ctl.scala 364:12] - i0_dp_raw.csr_set <= i0_dec.io.out.csr_set @[dec_decode_ctl.scala 364:12] - i0_dp_raw.csr_clr <= i0_dec.io.out.csr_clr @[dec_decode_ctl.scala 364:12] - i0_dp_raw.csr_read <= i0_dec.io.out.csr_read @[dec_decode_ctl.scala 364:12] - i0_dp_raw.word <= i0_dec.io.out.word @[dec_decode_ctl.scala 364:12] - i0_dp_raw.half <= i0_dec.io.out.half @[dec_decode_ctl.scala 364:12] - i0_dp_raw.by <= i0_dec.io.out.by @[dec_decode_ctl.scala 364:12] - i0_dp_raw.jal <= i0_dec.io.out.jal @[dec_decode_ctl.scala 364:12] - i0_dp_raw.blt <= i0_dec.io.out.blt @[dec_decode_ctl.scala 364:12] - i0_dp_raw.bge <= i0_dec.io.out.bge @[dec_decode_ctl.scala 364:12] - i0_dp_raw.bne <= i0_dec.io.out.bne @[dec_decode_ctl.scala 364:12] - i0_dp_raw.beq <= i0_dec.io.out.beq @[dec_decode_ctl.scala 364:12] - i0_dp_raw.condbr <= i0_dec.io.out.condbr @[dec_decode_ctl.scala 364:12] - i0_dp_raw.unsign <= i0_dec.io.out.unsign @[dec_decode_ctl.scala 364:12] - i0_dp_raw.slt <= i0_dec.io.out.slt @[dec_decode_ctl.scala 364:12] - i0_dp_raw.srl <= i0_dec.io.out.srl @[dec_decode_ctl.scala 364:12] - i0_dp_raw.sra <= i0_dec.io.out.sra @[dec_decode_ctl.scala 364:12] - i0_dp_raw.sll <= i0_dec.io.out.sll @[dec_decode_ctl.scala 364:12] - i0_dp_raw.lxor <= i0_dec.io.out.lxor @[dec_decode_ctl.scala 364:12] - i0_dp_raw.lor <= i0_dec.io.out.lor @[dec_decode_ctl.scala 364:12] - i0_dp_raw.land <= i0_dec.io.out.land @[dec_decode_ctl.scala 364:12] - i0_dp_raw.sub <= i0_dec.io.out.sub @[dec_decode_ctl.scala 364:12] - i0_dp_raw.add <= i0_dec.io.out.add @[dec_decode_ctl.scala 364:12] - i0_dp_raw.lsu <= i0_dec.io.out.lsu @[dec_decode_ctl.scala 364:12] - i0_dp_raw.store <= i0_dec.io.out.store @[dec_decode_ctl.scala 364:12] - i0_dp_raw.load <= i0_dec.io.out.load @[dec_decode_ctl.scala 364:12] - i0_dp_raw.pc <= i0_dec.io.out.pc @[dec_decode_ctl.scala 364:12] - i0_dp_raw.imm20 <= i0_dec.io.out.imm20 @[dec_decode_ctl.scala 364:12] - i0_dp_raw.shimm5 <= i0_dec.io.out.shimm5 @[dec_decode_ctl.scala 364:12] - i0_dp_raw.rd <= i0_dec.io.out.rd @[dec_decode_ctl.scala 364:12] - i0_dp_raw.imm12 <= i0_dec.io.out.imm12 @[dec_decode_ctl.scala 364:12] - i0_dp_raw.rs2 <= i0_dec.io.out.rs2 @[dec_decode_ctl.scala 364:12] - i0_dp_raw.rs1 <= i0_dec.io.out.rs1 @[dec_decode_ctl.scala 364:12] - i0_dp_raw.alu <= i0_dec.io.out.alu @[dec_decode_ctl.scala 364:12] - reg _T_279 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 366:45] - _T_279 <= io.lsu_idle_any @[dec_decode_ctl.scala 366:45] - lsu_idle <= _T_279 @[dec_decode_ctl.scala 366:11] - node _T_280 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[dec_decode_ctl.scala 369:73] - node _T_281 = and(leak1_i1_stall, _T_280) @[dec_decode_ctl.scala 369:71] - node _T_282 = or(io.dec_tlu_flush_leak_one_r, _T_281) @[dec_decode_ctl.scala 369:53] - leak1_i1_stall_in <= _T_282 @[dec_decode_ctl.scala 369:21] - reg _T_283 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 370:56] - _T_283 <= leak1_i1_stall_in @[dec_decode_ctl.scala 370:56] - leak1_i1_stall <= _T_283 @[dec_decode_ctl.scala 370:21] - leak1_mode <= leak1_i1_stall @[dec_decode_ctl.scala 371:14] - node _T_284 = and(io.dec_aln.dec_i0_decode_d, leak1_i1_stall) @[dec_decode_ctl.scala 372:53] - node _T_285 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[dec_decode_ctl.scala 372:91] - node _T_286 = and(leak1_i0_stall, _T_285) @[dec_decode_ctl.scala 372:89] - node _T_287 = or(_T_284, _T_286) @[dec_decode_ctl.scala 372:71] - leak1_i0_stall_in <= _T_287 @[dec_decode_ctl.scala 372:21] - reg _T_288 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 373:56] - _T_288 <= leak1_i0_stall_in @[dec_decode_ctl.scala 373:56] - leak1_i0_stall <= _T_288 @[dec_decode_ctl.scala 373:21] - node _T_289 = bits(io.dec_i0_instr_d, 31, 31) @[dec_decode_ctl.scala 377:29] - node _T_290 = bits(io.dec_i0_instr_d, 19, 12) @[dec_decode_ctl.scala 377:36] - node _T_291 = bits(io.dec_i0_instr_d, 20, 20) @[dec_decode_ctl.scala 377:46] - node _T_292 = bits(io.dec_i0_instr_d, 30, 21) @[dec_decode_ctl.scala 377:53] + i0_dec.io.ins <= io.dec_i0_instr_d @[dec_decode_ctl.scala 357:16] + i0_dp_raw.legal <= i0_dec.io.out.legal @[dec_decode_ctl.scala 358:12] + i0_dp_raw.pm_alu <= i0_dec.io.out.pm_alu @[dec_decode_ctl.scala 358:12] + i0_dp_raw.fence_i <= i0_dec.io.out.fence_i @[dec_decode_ctl.scala 358:12] + i0_dp_raw.fence <= i0_dec.io.out.fence @[dec_decode_ctl.scala 358:12] + i0_dp_raw.rem <= i0_dec.io.out.rem @[dec_decode_ctl.scala 358:12] + i0_dp_raw.div <= i0_dec.io.out.div @[dec_decode_ctl.scala 358:12] + i0_dp_raw.low <= i0_dec.io.out.low @[dec_decode_ctl.scala 358:12] + i0_dp_raw.rs2_sign <= i0_dec.io.out.rs2_sign @[dec_decode_ctl.scala 358:12] + i0_dp_raw.rs1_sign <= i0_dec.io.out.rs1_sign @[dec_decode_ctl.scala 358:12] + i0_dp_raw.mul <= i0_dec.io.out.mul @[dec_decode_ctl.scala 358:12] + i0_dp_raw.mret <= i0_dec.io.out.mret @[dec_decode_ctl.scala 358:12] + i0_dp_raw.ecall <= i0_dec.io.out.ecall @[dec_decode_ctl.scala 358:12] + i0_dp_raw.ebreak <= i0_dec.io.out.ebreak @[dec_decode_ctl.scala 358:12] + i0_dp_raw.postsync <= i0_dec.io.out.postsync @[dec_decode_ctl.scala 358:12] + i0_dp_raw.presync <= i0_dec.io.out.presync @[dec_decode_ctl.scala 358:12] + i0_dp_raw.csr_imm <= i0_dec.io.out.csr_imm @[dec_decode_ctl.scala 358:12] + i0_dp_raw.csr_write <= i0_dec.io.out.csr_write @[dec_decode_ctl.scala 358:12] + i0_dp_raw.csr_set <= i0_dec.io.out.csr_set @[dec_decode_ctl.scala 358:12] + i0_dp_raw.csr_clr <= i0_dec.io.out.csr_clr @[dec_decode_ctl.scala 358:12] + i0_dp_raw.csr_read <= i0_dec.io.out.csr_read @[dec_decode_ctl.scala 358:12] + i0_dp_raw.word <= i0_dec.io.out.word @[dec_decode_ctl.scala 358:12] + i0_dp_raw.half <= i0_dec.io.out.half @[dec_decode_ctl.scala 358:12] + i0_dp_raw.by <= i0_dec.io.out.by @[dec_decode_ctl.scala 358:12] + i0_dp_raw.jal <= i0_dec.io.out.jal @[dec_decode_ctl.scala 358:12] + i0_dp_raw.blt <= i0_dec.io.out.blt @[dec_decode_ctl.scala 358:12] + i0_dp_raw.bge <= i0_dec.io.out.bge @[dec_decode_ctl.scala 358:12] + i0_dp_raw.bne <= i0_dec.io.out.bne @[dec_decode_ctl.scala 358:12] + i0_dp_raw.beq <= i0_dec.io.out.beq @[dec_decode_ctl.scala 358:12] + i0_dp_raw.condbr <= i0_dec.io.out.condbr @[dec_decode_ctl.scala 358:12] + i0_dp_raw.unsign <= i0_dec.io.out.unsign @[dec_decode_ctl.scala 358:12] + i0_dp_raw.slt <= i0_dec.io.out.slt @[dec_decode_ctl.scala 358:12] + i0_dp_raw.srl <= i0_dec.io.out.srl @[dec_decode_ctl.scala 358:12] + i0_dp_raw.sra <= i0_dec.io.out.sra @[dec_decode_ctl.scala 358:12] + i0_dp_raw.sll <= i0_dec.io.out.sll @[dec_decode_ctl.scala 358:12] + i0_dp_raw.lxor <= i0_dec.io.out.lxor @[dec_decode_ctl.scala 358:12] + i0_dp_raw.lor <= i0_dec.io.out.lor @[dec_decode_ctl.scala 358:12] + i0_dp_raw.land <= i0_dec.io.out.land @[dec_decode_ctl.scala 358:12] + i0_dp_raw.sub <= i0_dec.io.out.sub @[dec_decode_ctl.scala 358:12] + i0_dp_raw.add <= i0_dec.io.out.add @[dec_decode_ctl.scala 358:12] + i0_dp_raw.lsu <= i0_dec.io.out.lsu @[dec_decode_ctl.scala 358:12] + i0_dp_raw.store <= i0_dec.io.out.store @[dec_decode_ctl.scala 358:12] + i0_dp_raw.load <= i0_dec.io.out.load @[dec_decode_ctl.scala 358:12] + i0_dp_raw.pc <= i0_dec.io.out.pc @[dec_decode_ctl.scala 358:12] + i0_dp_raw.imm20 <= i0_dec.io.out.imm20 @[dec_decode_ctl.scala 358:12] + i0_dp_raw.shimm5 <= i0_dec.io.out.shimm5 @[dec_decode_ctl.scala 358:12] + i0_dp_raw.rd <= i0_dec.io.out.rd @[dec_decode_ctl.scala 358:12] + i0_dp_raw.imm12 <= i0_dec.io.out.imm12 @[dec_decode_ctl.scala 358:12] + i0_dp_raw.rs2 <= i0_dec.io.out.rs2 @[dec_decode_ctl.scala 358:12] + i0_dp_raw.rs1 <= i0_dec.io.out.rs1 @[dec_decode_ctl.scala 358:12] + i0_dp_raw.alu <= i0_dec.io.out.alu @[dec_decode_ctl.scala 358:12] + reg _T_279 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 360:45] + _T_279 <= io.lsu_idle_any @[dec_decode_ctl.scala 360:45] + lsu_idle <= _T_279 @[dec_decode_ctl.scala 360:11] + node _T_280 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[dec_decode_ctl.scala 363:73] + node _T_281 = and(leak1_i1_stall, _T_280) @[dec_decode_ctl.scala 363:71] + node _T_282 = or(io.dec_tlu_flush_leak_one_r, _T_281) @[dec_decode_ctl.scala 363:53] + leak1_i1_stall_in <= _T_282 @[dec_decode_ctl.scala 363:21] + reg _T_283 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 364:56] + _T_283 <= leak1_i1_stall_in @[dec_decode_ctl.scala 364:56] + leak1_i1_stall <= _T_283 @[dec_decode_ctl.scala 364:21] + leak1_mode <= leak1_i1_stall @[dec_decode_ctl.scala 365:14] + node _T_284 = and(io.dec_aln.dec_i0_decode_d, leak1_i1_stall) @[dec_decode_ctl.scala 366:53] + node _T_285 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[dec_decode_ctl.scala 366:91] + node _T_286 = and(leak1_i0_stall, _T_285) @[dec_decode_ctl.scala 366:89] + node _T_287 = or(_T_284, _T_286) @[dec_decode_ctl.scala 366:71] + leak1_i0_stall_in <= _T_287 @[dec_decode_ctl.scala 366:21] + reg _T_288 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 367:56] + _T_288 <= leak1_i0_stall_in @[dec_decode_ctl.scala 367:56] + leak1_i0_stall <= _T_288 @[dec_decode_ctl.scala 367:21] + node _T_289 = bits(io.dec_i0_instr_d, 31, 31) @[dec_decode_ctl.scala 371:29] + node _T_290 = bits(io.dec_i0_instr_d, 19, 12) @[dec_decode_ctl.scala 371:36] + node _T_291 = bits(io.dec_i0_instr_d, 20, 20) @[dec_decode_ctl.scala 371:46] + node _T_292 = bits(io.dec_i0_instr_d, 30, 21) @[dec_decode_ctl.scala 371:53] node _T_293 = cat(_T_291, _T_292) @[Cat.scala 29:58] node _T_294 = cat(_T_289, _T_290) @[Cat.scala 29:58] node i0_pcall_imm = cat(_T_294, _T_293) @[Cat.scala 29:58] - node _T_295 = bits(i0_pcall_imm, 11, 11) @[dec_decode_ctl.scala 378:46] - node _T_296 = bits(_T_295, 0, 0) @[dec_decode_ctl.scala 378:51] - node _T_297 = bits(i0_pcall_imm, 19, 12) @[dec_decode_ctl.scala 378:71] - node _T_298 = eq(_T_297, UInt<8>("h0ff")) @[dec_decode_ctl.scala 378:79] - node _T_299 = bits(i0_pcall_imm, 19, 12) @[dec_decode_ctl.scala 378:104] - node _T_300 = eq(_T_299, UInt<8>("h00")) @[dec_decode_ctl.scala 378:112] - node i0_pcall_12b_offset = mux(_T_296, _T_298, _T_300) @[dec_decode_ctl.scala 378:33] - node _T_301 = and(i0_pcall_12b_offset, i0_dp_raw.imm20) @[dec_decode_ctl.scala 379:47] - node _T_302 = eq(i0r.rd, UInt<5>("h01")) @[dec_decode_ctl.scala 379:76] - node _T_303 = eq(i0r.rd, UInt<5>("h05")) @[dec_decode_ctl.scala 379:98] - node _T_304 = or(_T_302, _T_303) @[dec_decode_ctl.scala 379:89] - node i0_pcall_case = and(_T_301, _T_304) @[dec_decode_ctl.scala 379:65] - node _T_305 = and(i0_pcall_12b_offset, i0_dp_raw.imm20) @[dec_decode_ctl.scala 380:47] - node _T_306 = eq(i0r.rd, UInt<5>("h01")) @[dec_decode_ctl.scala 380:76] - node _T_307 = eq(i0r.rd, UInt<5>("h05")) @[dec_decode_ctl.scala 380:98] - node _T_308 = or(_T_306, _T_307) @[dec_decode_ctl.scala 380:89] - node _T_309 = eq(_T_308, UInt<1>("h00")) @[dec_decode_ctl.scala 380:67] - node i0_pja_case = and(_T_305, _T_309) @[dec_decode_ctl.scala 380:65] - node _T_310 = and(i0_dp_raw.jal, i0_pcall_case) @[dec_decode_ctl.scala 381:38] - i0_pcall_raw <= _T_310 @[dec_decode_ctl.scala 381:20] - node _T_311 = and(i0_dp.jal, i0_pcall_case) @[dec_decode_ctl.scala 382:38] - i0_pcall <= _T_311 @[dec_decode_ctl.scala 382:20] - node _T_312 = and(i0_dp_raw.jal, i0_pja_case) @[dec_decode_ctl.scala 383:38] - i0_pja_raw <= _T_312 @[dec_decode_ctl.scala 383:20] - node _T_313 = and(i0_dp.jal, i0_pja_case) @[dec_decode_ctl.scala 384:38] - i0_pja <= _T_313 @[dec_decode_ctl.scala 384:20] - node _T_314 = or(i0_pcall_raw, i0_pja_raw) @[dec_decode_ctl.scala 385:41] - node _T_315 = bits(_T_314, 0, 0) @[dec_decode_ctl.scala 385:55] - node _T_316 = bits(i0_pcall_imm, 11, 0) @[dec_decode_ctl.scala 385:75] - node _T_317 = bits(io.dec_i0_instr_d, 31, 31) @[dec_decode_ctl.scala 385:90] - node _T_318 = bits(io.dec_i0_instr_d, 7, 7) @[dec_decode_ctl.scala 385:97] - node _T_319 = bits(io.dec_i0_instr_d, 30, 25) @[dec_decode_ctl.scala 385:103] - node _T_320 = bits(io.dec_i0_instr_d, 11, 8) @[dec_decode_ctl.scala 385:113] + node _T_295 = bits(i0_pcall_imm, 11, 11) @[dec_decode_ctl.scala 372:46] + node _T_296 = bits(_T_295, 0, 0) @[dec_decode_ctl.scala 372:51] + node _T_297 = bits(i0_pcall_imm, 19, 12) @[dec_decode_ctl.scala 372:71] + node _T_298 = eq(_T_297, UInt<8>("h0ff")) @[dec_decode_ctl.scala 372:79] + node _T_299 = bits(i0_pcall_imm, 19, 12) @[dec_decode_ctl.scala 372:104] + node _T_300 = eq(_T_299, UInt<8>("h00")) @[dec_decode_ctl.scala 372:112] + node i0_pcall_12b_offset = mux(_T_296, _T_298, _T_300) @[dec_decode_ctl.scala 372:33] + node _T_301 = and(i0_pcall_12b_offset, i0_dp_raw.imm20) @[dec_decode_ctl.scala 373:47] + node _T_302 = eq(i0r.rd, UInt<5>("h01")) @[dec_decode_ctl.scala 373:76] + node _T_303 = eq(i0r.rd, UInt<5>("h05")) @[dec_decode_ctl.scala 373:98] + node _T_304 = or(_T_302, _T_303) @[dec_decode_ctl.scala 373:89] + node i0_pcall_case = and(_T_301, _T_304) @[dec_decode_ctl.scala 373:65] + node _T_305 = and(i0_pcall_12b_offset, i0_dp_raw.imm20) @[dec_decode_ctl.scala 374:47] + node _T_306 = eq(i0r.rd, UInt<5>("h01")) @[dec_decode_ctl.scala 374:76] + node _T_307 = eq(i0r.rd, UInt<5>("h05")) @[dec_decode_ctl.scala 374:98] + node _T_308 = or(_T_306, _T_307) @[dec_decode_ctl.scala 374:89] + node _T_309 = eq(_T_308, UInt<1>("h00")) @[dec_decode_ctl.scala 374:67] + node i0_pja_case = and(_T_305, _T_309) @[dec_decode_ctl.scala 374:65] + node _T_310 = and(i0_dp_raw.jal, i0_pcall_case) @[dec_decode_ctl.scala 375:38] + i0_pcall_raw <= _T_310 @[dec_decode_ctl.scala 375:20] + node _T_311 = and(i0_dp.jal, i0_pcall_case) @[dec_decode_ctl.scala 376:38] + i0_pcall <= _T_311 @[dec_decode_ctl.scala 376:20] + node _T_312 = and(i0_dp_raw.jal, i0_pja_case) @[dec_decode_ctl.scala 377:38] + i0_pja_raw <= _T_312 @[dec_decode_ctl.scala 377:20] + node _T_313 = and(i0_dp.jal, i0_pja_case) @[dec_decode_ctl.scala 378:38] + i0_pja <= _T_313 @[dec_decode_ctl.scala 378:20] + node _T_314 = or(i0_pcall_raw, i0_pja_raw) @[dec_decode_ctl.scala 379:41] + node _T_315 = bits(_T_314, 0, 0) @[dec_decode_ctl.scala 379:55] + node _T_316 = bits(i0_pcall_imm, 11, 0) @[dec_decode_ctl.scala 379:75] + node _T_317 = bits(io.dec_i0_instr_d, 31, 31) @[dec_decode_ctl.scala 379:90] + node _T_318 = bits(io.dec_i0_instr_d, 7, 7) @[dec_decode_ctl.scala 379:97] + node _T_319 = bits(io.dec_i0_instr_d, 30, 25) @[dec_decode_ctl.scala 379:103] + node _T_320 = bits(io.dec_i0_instr_d, 11, 8) @[dec_decode_ctl.scala 379:113] node _T_321 = cat(_T_319, _T_320) @[Cat.scala 29:58] node _T_322 = cat(_T_317, _T_318) @[Cat.scala 29:58] node _T_323 = cat(_T_322, _T_321) @[Cat.scala 29:58] - node _T_324 = mux(_T_315, _T_316, _T_323) @[dec_decode_ctl.scala 385:26] - i0_br_offset <= _T_324 @[dec_decode_ctl.scala 385:20] - node _T_325 = and(i0_dp_raw.jal, i0_dp_raw.imm12) @[dec_decode_ctl.scala 387:37] - node _T_326 = eq(i0r.rd, UInt<5>("h00")) @[dec_decode_ctl.scala 387:65] - node _T_327 = and(_T_325, _T_326) @[dec_decode_ctl.scala 387:55] - node _T_328 = eq(i0r.rs1, UInt<5>("h01")) @[dec_decode_ctl.scala 387:89] - node _T_329 = eq(i0r.rs1, UInt<5>("h05")) @[dec_decode_ctl.scala 387:111] - node _T_330 = or(_T_328, _T_329) @[dec_decode_ctl.scala 387:101] - node i0_pret_case = and(_T_327, _T_330) @[dec_decode_ctl.scala 387:79] - node _T_331 = and(i0_dp_raw.jal, i0_pret_case) @[dec_decode_ctl.scala 388:32] - i0_pret_raw <= _T_331 @[dec_decode_ctl.scala 388:15] - node _T_332 = and(i0_dp.jal, i0_pret_case) @[dec_decode_ctl.scala 389:32] - i0_pret <= _T_332 @[dec_decode_ctl.scala 389:15] - node _T_333 = eq(i0_pcall_case, UInt<1>("h00")) @[dec_decode_ctl.scala 390:35] - node _T_334 = and(i0_dp.jal, _T_333) @[dec_decode_ctl.scala 390:32] - node _T_335 = eq(i0_pja_case, UInt<1>("h00")) @[dec_decode_ctl.scala 390:52] - node _T_336 = and(_T_334, _T_335) @[dec_decode_ctl.scala 390:50] - node _T_337 = eq(i0_pret_case, UInt<1>("h00")) @[dec_decode_ctl.scala 390:67] - node _T_338 = and(_T_336, _T_337) @[dec_decode_ctl.scala 390:65] - i0_jal <= _T_338 @[dec_decode_ctl.scala 390:15] - io.dec_div.div_p.valid <= div_decode_d @[dec_decode_ctl.scala 393:29] - io.dec_div.div_p.bits.unsign <= i0_dp.unsign @[dec_decode_ctl.scala 394:34] - io.dec_div.div_p.bits.rem <= i0_dp.rem @[dec_decode_ctl.scala 395:34] - io.decode_exu.mul_p.valid <= mul_decode_d @[dec_decode_ctl.scala 397:32] - io.decode_exu.mul_p.bits.rs1_sign <= i0_dp.rs1_sign @[dec_decode_ctl.scala 398:37] - io.decode_exu.mul_p.bits.rs2_sign <= i0_dp.rs2_sign @[dec_decode_ctl.scala 399:37] - io.decode_exu.mul_p.bits.low <= i0_dp.low @[dec_decode_ctl.scala 400:37] - reg _T_339 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 402:69] - _T_339 <= io.dec_tlu_flush_extint @[dec_decode_ctl.scala 402:69] - io.decode_exu.dec_extint_stall <= _T_339 @[dec_decode_ctl.scala 402:34] - wire _T_340 : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}} @[dec_decode_ctl.scala 404:27] - _T_340.bits.store_data_bypass_m <= UInt<1>("h00") @[dec_decode_ctl.scala 404:27] - _T_340.bits.load_ldst_bypass_d <= UInt<1>("h00") @[dec_decode_ctl.scala 404:27] - _T_340.bits.store_data_bypass_d <= UInt<1>("h00") @[dec_decode_ctl.scala 404:27] - _T_340.bits.dma <= UInt<1>("h00") @[dec_decode_ctl.scala 404:27] - _T_340.bits.unsign <= UInt<1>("h00") @[dec_decode_ctl.scala 404:27] - _T_340.bits.store <= UInt<1>("h00") @[dec_decode_ctl.scala 404:27] - _T_340.bits.load <= UInt<1>("h00") @[dec_decode_ctl.scala 404:27] - _T_340.bits.dword <= UInt<1>("h00") @[dec_decode_ctl.scala 404:27] - _T_340.bits.word <= UInt<1>("h00") @[dec_decode_ctl.scala 404:27] - _T_340.bits.half <= UInt<1>("h00") @[dec_decode_ctl.scala 404:27] - _T_340.bits.by <= UInt<1>("h00") @[dec_decode_ctl.scala 404:27] - _T_340.bits.fast_int <= UInt<1>("h00") @[dec_decode_ctl.scala 404:27] - _T_340.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 404:27] - io.lsu_p.bits.store_data_bypass_m <= _T_340.bits.store_data_bypass_m @[dec_decode_ctl.scala 404:12] - io.lsu_p.bits.load_ldst_bypass_d <= _T_340.bits.load_ldst_bypass_d @[dec_decode_ctl.scala 404:12] - io.lsu_p.bits.store_data_bypass_d <= _T_340.bits.store_data_bypass_d @[dec_decode_ctl.scala 404:12] - io.lsu_p.bits.dma <= _T_340.bits.dma @[dec_decode_ctl.scala 404:12] - io.lsu_p.bits.unsign <= _T_340.bits.unsign @[dec_decode_ctl.scala 404:12] - io.lsu_p.bits.store <= _T_340.bits.store @[dec_decode_ctl.scala 404:12] - io.lsu_p.bits.load <= _T_340.bits.load @[dec_decode_ctl.scala 404:12] - io.lsu_p.bits.dword <= _T_340.bits.dword @[dec_decode_ctl.scala 404:12] - io.lsu_p.bits.word <= _T_340.bits.word @[dec_decode_ctl.scala 404:12] - io.lsu_p.bits.half <= _T_340.bits.half @[dec_decode_ctl.scala 404:12] - io.lsu_p.bits.by <= _T_340.bits.by @[dec_decode_ctl.scala 404:12] - io.lsu_p.bits.fast_int <= _T_340.bits.fast_int @[dec_decode_ctl.scala 404:12] - io.lsu_p.valid <= _T_340.valid @[dec_decode_ctl.scala 404:12] - when io.decode_exu.dec_extint_stall : @[dec_decode_ctl.scala 405:40] - io.lsu_p.bits.load <= UInt<1>("h01") @[dec_decode_ctl.scala 406:29] - io.lsu_p.bits.word <= UInt<1>("h01") @[dec_decode_ctl.scala 407:29] - io.lsu_p.bits.fast_int <= UInt<1>("h01") @[dec_decode_ctl.scala 408:29] - io.lsu_p.valid <= UInt<1>("h01") @[dec_decode_ctl.scala 409:24] - skip @[dec_decode_ctl.scala 405:40] - else : @[dec_decode_ctl.scala 410:15] - io.lsu_p.valid <= lsu_decode_d @[dec_decode_ctl.scala 411:35] - io.lsu_p.bits.load <= i0_dp.load @[dec_decode_ctl.scala 412:40] - io.lsu_p.bits.store <= i0_dp.store @[dec_decode_ctl.scala 413:40] - io.lsu_p.bits.by <= i0_dp.by @[dec_decode_ctl.scala 414:40] - io.lsu_p.bits.half <= i0_dp.half @[dec_decode_ctl.scala 415:40] - io.lsu_p.bits.word <= i0_dp.word @[dec_decode_ctl.scala 416:40] - io.lsu_p.bits.load_ldst_bypass_d <= load_ldst_bypass_d @[dec_decode_ctl.scala 417:40] - io.lsu_p.bits.store_data_bypass_d <= store_data_bypass_d @[dec_decode_ctl.scala 418:40] - io.lsu_p.bits.store_data_bypass_m <= store_data_bypass_m @[dec_decode_ctl.scala 419:40] - io.lsu_p.bits.unsign <= i0_dp.unsign @[dec_decode_ctl.scala 420:40] - skip @[dec_decode_ctl.scala 410:15] - io.dec_alu.dec_csr_ren_d <= i0_dp.csr_read @[dec_decode_ctl.scala 424:29] - node _T_341 = bits(i0_legal_decode_d, 0, 0) @[dec_decode_ctl.scala 425:56] - node _T_342 = and(i0_dp.csr_read, _T_341) @[dec_decode_ctl.scala 425:36] - csr_read <= _T_342 @[dec_decode_ctl.scala 425:18] - node _T_343 = eq(io.dec_debug_fence_d, UInt<1>("h00")) @[dec_decode_ctl.scala 427:42] - node i0_csr_write = and(i0_dp.csr_write, _T_343) @[dec_decode_ctl.scala 427:40] - node _T_344 = bits(i0_legal_decode_d, 0, 0) @[dec_decode_ctl.scala 428:61] - node csr_clr_d = and(i0_dp.csr_clr, _T_344) @[dec_decode_ctl.scala 428:41] - node _T_345 = bits(i0_legal_decode_d, 0, 0) @[dec_decode_ctl.scala 429:59] - node csr_set_d = and(i0_dp.csr_set, _T_345) @[dec_decode_ctl.scala 429:39] - node _T_346 = bits(i0_legal_decode_d, 0, 0) @[dec_decode_ctl.scala 430:59] - node csr_write_d = and(i0_csr_write, _T_346) @[dec_decode_ctl.scala 430:39] - node _T_347 = eq(i0_dp.csr_read, UInt<1>("h00")) @[dec_decode_ctl.scala 432:41] - node _T_348 = and(i0_csr_write, _T_347) @[dec_decode_ctl.scala 432:39] - i0_csr_write_only_d <= _T_348 @[dec_decode_ctl.scala 432:23] - node _T_349 = or(i0_dp.csr_clr, i0_dp.csr_set) @[dec_decode_ctl.scala 433:42] - node _T_350 = or(_T_349, i0_csr_write) @[dec_decode_ctl.scala 433:58] - io.dec_csr_wen_unq_d <= _T_350 @[dec_decode_ctl.scala 433:24] - node _T_351 = bits(io.dec_i0_instr_d, 31, 20) @[dec_decode_ctl.scala 436:30] - io.dec_csr_rdaddr_d <= _T_351 @[dec_decode_ctl.scala 436:24] - io.dec_csr_wraddr_r <= r_d.bits.csrwaddr @[dec_decode_ctl.scala 437:23] - node _T_352 = and(r_d.bits.csrwen, r_d.valid) @[dec_decode_ctl.scala 441:39] - node _T_353 = eq(io.dec_tlu_i0_kill_writeb_r, UInt<1>("h00")) @[dec_decode_ctl.scala 441:53] - node _T_354 = and(_T_352, _T_353) @[dec_decode_ctl.scala 441:51] - io.dec_csr_wen_r <= _T_354 @[dec_decode_ctl.scala 441:20] - node _T_355 = eq(r_d.bits.csrwaddr, UInt<10>("h0300")) @[dec_decode_ctl.scala 444:50] - node _T_356 = eq(r_d.bits.csrwaddr, UInt<10>("h0304")) @[dec_decode_ctl.scala 444:85] - node _T_357 = or(_T_355, _T_356) @[dec_decode_ctl.scala 444:64] - node _T_358 = and(_T_357, r_d.bits.csrwen) @[dec_decode_ctl.scala 444:100] - node _T_359 = and(_T_358, r_d.valid) @[dec_decode_ctl.scala 444:118] - node _T_360 = eq(io.dec_tlu_i0_kill_writeb_wb, UInt<1>("h00")) @[dec_decode_ctl.scala 444:132] - node _T_361 = and(_T_359, _T_360) @[dec_decode_ctl.scala 444:130] - io.dec_csr_stall_int_ff <= _T_361 @[dec_decode_ctl.scala 444:27] - reg csr_read_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 446:52] - csr_read_x <= csr_read @[dec_decode_ctl.scala 446:52] - reg csr_clr_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 447:51] - csr_clr_x <= csr_clr_d @[dec_decode_ctl.scala 447:51] - reg csr_set_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 448:51] - csr_set_x <= csr_set_d @[dec_decode_ctl.scala 448:51] - reg csr_write_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 449:53] - csr_write_x <= csr_write_d @[dec_decode_ctl.scala 449:53] - reg csr_imm_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 450:51] - csr_imm_x <= i0_dp.csr_imm @[dec_decode_ctl.scala 450:51] - node _T_362 = bits(io.dec_i0_instr_d, 19, 15) @[dec_decode_ctl.scala 453:27] - node _T_363 = bits(i0_x_data_en, 0, 0) @[dec_decode_ctl.scala 453:48] + node _T_324 = mux(_T_315, _T_316, _T_323) @[dec_decode_ctl.scala 379:26] + i0_br_offset <= _T_324 @[dec_decode_ctl.scala 379:20] + node _T_325 = and(i0_dp_raw.jal, i0_dp_raw.imm12) @[dec_decode_ctl.scala 381:37] + node _T_326 = eq(i0r.rd, UInt<5>("h00")) @[dec_decode_ctl.scala 381:65] + node _T_327 = and(_T_325, _T_326) @[dec_decode_ctl.scala 381:55] + node _T_328 = eq(i0r.rs1, UInt<5>("h01")) @[dec_decode_ctl.scala 381:89] + node _T_329 = eq(i0r.rs1, UInt<5>("h05")) @[dec_decode_ctl.scala 381:111] + node _T_330 = or(_T_328, _T_329) @[dec_decode_ctl.scala 381:101] + node i0_pret_case = and(_T_327, _T_330) @[dec_decode_ctl.scala 381:79] + node _T_331 = and(i0_dp_raw.jal, i0_pret_case) @[dec_decode_ctl.scala 382:32] + i0_pret_raw <= _T_331 @[dec_decode_ctl.scala 382:15] + node _T_332 = and(i0_dp.jal, i0_pret_case) @[dec_decode_ctl.scala 383:32] + i0_pret <= _T_332 @[dec_decode_ctl.scala 383:15] + node _T_333 = eq(i0_pcall_case, UInt<1>("h00")) @[dec_decode_ctl.scala 384:35] + node _T_334 = and(i0_dp.jal, _T_333) @[dec_decode_ctl.scala 384:32] + node _T_335 = eq(i0_pja_case, UInt<1>("h00")) @[dec_decode_ctl.scala 384:52] + node _T_336 = and(_T_334, _T_335) @[dec_decode_ctl.scala 384:50] + node _T_337 = eq(i0_pret_case, UInt<1>("h00")) @[dec_decode_ctl.scala 384:67] + node _T_338 = and(_T_336, _T_337) @[dec_decode_ctl.scala 384:65] + i0_jal <= _T_338 @[dec_decode_ctl.scala 384:15] + io.dec_div.div_p.valid <= div_decode_d @[dec_decode_ctl.scala 387:29] + io.dec_div.div_p.bits.unsign <= i0_dp.unsign @[dec_decode_ctl.scala 388:34] + io.dec_div.div_p.bits.rem <= i0_dp.rem @[dec_decode_ctl.scala 389:34] + io.decode_exu.mul_p.valid <= mul_decode_d @[dec_decode_ctl.scala 391:32] + io.decode_exu.mul_p.bits.rs1_sign <= i0_dp.rs1_sign @[dec_decode_ctl.scala 392:37] + io.decode_exu.mul_p.bits.rs2_sign <= i0_dp.rs2_sign @[dec_decode_ctl.scala 393:37] + io.decode_exu.mul_p.bits.low <= i0_dp.low @[dec_decode_ctl.scala 394:37] + reg _T_339 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 396:69] + _T_339 <= io.dec_tlu_flush_extint @[dec_decode_ctl.scala 396:69] + io.decode_exu.dec_extint_stall <= _T_339 @[dec_decode_ctl.scala 396:34] + wire _T_340 : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}} @[dec_decode_ctl.scala 398:27] + _T_340.bits.store_data_bypass_m <= UInt<1>("h00") @[dec_decode_ctl.scala 398:27] + _T_340.bits.load_ldst_bypass_d <= UInt<1>("h00") @[dec_decode_ctl.scala 398:27] + _T_340.bits.store_data_bypass_d <= UInt<1>("h00") @[dec_decode_ctl.scala 398:27] + _T_340.bits.dma <= UInt<1>("h00") @[dec_decode_ctl.scala 398:27] + _T_340.bits.unsign <= UInt<1>("h00") @[dec_decode_ctl.scala 398:27] + _T_340.bits.store <= UInt<1>("h00") @[dec_decode_ctl.scala 398:27] + _T_340.bits.load <= UInt<1>("h00") @[dec_decode_ctl.scala 398:27] + _T_340.bits.dword <= UInt<1>("h00") @[dec_decode_ctl.scala 398:27] + _T_340.bits.word <= UInt<1>("h00") @[dec_decode_ctl.scala 398:27] + _T_340.bits.half <= UInt<1>("h00") @[dec_decode_ctl.scala 398:27] + _T_340.bits.by <= UInt<1>("h00") @[dec_decode_ctl.scala 398:27] + _T_340.bits.fast_int <= UInt<1>("h00") @[dec_decode_ctl.scala 398:27] + _T_340.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 398:27] + io.lsu_p.bits.store_data_bypass_m <= _T_340.bits.store_data_bypass_m @[dec_decode_ctl.scala 398:12] + io.lsu_p.bits.load_ldst_bypass_d <= _T_340.bits.load_ldst_bypass_d @[dec_decode_ctl.scala 398:12] + io.lsu_p.bits.store_data_bypass_d <= _T_340.bits.store_data_bypass_d @[dec_decode_ctl.scala 398:12] + io.lsu_p.bits.dma <= _T_340.bits.dma @[dec_decode_ctl.scala 398:12] + io.lsu_p.bits.unsign <= _T_340.bits.unsign @[dec_decode_ctl.scala 398:12] + io.lsu_p.bits.store <= _T_340.bits.store @[dec_decode_ctl.scala 398:12] + io.lsu_p.bits.load <= _T_340.bits.load @[dec_decode_ctl.scala 398:12] + io.lsu_p.bits.dword <= _T_340.bits.dword @[dec_decode_ctl.scala 398:12] + io.lsu_p.bits.word <= _T_340.bits.word @[dec_decode_ctl.scala 398:12] + io.lsu_p.bits.half <= _T_340.bits.half @[dec_decode_ctl.scala 398:12] + io.lsu_p.bits.by <= _T_340.bits.by @[dec_decode_ctl.scala 398:12] + io.lsu_p.bits.fast_int <= _T_340.bits.fast_int @[dec_decode_ctl.scala 398:12] + io.lsu_p.valid <= _T_340.valid @[dec_decode_ctl.scala 398:12] + when io.decode_exu.dec_extint_stall : @[dec_decode_ctl.scala 399:40] + io.lsu_p.bits.load <= UInt<1>("h01") @[dec_decode_ctl.scala 400:29] + io.lsu_p.bits.word <= UInt<1>("h01") @[dec_decode_ctl.scala 401:29] + io.lsu_p.bits.fast_int <= UInt<1>("h01") @[dec_decode_ctl.scala 402:29] + io.lsu_p.valid <= UInt<1>("h01") @[dec_decode_ctl.scala 403:24] + skip @[dec_decode_ctl.scala 399:40] + else : @[dec_decode_ctl.scala 404:15] + io.lsu_p.valid <= lsu_decode_d @[dec_decode_ctl.scala 405:35] + io.lsu_p.bits.load <= i0_dp.load @[dec_decode_ctl.scala 406:40] + io.lsu_p.bits.store <= i0_dp.store @[dec_decode_ctl.scala 407:40] + io.lsu_p.bits.by <= i0_dp.by @[dec_decode_ctl.scala 408:40] + io.lsu_p.bits.half <= i0_dp.half @[dec_decode_ctl.scala 409:40] + io.lsu_p.bits.word <= i0_dp.word @[dec_decode_ctl.scala 410:40] + io.lsu_p.bits.load_ldst_bypass_d <= load_ldst_bypass_d @[dec_decode_ctl.scala 411:40] + io.lsu_p.bits.store_data_bypass_d <= store_data_bypass_d @[dec_decode_ctl.scala 412:40] + io.lsu_p.bits.store_data_bypass_m <= store_data_bypass_m @[dec_decode_ctl.scala 413:40] + io.lsu_p.bits.unsign <= i0_dp.unsign @[dec_decode_ctl.scala 414:40] + skip @[dec_decode_ctl.scala 404:15] + io.dec_alu.dec_csr_ren_d <= i0_dp.csr_read @[dec_decode_ctl.scala 418:29] + node _T_341 = bits(i0_legal_decode_d, 0, 0) @[dec_decode_ctl.scala 419:56] + node _T_342 = and(i0_dp.csr_read, _T_341) @[dec_decode_ctl.scala 419:36] + csr_read <= _T_342 @[dec_decode_ctl.scala 419:18] + node _T_343 = eq(io.dec_debug_fence_d, UInt<1>("h00")) @[dec_decode_ctl.scala 421:42] + node i0_csr_write = and(i0_dp.csr_write, _T_343) @[dec_decode_ctl.scala 421:40] + node _T_344 = bits(i0_legal_decode_d, 0, 0) @[dec_decode_ctl.scala 422:61] + node csr_clr_d = and(i0_dp.csr_clr, _T_344) @[dec_decode_ctl.scala 422:41] + node _T_345 = bits(i0_legal_decode_d, 0, 0) @[dec_decode_ctl.scala 423:59] + node csr_set_d = and(i0_dp.csr_set, _T_345) @[dec_decode_ctl.scala 423:39] + node _T_346 = bits(i0_legal_decode_d, 0, 0) @[dec_decode_ctl.scala 424:59] + node csr_write_d = and(i0_csr_write, _T_346) @[dec_decode_ctl.scala 424:39] + node _T_347 = eq(i0_dp.csr_read, UInt<1>("h00")) @[dec_decode_ctl.scala 426:41] + node _T_348 = and(i0_csr_write, _T_347) @[dec_decode_ctl.scala 426:39] + i0_csr_write_only_d <= _T_348 @[dec_decode_ctl.scala 426:23] + node _T_349 = or(i0_dp.csr_clr, i0_dp.csr_set) @[dec_decode_ctl.scala 427:42] + node _T_350 = or(_T_349, i0_csr_write) @[dec_decode_ctl.scala 427:58] + io.dec_csr_wen_unq_d <= _T_350 @[dec_decode_ctl.scala 427:24] + node _T_351 = bits(io.dec_i0_instr_d, 31, 20) @[dec_decode_ctl.scala 430:30] + io.dec_csr_rdaddr_d <= _T_351 @[dec_decode_ctl.scala 430:24] + io.dec_csr_wraddr_r <= r_d.bits.csrwaddr @[dec_decode_ctl.scala 431:23] + node _T_352 = and(r_d.bits.csrwen, r_d.valid) @[dec_decode_ctl.scala 435:39] + node _T_353 = eq(io.dec_tlu_i0_kill_writeb_r, UInt<1>("h00")) @[dec_decode_ctl.scala 435:53] + node _T_354 = and(_T_352, _T_353) @[dec_decode_ctl.scala 435:51] + io.dec_csr_wen_r <= _T_354 @[dec_decode_ctl.scala 435:20] + node _T_355 = eq(r_d.bits.csrwaddr, UInt<10>("h0300")) @[dec_decode_ctl.scala 438:50] + node _T_356 = eq(r_d.bits.csrwaddr, UInt<10>("h0304")) @[dec_decode_ctl.scala 438:85] + node _T_357 = or(_T_355, _T_356) @[dec_decode_ctl.scala 438:64] + node _T_358 = and(_T_357, r_d.bits.csrwen) @[dec_decode_ctl.scala 438:100] + node _T_359 = and(_T_358, r_d.valid) @[dec_decode_ctl.scala 438:118] + node _T_360 = eq(io.dec_tlu_i0_kill_writeb_wb, UInt<1>("h00")) @[dec_decode_ctl.scala 438:132] + node _T_361 = and(_T_359, _T_360) @[dec_decode_ctl.scala 438:130] + io.dec_csr_stall_int_ff <= _T_361 @[dec_decode_ctl.scala 438:27] + reg csr_read_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 440:52] + csr_read_x <= csr_read @[dec_decode_ctl.scala 440:52] + reg csr_clr_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 441:51] + csr_clr_x <= csr_clr_d @[dec_decode_ctl.scala 441:51] + reg csr_set_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 442:51] + csr_set_x <= csr_set_d @[dec_decode_ctl.scala 442:51] + reg csr_write_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 443:53] + csr_write_x <= csr_write_d @[dec_decode_ctl.scala 443:53] + reg csr_imm_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 444:51] + csr_imm_x <= i0_dp.csr_imm @[dec_decode_ctl.scala 444:51] + node _T_362 = bits(io.dec_i0_instr_d, 19, 15) @[dec_decode_ctl.scala 447:27] + node _T_363 = bits(i0_x_data_en, 0, 0) @[dec_decode_ctl.scala 447:48] inst rvclkhdr_1 of rvclkhdr_662 @[lib.scala 368:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset @@ -67908,7 +67906,7 @@ circuit quasar : rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg csrimm_x : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] csrimm_x <= _T_362 @[lib.scala 374:16] - node _T_364 = bits(i0_x_data_en, 0, 0) @[dec_decode_ctl.scala 454:62] + node _T_364 = bits(i0_x_data_en, 0, 0) @[dec_decode_ctl.scala 448:62] inst rvclkhdr_2 of rvclkhdr_663 @[lib.scala 368:23] rvclkhdr_2.clock <= clock rvclkhdr_2.reset <= reset @@ -67917,7 +67915,7 @@ circuit quasar : rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg csr_rddata_x : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] csr_rddata_x <= io.dec_csr_rddata_d @[lib.scala 374:16] - node _T_365 = bits(csr_imm_x, 0, 0) @[dec_decode_ctl.scala 457:15] + node _T_365 = bits(csr_imm_x, 0, 0) @[dec_decode_ctl.scala 451:15] wire _T_366 : UInt<1>[27] @[lib.scala 12:48] _T_366[0] <= UInt<1>("h00") @[lib.scala 12:48] _T_366[1] <= UInt<1>("h00") @[lib.scala 12:48] @@ -67972,18 +67970,18 @@ circuit quasar : node _T_390 = cat(_T_389, _T_366[24]) @[Cat.scala 29:58] node _T_391 = cat(_T_390, _T_366[25]) @[Cat.scala 29:58] node _T_392 = cat(_T_391, _T_366[26]) @[Cat.scala 29:58] - node _T_393 = bits(csrimm_x, 4, 0) @[dec_decode_ctl.scala 457:53] + node _T_393 = bits(csrimm_x, 4, 0) @[dec_decode_ctl.scala 451:53] node _T_394 = cat(_T_392, _T_393) @[Cat.scala 29:58] - node _T_395 = bits(csr_imm_x, 0, 0) @[dec_decode_ctl.scala 458:16] - node _T_396 = eq(_T_395, UInt<1>("h00")) @[dec_decode_ctl.scala 458:5] + node _T_395 = bits(csr_imm_x, 0, 0) @[dec_decode_ctl.scala 452:16] + node _T_396 = eq(_T_395, UInt<1>("h00")) @[dec_decode_ctl.scala 452:5] node _T_397 = mux(_T_365, _T_394, UInt<1>("h00")) @[Mux.scala 27:72] node _T_398 = mux(_T_396, io.decode_exu.exu_csr_rs1_x, UInt<1>("h00")) @[Mux.scala 27:72] node _T_399 = or(_T_397, _T_398) @[Mux.scala 27:72] wire csr_mask_x : UInt<32> @[Mux.scala 27:72] csr_mask_x <= _T_399 @[Mux.scala 27:72] - node _T_400 = not(csr_mask_x) @[dec_decode_ctl.scala 461:38] - node _T_401 = and(csr_rddata_x, _T_400) @[dec_decode_ctl.scala 461:35] - node _T_402 = or(csr_rddata_x, csr_mask_x) @[dec_decode_ctl.scala 462:35] + node _T_400 = not(csr_mask_x) @[dec_decode_ctl.scala 455:38] + node _T_401 = and(csr_rddata_x, _T_400) @[dec_decode_ctl.scala 455:35] + node _T_402 = or(csr_rddata_x, csr_mask_x) @[dec_decode_ctl.scala 456:35] node _T_403 = mux(csr_clr_x, _T_401, UInt<1>("h00")) @[Mux.scala 27:72] node _T_404 = mux(csr_set_x, _T_402, UInt<1>("h00")) @[Mux.scala 27:72] node _T_405 = mux(csr_write_x, csr_mask_x, UInt<1>("h00")) @[Mux.scala 27:72] @@ -67991,42 +67989,42 @@ circuit quasar : node _T_407 = or(_T_406, _T_405) @[Mux.scala 27:72] wire write_csr_data_x : UInt @[Mux.scala 27:72] write_csr_data_x <= _T_407 @[Mux.scala 27:72] - node _T_408 = eq(io.dec_tlu_flush_pause_r, UInt<1>("h00")) @[dec_decode_ctl.scala 465:49] - node _T_409 = and(io.dec_tlu_flush_lower_r, _T_408) @[dec_decode_ctl.scala 465:47] + node _T_408 = eq(io.dec_tlu_flush_pause_r, UInt<1>("h00")) @[dec_decode_ctl.scala 459:49] + node _T_409 = and(io.dec_tlu_flush_lower_r, _T_408) @[dec_decode_ctl.scala 459:47] node _T_410 = mux(UInt<1>("h00"), UInt<31>("h07fffffff"), UInt<31>("h00")) @[Bitwise.scala 72:12] - node _T_411 = bits(write_csr_data, 0, 0) @[dec_decode_ctl.scala 465:145] + node _T_411 = bits(write_csr_data, 0, 0) @[dec_decode_ctl.scala 459:145] node _T_412 = cat(_T_410, _T_411) @[Cat.scala 29:58] - node _T_413 = eq(write_csr_data, _T_412) @[dec_decode_ctl.scala 465:109] - node _T_414 = and(pause_stall, _T_413) @[dec_decode_ctl.scala 465:91] - node clear_pause = or(_T_409, _T_414) @[dec_decode_ctl.scala 465:76] - node _T_415 = or(io.dec_tlu_wr_pause_r, pause_stall) @[dec_decode_ctl.scala 466:44] - node _T_416 = eq(clear_pause, UInt<1>("h00")) @[dec_decode_ctl.scala 466:61] - node _T_417 = and(_T_415, _T_416) @[dec_decode_ctl.scala 466:59] - pause_state_in <= _T_417 @[dec_decode_ctl.scala 466:18] - reg _T_418 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 467:50] - _T_418 <= pause_state_in @[dec_decode_ctl.scala 467:50] - pause_stall <= _T_418 @[dec_decode_ctl.scala 467:15] - io.dec_pause_state <= pause_stall @[dec_decode_ctl.scala 468:22] - reg _T_419 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 469:55] - _T_419 <= io.dec_tlu_wr_pause_r @[dec_decode_ctl.scala 469:55] - tlu_wr_pause_r1 <= _T_419 @[dec_decode_ctl.scala 469:19] - reg _T_420 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 470:55] - _T_420 <= tlu_wr_pause_r1 @[dec_decode_ctl.scala 470:55] - tlu_wr_pause_r2 <= _T_420 @[dec_decode_ctl.scala 470:19] - node _T_421 = eq(tlu_wr_pause_r1, UInt<1>("h00")) @[dec_decode_ctl.scala 472:44] - node _T_422 = eq(tlu_wr_pause_r2, UInt<1>("h00")) @[dec_decode_ctl.scala 472:64] - node _T_423 = and(_T_421, _T_422) @[dec_decode_ctl.scala 472:61] - node _T_424 = and(pause_stall, _T_423) @[dec_decode_ctl.scala 472:41] - io.dec_pause_state_cg <= _T_424 @[dec_decode_ctl.scala 472:25] - node _T_425 = sub(write_csr_data, UInt<32>("h01")) @[dec_decode_ctl.scala 475:59] - node _T_426 = tail(_T_425, 1) @[dec_decode_ctl.scala 475:59] - node _T_427 = mux(io.dec_tlu_wr_pause_r, io.dec_csr_wrdata_r, write_csr_data_x) @[dec_decode_ctl.scala 476:8] - node write_csr_data_in = mux(pause_stall, _T_426, _T_427) @[dec_decode_ctl.scala 475:30] - node _T_428 = or(csr_clr_x, csr_set_x) @[dec_decode_ctl.scala 477:34] - node _T_429 = or(_T_428, csr_write_x) @[dec_decode_ctl.scala 477:46] - node _T_430 = and(_T_429, csr_read_x) @[dec_decode_ctl.scala 477:61] - node _T_431 = or(_T_430, io.dec_tlu_wr_pause_r) @[dec_decode_ctl.scala 477:75] - node csr_data_wen = or(_T_431, pause_stall) @[dec_decode_ctl.scala 477:99] + node _T_413 = eq(write_csr_data, _T_412) @[dec_decode_ctl.scala 459:109] + node _T_414 = and(pause_stall, _T_413) @[dec_decode_ctl.scala 459:91] + node clear_pause = or(_T_409, _T_414) @[dec_decode_ctl.scala 459:76] + node _T_415 = or(io.dec_tlu_wr_pause_r, pause_stall) @[dec_decode_ctl.scala 460:44] + node _T_416 = eq(clear_pause, UInt<1>("h00")) @[dec_decode_ctl.scala 460:61] + node _T_417 = and(_T_415, _T_416) @[dec_decode_ctl.scala 460:59] + pause_state_in <= _T_417 @[dec_decode_ctl.scala 460:18] + reg _T_418 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 461:50] + _T_418 <= pause_state_in @[dec_decode_ctl.scala 461:50] + pause_stall <= _T_418 @[dec_decode_ctl.scala 461:15] + io.dec_pause_state <= pause_stall @[dec_decode_ctl.scala 462:22] + reg _T_419 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 463:55] + _T_419 <= io.dec_tlu_wr_pause_r @[dec_decode_ctl.scala 463:55] + tlu_wr_pause_r1 <= _T_419 @[dec_decode_ctl.scala 463:19] + reg _T_420 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 464:55] + _T_420 <= tlu_wr_pause_r1 @[dec_decode_ctl.scala 464:55] + tlu_wr_pause_r2 <= _T_420 @[dec_decode_ctl.scala 464:19] + node _T_421 = eq(tlu_wr_pause_r1, UInt<1>("h00")) @[dec_decode_ctl.scala 466:44] + node _T_422 = eq(tlu_wr_pause_r2, UInt<1>("h00")) @[dec_decode_ctl.scala 466:64] + node _T_423 = and(_T_421, _T_422) @[dec_decode_ctl.scala 466:61] + node _T_424 = and(pause_stall, _T_423) @[dec_decode_ctl.scala 466:41] + io.dec_pause_state_cg <= _T_424 @[dec_decode_ctl.scala 466:25] + node _T_425 = sub(write_csr_data, UInt<32>("h01")) @[dec_decode_ctl.scala 469:59] + node _T_426 = tail(_T_425, 1) @[dec_decode_ctl.scala 469:59] + node _T_427 = mux(io.dec_tlu_wr_pause_r, io.dec_csr_wrdata_r, write_csr_data_x) @[dec_decode_ctl.scala 470:8] + node write_csr_data_in = mux(pause_stall, _T_426, _T_427) @[dec_decode_ctl.scala 469:30] + node _T_428 = or(csr_clr_x, csr_set_x) @[dec_decode_ctl.scala 471:34] + node _T_429 = or(_T_428, csr_write_x) @[dec_decode_ctl.scala 471:46] + node _T_430 = and(_T_429, csr_read_x) @[dec_decode_ctl.scala 471:61] + node _T_431 = or(_T_430, io.dec_tlu_wr_pause_r) @[dec_decode_ctl.scala 471:75] + node csr_data_wen = or(_T_431, pause_stall) @[dec_decode_ctl.scala 471:99] inst rvclkhdr_3 of rvclkhdr_664 @[lib.scala 368:23] rvclkhdr_3.clock <= clock rvclkhdr_3.reset <= reset @@ -68035,33 +68033,33 @@ circuit quasar : rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_432 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_432 <= write_csr_data_in @[lib.scala 374:16] - write_csr_data <= _T_432 @[dec_decode_ctl.scala 478:18] - node _T_433 = bits(r_d.bits.csrwonly, 0, 0) @[dec_decode_ctl.scala 484:49] - node _T_434 = mux(_T_433, i0_result_corr_r, write_csr_data) @[dec_decode_ctl.scala 484:30] - io.dec_csr_wrdata_r <= _T_434 @[dec_decode_ctl.scala 484:24] - node _T_435 = or(x_d.bits.csrwonly, r_d.bits.csrwonly) @[dec_decode_ctl.scala 486:43] - node prior_csr_write = or(_T_435, wbd.bits.csrwonly) @[dec_decode_ctl.scala 486:63] - node _T_436 = bits(io.dbg_dctl.dbg_cmd_wrdata, 0, 0) @[dec_decode_ctl.scala 488:76] - node debug_fence_i = and(io.dec_debug_fence_d, _T_436) @[dec_decode_ctl.scala 488:48] - node _T_437 = bits(io.dbg_dctl.dbg_cmd_wrdata, 1, 1) @[dec_decode_ctl.scala 489:76] - node debug_fence_raw = and(io.dec_debug_fence_d, _T_437) @[dec_decode_ctl.scala 489:48] - node _T_438 = or(debug_fence_raw, debug_fence_i) @[dec_decode_ctl.scala 490:40] - debug_fence <= _T_438 @[dec_decode_ctl.scala 490:21] - node _T_439 = or(i0_dp.presync, io.dec_tlu_presync_d) @[dec_decode_ctl.scala 493:34] - node _T_440 = or(_T_439, debug_fence_i) @[dec_decode_ctl.scala 493:57] - node _T_441 = or(_T_440, debug_fence_raw) @[dec_decode_ctl.scala 493:73] - node i0_presync = or(_T_441, io.dec_tlu_pipelining_disable) @[dec_decode_ctl.scala 493:91] - node _T_442 = or(i0_dp.postsync, io.dec_tlu_postsync_d) @[dec_decode_ctl.scala 496:36] - node _T_443 = or(_T_442, debug_fence_i) @[dec_decode_ctl.scala 496:60] - node _T_444 = bits(io.dec_i0_instr_d, 31, 20) @[dec_decode_ctl.scala 496:104] - node _T_445 = eq(_T_444, UInt<11>("h07c2")) @[dec_decode_ctl.scala 496:112] - node _T_446 = and(i0_csr_write_only_d, _T_445) @[dec_decode_ctl.scala 496:99] - node i0_postsync = or(_T_443, _T_446) @[dec_decode_ctl.scala 496:76] - node any_csr_d = or(i0_dp.csr_read, i0_csr_write) @[dec_decode_ctl.scala 498:34] - io.dec_csr_any_unq_d <= any_csr_d @[dec_decode_ctl.scala 499:24] - node _T_447 = eq(any_csr_d, UInt<1>("h00")) @[dec_decode_ctl.scala 500:40] - node _T_448 = or(_T_447, io.dec_csr_legal_d) @[dec_decode_ctl.scala 500:51] - node i0_legal = and(i0_dp.legal, _T_448) @[dec_decode_ctl.scala 500:37] + write_csr_data <= _T_432 @[dec_decode_ctl.scala 472:18] + node _T_433 = bits(r_d.bits.csrwonly, 0, 0) @[dec_decode_ctl.scala 478:49] + node _T_434 = mux(_T_433, i0_result_corr_r, write_csr_data) @[dec_decode_ctl.scala 478:30] + io.dec_csr_wrdata_r <= _T_434 @[dec_decode_ctl.scala 478:24] + node _T_435 = or(x_d.bits.csrwonly, r_d.bits.csrwonly) @[dec_decode_ctl.scala 480:43] + node prior_csr_write = or(_T_435, wbd.bits.csrwonly) @[dec_decode_ctl.scala 480:63] + node _T_436 = bits(io.dbg_dctl.dbg_cmd_wrdata, 0, 0) @[dec_decode_ctl.scala 482:76] + node debug_fence_i = and(io.dec_debug_fence_d, _T_436) @[dec_decode_ctl.scala 482:48] + node _T_437 = bits(io.dbg_dctl.dbg_cmd_wrdata, 1, 1) @[dec_decode_ctl.scala 483:76] + node debug_fence_raw = and(io.dec_debug_fence_d, _T_437) @[dec_decode_ctl.scala 483:48] + node _T_438 = or(debug_fence_raw, debug_fence_i) @[dec_decode_ctl.scala 484:40] + debug_fence <= _T_438 @[dec_decode_ctl.scala 484:21] + node _T_439 = or(i0_dp.presync, io.dec_tlu_presync_d) @[dec_decode_ctl.scala 487:34] + node _T_440 = or(_T_439, debug_fence_i) @[dec_decode_ctl.scala 487:57] + node _T_441 = or(_T_440, debug_fence_raw) @[dec_decode_ctl.scala 487:73] + node i0_presync = or(_T_441, io.dec_tlu_pipelining_disable) @[dec_decode_ctl.scala 487:91] + node _T_442 = or(i0_dp.postsync, io.dec_tlu_postsync_d) @[dec_decode_ctl.scala 490:36] + node _T_443 = or(_T_442, debug_fence_i) @[dec_decode_ctl.scala 490:60] + node _T_444 = bits(io.dec_i0_instr_d, 31, 20) @[dec_decode_ctl.scala 490:104] + node _T_445 = eq(_T_444, UInt<11>("h07c2")) @[dec_decode_ctl.scala 490:112] + node _T_446 = and(i0_csr_write_only_d, _T_445) @[dec_decode_ctl.scala 490:99] + node i0_postsync = or(_T_443, _T_446) @[dec_decode_ctl.scala 490:76] + node any_csr_d = or(i0_dp.csr_read, i0_csr_write) @[dec_decode_ctl.scala 492:34] + io.dec_csr_any_unq_d <= any_csr_d @[dec_decode_ctl.scala 493:24] + node _T_447 = eq(any_csr_d, UInt<1>("h00")) @[dec_decode_ctl.scala 494:40] + node _T_448 = or(_T_447, io.dec_csr_legal_d) @[dec_decode_ctl.scala 494:51] + node i0_legal = and(i0_dp.legal, _T_448) @[dec_decode_ctl.scala 494:37] wire _T_449 : UInt<1>[16] @[lib.scala 12:48] _T_449[0] <= UInt<1>("h00") @[lib.scala 12:48] _T_449[1] <= UInt<1>("h00") @[lib.scala 12:48] @@ -68095,11 +68093,11 @@ circuit quasar : node _T_463 = cat(_T_462, _T_449[14]) @[Cat.scala 29:58] node _T_464 = cat(_T_463, _T_449[15]) @[Cat.scala 29:58] node _T_465 = cat(_T_464, io.dec_aln.ifu_i0_cinst) @[Cat.scala 29:58] - node i0_inst_d = mux(io.dec_i0_pc4_d, io.dec_i0_instr_d, _T_465) @[dec_decode_ctl.scala 501:27] - node _T_466 = eq(i0_legal, UInt<1>("h00")) @[dec_decode_ctl.scala 504:57] - node shift_illegal = and(io.dec_aln.dec_i0_decode_d, _T_466) @[dec_decode_ctl.scala 504:55] - node _T_467 = eq(illegal_lockout, UInt<1>("h00")) @[dec_decode_ctl.scala 505:44] - node illegal_inst_en = and(shift_illegal, _T_467) @[dec_decode_ctl.scala 505:42] + node i0_inst_d = mux(io.dec_i0_pc4_d, io.dec_i0_instr_d, _T_465) @[dec_decode_ctl.scala 495:27] + node _T_466 = eq(i0_legal, UInt<1>("h00")) @[dec_decode_ctl.scala 498:57] + node shift_illegal = and(io.dec_aln.dec_i0_decode_d, _T_466) @[dec_decode_ctl.scala 498:55] + node _T_467 = eq(illegal_lockout, UInt<1>("h00")) @[dec_decode_ctl.scala 499:44] + node illegal_inst_en = and(shift_illegal, _T_467) @[dec_decode_ctl.scala 499:42] inst rvclkhdr_4 of rvclkhdr_665 @[lib.scala 368:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset @@ -68108,94 +68106,94 @@ circuit quasar : rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_468 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_468 <= i0_inst_d @[lib.scala 374:16] - io.dec_illegal_inst <= _T_468 @[dec_decode_ctl.scala 506:23] - node _T_469 = or(shift_illegal, illegal_lockout) @[dec_decode_ctl.scala 507:40] - node _T_470 = eq(flush_final_r, UInt<1>("h00")) @[dec_decode_ctl.scala 507:61] - node _T_471 = and(_T_469, _T_470) @[dec_decode_ctl.scala 507:59] - illegal_lockout_in <= _T_471 @[dec_decode_ctl.scala 507:22] - reg _T_472 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 508:54] - _T_472 <= illegal_lockout_in @[dec_decode_ctl.scala 508:54] - illegal_lockout <= _T_472 @[dec_decode_ctl.scala 508:19] - node i0_div_prior_div_stall = and(i0_dp.div, io.dec_div_active) @[dec_decode_ctl.scala 509:42] - node _T_473 = and(i0_dp.csr_read, prior_csr_write) @[dec_decode_ctl.scala 511:40] - node _T_474 = or(_T_473, io.decode_exu.dec_extint_stall) @[dec_decode_ctl.scala 511:59] - node _T_475 = or(_T_474, pause_stall) @[dec_decode_ctl.scala 511:92] - node _T_476 = or(_T_475, leak1_i0_stall) @[dec_decode_ctl.scala 511:106] - node _T_477 = or(_T_476, io.dec_tlu_debug_stall) @[dec_decode_ctl.scala 512:20] - node _T_478 = or(_T_477, postsync_stall) @[dec_decode_ctl.scala 512:45] - node _T_479 = or(_T_478, presync_stall) @[dec_decode_ctl.scala 512:62] - node _T_480 = or(i0_dp.fence, debug_fence) @[dec_decode_ctl.scala 513:19] - node _T_481 = eq(lsu_idle, UInt<1>("h00")) @[dec_decode_ctl.scala 513:36] - node _T_482 = and(_T_480, _T_481) @[dec_decode_ctl.scala 513:34] - node _T_483 = or(_T_479, _T_482) @[dec_decode_ctl.scala 512:79] - node _T_484 = or(_T_483, i0_nonblock_load_stall) @[dec_decode_ctl.scala 513:47] - node _T_485 = or(_T_484, i0_load_block_d) @[dec_decode_ctl.scala 513:72] - node _T_486 = or(_T_485, i0_nonblock_div_stall) @[dec_decode_ctl.scala 514:21] - node i0_block_raw_d = or(_T_486, i0_div_prior_div_stall) @[dec_decode_ctl.scala 514:45] - node _T_487 = or(io.lsu_store_stall_any, io.dctl_dma.dma_dccm_stall_any) @[dec_decode_ctl.scala 516:65] - node i0_store_stall_d = and(i0_dp.store, _T_487) @[dec_decode_ctl.scala 516:39] - node _T_488 = or(io.lsu_load_stall_any, io.dctl_dma.dma_dccm_stall_any) @[dec_decode_ctl.scala 517:63] - node i0_load_stall_d = and(i0_dp.load, _T_488) @[dec_decode_ctl.scala 517:38] - node _T_489 = or(i0_block_raw_d, i0_store_stall_d) @[dec_decode_ctl.scala 518:38] - node i0_block_d = or(_T_489, i0_load_stall_d) @[dec_decode_ctl.scala 518:57] - node _T_490 = eq(i0_block_d, UInt<1>("h00")) @[dec_decode_ctl.scala 522:54] - node _T_491 = and(io.dec_ib0_valid_d, _T_490) @[dec_decode_ctl.scala 522:52] - node _T_492 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[dec_decode_ctl.scala 522:71] - node _T_493 = and(_T_491, _T_492) @[dec_decode_ctl.scala 522:69] - node _T_494 = eq(flush_final_r, UInt<1>("h00")) @[dec_decode_ctl.scala 522:99] - node _T_495 = and(_T_493, _T_494) @[dec_decode_ctl.scala 522:97] - io.dec_aln.dec_i0_decode_d <= _T_495 @[dec_decode_ctl.scala 522:30] - node _T_496 = eq(i0_block_raw_d, UInt<1>("h00")) @[dec_decode_ctl.scala 523:46] - node _T_497 = and(io.dec_ib0_valid_d, _T_496) @[dec_decode_ctl.scala 523:44] - node _T_498 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[dec_decode_ctl.scala 523:63] - node _T_499 = and(_T_497, _T_498) @[dec_decode_ctl.scala 523:61] - node _T_500 = eq(flush_final_r, UInt<1>("h00")) @[dec_decode_ctl.scala 523:91] - node i0_exudecode_d = and(_T_499, _T_500) @[dec_decode_ctl.scala 523:89] - node i0_exulegal_decode_d = and(i0_exudecode_d, i0_legal) @[dec_decode_ctl.scala 524:46] - io.dec_pmu_instr_decoded <= io.dec_aln.dec_i0_decode_d @[dec_decode_ctl.scala 527:28] - node _T_501 = eq(io.dec_aln.dec_i0_decode_d, UInt<1>("h00")) @[dec_decode_ctl.scala 528:51] - node _T_502 = and(io.dec_ib0_valid_d, _T_501) @[dec_decode_ctl.scala 528:49] - io.dec_pmu_decode_stall <= _T_502 @[dec_decode_ctl.scala 528:27] - node _T_503 = bits(postsync_stall, 0, 0) @[dec_decode_ctl.scala 529:47] - io.dec_pmu_postsync_stall <= _T_503 @[dec_decode_ctl.scala 529:29] - node _T_504 = bits(presync_stall, 0, 0) @[dec_decode_ctl.scala 530:46] - io.dec_pmu_presync_stall <= _T_504 @[dec_decode_ctl.scala 530:29] - node prior_inflight = or(x_d.valid, r_d.valid) @[dec_decode_ctl.scala 534:41] - node prior_inflight_eff = mux(i0_dp.div, x_d.valid, prior_inflight) @[dec_decode_ctl.scala 535:31] - node _T_505 = and(i0_presync, prior_inflight_eff) @[dec_decode_ctl.scala 537:37] - presync_stall <= _T_505 @[dec_decode_ctl.scala 537:22] - reg _T_506 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 538:53] - _T_506 <= ps_stall_in @[dec_decode_ctl.scala 538:53] - postsync_stall <= _T_506 @[dec_decode_ctl.scala 538:18] - node _T_507 = eq(i0_legal, UInt<1>("h00")) @[dec_decode_ctl.scala 540:64] - node _T_508 = or(i0_postsync, _T_507) @[dec_decode_ctl.scala 540:62] - node _T_509 = and(io.dec_aln.dec_i0_decode_d, _T_508) @[dec_decode_ctl.scala 540:47] - node _T_510 = and(postsync_stall, x_d.valid) @[dec_decode_ctl.scala 540:96] - node _T_511 = or(_T_509, _T_510) @[dec_decode_ctl.scala 540:77] - ps_stall_in <= _T_511 @[dec_decode_ctl.scala 540:15] - node _T_512 = and(i0_exulegal_decode_d, i0_dp.alu) @[dec_decode_ctl.scala 542:58] - io.dec_alu.dec_i0_alu_decode_d <= _T_512 @[dec_decode_ctl.scala 542:34] - node _T_513 = and(i0_legal_decode_d, i0_dp.lsu) @[dec_decode_ctl.scala 544:40] - lsu_decode_d <= _T_513 @[dec_decode_ctl.scala 544:16] - node _T_514 = and(i0_exulegal_decode_d, i0_dp.mul) @[dec_decode_ctl.scala 545:40] - mul_decode_d <= _T_514 @[dec_decode_ctl.scala 545:16] - node _T_515 = and(i0_exulegal_decode_d, i0_dp.div) @[dec_decode_ctl.scala 546:40] - div_decode_d <= _T_515 @[dec_decode_ctl.scala 546:16] - node _T_516 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[dec_decode_ctl.scala 548:45] - node _T_517 = and(r_d.valid, _T_516) @[dec_decode_ctl.scala 548:43] - io.dec_tlu_i0_valid_r <= _T_517 @[dec_decode_ctl.scala 548:29] - d_t.legal <= i0_legal_decode_d @[dec_decode_ctl.scala 551:26] - node _T_518 = and(i0_icaf_d, i0_legal_decode_d) @[dec_decode_ctl.scala 552:40] - d_t.icaf <= _T_518 @[dec_decode_ctl.scala 552:26] - node _T_519 = and(io.dec_i0_icaf_f1_d, i0_legal_decode_d) @[dec_decode_ctl.scala 553:50] - d_t.icaf_f1 <= _T_519 @[dec_decode_ctl.scala 553:26] - d_t.icaf_type <= io.dec_i0_icaf_type_d @[dec_decode_ctl.scala 554:26] - node _T_520 = or(i0_dp.fence_i, debug_fence_i) @[dec_decode_ctl.scala 556:44] - node _T_521 = and(_T_520, i0_legal_decode_d) @[dec_decode_ctl.scala 556:61] - d_t.fence_i <= _T_521 @[dec_decode_ctl.scala 556:26] - d_t.pmu_i0_br_unpred <= i0_br_unpred @[dec_decode_ctl.scala 559:26] - d_t.pmu_divide <= UInt<1>("h00") @[dec_decode_ctl.scala 560:26] - d_t.pmu_lsu_misaligned <= UInt<1>("h00") @[dec_decode_ctl.scala 561:26] + io.dec_illegal_inst <= _T_468 @[dec_decode_ctl.scala 500:23] + node _T_469 = or(shift_illegal, illegal_lockout) @[dec_decode_ctl.scala 501:40] + node _T_470 = eq(flush_final_r, UInt<1>("h00")) @[dec_decode_ctl.scala 501:61] + node _T_471 = and(_T_469, _T_470) @[dec_decode_ctl.scala 501:59] + illegal_lockout_in <= _T_471 @[dec_decode_ctl.scala 501:22] + reg _T_472 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 502:54] + _T_472 <= illegal_lockout_in @[dec_decode_ctl.scala 502:54] + illegal_lockout <= _T_472 @[dec_decode_ctl.scala 502:19] + node i0_div_prior_div_stall = and(i0_dp.div, io.dec_div_active) @[dec_decode_ctl.scala 503:42] + node _T_473 = and(i0_dp.csr_read, prior_csr_write) @[dec_decode_ctl.scala 505:40] + node _T_474 = or(_T_473, io.decode_exu.dec_extint_stall) @[dec_decode_ctl.scala 505:59] + node _T_475 = or(_T_474, pause_stall) @[dec_decode_ctl.scala 505:92] + node _T_476 = or(_T_475, leak1_i0_stall) @[dec_decode_ctl.scala 505:106] + node _T_477 = or(_T_476, io.dec_tlu_debug_stall) @[dec_decode_ctl.scala 506:20] + node _T_478 = or(_T_477, postsync_stall) @[dec_decode_ctl.scala 506:45] + node _T_479 = or(_T_478, presync_stall) @[dec_decode_ctl.scala 506:62] + node _T_480 = or(i0_dp.fence, debug_fence) @[dec_decode_ctl.scala 507:19] + node _T_481 = eq(lsu_idle, UInt<1>("h00")) @[dec_decode_ctl.scala 507:36] + node _T_482 = and(_T_480, _T_481) @[dec_decode_ctl.scala 507:34] + node _T_483 = or(_T_479, _T_482) @[dec_decode_ctl.scala 506:79] + node _T_484 = or(_T_483, i0_nonblock_load_stall) @[dec_decode_ctl.scala 507:47] + node _T_485 = or(_T_484, i0_load_block_d) @[dec_decode_ctl.scala 507:72] + node _T_486 = or(_T_485, i0_nonblock_div_stall) @[dec_decode_ctl.scala 508:21] + node i0_block_raw_d = or(_T_486, i0_div_prior_div_stall) @[dec_decode_ctl.scala 508:45] + node _T_487 = or(io.lsu_store_stall_any, io.dctl_dma.dma_dccm_stall_any) @[dec_decode_ctl.scala 510:65] + node i0_store_stall_d = and(i0_dp.store, _T_487) @[dec_decode_ctl.scala 510:39] + node _T_488 = or(io.lsu_load_stall_any, io.dctl_dma.dma_dccm_stall_any) @[dec_decode_ctl.scala 511:63] + node i0_load_stall_d = and(i0_dp.load, _T_488) @[dec_decode_ctl.scala 511:38] + node _T_489 = or(i0_block_raw_d, i0_store_stall_d) @[dec_decode_ctl.scala 512:38] + node i0_block_d = or(_T_489, i0_load_stall_d) @[dec_decode_ctl.scala 512:57] + node _T_490 = eq(i0_block_d, UInt<1>("h00")) @[dec_decode_ctl.scala 516:54] + node _T_491 = and(io.dec_ib0_valid_d, _T_490) @[dec_decode_ctl.scala 516:52] + node _T_492 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[dec_decode_ctl.scala 516:71] + node _T_493 = and(_T_491, _T_492) @[dec_decode_ctl.scala 516:69] + node _T_494 = eq(flush_final_r, UInt<1>("h00")) @[dec_decode_ctl.scala 516:99] + node _T_495 = and(_T_493, _T_494) @[dec_decode_ctl.scala 516:97] + io.dec_aln.dec_i0_decode_d <= _T_495 @[dec_decode_ctl.scala 516:30] + node _T_496 = eq(i0_block_raw_d, UInt<1>("h00")) @[dec_decode_ctl.scala 517:46] + node _T_497 = and(io.dec_ib0_valid_d, _T_496) @[dec_decode_ctl.scala 517:44] + node _T_498 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[dec_decode_ctl.scala 517:63] + node _T_499 = and(_T_497, _T_498) @[dec_decode_ctl.scala 517:61] + node _T_500 = eq(flush_final_r, UInt<1>("h00")) @[dec_decode_ctl.scala 517:91] + node i0_exudecode_d = and(_T_499, _T_500) @[dec_decode_ctl.scala 517:89] + node i0_exulegal_decode_d = and(i0_exudecode_d, i0_legal) @[dec_decode_ctl.scala 518:46] + io.dec_pmu_instr_decoded <= io.dec_aln.dec_i0_decode_d @[dec_decode_ctl.scala 521:28] + node _T_501 = eq(io.dec_aln.dec_i0_decode_d, UInt<1>("h00")) @[dec_decode_ctl.scala 522:51] + node _T_502 = and(io.dec_ib0_valid_d, _T_501) @[dec_decode_ctl.scala 522:49] + io.dec_pmu_decode_stall <= _T_502 @[dec_decode_ctl.scala 522:27] + node _T_503 = bits(postsync_stall, 0, 0) @[dec_decode_ctl.scala 523:47] + io.dec_pmu_postsync_stall <= _T_503 @[dec_decode_ctl.scala 523:29] + node _T_504 = bits(presync_stall, 0, 0) @[dec_decode_ctl.scala 524:46] + io.dec_pmu_presync_stall <= _T_504 @[dec_decode_ctl.scala 524:29] + node prior_inflight = or(x_d.valid, r_d.valid) @[dec_decode_ctl.scala 528:41] + node prior_inflight_eff = mux(i0_dp.div, x_d.valid, prior_inflight) @[dec_decode_ctl.scala 529:31] + node _T_505 = and(i0_presync, prior_inflight_eff) @[dec_decode_ctl.scala 531:37] + presync_stall <= _T_505 @[dec_decode_ctl.scala 531:22] + reg _T_506 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 532:53] + _T_506 <= ps_stall_in @[dec_decode_ctl.scala 532:53] + postsync_stall <= _T_506 @[dec_decode_ctl.scala 532:18] + node _T_507 = eq(i0_legal, UInt<1>("h00")) @[dec_decode_ctl.scala 534:64] + node _T_508 = or(i0_postsync, _T_507) @[dec_decode_ctl.scala 534:62] + node _T_509 = and(io.dec_aln.dec_i0_decode_d, _T_508) @[dec_decode_ctl.scala 534:47] + node _T_510 = and(postsync_stall, x_d.valid) @[dec_decode_ctl.scala 534:96] + node _T_511 = or(_T_509, _T_510) @[dec_decode_ctl.scala 534:77] + ps_stall_in <= _T_511 @[dec_decode_ctl.scala 534:15] + node _T_512 = and(i0_exulegal_decode_d, i0_dp.alu) @[dec_decode_ctl.scala 536:58] + io.dec_alu.dec_i0_alu_decode_d <= _T_512 @[dec_decode_ctl.scala 536:34] + node _T_513 = and(i0_legal_decode_d, i0_dp.lsu) @[dec_decode_ctl.scala 538:40] + lsu_decode_d <= _T_513 @[dec_decode_ctl.scala 538:16] + node _T_514 = and(i0_exulegal_decode_d, i0_dp.mul) @[dec_decode_ctl.scala 539:40] + mul_decode_d <= _T_514 @[dec_decode_ctl.scala 539:16] + node _T_515 = and(i0_exulegal_decode_d, i0_dp.div) @[dec_decode_ctl.scala 540:40] + div_decode_d <= _T_515 @[dec_decode_ctl.scala 540:16] + node _T_516 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[dec_decode_ctl.scala 542:45] + node _T_517 = and(r_d.valid, _T_516) @[dec_decode_ctl.scala 542:43] + io.dec_tlu_i0_valid_r <= _T_517 @[dec_decode_ctl.scala 542:29] + d_t.legal <= i0_legal_decode_d @[dec_decode_ctl.scala 545:26] + node _T_518 = and(i0_icaf_d, i0_legal_decode_d) @[dec_decode_ctl.scala 546:40] + d_t.icaf <= _T_518 @[dec_decode_ctl.scala 546:26] + node _T_519 = and(io.dec_i0_icaf_f1_d, i0_legal_decode_d) @[dec_decode_ctl.scala 547:50] + d_t.icaf_f1 <= _T_519 @[dec_decode_ctl.scala 547:26] + d_t.icaf_type <= io.dec_i0_icaf_type_d @[dec_decode_ctl.scala 548:26] + node _T_520 = or(i0_dp.fence_i, debug_fence_i) @[dec_decode_ctl.scala 550:44] + node _T_521 = and(_T_520, i0_legal_decode_d) @[dec_decode_ctl.scala 550:61] + d_t.fence_i <= _T_521 @[dec_decode_ctl.scala 550:26] + d_t.pmu_i0_br_unpred <= i0_br_unpred @[dec_decode_ctl.scala 553:26] + d_t.pmu_divide <= UInt<1>("h00") @[dec_decode_ctl.scala 554:26] + d_t.pmu_lsu_misaligned <= UInt<1>("h00") @[dec_decode_ctl.scala 555:26] wire _T_522 : UInt<1>[4] @[lib.scala 12:48] _T_522[0] <= io.dec_aln.dec_i0_decode_d @[lib.scala 12:48] _T_522[1] <= io.dec_aln.dec_i0_decode_d @[lib.scala 12:48] @@ -68204,9 +68202,9 @@ circuit quasar : node _T_523 = cat(_T_522[0], _T_522[1]) @[Cat.scala 29:58] node _T_524 = cat(_T_523, _T_522[2]) @[Cat.scala 29:58] node _T_525 = cat(_T_524, _T_522[3]) @[Cat.scala 29:58] - node _T_526 = and(io.dec_i0_trigger_match_d, _T_525) @[dec_decode_ctl.scala 563:56] - d_t.i0trigger <= _T_526 @[dec_decode_ctl.scala 563:26] - node _T_527 = bits(i0_x_ctl_en, 0, 0) @[dec_decode_ctl.scala 566:33] + node _T_526 = and(io.dec_i0_trigger_match_d, _T_525) @[dec_decode_ctl.scala 557:56] + d_t.i0trigger <= _T_526 @[dec_decode_ctl.scala 557:26] + node _T_527 = bits(i0_x_ctl_en, 0, 0) @[dec_decode_ctl.scala 560:33] inst rvclkhdr_5 of rvclkhdr_666 @[lib.scala 378:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset @@ -68235,26 +68233,26 @@ circuit quasar : _T_529.icaf_f1 <= d_t.icaf_f1 @[lib.scala 384:16] _T_529.icaf <= d_t.icaf @[lib.scala 384:16] _T_529.legal <= d_t.legal @[lib.scala 384:16] - x_t.pmu_lsu_misaligned <= _T_529.pmu_lsu_misaligned @[dec_decode_ctl.scala 566:7] - x_t.pmu_divide <= _T_529.pmu_divide @[dec_decode_ctl.scala 566:7] - x_t.pmu_i0_br_unpred <= _T_529.pmu_i0_br_unpred @[dec_decode_ctl.scala 566:7] - x_t.pmu_i0_itype <= _T_529.pmu_i0_itype @[dec_decode_ctl.scala 566:7] - x_t.i0trigger <= _T_529.i0trigger @[dec_decode_ctl.scala 566:7] - x_t.fence_i <= _T_529.fence_i @[dec_decode_ctl.scala 566:7] - x_t.icaf_type <= _T_529.icaf_type @[dec_decode_ctl.scala 566:7] - x_t.icaf_f1 <= _T_529.icaf_f1 @[dec_decode_ctl.scala 566:7] - x_t.icaf <= _T_529.icaf @[dec_decode_ctl.scala 566:7] - x_t.legal <= _T_529.legal @[dec_decode_ctl.scala 566:7] - x_t_in.pmu_lsu_misaligned <= x_t.pmu_lsu_misaligned @[dec_decode_ctl.scala 568:10] - x_t_in.pmu_divide <= x_t.pmu_divide @[dec_decode_ctl.scala 568:10] - x_t_in.pmu_i0_br_unpred <= x_t.pmu_i0_br_unpred @[dec_decode_ctl.scala 568:10] - x_t_in.pmu_i0_itype <= x_t.pmu_i0_itype @[dec_decode_ctl.scala 568:10] - x_t_in.i0trigger <= x_t.i0trigger @[dec_decode_ctl.scala 568:10] - x_t_in.fence_i <= x_t.fence_i @[dec_decode_ctl.scala 568:10] - x_t_in.icaf_type <= x_t.icaf_type @[dec_decode_ctl.scala 568:10] - x_t_in.icaf_f1 <= x_t.icaf_f1 @[dec_decode_ctl.scala 568:10] - x_t_in.icaf <= x_t.icaf @[dec_decode_ctl.scala 568:10] - x_t_in.legal <= x_t.legal @[dec_decode_ctl.scala 568:10] + x_t.pmu_lsu_misaligned <= _T_529.pmu_lsu_misaligned @[dec_decode_ctl.scala 560:7] + x_t.pmu_divide <= _T_529.pmu_divide @[dec_decode_ctl.scala 560:7] + x_t.pmu_i0_br_unpred <= _T_529.pmu_i0_br_unpred @[dec_decode_ctl.scala 560:7] + x_t.pmu_i0_itype <= _T_529.pmu_i0_itype @[dec_decode_ctl.scala 560:7] + x_t.i0trigger <= _T_529.i0trigger @[dec_decode_ctl.scala 560:7] + x_t.fence_i <= _T_529.fence_i @[dec_decode_ctl.scala 560:7] + x_t.icaf_type <= _T_529.icaf_type @[dec_decode_ctl.scala 560:7] + x_t.icaf_f1 <= _T_529.icaf_f1 @[dec_decode_ctl.scala 560:7] + x_t.icaf <= _T_529.icaf @[dec_decode_ctl.scala 560:7] + x_t.legal <= _T_529.legal @[dec_decode_ctl.scala 560:7] + x_t_in.pmu_lsu_misaligned <= x_t.pmu_lsu_misaligned @[dec_decode_ctl.scala 562:10] + x_t_in.pmu_divide <= x_t.pmu_divide @[dec_decode_ctl.scala 562:10] + x_t_in.pmu_i0_br_unpred <= x_t.pmu_i0_br_unpred @[dec_decode_ctl.scala 562:10] + x_t_in.pmu_i0_itype <= x_t.pmu_i0_itype @[dec_decode_ctl.scala 562:10] + x_t_in.i0trigger <= x_t.i0trigger @[dec_decode_ctl.scala 562:10] + x_t_in.fence_i <= x_t.fence_i @[dec_decode_ctl.scala 562:10] + x_t_in.icaf_type <= x_t.icaf_type @[dec_decode_ctl.scala 562:10] + x_t_in.icaf_f1 <= x_t.icaf_f1 @[dec_decode_ctl.scala 562:10] + x_t_in.icaf <= x_t.icaf @[dec_decode_ctl.scala 562:10] + x_t_in.legal <= x_t.legal @[dec_decode_ctl.scala 562:10] wire _T_530 : UInt<1>[4] @[lib.scala 12:48] _T_530[0] <= io.dec_tlu_flush_lower_wb @[lib.scala 12:48] _T_530[1] <= io.dec_tlu_flush_lower_wb @[lib.scala 12:48] @@ -68263,10 +68261,10 @@ circuit quasar : node _T_531 = cat(_T_530[0], _T_530[1]) @[Cat.scala 29:58] node _T_532 = cat(_T_531, _T_530[2]) @[Cat.scala 29:58] node _T_533 = cat(_T_532, _T_530[3]) @[Cat.scala 29:58] - node _T_534 = not(_T_533) @[dec_decode_ctl.scala 569:39] - node _T_535 = and(x_t.i0trigger, _T_534) @[dec_decode_ctl.scala 569:37] - x_t_in.i0trigger <= _T_535 @[dec_decode_ctl.scala 569:20] - node _T_536 = bits(i0_x_ctl_en, 0, 0) @[dec_decode_ctl.scala 571:36] + node _T_534 = not(_T_533) @[dec_decode_ctl.scala 563:39] + node _T_535 = and(x_t.i0trigger, _T_534) @[dec_decode_ctl.scala 563:37] + x_t_in.i0trigger <= _T_535 @[dec_decode_ctl.scala 563:20] + node _T_536 = bits(i0_x_ctl_en, 0, 0) @[dec_decode_ctl.scala 565:36] inst rvclkhdr_6 of rvclkhdr_667 @[lib.scala 378:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset @@ -68295,31 +68293,31 @@ circuit quasar : _T_538.icaf_f1 <= x_t_in.icaf_f1 @[lib.scala 384:16] _T_538.icaf <= x_t_in.icaf @[lib.scala 384:16] _T_538.legal <= x_t_in.legal @[lib.scala 384:16] - r_t.pmu_lsu_misaligned <= _T_538.pmu_lsu_misaligned @[dec_decode_ctl.scala 571:7] - r_t.pmu_divide <= _T_538.pmu_divide @[dec_decode_ctl.scala 571:7] - r_t.pmu_i0_br_unpred <= _T_538.pmu_i0_br_unpred @[dec_decode_ctl.scala 571:7] - r_t.pmu_i0_itype <= _T_538.pmu_i0_itype @[dec_decode_ctl.scala 571:7] - r_t.i0trigger <= _T_538.i0trigger @[dec_decode_ctl.scala 571:7] - r_t.fence_i <= _T_538.fence_i @[dec_decode_ctl.scala 571:7] - r_t.icaf_type <= _T_538.icaf_type @[dec_decode_ctl.scala 571:7] - r_t.icaf_f1 <= _T_538.icaf_f1 @[dec_decode_ctl.scala 571:7] - r_t.icaf <= _T_538.icaf @[dec_decode_ctl.scala 571:7] - r_t.legal <= _T_538.legal @[dec_decode_ctl.scala 571:7] - reg lsu_trigger_match_r : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 572:36] - lsu_trigger_match_r <= io.lsu_trigger_match_m @[dec_decode_ctl.scala 572:36] - reg lsu_pmu_misaligned_r : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 573:37] - lsu_pmu_misaligned_r <= io.lsu_pmu_misaligned_m @[dec_decode_ctl.scala 573:37] - r_t_in.pmu_lsu_misaligned <= r_t.pmu_lsu_misaligned @[dec_decode_ctl.scala 575:10] - r_t_in.pmu_divide <= r_t.pmu_divide @[dec_decode_ctl.scala 575:10] - r_t_in.pmu_i0_br_unpred <= r_t.pmu_i0_br_unpred @[dec_decode_ctl.scala 575:10] - r_t_in.pmu_i0_itype <= r_t.pmu_i0_itype @[dec_decode_ctl.scala 575:10] - r_t_in.i0trigger <= r_t.i0trigger @[dec_decode_ctl.scala 575:10] - r_t_in.fence_i <= r_t.fence_i @[dec_decode_ctl.scala 575:10] - r_t_in.icaf_type <= r_t.icaf_type @[dec_decode_ctl.scala 575:10] - r_t_in.icaf_f1 <= r_t.icaf_f1 @[dec_decode_ctl.scala 575:10] - r_t_in.icaf <= r_t.icaf @[dec_decode_ctl.scala 575:10] - r_t_in.legal <= r_t.legal @[dec_decode_ctl.scala 575:10] - node _T_539 = or(r_d.bits.i0load, r_d.bits.i0store) @[dec_decode_ctl.scala 577:61] + r_t.pmu_lsu_misaligned <= _T_538.pmu_lsu_misaligned @[dec_decode_ctl.scala 565:7] + r_t.pmu_divide <= _T_538.pmu_divide @[dec_decode_ctl.scala 565:7] + r_t.pmu_i0_br_unpred <= _T_538.pmu_i0_br_unpred @[dec_decode_ctl.scala 565:7] + r_t.pmu_i0_itype <= _T_538.pmu_i0_itype @[dec_decode_ctl.scala 565:7] + r_t.i0trigger <= _T_538.i0trigger @[dec_decode_ctl.scala 565:7] + r_t.fence_i <= _T_538.fence_i @[dec_decode_ctl.scala 565:7] + r_t.icaf_type <= _T_538.icaf_type @[dec_decode_ctl.scala 565:7] + r_t.icaf_f1 <= _T_538.icaf_f1 @[dec_decode_ctl.scala 565:7] + r_t.icaf <= _T_538.icaf @[dec_decode_ctl.scala 565:7] + r_t.legal <= _T_538.legal @[dec_decode_ctl.scala 565:7] + reg lsu_trigger_match_r : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 566:36] + lsu_trigger_match_r <= io.lsu_trigger_match_m @[dec_decode_ctl.scala 566:36] + reg lsu_pmu_misaligned_r : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 567:37] + lsu_pmu_misaligned_r <= io.lsu_pmu_misaligned_m @[dec_decode_ctl.scala 567:37] + r_t_in.pmu_lsu_misaligned <= r_t.pmu_lsu_misaligned @[dec_decode_ctl.scala 569:10] + r_t_in.pmu_divide <= r_t.pmu_divide @[dec_decode_ctl.scala 569:10] + r_t_in.pmu_i0_br_unpred <= r_t.pmu_i0_br_unpred @[dec_decode_ctl.scala 569:10] + r_t_in.pmu_i0_itype <= r_t.pmu_i0_itype @[dec_decode_ctl.scala 569:10] + r_t_in.i0trigger <= r_t.i0trigger @[dec_decode_ctl.scala 569:10] + r_t_in.fence_i <= r_t.fence_i @[dec_decode_ctl.scala 569:10] + r_t_in.icaf_type <= r_t.icaf_type @[dec_decode_ctl.scala 569:10] + r_t_in.icaf_f1 <= r_t.icaf_f1 @[dec_decode_ctl.scala 569:10] + r_t_in.icaf <= r_t.icaf @[dec_decode_ctl.scala 569:10] + r_t_in.legal <= r_t.legal @[dec_decode_ctl.scala 569:10] + node _T_539 = or(r_d.bits.i0load, r_d.bits.i0store) @[dec_decode_ctl.scala 571:61] wire _T_540 : UInt<1>[4] @[lib.scala 12:48] _T_540[0] <= _T_539 @[lib.scala 12:48] _T_540[1] <= _T_539 @[lib.scala 12:48] @@ -68328,83 +68326,83 @@ circuit quasar : node _T_541 = cat(_T_540[0], _T_540[1]) @[Cat.scala 29:58] node _T_542 = cat(_T_541, _T_540[2]) @[Cat.scala 29:58] node _T_543 = cat(_T_542, _T_540[3]) @[Cat.scala 29:58] - node _T_544 = and(_T_543, lsu_trigger_match_r) @[dec_decode_ctl.scala 577:82] - node _T_545 = or(_T_544, r_t.i0trigger) @[dec_decode_ctl.scala 577:105] - r_t_in.i0trigger <= _T_545 @[dec_decode_ctl.scala 577:33] - r_t_in.pmu_lsu_misaligned <= lsu_pmu_misaligned_r @[dec_decode_ctl.scala 578:33] - node _T_546 = bits(io.dec_tlu_flush_lower_wb, 0, 0) @[dec_decode_ctl.scala 580:35] - when _T_546 : @[dec_decode_ctl.scala 580:43] - wire _T_547 : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[dec_decode_ctl.scala 580:66] - _T_547.pmu_lsu_misaligned <= UInt<1>("h00") @[dec_decode_ctl.scala 580:66] - _T_547.pmu_divide <= UInt<1>("h00") @[dec_decode_ctl.scala 580:66] - _T_547.pmu_i0_br_unpred <= UInt<1>("h00") @[dec_decode_ctl.scala 580:66] - _T_547.pmu_i0_itype <= UInt<4>("h00") @[dec_decode_ctl.scala 580:66] - _T_547.i0trigger <= UInt<4>("h00") @[dec_decode_ctl.scala 580:66] - _T_547.fence_i <= UInt<1>("h00") @[dec_decode_ctl.scala 580:66] - _T_547.icaf_type <= UInt<2>("h00") @[dec_decode_ctl.scala 580:66] - _T_547.icaf_f1 <= UInt<1>("h00") @[dec_decode_ctl.scala 580:66] - _T_547.icaf <= UInt<1>("h00") @[dec_decode_ctl.scala 580:66] - _T_547.legal <= UInt<1>("h00") @[dec_decode_ctl.scala 580:66] - r_t_in.pmu_lsu_misaligned <= _T_547.pmu_lsu_misaligned @[dec_decode_ctl.scala 580:51] - r_t_in.pmu_divide <= _T_547.pmu_divide @[dec_decode_ctl.scala 580:51] - r_t_in.pmu_i0_br_unpred <= _T_547.pmu_i0_br_unpred @[dec_decode_ctl.scala 580:51] - r_t_in.pmu_i0_itype <= _T_547.pmu_i0_itype @[dec_decode_ctl.scala 580:51] - r_t_in.i0trigger <= _T_547.i0trigger @[dec_decode_ctl.scala 580:51] - r_t_in.fence_i <= _T_547.fence_i @[dec_decode_ctl.scala 580:51] - r_t_in.icaf_type <= _T_547.icaf_type @[dec_decode_ctl.scala 580:51] - r_t_in.icaf_f1 <= _T_547.icaf_f1 @[dec_decode_ctl.scala 580:51] - r_t_in.icaf <= _T_547.icaf @[dec_decode_ctl.scala 580:51] - r_t_in.legal <= _T_547.legal @[dec_decode_ctl.scala 580:51] - skip @[dec_decode_ctl.scala 580:43] - io.dec_tlu_packet_r.pmu_lsu_misaligned <= r_t_in.pmu_lsu_misaligned @[dec_decode_ctl.scala 582:39] - io.dec_tlu_packet_r.pmu_divide <= r_t_in.pmu_divide @[dec_decode_ctl.scala 582:39] - io.dec_tlu_packet_r.pmu_i0_br_unpred <= r_t_in.pmu_i0_br_unpred @[dec_decode_ctl.scala 582:39] - io.dec_tlu_packet_r.pmu_i0_itype <= r_t_in.pmu_i0_itype @[dec_decode_ctl.scala 582:39] - io.dec_tlu_packet_r.i0trigger <= r_t_in.i0trigger @[dec_decode_ctl.scala 582:39] - io.dec_tlu_packet_r.fence_i <= r_t_in.fence_i @[dec_decode_ctl.scala 582:39] - io.dec_tlu_packet_r.icaf_type <= r_t_in.icaf_type @[dec_decode_ctl.scala 582:39] - io.dec_tlu_packet_r.icaf_f1 <= r_t_in.icaf_f1 @[dec_decode_ctl.scala 582:39] - io.dec_tlu_packet_r.icaf <= r_t_in.icaf @[dec_decode_ctl.scala 582:39] - io.dec_tlu_packet_r.legal <= r_t_in.legal @[dec_decode_ctl.scala 582:39] - node _T_548 = and(r_d.bits.i0div, r_d.valid) @[dec_decode_ctl.scala 583:58] - io.dec_tlu_packet_r.pmu_divide <= _T_548 @[dec_decode_ctl.scala 583:39] - reg _T_549 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 586:52] - _T_549 <= io.exu_flush_final @[dec_decode_ctl.scala 586:52] - flush_final_r <= _T_549 @[dec_decode_ctl.scala 586:17] - node _T_550 = eq(i0_block_d, UInt<1>("h00")) @[dec_decode_ctl.scala 588:54] - node _T_551 = and(io.dec_ib0_valid_d, _T_550) @[dec_decode_ctl.scala 588:52] - node _T_552 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[dec_decode_ctl.scala 588:68] - node _T_553 = and(_T_551, _T_552) @[dec_decode_ctl.scala 588:66] - node _T_554 = eq(flush_final_r, UInt<1>("h00")) @[dec_decode_ctl.scala 588:96] - node _T_555 = and(_T_553, _T_554) @[dec_decode_ctl.scala 588:94] - io.dec_aln.dec_i0_decode_d <= _T_555 @[dec_decode_ctl.scala 588:30] - node _T_556 = bits(io.dec_i0_instr_d, 19, 15) @[dec_decode_ctl.scala 590:16] - i0r.rs1 <= _T_556 @[dec_decode_ctl.scala 590:11] - node _T_557 = bits(io.dec_i0_instr_d, 24, 20) @[dec_decode_ctl.scala 591:16] - i0r.rs2 <= _T_557 @[dec_decode_ctl.scala 591:11] - node _T_558 = bits(io.dec_i0_instr_d, 11, 7) @[dec_decode_ctl.scala 592:16] - i0r.rd <= _T_558 @[dec_decode_ctl.scala 592:11] - node _T_559 = neq(i0r.rs1, UInt<5>("h00")) @[dec_decode_ctl.scala 594:60] - node _T_560 = and(i0_dp.rs1, _T_559) @[dec_decode_ctl.scala 594:49] - io.decode_exu.dec_i0_rs1_en_d <= _T_560 @[dec_decode_ctl.scala 594:35] - node _T_561 = neq(i0r.rs2, UInt<5>("h00")) @[dec_decode_ctl.scala 595:60] - node _T_562 = and(i0_dp.rs2, _T_561) @[dec_decode_ctl.scala 595:49] - io.decode_exu.dec_i0_rs2_en_d <= _T_562 @[dec_decode_ctl.scala 595:35] - node _T_563 = neq(i0r.rd, UInt<5>("h00")) @[dec_decode_ctl.scala 596:48] - node i0_rd_en_d = and(i0_dp.rd, _T_563) @[dec_decode_ctl.scala 596:37] - io.dec_i0_rs1_d <= i0r.rs1 @[dec_decode_ctl.scala 597:19] - io.dec_i0_rs2_d <= i0r.rs2 @[dec_decode_ctl.scala 598:19] - node i0_jalimm20 = and(i0_dp.jal, i0_dp.imm20) @[dec_decode_ctl.scala 600:38] - node _T_564 = eq(i0_dp.jal, UInt<1>("h00")) @[dec_decode_ctl.scala 601:27] - node i0_uiimm20 = and(_T_564, i0_dp.imm20) @[dec_decode_ctl.scala 601:38] - node _T_565 = eq(i0_dp.csr_read, UInt<1>("h00")) @[dec_decode_ctl.scala 605:5] + node _T_544 = and(_T_543, lsu_trigger_match_r) @[dec_decode_ctl.scala 571:82] + node _T_545 = or(_T_544, r_t.i0trigger) @[dec_decode_ctl.scala 571:105] + r_t_in.i0trigger <= _T_545 @[dec_decode_ctl.scala 571:33] + r_t_in.pmu_lsu_misaligned <= lsu_pmu_misaligned_r @[dec_decode_ctl.scala 572:33] + node _T_546 = bits(io.dec_tlu_flush_lower_wb, 0, 0) @[dec_decode_ctl.scala 574:35] + when _T_546 : @[dec_decode_ctl.scala 574:43] + wire _T_547 : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[dec_decode_ctl.scala 574:66] + _T_547.pmu_lsu_misaligned <= UInt<1>("h00") @[dec_decode_ctl.scala 574:66] + _T_547.pmu_divide <= UInt<1>("h00") @[dec_decode_ctl.scala 574:66] + _T_547.pmu_i0_br_unpred <= UInt<1>("h00") @[dec_decode_ctl.scala 574:66] + _T_547.pmu_i0_itype <= UInt<4>("h00") @[dec_decode_ctl.scala 574:66] + _T_547.i0trigger <= UInt<4>("h00") @[dec_decode_ctl.scala 574:66] + _T_547.fence_i <= UInt<1>("h00") @[dec_decode_ctl.scala 574:66] + _T_547.icaf_type <= UInt<2>("h00") @[dec_decode_ctl.scala 574:66] + _T_547.icaf_f1 <= UInt<1>("h00") @[dec_decode_ctl.scala 574:66] + _T_547.icaf <= UInt<1>("h00") @[dec_decode_ctl.scala 574:66] + _T_547.legal <= UInt<1>("h00") @[dec_decode_ctl.scala 574:66] + r_t_in.pmu_lsu_misaligned <= _T_547.pmu_lsu_misaligned @[dec_decode_ctl.scala 574:51] + r_t_in.pmu_divide <= _T_547.pmu_divide @[dec_decode_ctl.scala 574:51] + r_t_in.pmu_i0_br_unpred <= _T_547.pmu_i0_br_unpred @[dec_decode_ctl.scala 574:51] + r_t_in.pmu_i0_itype <= _T_547.pmu_i0_itype @[dec_decode_ctl.scala 574:51] + r_t_in.i0trigger <= _T_547.i0trigger @[dec_decode_ctl.scala 574:51] + r_t_in.fence_i <= _T_547.fence_i @[dec_decode_ctl.scala 574:51] + r_t_in.icaf_type <= _T_547.icaf_type @[dec_decode_ctl.scala 574:51] + r_t_in.icaf_f1 <= _T_547.icaf_f1 @[dec_decode_ctl.scala 574:51] + r_t_in.icaf <= _T_547.icaf @[dec_decode_ctl.scala 574:51] + r_t_in.legal <= _T_547.legal @[dec_decode_ctl.scala 574:51] + skip @[dec_decode_ctl.scala 574:43] + io.dec_tlu_packet_r.pmu_lsu_misaligned <= r_t_in.pmu_lsu_misaligned @[dec_decode_ctl.scala 576:39] + io.dec_tlu_packet_r.pmu_divide <= r_t_in.pmu_divide @[dec_decode_ctl.scala 576:39] + io.dec_tlu_packet_r.pmu_i0_br_unpred <= r_t_in.pmu_i0_br_unpred @[dec_decode_ctl.scala 576:39] + io.dec_tlu_packet_r.pmu_i0_itype <= r_t_in.pmu_i0_itype @[dec_decode_ctl.scala 576:39] + io.dec_tlu_packet_r.i0trigger <= r_t_in.i0trigger @[dec_decode_ctl.scala 576:39] + io.dec_tlu_packet_r.fence_i <= r_t_in.fence_i @[dec_decode_ctl.scala 576:39] + io.dec_tlu_packet_r.icaf_type <= r_t_in.icaf_type @[dec_decode_ctl.scala 576:39] + io.dec_tlu_packet_r.icaf_f1 <= r_t_in.icaf_f1 @[dec_decode_ctl.scala 576:39] + io.dec_tlu_packet_r.icaf <= r_t_in.icaf @[dec_decode_ctl.scala 576:39] + io.dec_tlu_packet_r.legal <= r_t_in.legal @[dec_decode_ctl.scala 576:39] + node _T_548 = and(r_d.bits.i0div, r_d.valid) @[dec_decode_ctl.scala 577:58] + io.dec_tlu_packet_r.pmu_divide <= _T_548 @[dec_decode_ctl.scala 577:39] + reg _T_549 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 580:52] + _T_549 <= io.exu_flush_final @[dec_decode_ctl.scala 580:52] + flush_final_r <= _T_549 @[dec_decode_ctl.scala 580:17] + node _T_550 = eq(i0_block_d, UInt<1>("h00")) @[dec_decode_ctl.scala 582:54] + node _T_551 = and(io.dec_ib0_valid_d, _T_550) @[dec_decode_ctl.scala 582:52] + node _T_552 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[dec_decode_ctl.scala 582:68] + node _T_553 = and(_T_551, _T_552) @[dec_decode_ctl.scala 582:66] + node _T_554 = eq(flush_final_r, UInt<1>("h00")) @[dec_decode_ctl.scala 582:96] + node _T_555 = and(_T_553, _T_554) @[dec_decode_ctl.scala 582:94] + io.dec_aln.dec_i0_decode_d <= _T_555 @[dec_decode_ctl.scala 582:30] + node _T_556 = bits(io.dec_i0_instr_d, 19, 15) @[dec_decode_ctl.scala 584:16] + i0r.rs1 <= _T_556 @[dec_decode_ctl.scala 584:11] + node _T_557 = bits(io.dec_i0_instr_d, 24, 20) @[dec_decode_ctl.scala 585:16] + i0r.rs2 <= _T_557 @[dec_decode_ctl.scala 585:11] + node _T_558 = bits(io.dec_i0_instr_d, 11, 7) @[dec_decode_ctl.scala 586:16] + i0r.rd <= _T_558 @[dec_decode_ctl.scala 586:11] + node _T_559 = neq(i0r.rs1, UInt<5>("h00")) @[dec_decode_ctl.scala 588:60] + node _T_560 = and(i0_dp.rs1, _T_559) @[dec_decode_ctl.scala 588:49] + io.decode_exu.dec_i0_rs1_en_d <= _T_560 @[dec_decode_ctl.scala 588:35] + node _T_561 = neq(i0r.rs2, UInt<5>("h00")) @[dec_decode_ctl.scala 589:60] + node _T_562 = and(i0_dp.rs2, _T_561) @[dec_decode_ctl.scala 589:49] + io.decode_exu.dec_i0_rs2_en_d <= _T_562 @[dec_decode_ctl.scala 589:35] + node _T_563 = neq(i0r.rd, UInt<5>("h00")) @[dec_decode_ctl.scala 590:48] + node i0_rd_en_d = and(i0_dp.rd, _T_563) @[dec_decode_ctl.scala 590:37] + io.dec_i0_rs1_d <= i0r.rs1 @[dec_decode_ctl.scala 591:19] + io.dec_i0_rs2_d <= i0r.rs2 @[dec_decode_ctl.scala 592:19] + node i0_jalimm20 = and(i0_dp.jal, i0_dp.imm20) @[dec_decode_ctl.scala 594:38] + node _T_564 = eq(i0_dp.jal, UInt<1>("h00")) @[dec_decode_ctl.scala 595:27] + node i0_uiimm20 = and(_T_564, i0_dp.imm20) @[dec_decode_ctl.scala 595:38] + node _T_565 = eq(i0_dp.csr_read, UInt<1>("h00")) @[dec_decode_ctl.scala 599:5] node _T_566 = mux(i0_dp.csr_read, io.dec_csr_rddata_d, UInt<1>("h00")) @[Mux.scala 27:72] node _T_567 = mux(_T_565, i0_immed_d, UInt<1>("h00")) @[Mux.scala 27:72] node _T_568 = or(_T_566, _T_567) @[Mux.scala 27:72] wire _T_569 : UInt<32> @[Mux.scala 27:72] _T_569 <= _T_568 @[Mux.scala 27:72] - io.decode_exu.dec_i0_immed_d <= _T_569 @[dec_decode_ctl.scala 603:32] - node _T_570 = bits(io.dec_i0_instr_d, 31, 31) @[dec_decode_ctl.scala 608:38] + io.decode_exu.dec_i0_immed_d <= _T_569 @[dec_decode_ctl.scala 597:32] + node _T_570 = bits(io.dec_i0_instr_d, 31, 31) @[dec_decode_ctl.scala 602:38] wire _T_571 : UInt<1>[20] @[lib.scala 12:48] _T_571[0] <= _T_570 @[lib.scala 12:48] _T_571[1] <= _T_570 @[lib.scala 12:48] @@ -68445,7 +68443,7 @@ circuit quasar : node _T_588 = cat(_T_587, _T_571[17]) @[Cat.scala 29:58] node _T_589 = cat(_T_588, _T_571[18]) @[Cat.scala 29:58] node _T_590 = cat(_T_589, _T_571[19]) @[Cat.scala 29:58] - node _T_591 = bits(io.dec_i0_instr_d, 31, 20) @[dec_decode_ctl.scala 608:46] + node _T_591 = bits(io.dec_i0_instr_d, 31, 20) @[dec_decode_ctl.scala 602:46] node _T_592 = cat(_T_590, _T_591) @[Cat.scala 29:58] wire _T_593 : UInt<1>[27] @[lib.scala 12:48] _T_593[0] <= UInt<1>("h00") @[lib.scala 12:48] @@ -68501,9 +68499,9 @@ circuit quasar : node _T_617 = cat(_T_616, _T_593[24]) @[Cat.scala 29:58] node _T_618 = cat(_T_617, _T_593[25]) @[Cat.scala 29:58] node _T_619 = cat(_T_618, _T_593[26]) @[Cat.scala 29:58] - node _T_620 = bits(io.dec_i0_instr_d, 24, 20) @[dec_decode_ctl.scala 609:43] + node _T_620 = bits(io.dec_i0_instr_d, 24, 20) @[dec_decode_ctl.scala 603:43] node _T_621 = cat(_T_619, _T_620) @[Cat.scala 29:58] - node _T_622 = bits(io.dec_i0_instr_d, 31, 31) @[dec_decode_ctl.scala 610:38] + node _T_622 = bits(io.dec_i0_instr_d, 31, 31) @[dec_decode_ctl.scala 604:38] wire _T_623 : UInt<1>[12] @[lib.scala 12:48] _T_623[0] <= _T_622 @[lib.scala 12:48] _T_623[1] <= _T_622 @[lib.scala 12:48] @@ -68528,14 +68526,14 @@ circuit quasar : node _T_632 = cat(_T_631, _T_623[9]) @[Cat.scala 29:58] node _T_633 = cat(_T_632, _T_623[10]) @[Cat.scala 29:58] node _T_634 = cat(_T_633, _T_623[11]) @[Cat.scala 29:58] - node _T_635 = bits(io.dec_i0_instr_d, 19, 12) @[dec_decode_ctl.scala 610:46] - node _T_636 = bits(io.dec_i0_instr_d, 20, 20) @[dec_decode_ctl.scala 610:56] - node _T_637 = bits(io.dec_i0_instr_d, 30, 21) @[dec_decode_ctl.scala 610:63] + node _T_635 = bits(io.dec_i0_instr_d, 19, 12) @[dec_decode_ctl.scala 604:46] + node _T_636 = bits(io.dec_i0_instr_d, 20, 20) @[dec_decode_ctl.scala 604:56] + node _T_637 = bits(io.dec_i0_instr_d, 30, 21) @[dec_decode_ctl.scala 604:63] node _T_638 = cat(_T_637, UInt<1>("h00")) @[Cat.scala 29:58] node _T_639 = cat(_T_634, _T_635) @[Cat.scala 29:58] node _T_640 = cat(_T_639, _T_636) @[Cat.scala 29:58] node _T_641 = cat(_T_640, _T_638) @[Cat.scala 29:58] - node _T_642 = bits(io.dec_i0_instr_d, 31, 12) @[dec_decode_ctl.scala 611:30] + node _T_642 = bits(io.dec_i0_instr_d, 31, 12) @[dec_decode_ctl.scala 605:30] wire _T_643 : UInt<1>[12] @[lib.scala 12:48] _T_643[0] <= UInt<1>("h00") @[lib.scala 12:48] _T_643[1] <= UInt<1>("h00") @[lib.scala 12:48] @@ -68561,8 +68559,8 @@ circuit quasar : node _T_653 = cat(_T_652, _T_643[10]) @[Cat.scala 29:58] node _T_654 = cat(_T_653, _T_643[11]) @[Cat.scala 29:58] node _T_655 = cat(_T_642, _T_654) @[Cat.scala 29:58] - node _T_656 = and(i0_csr_write_only_d, i0_dp.csr_imm) @[dec_decode_ctl.scala 612:26] - node _T_657 = bits(_T_656, 0, 0) @[dec_decode_ctl.scala 612:43] + node _T_656 = and(i0_csr_write_only_d, i0_dp.csr_imm) @[dec_decode_ctl.scala 606:26] + node _T_657 = bits(_T_656, 0, 0) @[dec_decode_ctl.scala 606:43] wire _T_658 : UInt<1>[27] @[lib.scala 12:48] _T_658[0] <= UInt<1>("h00") @[lib.scala 12:48] _T_658[1] <= UInt<1>("h00") @[lib.scala 12:48] @@ -68617,7 +68615,7 @@ circuit quasar : node _T_682 = cat(_T_681, _T_658[24]) @[Cat.scala 29:58] node _T_683 = cat(_T_682, _T_658[25]) @[Cat.scala 29:58] node _T_684 = cat(_T_683, _T_658[26]) @[Cat.scala 29:58] - node _T_685 = bits(io.dec_i0_instr_d, 19, 15) @[dec_decode_ctl.scala 612:72] + node _T_685 = bits(io.dec_i0_instr_d, 19, 15) @[dec_decode_ctl.scala 606:72] node _T_686 = cat(_T_684, _T_685) @[Cat.scala 29:58] node _T_687 = mux(i0_dp.imm12, _T_592, UInt<1>("h00")) @[Mux.scala 27:72] node _T_688 = mux(i0_dp.shimm5, _T_621, UInt<1>("h00")) @[Mux.scala 27:72] @@ -68630,649 +68628,657 @@ circuit quasar : node _T_695 = or(_T_694, _T_691) @[Mux.scala 27:72] wire _T_696 : UInt<32> @[Mux.scala 27:72] _T_696 <= _T_695 @[Mux.scala 27:72] - i0_immed_d <= _T_696 @[dec_decode_ctl.scala 607:14] - node _T_697 = and(io.dec_aln.dec_i0_decode_d, i0_legal) @[dec_decode_ctl.scala 614:54] - i0_legal_decode_d <= _T_697 @[dec_decode_ctl.scala 614:24] - node _T_698 = and(i0_dp.mul, i0_legal_decode_d) @[dec_decode_ctl.scala 616:44] - i0_d_c.mul <= _T_698 @[dec_decode_ctl.scala 616:29] - node _T_699 = and(i0_dp.load, i0_legal_decode_d) @[dec_decode_ctl.scala 617:44] - i0_d_c.load <= _T_699 @[dec_decode_ctl.scala 617:29] - node _T_700 = and(i0_dp.alu, i0_legal_decode_d) @[dec_decode_ctl.scala 618:44] - i0_d_c.alu <= _T_700 @[dec_decode_ctl.scala 618:29] - node _T_701 = bits(i0_x_ctl_en, 0, 0) @[dec_decode_ctl.scala 620:71] - reg i0_x_c : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>}, io.active_clk @[Reg.scala 15:16] - when _T_701 : @[Reg.scala 16:19] - i0_x_c.alu <= i0_d_c.alu @[Reg.scala 16:23] - i0_x_c.load <= i0_d_c.load @[Reg.scala 16:23] - i0_x_c.mul <= i0_d_c.mul @[Reg.scala 16:23] - skip @[Reg.scala 16:19] - node _T_702 = bits(i0_r_ctl_en, 0, 0) @[dec_decode_ctl.scala 621:71] - reg i0_r_c : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>}, io.active_clk @[Reg.scala 15:16] - when _T_702 : @[Reg.scala 16:19] - i0_r_c.alu <= i0_x_c.alu @[Reg.scala 16:23] - i0_r_c.load <= i0_x_c.load @[Reg.scala 16:23] - i0_r_c.mul <= i0_x_c.mul @[Reg.scala 16:23] - skip @[Reg.scala 16:19] - node _T_703 = bits(i0_pipe_en, 3, 1) @[dec_decode_ctl.scala 622:91] - reg _T_704 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 622:80] - _T_704 <= _T_703 @[dec_decode_ctl.scala 622:80] - node _T_705 = cat(io.dec_aln.dec_i0_decode_d, _T_704) @[Cat.scala 29:58] - i0_pipe_en <= _T_705 @[dec_decode_ctl.scala 622:14] - node _T_706 = bits(i0_pipe_en, 3, 2) @[dec_decode_ctl.scala 624:43] - node _T_707 = orr(_T_706) @[dec_decode_ctl.scala 624:49] - node _T_708 = or(_T_707, io.clk_override) @[dec_decode_ctl.scala 624:53] - i0_x_ctl_en <= _T_708 @[dec_decode_ctl.scala 624:29] - node _T_709 = bits(i0_pipe_en, 2, 1) @[dec_decode_ctl.scala 625:43] - node _T_710 = orr(_T_709) @[dec_decode_ctl.scala 625:49] - node _T_711 = or(_T_710, io.clk_override) @[dec_decode_ctl.scala 625:53] - i0_r_ctl_en <= _T_711 @[dec_decode_ctl.scala 625:29] - node _T_712 = bits(i0_pipe_en, 1, 0) @[dec_decode_ctl.scala 626:43] - node _T_713 = orr(_T_712) @[dec_decode_ctl.scala 626:49] - node _T_714 = or(_T_713, io.clk_override) @[dec_decode_ctl.scala 626:53] - i0_wb_ctl_en <= _T_714 @[dec_decode_ctl.scala 626:29] - node _T_715 = bits(i0_pipe_en, 3, 3) @[dec_decode_ctl.scala 627:44] - node _T_716 = or(_T_715, io.clk_override) @[dec_decode_ctl.scala 627:50] - i0_x_data_en <= _T_716 @[dec_decode_ctl.scala 627:29] - node _T_717 = bits(i0_pipe_en, 2, 2) @[dec_decode_ctl.scala 628:44] - node _T_718 = or(_T_717, io.clk_override) @[dec_decode_ctl.scala 628:50] - i0_r_data_en <= _T_718 @[dec_decode_ctl.scala 628:29] - node _T_719 = bits(i0_pipe_en, 1, 1) @[dec_decode_ctl.scala 629:44] - node _T_720 = or(_T_719, io.clk_override) @[dec_decode_ctl.scala 629:50] - i0_wb_data_en <= _T_720 @[dec_decode_ctl.scala 629:29] - node _T_721 = bits(i0_pipe_en, 0, 0) @[dec_decode_ctl.scala 630:44] - node _T_722 = or(_T_721, io.clk_override) @[dec_decode_ctl.scala 630:50] - i0_wb1_data_en <= _T_722 @[dec_decode_ctl.scala 630:29] - node _T_723 = cat(i0_x_data_en, i0_r_data_en) @[Cat.scala 29:58] - io.decode_exu.dec_data_en <= _T_723 @[dec_decode_ctl.scala 632:38] - node _T_724 = cat(i0_x_ctl_en, i0_r_ctl_en) @[Cat.scala 29:58] - io.decode_exu.dec_ctl_en <= _T_724 @[dec_decode_ctl.scala 633:38] - d_d.bits.i0rd <= i0r.rd @[dec_decode_ctl.scala 635:34] - node _T_725 = and(i0_rd_en_d, i0_legal_decode_d) @[dec_decode_ctl.scala 636:50] - d_d.bits.i0v <= _T_725 @[dec_decode_ctl.scala 636:34] - d_d.valid <= io.dec_aln.dec_i0_decode_d @[dec_decode_ctl.scala 637:27] - node _T_726 = and(i0_dp.load, i0_legal_decode_d) @[dec_decode_ctl.scala 639:50] - d_d.bits.i0load <= _T_726 @[dec_decode_ctl.scala 639:34] - node _T_727 = and(i0_dp.store, i0_legal_decode_d) @[dec_decode_ctl.scala 640:50] - d_d.bits.i0store <= _T_727 @[dec_decode_ctl.scala 640:34] - node _T_728 = and(i0_dp.div, i0_legal_decode_d) @[dec_decode_ctl.scala 641:50] - d_d.bits.i0div <= _T_728 @[dec_decode_ctl.scala 641:34] - node _T_729 = and(io.dec_csr_wen_unq_d, i0_legal_decode_d) @[dec_decode_ctl.scala 643:61] - d_d.bits.csrwen <= _T_729 @[dec_decode_ctl.scala 643:34] - node _T_730 = and(i0_csr_write_only_d, io.dec_aln.dec_i0_decode_d) @[dec_decode_ctl.scala 644:58] - d_d.bits.csrwonly <= _T_730 @[dec_decode_ctl.scala 644:34] - node _T_731 = bits(io.dec_i0_instr_d, 31, 20) @[dec_decode_ctl.scala 645:40] - d_d.bits.csrwaddr <= _T_731 @[dec_decode_ctl.scala 645:34] - node _T_732 = bits(i0_x_ctl_en, 0, 0) @[dec_decode_ctl.scala 647:34] + i0_immed_d <= _T_696 @[dec_decode_ctl.scala 601:14] + node _T_697 = and(io.dec_aln.dec_i0_decode_d, i0_legal) @[dec_decode_ctl.scala 608:54] + i0_legal_decode_d <= _T_697 @[dec_decode_ctl.scala 608:24] + node _T_698 = and(i0_dp.mul, i0_legal_decode_d) @[dec_decode_ctl.scala 610:44] + i0_d_c.mul <= _T_698 @[dec_decode_ctl.scala 610:29] + node _T_699 = and(i0_dp.load, i0_legal_decode_d) @[dec_decode_ctl.scala 611:44] + i0_d_c.load <= _T_699 @[dec_decode_ctl.scala 611:29] + node _T_700 = and(i0_dp.alu, i0_legal_decode_d) @[dec_decode_ctl.scala 612:44] + i0_d_c.alu <= _T_700 @[dec_decode_ctl.scala 612:29] + wire _T_701 : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[dec_decode_ctl.scala 614:70] + _T_701.alu <= UInt<1>("h00") @[dec_decode_ctl.scala 614:70] + _T_701.load <= UInt<1>("h00") @[dec_decode_ctl.scala 614:70] + _T_701.mul <= UInt<1>("h00") @[dec_decode_ctl.scala 614:70] + node _T_702 = bits(i0_x_ctl_en, 0, 0) @[dec_decode_ctl.scala 614:92] + reg i0_x_c : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>}, io.active_clk with : (reset => (reset, _T_701)) @[Reg.scala 27:20] + when _T_702 : @[Reg.scala 28:19] + i0_x_c.alu <= i0_d_c.alu @[Reg.scala 28:23] + i0_x_c.load <= i0_d_c.load @[Reg.scala 28:23] + i0_x_c.mul <= i0_d_c.mul @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + wire _T_703 : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[dec_decode_ctl.scala 615:70] + _T_703.alu <= UInt<1>("h00") @[dec_decode_ctl.scala 615:70] + _T_703.load <= UInt<1>("h00") @[dec_decode_ctl.scala 615:70] + _T_703.mul <= UInt<1>("h00") @[dec_decode_ctl.scala 615:70] + node _T_704 = bits(i0_r_ctl_en, 0, 0) @[dec_decode_ctl.scala 615:92] + reg i0_r_c : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>}, io.active_clk with : (reset => (reset, _T_703)) @[Reg.scala 27:20] + when _T_704 : @[Reg.scala 28:19] + i0_r_c.alu <= i0_x_c.alu @[Reg.scala 28:23] + i0_r_c.load <= i0_x_c.load @[Reg.scala 28:23] + i0_r_c.mul <= i0_x_c.mul @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_705 = bits(i0_pipe_en, 3, 1) @[dec_decode_ctl.scala 616:91] + reg _T_706 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 616:80] + _T_706 <= _T_705 @[dec_decode_ctl.scala 616:80] + node _T_707 = cat(io.dec_aln.dec_i0_decode_d, _T_706) @[Cat.scala 29:58] + i0_pipe_en <= _T_707 @[dec_decode_ctl.scala 616:14] + node _T_708 = bits(i0_pipe_en, 3, 2) @[dec_decode_ctl.scala 618:43] + node _T_709 = orr(_T_708) @[dec_decode_ctl.scala 618:49] + node _T_710 = or(_T_709, io.clk_override) @[dec_decode_ctl.scala 618:53] + i0_x_ctl_en <= _T_710 @[dec_decode_ctl.scala 618:29] + node _T_711 = bits(i0_pipe_en, 2, 1) @[dec_decode_ctl.scala 619:43] + node _T_712 = orr(_T_711) @[dec_decode_ctl.scala 619:49] + node _T_713 = or(_T_712, io.clk_override) @[dec_decode_ctl.scala 619:53] + i0_r_ctl_en <= _T_713 @[dec_decode_ctl.scala 619:29] + node _T_714 = bits(i0_pipe_en, 1, 0) @[dec_decode_ctl.scala 620:43] + node _T_715 = orr(_T_714) @[dec_decode_ctl.scala 620:49] + node _T_716 = or(_T_715, io.clk_override) @[dec_decode_ctl.scala 620:53] + i0_wb_ctl_en <= _T_716 @[dec_decode_ctl.scala 620:29] + node _T_717 = bits(i0_pipe_en, 3, 3) @[dec_decode_ctl.scala 621:44] + node _T_718 = or(_T_717, io.clk_override) @[dec_decode_ctl.scala 621:50] + i0_x_data_en <= _T_718 @[dec_decode_ctl.scala 621:29] + node _T_719 = bits(i0_pipe_en, 2, 2) @[dec_decode_ctl.scala 622:44] + node _T_720 = or(_T_719, io.clk_override) @[dec_decode_ctl.scala 622:50] + i0_r_data_en <= _T_720 @[dec_decode_ctl.scala 622:29] + node _T_721 = bits(i0_pipe_en, 1, 1) @[dec_decode_ctl.scala 623:44] + node _T_722 = or(_T_721, io.clk_override) @[dec_decode_ctl.scala 623:50] + i0_wb_data_en <= _T_722 @[dec_decode_ctl.scala 623:29] + node _T_723 = bits(i0_pipe_en, 0, 0) @[dec_decode_ctl.scala 624:44] + node _T_724 = or(_T_723, io.clk_override) @[dec_decode_ctl.scala 624:50] + i0_wb1_data_en <= _T_724 @[dec_decode_ctl.scala 624:29] + node _T_725 = cat(i0_x_data_en, i0_r_data_en) @[Cat.scala 29:58] + io.decode_exu.dec_data_en <= _T_725 @[dec_decode_ctl.scala 626:38] + node _T_726 = cat(i0_x_ctl_en, i0_r_ctl_en) @[Cat.scala 29:58] + io.decode_exu.dec_ctl_en <= _T_726 @[dec_decode_ctl.scala 627:38] + d_d.bits.i0rd <= i0r.rd @[dec_decode_ctl.scala 629:34] + node _T_727 = and(i0_rd_en_d, i0_legal_decode_d) @[dec_decode_ctl.scala 630:50] + d_d.bits.i0v <= _T_727 @[dec_decode_ctl.scala 630:34] + d_d.valid <= io.dec_aln.dec_i0_decode_d @[dec_decode_ctl.scala 631:27] + node _T_728 = and(i0_dp.load, i0_legal_decode_d) @[dec_decode_ctl.scala 633:50] + d_d.bits.i0load <= _T_728 @[dec_decode_ctl.scala 633:34] + node _T_729 = and(i0_dp.store, i0_legal_decode_d) @[dec_decode_ctl.scala 634:50] + d_d.bits.i0store <= _T_729 @[dec_decode_ctl.scala 634:34] + node _T_730 = and(i0_dp.div, i0_legal_decode_d) @[dec_decode_ctl.scala 635:50] + d_d.bits.i0div <= _T_730 @[dec_decode_ctl.scala 635:34] + node _T_731 = and(io.dec_csr_wen_unq_d, i0_legal_decode_d) @[dec_decode_ctl.scala 637:61] + d_d.bits.csrwen <= _T_731 @[dec_decode_ctl.scala 637:34] + node _T_732 = and(i0_csr_write_only_d, io.dec_aln.dec_i0_decode_d) @[dec_decode_ctl.scala 638:58] + d_d.bits.csrwonly <= _T_732 @[dec_decode_ctl.scala 638:34] + node _T_733 = bits(io.dec_i0_instr_d, 31, 20) @[dec_decode_ctl.scala 639:40] + d_d.bits.csrwaddr <= _T_733 @[dec_decode_ctl.scala 639:34] + node _T_734 = bits(i0_x_ctl_en, 0, 0) @[dec_decode_ctl.scala 641:34] inst rvclkhdr_7 of rvclkhdr_668 @[lib.scala 378:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset rvclkhdr_7.io.clk <= clock @[lib.scala 380:18] - rvclkhdr_7.io.en <= _T_732 @[lib.scala 381:17] + rvclkhdr_7.io.en <= _T_734 @[lib.scala 381:17] rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 382:24] - wire _T_733 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[lib.scala 384:33] - _T_733.bits.csrwaddr <= UInt<12>("h00") @[lib.scala 384:33] - _T_733.bits.csrwonly <= UInt<1>("h00") @[lib.scala 384:33] - _T_733.bits.csrwen <= UInt<1>("h00") @[lib.scala 384:33] - _T_733.bits.i0v <= UInt<1>("h00") @[lib.scala 384:33] - _T_733.bits.i0div <= UInt<1>("h00") @[lib.scala 384:33] - _T_733.bits.i0store <= UInt<1>("h00") @[lib.scala 384:33] - _T_733.bits.i0load <= UInt<1>("h00") @[lib.scala 384:33] - _T_733.bits.i0rd <= UInt<5>("h00") @[lib.scala 384:33] - _T_733.valid <= UInt<1>("h00") @[lib.scala 384:33] - reg _T_734 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}}, rvclkhdr_7.io.l1clk with : (reset => (reset, _T_733)) @[lib.scala 384:16] - _T_734.bits.csrwaddr <= d_d.bits.csrwaddr @[lib.scala 384:16] - _T_734.bits.csrwonly <= d_d.bits.csrwonly @[lib.scala 384:16] - _T_734.bits.csrwen <= d_d.bits.csrwen @[lib.scala 384:16] - _T_734.bits.i0v <= d_d.bits.i0v @[lib.scala 384:16] - _T_734.bits.i0div <= d_d.bits.i0div @[lib.scala 384:16] - _T_734.bits.i0store <= d_d.bits.i0store @[lib.scala 384:16] - _T_734.bits.i0load <= d_d.bits.i0load @[lib.scala 384:16] - _T_734.bits.i0rd <= d_d.bits.i0rd @[lib.scala 384:16] - _T_734.valid <= d_d.valid @[lib.scala 384:16] - x_d.bits.csrwaddr <= _T_734.bits.csrwaddr @[dec_decode_ctl.scala 647:7] - x_d.bits.csrwonly <= _T_734.bits.csrwonly @[dec_decode_ctl.scala 647:7] - x_d.bits.csrwen <= _T_734.bits.csrwen @[dec_decode_ctl.scala 647:7] - x_d.bits.i0v <= _T_734.bits.i0v @[dec_decode_ctl.scala 647:7] - x_d.bits.i0div <= _T_734.bits.i0div @[dec_decode_ctl.scala 647:7] - x_d.bits.i0store <= _T_734.bits.i0store @[dec_decode_ctl.scala 647:7] - x_d.bits.i0load <= _T_734.bits.i0load @[dec_decode_ctl.scala 647:7] - x_d.bits.i0rd <= _T_734.bits.i0rd @[dec_decode_ctl.scala 647:7] - x_d.valid <= _T_734.valid @[dec_decode_ctl.scala 647:7] - wire x_d_in : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[dec_decode_ctl.scala 648:20] - x_d_in.bits.csrwaddr <= x_d.bits.csrwaddr @[dec_decode_ctl.scala 649:10] - x_d_in.bits.csrwonly <= x_d.bits.csrwonly @[dec_decode_ctl.scala 649:10] - x_d_in.bits.csrwen <= x_d.bits.csrwen @[dec_decode_ctl.scala 649:10] - x_d_in.bits.i0v <= x_d.bits.i0v @[dec_decode_ctl.scala 649:10] - x_d_in.bits.i0div <= x_d.bits.i0div @[dec_decode_ctl.scala 649:10] - x_d_in.bits.i0store <= x_d.bits.i0store @[dec_decode_ctl.scala 649:10] - x_d_in.bits.i0load <= x_d.bits.i0load @[dec_decode_ctl.scala 649:10] - x_d_in.bits.i0rd <= x_d.bits.i0rd @[dec_decode_ctl.scala 649:10] - x_d_in.valid <= x_d.valid @[dec_decode_ctl.scala 649:10] - node _T_735 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[dec_decode_ctl.scala 650:49] - node _T_736 = and(x_d.bits.i0v, _T_735) @[dec_decode_ctl.scala 650:47] - node _T_737 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[dec_decode_ctl.scala 650:78] - node _T_738 = and(_T_736, _T_737) @[dec_decode_ctl.scala 650:76] - x_d_in.bits.i0v <= _T_738 @[dec_decode_ctl.scala 650:27] - node _T_739 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[dec_decode_ctl.scala 651:35] - node _T_740 = and(x_d.valid, _T_739) @[dec_decode_ctl.scala 651:33] - node _T_741 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[dec_decode_ctl.scala 651:64] - node _T_742 = and(_T_740, _T_741) @[dec_decode_ctl.scala 651:62] - x_d_in.valid <= _T_742 @[dec_decode_ctl.scala 651:20] - node _T_743 = bits(i0_r_ctl_en, 0, 0) @[dec_decode_ctl.scala 653:36] + wire _T_735 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[lib.scala 384:33] + _T_735.bits.csrwaddr <= UInt<12>("h00") @[lib.scala 384:33] + _T_735.bits.csrwonly <= UInt<1>("h00") @[lib.scala 384:33] + _T_735.bits.csrwen <= UInt<1>("h00") @[lib.scala 384:33] + _T_735.bits.i0v <= UInt<1>("h00") @[lib.scala 384:33] + _T_735.bits.i0div <= UInt<1>("h00") @[lib.scala 384:33] + _T_735.bits.i0store <= UInt<1>("h00") @[lib.scala 384:33] + _T_735.bits.i0load <= UInt<1>("h00") @[lib.scala 384:33] + _T_735.bits.i0rd <= UInt<5>("h00") @[lib.scala 384:33] + _T_735.valid <= UInt<1>("h00") @[lib.scala 384:33] + reg _T_736 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}}, rvclkhdr_7.io.l1clk with : (reset => (reset, _T_735)) @[lib.scala 384:16] + _T_736.bits.csrwaddr <= d_d.bits.csrwaddr @[lib.scala 384:16] + _T_736.bits.csrwonly <= d_d.bits.csrwonly @[lib.scala 384:16] + _T_736.bits.csrwen <= d_d.bits.csrwen @[lib.scala 384:16] + _T_736.bits.i0v <= d_d.bits.i0v @[lib.scala 384:16] + _T_736.bits.i0div <= d_d.bits.i0div @[lib.scala 384:16] + _T_736.bits.i0store <= d_d.bits.i0store @[lib.scala 384:16] + _T_736.bits.i0load <= d_d.bits.i0load @[lib.scala 384:16] + _T_736.bits.i0rd <= d_d.bits.i0rd @[lib.scala 384:16] + _T_736.valid <= d_d.valid @[lib.scala 384:16] + x_d.bits.csrwaddr <= _T_736.bits.csrwaddr @[dec_decode_ctl.scala 641:7] + x_d.bits.csrwonly <= _T_736.bits.csrwonly @[dec_decode_ctl.scala 641:7] + x_d.bits.csrwen <= _T_736.bits.csrwen @[dec_decode_ctl.scala 641:7] + x_d.bits.i0v <= _T_736.bits.i0v @[dec_decode_ctl.scala 641:7] + x_d.bits.i0div <= _T_736.bits.i0div @[dec_decode_ctl.scala 641:7] + x_d.bits.i0store <= _T_736.bits.i0store @[dec_decode_ctl.scala 641:7] + x_d.bits.i0load <= _T_736.bits.i0load @[dec_decode_ctl.scala 641:7] + x_d.bits.i0rd <= _T_736.bits.i0rd @[dec_decode_ctl.scala 641:7] + x_d.valid <= _T_736.valid @[dec_decode_ctl.scala 641:7] + wire x_d_in : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[dec_decode_ctl.scala 642:20] + x_d_in.bits.csrwaddr <= x_d.bits.csrwaddr @[dec_decode_ctl.scala 643:10] + x_d_in.bits.csrwonly <= x_d.bits.csrwonly @[dec_decode_ctl.scala 643:10] + x_d_in.bits.csrwen <= x_d.bits.csrwen @[dec_decode_ctl.scala 643:10] + x_d_in.bits.i0v <= x_d.bits.i0v @[dec_decode_ctl.scala 643:10] + x_d_in.bits.i0div <= x_d.bits.i0div @[dec_decode_ctl.scala 643:10] + x_d_in.bits.i0store <= x_d.bits.i0store @[dec_decode_ctl.scala 643:10] + x_d_in.bits.i0load <= x_d.bits.i0load @[dec_decode_ctl.scala 643:10] + x_d_in.bits.i0rd <= x_d.bits.i0rd @[dec_decode_ctl.scala 643:10] + x_d_in.valid <= x_d.valid @[dec_decode_ctl.scala 643:10] + node _T_737 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[dec_decode_ctl.scala 644:49] + node _T_738 = and(x_d.bits.i0v, _T_737) @[dec_decode_ctl.scala 644:47] + node _T_739 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[dec_decode_ctl.scala 644:78] + node _T_740 = and(_T_738, _T_739) @[dec_decode_ctl.scala 644:76] + x_d_in.bits.i0v <= _T_740 @[dec_decode_ctl.scala 644:27] + node _T_741 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[dec_decode_ctl.scala 645:35] + node _T_742 = and(x_d.valid, _T_741) @[dec_decode_ctl.scala 645:33] + node _T_743 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[dec_decode_ctl.scala 645:64] + node _T_744 = and(_T_742, _T_743) @[dec_decode_ctl.scala 645:62] + x_d_in.valid <= _T_744 @[dec_decode_ctl.scala 645:20] + node _T_745 = bits(i0_r_ctl_en, 0, 0) @[dec_decode_ctl.scala 647:36] inst rvclkhdr_8 of rvclkhdr_669 @[lib.scala 378:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset rvclkhdr_8.io.clk <= clock @[lib.scala 380:18] - rvclkhdr_8.io.en <= _T_743 @[lib.scala 381:17] + rvclkhdr_8.io.en <= _T_745 @[lib.scala 381:17] rvclkhdr_8.io.scan_mode <= io.scan_mode @[lib.scala 382:24] - wire _T_744 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[lib.scala 384:33] - _T_744.bits.csrwaddr <= UInt<12>("h00") @[lib.scala 384:33] - _T_744.bits.csrwonly <= UInt<1>("h00") @[lib.scala 384:33] - _T_744.bits.csrwen <= UInt<1>("h00") @[lib.scala 384:33] - _T_744.bits.i0v <= UInt<1>("h00") @[lib.scala 384:33] - _T_744.bits.i0div <= UInt<1>("h00") @[lib.scala 384:33] - _T_744.bits.i0store <= UInt<1>("h00") @[lib.scala 384:33] - _T_744.bits.i0load <= UInt<1>("h00") @[lib.scala 384:33] - _T_744.bits.i0rd <= UInt<5>("h00") @[lib.scala 384:33] - _T_744.valid <= UInt<1>("h00") @[lib.scala 384:33] - reg _T_745 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}}, rvclkhdr_8.io.l1clk with : (reset => (reset, _T_744)) @[lib.scala 384:16] - _T_745.bits.csrwaddr <= x_d_in.bits.csrwaddr @[lib.scala 384:16] - _T_745.bits.csrwonly <= x_d_in.bits.csrwonly @[lib.scala 384:16] - _T_745.bits.csrwen <= x_d_in.bits.csrwen @[lib.scala 384:16] - _T_745.bits.i0v <= x_d_in.bits.i0v @[lib.scala 384:16] - _T_745.bits.i0div <= x_d_in.bits.i0div @[lib.scala 384:16] - _T_745.bits.i0store <= x_d_in.bits.i0store @[lib.scala 384:16] - _T_745.bits.i0load <= x_d_in.bits.i0load @[lib.scala 384:16] - _T_745.bits.i0rd <= x_d_in.bits.i0rd @[lib.scala 384:16] - _T_745.valid <= x_d_in.valid @[lib.scala 384:16] - r_d.bits.csrwaddr <= _T_745.bits.csrwaddr @[dec_decode_ctl.scala 653:7] - r_d.bits.csrwonly <= _T_745.bits.csrwonly @[dec_decode_ctl.scala 653:7] - r_d.bits.csrwen <= _T_745.bits.csrwen @[dec_decode_ctl.scala 653:7] - r_d.bits.i0v <= _T_745.bits.i0v @[dec_decode_ctl.scala 653:7] - r_d.bits.i0div <= _T_745.bits.i0div @[dec_decode_ctl.scala 653:7] - r_d.bits.i0store <= _T_745.bits.i0store @[dec_decode_ctl.scala 653:7] - r_d.bits.i0load <= _T_745.bits.i0load @[dec_decode_ctl.scala 653:7] - r_d.bits.i0rd <= _T_745.bits.i0rd @[dec_decode_ctl.scala 653:7] - r_d.valid <= _T_745.valid @[dec_decode_ctl.scala 653:7] - r_d_in.bits.csrwaddr <= r_d.bits.csrwaddr @[dec_decode_ctl.scala 654:10] - r_d_in.bits.csrwonly <= r_d.bits.csrwonly @[dec_decode_ctl.scala 654:10] - r_d_in.bits.csrwen <= r_d.bits.csrwen @[dec_decode_ctl.scala 654:10] - r_d_in.bits.i0v <= r_d.bits.i0v @[dec_decode_ctl.scala 654:10] - r_d_in.bits.i0div <= r_d.bits.i0div @[dec_decode_ctl.scala 654:10] - r_d_in.bits.i0store <= r_d.bits.i0store @[dec_decode_ctl.scala 654:10] - r_d_in.bits.i0load <= r_d.bits.i0load @[dec_decode_ctl.scala 654:10] - r_d_in.bits.i0rd <= r_d.bits.i0rd @[dec_decode_ctl.scala 654:10] - r_d_in.valid <= r_d.valid @[dec_decode_ctl.scala 654:10] - r_d_in.bits.i0rd <= r_d.bits.i0rd @[dec_decode_ctl.scala 655:22] - node _T_746 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[dec_decode_ctl.scala 657:51] - node _T_747 = and(r_d.bits.i0v, _T_746) @[dec_decode_ctl.scala 657:49] - r_d_in.bits.i0v <= _T_747 @[dec_decode_ctl.scala 657:27] - node _T_748 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[dec_decode_ctl.scala 658:37] - node _T_749 = and(r_d.valid, _T_748) @[dec_decode_ctl.scala 658:35] - r_d_in.valid <= _T_749 @[dec_decode_ctl.scala 658:20] - node _T_750 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[dec_decode_ctl.scala 659:51] - node _T_751 = and(r_d.bits.i0load, _T_750) @[dec_decode_ctl.scala 659:49] - r_d_in.bits.i0load <= _T_751 @[dec_decode_ctl.scala 659:27] - node _T_752 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[dec_decode_ctl.scala 660:51] - node _T_753 = and(r_d.bits.i0store, _T_752) @[dec_decode_ctl.scala 660:49] - r_d_in.bits.i0store <= _T_753 @[dec_decode_ctl.scala 660:27] - node _T_754 = bits(i0_wb_ctl_en, 0, 0) @[dec_decode_ctl.scala 662:37] + wire _T_746 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[lib.scala 384:33] + _T_746.bits.csrwaddr <= UInt<12>("h00") @[lib.scala 384:33] + _T_746.bits.csrwonly <= UInt<1>("h00") @[lib.scala 384:33] + _T_746.bits.csrwen <= UInt<1>("h00") @[lib.scala 384:33] + _T_746.bits.i0v <= UInt<1>("h00") @[lib.scala 384:33] + _T_746.bits.i0div <= UInt<1>("h00") @[lib.scala 384:33] + _T_746.bits.i0store <= UInt<1>("h00") @[lib.scala 384:33] + _T_746.bits.i0load <= UInt<1>("h00") @[lib.scala 384:33] + _T_746.bits.i0rd <= UInt<5>("h00") @[lib.scala 384:33] + _T_746.valid <= UInt<1>("h00") @[lib.scala 384:33] + reg _T_747 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}}, rvclkhdr_8.io.l1clk with : (reset => (reset, _T_746)) @[lib.scala 384:16] + _T_747.bits.csrwaddr <= x_d_in.bits.csrwaddr @[lib.scala 384:16] + _T_747.bits.csrwonly <= x_d_in.bits.csrwonly @[lib.scala 384:16] + _T_747.bits.csrwen <= x_d_in.bits.csrwen @[lib.scala 384:16] + _T_747.bits.i0v <= x_d_in.bits.i0v @[lib.scala 384:16] + _T_747.bits.i0div <= x_d_in.bits.i0div @[lib.scala 384:16] + _T_747.bits.i0store <= x_d_in.bits.i0store @[lib.scala 384:16] + _T_747.bits.i0load <= x_d_in.bits.i0load @[lib.scala 384:16] + _T_747.bits.i0rd <= x_d_in.bits.i0rd @[lib.scala 384:16] + _T_747.valid <= x_d_in.valid @[lib.scala 384:16] + r_d.bits.csrwaddr <= _T_747.bits.csrwaddr @[dec_decode_ctl.scala 647:7] + r_d.bits.csrwonly <= _T_747.bits.csrwonly @[dec_decode_ctl.scala 647:7] + r_d.bits.csrwen <= _T_747.bits.csrwen @[dec_decode_ctl.scala 647:7] + r_d.bits.i0v <= _T_747.bits.i0v @[dec_decode_ctl.scala 647:7] + r_d.bits.i0div <= _T_747.bits.i0div @[dec_decode_ctl.scala 647:7] + r_d.bits.i0store <= _T_747.bits.i0store @[dec_decode_ctl.scala 647:7] + r_d.bits.i0load <= _T_747.bits.i0load @[dec_decode_ctl.scala 647:7] + r_d.bits.i0rd <= _T_747.bits.i0rd @[dec_decode_ctl.scala 647:7] + r_d.valid <= _T_747.valid @[dec_decode_ctl.scala 647:7] + r_d_in.bits.csrwaddr <= r_d.bits.csrwaddr @[dec_decode_ctl.scala 648:10] + r_d_in.bits.csrwonly <= r_d.bits.csrwonly @[dec_decode_ctl.scala 648:10] + r_d_in.bits.csrwen <= r_d.bits.csrwen @[dec_decode_ctl.scala 648:10] + r_d_in.bits.i0v <= r_d.bits.i0v @[dec_decode_ctl.scala 648:10] + r_d_in.bits.i0div <= r_d.bits.i0div @[dec_decode_ctl.scala 648:10] + r_d_in.bits.i0store <= r_d.bits.i0store @[dec_decode_ctl.scala 648:10] + r_d_in.bits.i0load <= r_d.bits.i0load @[dec_decode_ctl.scala 648:10] + r_d_in.bits.i0rd <= r_d.bits.i0rd @[dec_decode_ctl.scala 648:10] + r_d_in.valid <= r_d.valid @[dec_decode_ctl.scala 648:10] + r_d_in.bits.i0rd <= r_d.bits.i0rd @[dec_decode_ctl.scala 649:22] + node _T_748 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[dec_decode_ctl.scala 651:51] + node _T_749 = and(r_d.bits.i0v, _T_748) @[dec_decode_ctl.scala 651:49] + r_d_in.bits.i0v <= _T_749 @[dec_decode_ctl.scala 651:27] + node _T_750 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[dec_decode_ctl.scala 652:37] + node _T_751 = and(r_d.valid, _T_750) @[dec_decode_ctl.scala 652:35] + r_d_in.valid <= _T_751 @[dec_decode_ctl.scala 652:20] + node _T_752 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[dec_decode_ctl.scala 653:51] + node _T_753 = and(r_d.bits.i0load, _T_752) @[dec_decode_ctl.scala 653:49] + r_d_in.bits.i0load <= _T_753 @[dec_decode_ctl.scala 653:27] + node _T_754 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[dec_decode_ctl.scala 654:51] + node _T_755 = and(r_d.bits.i0store, _T_754) @[dec_decode_ctl.scala 654:49] + r_d_in.bits.i0store <= _T_755 @[dec_decode_ctl.scala 654:27] + node _T_756 = bits(i0_wb_ctl_en, 0, 0) @[dec_decode_ctl.scala 656:37] inst rvclkhdr_9 of rvclkhdr_670 @[lib.scala 378:23] rvclkhdr_9.clock <= clock rvclkhdr_9.reset <= reset rvclkhdr_9.io.clk <= clock @[lib.scala 380:18] - rvclkhdr_9.io.en <= _T_754 @[lib.scala 381:17] + rvclkhdr_9.io.en <= _T_756 @[lib.scala 381:17] rvclkhdr_9.io.scan_mode <= io.scan_mode @[lib.scala 382:24] - wire _T_755 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[lib.scala 384:33] - _T_755.bits.csrwaddr <= UInt<12>("h00") @[lib.scala 384:33] - _T_755.bits.csrwonly <= UInt<1>("h00") @[lib.scala 384:33] - _T_755.bits.csrwen <= UInt<1>("h00") @[lib.scala 384:33] - _T_755.bits.i0v <= UInt<1>("h00") @[lib.scala 384:33] - _T_755.bits.i0div <= UInt<1>("h00") @[lib.scala 384:33] - _T_755.bits.i0store <= UInt<1>("h00") @[lib.scala 384:33] - _T_755.bits.i0load <= UInt<1>("h00") @[lib.scala 384:33] - _T_755.bits.i0rd <= UInt<5>("h00") @[lib.scala 384:33] - _T_755.valid <= UInt<1>("h00") @[lib.scala 384:33] - reg _T_756 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}}, rvclkhdr_9.io.l1clk with : (reset => (reset, _T_755)) @[lib.scala 384:16] - _T_756.bits.csrwaddr <= r_d_in.bits.csrwaddr @[lib.scala 384:16] - _T_756.bits.csrwonly <= r_d_in.bits.csrwonly @[lib.scala 384:16] - _T_756.bits.csrwen <= r_d_in.bits.csrwen @[lib.scala 384:16] - _T_756.bits.i0v <= r_d_in.bits.i0v @[lib.scala 384:16] - _T_756.bits.i0div <= r_d_in.bits.i0div @[lib.scala 384:16] - _T_756.bits.i0store <= r_d_in.bits.i0store @[lib.scala 384:16] - _T_756.bits.i0load <= r_d_in.bits.i0load @[lib.scala 384:16] - _T_756.bits.i0rd <= r_d_in.bits.i0rd @[lib.scala 384:16] - _T_756.valid <= r_d_in.valid @[lib.scala 384:16] - wbd.bits.csrwaddr <= _T_756.bits.csrwaddr @[dec_decode_ctl.scala 662:7] - wbd.bits.csrwonly <= _T_756.bits.csrwonly @[dec_decode_ctl.scala 662:7] - wbd.bits.csrwen <= _T_756.bits.csrwen @[dec_decode_ctl.scala 662:7] - wbd.bits.i0v <= _T_756.bits.i0v @[dec_decode_ctl.scala 662:7] - wbd.bits.i0div <= _T_756.bits.i0div @[dec_decode_ctl.scala 662:7] - wbd.bits.i0store <= _T_756.bits.i0store @[dec_decode_ctl.scala 662:7] - wbd.bits.i0load <= _T_756.bits.i0load @[dec_decode_ctl.scala 662:7] - wbd.bits.i0rd <= _T_756.bits.i0rd @[dec_decode_ctl.scala 662:7] - wbd.valid <= _T_756.valid @[dec_decode_ctl.scala 662:7] - io.dec_i0_waddr_r <= r_d_in.bits.i0rd @[dec_decode_ctl.scala 664:27] - node _T_757 = eq(io.dec_tlu_i0_kill_writeb_r, UInt<1>("h00")) @[dec_decode_ctl.scala 665:47] - node _T_758 = and(r_d_in.bits.i0v, _T_757) @[dec_decode_ctl.scala 665:45] - i0_wen_r <= _T_758 @[dec_decode_ctl.scala 665:25] - node _T_759 = eq(r_d_in.bits.i0div, UInt<1>("h00")) @[dec_decode_ctl.scala 666:49] - node _T_760 = and(i0_wen_r, _T_759) @[dec_decode_ctl.scala 666:47] - node _T_761 = eq(i0_load_kill_wen_r, UInt<1>("h00")) @[dec_decode_ctl.scala 666:70] - node _T_762 = and(_T_760, _T_761) @[dec_decode_ctl.scala 666:68] - io.dec_i0_wen_r <= _T_762 @[dec_decode_ctl.scala 666:32] - io.dec_i0_wdata_r <= i0_result_corr_r @[dec_decode_ctl.scala 667:26] - node _T_763 = bits(i0_r_data_en, 0, 0) @[dec_decode_ctl.scala 669:57] + wire _T_757 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[lib.scala 384:33] + _T_757.bits.csrwaddr <= UInt<12>("h00") @[lib.scala 384:33] + _T_757.bits.csrwonly <= UInt<1>("h00") @[lib.scala 384:33] + _T_757.bits.csrwen <= UInt<1>("h00") @[lib.scala 384:33] + _T_757.bits.i0v <= UInt<1>("h00") @[lib.scala 384:33] + _T_757.bits.i0div <= UInt<1>("h00") @[lib.scala 384:33] + _T_757.bits.i0store <= UInt<1>("h00") @[lib.scala 384:33] + _T_757.bits.i0load <= UInt<1>("h00") @[lib.scala 384:33] + _T_757.bits.i0rd <= UInt<5>("h00") @[lib.scala 384:33] + _T_757.valid <= UInt<1>("h00") @[lib.scala 384:33] + reg _T_758 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}}, rvclkhdr_9.io.l1clk with : (reset => (reset, _T_757)) @[lib.scala 384:16] + _T_758.bits.csrwaddr <= r_d_in.bits.csrwaddr @[lib.scala 384:16] + _T_758.bits.csrwonly <= r_d_in.bits.csrwonly @[lib.scala 384:16] + _T_758.bits.csrwen <= r_d_in.bits.csrwen @[lib.scala 384:16] + _T_758.bits.i0v <= r_d_in.bits.i0v @[lib.scala 384:16] + _T_758.bits.i0div <= r_d_in.bits.i0div @[lib.scala 384:16] + _T_758.bits.i0store <= r_d_in.bits.i0store @[lib.scala 384:16] + _T_758.bits.i0load <= r_d_in.bits.i0load @[lib.scala 384:16] + _T_758.bits.i0rd <= r_d_in.bits.i0rd @[lib.scala 384:16] + _T_758.valid <= r_d_in.valid @[lib.scala 384:16] + wbd.bits.csrwaddr <= _T_758.bits.csrwaddr @[dec_decode_ctl.scala 656:7] + wbd.bits.csrwonly <= _T_758.bits.csrwonly @[dec_decode_ctl.scala 656:7] + wbd.bits.csrwen <= _T_758.bits.csrwen @[dec_decode_ctl.scala 656:7] + wbd.bits.i0v <= _T_758.bits.i0v @[dec_decode_ctl.scala 656:7] + wbd.bits.i0div <= _T_758.bits.i0div @[dec_decode_ctl.scala 656:7] + wbd.bits.i0store <= _T_758.bits.i0store @[dec_decode_ctl.scala 656:7] + wbd.bits.i0load <= _T_758.bits.i0load @[dec_decode_ctl.scala 656:7] + wbd.bits.i0rd <= _T_758.bits.i0rd @[dec_decode_ctl.scala 656:7] + wbd.valid <= _T_758.valid @[dec_decode_ctl.scala 656:7] + io.dec_i0_waddr_r <= r_d_in.bits.i0rd @[dec_decode_ctl.scala 658:27] + node _T_759 = eq(io.dec_tlu_i0_kill_writeb_r, UInt<1>("h00")) @[dec_decode_ctl.scala 659:47] + node _T_760 = and(r_d_in.bits.i0v, _T_759) @[dec_decode_ctl.scala 659:45] + i0_wen_r <= _T_760 @[dec_decode_ctl.scala 659:25] + node _T_761 = eq(r_d_in.bits.i0div, UInt<1>("h00")) @[dec_decode_ctl.scala 660:49] + node _T_762 = and(i0_wen_r, _T_761) @[dec_decode_ctl.scala 660:47] + node _T_763 = eq(i0_load_kill_wen_r, UInt<1>("h00")) @[dec_decode_ctl.scala 660:70] + node _T_764 = and(_T_762, _T_763) @[dec_decode_ctl.scala 660:68] + io.dec_i0_wen_r <= _T_764 @[dec_decode_ctl.scala 660:32] + io.dec_i0_wdata_r <= i0_result_corr_r @[dec_decode_ctl.scala 661:26] + node _T_765 = bits(i0_r_data_en, 0, 0) @[dec_decode_ctl.scala 663:57] inst rvclkhdr_10 of rvclkhdr_671 @[lib.scala 368:23] rvclkhdr_10.clock <= clock rvclkhdr_10.reset <= reset rvclkhdr_10.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_10.io.en <= _T_763 @[lib.scala 371:17] + rvclkhdr_10.io.en <= _T_765 @[lib.scala 371:17] rvclkhdr_10.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg i0_result_r_raw : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] i0_result_r_raw <= i0_result_x @[lib.scala 374:16] - node _T_764 = and(x_d.bits.i0v, x_d.bits.i0load) @[dec_decode_ctl.scala 675:47] - node _T_765 = bits(_T_764, 0, 0) @[dec_decode_ctl.scala 675:66] - node _T_766 = mux(_T_765, io.lsu_result_m, io.decode_exu.exu_i0_result_x) @[dec_decode_ctl.scala 675:32] - i0_result_x <= _T_766 @[dec_decode_ctl.scala 675:26] - i0_result_r <= i0_result_r_raw @[dec_decode_ctl.scala 676:26] - node _T_767 = and(r_d.bits.i0v, r_d.bits.i0load) @[dec_decode_ctl.scala 680:42] - node _T_768 = bits(_T_767, 0, 0) @[dec_decode_ctl.scala 680:61] - node _T_769 = mux(_T_768, io.lsu_result_corr_r, i0_result_r_raw) @[dec_decode_ctl.scala 680:27] - i0_result_corr_r <= _T_769 @[dec_decode_ctl.scala 680:21] - node _T_770 = eq(i0_dp.jal, UInt<1>("h00")) @[dec_decode_ctl.scala 681:73] - node _T_771 = and(io.decode_exu.i0_ap.predict_nt, _T_770) @[dec_decode_ctl.scala 681:71] - node _T_772 = bits(_T_771, 0, 0) @[dec_decode_ctl.scala 681:85] - wire _T_773 : UInt<1>[10] @[lib.scala 12:48] - _T_773[0] <= UInt<1>("h00") @[lib.scala 12:48] - _T_773[1] <= UInt<1>("h00") @[lib.scala 12:48] - _T_773[2] <= UInt<1>("h00") @[lib.scala 12:48] - _T_773[3] <= UInt<1>("h00") @[lib.scala 12:48] - _T_773[4] <= UInt<1>("h00") @[lib.scala 12:48] - _T_773[5] <= UInt<1>("h00") @[lib.scala 12:48] - _T_773[6] <= UInt<1>("h00") @[lib.scala 12:48] - _T_773[7] <= UInt<1>("h00") @[lib.scala 12:48] - _T_773[8] <= UInt<1>("h00") @[lib.scala 12:48] - _T_773[9] <= UInt<1>("h00") @[lib.scala 12:48] - node _T_774 = cat(_T_773[0], _T_773[1]) @[Cat.scala 29:58] - node _T_775 = cat(_T_774, _T_773[2]) @[Cat.scala 29:58] - node _T_776 = cat(_T_775, _T_773[3]) @[Cat.scala 29:58] - node _T_777 = cat(_T_776, _T_773[4]) @[Cat.scala 29:58] - node _T_778 = cat(_T_777, _T_773[5]) @[Cat.scala 29:58] - node _T_779 = cat(_T_778, _T_773[6]) @[Cat.scala 29:58] - node _T_780 = cat(_T_779, _T_773[7]) @[Cat.scala 29:58] - node _T_781 = cat(_T_780, _T_773[8]) @[Cat.scala 29:58] - node _T_782 = cat(_T_781, _T_773[9]) @[Cat.scala 29:58] - node _T_783 = cat(_T_782, io.dec_i0_pc4_d) @[Cat.scala 29:58] - node _T_784 = cat(_T_783, i0_ap_pc2) @[Cat.scala 29:58] - node _T_785 = mux(_T_772, i0_br_offset, _T_784) @[dec_decode_ctl.scala 681:38] - io.dec_alu.dec_i0_br_immed_d <= _T_785 @[dec_decode_ctl.scala 681:32] + node _T_766 = and(x_d.bits.i0v, x_d.bits.i0load) @[dec_decode_ctl.scala 669:47] + node _T_767 = bits(_T_766, 0, 0) @[dec_decode_ctl.scala 669:66] + node _T_768 = mux(_T_767, io.lsu_result_m, io.decode_exu.exu_i0_result_x) @[dec_decode_ctl.scala 669:32] + i0_result_x <= _T_768 @[dec_decode_ctl.scala 669:26] + i0_result_r <= i0_result_r_raw @[dec_decode_ctl.scala 670:26] + node _T_769 = and(r_d.bits.i0v, r_d.bits.i0load) @[dec_decode_ctl.scala 674:42] + node _T_770 = bits(_T_769, 0, 0) @[dec_decode_ctl.scala 674:61] + node _T_771 = mux(_T_770, io.lsu_result_corr_r, i0_result_r_raw) @[dec_decode_ctl.scala 674:27] + i0_result_corr_r <= _T_771 @[dec_decode_ctl.scala 674:21] + node _T_772 = eq(i0_dp.jal, UInt<1>("h00")) @[dec_decode_ctl.scala 675:73] + node _T_773 = and(io.decode_exu.i0_ap.predict_nt, _T_772) @[dec_decode_ctl.scala 675:71] + node _T_774 = bits(_T_773, 0, 0) @[dec_decode_ctl.scala 675:85] + wire _T_775 : UInt<1>[10] @[lib.scala 12:48] + _T_775[0] <= UInt<1>("h00") @[lib.scala 12:48] + _T_775[1] <= UInt<1>("h00") @[lib.scala 12:48] + _T_775[2] <= UInt<1>("h00") @[lib.scala 12:48] + _T_775[3] <= UInt<1>("h00") @[lib.scala 12:48] + _T_775[4] <= UInt<1>("h00") @[lib.scala 12:48] + _T_775[5] <= UInt<1>("h00") @[lib.scala 12:48] + _T_775[6] <= UInt<1>("h00") @[lib.scala 12:48] + _T_775[7] <= UInt<1>("h00") @[lib.scala 12:48] + _T_775[8] <= UInt<1>("h00") @[lib.scala 12:48] + _T_775[9] <= UInt<1>("h00") @[lib.scala 12:48] + node _T_776 = cat(_T_775[0], _T_775[1]) @[Cat.scala 29:58] + node _T_777 = cat(_T_776, _T_775[2]) @[Cat.scala 29:58] + node _T_778 = cat(_T_777, _T_775[3]) @[Cat.scala 29:58] + node _T_779 = cat(_T_778, _T_775[4]) @[Cat.scala 29:58] + node _T_780 = cat(_T_779, _T_775[5]) @[Cat.scala 29:58] + node _T_781 = cat(_T_780, _T_775[6]) @[Cat.scala 29:58] + node _T_782 = cat(_T_781, _T_775[7]) @[Cat.scala 29:58] + node _T_783 = cat(_T_782, _T_775[8]) @[Cat.scala 29:58] + node _T_784 = cat(_T_783, _T_775[9]) @[Cat.scala 29:58] + node _T_785 = cat(_T_784, io.dec_i0_pc4_d) @[Cat.scala 29:58] + node _T_786 = cat(_T_785, i0_ap_pc2) @[Cat.scala 29:58] + node _T_787 = mux(_T_774, i0_br_offset, _T_786) @[dec_decode_ctl.scala 675:38] + io.dec_alu.dec_i0_br_immed_d <= _T_787 @[dec_decode_ctl.scala 675:32] wire last_br_immed_d : UInt<12> last_br_immed_d <= UInt<1>("h00") - node _T_786 = bits(io.decode_exu.i0_ap.predict_nt, 0, 0) @[dec_decode_ctl.scala 683:59] - wire _T_787 : UInt<1>[10] @[lib.scala 12:48] - _T_787[0] <= UInt<1>("h00") @[lib.scala 12:48] - _T_787[1] <= UInt<1>("h00") @[lib.scala 12:48] - _T_787[2] <= UInt<1>("h00") @[lib.scala 12:48] - _T_787[3] <= UInt<1>("h00") @[lib.scala 12:48] - _T_787[4] <= UInt<1>("h00") @[lib.scala 12:48] - _T_787[5] <= UInt<1>("h00") @[lib.scala 12:48] - _T_787[6] <= UInt<1>("h00") @[lib.scala 12:48] - _T_787[7] <= UInt<1>("h00") @[lib.scala 12:48] - _T_787[8] <= UInt<1>("h00") @[lib.scala 12:48] - _T_787[9] <= UInt<1>("h00") @[lib.scala 12:48] - node _T_788 = cat(_T_787[0], _T_787[1]) @[Cat.scala 29:58] - node _T_789 = cat(_T_788, _T_787[2]) @[Cat.scala 29:58] - node _T_790 = cat(_T_789, _T_787[3]) @[Cat.scala 29:58] - node _T_791 = cat(_T_790, _T_787[4]) @[Cat.scala 29:58] - node _T_792 = cat(_T_791, _T_787[5]) @[Cat.scala 29:58] - node _T_793 = cat(_T_792, _T_787[6]) @[Cat.scala 29:58] - node _T_794 = cat(_T_793, _T_787[7]) @[Cat.scala 29:58] - node _T_795 = cat(_T_794, _T_787[8]) @[Cat.scala 29:58] - node _T_796 = cat(_T_795, _T_787[9]) @[Cat.scala 29:58] - node _T_797 = cat(_T_796, io.dec_i0_pc4_d) @[Cat.scala 29:58] - node _T_798 = cat(_T_797, i0_ap_pc2) @[Cat.scala 29:58] - node _T_799 = mux(_T_786, _T_798, i0_br_offset) @[dec_decode_ctl.scala 683:25] - last_br_immed_d <= _T_799 @[dec_decode_ctl.scala 683:19] + node _T_788 = bits(io.decode_exu.i0_ap.predict_nt, 0, 0) @[dec_decode_ctl.scala 677:59] + wire _T_789 : UInt<1>[10] @[lib.scala 12:48] + _T_789[0] <= UInt<1>("h00") @[lib.scala 12:48] + _T_789[1] <= UInt<1>("h00") @[lib.scala 12:48] + _T_789[2] <= UInt<1>("h00") @[lib.scala 12:48] + _T_789[3] <= UInt<1>("h00") @[lib.scala 12:48] + _T_789[4] <= UInt<1>("h00") @[lib.scala 12:48] + _T_789[5] <= UInt<1>("h00") @[lib.scala 12:48] + _T_789[6] <= UInt<1>("h00") @[lib.scala 12:48] + _T_789[7] <= UInt<1>("h00") @[lib.scala 12:48] + _T_789[8] <= UInt<1>("h00") @[lib.scala 12:48] + _T_789[9] <= UInt<1>("h00") @[lib.scala 12:48] + node _T_790 = cat(_T_789[0], _T_789[1]) @[Cat.scala 29:58] + node _T_791 = cat(_T_790, _T_789[2]) @[Cat.scala 29:58] + node _T_792 = cat(_T_791, _T_789[3]) @[Cat.scala 29:58] + node _T_793 = cat(_T_792, _T_789[4]) @[Cat.scala 29:58] + node _T_794 = cat(_T_793, _T_789[5]) @[Cat.scala 29:58] + node _T_795 = cat(_T_794, _T_789[6]) @[Cat.scala 29:58] + node _T_796 = cat(_T_795, _T_789[7]) @[Cat.scala 29:58] + node _T_797 = cat(_T_796, _T_789[8]) @[Cat.scala 29:58] + node _T_798 = cat(_T_797, _T_789[9]) @[Cat.scala 29:58] + node _T_799 = cat(_T_798, io.dec_i0_pc4_d) @[Cat.scala 29:58] + node _T_800 = cat(_T_799, i0_ap_pc2) @[Cat.scala 29:58] + node _T_801 = mux(_T_788, _T_800, i0_br_offset) @[dec_decode_ctl.scala 677:25] + last_br_immed_d <= _T_801 @[dec_decode_ctl.scala 677:19] wire last_br_immed_x : UInt<12> last_br_immed_x <= UInt<1>("h00") - node _T_800 = bits(i0_x_data_en, 0, 0) @[dec_decode_ctl.scala 685:58] + node _T_802 = bits(i0_x_data_en, 0, 0) @[dec_decode_ctl.scala 679:58] inst rvclkhdr_11 of rvclkhdr_672 @[lib.scala 368:23] rvclkhdr_11.clock <= clock rvclkhdr_11.reset <= reset rvclkhdr_11.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_11.io.en <= _T_800 @[lib.scala 371:17] + rvclkhdr_11.io.en <= _T_802 @[lib.scala 371:17] rvclkhdr_11.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_801 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_801 <= last_br_immed_d @[lib.scala 374:16] - last_br_immed_x <= _T_801 @[dec_decode_ctl.scala 685:19] - node _T_802 = and(x_d.bits.i0div, x_d.valid) @[dec_decode_ctl.scala 689:45] - node _T_803 = and(r_d.bits.i0div, r_d.valid) @[dec_decode_ctl.scala 689:76] - node div_e1_to_r = or(_T_802, _T_803) @[dec_decode_ctl.scala 689:58] - node _T_804 = and(x_d.bits.i0div, x_d.valid) @[dec_decode_ctl.scala 691:48] - node _T_805 = eq(x_d.bits.i0rd, UInt<5>("h00")) @[dec_decode_ctl.scala 691:77] - node _T_806 = and(_T_804, _T_805) @[dec_decode_ctl.scala 691:60] - node _T_807 = and(x_d.bits.i0div, x_d.valid) @[dec_decode_ctl.scala 692:21] - node _T_808 = and(_T_807, io.dec_tlu_flush_lower_r) @[dec_decode_ctl.scala 692:33] - node _T_809 = or(_T_806, _T_808) @[dec_decode_ctl.scala 691:94] - node _T_810 = and(r_d.bits.i0div, r_d.valid) @[dec_decode_ctl.scala 693:21] - node _T_811 = and(_T_810, io.dec_tlu_flush_lower_r) @[dec_decode_ctl.scala 693:33] - node _T_812 = and(_T_811, io.dec_tlu_i0_kill_writeb_r) @[dec_decode_ctl.scala 693:60] - node div_flush = or(_T_809, _T_812) @[dec_decode_ctl.scala 692:62] - node _T_813 = and(io.dec_div_active, div_flush) @[dec_decode_ctl.scala 697:51] - node _T_814 = eq(div_e1_to_r, UInt<1>("h00")) @[dec_decode_ctl.scala 698:26] - node _T_815 = and(io.dec_div_active, _T_814) @[dec_decode_ctl.scala 698:24] - node _T_816 = eq(r_d.bits.i0rd, io.div_waddr_wb) @[dec_decode_ctl.scala 698:56] - node _T_817 = and(_T_815, _T_816) @[dec_decode_ctl.scala 698:39] - node _T_818 = and(_T_817, i0_wen_r) @[dec_decode_ctl.scala 698:77] - node nonblock_div_cancel = or(_T_813, _T_818) @[dec_decode_ctl.scala 697:65] - node _T_819 = bits(nonblock_div_cancel, 0, 0) @[dec_decode_ctl.scala 700:61] - io.dec_div.dec_div_cancel <= _T_819 @[dec_decode_ctl.scala 700:37] - node i0_div_decode_d = and(i0_legal_decode_d, i0_dp.div) @[dec_decode_ctl.scala 701:55] - node _T_820 = eq(io.exu_div_wren, UInt<1>("h00")) @[dec_decode_ctl.scala 703:62] - node _T_821 = and(io.dec_div_active, _T_820) @[dec_decode_ctl.scala 703:60] - node _T_822 = eq(nonblock_div_cancel, UInt<1>("h00")) @[dec_decode_ctl.scala 703:81] - node _T_823 = and(_T_821, _T_822) @[dec_decode_ctl.scala 703:79] - node div_active_in = or(i0_div_decode_d, _T_823) @[dec_decode_ctl.scala 703:39] - reg _T_824 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 705:54] - _T_824 <= div_active_in @[dec_decode_ctl.scala 705:54] - io.dec_div_active <= _T_824 @[dec_decode_ctl.scala 705:21] - node _T_825 = and(io.decode_exu.dec_i0_rs1_en_d, io.dec_div_active) @[dec_decode_ctl.scala 708:60] - node _T_826 = eq(io.div_waddr_wb, i0r.rs1) @[dec_decode_ctl.scala 708:99] - node _T_827 = and(_T_825, _T_826) @[dec_decode_ctl.scala 708:80] - node _T_828 = and(io.decode_exu.dec_i0_rs2_en_d, io.dec_div_active) @[dec_decode_ctl.scala 709:36] - node _T_829 = eq(io.div_waddr_wb, i0r.rs2) @[dec_decode_ctl.scala 709:75] - node _T_830 = and(_T_828, _T_829) @[dec_decode_ctl.scala 709:56] - node _T_831 = or(_T_827, _T_830) @[dec_decode_ctl.scala 708:113] - i0_nonblock_div_stall <= _T_831 @[dec_decode_ctl.scala 708:26] - node _T_832 = bits(i0_div_decode_d, 0, 0) @[dec_decode_ctl.scala 711:59] - reg _T_833 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_832 : @[Reg.scala 28:19] - _T_833 <= i0r.rd @[Reg.scala 28:23] + reg _T_803 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_803 <= last_br_immed_d @[lib.scala 374:16] + last_br_immed_x <= _T_803 @[dec_decode_ctl.scala 679:19] + node _T_804 = and(x_d.bits.i0div, x_d.valid) @[dec_decode_ctl.scala 683:45] + node _T_805 = and(r_d.bits.i0div, r_d.valid) @[dec_decode_ctl.scala 683:76] + node div_e1_to_r = or(_T_804, _T_805) @[dec_decode_ctl.scala 683:58] + node _T_806 = and(x_d.bits.i0div, x_d.valid) @[dec_decode_ctl.scala 685:48] + node _T_807 = eq(x_d.bits.i0rd, UInt<5>("h00")) @[dec_decode_ctl.scala 685:77] + node _T_808 = and(_T_806, _T_807) @[dec_decode_ctl.scala 685:60] + node _T_809 = and(x_d.bits.i0div, x_d.valid) @[dec_decode_ctl.scala 686:21] + node _T_810 = and(_T_809, io.dec_tlu_flush_lower_r) @[dec_decode_ctl.scala 686:33] + node _T_811 = or(_T_808, _T_810) @[dec_decode_ctl.scala 685:94] + node _T_812 = and(r_d.bits.i0div, r_d.valid) @[dec_decode_ctl.scala 687:21] + node _T_813 = and(_T_812, io.dec_tlu_flush_lower_r) @[dec_decode_ctl.scala 687:33] + node _T_814 = and(_T_813, io.dec_tlu_i0_kill_writeb_r) @[dec_decode_ctl.scala 687:60] + node div_flush = or(_T_811, _T_814) @[dec_decode_ctl.scala 686:62] + node _T_815 = and(io.dec_div_active, div_flush) @[dec_decode_ctl.scala 691:51] + node _T_816 = eq(div_e1_to_r, UInt<1>("h00")) @[dec_decode_ctl.scala 692:26] + node _T_817 = and(io.dec_div_active, _T_816) @[dec_decode_ctl.scala 692:24] + node _T_818 = eq(r_d.bits.i0rd, io.div_waddr_wb) @[dec_decode_ctl.scala 692:56] + node _T_819 = and(_T_817, _T_818) @[dec_decode_ctl.scala 692:39] + node _T_820 = and(_T_819, i0_wen_r) @[dec_decode_ctl.scala 692:77] + node nonblock_div_cancel = or(_T_815, _T_820) @[dec_decode_ctl.scala 691:65] + node _T_821 = bits(nonblock_div_cancel, 0, 0) @[dec_decode_ctl.scala 694:61] + io.dec_div.dec_div_cancel <= _T_821 @[dec_decode_ctl.scala 694:37] + node i0_div_decode_d = and(i0_legal_decode_d, i0_dp.div) @[dec_decode_ctl.scala 695:55] + node _T_822 = eq(io.exu_div_wren, UInt<1>("h00")) @[dec_decode_ctl.scala 697:62] + node _T_823 = and(io.dec_div_active, _T_822) @[dec_decode_ctl.scala 697:60] + node _T_824 = eq(nonblock_div_cancel, UInt<1>("h00")) @[dec_decode_ctl.scala 697:81] + node _T_825 = and(_T_823, _T_824) @[dec_decode_ctl.scala 697:79] + node div_active_in = or(i0_div_decode_d, _T_825) @[dec_decode_ctl.scala 697:39] + reg _T_826 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 699:54] + _T_826 <= div_active_in @[dec_decode_ctl.scala 699:54] + io.dec_div_active <= _T_826 @[dec_decode_ctl.scala 699:21] + node _T_827 = and(io.decode_exu.dec_i0_rs1_en_d, io.dec_div_active) @[dec_decode_ctl.scala 702:60] + node _T_828 = eq(io.div_waddr_wb, i0r.rs1) @[dec_decode_ctl.scala 702:99] + node _T_829 = and(_T_827, _T_828) @[dec_decode_ctl.scala 702:80] + node _T_830 = and(io.decode_exu.dec_i0_rs2_en_d, io.dec_div_active) @[dec_decode_ctl.scala 703:36] + node _T_831 = eq(io.div_waddr_wb, i0r.rs2) @[dec_decode_ctl.scala 703:75] + node _T_832 = and(_T_830, _T_831) @[dec_decode_ctl.scala 703:56] + node _T_833 = or(_T_829, _T_832) @[dec_decode_ctl.scala 702:113] + i0_nonblock_div_stall <= _T_833 @[dec_decode_ctl.scala 702:26] + node _T_834 = bits(i0_div_decode_d, 0, 0) @[dec_decode_ctl.scala 705:59] + reg _T_835 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_834 : @[Reg.scala 28:19] + _T_835 <= i0r.rd @[Reg.scala 28:23] skip @[Reg.scala 28:19] - io.div_waddr_wb <= _T_833 @[dec_decode_ctl.scala 711:19] - node _T_834 = bits(i0_inst_d, 24, 7) @[dec_decode_ctl.scala 718:34] - node _T_835 = bits(i0_div_decode_d, 0, 0) @[dec_decode_ctl.scala 718:57] + io.div_waddr_wb <= _T_835 @[dec_decode_ctl.scala 705:19] + node _T_836 = bits(i0_inst_d, 24, 7) @[dec_decode_ctl.scala 712:34] + node _T_837 = bits(i0_div_decode_d, 0, 0) @[dec_decode_ctl.scala 712:57] inst rvclkhdr_12 of rvclkhdr_673 @[lib.scala 368:23] rvclkhdr_12.clock <= clock rvclkhdr_12.reset <= reset rvclkhdr_12.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_12.io.en <= _T_835 @[lib.scala 371:17] + rvclkhdr_12.io.en <= _T_837 @[lib.scala 371:17] rvclkhdr_12.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg div_inst : UInt, rvclkhdr_12.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - div_inst <= _T_834 @[lib.scala 374:16] - node _T_836 = bits(i0_x_data_en, 0, 0) @[dec_decode_ctl.scala 719:49] + div_inst <= _T_836 @[lib.scala 374:16] + node _T_838 = bits(i0_x_data_en, 0, 0) @[dec_decode_ctl.scala 713:49] inst rvclkhdr_13 of rvclkhdr_674 @[lib.scala 368:23] rvclkhdr_13.clock <= clock rvclkhdr_13.reset <= reset rvclkhdr_13.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_13.io.en <= _T_836 @[lib.scala 371:17] + rvclkhdr_13.io.en <= _T_838 @[lib.scala 371:17] rvclkhdr_13.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg i0_inst_x : UInt, rvclkhdr_13.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] i0_inst_x <= i0_inst_d @[lib.scala 374:16] - node _T_837 = bits(i0_r_data_en, 0, 0) @[dec_decode_ctl.scala 720:49] + node _T_839 = bits(i0_r_data_en, 0, 0) @[dec_decode_ctl.scala 714:49] inst rvclkhdr_14 of rvclkhdr_675 @[lib.scala 368:23] rvclkhdr_14.clock <= clock rvclkhdr_14.reset <= reset rvclkhdr_14.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_14.io.en <= _T_837 @[lib.scala 371:17] + rvclkhdr_14.io.en <= _T_839 @[lib.scala 371:17] rvclkhdr_14.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg i0_inst_r : UInt, rvclkhdr_14.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] i0_inst_r <= i0_inst_x @[lib.scala 374:16] - node _T_838 = bits(i0_wb_data_en, 0, 0) @[dec_decode_ctl.scala 722:50] + node _T_840 = bits(i0_wb_data_en, 0, 0) @[dec_decode_ctl.scala 716:50] inst rvclkhdr_15 of rvclkhdr_676 @[lib.scala 368:23] rvclkhdr_15.clock <= clock rvclkhdr_15.reset <= reset rvclkhdr_15.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_15.io.en <= _T_838 @[lib.scala 371:17] + rvclkhdr_15.io.en <= _T_840 @[lib.scala 371:17] rvclkhdr_15.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg i0_inst_wb : UInt, rvclkhdr_15.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] i0_inst_wb <= i0_inst_r @[lib.scala 374:16] - node _T_839 = bits(i0_wb1_data_en, 0, 0) @[dec_decode_ctl.scala 723:53] + node _T_841 = bits(i0_wb1_data_en, 0, 0) @[dec_decode_ctl.scala 717:53] inst rvclkhdr_16 of rvclkhdr_677 @[lib.scala 368:23] rvclkhdr_16.clock <= clock rvclkhdr_16.reset <= reset rvclkhdr_16.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_16.io.en <= _T_839 @[lib.scala 371:17] + rvclkhdr_16.io.en <= _T_841 @[lib.scala 371:17] rvclkhdr_16.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_840 : UInt, rvclkhdr_16.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_840 <= i0_inst_wb @[lib.scala 374:16] - io.dec_i0_inst_wb1 <= _T_840 @[dec_decode_ctl.scala 723:22] - node _T_841 = bits(i0_wb_data_en, 0, 0) @[dec_decode_ctl.scala 724:53] + reg _T_842 : UInt, rvclkhdr_16.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_842 <= i0_inst_wb @[lib.scala 374:16] + io.dec_i0_inst_wb1 <= _T_842 @[dec_decode_ctl.scala 717:22] + node _T_843 = bits(i0_wb_data_en, 0, 0) @[dec_decode_ctl.scala 718:53] inst rvclkhdr_17 of rvclkhdr_678 @[lib.scala 368:23] rvclkhdr_17.clock <= clock rvclkhdr_17.reset <= reset rvclkhdr_17.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_17.io.en <= _T_841 @[lib.scala 371:17] + rvclkhdr_17.io.en <= _T_843 @[lib.scala 371:17] rvclkhdr_17.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg i0_pc_wb : UInt, rvclkhdr_17.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] i0_pc_wb <= io.dec_tlu_i0_pc_r @[lib.scala 374:16] - node _T_842 = bits(i0_wb1_data_en, 0, 0) @[dec_decode_ctl.scala 726:49] + node _T_844 = bits(i0_wb1_data_en, 0, 0) @[dec_decode_ctl.scala 720:49] inst rvclkhdr_18 of rvclkhdr_679 @[lib.scala 368:23] rvclkhdr_18.clock <= clock rvclkhdr_18.reset <= reset rvclkhdr_18.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_18.io.en <= _T_842 @[lib.scala 371:17] + rvclkhdr_18.io.en <= _T_844 @[lib.scala 371:17] rvclkhdr_18.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_843 : UInt, rvclkhdr_18.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_843 <= i0_pc_wb @[lib.scala 374:16] - io.dec_i0_pc_wb1 <= _T_843 @[dec_decode_ctl.scala 726:20] - node _T_844 = bits(i0_r_data_en, 0, 0) @[dec_decode_ctl.scala 727:64] + reg _T_845 : UInt, rvclkhdr_18.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_845 <= i0_pc_wb @[lib.scala 374:16] + io.dec_i0_pc_wb1 <= _T_845 @[dec_decode_ctl.scala 720:20] + node _T_846 = bits(i0_r_data_en, 0, 0) @[dec_decode_ctl.scala 721:64] inst rvclkhdr_19 of rvclkhdr_680 @[lib.scala 368:23] rvclkhdr_19.clock <= clock rvclkhdr_19.reset <= reset rvclkhdr_19.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_19.io.en <= _T_844 @[lib.scala 371:17] + rvclkhdr_19.io.en <= _T_846 @[lib.scala 371:17] rvclkhdr_19.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg dec_i0_pc_r : UInt, rvclkhdr_19.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] dec_i0_pc_r <= io.dec_alu.exu_i0_pc_x @[lib.scala 374:16] - io.dec_tlu_i0_pc_r <= dec_i0_pc_r @[dec_decode_ctl.scala 729:27] - node _T_845 = cat(io.dec_alu.exu_i0_pc_x, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_846 = cat(last_br_immed_x, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_847 = bits(_T_845, 12, 1) @[lib.scala 68:24] - node _T_848 = bits(_T_846, 12, 1) @[lib.scala 68:40] - node _T_849 = add(_T_847, _T_848) @[lib.scala 68:31] - node _T_850 = bits(_T_845, 31, 13) @[lib.scala 69:20] - node _T_851 = add(_T_850, UInt<1>("h01")) @[lib.scala 69:27] - node _T_852 = tail(_T_851, 1) @[lib.scala 69:27] - node _T_853 = bits(_T_845, 31, 13) @[lib.scala 70:20] - node _T_854 = sub(_T_853, UInt<1>("h01")) @[lib.scala 70:27] - node _T_855 = tail(_T_854, 1) @[lib.scala 70:27] - node _T_856 = bits(_T_846, 12, 12) @[lib.scala 71:22] - node _T_857 = bits(_T_849, 12, 12) @[lib.scala 72:39] - node _T_858 = eq(_T_857, UInt<1>("h00")) @[lib.scala 72:28] - node _T_859 = xor(_T_856, _T_858) @[lib.scala 72:26] - node _T_860 = bits(_T_859, 0, 0) @[lib.scala 72:64] - node _T_861 = bits(_T_845, 31, 13) @[lib.scala 72:76] - node _T_862 = eq(_T_856, UInt<1>("h00")) @[lib.scala 73:20] - node _T_863 = bits(_T_849, 12, 12) @[lib.scala 73:39] - node _T_864 = and(_T_862, _T_863) @[lib.scala 73:26] - node _T_865 = bits(_T_864, 0, 0) @[lib.scala 73:64] - node _T_866 = bits(_T_849, 12, 12) @[lib.scala 74:39] - node _T_867 = eq(_T_866, UInt<1>("h00")) @[lib.scala 74:28] - node _T_868 = and(_T_856, _T_867) @[lib.scala 74:26] - node _T_869 = bits(_T_868, 0, 0) @[lib.scala 74:64] - node _T_870 = mux(_T_860, _T_861, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_871 = mux(_T_865, _T_852, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_872 = mux(_T_869, _T_855, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_873 = or(_T_870, _T_871) @[Mux.scala 27:72] - node _T_874 = or(_T_873, _T_872) @[Mux.scala 27:72] - wire _T_875 : UInt<19> @[Mux.scala 27:72] - _T_875 <= _T_874 @[Mux.scala 27:72] - node _T_876 = bits(_T_849, 11, 0) @[lib.scala 74:94] - node _T_877 = cat(_T_875, _T_876) @[Cat.scala 29:58] - node temp_pred_correct_npc_x = cat(_T_877, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_878 = bits(temp_pred_correct_npc_x, 31, 1) @[dec_decode_ctl.scala 734:62] - io.decode_exu.pred_correct_npc_x <= _T_878 @[dec_decode_ctl.scala 734:36] - node _T_879 = and(io.decode_exu.dec_i0_rs1_en_d, x_d.bits.i0v) @[dec_decode_ctl.scala 738:59] - node _T_880 = eq(x_d.bits.i0rd, i0r.rs1) @[dec_decode_ctl.scala 738:91] - node i0_rs1_depend_i0_x = and(_T_879, _T_880) @[dec_decode_ctl.scala 738:74] - node _T_881 = and(io.decode_exu.dec_i0_rs1_en_d, r_d.bits.i0v) @[dec_decode_ctl.scala 739:59] - node _T_882 = eq(r_d.bits.i0rd, i0r.rs1) @[dec_decode_ctl.scala 739:91] - node i0_rs1_depend_i0_r = and(_T_881, _T_882) @[dec_decode_ctl.scala 739:74] - node _T_883 = and(io.decode_exu.dec_i0_rs2_en_d, x_d.bits.i0v) @[dec_decode_ctl.scala 741:59] - node _T_884 = eq(x_d.bits.i0rd, i0r.rs2) @[dec_decode_ctl.scala 741:91] - node i0_rs2_depend_i0_x = and(_T_883, _T_884) @[dec_decode_ctl.scala 741:74] - node _T_885 = and(io.decode_exu.dec_i0_rs2_en_d, r_d.bits.i0v) @[dec_decode_ctl.scala 742:59] - node _T_886 = eq(r_d.bits.i0rd, i0r.rs2) @[dec_decode_ctl.scala 742:91] - node i0_rs2_depend_i0_r = and(_T_885, _T_886) @[dec_decode_ctl.scala 742:74] - node _T_887 = bits(i0_rs1_depend_i0_x, 0, 0) @[dec_decode_ctl.scala 744:44] - node _T_888 = bits(i0_rs1_depend_i0_r, 0, 0) @[dec_decode_ctl.scala 744:81] - wire _T_889 : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[dec_decode_ctl.scala 744:109] - _T_889.alu <= UInt<1>("h00") @[dec_decode_ctl.scala 744:109] - _T_889.load <= UInt<1>("h00") @[dec_decode_ctl.scala 744:109] - _T_889.mul <= UInt<1>("h00") @[dec_decode_ctl.scala 744:109] - node _T_890 = mux(_T_888, i0_r_c, _T_889) @[dec_decode_ctl.scala 744:61] - node _T_891 = mux(_T_887, i0_x_c, _T_890) @[dec_decode_ctl.scala 744:24] - i0_rs1_class_d.alu <= _T_891.alu @[dec_decode_ctl.scala 744:18] - i0_rs1_class_d.load <= _T_891.load @[dec_decode_ctl.scala 744:18] - i0_rs1_class_d.mul <= _T_891.mul @[dec_decode_ctl.scala 744:18] - node _T_892 = bits(i0_rs1_depend_i0_x, 0, 0) @[dec_decode_ctl.scala 745:44] - node _T_893 = bits(i0_rs1_depend_i0_r, 0, 0) @[dec_decode_ctl.scala 745:83] - node _T_894 = mux(_T_893, UInt<2>("h02"), UInt<1>("h00")) @[dec_decode_ctl.scala 745:63] - node _T_895 = mux(_T_892, UInt<2>("h01"), _T_894) @[dec_decode_ctl.scala 745:24] - i0_rs1_depth_d <= _T_895 @[dec_decode_ctl.scala 745:18] - node _T_896 = bits(i0_rs2_depend_i0_x, 0, 0) @[dec_decode_ctl.scala 746:44] - node _T_897 = bits(i0_rs2_depend_i0_r, 0, 0) @[dec_decode_ctl.scala 746:81] - wire _T_898 : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[dec_decode_ctl.scala 746:109] - _T_898.alu <= UInt<1>("h00") @[dec_decode_ctl.scala 746:109] - _T_898.load <= UInt<1>("h00") @[dec_decode_ctl.scala 746:109] - _T_898.mul <= UInt<1>("h00") @[dec_decode_ctl.scala 746:109] - node _T_899 = mux(_T_897, i0_r_c, _T_898) @[dec_decode_ctl.scala 746:61] - node _T_900 = mux(_T_896, i0_x_c, _T_899) @[dec_decode_ctl.scala 746:24] - i0_rs2_class_d.alu <= _T_900.alu @[dec_decode_ctl.scala 746:18] - i0_rs2_class_d.load <= _T_900.load @[dec_decode_ctl.scala 746:18] - i0_rs2_class_d.mul <= _T_900.mul @[dec_decode_ctl.scala 746:18] - node _T_901 = bits(i0_rs2_depend_i0_x, 0, 0) @[dec_decode_ctl.scala 747:44] - node _T_902 = bits(i0_rs2_depend_i0_r, 0, 0) @[dec_decode_ctl.scala 747:83] - node _T_903 = mux(_T_902, UInt<2>("h02"), UInt<1>("h00")) @[dec_decode_ctl.scala 747:63] - node _T_904 = mux(_T_901, UInt<2>("h01"), _T_903) @[dec_decode_ctl.scala 747:24] - i0_rs2_depth_d <= _T_904 @[dec_decode_ctl.scala 747:18] - i0_load_block_d <= UInt<1>("h00") @[dec_decode_ctl.scala 757:21] - node _T_905 = or(i0_dp.load, i0_dp.store) @[dec_decode_ctl.scala 758:43] - node _T_906 = bits(i0_rs1_depth_d, 0, 0) @[dec_decode_ctl.scala 758:74] - node _T_907 = and(_T_905, _T_906) @[dec_decode_ctl.scala 758:58] - node _T_908 = and(_T_907, i0_rs1_class_d.load) @[dec_decode_ctl.scala 758:78] - load_ldst_bypass_d <= _T_908 @[dec_decode_ctl.scala 758:27] - node _T_909 = bits(i0_rs2_depth_d, 0, 0) @[dec_decode_ctl.scala 759:59] - node _T_910 = and(i0_dp.store, _T_909) @[dec_decode_ctl.scala 759:43] - node _T_911 = and(_T_910, i0_rs2_class_d.load) @[dec_decode_ctl.scala 759:63] - store_data_bypass_d <= _T_911 @[dec_decode_ctl.scala 759:25] - store_data_bypass_m <= UInt<1>("h00") @[dec_decode_ctl.scala 760:25] - node _T_912 = and(io.decode_exu.dec_i0_rs1_en_d, io.dec_nonblock_load_wen) @[dec_decode_ctl.scala 764:73] - node _T_913 = eq(io.dec_nonblock_load_waddr, i0r.rs1) @[dec_decode_ctl.scala 764:130] - node i0_rs1_nonblock_load_bypass_en_d = and(_T_912, _T_913) @[dec_decode_ctl.scala 764:100] - node _T_914 = and(io.decode_exu.dec_i0_rs2_en_d, io.dec_nonblock_load_wen) @[dec_decode_ctl.scala 766:73] - node _T_915 = eq(io.dec_nonblock_load_waddr, i0r.rs2) @[dec_decode_ctl.scala 766:130] - node i0_rs2_nonblock_load_bypass_en_d = and(_T_914, _T_915) @[dec_decode_ctl.scala 766:100] - node _T_916 = bits(i0_rs1_depth_d, 0, 0) @[dec_decode_ctl.scala 769:41] - node _T_917 = or(i0_rs1_class_d.alu, i0_rs1_class_d.mul) @[dec_decode_ctl.scala 769:66] - node _T_918 = and(_T_916, _T_917) @[dec_decode_ctl.scala 769:45] - node _T_919 = bits(i0_rs1_depth_d, 0, 0) @[dec_decode_ctl.scala 769:104] - node _T_920 = and(_T_919, i0_rs1_class_d.load) @[dec_decode_ctl.scala 769:108] - node _T_921 = bits(i0_rs1_depth_d, 1, 1) @[dec_decode_ctl.scala 769:149] - node _T_922 = or(i0_rs1_class_d.alu, i0_rs1_class_d.mul) @[dec_decode_ctl.scala 769:175] - node _T_923 = or(_T_922, i0_rs1_class_d.load) @[dec_decode_ctl.scala 769:196] - node _T_924 = and(_T_921, _T_923) @[dec_decode_ctl.scala 769:153] - node _T_925 = cat(_T_918, _T_920) @[Cat.scala 29:58] - node _T_926 = cat(_T_925, _T_924) @[Cat.scala 29:58] - i0_rs1bypass <= _T_926 @[dec_decode_ctl.scala 769:18] - node _T_927 = bits(i0_rs2_depth_d, 0, 0) @[dec_decode_ctl.scala 771:41] - node _T_928 = or(i0_rs2_class_d.alu, i0_rs2_class_d.mul) @[dec_decode_ctl.scala 771:67] - node _T_929 = and(_T_927, _T_928) @[dec_decode_ctl.scala 771:45] - node _T_930 = bits(i0_rs2_depth_d, 0, 0) @[dec_decode_ctl.scala 771:105] - node _T_931 = and(_T_930, i0_rs2_class_d.load) @[dec_decode_ctl.scala 771:109] - node _T_932 = bits(i0_rs2_depth_d, 1, 1) @[dec_decode_ctl.scala 771:149] - node _T_933 = or(i0_rs2_class_d.alu, i0_rs2_class_d.mul) @[dec_decode_ctl.scala 771:175] - node _T_934 = or(_T_933, i0_rs2_class_d.load) @[dec_decode_ctl.scala 771:196] - node _T_935 = and(_T_932, _T_934) @[dec_decode_ctl.scala 771:153] - node _T_936 = cat(_T_929, _T_931) @[Cat.scala 29:58] - node _T_937 = cat(_T_936, _T_935) @[Cat.scala 29:58] - i0_rs2bypass <= _T_937 @[dec_decode_ctl.scala 771:18] - node _T_938 = bits(i0_rs1bypass, 2, 2) @[dec_decode_ctl.scala 773:65] - node _T_939 = bits(i0_rs1bypass, 1, 1) @[dec_decode_ctl.scala 773:82] - node _T_940 = bits(i0_rs1bypass, 0, 0) @[dec_decode_ctl.scala 773:100] - node _T_941 = or(_T_939, _T_940) @[dec_decode_ctl.scala 773:86] - node _T_942 = bits(i0_rs1bypass, 2, 2) @[dec_decode_ctl.scala 773:120] - node _T_943 = eq(_T_942, UInt<1>("h00")) @[dec_decode_ctl.scala 773:107] - node _T_944 = and(_T_943, i0_rs1_nonblock_load_bypass_en_d) @[dec_decode_ctl.scala 773:124] - node _T_945 = or(_T_941, _T_944) @[dec_decode_ctl.scala 773:104] - node _T_946 = cat(_T_938, _T_945) @[Cat.scala 29:58] - io.decode_exu.dec_i0_rs1_bypass_en_d <= _T_946 @[dec_decode_ctl.scala 773:45] - node _T_947 = bits(i0_rs2bypass, 2, 2) @[dec_decode_ctl.scala 774:65] - node _T_948 = bits(i0_rs2bypass, 1, 1) @[dec_decode_ctl.scala 774:82] - node _T_949 = bits(i0_rs2bypass, 0, 0) @[dec_decode_ctl.scala 774:100] - node _T_950 = or(_T_948, _T_949) @[dec_decode_ctl.scala 774:86] - node _T_951 = bits(i0_rs2bypass, 2, 2) @[dec_decode_ctl.scala 774:120] - node _T_952 = eq(_T_951, UInt<1>("h00")) @[dec_decode_ctl.scala 774:107] - node _T_953 = and(_T_952, i0_rs2_nonblock_load_bypass_en_d) @[dec_decode_ctl.scala 774:124] - node _T_954 = or(_T_950, _T_953) @[dec_decode_ctl.scala 774:104] - node _T_955 = cat(_T_947, _T_954) @[Cat.scala 29:58] - io.decode_exu.dec_i0_rs2_bypass_en_d <= _T_955 @[dec_decode_ctl.scala 774:45] - node _T_956 = bits(i0_rs1bypass, 1, 1) @[dec_decode_ctl.scala 778:17] - node _T_957 = bits(_T_956, 0, 0) @[dec_decode_ctl.scala 778:21] - node _T_958 = bits(i0_rs1bypass, 0, 0) @[dec_decode_ctl.scala 779:17] - node _T_959 = bits(_T_958, 0, 0) @[dec_decode_ctl.scala 779:21] - node _T_960 = bits(i0_rs1bypass, 1, 1) @[dec_decode_ctl.scala 780:19] - node _T_961 = eq(_T_960, UInt<1>("h00")) @[dec_decode_ctl.scala 780:6] - node _T_962 = bits(i0_rs1bypass, 0, 0) @[dec_decode_ctl.scala 780:38] - node _T_963 = eq(_T_962, UInt<1>("h00")) @[dec_decode_ctl.scala 780:25] - node _T_964 = and(_T_961, _T_963) @[dec_decode_ctl.scala 780:23] - node _T_965 = and(_T_964, i0_rs1_nonblock_load_bypass_en_d) @[dec_decode_ctl.scala 780:42] - node _T_966 = bits(_T_965, 0, 0) @[dec_decode_ctl.scala 780:78] - node _T_967 = mux(_T_957, io.lsu_result_m, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_968 = mux(_T_959, i0_result_r, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_969 = mux(_T_966, io.dctl_busbuff.lsu_nonblock_load_data, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_970 = or(_T_967, _T_968) @[Mux.scala 27:72] - node _T_971 = or(_T_970, _T_969) @[Mux.scala 27:72] - wire _T_972 : UInt<32> @[Mux.scala 27:72] - _T_972 <= _T_971 @[Mux.scala 27:72] - io.decode_exu.dec_i0_rs1_bypass_data_d <= _T_972 @[dec_decode_ctl.scala 777:42] - node _T_973 = bits(i0_rs2bypass, 1, 1) @[dec_decode_ctl.scala 783:17] - node _T_974 = bits(_T_973, 0, 0) @[dec_decode_ctl.scala 783:21] - node _T_975 = bits(i0_rs2bypass, 0, 0) @[dec_decode_ctl.scala 784:17] - node _T_976 = bits(_T_975, 0, 0) @[dec_decode_ctl.scala 784:21] - node _T_977 = bits(i0_rs2bypass, 1, 1) @[dec_decode_ctl.scala 785:19] - node _T_978 = eq(_T_977, UInt<1>("h00")) @[dec_decode_ctl.scala 785:6] - node _T_979 = bits(i0_rs2bypass, 0, 0) @[dec_decode_ctl.scala 785:38] - node _T_980 = eq(_T_979, UInt<1>("h00")) @[dec_decode_ctl.scala 785:25] - node _T_981 = and(_T_978, _T_980) @[dec_decode_ctl.scala 785:23] - node _T_982 = and(_T_981, i0_rs2_nonblock_load_bypass_en_d) @[dec_decode_ctl.scala 785:42] - node _T_983 = bits(_T_982, 0, 0) @[dec_decode_ctl.scala 785:78] - node _T_984 = mux(_T_974, io.lsu_result_m, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_985 = mux(_T_976, i0_result_r, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_986 = mux(_T_983, io.dctl_busbuff.lsu_nonblock_load_data, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_987 = or(_T_984, _T_985) @[Mux.scala 27:72] - node _T_988 = or(_T_987, _T_986) @[Mux.scala 27:72] - wire _T_989 : UInt<32> @[Mux.scala 27:72] - _T_989 <= _T_988 @[Mux.scala 27:72] - io.decode_exu.dec_i0_rs2_bypass_data_d <= _T_989 @[dec_decode_ctl.scala 782:42] - node _T_990 = or(i0_dp_raw.load, i0_dp_raw.store) @[dec_decode_ctl.scala 787:68] - node _T_991 = and(io.dec_ib0_valid_d, _T_990) @[dec_decode_ctl.scala 787:50] - node _T_992 = eq(io.dctl_dma.dma_dccm_stall_any, UInt<1>("h00")) @[dec_decode_ctl.scala 787:89] - node _T_993 = and(_T_991, _T_992) @[dec_decode_ctl.scala 787:87] - node _T_994 = eq(i0_block_raw_d, UInt<1>("h00")) @[dec_decode_ctl.scala 787:123] - node _T_995 = and(_T_993, _T_994) @[dec_decode_ctl.scala 787:121] - node _T_996 = or(_T_995, io.decode_exu.dec_extint_stall) @[dec_decode_ctl.scala 787:140] - io.dec_lsu_valid_raw_d <= _T_996 @[dec_decode_ctl.scala 787:26] - node _T_997 = eq(io.decode_exu.dec_extint_stall, UInt<1>("h00")) @[dec_decode_ctl.scala 789:6] - node _T_998 = and(_T_997, i0_dp.lsu) @[dec_decode_ctl.scala 789:38] - node _T_999 = and(_T_998, i0_dp.load) @[dec_decode_ctl.scala 789:50] - node _T_1000 = bits(_T_999, 0, 0) @[dec_decode_ctl.scala 789:64] - node _T_1001 = bits(io.dec_i0_instr_d, 31, 20) @[dec_decode_ctl.scala 789:81] - node _T_1002 = eq(io.decode_exu.dec_extint_stall, UInt<1>("h00")) @[dec_decode_ctl.scala 790:6] - node _T_1003 = and(_T_1002, i0_dp.lsu) @[dec_decode_ctl.scala 790:38] - node _T_1004 = and(_T_1003, i0_dp.store) @[dec_decode_ctl.scala 790:50] - node _T_1005 = bits(_T_1004, 0, 0) @[dec_decode_ctl.scala 790:65] - node _T_1006 = bits(io.dec_i0_instr_d, 31, 25) @[dec_decode_ctl.scala 790:85] - node _T_1007 = bits(io.dec_i0_instr_d, 11, 7) @[dec_decode_ctl.scala 790:95] - node _T_1008 = cat(_T_1006, _T_1007) @[Cat.scala 29:58] - node _T_1009 = mux(_T_1000, _T_1001, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1010 = mux(_T_1005, _T_1008, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1011 = or(_T_1009, _T_1010) @[Mux.scala 27:72] - wire _T_1012 : UInt<12> @[Mux.scala 27:72] - _T_1012 <= _T_1011 @[Mux.scala 27:72] - io.dec_lsu_offset_d <= _T_1012 @[dec_decode_ctl.scala 788:23] + io.dec_tlu_i0_pc_r <= dec_i0_pc_r @[dec_decode_ctl.scala 723:27] + node _T_847 = cat(io.dec_alu.exu_i0_pc_x, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_848 = cat(last_br_immed_x, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_849 = bits(_T_847, 12, 1) @[lib.scala 68:24] + node _T_850 = bits(_T_848, 12, 1) @[lib.scala 68:40] + node _T_851 = add(_T_849, _T_850) @[lib.scala 68:31] + node _T_852 = bits(_T_847, 31, 13) @[lib.scala 69:20] + node _T_853 = add(_T_852, UInt<1>("h01")) @[lib.scala 69:27] + node _T_854 = tail(_T_853, 1) @[lib.scala 69:27] + node _T_855 = bits(_T_847, 31, 13) @[lib.scala 70:20] + node _T_856 = sub(_T_855, UInt<1>("h01")) @[lib.scala 70:27] + node _T_857 = tail(_T_856, 1) @[lib.scala 70:27] + node _T_858 = bits(_T_848, 12, 12) @[lib.scala 71:22] + node _T_859 = bits(_T_851, 12, 12) @[lib.scala 72:39] + node _T_860 = eq(_T_859, UInt<1>("h00")) @[lib.scala 72:28] + node _T_861 = xor(_T_858, _T_860) @[lib.scala 72:26] + node _T_862 = bits(_T_861, 0, 0) @[lib.scala 72:64] + node _T_863 = bits(_T_847, 31, 13) @[lib.scala 72:76] + node _T_864 = eq(_T_858, UInt<1>("h00")) @[lib.scala 73:20] + node _T_865 = bits(_T_851, 12, 12) @[lib.scala 73:39] + node _T_866 = and(_T_864, _T_865) @[lib.scala 73:26] + node _T_867 = bits(_T_866, 0, 0) @[lib.scala 73:64] + node _T_868 = bits(_T_851, 12, 12) @[lib.scala 74:39] + node _T_869 = eq(_T_868, UInt<1>("h00")) @[lib.scala 74:28] + node _T_870 = and(_T_858, _T_869) @[lib.scala 74:26] + node _T_871 = bits(_T_870, 0, 0) @[lib.scala 74:64] + node _T_872 = mux(_T_862, _T_863, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_873 = mux(_T_867, _T_854, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_874 = mux(_T_871, _T_857, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_875 = or(_T_872, _T_873) @[Mux.scala 27:72] + node _T_876 = or(_T_875, _T_874) @[Mux.scala 27:72] + wire _T_877 : UInt<19> @[Mux.scala 27:72] + _T_877 <= _T_876 @[Mux.scala 27:72] + node _T_878 = bits(_T_851, 11, 0) @[lib.scala 74:94] + node _T_879 = cat(_T_877, _T_878) @[Cat.scala 29:58] + node temp_pred_correct_npc_x = cat(_T_879, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_880 = bits(temp_pred_correct_npc_x, 31, 1) @[dec_decode_ctl.scala 728:62] + io.decode_exu.pred_correct_npc_x <= _T_880 @[dec_decode_ctl.scala 728:36] + node _T_881 = and(io.decode_exu.dec_i0_rs1_en_d, x_d.bits.i0v) @[dec_decode_ctl.scala 732:59] + node _T_882 = eq(x_d.bits.i0rd, i0r.rs1) @[dec_decode_ctl.scala 732:91] + node i0_rs1_depend_i0_x = and(_T_881, _T_882) @[dec_decode_ctl.scala 732:74] + node _T_883 = and(io.decode_exu.dec_i0_rs1_en_d, r_d.bits.i0v) @[dec_decode_ctl.scala 733:59] + node _T_884 = eq(r_d.bits.i0rd, i0r.rs1) @[dec_decode_ctl.scala 733:91] + node i0_rs1_depend_i0_r = and(_T_883, _T_884) @[dec_decode_ctl.scala 733:74] + node _T_885 = and(io.decode_exu.dec_i0_rs2_en_d, x_d.bits.i0v) @[dec_decode_ctl.scala 735:59] + node _T_886 = eq(x_d.bits.i0rd, i0r.rs2) @[dec_decode_ctl.scala 735:91] + node i0_rs2_depend_i0_x = and(_T_885, _T_886) @[dec_decode_ctl.scala 735:74] + node _T_887 = and(io.decode_exu.dec_i0_rs2_en_d, r_d.bits.i0v) @[dec_decode_ctl.scala 736:59] + node _T_888 = eq(r_d.bits.i0rd, i0r.rs2) @[dec_decode_ctl.scala 736:91] + node i0_rs2_depend_i0_r = and(_T_887, _T_888) @[dec_decode_ctl.scala 736:74] + node _T_889 = bits(i0_rs1_depend_i0_x, 0, 0) @[dec_decode_ctl.scala 738:44] + node _T_890 = bits(i0_rs1_depend_i0_r, 0, 0) @[dec_decode_ctl.scala 738:81] + wire _T_891 : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[dec_decode_ctl.scala 738:109] + _T_891.alu <= UInt<1>("h00") @[dec_decode_ctl.scala 738:109] + _T_891.load <= UInt<1>("h00") @[dec_decode_ctl.scala 738:109] + _T_891.mul <= UInt<1>("h00") @[dec_decode_ctl.scala 738:109] + node _T_892 = mux(_T_890, i0_r_c, _T_891) @[dec_decode_ctl.scala 738:61] + node _T_893 = mux(_T_889, i0_x_c, _T_892) @[dec_decode_ctl.scala 738:24] + i0_rs1_class_d.alu <= _T_893.alu @[dec_decode_ctl.scala 738:18] + i0_rs1_class_d.load <= _T_893.load @[dec_decode_ctl.scala 738:18] + i0_rs1_class_d.mul <= _T_893.mul @[dec_decode_ctl.scala 738:18] + node _T_894 = bits(i0_rs1_depend_i0_x, 0, 0) @[dec_decode_ctl.scala 739:44] + node _T_895 = bits(i0_rs1_depend_i0_r, 0, 0) @[dec_decode_ctl.scala 739:83] + node _T_896 = mux(_T_895, UInt<2>("h02"), UInt<1>("h00")) @[dec_decode_ctl.scala 739:63] + node _T_897 = mux(_T_894, UInt<2>("h01"), _T_896) @[dec_decode_ctl.scala 739:24] + i0_rs1_depth_d <= _T_897 @[dec_decode_ctl.scala 739:18] + node _T_898 = bits(i0_rs2_depend_i0_x, 0, 0) @[dec_decode_ctl.scala 740:44] + node _T_899 = bits(i0_rs2_depend_i0_r, 0, 0) @[dec_decode_ctl.scala 740:81] + wire _T_900 : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[dec_decode_ctl.scala 740:109] + _T_900.alu <= UInt<1>("h00") @[dec_decode_ctl.scala 740:109] + _T_900.load <= UInt<1>("h00") @[dec_decode_ctl.scala 740:109] + _T_900.mul <= UInt<1>("h00") @[dec_decode_ctl.scala 740:109] + node _T_901 = mux(_T_899, i0_r_c, _T_900) @[dec_decode_ctl.scala 740:61] + node _T_902 = mux(_T_898, i0_x_c, _T_901) @[dec_decode_ctl.scala 740:24] + i0_rs2_class_d.alu <= _T_902.alu @[dec_decode_ctl.scala 740:18] + i0_rs2_class_d.load <= _T_902.load @[dec_decode_ctl.scala 740:18] + i0_rs2_class_d.mul <= _T_902.mul @[dec_decode_ctl.scala 740:18] + node _T_903 = bits(i0_rs2_depend_i0_x, 0, 0) @[dec_decode_ctl.scala 741:44] + node _T_904 = bits(i0_rs2_depend_i0_r, 0, 0) @[dec_decode_ctl.scala 741:83] + node _T_905 = mux(_T_904, UInt<2>("h02"), UInt<1>("h00")) @[dec_decode_ctl.scala 741:63] + node _T_906 = mux(_T_903, UInt<2>("h01"), _T_905) @[dec_decode_ctl.scala 741:24] + i0_rs2_depth_d <= _T_906 @[dec_decode_ctl.scala 741:18] + i0_load_block_d <= UInt<1>("h00") @[dec_decode_ctl.scala 751:21] + node _T_907 = or(i0_dp.load, i0_dp.store) @[dec_decode_ctl.scala 752:43] + node _T_908 = bits(i0_rs1_depth_d, 0, 0) @[dec_decode_ctl.scala 752:74] + node _T_909 = and(_T_907, _T_908) @[dec_decode_ctl.scala 752:58] + node _T_910 = and(_T_909, i0_rs1_class_d.load) @[dec_decode_ctl.scala 752:78] + load_ldst_bypass_d <= _T_910 @[dec_decode_ctl.scala 752:27] + node _T_911 = bits(i0_rs2_depth_d, 0, 0) @[dec_decode_ctl.scala 753:59] + node _T_912 = and(i0_dp.store, _T_911) @[dec_decode_ctl.scala 753:43] + node _T_913 = and(_T_912, i0_rs2_class_d.load) @[dec_decode_ctl.scala 753:63] + store_data_bypass_d <= _T_913 @[dec_decode_ctl.scala 753:25] + store_data_bypass_m <= UInt<1>("h00") @[dec_decode_ctl.scala 754:25] + node _T_914 = and(io.decode_exu.dec_i0_rs1_en_d, io.dec_nonblock_load_wen) @[dec_decode_ctl.scala 758:73] + node _T_915 = eq(io.dec_nonblock_load_waddr, i0r.rs1) @[dec_decode_ctl.scala 758:130] + node i0_rs1_nonblock_load_bypass_en_d = and(_T_914, _T_915) @[dec_decode_ctl.scala 758:100] + node _T_916 = and(io.decode_exu.dec_i0_rs2_en_d, io.dec_nonblock_load_wen) @[dec_decode_ctl.scala 760:73] + node _T_917 = eq(io.dec_nonblock_load_waddr, i0r.rs2) @[dec_decode_ctl.scala 760:130] + node i0_rs2_nonblock_load_bypass_en_d = and(_T_916, _T_917) @[dec_decode_ctl.scala 760:100] + node _T_918 = bits(i0_rs1_depth_d, 0, 0) @[dec_decode_ctl.scala 763:41] + node _T_919 = or(i0_rs1_class_d.alu, i0_rs1_class_d.mul) @[dec_decode_ctl.scala 763:66] + node _T_920 = and(_T_918, _T_919) @[dec_decode_ctl.scala 763:45] + node _T_921 = bits(i0_rs1_depth_d, 0, 0) @[dec_decode_ctl.scala 763:104] + node _T_922 = and(_T_921, i0_rs1_class_d.load) @[dec_decode_ctl.scala 763:108] + node _T_923 = bits(i0_rs1_depth_d, 1, 1) @[dec_decode_ctl.scala 763:149] + node _T_924 = or(i0_rs1_class_d.alu, i0_rs1_class_d.mul) @[dec_decode_ctl.scala 763:175] + node _T_925 = or(_T_924, i0_rs1_class_d.load) @[dec_decode_ctl.scala 763:196] + node _T_926 = and(_T_923, _T_925) @[dec_decode_ctl.scala 763:153] + node _T_927 = cat(_T_920, _T_922) @[Cat.scala 29:58] + node _T_928 = cat(_T_927, _T_926) @[Cat.scala 29:58] + i0_rs1bypass <= _T_928 @[dec_decode_ctl.scala 763:18] + node _T_929 = bits(i0_rs2_depth_d, 0, 0) @[dec_decode_ctl.scala 765:41] + node _T_930 = or(i0_rs2_class_d.alu, i0_rs2_class_d.mul) @[dec_decode_ctl.scala 765:67] + node _T_931 = and(_T_929, _T_930) @[dec_decode_ctl.scala 765:45] + node _T_932 = bits(i0_rs2_depth_d, 0, 0) @[dec_decode_ctl.scala 765:105] + node _T_933 = and(_T_932, i0_rs2_class_d.load) @[dec_decode_ctl.scala 765:109] + node _T_934 = bits(i0_rs2_depth_d, 1, 1) @[dec_decode_ctl.scala 765:149] + node _T_935 = or(i0_rs2_class_d.alu, i0_rs2_class_d.mul) @[dec_decode_ctl.scala 765:175] + node _T_936 = or(_T_935, i0_rs2_class_d.load) @[dec_decode_ctl.scala 765:196] + node _T_937 = and(_T_934, _T_936) @[dec_decode_ctl.scala 765:153] + node _T_938 = cat(_T_931, _T_933) @[Cat.scala 29:58] + node _T_939 = cat(_T_938, _T_937) @[Cat.scala 29:58] + i0_rs2bypass <= _T_939 @[dec_decode_ctl.scala 765:18] + node _T_940 = bits(i0_rs1bypass, 2, 2) @[dec_decode_ctl.scala 767:65] + node _T_941 = bits(i0_rs1bypass, 1, 1) @[dec_decode_ctl.scala 767:82] + node _T_942 = bits(i0_rs1bypass, 0, 0) @[dec_decode_ctl.scala 767:100] + node _T_943 = or(_T_941, _T_942) @[dec_decode_ctl.scala 767:86] + node _T_944 = bits(i0_rs1bypass, 2, 2) @[dec_decode_ctl.scala 767:120] + node _T_945 = eq(_T_944, UInt<1>("h00")) @[dec_decode_ctl.scala 767:107] + node _T_946 = and(_T_945, i0_rs1_nonblock_load_bypass_en_d) @[dec_decode_ctl.scala 767:124] + node _T_947 = or(_T_943, _T_946) @[dec_decode_ctl.scala 767:104] + node _T_948 = cat(_T_940, _T_947) @[Cat.scala 29:58] + io.decode_exu.dec_i0_rs1_bypass_en_d <= _T_948 @[dec_decode_ctl.scala 767:45] + node _T_949 = bits(i0_rs2bypass, 2, 2) @[dec_decode_ctl.scala 768:65] + node _T_950 = bits(i0_rs2bypass, 1, 1) @[dec_decode_ctl.scala 768:82] + node _T_951 = bits(i0_rs2bypass, 0, 0) @[dec_decode_ctl.scala 768:100] + node _T_952 = or(_T_950, _T_951) @[dec_decode_ctl.scala 768:86] + node _T_953 = bits(i0_rs2bypass, 2, 2) @[dec_decode_ctl.scala 768:120] + node _T_954 = eq(_T_953, UInt<1>("h00")) @[dec_decode_ctl.scala 768:107] + node _T_955 = and(_T_954, i0_rs2_nonblock_load_bypass_en_d) @[dec_decode_ctl.scala 768:124] + node _T_956 = or(_T_952, _T_955) @[dec_decode_ctl.scala 768:104] + node _T_957 = cat(_T_949, _T_956) @[Cat.scala 29:58] + io.decode_exu.dec_i0_rs2_bypass_en_d <= _T_957 @[dec_decode_ctl.scala 768:45] + node _T_958 = bits(i0_rs1bypass, 1, 1) @[dec_decode_ctl.scala 772:17] + node _T_959 = bits(_T_958, 0, 0) @[dec_decode_ctl.scala 772:21] + node _T_960 = bits(i0_rs1bypass, 0, 0) @[dec_decode_ctl.scala 773:17] + node _T_961 = bits(_T_960, 0, 0) @[dec_decode_ctl.scala 773:21] + node _T_962 = bits(i0_rs1bypass, 1, 1) @[dec_decode_ctl.scala 774:19] + node _T_963 = eq(_T_962, UInt<1>("h00")) @[dec_decode_ctl.scala 774:6] + node _T_964 = bits(i0_rs1bypass, 0, 0) @[dec_decode_ctl.scala 774:38] + node _T_965 = eq(_T_964, UInt<1>("h00")) @[dec_decode_ctl.scala 774:25] + node _T_966 = and(_T_963, _T_965) @[dec_decode_ctl.scala 774:23] + node _T_967 = and(_T_966, i0_rs1_nonblock_load_bypass_en_d) @[dec_decode_ctl.scala 774:42] + node _T_968 = bits(_T_967, 0, 0) @[dec_decode_ctl.scala 774:78] + node _T_969 = mux(_T_959, io.lsu_result_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_970 = mux(_T_961, i0_result_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_971 = mux(_T_968, io.dctl_busbuff.lsu_nonblock_load_data, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_972 = or(_T_969, _T_970) @[Mux.scala 27:72] + node _T_973 = or(_T_972, _T_971) @[Mux.scala 27:72] + wire _T_974 : UInt<32> @[Mux.scala 27:72] + _T_974 <= _T_973 @[Mux.scala 27:72] + io.decode_exu.dec_i0_rs1_bypass_data_d <= _T_974 @[dec_decode_ctl.scala 771:42] + node _T_975 = bits(i0_rs2bypass, 1, 1) @[dec_decode_ctl.scala 777:17] + node _T_976 = bits(_T_975, 0, 0) @[dec_decode_ctl.scala 777:21] + node _T_977 = bits(i0_rs2bypass, 0, 0) @[dec_decode_ctl.scala 778:17] + node _T_978 = bits(_T_977, 0, 0) @[dec_decode_ctl.scala 778:21] + node _T_979 = bits(i0_rs2bypass, 1, 1) @[dec_decode_ctl.scala 779:19] + node _T_980 = eq(_T_979, UInt<1>("h00")) @[dec_decode_ctl.scala 779:6] + node _T_981 = bits(i0_rs2bypass, 0, 0) @[dec_decode_ctl.scala 779:38] + node _T_982 = eq(_T_981, UInt<1>("h00")) @[dec_decode_ctl.scala 779:25] + node _T_983 = and(_T_980, _T_982) @[dec_decode_ctl.scala 779:23] + node _T_984 = and(_T_983, i0_rs2_nonblock_load_bypass_en_d) @[dec_decode_ctl.scala 779:42] + node _T_985 = bits(_T_984, 0, 0) @[dec_decode_ctl.scala 779:78] + node _T_986 = mux(_T_976, io.lsu_result_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_987 = mux(_T_978, i0_result_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_988 = mux(_T_985, io.dctl_busbuff.lsu_nonblock_load_data, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_989 = or(_T_986, _T_987) @[Mux.scala 27:72] + node _T_990 = or(_T_989, _T_988) @[Mux.scala 27:72] + wire _T_991 : UInt<32> @[Mux.scala 27:72] + _T_991 <= _T_990 @[Mux.scala 27:72] + io.decode_exu.dec_i0_rs2_bypass_data_d <= _T_991 @[dec_decode_ctl.scala 776:42] + node _T_992 = or(i0_dp_raw.load, i0_dp_raw.store) @[dec_decode_ctl.scala 781:68] + node _T_993 = and(io.dec_ib0_valid_d, _T_992) @[dec_decode_ctl.scala 781:50] + node _T_994 = eq(io.dctl_dma.dma_dccm_stall_any, UInt<1>("h00")) @[dec_decode_ctl.scala 781:89] + node _T_995 = and(_T_993, _T_994) @[dec_decode_ctl.scala 781:87] + node _T_996 = eq(i0_block_raw_d, UInt<1>("h00")) @[dec_decode_ctl.scala 781:123] + node _T_997 = and(_T_995, _T_996) @[dec_decode_ctl.scala 781:121] + node _T_998 = or(_T_997, io.decode_exu.dec_extint_stall) @[dec_decode_ctl.scala 781:140] + io.dec_lsu_valid_raw_d <= _T_998 @[dec_decode_ctl.scala 781:26] + node _T_999 = eq(io.decode_exu.dec_extint_stall, UInt<1>("h00")) @[dec_decode_ctl.scala 783:6] + node _T_1000 = and(_T_999, i0_dp.lsu) @[dec_decode_ctl.scala 783:38] + node _T_1001 = and(_T_1000, i0_dp.load) @[dec_decode_ctl.scala 783:50] + node _T_1002 = bits(_T_1001, 0, 0) @[dec_decode_ctl.scala 783:64] + node _T_1003 = bits(io.dec_i0_instr_d, 31, 20) @[dec_decode_ctl.scala 783:81] + node _T_1004 = eq(io.decode_exu.dec_extint_stall, UInt<1>("h00")) @[dec_decode_ctl.scala 784:6] + node _T_1005 = and(_T_1004, i0_dp.lsu) @[dec_decode_ctl.scala 784:38] + node _T_1006 = and(_T_1005, i0_dp.store) @[dec_decode_ctl.scala 784:50] + node _T_1007 = bits(_T_1006, 0, 0) @[dec_decode_ctl.scala 784:65] + node _T_1008 = bits(io.dec_i0_instr_d, 31, 25) @[dec_decode_ctl.scala 784:85] + node _T_1009 = bits(io.dec_i0_instr_d, 11, 7) @[dec_decode_ctl.scala 784:95] + node _T_1010 = cat(_T_1008, _T_1009) @[Cat.scala 29:58] + node _T_1011 = mux(_T_1002, _T_1003, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1012 = mux(_T_1007, _T_1010, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1013 = or(_T_1011, _T_1012) @[Mux.scala 27:72] + wire _T_1014 : UInt<12> @[Mux.scala 27:72] + _T_1014 <= _T_1013 @[Mux.scala 27:72] + io.dec_lsu_offset_d <= _T_1014 @[dec_decode_ctl.scala 782:23] extmodule gated_latch_681 : output Q : Clock @@ -72764,8 +72770,8 @@ circuit quasar : perfcnt_halted <= UInt<1>("h00") wire mhpmc3_incr : UInt<64> mhpmc3_incr <= UInt<1>("h00") - wire mhpme_vec : UInt<10>[4] @[dec_tlu_ctl.scala 1394:41] - wire mtdata2_t : UInt<32>[4] @[dec_tlu_ctl.scala 1395:65] + wire mhpme_vec : UInt<10>[4] @[dec_tlu_ctl.scala 1393:41] + wire mtdata2_t : UInt<32>[4] @[dec_tlu_ctl.scala 1394:65] wire wr_meicpct_r : UInt<1> wr_meicpct_r <= UInt<1>("h00") wire force_halt_ctr_f : UInt<32> @@ -72840,48 +72846,48 @@ circuit quasar : mpmc <= UInt<1>("h00") wire dicad1 : UInt<32> dicad1 <= UInt<1>("h00") - node _T = eq(io.i0_trigger_hit_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1450:45] - node _T_1 = and(io.dec_csr_wen_r, _T) @[dec_tlu_ctl.scala 1450:43] - node _T_2 = eq(io.rfpc_i0_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1450:68] - node _T_3 = and(_T_1, _T_2) @[dec_tlu_ctl.scala 1450:66] - io.dec_csr_wen_r_mod <= _T_3 @[dec_tlu_ctl.scala 1450:23] - node _T_4 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1451:64] - node _T_5 = eq(_T_4, UInt<12>("h0300")) @[dec_tlu_ctl.scala 1451:71] - node wr_mstatus_r = and(io.dec_csr_wen_r_mod, _T_5) @[dec_tlu_ctl.scala 1451:42] - node _T_6 = eq(mpmc_b_ns, UInt<1>("h00")) @[dec_tlu_ctl.scala 1454:28] - node set_mie_pmu_fw_halt = and(_T_6, io.fw_halt_req) @[dec_tlu_ctl.scala 1454:39] - node _T_7 = eq(wr_mstatus_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1457:5] - node _T_8 = and(_T_7, io.exc_or_int_valid_r) @[dec_tlu_ctl.scala 1457:19] - node _T_9 = bits(_T_8, 0, 0) @[dec_tlu_ctl.scala 1457:44] - node _T_10 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 1457:68] - node _T_11 = bits(_T_10, 0, 0) @[dec_tlu_ctl.scala 1457:68] + node _T = eq(io.i0_trigger_hit_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1449:45] + node _T_1 = and(io.dec_csr_wen_r, _T) @[dec_tlu_ctl.scala 1449:43] + node _T_2 = eq(io.rfpc_i0_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1449:68] + node _T_3 = and(_T_1, _T_2) @[dec_tlu_ctl.scala 1449:66] + io.dec_csr_wen_r_mod <= _T_3 @[dec_tlu_ctl.scala 1449:23] + node _T_4 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1450:64] + node _T_5 = eq(_T_4, UInt<12>("h0300")) @[dec_tlu_ctl.scala 1450:71] + node wr_mstatus_r = and(io.dec_csr_wen_r_mod, _T_5) @[dec_tlu_ctl.scala 1450:42] + node _T_6 = eq(mpmc_b_ns, UInt<1>("h00")) @[dec_tlu_ctl.scala 1453:28] + node set_mie_pmu_fw_halt = and(_T_6, io.fw_halt_req) @[dec_tlu_ctl.scala 1453:39] + node _T_7 = eq(wr_mstatus_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1456:5] + node _T_8 = and(_T_7, io.exc_or_int_valid_r) @[dec_tlu_ctl.scala 1456:19] + node _T_9 = bits(_T_8, 0, 0) @[dec_tlu_ctl.scala 1456:44] + node _T_10 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 1456:68] + node _T_11 = bits(_T_10, 0, 0) @[dec_tlu_ctl.scala 1456:68] node _T_12 = cat(_T_11, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_13 = and(wr_mstatus_r, io.exc_or_int_valid_r) @[dec_tlu_ctl.scala 1458:18] - node _T_14 = bits(_T_13, 0, 0) @[dec_tlu_ctl.scala 1458:43] - node _T_15 = bits(io.dec_csr_wrdata_r, 3, 3) @[dec_tlu_ctl.scala 1458:76] + node _T_13 = and(wr_mstatus_r, io.exc_or_int_valid_r) @[dec_tlu_ctl.scala 1457:18] + node _T_14 = bits(_T_13, 0, 0) @[dec_tlu_ctl.scala 1457:43] + node _T_15 = bits(io.dec_csr_wrdata_r, 3, 3) @[dec_tlu_ctl.scala 1457:76] node _T_16 = cat(_T_15, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_17 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1459:17] - node _T_18 = and(io.mret_r, _T_17) @[dec_tlu_ctl.scala 1459:15] - node _T_19 = bits(_T_18, 0, 0) @[dec_tlu_ctl.scala 1459:41] - node _T_20 = bits(io.mstatus, 1, 1) @[dec_tlu_ctl.scala 1459:70] + node _T_17 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1458:17] + node _T_18 = and(io.mret_r, _T_17) @[dec_tlu_ctl.scala 1458:15] + node _T_19 = bits(_T_18, 0, 0) @[dec_tlu_ctl.scala 1458:41] + node _T_20 = bits(io.mstatus, 1, 1) @[dec_tlu_ctl.scala 1458:70] node _T_21 = cat(UInt<1>("h01"), _T_20) @[Cat.scala 29:58] - node _T_22 = bits(set_mie_pmu_fw_halt, 0, 0) @[dec_tlu_ctl.scala 1460:26] - node _T_23 = bits(io.mstatus, 1, 1) @[dec_tlu_ctl.scala 1460:50] + node _T_22 = bits(set_mie_pmu_fw_halt, 0, 0) @[dec_tlu_ctl.scala 1459:26] + node _T_23 = bits(io.mstatus, 1, 1) @[dec_tlu_ctl.scala 1459:50] node _T_24 = cat(_T_23, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_25 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1461:20] - node _T_26 = and(wr_mstatus_r, _T_25) @[dec_tlu_ctl.scala 1461:18] - node _T_27 = bits(_T_26, 0, 0) @[dec_tlu_ctl.scala 1461:44] - node _T_28 = bits(io.dec_csr_wrdata_r, 7, 7) @[dec_tlu_ctl.scala 1461:77] - node _T_29 = bits(io.dec_csr_wrdata_r, 3, 3) @[dec_tlu_ctl.scala 1461:101] + node _T_25 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1460:20] + node _T_26 = and(wr_mstatus_r, _T_25) @[dec_tlu_ctl.scala 1460:18] + node _T_27 = bits(_T_26, 0, 0) @[dec_tlu_ctl.scala 1460:44] + node _T_28 = bits(io.dec_csr_wrdata_r, 7, 7) @[dec_tlu_ctl.scala 1460:77] + node _T_29 = bits(io.dec_csr_wrdata_r, 3, 3) @[dec_tlu_ctl.scala 1460:101] node _T_30 = cat(_T_28, _T_29) @[Cat.scala 29:58] - node _T_31 = eq(wr_mstatus_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1462:5] - node _T_32 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1462:21] - node _T_33 = and(_T_31, _T_32) @[dec_tlu_ctl.scala 1462:19] - node _T_34 = eq(io.mret_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1462:46] - node _T_35 = and(_T_33, _T_34) @[dec_tlu_ctl.scala 1462:44] - node _T_36 = eq(set_mie_pmu_fw_halt, UInt<1>("h00")) @[dec_tlu_ctl.scala 1462:59] - node _T_37 = and(_T_35, _T_36) @[dec_tlu_ctl.scala 1462:57] - node _T_38 = bits(_T_37, 0, 0) @[dec_tlu_ctl.scala 1462:81] + node _T_31 = eq(wr_mstatus_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1461:5] + node _T_32 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1461:21] + node _T_33 = and(_T_31, _T_32) @[dec_tlu_ctl.scala 1461:19] + node _T_34 = eq(io.mret_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1461:46] + node _T_35 = and(_T_33, _T_34) @[dec_tlu_ctl.scala 1461:44] + node _T_36 = eq(set_mie_pmu_fw_halt, UInt<1>("h00")) @[dec_tlu_ctl.scala 1461:59] + node _T_37 = and(_T_35, _T_36) @[dec_tlu_ctl.scala 1461:57] + node _T_38 = bits(_T_37, 0, 0) @[dec_tlu_ctl.scala 1461:81] node _T_39 = mux(_T_9, _T_12, UInt<1>("h00")) @[Mux.scala 27:72] node _T_40 = mux(_T_14, _T_16, UInt<1>("h00")) @[Mux.scala 27:72] node _T_41 = mux(_T_19, _T_21, UInt<1>("h00")) @[Mux.scala 27:72] @@ -72895,23 +72901,23 @@ circuit quasar : node _T_49 = or(_T_48, _T_44) @[Mux.scala 27:72] wire mstatus_ns : UInt<2> @[Mux.scala 27:72] mstatus_ns <= _T_49 @[Mux.scala 27:72] - node _T_50 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 1465:33] - node _T_51 = bits(_T_50, 0, 0) @[dec_tlu_ctl.scala 1465:33] - node _T_52 = not(io.dcsr_single_step_running_f) @[dec_tlu_ctl.scala 1465:50] - node _T_53 = bits(io.dcsr, 11, 11) @[dec_tlu_ctl.scala 1465:90] - node _T_54 = or(_T_52, _T_53) @[dec_tlu_ctl.scala 1465:81] - node _T_55 = and(_T_51, _T_54) @[dec_tlu_ctl.scala 1465:47] - io.mstatus_mie_ns <= _T_55 @[dec_tlu_ctl.scala 1465:20] - reg _T_56 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1467:11] - _T_56 <= mstatus_ns @[dec_tlu_ctl.scala 1467:11] - io.mstatus <= _T_56 @[dec_tlu_ctl.scala 1466:13] - node _T_57 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1476:62] - node _T_58 = eq(_T_57, UInt<12>("h0305")) @[dec_tlu_ctl.scala 1476:69] - node wr_mtvec_r = and(io.dec_csr_wen_r_mod, _T_58) @[dec_tlu_ctl.scala 1476:40] - node _T_59 = bits(io.dec_csr_wrdata_r, 31, 2) @[dec_tlu_ctl.scala 1477:40] - node _T_60 = bits(io.dec_csr_wrdata_r, 0, 0) @[dec_tlu_ctl.scala 1477:68] + node _T_50 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 1464:33] + node _T_51 = bits(_T_50, 0, 0) @[dec_tlu_ctl.scala 1464:33] + node _T_52 = not(io.dcsr_single_step_running_f) @[dec_tlu_ctl.scala 1464:50] + node _T_53 = bits(io.dcsr, 11, 11) @[dec_tlu_ctl.scala 1464:90] + node _T_54 = or(_T_52, _T_53) @[dec_tlu_ctl.scala 1464:81] + node _T_55 = and(_T_51, _T_54) @[dec_tlu_ctl.scala 1464:47] + io.mstatus_mie_ns <= _T_55 @[dec_tlu_ctl.scala 1464:20] + reg _T_56 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1466:11] + _T_56 <= mstatus_ns @[dec_tlu_ctl.scala 1466:11] + io.mstatus <= _T_56 @[dec_tlu_ctl.scala 1465:13] + node _T_57 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1475:62] + node _T_58 = eq(_T_57, UInt<12>("h0305")) @[dec_tlu_ctl.scala 1475:69] + node wr_mtvec_r = and(io.dec_csr_wen_r_mod, _T_58) @[dec_tlu_ctl.scala 1475:40] + node _T_59 = bits(io.dec_csr_wrdata_r, 31, 2) @[dec_tlu_ctl.scala 1476:40] + node _T_60 = bits(io.dec_csr_wrdata_r, 0, 0) @[dec_tlu_ctl.scala 1476:68] node mtvec_ns = cat(_T_59, _T_60) @[Cat.scala 29:58] - node _T_61 = bits(wr_mtvec_r, 0, 0) @[dec_tlu_ctl.scala 1478:42] + node _T_61 = bits(wr_mtvec_r, 0, 0) @[dec_tlu_ctl.scala 1477:42] inst rvclkhdr of rvclkhdr_720 @[lib.scala 368:23] rvclkhdr.clock <= clock rvclkhdr.reset <= reset @@ -72920,57 +72926,57 @@ circuit quasar : rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_62 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_62 <= mtvec_ns @[lib.scala 374:16] - io.mtvec <= _T_62 @[dec_tlu_ctl.scala 1478:11] - node _T_63 = or(mdccme_ce_req, miccme_ce_req) @[dec_tlu_ctl.scala 1490:30] - node ce_int = or(_T_63, mice_ce_req) @[dec_tlu_ctl.scala 1490:46] + io.mtvec <= _T_62 @[dec_tlu_ctl.scala 1477:11] + node _T_63 = or(mdccme_ce_req, miccme_ce_req) @[dec_tlu_ctl.scala 1489:30] + node ce_int = or(_T_63, mice_ce_req) @[dec_tlu_ctl.scala 1489:46] node _T_64 = cat(io.mexintpend, io.timer_int_sync) @[Cat.scala 29:58] node _T_65 = cat(_T_64, io.soft_int_sync) @[Cat.scala 29:58] node _T_66 = cat(ce_int, io.dec_timer_t0_pulse) @[Cat.scala 29:58] node _T_67 = cat(_T_66, io.dec_timer_t1_pulse) @[Cat.scala 29:58] node mip_ns = cat(_T_67, _T_65) @[Cat.scala 29:58] - reg _T_68 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1494:11] - _T_68 <= mip_ns @[dec_tlu_ctl.scala 1494:11] - io.mip <= _T_68 @[dec_tlu_ctl.scala 1493:9] - node _T_69 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1506:60] - node _T_70 = eq(_T_69, UInt<12>("h0304")) @[dec_tlu_ctl.scala 1506:67] - node wr_mie_r = and(io.dec_csr_wen_r_mod, _T_70) @[dec_tlu_ctl.scala 1506:38] - node _T_71 = bits(wr_mie_r, 0, 0) @[dec_tlu_ctl.scala 1507:28] - node _T_72 = bits(io.dec_csr_wrdata_r, 30, 28) @[dec_tlu_ctl.scala 1507:59] - node _T_73 = bits(io.dec_csr_wrdata_r, 11, 11) @[dec_tlu_ctl.scala 1507:88] - node _T_74 = bits(io.dec_csr_wrdata_r, 7, 7) @[dec_tlu_ctl.scala 1507:113] - node _T_75 = bits(io.dec_csr_wrdata_r, 3, 3) @[dec_tlu_ctl.scala 1507:137] + reg _T_68 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1493:11] + _T_68 <= mip_ns @[dec_tlu_ctl.scala 1493:11] + io.mip <= _T_68 @[dec_tlu_ctl.scala 1492:9] + node _T_69 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1505:60] + node _T_70 = eq(_T_69, UInt<12>("h0304")) @[dec_tlu_ctl.scala 1505:67] + node wr_mie_r = and(io.dec_csr_wen_r_mod, _T_70) @[dec_tlu_ctl.scala 1505:38] + node _T_71 = bits(wr_mie_r, 0, 0) @[dec_tlu_ctl.scala 1506:28] + node _T_72 = bits(io.dec_csr_wrdata_r, 30, 28) @[dec_tlu_ctl.scala 1506:59] + node _T_73 = bits(io.dec_csr_wrdata_r, 11, 11) @[dec_tlu_ctl.scala 1506:88] + node _T_74 = bits(io.dec_csr_wrdata_r, 7, 7) @[dec_tlu_ctl.scala 1506:113] + node _T_75 = bits(io.dec_csr_wrdata_r, 3, 3) @[dec_tlu_ctl.scala 1506:137] node _T_76 = cat(_T_74, _T_75) @[Cat.scala 29:58] node _T_77 = cat(_T_72, _T_73) @[Cat.scala 29:58] node _T_78 = cat(_T_77, _T_76) @[Cat.scala 29:58] - node _T_79 = mux(_T_71, _T_78, mie) @[dec_tlu_ctl.scala 1507:18] - io.mie_ns <= _T_79 @[dec_tlu_ctl.scala 1507:12] - reg _T_80 : UInt, io.csr_wr_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1509:11] - _T_80 <= io.mie_ns @[dec_tlu_ctl.scala 1509:11] - mie <= _T_80 @[dec_tlu_ctl.scala 1508:6] - node _T_81 = bits(io.dcsr, 10, 10) @[dec_tlu_ctl.scala 1516:63] - node kill_ebreak_count_r = and(io.ebreak_to_debug_mode_r, _T_81) @[dec_tlu_ctl.scala 1516:54] - node _T_82 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1518:64] - node _T_83 = eq(_T_82, UInt<12>("h0b00")) @[dec_tlu_ctl.scala 1518:71] - node wr_mcyclel_r = and(io.dec_csr_wen_r_mod, _T_83) @[dec_tlu_ctl.scala 1518:42] - node _T_84 = bits(io.dcsr, 10, 10) @[dec_tlu_ctl.scala 1520:80] - node _T_85 = and(io.dec_tlu_dbg_halted, _T_84) @[dec_tlu_ctl.scala 1520:71] - node _T_86 = or(kill_ebreak_count_r, _T_85) @[dec_tlu_ctl.scala 1520:46] - node _T_87 = or(_T_86, io.dec_tlu_pmu_fw_halted) @[dec_tlu_ctl.scala 1520:94] - node _T_88 = bits(mcountinhibit, 0, 0) @[dec_tlu_ctl.scala 1520:136] - node _T_89 = or(_T_87, _T_88) @[dec_tlu_ctl.scala 1520:121] - node mcyclel_cout_in = not(_T_89) @[dec_tlu_ctl.scala 1520:24] + node _T_79 = mux(_T_71, _T_78, mie) @[dec_tlu_ctl.scala 1506:18] + io.mie_ns <= _T_79 @[dec_tlu_ctl.scala 1506:12] + reg _T_80 : UInt, io.csr_wr_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1508:11] + _T_80 <= io.mie_ns @[dec_tlu_ctl.scala 1508:11] + mie <= _T_80 @[dec_tlu_ctl.scala 1507:6] + node _T_81 = bits(io.dcsr, 10, 10) @[dec_tlu_ctl.scala 1515:63] + node kill_ebreak_count_r = and(io.ebreak_to_debug_mode_r, _T_81) @[dec_tlu_ctl.scala 1515:54] + node _T_82 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1517:64] + node _T_83 = eq(_T_82, UInt<12>("h0b00")) @[dec_tlu_ctl.scala 1517:71] + node wr_mcyclel_r = and(io.dec_csr_wen_r_mod, _T_83) @[dec_tlu_ctl.scala 1517:42] + node _T_84 = bits(io.dcsr, 10, 10) @[dec_tlu_ctl.scala 1519:80] + node _T_85 = and(io.dec_tlu_dbg_halted, _T_84) @[dec_tlu_ctl.scala 1519:71] + node _T_86 = or(kill_ebreak_count_r, _T_85) @[dec_tlu_ctl.scala 1519:46] + node _T_87 = or(_T_86, io.dec_tlu_pmu_fw_halted) @[dec_tlu_ctl.scala 1519:94] + node _T_88 = bits(mcountinhibit, 0, 0) @[dec_tlu_ctl.scala 1519:136] + node _T_89 = or(_T_87, _T_88) @[dec_tlu_ctl.scala 1519:121] + node mcyclel_cout_in = not(_T_89) @[dec_tlu_ctl.scala 1519:24] wire mcyclel_inc : UInt<33> mcyclel_inc <= UInt<1>("h00") node _T_90 = cat(UInt<31>("h00"), mcyclel_cout_in) @[Cat.scala 29:58] - node _T_91 = add(mcyclel, _T_90) @[dec_tlu_ctl.scala 1524:25] - mcyclel_inc <= _T_91 @[dec_tlu_ctl.scala 1524:14] - node _T_92 = bits(wr_mcyclel_r, 0, 0) @[dec_tlu_ctl.scala 1525:36] - node _T_93 = bits(mcyclel_inc, 31, 0) @[dec_tlu_ctl.scala 1525:76] - node mcyclel_ns = mux(_T_92, io.dec_csr_wrdata_r, _T_93) @[dec_tlu_ctl.scala 1525:22] - node _T_94 = bits(mcyclel_inc, 32, 32) @[dec_tlu_ctl.scala 1526:32] - node mcyclel_cout = bits(_T_94, 0, 0) @[dec_tlu_ctl.scala 1526:37] - node _T_95 = or(wr_mcyclel_r, mcyclel_cout_in) @[dec_tlu_ctl.scala 1527:46] - node _T_96 = bits(_T_95, 0, 0) @[dec_tlu_ctl.scala 1527:72] + node _T_91 = add(mcyclel, _T_90) @[dec_tlu_ctl.scala 1523:25] + mcyclel_inc <= _T_91 @[dec_tlu_ctl.scala 1523:14] + node _T_92 = bits(wr_mcyclel_r, 0, 0) @[dec_tlu_ctl.scala 1524:36] + node _T_93 = bits(mcyclel_inc, 31, 0) @[dec_tlu_ctl.scala 1524:76] + node mcyclel_ns = mux(_T_92, io.dec_csr_wrdata_r, _T_93) @[dec_tlu_ctl.scala 1524:22] + node _T_94 = bits(mcyclel_inc, 32, 32) @[dec_tlu_ctl.scala 1525:32] + node mcyclel_cout = bits(_T_94, 0, 0) @[dec_tlu_ctl.scala 1525:37] + node _T_95 = or(wr_mcyclel_r, mcyclel_cout_in) @[dec_tlu_ctl.scala 1526:46] + node _T_96 = bits(_T_95, 0, 0) @[dec_tlu_ctl.scala 1526:72] inst rvclkhdr_1 of rvclkhdr_721 @[lib.scala 368:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset @@ -72979,22 +72985,22 @@ circuit quasar : rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_97 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_97 <= mcyclel_ns @[lib.scala 374:16] - mcyclel <= _T_97 @[dec_tlu_ctl.scala 1527:10] - node _T_98 = eq(wr_mcycleh_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1528:71] - node _T_99 = and(mcyclel_cout, _T_98) @[dec_tlu_ctl.scala 1528:69] - reg mcyclel_cout_f : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1528:54] - mcyclel_cout_f <= _T_99 @[dec_tlu_ctl.scala 1528:54] - node _T_100 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1534:61] - node _T_101 = eq(_T_100, UInt<12>("h0b80")) @[dec_tlu_ctl.scala 1534:68] - node _T_102 = and(io.dec_csr_wen_r_mod, _T_101) @[dec_tlu_ctl.scala 1534:39] - wr_mcycleh_r <= _T_102 @[dec_tlu_ctl.scala 1534:15] + mcyclel <= _T_97 @[dec_tlu_ctl.scala 1526:10] + node _T_98 = eq(wr_mcycleh_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1527:71] + node _T_99 = and(mcyclel_cout, _T_98) @[dec_tlu_ctl.scala 1527:69] + reg mcyclel_cout_f : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1527:54] + mcyclel_cout_f <= _T_99 @[dec_tlu_ctl.scala 1527:54] + node _T_100 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1533:61] + node _T_101 = eq(_T_100, UInt<12>("h0b80")) @[dec_tlu_ctl.scala 1533:68] + node _T_102 = and(io.dec_csr_wen_r_mod, _T_101) @[dec_tlu_ctl.scala 1533:39] + wr_mcycleh_r <= _T_102 @[dec_tlu_ctl.scala 1533:15] node _T_103 = cat(UInt<31>("h00"), mcyclel_cout_f) @[Cat.scala 29:58] - node _T_104 = add(mcycleh, _T_103) @[dec_tlu_ctl.scala 1536:28] - node mcycleh_inc = tail(_T_104, 1) @[dec_tlu_ctl.scala 1536:28] - node _T_105 = bits(wr_mcycleh_r, 0, 0) @[dec_tlu_ctl.scala 1537:36] - node mcycleh_ns = mux(_T_105, io.dec_csr_wrdata_r, mcycleh_inc) @[dec_tlu_ctl.scala 1537:22] - node _T_106 = or(wr_mcycleh_r, mcyclel_cout_f) @[dec_tlu_ctl.scala 1539:46] - node _T_107 = bits(_T_106, 0, 0) @[dec_tlu_ctl.scala 1539:64] + node _T_104 = add(mcycleh, _T_103) @[dec_tlu_ctl.scala 1535:28] + node mcycleh_inc = tail(_T_104, 1) @[dec_tlu_ctl.scala 1535:28] + node _T_105 = bits(wr_mcycleh_r, 0, 0) @[dec_tlu_ctl.scala 1536:36] + node mcycleh_ns = mux(_T_105, io.dec_csr_wrdata_r, mcycleh_inc) @[dec_tlu_ctl.scala 1536:22] + node _T_106 = or(wr_mcycleh_r, mcyclel_cout_f) @[dec_tlu_ctl.scala 1538:46] + node _T_107 = bits(_T_106, 0, 0) @[dec_tlu_ctl.scala 1538:64] inst rvclkhdr_2 of rvclkhdr_722 @[lib.scala 368:23] rvclkhdr_2.clock <= clock rvclkhdr_2.reset <= reset @@ -73003,28 +73009,28 @@ circuit quasar : rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_108 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_108 <= mcycleh_ns @[lib.scala 374:16] - mcycleh <= _T_108 @[dec_tlu_ctl.scala 1539:10] - node _T_109 = or(io.ebreak_r, io.ecall_r) @[dec_tlu_ctl.scala 1553:72] - node _T_110 = or(_T_109, io.ebreak_to_debug_mode_r) @[dec_tlu_ctl.scala 1553:85] - node _T_111 = or(_T_110, io.illegal_r) @[dec_tlu_ctl.scala 1553:113] - node _T_112 = bits(mcountinhibit, 2, 2) @[dec_tlu_ctl.scala 1553:143] - node _T_113 = or(_T_111, _T_112) @[dec_tlu_ctl.scala 1553:128] - node _T_114 = bits(_T_113, 0, 0) @[dec_tlu_ctl.scala 1553:148] - node _T_115 = not(_T_114) @[dec_tlu_ctl.scala 1553:58] - node i0_valid_no_ebreak_ecall_r = and(io.tlu_i0_commit_cmt, _T_115) @[dec_tlu_ctl.scala 1553:56] - node _T_116 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1555:66] - node _T_117 = eq(_T_116, UInt<12>("h0b02")) @[dec_tlu_ctl.scala 1555:73] - node wr_minstretl_r = and(io.dec_csr_wen_r_mod, _T_117) @[dec_tlu_ctl.scala 1555:44] + mcycleh <= _T_108 @[dec_tlu_ctl.scala 1538:10] + node _T_109 = or(io.ebreak_r, io.ecall_r) @[dec_tlu_ctl.scala 1552:72] + node _T_110 = or(_T_109, io.ebreak_to_debug_mode_r) @[dec_tlu_ctl.scala 1552:85] + node _T_111 = or(_T_110, io.illegal_r) @[dec_tlu_ctl.scala 1552:113] + node _T_112 = bits(mcountinhibit, 2, 2) @[dec_tlu_ctl.scala 1552:143] + node _T_113 = or(_T_111, _T_112) @[dec_tlu_ctl.scala 1552:128] + node _T_114 = bits(_T_113, 0, 0) @[dec_tlu_ctl.scala 1552:148] + node _T_115 = not(_T_114) @[dec_tlu_ctl.scala 1552:58] + node i0_valid_no_ebreak_ecall_r = and(io.tlu_i0_commit_cmt, _T_115) @[dec_tlu_ctl.scala 1552:56] + node _T_116 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1554:66] + node _T_117 = eq(_T_116, UInt<12>("h0b02")) @[dec_tlu_ctl.scala 1554:73] + node wr_minstretl_r = and(io.dec_csr_wen_r_mod, _T_117) @[dec_tlu_ctl.scala 1554:44] node _T_118 = cat(UInt<31>("h00"), i0_valid_no_ebreak_ecall_r) @[Cat.scala 29:58] - node _T_119 = add(minstretl, _T_118) @[dec_tlu_ctl.scala 1557:29] - minstretl_inc <= _T_119 @[dec_tlu_ctl.scala 1557:16] - node minstretl_cout = bits(minstretl_inc, 32, 32) @[dec_tlu_ctl.scala 1558:36] - node _T_120 = or(i0_valid_no_ebreak_ecall_r, wr_minstretl_r) @[dec_tlu_ctl.scala 1559:52] - node minstret_enable = bits(_T_120, 0, 0) @[dec_tlu_ctl.scala 1559:70] - node _T_121 = bits(wr_minstretl_r, 0, 0) @[dec_tlu_ctl.scala 1561:40] - node _T_122 = bits(minstretl_inc, 31, 0) @[dec_tlu_ctl.scala 1561:83] - node minstretl_ns = mux(_T_121, io.dec_csr_wrdata_r, _T_122) @[dec_tlu_ctl.scala 1561:24] - node _T_123 = bits(minstret_enable, 0, 0) @[dec_tlu_ctl.scala 1562:51] + node _T_119 = add(minstretl, _T_118) @[dec_tlu_ctl.scala 1556:29] + minstretl_inc <= _T_119 @[dec_tlu_ctl.scala 1556:16] + node minstretl_cout = bits(minstretl_inc, 32, 32) @[dec_tlu_ctl.scala 1557:36] + node _T_120 = or(i0_valid_no_ebreak_ecall_r, wr_minstretl_r) @[dec_tlu_ctl.scala 1558:52] + node minstret_enable = bits(_T_120, 0, 0) @[dec_tlu_ctl.scala 1558:70] + node _T_121 = bits(wr_minstretl_r, 0, 0) @[dec_tlu_ctl.scala 1560:40] + node _T_122 = bits(minstretl_inc, 31, 0) @[dec_tlu_ctl.scala 1560:83] + node minstretl_ns = mux(_T_121, io.dec_csr_wrdata_r, _T_122) @[dec_tlu_ctl.scala 1560:24] + node _T_123 = bits(minstret_enable, 0, 0) @[dec_tlu_ctl.scala 1561:51] inst rvclkhdr_3 of rvclkhdr_723 @[lib.scala 368:23] rvclkhdr_3.clock <= clock rvclkhdr_3.reset <= reset @@ -73033,26 +73039,26 @@ circuit quasar : rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_124 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_124 <= minstretl_ns @[lib.scala 374:16] - minstretl <= _T_124 @[dec_tlu_ctl.scala 1562:12] - reg minstret_enable_f : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1563:56] - minstret_enable_f <= minstret_enable @[dec_tlu_ctl.scala 1563:56] - node _T_125 = not(wr_minstreth_r) @[dec_tlu_ctl.scala 1564:75] - node _T_126 = and(minstretl_cout, _T_125) @[dec_tlu_ctl.scala 1564:73] - reg minstretl_cout_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1564:56] - minstretl_cout_f <= _T_126 @[dec_tlu_ctl.scala 1564:56] - node _T_127 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1572:64] - node _T_128 = eq(_T_127, UInt<12>("h0b82")) @[dec_tlu_ctl.scala 1572:71] - node _T_129 = and(io.dec_csr_wen_r_mod, _T_128) @[dec_tlu_ctl.scala 1572:42] - node _T_130 = bits(_T_129, 0, 0) @[dec_tlu_ctl.scala 1572:87] - wr_minstreth_r <= _T_130 @[dec_tlu_ctl.scala 1572:17] + minstretl <= _T_124 @[dec_tlu_ctl.scala 1561:12] + reg minstret_enable_f : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1562:56] + minstret_enable_f <= minstret_enable @[dec_tlu_ctl.scala 1562:56] + node _T_125 = not(wr_minstreth_r) @[dec_tlu_ctl.scala 1563:75] + node _T_126 = and(minstretl_cout, _T_125) @[dec_tlu_ctl.scala 1563:73] + reg minstretl_cout_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1563:56] + minstretl_cout_f <= _T_126 @[dec_tlu_ctl.scala 1563:56] + node _T_127 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1571:64] + node _T_128 = eq(_T_127, UInt<12>("h0b82")) @[dec_tlu_ctl.scala 1571:71] + node _T_129 = and(io.dec_csr_wen_r_mod, _T_128) @[dec_tlu_ctl.scala 1571:42] + node _T_130 = bits(_T_129, 0, 0) @[dec_tlu_ctl.scala 1571:87] + wr_minstreth_r <= _T_130 @[dec_tlu_ctl.scala 1571:17] node _T_131 = cat(UInt<31>("h00"), minstretl_cout_f) @[Cat.scala 29:58] - node _T_132 = add(minstreth, _T_131) @[dec_tlu_ctl.scala 1575:29] - node _T_133 = tail(_T_132, 1) @[dec_tlu_ctl.scala 1575:29] - minstreth_inc <= _T_133 @[dec_tlu_ctl.scala 1575:16] - node _T_134 = bits(wr_minstreth_r, 0, 0) @[dec_tlu_ctl.scala 1576:41] - node minstreth_ns = mux(_T_134, io.dec_csr_wrdata_r, minstreth_inc) @[dec_tlu_ctl.scala 1576:25] - node _T_135 = or(minstret_enable_f, wr_minstreth_r) @[dec_tlu_ctl.scala 1578:55] - node _T_136 = bits(_T_135, 0, 0) @[dec_tlu_ctl.scala 1578:73] + node _T_132 = add(minstreth, _T_131) @[dec_tlu_ctl.scala 1574:29] + node _T_133 = tail(_T_132, 1) @[dec_tlu_ctl.scala 1574:29] + minstreth_inc <= _T_133 @[dec_tlu_ctl.scala 1574:16] + node _T_134 = bits(wr_minstreth_r, 0, 0) @[dec_tlu_ctl.scala 1575:41] + node minstreth_ns = mux(_T_134, io.dec_csr_wrdata_r, minstreth_inc) @[dec_tlu_ctl.scala 1575:25] + node _T_135 = or(minstret_enable_f, wr_minstreth_r) @[dec_tlu_ctl.scala 1577:55] + node _T_136 = bits(_T_135, 0, 0) @[dec_tlu_ctl.scala 1577:73] inst rvclkhdr_4 of rvclkhdr_724 @[lib.scala 368:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset @@ -73061,11 +73067,11 @@ circuit quasar : rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_137 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_137 <= minstreth_ns @[lib.scala 374:16] - minstreth <= _T_137 @[dec_tlu_ctl.scala 1578:12] - node _T_138 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1586:65] - node _T_139 = eq(_T_138, UInt<12>("h0340")) @[dec_tlu_ctl.scala 1586:72] - node wr_mscratch_r = and(io.dec_csr_wen_r_mod, _T_139) @[dec_tlu_ctl.scala 1586:43] - node _T_140 = bits(wr_mscratch_r, 0, 0) @[dec_tlu_ctl.scala 1588:55] + minstreth <= _T_137 @[dec_tlu_ctl.scala 1577:12] + node _T_138 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1585:65] + node _T_139 = eq(_T_138, UInt<12>("h0340")) @[dec_tlu_ctl.scala 1585:72] + node wr_mscratch_r = and(io.dec_csr_wen_r_mod, _T_139) @[dec_tlu_ctl.scala 1585:43] + node _T_140 = bits(wr_mscratch_r, 0, 0) @[dec_tlu_ctl.scala 1587:55] inst rvclkhdr_5 of rvclkhdr_725 @[lib.scala 368:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset @@ -73074,24 +73080,24 @@ circuit quasar : rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_141 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_141 <= io.dec_csr_wrdata_r @[lib.scala 374:16] - mscratch <= _T_141 @[dec_tlu_ctl.scala 1588:11] - node _T_142 = eq(io.dec_tlu_dbg_halted, UInt<1>("h00")) @[dec_tlu_ctl.scala 1597:22] - node _T_143 = eq(io.tlu_flush_lower_r_d1, UInt<1>("h00")) @[dec_tlu_ctl.scala 1597:47] - node _T_144 = and(_T_142, _T_143) @[dec_tlu_ctl.scala 1597:45] - node sel_exu_npc_r = and(_T_144, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 1597:72] - node _T_145 = eq(io.dec_tlu_dbg_halted, UInt<1>("h00")) @[dec_tlu_ctl.scala 1598:24] - node _T_146 = and(_T_145, io.tlu_flush_lower_r_d1) @[dec_tlu_ctl.scala 1598:47] - node _T_147 = eq(io.dec_tlu_flush_noredir_r_d1, UInt<1>("h00")) @[dec_tlu_ctl.scala 1598:75] - node sel_flush_npc_r = and(_T_146, _T_147) @[dec_tlu_ctl.scala 1598:73] - node _T_148 = eq(sel_exu_npc_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1599:23] - node _T_149 = eq(sel_flush_npc_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1599:40] - node sel_hold_npc_r = and(_T_148, _T_149) @[dec_tlu_ctl.scala 1599:38] - node _T_150 = bits(sel_exu_npc_r, 0, 0) @[dec_tlu_ctl.scala 1602:26] - node _T_151 = eq(io.mpc_reset_run_req, UInt<1>("h00")) @[dec_tlu_ctl.scala 1603:13] - node _T_152 = and(_T_151, io.reset_delayed) @[dec_tlu_ctl.scala 1603:35] - node _T_153 = bits(_T_152, 0, 0) @[dec_tlu_ctl.scala 1603:55] - node _T_154 = bits(sel_flush_npc_r, 0, 0) @[dec_tlu_ctl.scala 1604:28] - node _T_155 = bits(sel_hold_npc_r, 0, 0) @[dec_tlu_ctl.scala 1605:27] + mscratch <= _T_141 @[dec_tlu_ctl.scala 1587:11] + node _T_142 = eq(io.dec_tlu_dbg_halted, UInt<1>("h00")) @[dec_tlu_ctl.scala 1596:22] + node _T_143 = eq(io.tlu_flush_lower_r_d1, UInt<1>("h00")) @[dec_tlu_ctl.scala 1596:47] + node _T_144 = and(_T_142, _T_143) @[dec_tlu_ctl.scala 1596:45] + node sel_exu_npc_r = and(_T_144, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 1596:72] + node _T_145 = eq(io.dec_tlu_dbg_halted, UInt<1>("h00")) @[dec_tlu_ctl.scala 1597:24] + node _T_146 = and(_T_145, io.tlu_flush_lower_r_d1) @[dec_tlu_ctl.scala 1597:47] + node _T_147 = eq(io.dec_tlu_flush_noredir_r_d1, UInt<1>("h00")) @[dec_tlu_ctl.scala 1597:75] + node sel_flush_npc_r = and(_T_146, _T_147) @[dec_tlu_ctl.scala 1597:73] + node _T_148 = eq(sel_exu_npc_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1598:23] + node _T_149 = eq(sel_flush_npc_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1598:40] + node sel_hold_npc_r = and(_T_148, _T_149) @[dec_tlu_ctl.scala 1598:38] + node _T_150 = bits(sel_exu_npc_r, 0, 0) @[dec_tlu_ctl.scala 1601:26] + node _T_151 = eq(io.mpc_reset_run_req, UInt<1>("h00")) @[dec_tlu_ctl.scala 1602:13] + node _T_152 = and(_T_151, io.reset_delayed) @[dec_tlu_ctl.scala 1602:35] + node _T_153 = bits(_T_152, 0, 0) @[dec_tlu_ctl.scala 1602:55] + node _T_154 = bits(sel_flush_npc_r, 0, 0) @[dec_tlu_ctl.scala 1603:28] + node _T_155 = bits(sel_hold_npc_r, 0, 0) @[dec_tlu_ctl.scala 1604:27] node _T_156 = mux(_T_150, io.exu_npc_r, UInt<1>("h00")) @[Mux.scala 27:72] node _T_157 = mux(_T_153, io.rst_vec, UInt<1>("h00")) @[Mux.scala 27:72] node _T_158 = mux(_T_154, io.tlu_flush_path_r_d1, UInt<1>("h00")) @[Mux.scala 27:72] @@ -73101,10 +73107,10 @@ circuit quasar : node _T_162 = or(_T_161, _T_159) @[Mux.scala 27:72] wire _T_163 : UInt<31> @[Mux.scala 27:72] _T_163 <= _T_162 @[Mux.scala 27:72] - io.npc_r <= _T_163 @[dec_tlu_ctl.scala 1601:11] - node _T_164 = or(sel_exu_npc_r, sel_flush_npc_r) @[dec_tlu_ctl.scala 1607:48] - node _T_165 = or(_T_164, io.reset_delayed) @[dec_tlu_ctl.scala 1607:66] - node _T_166 = bits(_T_165, 0, 0) @[dec_tlu_ctl.scala 1607:86] + io.npc_r <= _T_163 @[dec_tlu_ctl.scala 1600:11] + node _T_164 = or(sel_exu_npc_r, sel_flush_npc_r) @[dec_tlu_ctl.scala 1606:48] + node _T_165 = or(_T_164, io.reset_delayed) @[dec_tlu_ctl.scala 1606:66] + node _T_166 = bits(_T_165, 0, 0) @[dec_tlu_ctl.scala 1606:86] inst rvclkhdr_6 of rvclkhdr_726 @[lib.scala 368:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset @@ -73113,11 +73119,11 @@ circuit quasar : rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_167 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_167 <= io.npc_r @[lib.scala 374:16] - io.npc_r_d1 <= _T_167 @[dec_tlu_ctl.scala 1607:14] - node _T_168 = eq(io.dec_tlu_dbg_halted, UInt<1>("h00")) @[dec_tlu_ctl.scala 1610:21] - node _T_169 = and(_T_168, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 1610:44] - node pc0_valid_r = bits(_T_169, 0, 0) @[dec_tlu_ctl.scala 1610:69] - node _T_170 = not(pc0_valid_r) @[dec_tlu_ctl.scala 1614:22] + io.npc_r_d1 <= _T_167 @[dec_tlu_ctl.scala 1606:14] + node _T_168 = eq(io.dec_tlu_dbg_halted, UInt<1>("h00")) @[dec_tlu_ctl.scala 1609:21] + node _T_169 = and(_T_168, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 1609:44] + node pc0_valid_r = bits(_T_169, 0, 0) @[dec_tlu_ctl.scala 1609:69] + node _T_170 = not(pc0_valid_r) @[dec_tlu_ctl.scala 1613:22] node _T_171 = mux(pc0_valid_r, io.dec_tlu_i0_pc_r, UInt<1>("h00")) @[Mux.scala 27:72] node _T_172 = mux(_T_170, pc_r_d1, UInt<1>("h00")) @[Mux.scala 27:72] node _T_173 = or(_T_171, _T_172) @[Mux.scala 27:72] @@ -73131,22 +73137,22 @@ circuit quasar : rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_174 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_174 <= pc_r @[lib.scala 374:16] - pc_r_d1 <= _T_174 @[dec_tlu_ctl.scala 1616:10] - node _T_175 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1618:61] - node _T_176 = eq(_T_175, UInt<12>("h0341")) @[dec_tlu_ctl.scala 1618:68] - node wr_mepc_r = and(io.dec_csr_wen_r_mod, _T_176) @[dec_tlu_ctl.scala 1618:39] - node _T_177 = or(io.i0_exception_valid_r, io.lsu_exc_valid_r) @[dec_tlu_ctl.scala 1621:27] - node _T_178 = or(_T_177, io.mepc_trigger_hit_sel_pc_r) @[dec_tlu_ctl.scala 1621:48] - node _T_179 = bits(_T_178, 0, 0) @[dec_tlu_ctl.scala 1621:80] - node _T_180 = bits(io.interrupt_valid_r, 0, 0) @[dec_tlu_ctl.scala 1622:25] - node _T_181 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1623:15] - node _T_182 = and(wr_mepc_r, _T_181) @[dec_tlu_ctl.scala 1623:13] - node _T_183 = bits(_T_182, 0, 0) @[dec_tlu_ctl.scala 1623:39] - node _T_184 = bits(io.dec_csr_wrdata_r, 31, 1) @[dec_tlu_ctl.scala 1623:104] - node _T_185 = eq(wr_mepc_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1624:3] - node _T_186 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1624:16] - node _T_187 = and(_T_185, _T_186) @[dec_tlu_ctl.scala 1624:14] - node _T_188 = bits(_T_187, 0, 0) @[dec_tlu_ctl.scala 1624:40] + pc_r_d1 <= _T_174 @[dec_tlu_ctl.scala 1615:10] + node _T_175 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1617:61] + node _T_176 = eq(_T_175, UInt<12>("h0341")) @[dec_tlu_ctl.scala 1617:68] + node wr_mepc_r = and(io.dec_csr_wen_r_mod, _T_176) @[dec_tlu_ctl.scala 1617:39] + node _T_177 = or(io.i0_exception_valid_r, io.lsu_exc_valid_r) @[dec_tlu_ctl.scala 1620:27] + node _T_178 = or(_T_177, io.mepc_trigger_hit_sel_pc_r) @[dec_tlu_ctl.scala 1620:48] + node _T_179 = bits(_T_178, 0, 0) @[dec_tlu_ctl.scala 1620:80] + node _T_180 = bits(io.interrupt_valid_r, 0, 0) @[dec_tlu_ctl.scala 1621:25] + node _T_181 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1622:15] + node _T_182 = and(wr_mepc_r, _T_181) @[dec_tlu_ctl.scala 1622:13] + node _T_183 = bits(_T_182, 0, 0) @[dec_tlu_ctl.scala 1622:39] + node _T_184 = bits(io.dec_csr_wrdata_r, 31, 1) @[dec_tlu_ctl.scala 1622:104] + node _T_185 = eq(wr_mepc_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1623:3] + node _T_186 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1623:16] + node _T_187 = and(_T_185, _T_186) @[dec_tlu_ctl.scala 1623:14] + node _T_188 = bits(_T_187, 0, 0) @[dec_tlu_ctl.scala 1623:40] node _T_189 = mux(_T_179, pc_r, UInt<1>("h00")) @[Mux.scala 27:72] node _T_190 = mux(_T_180, io.npc_r, UInt<1>("h00")) @[Mux.scala 27:72] node _T_191 = mux(_T_183, _T_184, UInt<1>("h00")) @[Mux.scala 27:72] @@ -73156,42 +73162,42 @@ circuit quasar : node _T_195 = or(_T_194, _T_192) @[Mux.scala 27:72] wire mepc_ns : UInt<31> @[Mux.scala 27:72] mepc_ns <= _T_195 @[Mux.scala 27:72] - reg _T_196 : UInt, io.e4e5_int_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1626:47] - _T_196 <= mepc_ns @[dec_tlu_ctl.scala 1626:47] - io.mepc <= _T_196 @[dec_tlu_ctl.scala 1626:10] - node _T_197 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1633:65] - node _T_198 = eq(_T_197, UInt<12>("h0342")) @[dec_tlu_ctl.scala 1633:72] - node wr_mcause_r = and(io.dec_csr_wen_r_mod, _T_198) @[dec_tlu_ctl.scala 1633:43] - node _T_199 = and(io.exc_or_int_valid_r, io.take_nmi) @[dec_tlu_ctl.scala 1634:53] - node mcause_sel_nmi_store = and(_T_199, io.nmi_lsu_store_type) @[dec_tlu_ctl.scala 1634:67] - node _T_200 = and(io.exc_or_int_valid_r, io.take_nmi) @[dec_tlu_ctl.scala 1635:52] - node mcause_sel_nmi_load = and(_T_200, io.nmi_lsu_load_type) @[dec_tlu_ctl.scala 1635:66] - node _T_201 = and(io.exc_or_int_valid_r, io.take_nmi) @[dec_tlu_ctl.scala 1636:51] - node _T_202 = orr(io.lsu_fir_error) @[dec_tlu_ctl.scala 1636:84] - node mcause_sel_nmi_ext = and(_T_201, _T_202) @[dec_tlu_ctl.scala 1636:65] - node _T_203 = andr(io.lsu_fir_error) @[dec_tlu_ctl.scala 1642:53] - node _T_204 = bits(io.lsu_fir_error, 1, 1) @[dec_tlu_ctl.scala 1642:76] - node _T_205 = bits(io.lsu_fir_error, 0, 0) @[dec_tlu_ctl.scala 1642:99] - node _T_206 = not(_T_205) @[dec_tlu_ctl.scala 1642:82] - node _T_207 = and(_T_204, _T_206) @[dec_tlu_ctl.scala 1642:80] + reg _T_196 : UInt, io.e4e5_int_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1625:47] + _T_196 <= mepc_ns @[dec_tlu_ctl.scala 1625:47] + io.mepc <= _T_196 @[dec_tlu_ctl.scala 1625:10] + node _T_197 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1632:65] + node _T_198 = eq(_T_197, UInt<12>("h0342")) @[dec_tlu_ctl.scala 1632:72] + node wr_mcause_r = and(io.dec_csr_wen_r_mod, _T_198) @[dec_tlu_ctl.scala 1632:43] + node _T_199 = and(io.exc_or_int_valid_r, io.take_nmi) @[dec_tlu_ctl.scala 1633:53] + node mcause_sel_nmi_store = and(_T_199, io.nmi_lsu_store_type) @[dec_tlu_ctl.scala 1633:67] + node _T_200 = and(io.exc_or_int_valid_r, io.take_nmi) @[dec_tlu_ctl.scala 1634:52] + node mcause_sel_nmi_load = and(_T_200, io.nmi_lsu_load_type) @[dec_tlu_ctl.scala 1634:66] + node _T_201 = and(io.exc_or_int_valid_r, io.take_nmi) @[dec_tlu_ctl.scala 1635:51] + node _T_202 = orr(io.lsu_fir_error) @[dec_tlu_ctl.scala 1635:84] + node mcause_sel_nmi_ext = and(_T_201, _T_202) @[dec_tlu_ctl.scala 1635:65] + node _T_203 = andr(io.lsu_fir_error) @[dec_tlu_ctl.scala 1641:53] + node _T_204 = bits(io.lsu_fir_error, 1, 1) @[dec_tlu_ctl.scala 1641:76] + node _T_205 = bits(io.lsu_fir_error, 0, 0) @[dec_tlu_ctl.scala 1641:99] + node _T_206 = not(_T_205) @[dec_tlu_ctl.scala 1641:82] + node _T_207 = and(_T_204, _T_206) @[dec_tlu_ctl.scala 1641:80] node mcause_fir_error_type = cat(_T_203, _T_207) @[Cat.scala 29:58] - node _T_208 = bits(mcause_sel_nmi_store, 0, 0) @[dec_tlu_ctl.scala 1645:52] - node _T_209 = bits(mcause_sel_nmi_load, 0, 0) @[dec_tlu_ctl.scala 1646:51] - node _T_210 = bits(mcause_sel_nmi_ext, 0, 0) @[dec_tlu_ctl.scala 1647:50] + node _T_208 = bits(mcause_sel_nmi_store, 0, 0) @[dec_tlu_ctl.scala 1644:52] + node _T_209 = bits(mcause_sel_nmi_load, 0, 0) @[dec_tlu_ctl.scala 1645:51] + node _T_210 = bits(mcause_sel_nmi_ext, 0, 0) @[dec_tlu_ctl.scala 1646:50] node _T_211 = cat(UInt<28>("h0f000100"), UInt<2>("h00")) @[Cat.scala 29:58] node _T_212 = cat(_T_211, mcause_fir_error_type) @[Cat.scala 29:58] - node _T_213 = not(io.take_nmi) @[dec_tlu_ctl.scala 1648:56] - node _T_214 = and(io.exc_or_int_valid_r, _T_213) @[dec_tlu_ctl.scala 1648:54] - node _T_215 = bits(_T_214, 0, 0) @[dec_tlu_ctl.scala 1648:70] + node _T_213 = not(io.take_nmi) @[dec_tlu_ctl.scala 1647:56] + node _T_214 = and(io.exc_or_int_valid_r, _T_213) @[dec_tlu_ctl.scala 1647:54] + node _T_215 = bits(_T_214, 0, 0) @[dec_tlu_ctl.scala 1647:70] node _T_216 = cat(io.interrupt_valid_r, UInt<26>("h00")) @[Cat.scala 29:58] node _T_217 = cat(_T_216, io.exc_cause_r) @[Cat.scala 29:58] - node _T_218 = not(io.exc_or_int_valid_r) @[dec_tlu_ctl.scala 1649:46] - node _T_219 = and(wr_mcause_r, _T_218) @[dec_tlu_ctl.scala 1649:44] - node _T_220 = bits(_T_219, 0, 0) @[dec_tlu_ctl.scala 1649:70] - node _T_221 = not(wr_mcause_r) @[dec_tlu_ctl.scala 1650:32] - node _T_222 = not(io.exc_or_int_valid_r) @[dec_tlu_ctl.scala 1650:47] - node _T_223 = and(_T_221, _T_222) @[dec_tlu_ctl.scala 1650:45] - node _T_224 = bits(_T_223, 0, 0) @[dec_tlu_ctl.scala 1650:71] + node _T_218 = not(io.exc_or_int_valid_r) @[dec_tlu_ctl.scala 1648:46] + node _T_219 = and(wr_mcause_r, _T_218) @[dec_tlu_ctl.scala 1648:44] + node _T_220 = bits(_T_219, 0, 0) @[dec_tlu_ctl.scala 1648:70] + node _T_221 = not(wr_mcause_r) @[dec_tlu_ctl.scala 1649:32] + node _T_222 = not(io.exc_or_int_valid_r) @[dec_tlu_ctl.scala 1649:47] + node _T_223 = and(_T_221, _T_222) @[dec_tlu_ctl.scala 1649:45] + node _T_224 = bits(_T_223, 0, 0) @[dec_tlu_ctl.scala 1649:71] node _T_225 = mux(_T_208, UInt<32>("h0f0000000"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_226 = mux(_T_209, UInt<32>("h0f0000001"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_227 = mux(_T_210, _T_212, UInt<1>("h00")) @[Mux.scala 27:72] @@ -73205,19 +73211,19 @@ circuit quasar : node _T_235 = or(_T_234, _T_230) @[Mux.scala 27:72] wire mcause_ns : UInt<32> @[Mux.scala 27:72] mcause_ns <= _T_235 @[Mux.scala 27:72] - reg _T_236 : UInt, io.e4e5_int_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1652:49] - _T_236 <= mcause_ns @[dec_tlu_ctl.scala 1652:49] - mcause <= _T_236 @[dec_tlu_ctl.scala 1652:12] - node _T_237 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1659:64] - node _T_238 = eq(_T_237, UInt<12>("h07ff")) @[dec_tlu_ctl.scala 1659:71] - node wr_mscause_r = and(io.dec_csr_wen_r_mod, _T_238) @[dec_tlu_ctl.scala 1659:42] - node _T_239 = eq(io.dec_tlu_packet_r.icaf_type, UInt<2>("h00")) @[dec_tlu_ctl.scala 1661:56] + reg _T_236 : UInt, io.e4e5_int_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1651:49] + _T_236 <= mcause_ns @[dec_tlu_ctl.scala 1651:49] + mcause <= _T_236 @[dec_tlu_ctl.scala 1651:12] + node _T_237 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1658:64] + node _T_238 = eq(_T_237, UInt<12>("h07ff")) @[dec_tlu_ctl.scala 1658:71] + node wr_mscause_r = and(io.dec_csr_wen_r_mod, _T_238) @[dec_tlu_ctl.scala 1658:42] + node _T_239 = eq(io.dec_tlu_packet_r.icaf_type, UInt<2>("h00")) @[dec_tlu_ctl.scala 1660:56] node _T_240 = cat(UInt<2>("h00"), io.dec_tlu_packet_r.icaf_type) @[Cat.scala 29:58] - node ifu_mscause = mux(_T_239, UInt<4>("h09"), _T_240) @[dec_tlu_ctl.scala 1661:24] - node _T_241 = bits(io.lsu_i0_exc_r, 0, 0) @[dec_tlu_ctl.scala 1664:36] - node _T_242 = bits(io.i0_trigger_hit_r, 0, 0) @[dec_tlu_ctl.scala 1665:40] - node _T_243 = bits(io.ebreak_r, 0, 0) @[dec_tlu_ctl.scala 1666:32] - node _T_244 = bits(io.inst_acc_r, 0, 0) @[dec_tlu_ctl.scala 1667:34] + node ifu_mscause = mux(_T_239, UInt<4>("h09"), _T_240) @[dec_tlu_ctl.scala 1660:24] + node _T_241 = bits(io.lsu_i0_exc_r, 0, 0) @[dec_tlu_ctl.scala 1663:36] + node _T_242 = bits(io.i0_trigger_hit_r, 0, 0) @[dec_tlu_ctl.scala 1664:40] + node _T_243 = bits(io.ebreak_r, 0, 0) @[dec_tlu_ctl.scala 1665:32] + node _T_244 = bits(io.inst_acc_r, 0, 0) @[dec_tlu_ctl.scala 1666:34] node _T_245 = mux(_T_241, io.lsu_error_pkt_r.bits.mscause, UInt<1>("h00")) @[Mux.scala 27:72] node _T_246 = mux(_T_242, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_247 = mux(_T_243, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -73227,15 +73233,15 @@ circuit quasar : node _T_251 = or(_T_250, _T_248) @[Mux.scala 27:72] wire mscause_type : UInt<4> @[Mux.scala 27:72] mscause_type <= _T_251 @[Mux.scala 27:72] - node _T_252 = bits(io.exc_or_int_valid_r, 0, 0) @[dec_tlu_ctl.scala 1671:48] - node _T_253 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1672:40] - node _T_254 = and(wr_mscause_r, _T_253) @[dec_tlu_ctl.scala 1672:38] - node _T_255 = bits(_T_254, 0, 0) @[dec_tlu_ctl.scala 1672:64] - node _T_256 = bits(io.dec_csr_wrdata_r, 3, 0) @[dec_tlu_ctl.scala 1672:103] - node _T_257 = eq(wr_mscause_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1673:25] - node _T_258 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1673:41] - node _T_259 = and(_T_257, _T_258) @[dec_tlu_ctl.scala 1673:39] - node _T_260 = bits(_T_259, 0, 0) @[dec_tlu_ctl.scala 1673:65] + node _T_252 = bits(io.exc_or_int_valid_r, 0, 0) @[dec_tlu_ctl.scala 1670:48] + node _T_253 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1671:40] + node _T_254 = and(wr_mscause_r, _T_253) @[dec_tlu_ctl.scala 1671:38] + node _T_255 = bits(_T_254, 0, 0) @[dec_tlu_ctl.scala 1671:64] + node _T_256 = bits(io.dec_csr_wrdata_r, 3, 0) @[dec_tlu_ctl.scala 1671:103] + node _T_257 = eq(wr_mscause_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1672:25] + node _T_258 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1672:41] + node _T_259 = and(_T_257, _T_258) @[dec_tlu_ctl.scala 1672:39] + node _T_260 = bits(_T_259, 0, 0) @[dec_tlu_ctl.scala 1672:65] node _T_261 = mux(_T_252, mscause_type, UInt<1>("h00")) @[Mux.scala 27:72] node _T_262 = mux(_T_255, _T_256, UInt<1>("h00")) @[Mux.scala 27:72] node _T_263 = mux(_T_260, mscause, UInt<1>("h00")) @[Mux.scala 27:72] @@ -73243,60 +73249,60 @@ circuit quasar : node _T_265 = or(_T_264, _T_263) @[Mux.scala 27:72] wire mscause_ns : UInt<4> @[Mux.scala 27:72] mscause_ns <= _T_265 @[Mux.scala 27:72] - reg _T_266 : UInt, io.e4e5_int_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1675:47] - _T_266 <= mscause_ns @[dec_tlu_ctl.scala 1675:47] - mscause <= _T_266 @[dec_tlu_ctl.scala 1675:10] - node _T_267 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1682:62] - node _T_268 = eq(_T_267, UInt<12>("h0343")) @[dec_tlu_ctl.scala 1682:69] - node wr_mtval_r = and(io.dec_csr_wen_r_mod, _T_268) @[dec_tlu_ctl.scala 1682:40] - node _T_269 = not(io.inst_acc_second_r) @[dec_tlu_ctl.scala 1683:83] - node _T_270 = and(io.inst_acc_r, _T_269) @[dec_tlu_ctl.scala 1683:81] - node _T_271 = or(io.ebreak_r, _T_270) @[dec_tlu_ctl.scala 1683:64] - node _T_272 = or(_T_271, io.mepc_trigger_hit_sel_pc_r) @[dec_tlu_ctl.scala 1683:106] - node _T_273 = and(io.exc_or_int_valid_r, _T_272) @[dec_tlu_ctl.scala 1683:49] - node _T_274 = not(io.take_nmi) @[dec_tlu_ctl.scala 1683:140] - node mtval_capture_pc_r = and(_T_273, _T_274) @[dec_tlu_ctl.scala 1683:138] - node _T_275 = and(io.inst_acc_r, io.inst_acc_second_r) @[dec_tlu_ctl.scala 1684:72] - node _T_276 = and(io.exc_or_int_valid_r, _T_275) @[dec_tlu_ctl.scala 1684:55] - node _T_277 = not(io.take_nmi) @[dec_tlu_ctl.scala 1684:98] - node mtval_capture_pc_plus2_r = and(_T_276, _T_277) @[dec_tlu_ctl.scala 1684:96] - node _T_278 = and(io.exc_or_int_valid_r, io.illegal_r) @[dec_tlu_ctl.scala 1685:51] - node _T_279 = not(io.take_nmi) @[dec_tlu_ctl.scala 1685:68] - node mtval_capture_inst_r = and(_T_278, _T_279) @[dec_tlu_ctl.scala 1685:66] - node _T_280 = and(io.exc_or_int_valid_r, io.lsu_exc_valid_r) @[dec_tlu_ctl.scala 1686:50] - node _T_281 = not(io.take_nmi) @[dec_tlu_ctl.scala 1686:73] - node mtval_capture_lsu_r = and(_T_280, _T_281) @[dec_tlu_ctl.scala 1686:71] - node _T_282 = not(mtval_capture_pc_r) @[dec_tlu_ctl.scala 1687:46] - node _T_283 = and(io.exc_or_int_valid_r, _T_282) @[dec_tlu_ctl.scala 1687:44] - node _T_284 = not(mtval_capture_inst_r) @[dec_tlu_ctl.scala 1687:68] - node _T_285 = and(_T_283, _T_284) @[dec_tlu_ctl.scala 1687:66] - node _T_286 = not(mtval_capture_lsu_r) @[dec_tlu_ctl.scala 1687:92] - node _T_287 = and(_T_285, _T_286) @[dec_tlu_ctl.scala 1687:90] - node _T_288 = not(io.mepc_trigger_hit_sel_pc_r) @[dec_tlu_ctl.scala 1687:115] - node mtval_clear_r = and(_T_287, _T_288) @[dec_tlu_ctl.scala 1687:113] - node _T_289 = bits(mtval_capture_pc_r, 0, 0) @[dec_tlu_ctl.scala 1691:25] + reg _T_266 : UInt, io.e4e5_int_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1674:47] + _T_266 <= mscause_ns @[dec_tlu_ctl.scala 1674:47] + mscause <= _T_266 @[dec_tlu_ctl.scala 1674:10] + node _T_267 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1681:62] + node _T_268 = eq(_T_267, UInt<12>("h0343")) @[dec_tlu_ctl.scala 1681:69] + node wr_mtval_r = and(io.dec_csr_wen_r_mod, _T_268) @[dec_tlu_ctl.scala 1681:40] + node _T_269 = not(io.inst_acc_second_r) @[dec_tlu_ctl.scala 1682:83] + node _T_270 = and(io.inst_acc_r, _T_269) @[dec_tlu_ctl.scala 1682:81] + node _T_271 = or(io.ebreak_r, _T_270) @[dec_tlu_ctl.scala 1682:64] + node _T_272 = or(_T_271, io.mepc_trigger_hit_sel_pc_r) @[dec_tlu_ctl.scala 1682:106] + node _T_273 = and(io.exc_or_int_valid_r, _T_272) @[dec_tlu_ctl.scala 1682:49] + node _T_274 = not(io.take_nmi) @[dec_tlu_ctl.scala 1682:140] + node mtval_capture_pc_r = and(_T_273, _T_274) @[dec_tlu_ctl.scala 1682:138] + node _T_275 = and(io.inst_acc_r, io.inst_acc_second_r) @[dec_tlu_ctl.scala 1683:72] + node _T_276 = and(io.exc_or_int_valid_r, _T_275) @[dec_tlu_ctl.scala 1683:55] + node _T_277 = not(io.take_nmi) @[dec_tlu_ctl.scala 1683:98] + node mtval_capture_pc_plus2_r = and(_T_276, _T_277) @[dec_tlu_ctl.scala 1683:96] + node _T_278 = and(io.exc_or_int_valid_r, io.illegal_r) @[dec_tlu_ctl.scala 1684:51] + node _T_279 = not(io.take_nmi) @[dec_tlu_ctl.scala 1684:68] + node mtval_capture_inst_r = and(_T_278, _T_279) @[dec_tlu_ctl.scala 1684:66] + node _T_280 = and(io.exc_or_int_valid_r, io.lsu_exc_valid_r) @[dec_tlu_ctl.scala 1685:50] + node _T_281 = not(io.take_nmi) @[dec_tlu_ctl.scala 1685:73] + node mtval_capture_lsu_r = and(_T_280, _T_281) @[dec_tlu_ctl.scala 1685:71] + node _T_282 = not(mtval_capture_pc_r) @[dec_tlu_ctl.scala 1686:46] + node _T_283 = and(io.exc_or_int_valid_r, _T_282) @[dec_tlu_ctl.scala 1686:44] + node _T_284 = not(mtval_capture_inst_r) @[dec_tlu_ctl.scala 1686:68] + node _T_285 = and(_T_283, _T_284) @[dec_tlu_ctl.scala 1686:66] + node _T_286 = not(mtval_capture_lsu_r) @[dec_tlu_ctl.scala 1686:92] + node _T_287 = and(_T_285, _T_286) @[dec_tlu_ctl.scala 1686:90] + node _T_288 = not(io.mepc_trigger_hit_sel_pc_r) @[dec_tlu_ctl.scala 1686:115] + node mtval_clear_r = and(_T_287, _T_288) @[dec_tlu_ctl.scala 1686:113] + node _T_289 = bits(mtval_capture_pc_r, 0, 0) @[dec_tlu_ctl.scala 1690:25] node _T_290 = cat(pc_r, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_291 = bits(mtval_capture_pc_plus2_r, 0, 0) @[dec_tlu_ctl.scala 1692:31] - node _T_292 = add(pc_r, UInt<31>("h01")) @[dec_tlu_ctl.scala 1692:83] - node _T_293 = tail(_T_292, 1) @[dec_tlu_ctl.scala 1692:83] + node _T_291 = bits(mtval_capture_pc_plus2_r, 0, 0) @[dec_tlu_ctl.scala 1691:31] + node _T_292 = add(pc_r, UInt<31>("h01")) @[dec_tlu_ctl.scala 1691:83] + node _T_293 = tail(_T_292, 1) @[dec_tlu_ctl.scala 1691:83] node _T_294 = cat(_T_293, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_295 = bits(mtval_capture_inst_r, 0, 0) @[dec_tlu_ctl.scala 1693:27] - node _T_296 = bits(mtval_capture_lsu_r, 0, 0) @[dec_tlu_ctl.scala 1694:26] - node _T_297 = not(io.interrupt_valid_r) @[dec_tlu_ctl.scala 1695:18] - node _T_298 = and(wr_mtval_r, _T_297) @[dec_tlu_ctl.scala 1695:16] - node _T_299 = bits(_T_298, 0, 0) @[dec_tlu_ctl.scala 1695:48] - node _T_300 = not(io.take_nmi) @[dec_tlu_ctl.scala 1696:5] - node _T_301 = not(wr_mtval_r) @[dec_tlu_ctl.scala 1696:20] - node _T_302 = and(_T_300, _T_301) @[dec_tlu_ctl.scala 1696:18] - node _T_303 = not(mtval_capture_pc_r) @[dec_tlu_ctl.scala 1696:34] - node _T_304 = and(_T_302, _T_303) @[dec_tlu_ctl.scala 1696:32] - node _T_305 = not(mtval_capture_inst_r) @[dec_tlu_ctl.scala 1696:56] - node _T_306 = and(_T_304, _T_305) @[dec_tlu_ctl.scala 1696:54] - node _T_307 = not(mtval_clear_r) @[dec_tlu_ctl.scala 1696:80] - node _T_308 = and(_T_306, _T_307) @[dec_tlu_ctl.scala 1696:78] - node _T_309 = not(mtval_capture_lsu_r) @[dec_tlu_ctl.scala 1696:97] - node _T_310 = and(_T_308, _T_309) @[dec_tlu_ctl.scala 1696:95] - node _T_311 = bits(_T_310, 0, 0) @[dec_tlu_ctl.scala 1696:119] + node _T_295 = bits(mtval_capture_inst_r, 0, 0) @[dec_tlu_ctl.scala 1692:27] + node _T_296 = bits(mtval_capture_lsu_r, 0, 0) @[dec_tlu_ctl.scala 1693:26] + node _T_297 = not(io.interrupt_valid_r) @[dec_tlu_ctl.scala 1694:18] + node _T_298 = and(wr_mtval_r, _T_297) @[dec_tlu_ctl.scala 1694:16] + node _T_299 = bits(_T_298, 0, 0) @[dec_tlu_ctl.scala 1694:48] + node _T_300 = not(io.take_nmi) @[dec_tlu_ctl.scala 1695:5] + node _T_301 = not(wr_mtval_r) @[dec_tlu_ctl.scala 1695:20] + node _T_302 = and(_T_300, _T_301) @[dec_tlu_ctl.scala 1695:18] + node _T_303 = not(mtval_capture_pc_r) @[dec_tlu_ctl.scala 1695:34] + node _T_304 = and(_T_302, _T_303) @[dec_tlu_ctl.scala 1695:32] + node _T_305 = not(mtval_capture_inst_r) @[dec_tlu_ctl.scala 1695:56] + node _T_306 = and(_T_304, _T_305) @[dec_tlu_ctl.scala 1695:54] + node _T_307 = not(mtval_clear_r) @[dec_tlu_ctl.scala 1695:80] + node _T_308 = and(_T_306, _T_307) @[dec_tlu_ctl.scala 1695:78] + node _T_309 = not(mtval_capture_lsu_r) @[dec_tlu_ctl.scala 1695:97] + node _T_310 = and(_T_308, _T_309) @[dec_tlu_ctl.scala 1695:95] + node _T_311 = bits(_T_310, 0, 0) @[dec_tlu_ctl.scala 1695:119] node _T_312 = mux(_T_289, _T_290, UInt<1>("h00")) @[Mux.scala 27:72] node _T_313 = mux(_T_291, _T_294, UInt<1>("h00")) @[Mux.scala 27:72] node _T_314 = mux(_T_295, io.dec_illegal_inst, UInt<1>("h00")) @[Mux.scala 27:72] @@ -73310,14 +73316,14 @@ circuit quasar : node _T_322 = or(_T_321, _T_317) @[Mux.scala 27:72] wire mtval_ns : UInt<32> @[Mux.scala 27:72] mtval_ns <= _T_322 @[Mux.scala 27:72] - reg _T_323 : UInt, io.e4e5_int_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1698:46] - _T_323 <= mtval_ns @[dec_tlu_ctl.scala 1698:46] - mtval <= _T_323 @[dec_tlu_ctl.scala 1698:8] - node _T_324 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1713:61] - node _T_325 = eq(_T_324, UInt<12>("h07f8")) @[dec_tlu_ctl.scala 1713:68] - node wr_mcgc_r = and(io.dec_csr_wen_r_mod, _T_325) @[dec_tlu_ctl.scala 1713:39] - node _T_326 = bits(io.dec_csr_wrdata_r, 8, 0) @[dec_tlu_ctl.scala 1715:39] - node _T_327 = bits(wr_mcgc_r, 0, 0) @[dec_tlu_ctl.scala 1715:55] + reg _T_323 : UInt, io.e4e5_int_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1697:46] + _T_323 <= mtval_ns @[dec_tlu_ctl.scala 1697:46] + mtval <= _T_323 @[dec_tlu_ctl.scala 1697:8] + node _T_324 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1712:61] + node _T_325 = eq(_T_324, UInt<12>("h07f8")) @[dec_tlu_ctl.scala 1712:68] + node wr_mcgc_r = and(io.dec_csr_wen_r_mod, _T_325) @[dec_tlu_ctl.scala 1712:39] + node _T_326 = bits(io.dec_csr_wrdata_r, 8, 0) @[dec_tlu_ctl.scala 1714:39] + node _T_327 = bits(wr_mcgc_r, 0, 0) @[dec_tlu_ctl.scala 1714:55] inst rvclkhdr_8 of rvclkhdr_728 @[lib.scala 368:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset @@ -73326,26 +73332,26 @@ circuit quasar : rvclkhdr_8.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg mcgc : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] mcgc <= _T_326 @[lib.scala 374:16] - node _T_328 = bits(mcgc, 8, 8) @[dec_tlu_ctl.scala 1717:38] - io.dec_tlu_misc_clk_override <= _T_328 @[dec_tlu_ctl.scala 1717:31] - node _T_329 = bits(mcgc, 7, 7) @[dec_tlu_ctl.scala 1718:38] - io.dec_tlu_dec_clk_override <= _T_329 @[dec_tlu_ctl.scala 1718:31] - node _T_330 = bits(mcgc, 5, 5) @[dec_tlu_ctl.scala 1719:38] - io.dec_tlu_ifu_clk_override <= _T_330 @[dec_tlu_ctl.scala 1719:31] - node _T_331 = bits(mcgc, 4, 4) @[dec_tlu_ctl.scala 1720:38] - io.dec_tlu_lsu_clk_override <= _T_331 @[dec_tlu_ctl.scala 1720:31] - node _T_332 = bits(mcgc, 3, 3) @[dec_tlu_ctl.scala 1721:38] - io.dec_tlu_bus_clk_override <= _T_332 @[dec_tlu_ctl.scala 1721:31] - node _T_333 = bits(mcgc, 2, 2) @[dec_tlu_ctl.scala 1722:38] - io.dec_tlu_pic_clk_override <= _T_333 @[dec_tlu_ctl.scala 1722:31] - node _T_334 = bits(mcgc, 1, 1) @[dec_tlu_ctl.scala 1723:38] - io.dec_tlu_dccm_clk_override <= _T_334 @[dec_tlu_ctl.scala 1723:31] - node _T_335 = bits(mcgc, 0, 0) @[dec_tlu_ctl.scala 1724:38] - io.dec_tlu_icm_clk_override <= _T_335 @[dec_tlu_ctl.scala 1724:31] - node _T_336 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1743:61] - node _T_337 = eq(_T_336, UInt<12>("h07f9")) @[dec_tlu_ctl.scala 1743:68] - node wr_mfdc_r = and(io.dec_csr_wen_r_mod, _T_337) @[dec_tlu_ctl.scala 1743:39] - node _T_338 = bits(wr_mfdc_r, 0, 0) @[dec_tlu_ctl.scala 1747:39] + node _T_328 = bits(mcgc, 8, 8) @[dec_tlu_ctl.scala 1716:38] + io.dec_tlu_misc_clk_override <= _T_328 @[dec_tlu_ctl.scala 1716:31] + node _T_329 = bits(mcgc, 7, 7) @[dec_tlu_ctl.scala 1717:38] + io.dec_tlu_dec_clk_override <= _T_329 @[dec_tlu_ctl.scala 1717:31] + node _T_330 = bits(mcgc, 5, 5) @[dec_tlu_ctl.scala 1718:38] + io.dec_tlu_ifu_clk_override <= _T_330 @[dec_tlu_ctl.scala 1718:31] + node _T_331 = bits(mcgc, 4, 4) @[dec_tlu_ctl.scala 1719:38] + io.dec_tlu_lsu_clk_override <= _T_331 @[dec_tlu_ctl.scala 1719:31] + node _T_332 = bits(mcgc, 3, 3) @[dec_tlu_ctl.scala 1720:38] + io.dec_tlu_bus_clk_override <= _T_332 @[dec_tlu_ctl.scala 1720:31] + node _T_333 = bits(mcgc, 2, 2) @[dec_tlu_ctl.scala 1721:38] + io.dec_tlu_pic_clk_override <= _T_333 @[dec_tlu_ctl.scala 1721:31] + node _T_334 = bits(mcgc, 1, 1) @[dec_tlu_ctl.scala 1722:38] + io.dec_tlu_dccm_clk_override <= _T_334 @[dec_tlu_ctl.scala 1722:31] + node _T_335 = bits(mcgc, 0, 0) @[dec_tlu_ctl.scala 1723:38] + io.dec_tlu_icm_clk_override <= _T_335 @[dec_tlu_ctl.scala 1723:31] + node _T_336 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1742:61] + node _T_337 = eq(_T_336, UInt<12>("h07f9")) @[dec_tlu_ctl.scala 1742:68] + node wr_mfdc_r = and(io.dec_csr_wen_r_mod, _T_337) @[dec_tlu_ctl.scala 1742:39] + node _T_338 = bits(wr_mfdc_r, 0, 0) @[dec_tlu_ctl.scala 1746:39] inst rvclkhdr_9 of rvclkhdr_729 @[lib.scala 368:23] rvclkhdr_9.clock <= clock rvclkhdr_9.reset <= reset @@ -73354,133 +73360,133 @@ circuit quasar : rvclkhdr_9.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_339 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_339 <= mfdc_ns @[lib.scala 374:16] - mfdc_int <= _T_339 @[dec_tlu_ctl.scala 1747:11] - node _T_340 = bits(io.dec_csr_wrdata_r, 18, 16) @[dec_tlu_ctl.scala 1752:40] - node _T_341 = not(_T_340) @[dec_tlu_ctl.scala 1752:20] - node _T_342 = bits(io.dec_csr_wrdata_r, 11, 7) @[dec_tlu_ctl.scala 1752:67] - node _T_343 = bits(io.dec_csr_wrdata_r, 6, 6) @[dec_tlu_ctl.scala 1752:95] - node _T_344 = not(_T_343) @[dec_tlu_ctl.scala 1752:75] - node _T_345 = bits(io.dec_csr_wrdata_r, 5, 0) @[dec_tlu_ctl.scala 1752:119] + mfdc_int <= _T_339 @[dec_tlu_ctl.scala 1746:11] + node _T_340 = bits(io.dec_csr_wrdata_r, 18, 16) @[dec_tlu_ctl.scala 1751:40] + node _T_341 = not(_T_340) @[dec_tlu_ctl.scala 1751:20] + node _T_342 = bits(io.dec_csr_wrdata_r, 11, 7) @[dec_tlu_ctl.scala 1751:67] + node _T_343 = bits(io.dec_csr_wrdata_r, 6, 6) @[dec_tlu_ctl.scala 1751:95] + node _T_344 = not(_T_343) @[dec_tlu_ctl.scala 1751:75] + node _T_345 = bits(io.dec_csr_wrdata_r, 5, 0) @[dec_tlu_ctl.scala 1751:119] node _T_346 = cat(_T_344, _T_345) @[Cat.scala 29:58] node _T_347 = cat(_T_341, _T_342) @[Cat.scala 29:58] node _T_348 = cat(_T_347, _T_346) @[Cat.scala 29:58] - mfdc_ns <= _T_348 @[dec_tlu_ctl.scala 1752:13] - node _T_349 = bits(mfdc_int, 14, 12) @[dec_tlu_ctl.scala 1753:29] - node _T_350 = not(_T_349) @[dec_tlu_ctl.scala 1753:20] - node _T_351 = bits(mfdc_int, 11, 7) @[dec_tlu_ctl.scala 1753:55] - node _T_352 = bits(mfdc_int, 6, 6) @[dec_tlu_ctl.scala 1753:72] - node _T_353 = not(_T_352) @[dec_tlu_ctl.scala 1753:63] - node _T_354 = bits(mfdc_int, 5, 0) @[dec_tlu_ctl.scala 1753:85] + mfdc_ns <= _T_348 @[dec_tlu_ctl.scala 1751:13] + node _T_349 = bits(mfdc_int, 14, 12) @[dec_tlu_ctl.scala 1752:29] + node _T_350 = not(_T_349) @[dec_tlu_ctl.scala 1752:20] + node _T_351 = bits(mfdc_int, 11, 7) @[dec_tlu_ctl.scala 1752:55] + node _T_352 = bits(mfdc_int, 6, 6) @[dec_tlu_ctl.scala 1752:72] + node _T_353 = not(_T_352) @[dec_tlu_ctl.scala 1752:63] + node _T_354 = bits(mfdc_int, 5, 0) @[dec_tlu_ctl.scala 1752:85] node _T_355 = cat(_T_353, _T_354) @[Cat.scala 29:58] node _T_356 = cat(_T_350, UInt<4>("h00")) @[Cat.scala 29:58] node _T_357 = cat(_T_356, _T_351) @[Cat.scala 29:58] node _T_358 = cat(_T_357, _T_355) @[Cat.scala 29:58] - mfdc <= _T_358 @[dec_tlu_ctl.scala 1753:13] - node _T_359 = bits(mfdc, 18, 16) @[dec_tlu_ctl.scala 1761:46] - io.dec_tlu_dma_qos_prty <= _T_359 @[dec_tlu_ctl.scala 1761:39] - node _T_360 = bits(mfdc, 11, 11) @[dec_tlu_ctl.scala 1762:46] - io.dec_tlu_external_ldfwd_disable <= _T_360 @[dec_tlu_ctl.scala 1762:39] - node _T_361 = bits(mfdc, 8, 8) @[dec_tlu_ctl.scala 1763:46] - io.dec_tlu_core_ecc_disable <= _T_361 @[dec_tlu_ctl.scala 1763:39] - node _T_362 = bits(mfdc, 6, 6) @[dec_tlu_ctl.scala 1764:46] - io.dec_tlu_sideeffect_posted_disable <= _T_362 @[dec_tlu_ctl.scala 1764:39] - node _T_363 = bits(mfdc, 3, 3) @[dec_tlu_ctl.scala 1765:46] - io.dec_tlu_bpred_disable <= _T_363 @[dec_tlu_ctl.scala 1765:39] - node _T_364 = bits(mfdc, 2, 2) @[dec_tlu_ctl.scala 1766:46] - io.dec_tlu_wb_coalescing_disable <= _T_364 @[dec_tlu_ctl.scala 1766:39] - node _T_365 = bits(mfdc, 0, 0) @[dec_tlu_ctl.scala 1767:46] - io.dec_tlu_pipelining_disable <= _T_365 @[dec_tlu_ctl.scala 1767:39] - node _T_366 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1776:70] - node _T_367 = eq(_T_366, UInt<12>("h07c2")) @[dec_tlu_ctl.scala 1776:77] - node _T_368 = and(io.dec_csr_wen_r_mod, _T_367) @[dec_tlu_ctl.scala 1776:48] - node _T_369 = not(io.interrupt_valid_r) @[dec_tlu_ctl.scala 1776:89] - node _T_370 = and(_T_368, _T_369) @[dec_tlu_ctl.scala 1776:87] - node _T_371 = not(io.take_ext_int_start) @[dec_tlu_ctl.scala 1776:113] - node _T_372 = and(_T_370, _T_371) @[dec_tlu_ctl.scala 1776:111] - io.dec_tlu_wr_pause_r <= _T_372 @[dec_tlu_ctl.scala 1776:24] - node _T_373 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1783:61] - node _T_374 = eq(_T_373, UInt<12>("h07c0")) @[dec_tlu_ctl.scala 1783:68] - node wr_mrac_r = and(io.dec_csr_wen_r_mod, _T_374) @[dec_tlu_ctl.scala 1783:39] - node _T_375 = bits(io.dec_csr_wrdata_r, 31, 31) @[dec_tlu_ctl.scala 1786:39] - node _T_376 = bits(io.dec_csr_wrdata_r, 30, 30) @[dec_tlu_ctl.scala 1786:64] - node _T_377 = bits(io.dec_csr_wrdata_r, 31, 31) @[dec_tlu_ctl.scala 1786:91] - node _T_378 = not(_T_377) @[dec_tlu_ctl.scala 1786:71] - node _T_379 = and(_T_376, _T_378) @[dec_tlu_ctl.scala 1786:69] - node _T_380 = bits(io.dec_csr_wrdata_r, 29, 29) @[dec_tlu_ctl.scala 1787:41] - node _T_381 = bits(io.dec_csr_wrdata_r, 28, 28) @[dec_tlu_ctl.scala 1787:66] - node _T_382 = bits(io.dec_csr_wrdata_r, 29, 29) @[dec_tlu_ctl.scala 1787:93] - node _T_383 = not(_T_382) @[dec_tlu_ctl.scala 1787:73] - node _T_384 = and(_T_381, _T_383) @[dec_tlu_ctl.scala 1787:71] - node _T_385 = bits(io.dec_csr_wrdata_r, 27, 27) @[dec_tlu_ctl.scala 1788:41] - node _T_386 = bits(io.dec_csr_wrdata_r, 26, 26) @[dec_tlu_ctl.scala 1788:66] - node _T_387 = bits(io.dec_csr_wrdata_r, 27, 27) @[dec_tlu_ctl.scala 1788:93] - node _T_388 = not(_T_387) @[dec_tlu_ctl.scala 1788:73] - node _T_389 = and(_T_386, _T_388) @[dec_tlu_ctl.scala 1788:71] - node _T_390 = bits(io.dec_csr_wrdata_r, 25, 25) @[dec_tlu_ctl.scala 1789:41] - node _T_391 = bits(io.dec_csr_wrdata_r, 24, 24) @[dec_tlu_ctl.scala 1789:66] - node _T_392 = bits(io.dec_csr_wrdata_r, 25, 25) @[dec_tlu_ctl.scala 1789:93] - node _T_393 = not(_T_392) @[dec_tlu_ctl.scala 1789:73] - node _T_394 = and(_T_391, _T_393) @[dec_tlu_ctl.scala 1789:71] - node _T_395 = bits(io.dec_csr_wrdata_r, 23, 23) @[dec_tlu_ctl.scala 1790:41] - node _T_396 = bits(io.dec_csr_wrdata_r, 22, 22) @[dec_tlu_ctl.scala 1790:66] - node _T_397 = bits(io.dec_csr_wrdata_r, 23, 23) @[dec_tlu_ctl.scala 1790:93] - node _T_398 = not(_T_397) @[dec_tlu_ctl.scala 1790:73] - node _T_399 = and(_T_396, _T_398) @[dec_tlu_ctl.scala 1790:71] - node _T_400 = bits(io.dec_csr_wrdata_r, 21, 21) @[dec_tlu_ctl.scala 1791:41] - node _T_401 = bits(io.dec_csr_wrdata_r, 20, 20) @[dec_tlu_ctl.scala 1791:66] - node _T_402 = bits(io.dec_csr_wrdata_r, 21, 21) @[dec_tlu_ctl.scala 1791:93] - node _T_403 = not(_T_402) @[dec_tlu_ctl.scala 1791:73] - node _T_404 = and(_T_401, _T_403) @[dec_tlu_ctl.scala 1791:71] - node _T_405 = bits(io.dec_csr_wrdata_r, 19, 19) @[dec_tlu_ctl.scala 1792:41] - node _T_406 = bits(io.dec_csr_wrdata_r, 18, 18) @[dec_tlu_ctl.scala 1792:66] - node _T_407 = bits(io.dec_csr_wrdata_r, 19, 19) @[dec_tlu_ctl.scala 1792:93] - node _T_408 = not(_T_407) @[dec_tlu_ctl.scala 1792:73] - node _T_409 = and(_T_406, _T_408) @[dec_tlu_ctl.scala 1792:71] - node _T_410 = bits(io.dec_csr_wrdata_r, 17, 17) @[dec_tlu_ctl.scala 1793:41] - node _T_411 = bits(io.dec_csr_wrdata_r, 16, 16) @[dec_tlu_ctl.scala 1793:66] - node _T_412 = bits(io.dec_csr_wrdata_r, 17, 17) @[dec_tlu_ctl.scala 1793:93] - node _T_413 = not(_T_412) @[dec_tlu_ctl.scala 1793:73] - node _T_414 = and(_T_411, _T_413) @[dec_tlu_ctl.scala 1793:71] - node _T_415 = bits(io.dec_csr_wrdata_r, 15, 15) @[dec_tlu_ctl.scala 1794:41] - node _T_416 = bits(io.dec_csr_wrdata_r, 14, 14) @[dec_tlu_ctl.scala 1794:66] - node _T_417 = bits(io.dec_csr_wrdata_r, 15, 15) @[dec_tlu_ctl.scala 1794:93] - node _T_418 = not(_T_417) @[dec_tlu_ctl.scala 1794:73] - node _T_419 = and(_T_416, _T_418) @[dec_tlu_ctl.scala 1794:71] - node _T_420 = bits(io.dec_csr_wrdata_r, 13, 13) @[dec_tlu_ctl.scala 1795:41] - node _T_421 = bits(io.dec_csr_wrdata_r, 12, 12) @[dec_tlu_ctl.scala 1795:66] - node _T_422 = bits(io.dec_csr_wrdata_r, 13, 13) @[dec_tlu_ctl.scala 1795:93] - node _T_423 = not(_T_422) @[dec_tlu_ctl.scala 1795:73] - node _T_424 = and(_T_421, _T_423) @[dec_tlu_ctl.scala 1795:71] - node _T_425 = bits(io.dec_csr_wrdata_r, 11, 11) @[dec_tlu_ctl.scala 1796:41] - node _T_426 = bits(io.dec_csr_wrdata_r, 10, 10) @[dec_tlu_ctl.scala 1796:66] - node _T_427 = bits(io.dec_csr_wrdata_r, 11, 11) @[dec_tlu_ctl.scala 1796:93] - node _T_428 = not(_T_427) @[dec_tlu_ctl.scala 1796:73] - node _T_429 = and(_T_426, _T_428) @[dec_tlu_ctl.scala 1796:71] - node _T_430 = bits(io.dec_csr_wrdata_r, 9, 9) @[dec_tlu_ctl.scala 1797:41] - node _T_431 = bits(io.dec_csr_wrdata_r, 8, 8) @[dec_tlu_ctl.scala 1797:66] - node _T_432 = bits(io.dec_csr_wrdata_r, 9, 9) @[dec_tlu_ctl.scala 1797:93] - node _T_433 = not(_T_432) @[dec_tlu_ctl.scala 1797:73] - node _T_434 = and(_T_431, _T_433) @[dec_tlu_ctl.scala 1797:70] - node _T_435 = bits(io.dec_csr_wrdata_r, 7, 7) @[dec_tlu_ctl.scala 1798:41] - node _T_436 = bits(io.dec_csr_wrdata_r, 6, 6) @[dec_tlu_ctl.scala 1798:66] - node _T_437 = bits(io.dec_csr_wrdata_r, 7, 7) @[dec_tlu_ctl.scala 1798:93] - node _T_438 = not(_T_437) @[dec_tlu_ctl.scala 1798:73] - node _T_439 = and(_T_436, _T_438) @[dec_tlu_ctl.scala 1798:70] - node _T_440 = bits(io.dec_csr_wrdata_r, 5, 5) @[dec_tlu_ctl.scala 1799:41] - node _T_441 = bits(io.dec_csr_wrdata_r, 4, 4) @[dec_tlu_ctl.scala 1799:66] - node _T_442 = bits(io.dec_csr_wrdata_r, 5, 5) @[dec_tlu_ctl.scala 1799:93] - node _T_443 = not(_T_442) @[dec_tlu_ctl.scala 1799:73] - node _T_444 = and(_T_441, _T_443) @[dec_tlu_ctl.scala 1799:70] - node _T_445 = bits(io.dec_csr_wrdata_r, 3, 3) @[dec_tlu_ctl.scala 1800:41] - node _T_446 = bits(io.dec_csr_wrdata_r, 2, 2) @[dec_tlu_ctl.scala 1800:66] - node _T_447 = bits(io.dec_csr_wrdata_r, 3, 3) @[dec_tlu_ctl.scala 1800:93] - node _T_448 = not(_T_447) @[dec_tlu_ctl.scala 1800:73] - node _T_449 = and(_T_446, _T_448) @[dec_tlu_ctl.scala 1800:70] - node _T_450 = bits(io.dec_csr_wrdata_r, 1, 1) @[dec_tlu_ctl.scala 1801:41] - node _T_451 = bits(io.dec_csr_wrdata_r, 0, 0) @[dec_tlu_ctl.scala 1801:66] - node _T_452 = bits(io.dec_csr_wrdata_r, 1, 1) @[dec_tlu_ctl.scala 1801:93] - node _T_453 = not(_T_452) @[dec_tlu_ctl.scala 1801:73] - node _T_454 = and(_T_451, _T_453) @[dec_tlu_ctl.scala 1801:70] + mfdc <= _T_358 @[dec_tlu_ctl.scala 1752:13] + node _T_359 = bits(mfdc, 18, 16) @[dec_tlu_ctl.scala 1760:46] + io.dec_tlu_dma_qos_prty <= _T_359 @[dec_tlu_ctl.scala 1760:39] + node _T_360 = bits(mfdc, 11, 11) @[dec_tlu_ctl.scala 1761:46] + io.dec_tlu_external_ldfwd_disable <= _T_360 @[dec_tlu_ctl.scala 1761:39] + node _T_361 = bits(mfdc, 8, 8) @[dec_tlu_ctl.scala 1762:46] + io.dec_tlu_core_ecc_disable <= _T_361 @[dec_tlu_ctl.scala 1762:39] + node _T_362 = bits(mfdc, 6, 6) @[dec_tlu_ctl.scala 1763:46] + io.dec_tlu_sideeffect_posted_disable <= _T_362 @[dec_tlu_ctl.scala 1763:39] + node _T_363 = bits(mfdc, 3, 3) @[dec_tlu_ctl.scala 1764:46] + io.dec_tlu_bpred_disable <= _T_363 @[dec_tlu_ctl.scala 1764:39] + node _T_364 = bits(mfdc, 2, 2) @[dec_tlu_ctl.scala 1765:46] + io.dec_tlu_wb_coalescing_disable <= _T_364 @[dec_tlu_ctl.scala 1765:39] + node _T_365 = bits(mfdc, 0, 0) @[dec_tlu_ctl.scala 1766:46] + io.dec_tlu_pipelining_disable <= _T_365 @[dec_tlu_ctl.scala 1766:39] + node _T_366 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1775:70] + node _T_367 = eq(_T_366, UInt<12>("h07c2")) @[dec_tlu_ctl.scala 1775:77] + node _T_368 = and(io.dec_csr_wen_r_mod, _T_367) @[dec_tlu_ctl.scala 1775:48] + node _T_369 = not(io.interrupt_valid_r) @[dec_tlu_ctl.scala 1775:89] + node _T_370 = and(_T_368, _T_369) @[dec_tlu_ctl.scala 1775:87] + node _T_371 = not(io.take_ext_int_start) @[dec_tlu_ctl.scala 1775:113] + node _T_372 = and(_T_370, _T_371) @[dec_tlu_ctl.scala 1775:111] + io.dec_tlu_wr_pause_r <= _T_372 @[dec_tlu_ctl.scala 1775:24] + node _T_373 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1782:61] + node _T_374 = eq(_T_373, UInt<12>("h07c0")) @[dec_tlu_ctl.scala 1782:68] + node wr_mrac_r = and(io.dec_csr_wen_r_mod, _T_374) @[dec_tlu_ctl.scala 1782:39] + node _T_375 = bits(io.dec_csr_wrdata_r, 31, 31) @[dec_tlu_ctl.scala 1785:39] + node _T_376 = bits(io.dec_csr_wrdata_r, 30, 30) @[dec_tlu_ctl.scala 1785:64] + node _T_377 = bits(io.dec_csr_wrdata_r, 31, 31) @[dec_tlu_ctl.scala 1785:91] + node _T_378 = not(_T_377) @[dec_tlu_ctl.scala 1785:71] + node _T_379 = and(_T_376, _T_378) @[dec_tlu_ctl.scala 1785:69] + node _T_380 = bits(io.dec_csr_wrdata_r, 29, 29) @[dec_tlu_ctl.scala 1786:41] + node _T_381 = bits(io.dec_csr_wrdata_r, 28, 28) @[dec_tlu_ctl.scala 1786:66] + node _T_382 = bits(io.dec_csr_wrdata_r, 29, 29) @[dec_tlu_ctl.scala 1786:93] + node _T_383 = not(_T_382) @[dec_tlu_ctl.scala 1786:73] + node _T_384 = and(_T_381, _T_383) @[dec_tlu_ctl.scala 1786:71] + node _T_385 = bits(io.dec_csr_wrdata_r, 27, 27) @[dec_tlu_ctl.scala 1787:41] + node _T_386 = bits(io.dec_csr_wrdata_r, 26, 26) @[dec_tlu_ctl.scala 1787:66] + node _T_387 = bits(io.dec_csr_wrdata_r, 27, 27) @[dec_tlu_ctl.scala 1787:93] + node _T_388 = not(_T_387) @[dec_tlu_ctl.scala 1787:73] + node _T_389 = and(_T_386, _T_388) @[dec_tlu_ctl.scala 1787:71] + node _T_390 = bits(io.dec_csr_wrdata_r, 25, 25) @[dec_tlu_ctl.scala 1788:41] + node _T_391 = bits(io.dec_csr_wrdata_r, 24, 24) @[dec_tlu_ctl.scala 1788:66] + node _T_392 = bits(io.dec_csr_wrdata_r, 25, 25) @[dec_tlu_ctl.scala 1788:93] + node _T_393 = not(_T_392) @[dec_tlu_ctl.scala 1788:73] + node _T_394 = and(_T_391, _T_393) @[dec_tlu_ctl.scala 1788:71] + node _T_395 = bits(io.dec_csr_wrdata_r, 23, 23) @[dec_tlu_ctl.scala 1789:41] + node _T_396 = bits(io.dec_csr_wrdata_r, 22, 22) @[dec_tlu_ctl.scala 1789:66] + node _T_397 = bits(io.dec_csr_wrdata_r, 23, 23) @[dec_tlu_ctl.scala 1789:93] + node _T_398 = not(_T_397) @[dec_tlu_ctl.scala 1789:73] + node _T_399 = and(_T_396, _T_398) @[dec_tlu_ctl.scala 1789:71] + node _T_400 = bits(io.dec_csr_wrdata_r, 21, 21) @[dec_tlu_ctl.scala 1790:41] + node _T_401 = bits(io.dec_csr_wrdata_r, 20, 20) @[dec_tlu_ctl.scala 1790:66] + node _T_402 = bits(io.dec_csr_wrdata_r, 21, 21) @[dec_tlu_ctl.scala 1790:93] + node _T_403 = not(_T_402) @[dec_tlu_ctl.scala 1790:73] + node _T_404 = and(_T_401, _T_403) @[dec_tlu_ctl.scala 1790:71] + node _T_405 = bits(io.dec_csr_wrdata_r, 19, 19) @[dec_tlu_ctl.scala 1791:41] + node _T_406 = bits(io.dec_csr_wrdata_r, 18, 18) @[dec_tlu_ctl.scala 1791:66] + node _T_407 = bits(io.dec_csr_wrdata_r, 19, 19) @[dec_tlu_ctl.scala 1791:93] + node _T_408 = not(_T_407) @[dec_tlu_ctl.scala 1791:73] + node _T_409 = and(_T_406, _T_408) @[dec_tlu_ctl.scala 1791:71] + node _T_410 = bits(io.dec_csr_wrdata_r, 17, 17) @[dec_tlu_ctl.scala 1792:41] + node _T_411 = bits(io.dec_csr_wrdata_r, 16, 16) @[dec_tlu_ctl.scala 1792:66] + node _T_412 = bits(io.dec_csr_wrdata_r, 17, 17) @[dec_tlu_ctl.scala 1792:93] + node _T_413 = not(_T_412) @[dec_tlu_ctl.scala 1792:73] + node _T_414 = and(_T_411, _T_413) @[dec_tlu_ctl.scala 1792:71] + node _T_415 = bits(io.dec_csr_wrdata_r, 15, 15) @[dec_tlu_ctl.scala 1793:41] + node _T_416 = bits(io.dec_csr_wrdata_r, 14, 14) @[dec_tlu_ctl.scala 1793:66] + node _T_417 = bits(io.dec_csr_wrdata_r, 15, 15) @[dec_tlu_ctl.scala 1793:93] + node _T_418 = not(_T_417) @[dec_tlu_ctl.scala 1793:73] + node _T_419 = and(_T_416, _T_418) @[dec_tlu_ctl.scala 1793:71] + node _T_420 = bits(io.dec_csr_wrdata_r, 13, 13) @[dec_tlu_ctl.scala 1794:41] + node _T_421 = bits(io.dec_csr_wrdata_r, 12, 12) @[dec_tlu_ctl.scala 1794:66] + node _T_422 = bits(io.dec_csr_wrdata_r, 13, 13) @[dec_tlu_ctl.scala 1794:93] + node _T_423 = not(_T_422) @[dec_tlu_ctl.scala 1794:73] + node _T_424 = and(_T_421, _T_423) @[dec_tlu_ctl.scala 1794:71] + node _T_425 = bits(io.dec_csr_wrdata_r, 11, 11) @[dec_tlu_ctl.scala 1795:41] + node _T_426 = bits(io.dec_csr_wrdata_r, 10, 10) @[dec_tlu_ctl.scala 1795:66] + node _T_427 = bits(io.dec_csr_wrdata_r, 11, 11) @[dec_tlu_ctl.scala 1795:93] + node _T_428 = not(_T_427) @[dec_tlu_ctl.scala 1795:73] + node _T_429 = and(_T_426, _T_428) @[dec_tlu_ctl.scala 1795:71] + node _T_430 = bits(io.dec_csr_wrdata_r, 9, 9) @[dec_tlu_ctl.scala 1796:41] + node _T_431 = bits(io.dec_csr_wrdata_r, 8, 8) @[dec_tlu_ctl.scala 1796:66] + node _T_432 = bits(io.dec_csr_wrdata_r, 9, 9) @[dec_tlu_ctl.scala 1796:93] + node _T_433 = not(_T_432) @[dec_tlu_ctl.scala 1796:73] + node _T_434 = and(_T_431, _T_433) @[dec_tlu_ctl.scala 1796:70] + node _T_435 = bits(io.dec_csr_wrdata_r, 7, 7) @[dec_tlu_ctl.scala 1797:41] + node _T_436 = bits(io.dec_csr_wrdata_r, 6, 6) @[dec_tlu_ctl.scala 1797:66] + node _T_437 = bits(io.dec_csr_wrdata_r, 7, 7) @[dec_tlu_ctl.scala 1797:93] + node _T_438 = not(_T_437) @[dec_tlu_ctl.scala 1797:73] + node _T_439 = and(_T_436, _T_438) @[dec_tlu_ctl.scala 1797:70] + node _T_440 = bits(io.dec_csr_wrdata_r, 5, 5) @[dec_tlu_ctl.scala 1798:41] + node _T_441 = bits(io.dec_csr_wrdata_r, 4, 4) @[dec_tlu_ctl.scala 1798:66] + node _T_442 = bits(io.dec_csr_wrdata_r, 5, 5) @[dec_tlu_ctl.scala 1798:93] + node _T_443 = not(_T_442) @[dec_tlu_ctl.scala 1798:73] + node _T_444 = and(_T_441, _T_443) @[dec_tlu_ctl.scala 1798:70] + node _T_445 = bits(io.dec_csr_wrdata_r, 3, 3) @[dec_tlu_ctl.scala 1799:41] + node _T_446 = bits(io.dec_csr_wrdata_r, 2, 2) @[dec_tlu_ctl.scala 1799:66] + node _T_447 = bits(io.dec_csr_wrdata_r, 3, 3) @[dec_tlu_ctl.scala 1799:93] + node _T_448 = not(_T_447) @[dec_tlu_ctl.scala 1799:73] + node _T_449 = and(_T_446, _T_448) @[dec_tlu_ctl.scala 1799:70] + node _T_450 = bits(io.dec_csr_wrdata_r, 1, 1) @[dec_tlu_ctl.scala 1800:41] + node _T_451 = bits(io.dec_csr_wrdata_r, 0, 0) @[dec_tlu_ctl.scala 1800:66] + node _T_452 = bits(io.dec_csr_wrdata_r, 1, 1) @[dec_tlu_ctl.scala 1800:93] + node _T_453 = not(_T_452) @[dec_tlu_ctl.scala 1800:73] + node _T_454 = and(_T_451, _T_453) @[dec_tlu_ctl.scala 1800:70] node _T_455 = cat(_T_450, _T_454) @[Cat.scala 29:58] node _T_456 = cat(_T_445, _T_449) @[Cat.scala 29:58] node _T_457 = cat(_T_456, _T_455) @[Cat.scala 29:58] @@ -73512,7 +73518,7 @@ circuit quasar : node _T_483 = cat(_T_482, _T_479) @[Cat.scala 29:58] node _T_484 = cat(_T_483, _T_476) @[Cat.scala 29:58] node mrac_in = cat(_T_484, _T_469) @[Cat.scala 29:58] - node _T_485 = bits(wr_mrac_r, 0, 0) @[dec_tlu_ctl.scala 1804:38] + node _T_485 = bits(wr_mrac_r, 0, 0) @[dec_tlu_ctl.scala 1803:38] inst rvclkhdr_10 of rvclkhdr_730 @[lib.scala 368:23] rvclkhdr_10.clock <= clock rvclkhdr_10.reset <= reset @@ -73521,21 +73527,21 @@ circuit quasar : rvclkhdr_10.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg mrac : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] mrac <= mrac_in @[lib.scala 374:16] - io.dec_tlu_mrac_ff <= mrac @[dec_tlu_ctl.scala 1806:21] - node _T_486 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1814:62] - node _T_487 = eq(_T_486, UInt<12>("h0bc0")) @[dec_tlu_ctl.scala 1814:69] - node wr_mdeau_r = and(io.dec_csr_wen_r_mod, _T_487) @[dec_tlu_ctl.scala 1814:40] - node _T_488 = not(wr_mdeau_r) @[dec_tlu_ctl.scala 1824:59] - node _T_489 = and(io.mdseac_locked_f, _T_488) @[dec_tlu_ctl.scala 1824:57] - node _T_490 = or(mdseac_en, _T_489) @[dec_tlu_ctl.scala 1824:35] - io.mdseac_locked_ns <= _T_490 @[dec_tlu_ctl.scala 1824:22] - node _T_491 = or(io.lsu_imprecise_error_store_any, io.lsu_imprecise_error_load_any) @[dec_tlu_ctl.scala 1826:49] - node _T_492 = not(io.nmi_int_detected_f) @[dec_tlu_ctl.scala 1826:86] - node _T_493 = and(_T_491, _T_492) @[dec_tlu_ctl.scala 1826:84] - node _T_494 = not(io.mdseac_locked_f) @[dec_tlu_ctl.scala 1826:111] - node _T_495 = and(_T_493, _T_494) @[dec_tlu_ctl.scala 1826:109] - mdseac_en <= _T_495 @[dec_tlu_ctl.scala 1826:12] - node _T_496 = bits(mdseac_en, 0, 0) @[dec_tlu_ctl.scala 1828:64] + io.dec_tlu_mrac_ff <= mrac @[dec_tlu_ctl.scala 1805:21] + node _T_486 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1813:62] + node _T_487 = eq(_T_486, UInt<12>("h0bc0")) @[dec_tlu_ctl.scala 1813:69] + node wr_mdeau_r = and(io.dec_csr_wen_r_mod, _T_487) @[dec_tlu_ctl.scala 1813:40] + node _T_488 = not(wr_mdeau_r) @[dec_tlu_ctl.scala 1823:59] + node _T_489 = and(io.mdseac_locked_f, _T_488) @[dec_tlu_ctl.scala 1823:57] + node _T_490 = or(mdseac_en, _T_489) @[dec_tlu_ctl.scala 1823:35] + io.mdseac_locked_ns <= _T_490 @[dec_tlu_ctl.scala 1823:22] + node _T_491 = or(io.lsu_imprecise_error_store_any, io.lsu_imprecise_error_load_any) @[dec_tlu_ctl.scala 1825:49] + node _T_492 = not(io.nmi_int_detected_f) @[dec_tlu_ctl.scala 1825:86] + node _T_493 = and(_T_491, _T_492) @[dec_tlu_ctl.scala 1825:84] + node _T_494 = not(io.mdseac_locked_f) @[dec_tlu_ctl.scala 1825:111] + node _T_495 = and(_T_493, _T_494) @[dec_tlu_ctl.scala 1825:109] + mdseac_en <= _T_495 @[dec_tlu_ctl.scala 1825:12] + node _T_496 = bits(mdseac_en, 0, 0) @[dec_tlu_ctl.scala 1827:64] inst rvclkhdr_11 of rvclkhdr_731 @[lib.scala 368:23] rvclkhdr_11.clock <= clock rvclkhdr_11.reset <= reset @@ -73544,54 +73550,54 @@ circuit quasar : rvclkhdr_11.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg mdseac : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] mdseac <= io.lsu_imprecise_error_addr_any @[lib.scala 374:16] - node _T_497 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1837:61] - node _T_498 = eq(_T_497, UInt<12>("h07c6")) @[dec_tlu_ctl.scala 1837:68] - node wr_mpmc_r = and(io.dec_csr_wen_r_mod, _T_498) @[dec_tlu_ctl.scala 1837:39] - node _T_499 = bits(io.dec_csr_wrdata_r, 0, 0) @[dec_tlu_ctl.scala 1841:51] - node _T_500 = and(wr_mpmc_r, _T_499) @[dec_tlu_ctl.scala 1841:30] - node _T_501 = not(io.internal_dbg_halt_mode_f2) @[dec_tlu_ctl.scala 1841:57] - node _T_502 = and(_T_500, _T_501) @[dec_tlu_ctl.scala 1841:55] - node _T_503 = not(io.ext_int_freeze_d1) @[dec_tlu_ctl.scala 1841:89] - node _T_504 = and(_T_502, _T_503) @[dec_tlu_ctl.scala 1841:87] - io.fw_halt_req <= _T_504 @[dec_tlu_ctl.scala 1841:17] + node _T_497 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1836:61] + node _T_498 = eq(_T_497, UInt<12>("h07c6")) @[dec_tlu_ctl.scala 1836:68] + node wr_mpmc_r = and(io.dec_csr_wen_r_mod, _T_498) @[dec_tlu_ctl.scala 1836:39] + node _T_499 = bits(io.dec_csr_wrdata_r, 0, 0) @[dec_tlu_ctl.scala 1840:51] + node _T_500 = and(wr_mpmc_r, _T_499) @[dec_tlu_ctl.scala 1840:30] + node _T_501 = not(io.internal_dbg_halt_mode_f2) @[dec_tlu_ctl.scala 1840:57] + node _T_502 = and(_T_500, _T_501) @[dec_tlu_ctl.scala 1840:55] + node _T_503 = not(io.ext_int_freeze_d1) @[dec_tlu_ctl.scala 1840:89] + node _T_504 = and(_T_502, _T_503) @[dec_tlu_ctl.scala 1840:87] + io.fw_halt_req <= _T_504 @[dec_tlu_ctl.scala 1840:17] wire fw_halted_ns : UInt<1> fw_halted_ns <= UInt<1>("h00") - reg fw_halted : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1843:48] - fw_halted <= fw_halted_ns @[dec_tlu_ctl.scala 1843:48] - node _T_505 = or(io.fw_halt_req, fw_halted) @[dec_tlu_ctl.scala 1844:34] - node _T_506 = not(set_mie_pmu_fw_halt) @[dec_tlu_ctl.scala 1844:49] - node _T_507 = and(_T_505, _T_506) @[dec_tlu_ctl.scala 1844:47] - fw_halted_ns <= _T_507 @[dec_tlu_ctl.scala 1844:15] - node _T_508 = bits(wr_mpmc_r, 0, 0) @[dec_tlu_ctl.scala 1845:29] - node _T_509 = bits(io.dec_csr_wrdata_r, 1, 1) @[dec_tlu_ctl.scala 1845:57] - node _T_510 = not(_T_509) @[dec_tlu_ctl.scala 1845:37] - node _T_511 = not(mpmc) @[dec_tlu_ctl.scala 1845:62] - node _T_512 = mux(_T_508, _T_510, _T_511) @[dec_tlu_ctl.scala 1845:18] - mpmc_b_ns <= _T_512 @[dec_tlu_ctl.scala 1845:12] - reg _T_513 : UInt, io.csr_wr_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1847:44] - _T_513 <= mpmc_b_ns @[dec_tlu_ctl.scala 1847:44] - mpmc_b <= _T_513 @[dec_tlu_ctl.scala 1847:9] - node _T_514 = not(mpmc_b) @[dec_tlu_ctl.scala 1850:10] - mpmc <= _T_514 @[dec_tlu_ctl.scala 1850:7] - node _T_515 = bits(io.dec_csr_wrdata_r, 31, 27) @[dec_tlu_ctl.scala 1859:40] - node _T_516 = gt(_T_515, UInt<5>("h01a")) @[dec_tlu_ctl.scala 1859:48] - node _T_517 = bits(io.dec_csr_wrdata_r, 31, 27) @[dec_tlu_ctl.scala 1859:92] - node csr_sat = mux(_T_516, UInt<5>("h01a"), _T_517) @[dec_tlu_ctl.scala 1859:19] - node _T_518 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1861:63] - node _T_519 = eq(_T_518, UInt<12>("h07f0")) @[dec_tlu_ctl.scala 1861:70] - node wr_micect_r = and(io.dec_csr_wen_r_mod, _T_519) @[dec_tlu_ctl.scala 1861:41] + reg fw_halted : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1842:48] + fw_halted <= fw_halted_ns @[dec_tlu_ctl.scala 1842:48] + node _T_505 = or(io.fw_halt_req, fw_halted) @[dec_tlu_ctl.scala 1843:34] + node _T_506 = not(set_mie_pmu_fw_halt) @[dec_tlu_ctl.scala 1843:49] + node _T_507 = and(_T_505, _T_506) @[dec_tlu_ctl.scala 1843:47] + fw_halted_ns <= _T_507 @[dec_tlu_ctl.scala 1843:15] + node _T_508 = bits(wr_mpmc_r, 0, 0) @[dec_tlu_ctl.scala 1844:29] + node _T_509 = bits(io.dec_csr_wrdata_r, 1, 1) @[dec_tlu_ctl.scala 1844:57] + node _T_510 = not(_T_509) @[dec_tlu_ctl.scala 1844:37] + node _T_511 = not(mpmc) @[dec_tlu_ctl.scala 1844:62] + node _T_512 = mux(_T_508, _T_510, _T_511) @[dec_tlu_ctl.scala 1844:18] + mpmc_b_ns <= _T_512 @[dec_tlu_ctl.scala 1844:12] + reg _T_513 : UInt, io.csr_wr_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1846:44] + _T_513 <= mpmc_b_ns @[dec_tlu_ctl.scala 1846:44] + mpmc_b <= _T_513 @[dec_tlu_ctl.scala 1846:9] + node _T_514 = not(mpmc_b) @[dec_tlu_ctl.scala 1849:10] + mpmc <= _T_514 @[dec_tlu_ctl.scala 1849:7] + node _T_515 = bits(io.dec_csr_wrdata_r, 31, 27) @[dec_tlu_ctl.scala 1858:40] + node _T_516 = gt(_T_515, UInt<5>("h01a")) @[dec_tlu_ctl.scala 1858:48] + node _T_517 = bits(io.dec_csr_wrdata_r, 31, 27) @[dec_tlu_ctl.scala 1858:92] + node csr_sat = mux(_T_516, UInt<5>("h01a"), _T_517) @[dec_tlu_ctl.scala 1858:19] + node _T_518 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1860:63] + node _T_519 = eq(_T_518, UInt<12>("h07f0")) @[dec_tlu_ctl.scala 1860:70] + node wr_micect_r = and(io.dec_csr_wen_r_mod, _T_519) @[dec_tlu_ctl.scala 1860:41] node _T_520 = cat(UInt<26>("h00"), io.ic_perr_r_d1) @[Cat.scala 29:58] - node _T_521 = add(micect, _T_520) @[dec_tlu_ctl.scala 1862:23] - node _T_522 = tail(_T_521, 1) @[dec_tlu_ctl.scala 1862:23] - micect_inc <= _T_522 @[dec_tlu_ctl.scala 1862:13] - node _T_523 = bits(wr_micect_r, 0, 0) @[dec_tlu_ctl.scala 1863:35] - node _T_524 = bits(io.dec_csr_wrdata_r, 26, 0) @[dec_tlu_ctl.scala 1863:75] + node _T_521 = add(micect, _T_520) @[dec_tlu_ctl.scala 1861:23] + node _T_522 = tail(_T_521, 1) @[dec_tlu_ctl.scala 1861:23] + micect_inc <= _T_522 @[dec_tlu_ctl.scala 1861:13] + node _T_523 = bits(wr_micect_r, 0, 0) @[dec_tlu_ctl.scala 1862:35] + node _T_524 = bits(io.dec_csr_wrdata_r, 26, 0) @[dec_tlu_ctl.scala 1862:75] node _T_525 = cat(csr_sat, _T_524) @[Cat.scala 29:58] - node _T_526 = bits(micect, 31, 27) @[dec_tlu_ctl.scala 1863:95] + node _T_526 = bits(micect, 31, 27) @[dec_tlu_ctl.scala 1862:95] node _T_527 = cat(_T_526, micect_inc) @[Cat.scala 29:58] - node micect_ns = mux(_T_523, _T_525, _T_527) @[dec_tlu_ctl.scala 1863:22] - node _T_528 = or(wr_micect_r, io.ic_perr_r_d1) @[dec_tlu_ctl.scala 1865:42] - node _T_529 = bits(_T_528, 0, 0) @[dec_tlu_ctl.scala 1865:61] + node micect_ns = mux(_T_523, _T_525, _T_527) @[dec_tlu_ctl.scala 1862:22] + node _T_528 = or(wr_micect_r, io.ic_perr_r_d1) @[dec_tlu_ctl.scala 1864:42] + node _T_529 = bits(_T_528, 0, 0) @[dec_tlu_ctl.scala 1864:61] inst rvclkhdr_12 of rvclkhdr_732 @[lib.scala 368:23] rvclkhdr_12.clock <= clock rvclkhdr_12.reset <= reset @@ -73600,32 +73606,32 @@ circuit quasar : rvclkhdr_12.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_530 : UInt, rvclkhdr_12.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_530 <= micect_ns @[lib.scala 374:16] - micect <= _T_530 @[dec_tlu_ctl.scala 1865:9] - node _T_531 = bits(micect, 31, 27) @[dec_tlu_ctl.scala 1867:48] - node _T_532 = dshl(UInt<32>("h0ffffffff"), _T_531) @[dec_tlu_ctl.scala 1867:39] - node _T_533 = bits(micect, 26, 0) @[dec_tlu_ctl.scala 1867:79] + micect <= _T_530 @[dec_tlu_ctl.scala 1864:9] + node _T_531 = bits(micect, 31, 27) @[dec_tlu_ctl.scala 1866:48] + node _T_532 = dshl(UInt<32>("h0ffffffff"), _T_531) @[dec_tlu_ctl.scala 1866:39] + node _T_533 = bits(micect, 26, 0) @[dec_tlu_ctl.scala 1866:79] node _T_534 = cat(UInt<5>("h00"), _T_533) @[Cat.scala 29:58] - node _T_535 = and(_T_532, _T_534) @[dec_tlu_ctl.scala 1867:57] - node _T_536 = orr(_T_535) @[dec_tlu_ctl.scala 1867:88] - mice_ce_req <= _T_536 @[dec_tlu_ctl.scala 1867:14] - node _T_537 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1876:69] - node _T_538 = eq(_T_537, UInt<12>("h07f1")) @[dec_tlu_ctl.scala 1876:76] - node wr_miccmect_r = and(io.dec_csr_wen_r_mod, _T_538) @[dec_tlu_ctl.scala 1876:47] - node _T_539 = bits(miccmect, 26, 0) @[dec_tlu_ctl.scala 1877:26] - node _T_540 = or(io.iccm_sbecc_r_d1, io.iccm_dma_sb_error) @[dec_tlu_ctl.scala 1877:70] + node _T_535 = and(_T_532, _T_534) @[dec_tlu_ctl.scala 1866:57] + node _T_536 = orr(_T_535) @[dec_tlu_ctl.scala 1866:88] + mice_ce_req <= _T_536 @[dec_tlu_ctl.scala 1866:14] + node _T_537 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1875:69] + node _T_538 = eq(_T_537, UInt<12>("h07f1")) @[dec_tlu_ctl.scala 1875:76] + node wr_miccmect_r = and(io.dec_csr_wen_r_mod, _T_538) @[dec_tlu_ctl.scala 1875:47] + node _T_539 = bits(miccmect, 26, 0) @[dec_tlu_ctl.scala 1876:26] + node _T_540 = or(io.iccm_sbecc_r_d1, io.iccm_dma_sb_error) @[dec_tlu_ctl.scala 1876:70] node _T_541 = cat(UInt<26>("h00"), _T_540) @[Cat.scala 29:58] - node _T_542 = add(_T_539, _T_541) @[dec_tlu_ctl.scala 1877:33] - node _T_543 = tail(_T_542, 1) @[dec_tlu_ctl.scala 1877:33] - miccmect_inc <= _T_543 @[dec_tlu_ctl.scala 1877:15] - node _T_544 = bits(wr_miccmect_r, 0, 0) @[dec_tlu_ctl.scala 1878:45] - node _T_545 = bits(io.dec_csr_wrdata_r, 26, 0) @[dec_tlu_ctl.scala 1878:85] + node _T_542 = add(_T_539, _T_541) @[dec_tlu_ctl.scala 1876:33] + node _T_543 = tail(_T_542, 1) @[dec_tlu_ctl.scala 1876:33] + miccmect_inc <= _T_543 @[dec_tlu_ctl.scala 1876:15] + node _T_544 = bits(wr_miccmect_r, 0, 0) @[dec_tlu_ctl.scala 1877:45] + node _T_545 = bits(io.dec_csr_wrdata_r, 26, 0) @[dec_tlu_ctl.scala 1877:85] node _T_546 = cat(csr_sat, _T_545) @[Cat.scala 29:58] - node _T_547 = bits(miccmect, 31, 27) @[dec_tlu_ctl.scala 1878:107] + node _T_547 = bits(miccmect, 31, 27) @[dec_tlu_ctl.scala 1877:107] node _T_548 = cat(_T_547, miccmect_inc) @[Cat.scala 29:58] - node miccmect_ns = mux(_T_544, _T_546, _T_548) @[dec_tlu_ctl.scala 1878:30] - node _T_549 = or(wr_miccmect_r, io.iccm_sbecc_r_d1) @[dec_tlu_ctl.scala 1880:48] - node _T_550 = or(_T_549, io.iccm_dma_sb_error) @[dec_tlu_ctl.scala 1880:69] - node _T_551 = bits(_T_550, 0, 0) @[dec_tlu_ctl.scala 1880:93] + node miccmect_ns = mux(_T_544, _T_546, _T_548) @[dec_tlu_ctl.scala 1877:30] + node _T_549 = or(wr_miccmect_r, io.iccm_sbecc_r_d1) @[dec_tlu_ctl.scala 1879:48] + node _T_550 = or(_T_549, io.iccm_dma_sb_error) @[dec_tlu_ctl.scala 1879:69] + node _T_551 = bits(_T_550, 0, 0) @[dec_tlu_ctl.scala 1879:93] inst rvclkhdr_13 of rvclkhdr_733 @[lib.scala 368:23] rvclkhdr_13.clock <= clock rvclkhdr_13.reset <= reset @@ -73634,30 +73640,30 @@ circuit quasar : rvclkhdr_13.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_552 : UInt, rvclkhdr_13.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_552 <= miccmect_ns @[lib.scala 374:16] - miccmect <= _T_552 @[dec_tlu_ctl.scala 1880:11] - node _T_553 = bits(miccmect, 31, 27) @[dec_tlu_ctl.scala 1882:51] - node _T_554 = dshl(UInt<32>("h0ffffffff"), _T_553) @[dec_tlu_ctl.scala 1882:40] - node _T_555 = bits(miccmect, 26, 0) @[dec_tlu_ctl.scala 1882:84] + miccmect <= _T_552 @[dec_tlu_ctl.scala 1879:11] + node _T_553 = bits(miccmect, 31, 27) @[dec_tlu_ctl.scala 1881:51] + node _T_554 = dshl(UInt<32>("h0ffffffff"), _T_553) @[dec_tlu_ctl.scala 1881:40] + node _T_555 = bits(miccmect, 26, 0) @[dec_tlu_ctl.scala 1881:84] node _T_556 = cat(UInt<5>("h00"), _T_555) @[Cat.scala 29:58] - node _T_557 = and(_T_554, _T_556) @[dec_tlu_ctl.scala 1882:60] - node _T_558 = orr(_T_557) @[dec_tlu_ctl.scala 1882:93] - miccme_ce_req <= _T_558 @[dec_tlu_ctl.scala 1882:15] - node _T_559 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1891:69] - node _T_560 = eq(_T_559, UInt<12>("h07f2")) @[dec_tlu_ctl.scala 1891:76] - node wr_mdccmect_r = and(io.dec_csr_wen_r_mod, _T_560) @[dec_tlu_ctl.scala 1891:47] - node _T_561 = bits(mdccmect, 26, 0) @[dec_tlu_ctl.scala 1892:26] + node _T_557 = and(_T_554, _T_556) @[dec_tlu_ctl.scala 1881:60] + node _T_558 = orr(_T_557) @[dec_tlu_ctl.scala 1881:93] + miccme_ce_req <= _T_558 @[dec_tlu_ctl.scala 1881:15] + node _T_559 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1890:69] + node _T_560 = eq(_T_559, UInt<12>("h07f2")) @[dec_tlu_ctl.scala 1890:76] + node wr_mdccmect_r = and(io.dec_csr_wen_r_mod, _T_560) @[dec_tlu_ctl.scala 1890:47] + node _T_561 = bits(mdccmect, 26, 0) @[dec_tlu_ctl.scala 1891:26] node _T_562 = cat(UInt<26>("h00"), io.lsu_single_ecc_error_r_d1) @[Cat.scala 29:58] - node _T_563 = add(_T_561, _T_562) @[dec_tlu_ctl.scala 1892:33] - node _T_564 = tail(_T_563, 1) @[dec_tlu_ctl.scala 1892:33] - mdccmect_inc <= _T_564 @[dec_tlu_ctl.scala 1892:15] - node _T_565 = bits(wr_mdccmect_r, 0, 0) @[dec_tlu_ctl.scala 1893:45] - node _T_566 = bits(io.dec_csr_wrdata_r, 26, 0) @[dec_tlu_ctl.scala 1893:85] + node _T_563 = add(_T_561, _T_562) @[dec_tlu_ctl.scala 1891:33] + node _T_564 = tail(_T_563, 1) @[dec_tlu_ctl.scala 1891:33] + mdccmect_inc <= _T_564 @[dec_tlu_ctl.scala 1891:15] + node _T_565 = bits(wr_mdccmect_r, 0, 0) @[dec_tlu_ctl.scala 1892:45] + node _T_566 = bits(io.dec_csr_wrdata_r, 26, 0) @[dec_tlu_ctl.scala 1892:85] node _T_567 = cat(csr_sat, _T_566) @[Cat.scala 29:58] - node _T_568 = bits(mdccmect, 31, 27) @[dec_tlu_ctl.scala 1893:107] + node _T_568 = bits(mdccmect, 31, 27) @[dec_tlu_ctl.scala 1892:107] node _T_569 = cat(_T_568, mdccmect_inc) @[Cat.scala 29:58] - node mdccmect_ns = mux(_T_565, _T_567, _T_569) @[dec_tlu_ctl.scala 1893:30] - node _T_570 = or(wr_mdccmect_r, io.lsu_single_ecc_error_r_d1) @[dec_tlu_ctl.scala 1895:49] - node _T_571 = bits(_T_570, 0, 0) @[dec_tlu_ctl.scala 1895:81] + node mdccmect_ns = mux(_T_565, _T_567, _T_569) @[dec_tlu_ctl.scala 1892:30] + node _T_570 = or(wr_mdccmect_r, io.lsu_single_ecc_error_r_d1) @[dec_tlu_ctl.scala 1894:49] + node _T_571 = bits(_T_570, 0, 0) @[dec_tlu_ctl.scala 1894:81] inst rvclkhdr_14 of rvclkhdr_734 @[lib.scala 368:23] rvclkhdr_14.clock <= clock rvclkhdr_14.reset <= reset @@ -73666,67 +73672,67 @@ circuit quasar : rvclkhdr_14.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_572 : UInt, rvclkhdr_14.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_572 <= mdccmect_ns @[lib.scala 374:16] - mdccmect <= _T_572 @[dec_tlu_ctl.scala 1895:11] - node _T_573 = bits(mdccmect, 31, 27) @[dec_tlu_ctl.scala 1897:52] - node _T_574 = dshl(UInt<32>("h0ffffffff"), _T_573) @[dec_tlu_ctl.scala 1897:41] - node _T_575 = bits(mdccmect, 26, 0) @[dec_tlu_ctl.scala 1897:85] + mdccmect <= _T_572 @[dec_tlu_ctl.scala 1894:11] + node _T_573 = bits(mdccmect, 31, 27) @[dec_tlu_ctl.scala 1896:52] + node _T_574 = dshl(UInt<32>("h0ffffffff"), _T_573) @[dec_tlu_ctl.scala 1896:41] + node _T_575 = bits(mdccmect, 26, 0) @[dec_tlu_ctl.scala 1896:85] node _T_576 = cat(UInt<5>("h00"), _T_575) @[Cat.scala 29:58] - node _T_577 = and(_T_574, _T_576) @[dec_tlu_ctl.scala 1897:61] - node _T_578 = orr(_T_577) @[dec_tlu_ctl.scala 1897:94] - mdccme_ce_req <= _T_578 @[dec_tlu_ctl.scala 1897:16] - node _T_579 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1907:62] - node _T_580 = eq(_T_579, UInt<12>("h07ce")) @[dec_tlu_ctl.scala 1907:69] - node wr_mfdht_r = and(io.dec_csr_wen_r_mod, _T_580) @[dec_tlu_ctl.scala 1907:40] - node _T_581 = bits(wr_mfdht_r, 0, 0) @[dec_tlu_ctl.scala 1909:32] - node _T_582 = bits(io.dec_csr_wrdata_r, 5, 0) @[dec_tlu_ctl.scala 1909:59] - node mfdht_ns = mux(_T_581, _T_582, mfdht) @[dec_tlu_ctl.scala 1909:20] - reg _T_583 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1911:43] - _T_583 <= mfdht_ns @[dec_tlu_ctl.scala 1911:43] - mfdht <= _T_583 @[dec_tlu_ctl.scala 1911:8] - node _T_584 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1920:62] - node _T_585 = eq(_T_584, UInt<12>("h07cf")) @[dec_tlu_ctl.scala 1920:69] - node wr_mfdhs_r = and(io.dec_csr_wen_r_mod, _T_585) @[dec_tlu_ctl.scala 1920:40] - node _T_586 = bits(wr_mfdhs_r, 0, 0) @[dec_tlu_ctl.scala 1922:32] - node _T_587 = bits(io.dec_csr_wrdata_r, 1, 0) @[dec_tlu_ctl.scala 1922:60] - node _T_588 = not(io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 1923:43] - node _T_589 = and(io.dbg_tlu_halted, _T_588) @[dec_tlu_ctl.scala 1923:41] - node _T_590 = bits(_T_589, 0, 0) @[dec_tlu_ctl.scala 1923:65] - node _T_591 = not(io.lsu_idle_any_f) @[dec_tlu_ctl.scala 1923:78] - node _T_592 = not(io.ifu_miss_state_idle_f) @[dec_tlu_ctl.scala 1923:98] + node _T_577 = and(_T_574, _T_576) @[dec_tlu_ctl.scala 1896:61] + node _T_578 = orr(_T_577) @[dec_tlu_ctl.scala 1896:94] + mdccme_ce_req <= _T_578 @[dec_tlu_ctl.scala 1896:16] + node _T_579 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1906:62] + node _T_580 = eq(_T_579, UInt<12>("h07ce")) @[dec_tlu_ctl.scala 1906:69] + node wr_mfdht_r = and(io.dec_csr_wen_r_mod, _T_580) @[dec_tlu_ctl.scala 1906:40] + node _T_581 = bits(wr_mfdht_r, 0, 0) @[dec_tlu_ctl.scala 1908:32] + node _T_582 = bits(io.dec_csr_wrdata_r, 5, 0) @[dec_tlu_ctl.scala 1908:59] + node mfdht_ns = mux(_T_581, _T_582, mfdht) @[dec_tlu_ctl.scala 1908:20] + reg _T_583 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1910:43] + _T_583 <= mfdht_ns @[dec_tlu_ctl.scala 1910:43] + mfdht <= _T_583 @[dec_tlu_ctl.scala 1910:8] + node _T_584 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1919:62] + node _T_585 = eq(_T_584, UInt<12>("h07cf")) @[dec_tlu_ctl.scala 1919:69] + node wr_mfdhs_r = and(io.dec_csr_wen_r_mod, _T_585) @[dec_tlu_ctl.scala 1919:40] + node _T_586 = bits(wr_mfdhs_r, 0, 0) @[dec_tlu_ctl.scala 1921:32] + node _T_587 = bits(io.dec_csr_wrdata_r, 1, 0) @[dec_tlu_ctl.scala 1921:60] + node _T_588 = not(io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 1922:43] + node _T_589 = and(io.dbg_tlu_halted, _T_588) @[dec_tlu_ctl.scala 1922:41] + node _T_590 = bits(_T_589, 0, 0) @[dec_tlu_ctl.scala 1922:65] + node _T_591 = not(io.lsu_idle_any_f) @[dec_tlu_ctl.scala 1922:78] + node _T_592 = not(io.ifu_miss_state_idle_f) @[dec_tlu_ctl.scala 1922:98] node _T_593 = cat(_T_591, _T_592) @[Cat.scala 29:58] - node _T_594 = mux(_T_590, _T_593, mfdhs) @[dec_tlu_ctl.scala 1923:21] - node mfdhs_ns = mux(_T_586, _T_587, _T_594) @[dec_tlu_ctl.scala 1922:20] - node _T_595 = or(wr_mfdhs_r, io.dbg_tlu_halted) @[dec_tlu_ctl.scala 1925:71] - node _T_596 = bits(_T_595, 0, 0) @[dec_tlu_ctl.scala 1925:92] + node _T_594 = mux(_T_590, _T_593, mfdhs) @[dec_tlu_ctl.scala 1922:21] + node mfdhs_ns = mux(_T_586, _T_587, _T_594) @[dec_tlu_ctl.scala 1921:20] + node _T_595 = or(wr_mfdhs_r, io.dbg_tlu_halted) @[dec_tlu_ctl.scala 1924:71] + node _T_596 = bits(_T_595, 0, 0) @[dec_tlu_ctl.scala 1924:92] reg _T_597 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_596 : @[Reg.scala 28:19] _T_597 <= mfdhs_ns @[Reg.scala 28:23] skip @[Reg.scala 28:19] - mfdhs <= _T_597 @[dec_tlu_ctl.scala 1925:8] - node _T_598 = bits(io.debug_halt_req_f, 0, 0) @[dec_tlu_ctl.scala 1927:47] - node _T_599 = add(force_halt_ctr_f, UInt<32>("h01")) @[dec_tlu_ctl.scala 1927:74] - node _T_600 = tail(_T_599, 1) @[dec_tlu_ctl.scala 1927:74] - node _T_601 = bits(io.dbg_tlu_halted_f, 0, 0) @[dec_tlu_ctl.scala 1928:48] - node _T_602 = mux(_T_601, UInt<32>("h00"), force_halt_ctr_f) @[dec_tlu_ctl.scala 1928:27] - node force_halt_ctr = mux(_T_598, _T_600, _T_602) @[dec_tlu_ctl.scala 1927:26] - node _T_603 = bits(mfdht, 0, 0) @[dec_tlu_ctl.scala 1930:81] + mfdhs <= _T_597 @[dec_tlu_ctl.scala 1924:8] + node _T_598 = bits(io.debug_halt_req_f, 0, 0) @[dec_tlu_ctl.scala 1926:47] + node _T_599 = add(force_halt_ctr_f, UInt<32>("h01")) @[dec_tlu_ctl.scala 1926:74] + node _T_600 = tail(_T_599, 1) @[dec_tlu_ctl.scala 1926:74] + node _T_601 = bits(io.dbg_tlu_halted_f, 0, 0) @[dec_tlu_ctl.scala 1927:48] + node _T_602 = mux(_T_601, UInt<32>("h00"), force_halt_ctr_f) @[dec_tlu_ctl.scala 1927:27] + node force_halt_ctr = mux(_T_598, _T_600, _T_602) @[dec_tlu_ctl.scala 1926:26] + node _T_603 = bits(mfdht, 0, 0) @[dec_tlu_ctl.scala 1929:81] reg _T_604 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_603 : @[Reg.scala 28:19] _T_604 <= force_halt_ctr @[Reg.scala 28:23] skip @[Reg.scala 28:19] - force_halt_ctr_f <= _T_604 @[dec_tlu_ctl.scala 1930:19] - node _T_605 = bits(mfdht, 0, 0) @[dec_tlu_ctl.scala 1932:24] - node _T_606 = bits(mfdht, 5, 1) @[dec_tlu_ctl.scala 1932:79] - node _T_607 = dshl(UInt<32>("h0ffffffff"), _T_606) @[dec_tlu_ctl.scala 1932:71] - node _T_608 = and(force_halt_ctr_f, _T_607) @[dec_tlu_ctl.scala 1932:48] - node _T_609 = orr(_T_608) @[dec_tlu_ctl.scala 1932:87] - node _T_610 = and(_T_605, _T_609) @[dec_tlu_ctl.scala 1932:28] - io.force_halt <= _T_610 @[dec_tlu_ctl.scala 1932:16] - node _T_611 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1940:62] - node _T_612 = eq(_T_611, UInt<12>("h0bc8")) @[dec_tlu_ctl.scala 1940:69] - node wr_meivt_r = and(io.dec_csr_wen_r_mod, _T_612) @[dec_tlu_ctl.scala 1940:40] - node _T_613 = bits(io.dec_csr_wrdata_r, 31, 10) @[dec_tlu_ctl.scala 1942:40] - node _T_614 = bits(wr_meivt_r, 0, 0) @[dec_tlu_ctl.scala 1942:59] + force_halt_ctr_f <= _T_604 @[dec_tlu_ctl.scala 1929:19] + node _T_605 = bits(mfdht, 0, 0) @[dec_tlu_ctl.scala 1931:24] + node _T_606 = bits(mfdht, 5, 1) @[dec_tlu_ctl.scala 1931:79] + node _T_607 = dshl(UInt<32>("h0ffffffff"), _T_606) @[dec_tlu_ctl.scala 1931:71] + node _T_608 = and(force_halt_ctr_f, _T_607) @[dec_tlu_ctl.scala 1931:48] + node _T_609 = orr(_T_608) @[dec_tlu_ctl.scala 1931:87] + node _T_610 = and(_T_605, _T_609) @[dec_tlu_ctl.scala 1931:28] + io.force_halt <= _T_610 @[dec_tlu_ctl.scala 1931:16] + node _T_611 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1939:62] + node _T_612 = eq(_T_611, UInt<12>("h0bc8")) @[dec_tlu_ctl.scala 1939:69] + node wr_meivt_r = and(io.dec_csr_wen_r_mod, _T_612) @[dec_tlu_ctl.scala 1939:40] + node _T_613 = bits(io.dec_csr_wrdata_r, 31, 10) @[dec_tlu_ctl.scala 1941:40] + node _T_614 = bits(wr_meivt_r, 0, 0) @[dec_tlu_ctl.scala 1941:59] inst rvclkhdr_15 of rvclkhdr_735 @[lib.scala 368:23] rvclkhdr_15.clock <= clock rvclkhdr_15.reset <= reset @@ -73735,7 +73741,7 @@ circuit quasar : rvclkhdr_15.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg meivt : UInt, rvclkhdr_15.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] meivt <= _T_613 @[lib.scala 374:16] - node _T_615 = bits(wr_meicpct_r, 0, 0) @[dec_tlu_ctl.scala 1954:49] + node _T_615 = bits(wr_meicpct_r, 0, 0) @[dec_tlu_ctl.scala 1953:49] inst rvclkhdr_16 of rvclkhdr_736 @[lib.scala 368:23] rvclkhdr_16.clock <= clock rvclkhdr_16.reset <= reset @@ -73745,62 +73751,62 @@ circuit quasar : reg meihap : UInt, rvclkhdr_16.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] meihap <= io.pic_claimid @[lib.scala 374:16] node _T_616 = cat(meivt, meihap) @[Cat.scala 29:58] - io.dec_tlu_meihap <= _T_616 @[dec_tlu_ctl.scala 1955:20] - node _T_617 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1964:65] - node _T_618 = eq(_T_617, UInt<12>("h0bcc")) @[dec_tlu_ctl.scala 1964:72] - node wr_meicurpl_r = and(io.dec_csr_wen_r_mod, _T_618) @[dec_tlu_ctl.scala 1964:43] - node _T_619 = bits(wr_meicurpl_r, 0, 0) @[dec_tlu_ctl.scala 1965:38] - node _T_620 = bits(io.dec_csr_wrdata_r, 3, 0) @[dec_tlu_ctl.scala 1965:65] - node meicurpl_ns = mux(_T_619, _T_620, meicurpl) @[dec_tlu_ctl.scala 1965:23] - reg _T_621 : UInt, io.csr_wr_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1967:46] - _T_621 <= meicurpl_ns @[dec_tlu_ctl.scala 1967:46] - meicurpl <= _T_621 @[dec_tlu_ctl.scala 1967:11] - io.dec_tlu_meicurpl <= meicurpl @[dec_tlu_ctl.scala 1969:22] - node _T_622 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1979:66] - node _T_623 = eq(_T_622, UInt<12>("h0bcb")) @[dec_tlu_ctl.scala 1979:73] - node _T_624 = and(io.dec_csr_wen_r_mod, _T_623) @[dec_tlu_ctl.scala 1979:44] - node wr_meicidpl_r = or(_T_624, io.take_ext_int_start) @[dec_tlu_ctl.scala 1979:88] - node _T_625 = bits(wr_meicpct_r, 0, 0) @[dec_tlu_ctl.scala 1981:37] - node _T_626 = bits(wr_meicidpl_r, 0, 0) @[dec_tlu_ctl.scala 1982:38] - node _T_627 = bits(io.dec_csr_wrdata_r, 3, 0) @[dec_tlu_ctl.scala 1982:65] - node _T_628 = mux(_T_626, _T_627, meicidpl) @[dec_tlu_ctl.scala 1982:23] - node meicidpl_ns = mux(_T_625, io.pic_pl, _T_628) @[dec_tlu_ctl.scala 1981:23] - reg _T_629 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1984:44] - _T_629 <= meicidpl_ns @[dec_tlu_ctl.scala 1984:44] - meicidpl <= _T_629 @[dec_tlu_ctl.scala 1984:11] - node _T_630 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1991:62] - node _T_631 = eq(_T_630, UInt<12>("h0bca")) @[dec_tlu_ctl.scala 1991:69] - node _T_632 = and(io.dec_csr_wen_r_mod, _T_631) @[dec_tlu_ctl.scala 1991:40] - node _T_633 = or(_T_632, io.take_ext_int_start) @[dec_tlu_ctl.scala 1991:83] - wr_meicpct_r <= _T_633 @[dec_tlu_ctl.scala 1991:15] - node _T_634 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2000:62] - node _T_635 = eq(_T_634, UInt<12>("h0bc9")) @[dec_tlu_ctl.scala 2000:69] - node wr_meipt_r = and(io.dec_csr_wen_r_mod, _T_635) @[dec_tlu_ctl.scala 2000:40] - node _T_636 = bits(wr_meipt_r, 0, 0) @[dec_tlu_ctl.scala 2001:32] - node _T_637 = bits(io.dec_csr_wrdata_r, 3, 0) @[dec_tlu_ctl.scala 2001:59] - node meipt_ns = mux(_T_636, _T_637, meipt) @[dec_tlu_ctl.scala 2001:20] - reg _T_638 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2003:43] - _T_638 <= meipt_ns @[dec_tlu_ctl.scala 2003:43] - meipt <= _T_638 @[dec_tlu_ctl.scala 2003:8] - io.dec_tlu_meipt <= meipt @[dec_tlu_ctl.scala 2005:19] - node _T_639 = and(io.trigger_hit_r_d1, io.dcsr_single_step_done_f) @[dec_tlu_ctl.scala 2031:89] - node trigger_hit_for_dscr_cause_r_d1 = or(io.trigger_hit_dmode_r_d1, _T_639) @[dec_tlu_ctl.scala 2031:66] - node _T_640 = not(io.ebreak_to_debug_mode_r_d1) @[dec_tlu_ctl.scala 2034:31] - node _T_641 = and(io.dcsr_single_step_done_f, _T_640) @[dec_tlu_ctl.scala 2034:29] - node _T_642 = not(trigger_hit_for_dscr_cause_r_d1) @[dec_tlu_ctl.scala 2034:63] - node _T_643 = and(_T_641, _T_642) @[dec_tlu_ctl.scala 2034:61] - node _T_644 = not(io.debug_halt_req) @[dec_tlu_ctl.scala 2034:98] - node _T_645 = and(_T_643, _T_644) @[dec_tlu_ctl.scala 2034:96] - node _T_646 = bits(_T_645, 0, 0) @[dec_tlu_ctl.scala 2034:118] - node _T_647 = not(io.ebreak_to_debug_mode_r_d1) @[dec_tlu_ctl.scala 2035:48] - node _T_648 = and(io.debug_halt_req, _T_647) @[dec_tlu_ctl.scala 2035:46] - node _T_649 = not(trigger_hit_for_dscr_cause_r_d1) @[dec_tlu_ctl.scala 2035:80] - node _T_650 = and(_T_648, _T_649) @[dec_tlu_ctl.scala 2035:78] - node _T_651 = bits(_T_650, 0, 0) @[dec_tlu_ctl.scala 2035:114] - node _T_652 = not(trigger_hit_for_dscr_cause_r_d1) @[dec_tlu_ctl.scala 2036:77] - node _T_653 = and(io.ebreak_to_debug_mode_r_d1, _T_652) @[dec_tlu_ctl.scala 2036:75] - node _T_654 = bits(_T_653, 0, 0) @[dec_tlu_ctl.scala 2036:111] - node _T_655 = bits(trigger_hit_for_dscr_cause_r_d1, 0, 0) @[dec_tlu_ctl.scala 2037:108] + io.dec_tlu_meihap <= _T_616 @[dec_tlu_ctl.scala 1954:20] + node _T_617 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1963:65] + node _T_618 = eq(_T_617, UInt<12>("h0bcc")) @[dec_tlu_ctl.scala 1963:72] + node wr_meicurpl_r = and(io.dec_csr_wen_r_mod, _T_618) @[dec_tlu_ctl.scala 1963:43] + node _T_619 = bits(wr_meicurpl_r, 0, 0) @[dec_tlu_ctl.scala 1964:38] + node _T_620 = bits(io.dec_csr_wrdata_r, 3, 0) @[dec_tlu_ctl.scala 1964:65] + node meicurpl_ns = mux(_T_619, _T_620, meicurpl) @[dec_tlu_ctl.scala 1964:23] + reg _T_621 : UInt, io.csr_wr_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1966:46] + _T_621 <= meicurpl_ns @[dec_tlu_ctl.scala 1966:46] + meicurpl <= _T_621 @[dec_tlu_ctl.scala 1966:11] + io.dec_tlu_meicurpl <= meicurpl @[dec_tlu_ctl.scala 1968:22] + node _T_622 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1978:66] + node _T_623 = eq(_T_622, UInt<12>("h0bcb")) @[dec_tlu_ctl.scala 1978:73] + node _T_624 = and(io.dec_csr_wen_r_mod, _T_623) @[dec_tlu_ctl.scala 1978:44] + node wr_meicidpl_r = or(_T_624, io.take_ext_int_start) @[dec_tlu_ctl.scala 1978:88] + node _T_625 = bits(wr_meicpct_r, 0, 0) @[dec_tlu_ctl.scala 1980:37] + node _T_626 = bits(wr_meicidpl_r, 0, 0) @[dec_tlu_ctl.scala 1981:38] + node _T_627 = bits(io.dec_csr_wrdata_r, 3, 0) @[dec_tlu_ctl.scala 1981:65] + node _T_628 = mux(_T_626, _T_627, meicidpl) @[dec_tlu_ctl.scala 1981:23] + node meicidpl_ns = mux(_T_625, io.pic_pl, _T_628) @[dec_tlu_ctl.scala 1980:23] + reg _T_629 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1983:44] + _T_629 <= meicidpl_ns @[dec_tlu_ctl.scala 1983:44] + meicidpl <= _T_629 @[dec_tlu_ctl.scala 1983:11] + node _T_630 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1990:62] + node _T_631 = eq(_T_630, UInt<12>("h0bca")) @[dec_tlu_ctl.scala 1990:69] + node _T_632 = and(io.dec_csr_wen_r_mod, _T_631) @[dec_tlu_ctl.scala 1990:40] + node _T_633 = or(_T_632, io.take_ext_int_start) @[dec_tlu_ctl.scala 1990:83] + wr_meicpct_r <= _T_633 @[dec_tlu_ctl.scala 1990:15] + node _T_634 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1999:62] + node _T_635 = eq(_T_634, UInt<12>("h0bc9")) @[dec_tlu_ctl.scala 1999:69] + node wr_meipt_r = and(io.dec_csr_wen_r_mod, _T_635) @[dec_tlu_ctl.scala 1999:40] + node _T_636 = bits(wr_meipt_r, 0, 0) @[dec_tlu_ctl.scala 2000:32] + node _T_637 = bits(io.dec_csr_wrdata_r, 3, 0) @[dec_tlu_ctl.scala 2000:59] + node meipt_ns = mux(_T_636, _T_637, meipt) @[dec_tlu_ctl.scala 2000:20] + reg _T_638 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2002:43] + _T_638 <= meipt_ns @[dec_tlu_ctl.scala 2002:43] + meipt <= _T_638 @[dec_tlu_ctl.scala 2002:8] + io.dec_tlu_meipt <= meipt @[dec_tlu_ctl.scala 2004:19] + node _T_639 = and(io.trigger_hit_r_d1, io.dcsr_single_step_done_f) @[dec_tlu_ctl.scala 2030:89] + node trigger_hit_for_dscr_cause_r_d1 = or(io.trigger_hit_dmode_r_d1, _T_639) @[dec_tlu_ctl.scala 2030:66] + node _T_640 = not(io.ebreak_to_debug_mode_r_d1) @[dec_tlu_ctl.scala 2033:31] + node _T_641 = and(io.dcsr_single_step_done_f, _T_640) @[dec_tlu_ctl.scala 2033:29] + node _T_642 = not(trigger_hit_for_dscr_cause_r_d1) @[dec_tlu_ctl.scala 2033:63] + node _T_643 = and(_T_641, _T_642) @[dec_tlu_ctl.scala 2033:61] + node _T_644 = not(io.debug_halt_req) @[dec_tlu_ctl.scala 2033:98] + node _T_645 = and(_T_643, _T_644) @[dec_tlu_ctl.scala 2033:96] + node _T_646 = bits(_T_645, 0, 0) @[dec_tlu_ctl.scala 2033:118] + node _T_647 = not(io.ebreak_to_debug_mode_r_d1) @[dec_tlu_ctl.scala 2034:48] + node _T_648 = and(io.debug_halt_req, _T_647) @[dec_tlu_ctl.scala 2034:46] + node _T_649 = not(trigger_hit_for_dscr_cause_r_d1) @[dec_tlu_ctl.scala 2034:80] + node _T_650 = and(_T_648, _T_649) @[dec_tlu_ctl.scala 2034:78] + node _T_651 = bits(_T_650, 0, 0) @[dec_tlu_ctl.scala 2034:114] + node _T_652 = not(trigger_hit_for_dscr_cause_r_d1) @[dec_tlu_ctl.scala 2035:77] + node _T_653 = and(io.ebreak_to_debug_mode_r_d1, _T_652) @[dec_tlu_ctl.scala 2035:75] + node _T_654 = bits(_T_653, 0, 0) @[dec_tlu_ctl.scala 2035:111] + node _T_655 = bits(trigger_hit_for_dscr_cause_r_d1, 0, 0) @[dec_tlu_ctl.scala 2036:108] node _T_656 = mux(_T_646, UInt<3>("h04"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_657 = mux(_T_651, UInt<3>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_658 = mux(_T_654, UInt<3>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -73810,30 +73816,30 @@ circuit quasar : node _T_662 = or(_T_661, _T_659) @[Mux.scala 27:72] wire dcsr_cause : UInt<3> @[Mux.scala 27:72] dcsr_cause <= _T_662 @[Mux.scala 27:72] - node _T_663 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2039:46] - node _T_664 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2039:91] - node _T_665 = eq(_T_664, UInt<12>("h07b0")) @[dec_tlu_ctl.scala 2039:98] - node wr_dcsr_r = and(_T_663, _T_665) @[dec_tlu_ctl.scala 2039:69] - node _T_666 = bits(io.dcsr, 8, 6) @[dec_tlu_ctl.scala 2045:69] - node _T_667 = eq(_T_666, UInt<3>("h03")) @[dec_tlu_ctl.scala 2045:75] - node dcsr_cause_upgradeable = and(io.internal_dbg_halt_mode_f, _T_667) @[dec_tlu_ctl.scala 2045:59] - node _T_668 = not(io.dbg_tlu_halted) @[dec_tlu_ctl.scala 2046:59] - node _T_669 = or(_T_668, dcsr_cause_upgradeable) @[dec_tlu_ctl.scala 2046:78] - node enter_debug_halt_req_le = and(io.enter_debug_halt_req, _T_669) @[dec_tlu_ctl.scala 2046:56] - node nmi_in_debug_mode = and(io.nmi_int_detected_f, io.internal_dbg_halt_mode_f) @[dec_tlu_ctl.scala 2048:48] - node _T_670 = bits(enter_debug_halt_req_le, 0, 0) @[dec_tlu_ctl.scala 2049:44] - node _T_671 = bits(io.dcsr, 15, 9) @[dec_tlu_ctl.scala 2049:64] - node _T_672 = bits(io.dcsr, 5, 2) @[dec_tlu_ctl.scala 2049:91] + node _T_663 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2038:46] + node _T_664 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2038:91] + node _T_665 = eq(_T_664, UInt<12>("h07b0")) @[dec_tlu_ctl.scala 2038:98] + node wr_dcsr_r = and(_T_663, _T_665) @[dec_tlu_ctl.scala 2038:69] + node _T_666 = bits(io.dcsr, 8, 6) @[dec_tlu_ctl.scala 2044:69] + node _T_667 = eq(_T_666, UInt<3>("h03")) @[dec_tlu_ctl.scala 2044:75] + node dcsr_cause_upgradeable = and(io.internal_dbg_halt_mode_f, _T_667) @[dec_tlu_ctl.scala 2044:59] + node _T_668 = not(io.dbg_tlu_halted) @[dec_tlu_ctl.scala 2045:59] + node _T_669 = or(_T_668, dcsr_cause_upgradeable) @[dec_tlu_ctl.scala 2045:78] + node enter_debug_halt_req_le = and(io.enter_debug_halt_req, _T_669) @[dec_tlu_ctl.scala 2045:56] + node nmi_in_debug_mode = and(io.nmi_int_detected_f, io.internal_dbg_halt_mode_f) @[dec_tlu_ctl.scala 2047:48] + node _T_670 = bits(enter_debug_halt_req_le, 0, 0) @[dec_tlu_ctl.scala 2048:44] + node _T_671 = bits(io.dcsr, 15, 9) @[dec_tlu_ctl.scala 2048:64] + node _T_672 = bits(io.dcsr, 5, 2) @[dec_tlu_ctl.scala 2048:91] node _T_673 = cat(_T_672, UInt<2>("h03")) @[Cat.scala 29:58] node _T_674 = cat(_T_671, dcsr_cause) @[Cat.scala 29:58] node _T_675 = cat(_T_674, _T_673) @[Cat.scala 29:58] - node _T_676 = bits(wr_dcsr_r, 0, 0) @[dec_tlu_ctl.scala 2050:18] - node _T_677 = bits(io.dec_csr_wrdata_r, 15, 15) @[dec_tlu_ctl.scala 2050:49] - node _T_678 = bits(io.dec_csr_wrdata_r, 11, 10) @[dec_tlu_ctl.scala 2050:84] - node _T_679 = bits(io.dcsr, 8, 6) @[dec_tlu_ctl.scala 2050:110] - node _T_680 = bits(io.dcsr, 3, 3) @[dec_tlu_ctl.scala 2050:154] - node _T_681 = or(nmi_in_debug_mode, _T_680) @[dec_tlu_ctl.scala 2050:145] - node _T_682 = bits(io.dec_csr_wrdata_r, 2, 2) @[dec_tlu_ctl.scala 2050:178] + node _T_676 = bits(wr_dcsr_r, 0, 0) @[dec_tlu_ctl.scala 2049:18] + node _T_677 = bits(io.dec_csr_wrdata_r, 15, 15) @[dec_tlu_ctl.scala 2049:49] + node _T_678 = bits(io.dec_csr_wrdata_r, 11, 10) @[dec_tlu_ctl.scala 2049:84] + node _T_679 = bits(io.dcsr, 8, 6) @[dec_tlu_ctl.scala 2049:110] + node _T_680 = bits(io.dcsr, 3, 3) @[dec_tlu_ctl.scala 2049:154] + node _T_681 = or(nmi_in_debug_mode, _T_680) @[dec_tlu_ctl.scala 2049:145] + node _T_682 = bits(io.dec_csr_wrdata_r, 2, 2) @[dec_tlu_ctl.scala 2049:178] node _T_683 = cat(_T_682, UInt<2>("h03")) @[Cat.scala 29:58] node _T_684 = cat(UInt<2>("h00"), _T_681) @[Cat.scala 29:58] node _T_685 = cat(_T_684, _T_683) @[Cat.scala 29:58] @@ -73842,17 +73848,17 @@ circuit quasar : node _T_688 = cat(_T_687, _T_678) @[Cat.scala 29:58] node _T_689 = cat(_T_688, _T_686) @[Cat.scala 29:58] node _T_690 = cat(_T_689, _T_685) @[Cat.scala 29:58] - node _T_691 = bits(io.dcsr, 15, 4) @[dec_tlu_ctl.scala 2050:211] - node _T_692 = bits(io.dcsr, 2, 2) @[dec_tlu_ctl.scala 2050:245] + node _T_691 = bits(io.dcsr, 15, 4) @[dec_tlu_ctl.scala 2049:211] + node _T_692 = bits(io.dcsr, 2, 2) @[dec_tlu_ctl.scala 2049:245] node _T_693 = cat(_T_692, UInt<2>("h03")) @[Cat.scala 29:58] node _T_694 = cat(_T_691, nmi_in_debug_mode) @[Cat.scala 29:58] node _T_695 = cat(_T_694, _T_693) @[Cat.scala 29:58] - node _T_696 = mux(_T_676, _T_690, _T_695) @[dec_tlu_ctl.scala 2050:7] - node dcsr_ns = mux(_T_670, _T_675, _T_696) @[dec_tlu_ctl.scala 2049:19] - node _T_697 = or(enter_debug_halt_req_le, wr_dcsr_r) @[dec_tlu_ctl.scala 2052:54] - node _T_698 = or(_T_697, io.internal_dbg_halt_mode) @[dec_tlu_ctl.scala 2052:66] - node _T_699 = or(_T_698, io.take_nmi) @[dec_tlu_ctl.scala 2052:94] - node _T_700 = bits(_T_699, 0, 0) @[dec_tlu_ctl.scala 2052:109] + node _T_696 = mux(_T_676, _T_690, _T_695) @[dec_tlu_ctl.scala 2049:7] + node dcsr_ns = mux(_T_670, _T_675, _T_696) @[dec_tlu_ctl.scala 2048:19] + node _T_697 = or(enter_debug_halt_req_le, wr_dcsr_r) @[dec_tlu_ctl.scala 2051:54] + node _T_698 = or(_T_697, io.internal_dbg_halt_mode) @[dec_tlu_ctl.scala 2051:66] + node _T_699 = or(_T_698, io.take_nmi) @[dec_tlu_ctl.scala 2051:94] + node _T_700 = bits(_T_699, 0, 0) @[dec_tlu_ctl.scala 2051:109] inst rvclkhdr_17 of rvclkhdr_737 @[lib.scala 368:23] rvclkhdr_17.clock <= clock rvclkhdr_17.reset <= reset @@ -73861,25 +73867,25 @@ circuit quasar : rvclkhdr_17.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_701 : UInt, rvclkhdr_17.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_701 <= dcsr_ns @[lib.scala 374:16] - io.dcsr <= _T_701 @[dec_tlu_ctl.scala 2052:10] - node _T_702 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2060:45] - node _T_703 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2060:90] - node _T_704 = eq(_T_703, UInt<12>("h07b1")) @[dec_tlu_ctl.scala 2060:97] - node wr_dpc_r = and(_T_702, _T_704) @[dec_tlu_ctl.scala 2060:68] - node _T_705 = not(io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2061:44] - node _T_706 = and(io.dbg_tlu_halted, _T_705) @[dec_tlu_ctl.scala 2061:42] - node _T_707 = not(io.request_debug_mode_done) @[dec_tlu_ctl.scala 2061:67] - node dpc_capture_npc = and(_T_706, _T_707) @[dec_tlu_ctl.scala 2061:65] - node _T_708 = not(io.request_debug_mode_r) @[dec_tlu_ctl.scala 2065:21] - node _T_709 = not(dpc_capture_npc) @[dec_tlu_ctl.scala 2065:39] - node _T_710 = and(_T_708, _T_709) @[dec_tlu_ctl.scala 2065:37] - node _T_711 = and(_T_710, wr_dpc_r) @[dec_tlu_ctl.scala 2065:56] - node _T_712 = bits(_T_711, 0, 0) @[dec_tlu_ctl.scala 2065:68] - node _T_713 = bits(io.dec_csr_wrdata_r, 31, 1) @[dec_tlu_ctl.scala 2065:97] - node _T_714 = bits(io.request_debug_mode_r, 0, 0) @[dec_tlu_ctl.scala 2066:68] - node _T_715 = not(io.request_debug_mode_r) @[dec_tlu_ctl.scala 2067:33] - node _T_716 = and(_T_715, dpc_capture_npc) @[dec_tlu_ctl.scala 2067:49] - node _T_717 = bits(_T_716, 0, 0) @[dec_tlu_ctl.scala 2067:68] + io.dcsr <= _T_701 @[dec_tlu_ctl.scala 2051:10] + node _T_702 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2059:45] + node _T_703 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2059:90] + node _T_704 = eq(_T_703, UInt<12>("h07b1")) @[dec_tlu_ctl.scala 2059:97] + node wr_dpc_r = and(_T_702, _T_704) @[dec_tlu_ctl.scala 2059:68] + node _T_705 = not(io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2060:44] + node _T_706 = and(io.dbg_tlu_halted, _T_705) @[dec_tlu_ctl.scala 2060:42] + node _T_707 = not(io.request_debug_mode_done) @[dec_tlu_ctl.scala 2060:67] + node dpc_capture_npc = and(_T_706, _T_707) @[dec_tlu_ctl.scala 2060:65] + node _T_708 = not(io.request_debug_mode_r) @[dec_tlu_ctl.scala 2064:21] + node _T_709 = not(dpc_capture_npc) @[dec_tlu_ctl.scala 2064:39] + node _T_710 = and(_T_708, _T_709) @[dec_tlu_ctl.scala 2064:37] + node _T_711 = and(_T_710, wr_dpc_r) @[dec_tlu_ctl.scala 2064:56] + node _T_712 = bits(_T_711, 0, 0) @[dec_tlu_ctl.scala 2064:68] + node _T_713 = bits(io.dec_csr_wrdata_r, 31, 1) @[dec_tlu_ctl.scala 2064:97] + node _T_714 = bits(io.request_debug_mode_r, 0, 0) @[dec_tlu_ctl.scala 2065:68] + node _T_715 = not(io.request_debug_mode_r) @[dec_tlu_ctl.scala 2066:33] + node _T_716 = and(_T_715, dpc_capture_npc) @[dec_tlu_ctl.scala 2066:49] + node _T_717 = bits(_T_716, 0, 0) @[dec_tlu_ctl.scala 2066:68] node _T_718 = mux(_T_712, _T_713, UInt<1>("h00")) @[Mux.scala 27:72] node _T_719 = mux(_T_714, pc_r, UInt<1>("h00")) @[Mux.scala 27:72] node _T_720 = mux(_T_717, io.npc_r, UInt<1>("h00")) @[Mux.scala 27:72] @@ -73887,9 +73893,9 @@ circuit quasar : node _T_722 = or(_T_721, _T_720) @[Mux.scala 27:72] wire dpc_ns : UInt<31> @[Mux.scala 27:72] dpc_ns <= _T_722 @[Mux.scala 27:72] - node _T_723 = or(wr_dpc_r, io.request_debug_mode_r) @[dec_tlu_ctl.scala 2069:36] - node _T_724 = or(_T_723, dpc_capture_npc) @[dec_tlu_ctl.scala 2069:53] - node _T_725 = bits(_T_724, 0, 0) @[dec_tlu_ctl.scala 2069:72] + node _T_723 = or(wr_dpc_r, io.request_debug_mode_r) @[dec_tlu_ctl.scala 2068:36] + node _T_724 = or(_T_723, dpc_capture_npc) @[dec_tlu_ctl.scala 2068:53] + node _T_725 = bits(_T_724, 0, 0) @[dec_tlu_ctl.scala 2068:72] inst rvclkhdr_18 of rvclkhdr_738 @[lib.scala 368:23] rvclkhdr_18.clock <= clock rvclkhdr_18.reset <= reset @@ -73898,17 +73904,17 @@ circuit quasar : rvclkhdr_18.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_726 : UInt, rvclkhdr_18.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_726 <= dpc_ns @[lib.scala 374:16] - io.dpc <= _T_726 @[dec_tlu_ctl.scala 2069:9] - node _T_727 = bits(io.dec_csr_wrdata_r, 24, 24) @[dec_tlu_ctl.scala 2083:43] - node _T_728 = bits(io.dec_csr_wrdata_r, 21, 20) @[dec_tlu_ctl.scala 2083:68] - node _T_729 = bits(io.dec_csr_wrdata_r, 16, 3) @[dec_tlu_ctl.scala 2083:96] + io.dpc <= _T_726 @[dec_tlu_ctl.scala 2068:9] + node _T_727 = bits(io.dec_csr_wrdata_r, 24, 24) @[dec_tlu_ctl.scala 2082:43] + node _T_728 = bits(io.dec_csr_wrdata_r, 21, 20) @[dec_tlu_ctl.scala 2082:68] + node _T_729 = bits(io.dec_csr_wrdata_r, 16, 3) @[dec_tlu_ctl.scala 2082:96] node _T_730 = cat(_T_727, _T_728) @[Cat.scala 29:58] node dicawics_ns = cat(_T_730, _T_729) @[Cat.scala 29:58] - node _T_731 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2084:50] - node _T_732 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2084:95] - node _T_733 = eq(_T_732, UInt<12>("h07c8")) @[dec_tlu_ctl.scala 2084:102] - node wr_dicawics_r = and(_T_731, _T_733) @[dec_tlu_ctl.scala 2084:73] - node _T_734 = bits(wr_dicawics_r, 0, 0) @[dec_tlu_ctl.scala 2086:50] + node _T_731 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2083:50] + node _T_732 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2083:95] + node _T_733 = eq(_T_732, UInt<12>("h07c8")) @[dec_tlu_ctl.scala 2083:102] + node wr_dicawics_r = and(_T_731, _T_733) @[dec_tlu_ctl.scala 2083:73] + node _T_734 = bits(wr_dicawics_r, 0, 0) @[dec_tlu_ctl.scala 2085:50] inst rvclkhdr_19 of rvclkhdr_739 @[lib.scala 368:23] rvclkhdr_19.clock <= clock rvclkhdr_19.reset <= reset @@ -73917,14 +73923,14 @@ circuit quasar : rvclkhdr_19.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg dicawics : UInt, rvclkhdr_19.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] dicawics <= dicawics_ns @[lib.scala 374:16] - node _T_735 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2102:48] - node _T_736 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2102:93] - node _T_737 = eq(_T_736, UInt<12>("h07c9")) @[dec_tlu_ctl.scala 2102:100] - node wr_dicad0_r = and(_T_735, _T_737) @[dec_tlu_ctl.scala 2102:71] - node _T_738 = bits(wr_dicad0_r, 0, 0) @[dec_tlu_ctl.scala 2103:34] - node dicad0_ns = mux(_T_738, io.dec_csr_wrdata_r, io.ifu_ic_debug_rd_data) @[dec_tlu_ctl.scala 2103:21] - node _T_739 = or(wr_dicad0_r, io.ifu_ic_debug_rd_data_valid) @[dec_tlu_ctl.scala 2105:46] - node _T_740 = bits(_T_739, 0, 0) @[dec_tlu_ctl.scala 2105:79] + node _T_735 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2101:48] + node _T_736 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2101:93] + node _T_737 = eq(_T_736, UInt<12>("h07c9")) @[dec_tlu_ctl.scala 2101:100] + node wr_dicad0_r = and(_T_735, _T_737) @[dec_tlu_ctl.scala 2101:71] + node _T_738 = bits(wr_dicad0_r, 0, 0) @[dec_tlu_ctl.scala 2102:34] + node dicad0_ns = mux(_T_738, io.dec_csr_wrdata_r, io.ifu_ic_debug_rd_data) @[dec_tlu_ctl.scala 2102:21] + node _T_739 = or(wr_dicad0_r, io.ifu_ic_debug_rd_data_valid) @[dec_tlu_ctl.scala 2104:46] + node _T_740 = bits(_T_739, 0, 0) @[dec_tlu_ctl.scala 2104:79] inst rvclkhdr_20 of rvclkhdr_740 @[lib.scala 368:23] rvclkhdr_20.clock <= clock rvclkhdr_20.reset <= reset @@ -73933,15 +73939,15 @@ circuit quasar : rvclkhdr_20.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg dicad0 : UInt, rvclkhdr_20.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] dicad0 <= dicad0_ns @[lib.scala 374:16] - node _T_741 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2115:49] - node _T_742 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2115:94] - node _T_743 = eq(_T_742, UInt<12>("h07cc")) @[dec_tlu_ctl.scala 2115:101] - node wr_dicad0h_r = and(_T_741, _T_743) @[dec_tlu_ctl.scala 2115:72] - node _T_744 = bits(wr_dicad0h_r, 0, 0) @[dec_tlu_ctl.scala 2117:36] - node _T_745 = bits(io.ifu_ic_debug_rd_data, 63, 32) @[dec_tlu_ctl.scala 2117:88] - node dicad0h_ns = mux(_T_744, io.dec_csr_wrdata_r, _T_745) @[dec_tlu_ctl.scala 2117:22] - node _T_746 = or(wr_dicad0h_r, io.ifu_ic_debug_rd_data_valid) @[dec_tlu_ctl.scala 2119:48] - node _T_747 = bits(_T_746, 0, 0) @[dec_tlu_ctl.scala 2119:81] + node _T_741 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2114:49] + node _T_742 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2114:94] + node _T_743 = eq(_T_742, UInt<12>("h07cc")) @[dec_tlu_ctl.scala 2114:101] + node wr_dicad0h_r = and(_T_741, _T_743) @[dec_tlu_ctl.scala 2114:72] + node _T_744 = bits(wr_dicad0h_r, 0, 0) @[dec_tlu_ctl.scala 2116:36] + node _T_745 = bits(io.ifu_ic_debug_rd_data, 63, 32) @[dec_tlu_ctl.scala 2116:88] + node dicad0h_ns = mux(_T_744, io.dec_csr_wrdata_r, _T_745) @[dec_tlu_ctl.scala 2116:22] + node _T_746 = or(wr_dicad0h_r, io.ifu_ic_debug_rd_data_valid) @[dec_tlu_ctl.scala 2118:48] + node _T_747 = bits(_T_746, 0, 0) @[dec_tlu_ctl.scala 2118:81] inst rvclkhdr_21 of rvclkhdr_741 @[lib.scala 368:23] rvclkhdr_21.clock <= clock rvclkhdr_21.reset <= reset @@ -73952,13 +73958,13 @@ circuit quasar : dicad0h <= dicad0h_ns @[lib.scala 374:16] wire _T_748 : UInt<7> _T_748 <= UInt<1>("h00") - node _T_749 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2127:48] - node _T_750 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2127:93] - node _T_751 = eq(_T_750, UInt<12>("h07ca")) @[dec_tlu_ctl.scala 2127:100] - node _T_752 = and(_T_749, _T_751) @[dec_tlu_ctl.scala 2127:71] - node _T_753 = bits(_T_752, 0, 0) @[dec_tlu_ctl.scala 2129:34] - node _T_754 = bits(io.ifu_ic_debug_rd_data, 70, 64) @[dec_tlu_ctl.scala 2129:86] - node _T_755 = mux(_T_753, io.dec_csr_wrdata_r, _T_754) @[dec_tlu_ctl.scala 2129:21] + node _T_749 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2126:48] + node _T_750 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2126:93] + node _T_751 = eq(_T_750, UInt<12>("h07ca")) @[dec_tlu_ctl.scala 2126:100] + node _T_752 = and(_T_749, _T_751) @[dec_tlu_ctl.scala 2126:71] + node _T_753 = bits(_T_752, 0, 0) @[dec_tlu_ctl.scala 2128:34] + node _T_754 = bits(io.ifu_ic_debug_rd_data, 70, 64) @[dec_tlu_ctl.scala 2128:86] + node _T_755 = mux(_T_753, io.dec_csr_wrdata_r, _T_754) @[dec_tlu_ctl.scala 2128:21] node _T_756 = or(_T_752, io.ifu_ic_debug_rd_data_valid) @[dec_tlu_ctl.scala 2131:78] node _T_757 = bits(_T_756, 0, 0) @[dec_tlu_ctl.scala 2131:111] reg _T_758 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] @@ -73968,12 +73974,12 @@ circuit quasar : _T_748 <= _T_758 @[dec_tlu_ctl.scala 2131:13] node _T_759 = cat(UInt<25>("h00"), _T_748) @[Cat.scala 29:58] dicad1 <= _T_759 @[dec_tlu_ctl.scala 2132:9] - node _T_760 = bits(dicad1, 6, 0) @[dec_tlu_ctl.scala 2154:74] - node _T_761 = bits(dicad0h, 31, 0) @[dec_tlu_ctl.scala 2154:88] - node _T_762 = bits(dicad0, 31, 0) @[dec_tlu_ctl.scala 2154:102] + node _T_760 = bits(dicad1, 6, 0) @[dec_tlu_ctl.scala 2154:69] + node _T_761 = bits(dicad0h, 31, 0) @[dec_tlu_ctl.scala 2154:83] + node _T_762 = bits(dicad0, 31, 0) @[dec_tlu_ctl.scala 2154:97] node _T_763 = cat(_T_760, _T_761) @[Cat.scala 29:58] node _T_764 = cat(_T_763, _T_762) @[Cat.scala 29:58] - io.dec_tlu_ic_diag_pkt.icache_wrdata <= _T_764 @[dec_tlu_ctl.scala 2154:61] + io.dec_tlu_ic_diag_pkt.icache_wrdata <= _T_764 @[dec_tlu_ctl.scala 2154:56] io.dec_tlu_ic_diag_pkt.icache_dicawics <= dicawics @[dec_tlu_ctl.scala 2157:41] node _T_765 = and(io.allow_dbg_halt_csr_write, io.dec_csr_any_unq_d) @[dec_tlu_ctl.scala 2159:52] node _T_766 = and(_T_765, io.dec_i0_decode_d) @[dec_tlu_ctl.scala 2159:75] @@ -77795,9 +77801,9 @@ circuit quasar : module dec_tlu_ctl : input clock : Clock input reset : AsyncReset - output io : {flip tlu_exu : {flip dec_tlu_meihap : UInt<30>, flip dec_tlu_flush_lower_r : UInt<1>, flip dec_tlu_flush_path_r : UInt<31>, exu_i0_br_hist_r : UInt<2>, exu_i0_br_error_r : UInt<1>, exu_i0_br_start_error_r : UInt<1>, exu_i0_br_index_r : UInt<8>, exu_i0_br_valid_r : UInt<1>, exu_i0_br_mp_r : UInt<1>, exu_i0_br_middle_r : UInt<1>, exu_pmu_i0_br_misp : UInt<1>, exu_pmu_i0_br_ataken : UInt<1>, exu_pmu_i0_pc4 : UInt<1>, exu_npc_r : UInt<31>}, tlu_dma : {flip dma_pmu_dccm_read : UInt<1>, flip dma_pmu_dccm_write : UInt<1>, flip dma_pmu_any_read : UInt<1>, flip dma_pmu_any_write : UInt<1>, dec_tlu_dma_qos_prty : UInt<3>, flip dma_dccm_stall_any : UInt<1>, flip dma_iccm_stall_any : UInt<1>}, flip active_clk : Clock, flip free_clk : Clock, flip scan_mode : UInt<1>, flip rst_vec : UInt<31>, flip nmi_int : UInt<1>, flip nmi_vec : UInt<31>, flip i_cpu_halt_req : UInt<1>, flip i_cpu_run_req : UInt<1>, flip lsu_fastint_stall_any : UInt<1>, flip lsu_idle_any : UInt<1>, flip dec_pmu_instr_decoded : UInt<1>, flip dec_pmu_decode_stall : UInt<1>, flip dec_pmu_presync_stall : UInt<1>, flip dec_pmu_postsync_stall : UInt<1>, flip lsu_store_stall_any : UInt<1>, flip lsu_fir_addr : UInt<31>, flip lsu_fir_error : UInt<2>, flip iccm_dma_sb_error : UInt<1>, flip lsu_error_pkt_r : {valid : UInt<1>, bits : {single_ecc_error : UInt<1>, inst_type : UInt<1>, exc_type : UInt<1>, mscause : UInt<4>, addr : UInt<32>}}, flip lsu_single_ecc_error_incr : UInt<1>, flip dec_pause_state : UInt<1>, flip dec_csr_wen_unq_d : UInt<1>, flip dec_csr_any_unq_d : UInt<1>, flip dec_csr_rdaddr_d : UInt<12>, flip dec_csr_wen_r : UInt<1>, flip dec_csr_wraddr_r : UInt<12>, flip dec_csr_wrdata_r : UInt<32>, flip dec_csr_stall_int_ff : UInt<1>, flip dec_tlu_i0_valid_r : UInt<1>, flip dec_tlu_i0_pc_r : UInt<31>, flip dec_tlu_packet_r : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>}, flip dec_illegal_inst : UInt<32>, flip dec_i0_decode_d : UInt<1>, flip exu_i0_br_way_r : UInt<1>, dec_dbg_cmd_done : UInt<1>, dec_dbg_cmd_fail : UInt<1>, dec_tlu_dbg_halted : UInt<1>, dec_tlu_debug_mode : UInt<1>, dec_tlu_resume_ack : UInt<1>, dec_tlu_debug_stall : UInt<1>, dec_tlu_mpc_halted_only : UInt<1>, dec_tlu_flush_extint : UInt<1>, flip dbg_halt_req : UInt<1>, flip dbg_resume_req : UInt<1>, flip dec_div_active : UInt<1>, trigger_pkt_any : {select : UInt<1>, match_pkt : UInt<1>, store : UInt<1>, load : UInt<1>, execute : UInt<1>, m : UInt<1>, tdata2 : UInt<32>}[4], flip timer_int : UInt<1>, flip soft_int : UInt<1>, o_cpu_halt_status : UInt<1>, o_cpu_halt_ack : UInt<1>, o_cpu_run_ack : UInt<1>, o_debug_mode_status : UInt<1>, flip core_id : UInt<28>, flip mpc_debug_halt_req : UInt<1>, flip mpc_debug_run_req : UInt<1>, flip mpc_reset_run_req : UInt<1>, mpc_debug_halt_ack : UInt<1>, mpc_debug_run_ack : UInt<1>, debug_brkpt_status : UInt<1>, dec_csr_rddata_d : UInt<32>, dec_csr_legal_d : UInt<1>, dec_tlu_i0_kill_writeb_wb : UInt<1>, dec_tlu_i0_kill_writeb_r : UInt<1>, dec_tlu_wr_pause_r : UInt<1>, dec_tlu_flush_pause_r : UInt<1>, dec_tlu_presync_d : UInt<1>, dec_tlu_postsync_d : UInt<1>, dec_tlu_perfcnt0 : UInt<1>, dec_tlu_perfcnt1 : UInt<1>, dec_tlu_perfcnt2 : UInt<1>, dec_tlu_perfcnt3 : UInt<1>, dec_tlu_i0_exc_valid_wb1 : UInt<1>, dec_tlu_i0_valid_wb1 : UInt<1>, dec_tlu_int_valid_wb1 : UInt<1>, dec_tlu_exc_cause_wb1 : UInt<5>, dec_tlu_mtval_wb1 : UInt<32>, dec_tlu_pipelining_disable : UInt<1>, dec_tlu_misc_clk_override : UInt<1>, dec_tlu_dec_clk_override : UInt<1>, dec_tlu_ifu_clk_override : UInt<1>, dec_tlu_lsu_clk_override : UInt<1>, dec_tlu_bus_clk_override : UInt<1>, dec_tlu_pic_clk_override : UInt<1>, dec_tlu_dccm_clk_override : UInt<1>, dec_tlu_icm_clk_override : UInt<1>, flip ifu_pmu_instr_aligned : UInt<1>, flip tlu_bp : {flip dec_tlu_br0_r_pkt : {valid : UInt<1>, bits : {hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, way : UInt<1>, middle : UInt<1>}}, flip dec_tlu_flush_lower_wb : UInt<1>, flip dec_tlu_flush_leak_one_wb : UInt<1>, flip dec_tlu_bpred_disable : UInt<1>}, flip tlu_ifc : {flip dec_tlu_flush_noredir_wb : UInt<1>, flip dec_tlu_mrac_ff : UInt<32>, ifu_pmu_fetch_stall : UInt<1>}, flip tlu_mem : {flip dec_tlu_flush_lower_wb : UInt<1>, flip dec_tlu_flush_err_wb : UInt<1>, flip dec_tlu_i0_commit_cmt : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip dec_tlu_fence_i_wb : UInt<1>, flip dec_tlu_ic_diag_pkt : {icache_wrdata : UInt<71>, icache_dicawics : UInt<17>, icache_rd_valid : UInt<1>, icache_wr_valid : UInt<1>}, flip dec_tlu_core_ecc_disable : UInt<1>, ifu_pmu_ic_miss : UInt<1>, ifu_pmu_ic_hit : UInt<1>, ifu_pmu_bus_error : UInt<1>, ifu_pmu_bus_busy : UInt<1>, ifu_pmu_bus_trxn : UInt<1>, ifu_ic_error_start : UInt<1>, ifu_iccm_rd_ecc_single_err : UInt<1>, ifu_ic_debug_rd_data : UInt<71>, ifu_ic_debug_rd_data_valid : UInt<1>, ifu_miss_state_idle : UInt<1>}, flip tlu_busbuff : {lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>}, flip lsu_tlu : {lsu_pmu_load_external_m : UInt<1>, lsu_pmu_store_external_m : UInt<1>}, dec_pic : {flip pic_claimid : UInt<8>, flip pic_pl : UInt<4>, flip mhwakeup : UInt<1>, dec_tlu_meicurpl : UInt<4>, dec_tlu_meipt : UInt<4>, flip mexintpend : UInt<1>}} + output io : {flip tlu_exu : {flip dec_tlu_meihap : UInt<30>, flip dec_tlu_flush_lower_r : UInt<1>, flip dec_tlu_flush_path_r : UInt<31>, exu_i0_br_hist_r : UInt<2>, exu_i0_br_error_r : UInt<1>, exu_i0_br_start_error_r : UInt<1>, exu_i0_br_index_r : UInt<8>, exu_i0_br_valid_r : UInt<1>, exu_i0_br_mp_r : UInt<1>, exu_i0_br_middle_r : UInt<1>, exu_pmu_i0_br_misp : UInt<1>, exu_pmu_i0_br_ataken : UInt<1>, exu_pmu_i0_pc4 : UInt<1>, exu_npc_r : UInt<31>}, tlu_dma : {flip dma_pmu_dccm_read : UInt<1>, flip dma_pmu_dccm_write : UInt<1>, flip dma_pmu_any_read : UInt<1>, flip dma_pmu_any_write : UInt<1>, dec_tlu_dma_qos_prty : UInt<3>, flip dma_dccm_stall_any : UInt<1>, flip dma_iccm_stall_any : UInt<1>}, flip active_clk : Clock, flip free_clk : Clock, flip scan_mode : UInt<1>, flip rst_vec : UInt<31>, flip nmi_int : UInt<1>, flip nmi_vec : UInt<31>, flip i_cpu_halt_req : UInt<1>, flip i_cpu_run_req : UInt<1>, flip lsu_fastint_stall_any : UInt<1>, flip lsu_idle_any : UInt<1>, flip dec_pmu_instr_decoded : UInt<1>, flip dec_pmu_decode_stall : UInt<1>, flip dec_pmu_presync_stall : UInt<1>, flip dec_pmu_postsync_stall : UInt<1>, flip lsu_store_stall_any : UInt<1>, flip lsu_fir_addr : UInt<31>, flip lsu_fir_error : UInt<2>, flip iccm_dma_sb_error : UInt<1>, flip lsu_error_pkt_r : {valid : UInt<1>, bits : {single_ecc_error : UInt<1>, inst_type : UInt<1>, exc_type : UInt<1>, mscause : UInt<4>, addr : UInt<32>}}, flip lsu_single_ecc_error_incr : UInt<1>, flip dec_pause_state : UInt<1>, flip dec_csr_wen_unq_d : UInt<1>, flip dec_csr_any_unq_d : UInt<1>, flip dec_csr_rdaddr_d : UInt<12>, flip dec_csr_wen_r : UInt<1>, flip dec_csr_wraddr_r : UInt<12>, flip dec_csr_wrdata_r : UInt<32>, flip dec_csr_stall_int_ff : UInt<1>, flip dec_tlu_i0_valid_r : UInt<1>, flip dec_tlu_i0_pc_r : UInt<31>, flip dec_tlu_packet_r : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>}, flip dec_illegal_inst : UInt<32>, flip dec_i0_decode_d : UInt<1>, flip exu_i0_br_way_r : UInt<1>, dec_dbg_cmd_done : UInt<1>, dec_dbg_cmd_fail : UInt<1>, dec_tlu_dbg_halted : UInt<1>, dec_tlu_debug_mode : UInt<1>, dec_tlu_resume_ack : UInt<1>, dec_tlu_debug_stall : UInt<1>, dec_tlu_mpc_halted_only : UInt<1>, dec_tlu_flush_extint : UInt<1>, flip dbg_halt_req : UInt<1>, flip dbg_resume_req : UInt<1>, flip dec_div_active : UInt<1>, trigger_pkt_any : {select : UInt<1>, match_pkt : UInt<1>, store : UInt<1>, load : UInt<1>, execute : UInt<1>, m : UInt<1>, tdata2 : UInt<32>}[4], flip timer_int : UInt<1>, flip soft_int : UInt<1>, o_cpu_halt_status : UInt<1>, o_cpu_halt_ack : UInt<1>, o_cpu_run_ack : UInt<1>, o_debug_mode_status : UInt<1>, flip core_id : UInt<28>, flip mpc_debug_halt_req : UInt<1>, flip mpc_debug_run_req : UInt<1>, flip mpc_reset_run_req : UInt<1>, mpc_debug_halt_ack : UInt<1>, mpc_debug_run_ack : UInt<1>, debug_brkpt_status : UInt<1>, dec_csr_rddata_d : UInt<32>, dec_csr_legal_d : UInt<1>, dec_tlu_i0_kill_writeb_wb : UInt<1>, dec_tlu_i0_kill_writeb_r : UInt<1>, dec_tlu_wr_pause_r : UInt<1>, dec_tlu_flush_pause_r : UInt<1>, dec_tlu_presync_d : UInt<1>, dec_tlu_postsync_d : UInt<1>, dec_tlu_perfcnt0 : UInt<1>, dec_tlu_perfcnt1 : UInt<1>, dec_tlu_perfcnt2 : UInt<1>, dec_tlu_perfcnt3 : UInt<1>, dec_tlu_i0_exc_valid_wb1 : UInt<1>, dec_tlu_i0_valid_wb1 : UInt<1>, dec_tlu_int_valid_wb1 : UInt<1>, dec_tlu_exc_cause_wb1 : UInt<5>, dec_tlu_mtval_wb1 : UInt<32>, dec_tlu_pipelining_disable : UInt<1>, dec_tlu_misc_clk_override : UInt<1>, dec_tlu_dec_clk_override : UInt<1>, dec_tlu_ifu_clk_override : UInt<1>, dec_tlu_lsu_clk_override : UInt<1>, dec_tlu_bus_clk_override : UInt<1>, dec_tlu_pic_clk_override : UInt<1>, dec_tlu_dccm_clk_override : UInt<1>, dec_tlu_icm_clk_override : UInt<1>, dec_tlu_flush_lower_wb : UInt<1>, flip ifu_pmu_instr_aligned : UInt<1>, flip tlu_bp : {flip dec_tlu_br0_r_pkt : {valid : UInt<1>, bits : {hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, way : UInt<1>, middle : UInt<1>}}, flip dec_tlu_flush_leak_one_wb : UInt<1>, flip dec_tlu_bpred_disable : UInt<1>}, flip tlu_ifc : {flip dec_tlu_flush_noredir_wb : UInt<1>, flip dec_tlu_mrac_ff : UInt<32>, ifu_pmu_fetch_stall : UInt<1>}, flip tlu_mem : {flip dec_tlu_flush_err_wb : UInt<1>, flip dec_tlu_i0_commit_cmt : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip dec_tlu_fence_i_wb : UInt<1>, flip dec_tlu_ic_diag_pkt : {icache_wrdata : UInt<71>, icache_dicawics : UInt<17>, icache_rd_valid : UInt<1>, icache_wr_valid : UInt<1>}, flip dec_tlu_core_ecc_disable : UInt<1>, ifu_pmu_ic_miss : UInt<1>, ifu_pmu_ic_hit : UInt<1>, ifu_pmu_bus_error : UInt<1>, ifu_pmu_bus_busy : UInt<1>, ifu_pmu_bus_trxn : UInt<1>, ifu_ic_error_start : UInt<1>, ifu_iccm_rd_ecc_single_err : UInt<1>, ifu_ic_debug_rd_data : UInt<71>, ifu_ic_debug_rd_data_valid : UInt<1>, ifu_miss_state_idle : UInt<1>}, flip tlu_busbuff : {lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>}, flip lsu_tlu : {lsu_pmu_load_external_m : UInt<1>, lsu_pmu_store_external_m : UInt<1>}, dec_pic : {flip pic_claimid : UInt<8>, flip pic_pl : UInt<4>, flip mhwakeup : UInt<1>, dec_tlu_meicurpl : UInt<4>, dec_tlu_meipt : UInt<4>, flip mexintpend : UInt<1>}} - wire mtdata1_t : UInt<10>[4] @[dec_tlu_ctl.scala 155:67] + wire mtdata1_t : UInt<10>[4] @[dec_tlu_ctl.scala 156:67] wire pause_expired_wb : UInt<1> pause_expired_wb <= UInt<1>("h00") wire take_nmi_r_d1 : UInt<1> @@ -78024,30 +78030,30 @@ circuit quasar : mtvec <= UInt<1>("h00") wire mip : UInt<6> mip <= UInt<1>("h00") - wire csr_pkt : {csr_misa : UInt<1>, csr_mvendorid : UInt<1>, csr_marchid : UInt<1>, csr_mimpid : UInt<1>, csr_mhartid : UInt<1>, csr_mstatus : UInt<1>, csr_mtvec : UInt<1>, csr_mip : UInt<1>, csr_mie : UInt<1>, csr_mcyclel : UInt<1>, csr_mcycleh : UInt<1>, csr_minstretl : UInt<1>, csr_minstreth : UInt<1>, csr_mscratch : UInt<1>, csr_mepc : UInt<1>, csr_mcause : UInt<1>, csr_mscause : UInt<1>, csr_mtval : UInt<1>, csr_mrac : UInt<1>, csr_dmst : UInt<1>, csr_mdseac : UInt<1>, csr_meihap : UInt<1>, csr_meivt : UInt<1>, csr_meipt : UInt<1>, csr_meicurpl : UInt<1>, csr_meicidpl : UInt<1>, csr_dcsr : UInt<1>, csr_mcgc : UInt<1>, csr_mfdc : UInt<1>, csr_dpc : UInt<1>, csr_mtsel : UInt<1>, csr_mtdata1 : UInt<1>, csr_mtdata2 : UInt<1>, csr_mhpmc3 : UInt<1>, csr_mhpmc4 : UInt<1>, csr_mhpmc5 : UInt<1>, csr_mhpmc6 : UInt<1>, csr_mhpmc3h : UInt<1>, csr_mhpmc4h : UInt<1>, csr_mhpmc5h : UInt<1>, csr_mhpmc6h : UInt<1>, csr_mhpme3 : UInt<1>, csr_mhpme4 : UInt<1>, csr_mhpme5 : UInt<1>, csr_mhpme6 : UInt<1>, csr_mcountinhibit : UInt<1>, csr_mitctl0 : UInt<1>, csr_mitctl1 : UInt<1>, csr_mitb0 : UInt<1>, csr_mitb1 : UInt<1>, csr_mitcnt0 : UInt<1>, csr_mitcnt1 : UInt<1>, csr_mpmc : UInt<1>, csr_mcpc : UInt<1>, csr_meicpct : UInt<1>, csr_mdeau : UInt<1>, csr_micect : UInt<1>, csr_miccmect : UInt<1>, csr_mdccmect : UInt<1>, csr_mfdht : UInt<1>, csr_mfdhs : UInt<1>, csr_dicawics : UInt<1>, csr_dicad0h : UInt<1>, csr_dicad0 : UInt<1>, csr_dicad1 : UInt<1>, csr_dicago : UInt<1>, presync : UInt<1>, postsync : UInt<1>, legal : UInt<1>} @[dec_tlu_ctl.scala 270:41] + wire csr_pkt : {csr_misa : UInt<1>, csr_mvendorid : UInt<1>, csr_marchid : UInt<1>, csr_mimpid : UInt<1>, csr_mhartid : UInt<1>, csr_mstatus : UInt<1>, csr_mtvec : UInt<1>, csr_mip : UInt<1>, csr_mie : UInt<1>, csr_mcyclel : UInt<1>, csr_mcycleh : UInt<1>, csr_minstretl : UInt<1>, csr_minstreth : UInt<1>, csr_mscratch : UInt<1>, csr_mepc : UInt<1>, csr_mcause : UInt<1>, csr_mscause : UInt<1>, csr_mtval : UInt<1>, csr_mrac : UInt<1>, csr_dmst : UInt<1>, csr_mdseac : UInt<1>, csr_meihap : UInt<1>, csr_meivt : UInt<1>, csr_meipt : UInt<1>, csr_meicurpl : UInt<1>, csr_meicidpl : UInt<1>, csr_dcsr : UInt<1>, csr_mcgc : UInt<1>, csr_mfdc : UInt<1>, csr_dpc : UInt<1>, csr_mtsel : UInt<1>, csr_mtdata1 : UInt<1>, csr_mtdata2 : UInt<1>, csr_mhpmc3 : UInt<1>, csr_mhpmc4 : UInt<1>, csr_mhpmc5 : UInt<1>, csr_mhpmc6 : UInt<1>, csr_mhpmc3h : UInt<1>, csr_mhpmc4h : UInt<1>, csr_mhpmc5h : UInt<1>, csr_mhpmc6h : UInt<1>, csr_mhpme3 : UInt<1>, csr_mhpme4 : UInt<1>, csr_mhpme5 : UInt<1>, csr_mhpme6 : UInt<1>, csr_mcountinhibit : UInt<1>, csr_mitctl0 : UInt<1>, csr_mitctl1 : UInt<1>, csr_mitb0 : UInt<1>, csr_mitb1 : UInt<1>, csr_mitcnt0 : UInt<1>, csr_mitcnt1 : UInt<1>, csr_mpmc : UInt<1>, csr_mcpc : UInt<1>, csr_meicpct : UInt<1>, csr_mdeau : UInt<1>, csr_micect : UInt<1>, csr_miccmect : UInt<1>, csr_mdccmect : UInt<1>, csr_mfdht : UInt<1>, csr_mfdhs : UInt<1>, csr_dicawics : UInt<1>, csr_dicad0h : UInt<1>, csr_dicad0 : UInt<1>, csr_dicad1 : UInt<1>, csr_dicago : UInt<1>, presync : UInt<1>, postsync : UInt<1>, legal : UInt<1>} @[dec_tlu_ctl.scala 271:41] wire dec_tlu_mpc_halted_only_ns : UInt<1> dec_tlu_mpc_halted_only_ns <= UInt<1>("h00") - node _T = not(dbg_halt_state_f) @[dec_tlu_ctl.scala 273:39] - node _T_1 = and(_T, mpc_halt_state_f) @[dec_tlu_ctl.scala 273:57] - dec_tlu_mpc_halted_only_ns <= _T_1 @[dec_tlu_ctl.scala 273:36] - inst int_timers of dec_timer_ctl @[dec_tlu_ctl.scala 274:30] + node _T = not(dbg_halt_state_f) @[dec_tlu_ctl.scala 274:39] + node _T_1 = and(_T, mpc_halt_state_f) @[dec_tlu_ctl.scala 274:57] + dec_tlu_mpc_halted_only_ns <= _T_1 @[dec_tlu_ctl.scala 274:36] + inst int_timers of dec_timer_ctl @[dec_tlu_ctl.scala 275:30] int_timers.clock <= clock int_timers.reset <= reset - int_timers.io.free_clk <= io.free_clk @[dec_tlu_ctl.scala 275:57] - int_timers.io.scan_mode <= io.scan_mode @[dec_tlu_ctl.scala 276:57] - int_timers.io.dec_csr_wen_r_mod <= dec_csr_wen_r_mod @[dec_tlu_ctl.scala 277:49] - int_timers.io.dec_csr_rdaddr_d <= io.dec_csr_rdaddr_d @[dec_tlu_ctl.scala 278:49] - int_timers.io.dec_csr_wraddr_r <= io.dec_csr_wraddr_r @[dec_tlu_ctl.scala 279:49] - int_timers.io.dec_csr_wrdata_r <= io.dec_csr_wrdata_r @[dec_tlu_ctl.scala 280:49] - int_timers.io.csr_mitctl0 <= csr_pkt.csr_mitctl0 @[dec_tlu_ctl.scala 281:57] - int_timers.io.csr_mitctl1 <= csr_pkt.csr_mitctl1 @[dec_tlu_ctl.scala 282:57] - int_timers.io.csr_mitb0 <= csr_pkt.csr_mitb0 @[dec_tlu_ctl.scala 283:57] - int_timers.io.csr_mitb1 <= csr_pkt.csr_mitb1 @[dec_tlu_ctl.scala 284:57] - int_timers.io.csr_mitcnt0 <= csr_pkt.csr_mitcnt0 @[dec_tlu_ctl.scala 285:57] - int_timers.io.csr_mitcnt1 <= csr_pkt.csr_mitcnt1 @[dec_tlu_ctl.scala 286:57] - int_timers.io.dec_pause_state <= io.dec_pause_state @[dec_tlu_ctl.scala 287:49] - int_timers.io.dec_tlu_pmu_fw_halted <= dec_tlu_pmu_fw_halted @[dec_tlu_ctl.scala 288:49] - int_timers.io.internal_dbg_halt_timers <= internal_dbg_halt_timers @[dec_tlu_ctl.scala 289:47] + int_timers.io.free_clk <= io.free_clk @[dec_tlu_ctl.scala 276:57] + int_timers.io.scan_mode <= io.scan_mode @[dec_tlu_ctl.scala 277:57] + int_timers.io.dec_csr_wen_r_mod <= dec_csr_wen_r_mod @[dec_tlu_ctl.scala 278:49] + int_timers.io.dec_csr_rdaddr_d <= io.dec_csr_rdaddr_d @[dec_tlu_ctl.scala 279:49] + int_timers.io.dec_csr_wraddr_r <= io.dec_csr_wraddr_r @[dec_tlu_ctl.scala 280:49] + int_timers.io.dec_csr_wrdata_r <= io.dec_csr_wrdata_r @[dec_tlu_ctl.scala 281:49] + int_timers.io.csr_mitctl0 <= csr_pkt.csr_mitctl0 @[dec_tlu_ctl.scala 282:57] + int_timers.io.csr_mitctl1 <= csr_pkt.csr_mitctl1 @[dec_tlu_ctl.scala 283:57] + int_timers.io.csr_mitb0 <= csr_pkt.csr_mitb0 @[dec_tlu_ctl.scala 284:57] + int_timers.io.csr_mitb1 <= csr_pkt.csr_mitb1 @[dec_tlu_ctl.scala 285:57] + int_timers.io.csr_mitcnt0 <= csr_pkt.csr_mitcnt0 @[dec_tlu_ctl.scala 286:57] + int_timers.io.csr_mitcnt1 <= csr_pkt.csr_mitcnt1 @[dec_tlu_ctl.scala 287:57] + int_timers.io.dec_pause_state <= io.dec_pause_state @[dec_tlu_ctl.scala 288:49] + int_timers.io.dec_tlu_pmu_fw_halted <= dec_tlu_pmu_fw_halted @[dec_tlu_ctl.scala 289:49] + int_timers.io.internal_dbg_halt_timers <= internal_dbg_halt_timers @[dec_tlu_ctl.scala 290:47] node _T_2 = cat(io.i_cpu_run_req, io.mpc_debug_halt_req) @[Cat.scala 29:58] node _T_3 = cat(_T_2, io.mpc_debug_run_req) @[Cat.scala 29:58] node _T_4 = cat(io.soft_int, io.i_cpu_halt_req) @[Cat.scala 29:58] @@ -78058,841 +78064,841 @@ circuit quasar : _T_8 <= _T_7 @[lib.scala 37:81] reg syncro_ff : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 37:58] syncro_ff <= _T_8 @[lib.scala 37:58] - node nmi_int_sync = bits(syncro_ff, 6, 6) @[dec_tlu_ctl.scala 301:67] - node timer_int_sync = bits(syncro_ff, 5, 5) @[dec_tlu_ctl.scala 302:59] - node soft_int_sync = bits(syncro_ff, 4, 4) @[dec_tlu_ctl.scala 303:59] - node i_cpu_halt_req_sync = bits(syncro_ff, 3, 3) @[dec_tlu_ctl.scala 304:59] - node i_cpu_run_req_sync = bits(syncro_ff, 2, 2) @[dec_tlu_ctl.scala 305:59] - node mpc_debug_halt_req_sync_raw = bits(syncro_ff, 1, 1) @[dec_tlu_ctl.scala 306:51] - node mpc_debug_run_req_sync = bits(syncro_ff, 0, 0) @[dec_tlu_ctl.scala 307:51] - node _T_9 = or(dec_csr_wen_r_mod, io.dec_tlu_dec_clk_override) @[dec_tlu_ctl.scala 310:58] - node _T_10 = bits(_T_9, 0, 0) @[dec_tlu_ctl.scala 310:74] + node nmi_int_sync = bits(syncro_ff, 6, 6) @[dec_tlu_ctl.scala 302:67] + node timer_int_sync = bits(syncro_ff, 5, 5) @[dec_tlu_ctl.scala 303:59] + node soft_int_sync = bits(syncro_ff, 4, 4) @[dec_tlu_ctl.scala 304:59] + node i_cpu_halt_req_sync = bits(syncro_ff, 3, 3) @[dec_tlu_ctl.scala 305:59] + node i_cpu_run_req_sync = bits(syncro_ff, 2, 2) @[dec_tlu_ctl.scala 306:59] + node mpc_debug_halt_req_sync_raw = bits(syncro_ff, 1, 1) @[dec_tlu_ctl.scala 307:51] + node mpc_debug_run_req_sync = bits(syncro_ff, 0, 0) @[dec_tlu_ctl.scala 308:51] + node _T_9 = or(dec_csr_wen_r_mod, io.dec_tlu_dec_clk_override) @[dec_tlu_ctl.scala 311:58] + node _T_10 = bits(_T_9, 0, 0) @[dec_tlu_ctl.scala 311:74] inst rvclkhdr of rvclkhdr_716 @[lib.scala 343:22] rvclkhdr.clock <= clock rvclkhdr.reset <= reset rvclkhdr.io.clk <= clock @[lib.scala 344:17] rvclkhdr.io.en <= _T_10 @[lib.scala 345:16] rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - node _T_11 = or(io.lsu_error_pkt_r.valid, lsu_exc_valid_r_d1) @[dec_tlu_ctl.scala 311:67] - node _T_12 = or(_T_11, io.dec_tlu_dec_clk_override) @[dec_tlu_ctl.scala 311:88] - node _T_13 = bits(_T_12, 0, 0) @[dec_tlu_ctl.scala 311:104] + node _T_11 = or(io.lsu_error_pkt_r.valid, lsu_exc_valid_r_d1) @[dec_tlu_ctl.scala 312:67] + node _T_12 = or(_T_11, io.dec_tlu_dec_clk_override) @[dec_tlu_ctl.scala 312:88] + node _T_13 = bits(_T_12, 0, 0) @[dec_tlu_ctl.scala 312:104] inst rvclkhdr_1 of rvclkhdr_717 @[lib.scala 343:22] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset rvclkhdr_1.io.clk <= clock @[lib.scala 344:17] rvclkhdr_1.io.en <= _T_13 @[lib.scala 345:16] rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - node e4e5_valid = or(io.dec_tlu_i0_valid_r, e5_valid) @[dec_tlu_ctl.scala 314:30] - node _T_14 = or(debug_mode_status, i_cpu_run_req_d1) @[dec_tlu_ctl.scala 315:50] - node _T_15 = or(_T_14, interrupt_valid_r) @[dec_tlu_ctl.scala 315:69] - node _T_16 = or(_T_15, interrupt_valid_r_d1) @[dec_tlu_ctl.scala 315:89] - node _T_17 = or(_T_16, reset_delayed) @[dec_tlu_ctl.scala 315:112] - node _T_18 = or(_T_17, pause_expired_r) @[dec_tlu_ctl.scala 315:128] - node _T_19 = or(_T_18, pause_expired_wb) @[dec_tlu_ctl.scala 315:146] - node _T_20 = or(_T_19, ic_perr_r) @[dec_tlu_ctl.scala 315:165] - node _T_21 = or(_T_20, ic_perr_r_d1) @[dec_tlu_ctl.scala 315:177] - node _T_22 = or(_T_21, iccm_sbecc_r) @[dec_tlu_ctl.scala 315:192] - node _T_23 = or(_T_22, iccm_sbecc_r_d1) @[dec_tlu_ctl.scala 315:207] - node flush_clkvalid = or(_T_23, io.dec_tlu_dec_clk_override) @[dec_tlu_ctl.scala 315:225] - node _T_24 = or(e4e5_valid, io.dec_tlu_dec_clk_override) @[dec_tlu_ctl.scala 317:49] - node _T_25 = bits(_T_24, 0, 0) @[dec_tlu_ctl.scala 317:65] + node e4e5_valid = or(io.dec_tlu_i0_valid_r, e5_valid) @[dec_tlu_ctl.scala 315:30] + node _T_14 = or(debug_mode_status, i_cpu_run_req_d1) @[dec_tlu_ctl.scala 316:50] + node _T_15 = or(_T_14, interrupt_valid_r) @[dec_tlu_ctl.scala 316:69] + node _T_16 = or(_T_15, interrupt_valid_r_d1) @[dec_tlu_ctl.scala 316:89] + node _T_17 = or(_T_16, reset_delayed) @[dec_tlu_ctl.scala 316:112] + node _T_18 = or(_T_17, pause_expired_r) @[dec_tlu_ctl.scala 316:128] + node _T_19 = or(_T_18, pause_expired_wb) @[dec_tlu_ctl.scala 316:146] + node _T_20 = or(_T_19, ic_perr_r) @[dec_tlu_ctl.scala 316:165] + node _T_21 = or(_T_20, ic_perr_r_d1) @[dec_tlu_ctl.scala 316:177] + node _T_22 = or(_T_21, iccm_sbecc_r) @[dec_tlu_ctl.scala 316:192] + node _T_23 = or(_T_22, iccm_sbecc_r_d1) @[dec_tlu_ctl.scala 316:207] + node flush_clkvalid = or(_T_23, io.dec_tlu_dec_clk_override) @[dec_tlu_ctl.scala 316:225] + node _T_24 = or(e4e5_valid, io.dec_tlu_dec_clk_override) @[dec_tlu_ctl.scala 318:49] + node _T_25 = bits(_T_24, 0, 0) @[dec_tlu_ctl.scala 318:65] inst rvclkhdr_2 of rvclkhdr_718 @[lib.scala 343:22] rvclkhdr_2.clock <= clock rvclkhdr_2.reset <= reset rvclkhdr_2.io.clk <= clock @[lib.scala 344:17] rvclkhdr_2.io.en <= _T_25 @[lib.scala 345:16] rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - node _T_26 = or(e4e5_valid, flush_clkvalid) @[dec_tlu_ctl.scala 318:53] - node _T_27 = bits(_T_26, 0, 0) @[dec_tlu_ctl.scala 318:71] + node _T_26 = or(e4e5_valid, flush_clkvalid) @[dec_tlu_ctl.scala 319:53] + node _T_27 = bits(_T_26, 0, 0) @[dec_tlu_ctl.scala 319:71] inst rvclkhdr_3 of rvclkhdr_719 @[lib.scala 343:22] rvclkhdr_3.clock <= clock rvclkhdr_3.reset <= reset rvclkhdr_3.io.clk <= clock @[lib.scala 344:17] rvclkhdr_3.io.en <= _T_27 @[lib.scala 345:16] rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - reg iccm_repair_state_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 320:80] - iccm_repair_state_d1 <= iccm_repair_state_ns @[dec_tlu_ctl.scala 320:80] - reg _T_28 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 321:89] - _T_28 <= ic_perr_r @[dec_tlu_ctl.scala 321:89] - ic_perr_r_d1 <= _T_28 @[dec_tlu_ctl.scala 321:57] - reg _T_29 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 322:89] - _T_29 <= iccm_sbecc_r @[dec_tlu_ctl.scala 322:89] - iccm_sbecc_r_d1 <= _T_29 @[dec_tlu_ctl.scala 322:57] - reg _T_30 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 323:97] - _T_30 <= io.dec_tlu_i0_valid_r @[dec_tlu_ctl.scala 323:97] - e5_valid <= _T_30 @[dec_tlu_ctl.scala 323:65] - reg _T_31 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 324:81] - _T_31 <= internal_dbg_halt_mode @[dec_tlu_ctl.scala 324:81] - debug_mode_status <= _T_31 @[dec_tlu_ctl.scala 324:49] - reg lsu_pmu_load_external_r : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 325:80] - lsu_pmu_load_external_r <= io.lsu_tlu.lsu_pmu_load_external_m @[dec_tlu_ctl.scala 325:80] - reg lsu_pmu_store_external_r : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 326:72] - lsu_pmu_store_external_r <= io.lsu_tlu.lsu_pmu_store_external_m @[dec_tlu_ctl.scala 326:72] - reg tlu_flush_lower_r_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 327:80] - tlu_flush_lower_r_d1 <= tlu_flush_lower_r @[dec_tlu_ctl.scala 327:80] - reg _T_32 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 328:73] - _T_32 <= tlu_i0_kill_writeb_r @[dec_tlu_ctl.scala 328:73] - io.dec_tlu_i0_kill_writeb_wb <= _T_32 @[dec_tlu_ctl.scala 328:41] - reg internal_dbg_halt_mode_f2 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 329:72] - internal_dbg_halt_mode_f2 <= debug_mode_status @[dec_tlu_ctl.scala 329:72] - reg _T_33 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 330:89] - _T_33 <= force_halt @[dec_tlu_ctl.scala 330:89] - io.tlu_mem.dec_tlu_force_halt <= _T_33 @[dec_tlu_ctl.scala 330:57] - io.dec_tlu_i0_kill_writeb_r <= tlu_i0_kill_writeb_r @[dec_tlu_ctl.scala 334:41] - reg reset_detect : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 335:88] - reset_detect <= UInt<1>("h01") @[dec_tlu_ctl.scala 335:88] - reg reset_detected : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 336:88] - reset_detected <= reset_detect @[dec_tlu_ctl.scala 336:88] - node _T_34 = xor(reset_detect, reset_detected) @[dec_tlu_ctl.scala 337:64] - reset_delayed <= _T_34 @[dec_tlu_ctl.scala 337:49] - reg nmi_int_delayed : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 339:72] - nmi_int_delayed <= nmi_int_sync @[dec_tlu_ctl.scala 339:72] - reg nmi_int_detected_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 340:72] - nmi_int_detected_f <= nmi_int_detected @[dec_tlu_ctl.scala 340:72] - reg nmi_lsu_load_type_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 341:72] - nmi_lsu_load_type_f <= nmi_lsu_load_type @[dec_tlu_ctl.scala 341:72] - reg nmi_lsu_store_type_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 342:72] - nmi_lsu_store_type_f <= nmi_lsu_store_type @[dec_tlu_ctl.scala 342:72] - node _T_35 = not(mdseac_locked_f) @[dec_tlu_ctl.scala 346:32] - node _T_36 = or(io.tlu_busbuff.lsu_imprecise_error_load_any, io.tlu_busbuff.lsu_imprecise_error_store_any) @[dec_tlu_ctl.scala 346:96] - node nmi_lsu_detected = and(_T_35, _T_36) @[dec_tlu_ctl.scala 346:49] - node _T_37 = not(nmi_int_delayed) @[dec_tlu_ctl.scala 348:45] - node _T_38 = and(nmi_int_sync, _T_37) @[dec_tlu_ctl.scala 348:43] - node _T_39 = or(_T_38, nmi_lsu_detected) @[dec_tlu_ctl.scala 348:63] - node _T_40 = not(take_nmi_r_d1) @[dec_tlu_ctl.scala 348:106] - node _T_41 = and(nmi_int_detected_f, _T_40) @[dec_tlu_ctl.scala 348:104] - node _T_42 = or(_T_39, _T_41) @[dec_tlu_ctl.scala 348:82] - node _T_43 = orr(io.lsu_fir_error) @[dec_tlu_ctl.scala 348:165] - node _T_44 = and(take_ext_int_start_d3, _T_43) @[dec_tlu_ctl.scala 348:146] - node _T_45 = or(_T_42, _T_44) @[dec_tlu_ctl.scala 348:122] - nmi_int_detected <= _T_45 @[dec_tlu_ctl.scala 348:26] - node _T_46 = and(nmi_lsu_detected, io.tlu_busbuff.lsu_imprecise_error_load_any) @[dec_tlu_ctl.scala 350:48] - node _T_47 = not(take_nmi_r_d1) @[dec_tlu_ctl.scala 350:119] - node _T_48 = and(nmi_int_detected_f, _T_47) @[dec_tlu_ctl.scala 350:117] - node _T_49 = not(_T_48) @[dec_tlu_ctl.scala 350:96] - node _T_50 = and(_T_46, _T_49) @[dec_tlu_ctl.scala 350:94] - node _T_51 = not(take_nmi_r_d1) @[dec_tlu_ctl.scala 350:161] - node _T_52 = and(nmi_lsu_load_type_f, _T_51) @[dec_tlu_ctl.scala 350:159] - node _T_53 = or(_T_50, _T_52) @[dec_tlu_ctl.scala 350:136] - nmi_lsu_load_type <= _T_53 @[dec_tlu_ctl.scala 350:27] - node _T_54 = and(nmi_lsu_detected, io.tlu_busbuff.lsu_imprecise_error_store_any) @[dec_tlu_ctl.scala 351:49] - node _T_55 = not(take_nmi_r_d1) @[dec_tlu_ctl.scala 351:121] - node _T_56 = and(nmi_int_detected_f, _T_55) @[dec_tlu_ctl.scala 351:119] - node _T_57 = not(_T_56) @[dec_tlu_ctl.scala 351:98] - node _T_58 = and(_T_54, _T_57) @[dec_tlu_ctl.scala 351:96] - node _T_59 = not(take_nmi_r_d1) @[dec_tlu_ctl.scala 351:164] - node _T_60 = and(nmi_lsu_store_type_f, _T_59) @[dec_tlu_ctl.scala 351:162] - node _T_61 = or(_T_58, _T_60) @[dec_tlu_ctl.scala 351:138] - nmi_lsu_store_type <= _T_61 @[dec_tlu_ctl.scala 351:28] - node _T_62 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 358:69] - node mpc_debug_halt_req_sync = and(mpc_debug_halt_req_sync_raw, _T_62) @[dec_tlu_ctl.scala 358:67] - reg mpc_debug_halt_req_sync_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 359:72] - mpc_debug_halt_req_sync_f <= mpc_debug_halt_req_sync @[dec_tlu_ctl.scala 359:72] - reg mpc_debug_run_req_sync_f : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 360:72] - mpc_debug_run_req_sync_f <= mpc_debug_run_req_sync @[dec_tlu_ctl.scala 360:72] - reg _T_63 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 361:89] - _T_63 <= mpc_halt_state_ns @[dec_tlu_ctl.scala 361:89] - mpc_halt_state_f <= _T_63 @[dec_tlu_ctl.scala 361:57] - reg mpc_run_state_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 362:88] - mpc_run_state_f <= mpc_run_state_ns @[dec_tlu_ctl.scala 362:88] - reg debug_brkpt_status_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 363:80] - debug_brkpt_status_f <= debug_brkpt_status_ns @[dec_tlu_ctl.scala 363:80] - reg mpc_debug_halt_ack_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 364:80] - mpc_debug_halt_ack_f <= mpc_debug_halt_ack_ns @[dec_tlu_ctl.scala 364:80] - reg mpc_debug_run_ack_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 365:80] - mpc_debug_run_ack_f <= mpc_debug_run_ack_ns @[dec_tlu_ctl.scala 365:80] - reg _T_64 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 366:89] - _T_64 <= dbg_halt_state_ns @[dec_tlu_ctl.scala 366:89] - dbg_halt_state_f <= _T_64 @[dec_tlu_ctl.scala 366:57] - reg dbg_run_state_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 367:88] - dbg_run_state_f <= dbg_run_state_ns @[dec_tlu_ctl.scala 367:88] - reg _T_65 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 368:81] - _T_65 <= dec_tlu_mpc_halted_only_ns @[dec_tlu_ctl.scala 368:81] - io.dec_tlu_mpc_halted_only <= _T_65 @[dec_tlu_ctl.scala 368:49] - node _T_66 = not(mpc_debug_halt_req_sync_f) @[dec_tlu_ctl.scala 372:71] - node mpc_debug_halt_req_sync_pulse = and(mpc_debug_halt_req_sync, _T_66) @[dec_tlu_ctl.scala 372:69] - node _T_67 = not(mpc_debug_run_req_sync_f) @[dec_tlu_ctl.scala 373:70] - node mpc_debug_run_req_sync_pulse = and(mpc_debug_run_req_sync, _T_67) @[dec_tlu_ctl.scala 373:68] - node _T_68 = or(mpc_halt_state_f, mpc_debug_halt_req_sync_pulse) @[dec_tlu_ctl.scala 375:48] - node _T_69 = not(io.mpc_reset_run_req) @[dec_tlu_ctl.scala 375:99] - node _T_70 = and(reset_delayed, _T_69) @[dec_tlu_ctl.scala 375:97] - node _T_71 = or(_T_68, _T_70) @[dec_tlu_ctl.scala 375:80] - node _T_72 = not(mpc_debug_run_req_sync) @[dec_tlu_ctl.scala 375:125] - node _T_73 = and(_T_71, _T_72) @[dec_tlu_ctl.scala 375:123] - mpc_halt_state_ns <= _T_73 @[dec_tlu_ctl.scala 375:27] - node _T_74 = not(mpc_debug_run_ack_f) @[dec_tlu_ctl.scala 376:80] - node _T_75 = and(mpc_debug_run_req_sync_pulse, _T_74) @[dec_tlu_ctl.scala 376:78] - node _T_76 = or(mpc_run_state_f, _T_75) @[dec_tlu_ctl.scala 376:46] - node _T_77 = not(dcsr_single_step_running_f) @[dec_tlu_ctl.scala 376:133] - node _T_78 = and(debug_mode_status, _T_77) @[dec_tlu_ctl.scala 376:131] - node _T_79 = and(_T_76, _T_78) @[dec_tlu_ctl.scala 376:103] - mpc_run_state_ns <= _T_79 @[dec_tlu_ctl.scala 376:26] - node _T_80 = or(dbg_halt_req_final, dcsr_single_step_done_f) @[dec_tlu_ctl.scala 378:70] - node _T_81 = or(_T_80, trigger_hit_dmode_r_d1) @[dec_tlu_ctl.scala 378:96] - node _T_82 = or(_T_81, ebreak_to_debug_mode_r_d1) @[dec_tlu_ctl.scala 378:121] - node _T_83 = or(dbg_halt_state_f, _T_82) @[dec_tlu_ctl.scala 378:48] - node _T_84 = not(io.dbg_resume_req) @[dec_tlu_ctl.scala 378:153] - node _T_85 = and(_T_83, _T_84) @[dec_tlu_ctl.scala 378:151] - dbg_halt_state_ns <= _T_85 @[dec_tlu_ctl.scala 378:27] - node _T_86 = or(dbg_run_state_f, io.dbg_resume_req) @[dec_tlu_ctl.scala 379:46] - node _T_87 = not(dcsr_single_step_running_f) @[dec_tlu_ctl.scala 379:97] - node _T_88 = and(debug_mode_status, _T_87) @[dec_tlu_ctl.scala 379:95] - node _T_89 = and(_T_86, _T_88) @[dec_tlu_ctl.scala 379:67] - dbg_run_state_ns <= _T_89 @[dec_tlu_ctl.scala 379:26] - node _T_90 = not(dbg_halt_state_f) @[dec_tlu_ctl.scala 382:39] - node _T_91 = and(_T_90, mpc_halt_state_f) @[dec_tlu_ctl.scala 382:57] - dec_tlu_mpc_halted_only_ns <= _T_91 @[dec_tlu_ctl.scala 382:36] - node debug_brkpt_valid = or(ebreak_to_debug_mode_r_d1, trigger_hit_dmode_r_d1) @[dec_tlu_ctl.scala 385:59] - node _T_92 = or(debug_brkpt_valid, debug_brkpt_status_f) @[dec_tlu_ctl.scala 386:53] - node _T_93 = not(dcsr_single_step_running_f) @[dec_tlu_ctl.scala 386:105] - node _T_94 = and(internal_dbg_halt_mode, _T_93) @[dec_tlu_ctl.scala 386:103] - node _T_95 = and(_T_92, _T_94) @[dec_tlu_ctl.scala 386:77] - debug_brkpt_status_ns <= _T_95 @[dec_tlu_ctl.scala 386:31] - node _T_96 = and(mpc_halt_state_f, debug_mode_status) @[dec_tlu_ctl.scala 389:51] - node _T_97 = and(_T_96, mpc_debug_halt_req_sync) @[dec_tlu_ctl.scala 389:78] - node _T_98 = and(_T_97, core_empty) @[dec_tlu_ctl.scala 389:104] - mpc_debug_halt_ack_ns <= _T_98 @[dec_tlu_ctl.scala 389:31] - node _T_99 = not(dbg_halt_state_ns) @[dec_tlu_ctl.scala 390:59] - node _T_100 = and(mpc_debug_run_req_sync, _T_99) @[dec_tlu_ctl.scala 390:57] - node _T_101 = not(mpc_debug_halt_req_sync) @[dec_tlu_ctl.scala 390:80] - node _T_102 = and(_T_100, _T_101) @[dec_tlu_ctl.scala 390:78] - node _T_103 = and(mpc_debug_run_ack_f, mpc_debug_run_req_sync) @[dec_tlu_ctl.scala 390:129] - node _T_104 = or(_T_102, _T_103) @[dec_tlu_ctl.scala 390:106] - mpc_debug_run_ack_ns <= _T_104 @[dec_tlu_ctl.scala 390:30] - io.mpc_debug_halt_ack <= mpc_debug_halt_ack_f @[dec_tlu_ctl.scala 393:31] - io.mpc_debug_run_ack <= mpc_debug_run_ack_f @[dec_tlu_ctl.scala 394:31] - io.debug_brkpt_status <= debug_brkpt_status_f @[dec_tlu_ctl.scala 395:31] - node _T_105 = or(io.dbg_halt_req, dbg_halt_req_held) @[dec_tlu_ctl.scala 398:53] - node dbg_halt_req_held_ns = and(_T_105, ext_int_freeze_d1) @[dec_tlu_ctl.scala 398:74] - node _T_106 = or(io.dbg_halt_req, dbg_halt_req_held) @[dec_tlu_ctl.scala 399:48] - node _T_107 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 399:71] - node _T_108 = and(_T_106, _T_107) @[dec_tlu_ctl.scala 399:69] - dbg_halt_req_final <= _T_108 @[dec_tlu_ctl.scala 399:28] - node _T_109 = or(dbg_halt_req_final, mpc_debug_halt_req_sync) @[dec_tlu_ctl.scala 402:50] - node _T_110 = not(io.mpc_reset_run_req) @[dec_tlu_ctl.scala 402:95] - node _T_111 = and(reset_delayed, _T_110) @[dec_tlu_ctl.scala 402:93] - node _T_112 = or(_T_109, _T_111) @[dec_tlu_ctl.scala 402:76] - node _T_113 = not(debug_mode_status) @[dec_tlu_ctl.scala 402:121] - node _T_114 = and(_T_112, _T_113) @[dec_tlu_ctl.scala 402:119] - node _T_115 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 402:149] - node debug_halt_req = and(_T_114, _T_115) @[dec_tlu_ctl.scala 402:147] - node _T_116 = not(debug_resume_req_f) @[dec_tlu_ctl.scala 404:32] - node _T_117 = not(dbg_halt_state_ns) @[dec_tlu_ctl.scala 404:75] - node _T_118 = and(mpc_run_state_ns, _T_117) @[dec_tlu_ctl.scala 404:73] - node _T_119 = not(mpc_halt_state_ns) @[dec_tlu_ctl.scala 404:117] - node _T_120 = and(dbg_run_state_ns, _T_119) @[dec_tlu_ctl.scala 404:115] - node _T_121 = or(_T_118, _T_120) @[dec_tlu_ctl.scala 404:95] - node debug_resume_req = and(_T_116, _T_121) @[dec_tlu_ctl.scala 404:52] - node _T_122 = or(debug_halt_req_f, pmu_fw_halt_req_f) @[dec_tlu_ctl.scala 409:43] - node _T_123 = not(synchronous_flush_r) @[dec_tlu_ctl.scala 409:66] - node _T_124 = and(_T_122, _T_123) @[dec_tlu_ctl.scala 409:64] - node _T_125 = not(mret_r) @[dec_tlu_ctl.scala 409:89] - node _T_126 = and(_T_124, _T_125) @[dec_tlu_ctl.scala 409:87] - node _T_127 = not(halt_taken_f) @[dec_tlu_ctl.scala 409:99] - node _T_128 = and(_T_126, _T_127) @[dec_tlu_ctl.scala 409:97] - node _T_129 = not(dec_tlu_flush_noredir_r_d1) @[dec_tlu_ctl.scala 409:115] - node _T_130 = and(_T_128, _T_129) @[dec_tlu_ctl.scala 409:113] - node _T_131 = not(take_reset) @[dec_tlu_ctl.scala 409:145] - node take_halt = and(_T_130, _T_131) @[dec_tlu_ctl.scala 409:143] - node _T_132 = not(dec_tlu_flush_pause_r_d1) @[dec_tlu_ctl.scala 412:56] - node _T_133 = and(dec_tlu_flush_noredir_r_d1, _T_132) @[dec_tlu_ctl.scala 412:54] - node _T_134 = not(take_ext_int_start_d1) @[dec_tlu_ctl.scala 412:84] - node _T_135 = and(_T_133, _T_134) @[dec_tlu_ctl.scala 412:82] - node _T_136 = not(dbg_tlu_halted_f) @[dec_tlu_ctl.scala 412:126] - node _T_137 = and(halt_taken_f, _T_136) @[dec_tlu_ctl.scala 412:124] - node _T_138 = not(pmu_fw_tlu_halted_f) @[dec_tlu_ctl.scala 412:146] - node _T_139 = and(_T_137, _T_138) @[dec_tlu_ctl.scala 412:144] - node _T_140 = not(interrupt_valid_r_d1) @[dec_tlu_ctl.scala 412:169] - node _T_141 = and(_T_139, _T_140) @[dec_tlu_ctl.scala 412:167] - node halt_taken = or(_T_135, _T_141) @[dec_tlu_ctl.scala 412:108] - node _T_142 = and(io.lsu_idle_any, lsu_idle_any_f) @[dec_tlu_ctl.scala 416:53] - node _T_143 = and(_T_142, io.tlu_mem.ifu_miss_state_idle) @[dec_tlu_ctl.scala 416:70] - node _T_144 = and(_T_143, ifu_miss_state_idle_f) @[dec_tlu_ctl.scala 416:103] - node _T_145 = not(debug_halt_req) @[dec_tlu_ctl.scala 416:129] - node _T_146 = and(_T_144, _T_145) @[dec_tlu_ctl.scala 416:127] - node _T_147 = not(debug_halt_req_d1) @[dec_tlu_ctl.scala 416:147] - node _T_148 = and(_T_146, _T_147) @[dec_tlu_ctl.scala 416:145] - node _T_149 = not(io.dec_div_active) @[dec_tlu_ctl.scala 416:168] - node _T_150 = and(_T_148, _T_149) @[dec_tlu_ctl.scala 416:166] - node _T_151 = or(force_halt, _T_150) @[dec_tlu_ctl.scala 416:34] - core_empty <= _T_151 @[dec_tlu_ctl.scala 416:20] - node _T_152 = not(debug_mode_status) @[dec_tlu_ctl.scala 422:37] - node _T_153 = and(_T_152, debug_halt_req) @[dec_tlu_ctl.scala 422:63] - node _T_154 = or(_T_153, dcsr_single_step_done_f) @[dec_tlu_ctl.scala 422:81] - node _T_155 = or(_T_154, trigger_hit_dmode_r_d1) @[dec_tlu_ctl.scala 422:107] - node enter_debug_halt_req = or(_T_155, ebreak_to_debug_mode_r_d1) @[dec_tlu_ctl.scala 422:132] - node _T_156 = bits(dcsr, 2, 2) @[dec_tlu_ctl.scala 425:111] - node _T_157 = not(_T_156) @[dec_tlu_ctl.scala 425:106] - node _T_158 = and(debug_resume_req_f, _T_157) @[dec_tlu_ctl.scala 425:104] - node _T_159 = not(_T_158) @[dec_tlu_ctl.scala 425:83] - node _T_160 = and(debug_mode_status, _T_159) @[dec_tlu_ctl.scala 425:81] - node _T_161 = or(debug_halt_req_ns, _T_160) @[dec_tlu_ctl.scala 425:53] - internal_dbg_halt_mode <= _T_161 @[dec_tlu_ctl.scala 425:32] - node _T_162 = not(dcsr_single_step_running_f) @[dec_tlu_ctl.scala 427:67] - node allow_dbg_halt_csr_write = and(debug_mode_status, _T_162) @[dec_tlu_ctl.scala 427:65] - node _T_163 = and(debug_halt_req_f, core_empty) @[dec_tlu_ctl.scala 432:48] - node _T_164 = and(_T_163, halt_taken) @[dec_tlu_ctl.scala 432:61] - node _T_165 = not(debug_resume_req_f) @[dec_tlu_ctl.scala 432:97] - node _T_166 = and(dbg_tlu_halted_f, _T_165) @[dec_tlu_ctl.scala 432:95] - node dbg_tlu_halted = or(_T_164, _T_166) @[dec_tlu_ctl.scala 432:75] - node _T_167 = not(dbg_tlu_halted) @[dec_tlu_ctl.scala 433:73] - node _T_168 = and(debug_halt_req_f, _T_167) @[dec_tlu_ctl.scala 433:71] - node _T_169 = or(enter_debug_halt_req, _T_168) @[dec_tlu_ctl.scala 433:51] - debug_halt_req_ns <= _T_169 @[dec_tlu_ctl.scala 433:27] - node _T_170 = and(debug_resume_req_f, dbg_tlu_halted_f) @[dec_tlu_ctl.scala 434:49] - node resume_ack_ns = and(_T_170, dbg_run_state_ns) @[dec_tlu_ctl.scala 434:68] - node _T_171 = not(io.dec_tlu_dbg_halted) @[dec_tlu_ctl.scala 436:61] - node _T_172 = and(io.dec_tlu_i0_valid_r, _T_171) @[dec_tlu_ctl.scala 436:59] - node _T_173 = bits(dcsr, 2, 2) @[dec_tlu_ctl.scala 436:90] - node _T_174 = and(_T_172, _T_173) @[dec_tlu_ctl.scala 436:84] - node _T_175 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 436:104] - node dcsr_single_step_done = and(_T_174, _T_175) @[dec_tlu_ctl.scala 436:102] - node _T_176 = bits(dcsr, 2, 2) @[dec_tlu_ctl.scala 438:66] - node _T_177 = and(debug_resume_req_f, _T_176) @[dec_tlu_ctl.scala 438:60] - node _T_178 = not(dcsr_single_step_done_f) @[dec_tlu_ctl.scala 438:111] - node _T_179 = and(dcsr_single_step_running_f, _T_178) @[dec_tlu_ctl.scala 438:109] - node dcsr_single_step_running = or(_T_177, _T_179) @[dec_tlu_ctl.scala 438:79] - node dbg_cmd_done_ns = and(io.dec_tlu_i0_valid_r, io.dec_tlu_dbg_halted) @[dec_tlu_ctl.scala 440:53] - node _T_180 = or(trigger_hit_dmode_r, ebreak_to_debug_mode_r) @[dec_tlu_ctl.scala 443:57] - node _T_181 = not(io.tlu_bp.dec_tlu_flush_lower_wb) @[dec_tlu_ctl.scala 443:112] - node _T_182 = and(request_debug_mode_r_d1, _T_181) @[dec_tlu_ctl.scala 443:110] - node request_debug_mode_r = or(_T_180, _T_182) @[dec_tlu_ctl.scala 443:83] - node _T_183 = or(request_debug_mode_r_d1, request_debug_mode_done_f) @[dec_tlu_ctl.scala 445:64] - node _T_184 = not(dbg_tlu_halted_f) @[dec_tlu_ctl.scala 445:95] - node request_debug_mode_done = and(_T_183, _T_184) @[dec_tlu_ctl.scala 445:93] - reg _T_185 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 448:81] - _T_185 <= io.tlu_ifc.dec_tlu_flush_noredir_wb @[dec_tlu_ctl.scala 448:81] - dec_tlu_flush_noredir_r_d1 <= _T_185 @[dec_tlu_ctl.scala 448:49] - reg _T_186 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 449:89] - _T_186 <= halt_taken @[dec_tlu_ctl.scala 449:89] - halt_taken_f <= _T_186 @[dec_tlu_ctl.scala 449:57] - reg _T_187 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 450:89] - _T_187 <= io.lsu_idle_any @[dec_tlu_ctl.scala 450:89] - lsu_idle_any_f <= _T_187 @[dec_tlu_ctl.scala 450:57] - reg _T_188 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 451:81] - _T_188 <= io.tlu_mem.ifu_miss_state_idle @[dec_tlu_ctl.scala 451:81] - ifu_miss_state_idle_f <= _T_188 @[dec_tlu_ctl.scala 451:49] - reg _T_189 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 452:89] - _T_189 <= dbg_tlu_halted @[dec_tlu_ctl.scala 452:89] - dbg_tlu_halted_f <= _T_189 @[dec_tlu_ctl.scala 452:57] - reg _T_190 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 453:81] - _T_190 <= resume_ack_ns @[dec_tlu_ctl.scala 453:81] - io.dec_tlu_resume_ack <= _T_190 @[dec_tlu_ctl.scala 453:49] - reg _T_191 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 454:89] - _T_191 <= debug_halt_req_ns @[dec_tlu_ctl.scala 454:89] - debug_halt_req_f <= _T_191 @[dec_tlu_ctl.scala 454:57] - reg _T_192 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 455:89] - _T_192 <= debug_resume_req @[dec_tlu_ctl.scala 455:89] - debug_resume_req_f <= _T_192 @[dec_tlu_ctl.scala 455:57] - reg _T_193 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 456:81] - _T_193 <= trigger_hit_dmode_r @[dec_tlu_ctl.scala 456:81] - trigger_hit_dmode_r_d1 <= _T_193 @[dec_tlu_ctl.scala 456:49] - reg _T_194 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 457:81] - _T_194 <= dcsr_single_step_done @[dec_tlu_ctl.scala 457:81] - dcsr_single_step_done_f <= _T_194 @[dec_tlu_ctl.scala 457:49] - reg _T_195 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 458:89] - _T_195 <= debug_halt_req @[dec_tlu_ctl.scala 458:89] - debug_halt_req_d1 <= _T_195 @[dec_tlu_ctl.scala 458:57] - reg dec_tlu_wr_pause_r_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 459:81] - dec_tlu_wr_pause_r_d1 <= io.dec_tlu_wr_pause_r @[dec_tlu_ctl.scala 459:81] - reg dec_pause_state_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 460:81] - dec_pause_state_f <= io.dec_pause_state @[dec_tlu_ctl.scala 460:81] - reg _T_196 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 461:81] - _T_196 <= request_debug_mode_r @[dec_tlu_ctl.scala 461:81] - request_debug_mode_r_d1 <= _T_196 @[dec_tlu_ctl.scala 461:49] - reg _T_197 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 462:73] - _T_197 <= request_debug_mode_done @[dec_tlu_ctl.scala 462:73] - request_debug_mode_done_f <= _T_197 @[dec_tlu_ctl.scala 462:41] - reg _T_198 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 463:73] - _T_198 <= dcsr_single_step_running @[dec_tlu_ctl.scala 463:73] - dcsr_single_step_running_f <= _T_198 @[dec_tlu_ctl.scala 463:41] - reg _T_199 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 464:73] - _T_199 <= io.dec_tlu_flush_pause_r @[dec_tlu_ctl.scala 464:73] - dec_tlu_flush_pause_r_d1 <= _T_199 @[dec_tlu_ctl.scala 464:41] - reg _T_200 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 465:81] - _T_200 <= dbg_halt_req_held_ns @[dec_tlu_ctl.scala 465:81] - dbg_halt_req_held <= _T_200 @[dec_tlu_ctl.scala 465:49] - io.dec_tlu_debug_stall <= debug_halt_req_f @[dec_tlu_ctl.scala 468:41] - io.dec_tlu_dbg_halted <= dbg_tlu_halted_f @[dec_tlu_ctl.scala 469:41] - io.dec_tlu_debug_mode <= debug_mode_status @[dec_tlu_ctl.scala 470:41] - dec_tlu_pmu_fw_halted <= pmu_fw_tlu_halted_f @[dec_tlu_ctl.scala 471:41] - node _T_201 = and(fence_i_r, internal_dbg_halt_mode) @[dec_tlu_ctl.scala 474:71] - node _T_202 = or(take_halt, _T_201) @[dec_tlu_ctl.scala 474:58] - node _T_203 = or(_T_202, io.dec_tlu_flush_pause_r) @[dec_tlu_ctl.scala 474:97] - node _T_204 = and(i0_trigger_hit_r, trigger_hit_dmode_r) @[dec_tlu_ctl.scala 474:144] - node _T_205 = or(_T_203, _T_204) @[dec_tlu_ctl.scala 474:124] - node _T_206 = or(_T_205, take_ext_int_start) @[dec_tlu_ctl.scala 474:167] - io.tlu_ifc.dec_tlu_flush_noredir_wb <= _T_206 @[dec_tlu_ctl.scala 474:45] - io.dec_tlu_flush_extint <= take_ext_int_start @[dec_tlu_ctl.scala 476:33] - node _T_207 = not(interrupt_valid_r) @[dec_tlu_ctl.scala 479:61] - node _T_208 = and(dec_tlu_wr_pause_r_d1, _T_207) @[dec_tlu_ctl.scala 479:59] - node _T_209 = not(take_ext_int_start) @[dec_tlu_ctl.scala 479:82] - node _T_210 = and(_T_208, _T_209) @[dec_tlu_ctl.scala 479:80] - io.dec_tlu_flush_pause_r <= _T_210 @[dec_tlu_ctl.scala 479:34] - node _T_211 = not(io.dec_pause_state) @[dec_tlu_ctl.scala 481:28] - node _T_212 = and(_T_211, dec_pause_state_f) @[dec_tlu_ctl.scala 481:48] - node _T_213 = or(ext_int_ready, ce_int_ready) @[dec_tlu_ctl.scala 481:86] - node _T_214 = or(_T_213, timer_int_ready) @[dec_tlu_ctl.scala 481:101] - node _T_215 = or(_T_214, soft_int_ready) @[dec_tlu_ctl.scala 481:119] - node _T_216 = or(_T_215, int_timer0_int_hold_f) @[dec_tlu_ctl.scala 481:136] - node _T_217 = or(_T_216, int_timer1_int_hold_f) @[dec_tlu_ctl.scala 481:160] - node _T_218 = or(_T_217, nmi_int_detected) @[dec_tlu_ctl.scala 481:184] - node _T_219 = or(_T_218, ext_int_freeze_d1) @[dec_tlu_ctl.scala 481:203] - node _T_220 = not(_T_219) @[dec_tlu_ctl.scala 481:70] - node _T_221 = and(_T_212, _T_220) @[dec_tlu_ctl.scala 481:68] - node _T_222 = not(interrupt_valid_r_d1) @[dec_tlu_ctl.scala 481:226] - node _T_223 = and(_T_221, _T_222) @[dec_tlu_ctl.scala 481:224] - node _T_224 = not(debug_halt_req_f) @[dec_tlu_ctl.scala 481:250] - node _T_225 = and(_T_223, _T_224) @[dec_tlu_ctl.scala 481:248] - node _T_226 = not(pmu_fw_halt_req_f) @[dec_tlu_ctl.scala 481:270] - node _T_227 = and(_T_225, _T_226) @[dec_tlu_ctl.scala 481:268] - node _T_228 = not(halt_taken_f) @[dec_tlu_ctl.scala 481:291] - node _T_229 = and(_T_227, _T_228) @[dec_tlu_ctl.scala 481:289] - pause_expired_r <= _T_229 @[dec_tlu_ctl.scala 481:25] - node _T_230 = bits(dcsr, 2, 2) @[dec_tlu_ctl.scala 483:88] - node _T_231 = and(io.tlu_exu.dec_tlu_flush_lower_r, _T_230) @[dec_tlu_ctl.scala 483:82] - node _T_232 = or(io.dec_tlu_resume_ack, dcsr_single_step_running) @[dec_tlu_ctl.scala 483:125] - node _T_233 = and(_T_231, _T_232) @[dec_tlu_ctl.scala 483:100] - node _T_234 = not(io.tlu_ifc.dec_tlu_flush_noredir_wb) @[dec_tlu_ctl.scala 483:155] - node _T_235 = and(_T_233, _T_234) @[dec_tlu_ctl.scala 483:153] - io.tlu_bp.dec_tlu_flush_leak_one_wb <= _T_235 @[dec_tlu_ctl.scala 483:45] - node _T_236 = or(ic_perr_r_d1, iccm_sbecc_r_d1) @[dec_tlu_ctl.scala 484:93] - node _T_237 = and(io.tlu_exu.dec_tlu_flush_lower_r, _T_236) @[dec_tlu_ctl.scala 484:77] - io.tlu_mem.dec_tlu_flush_err_wb <= _T_237 @[dec_tlu_ctl.scala 484:41] - io.dec_dbg_cmd_done <= dbg_cmd_done_ns @[dec_tlu_ctl.scala 487:29] - node _T_238 = and(illegal_r, io.dec_dbg_cmd_done) @[dec_tlu_ctl.scala 488:42] - io.dec_dbg_cmd_fail <= _T_238 @[dec_tlu_ctl.scala 488:29] - node _T_239 = bits(mtdata1_t[3], 2, 2) @[dec_tlu_ctl.scala 501:48] - node _T_240 = bits(mtdata1_t[2], 2, 2) @[dec_tlu_ctl.scala 501:75] - node _T_241 = bits(mtdata1_t[1], 2, 2) @[dec_tlu_ctl.scala 501:102] - node _T_242 = bits(mtdata1_t[0], 2, 2) @[dec_tlu_ctl.scala 501:129] + reg iccm_repair_state_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 321:80] + iccm_repair_state_d1 <= iccm_repair_state_ns @[dec_tlu_ctl.scala 321:80] + reg _T_28 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 322:89] + _T_28 <= ic_perr_r @[dec_tlu_ctl.scala 322:89] + ic_perr_r_d1 <= _T_28 @[dec_tlu_ctl.scala 322:57] + reg _T_29 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 323:89] + _T_29 <= iccm_sbecc_r @[dec_tlu_ctl.scala 323:89] + iccm_sbecc_r_d1 <= _T_29 @[dec_tlu_ctl.scala 323:57] + reg _T_30 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 324:97] + _T_30 <= io.dec_tlu_i0_valid_r @[dec_tlu_ctl.scala 324:97] + e5_valid <= _T_30 @[dec_tlu_ctl.scala 324:65] + reg _T_31 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 325:81] + _T_31 <= internal_dbg_halt_mode @[dec_tlu_ctl.scala 325:81] + debug_mode_status <= _T_31 @[dec_tlu_ctl.scala 325:49] + reg lsu_pmu_load_external_r : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 326:80] + lsu_pmu_load_external_r <= io.lsu_tlu.lsu_pmu_load_external_m @[dec_tlu_ctl.scala 326:80] + reg lsu_pmu_store_external_r : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 327:72] + lsu_pmu_store_external_r <= io.lsu_tlu.lsu_pmu_store_external_m @[dec_tlu_ctl.scala 327:72] + reg tlu_flush_lower_r_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 328:80] + tlu_flush_lower_r_d1 <= tlu_flush_lower_r @[dec_tlu_ctl.scala 328:80] + reg _T_32 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 329:73] + _T_32 <= tlu_i0_kill_writeb_r @[dec_tlu_ctl.scala 329:73] + io.dec_tlu_i0_kill_writeb_wb <= _T_32 @[dec_tlu_ctl.scala 329:41] + reg internal_dbg_halt_mode_f2 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 330:72] + internal_dbg_halt_mode_f2 <= debug_mode_status @[dec_tlu_ctl.scala 330:72] + reg _T_33 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 331:89] + _T_33 <= force_halt @[dec_tlu_ctl.scala 331:89] + io.tlu_mem.dec_tlu_force_halt <= _T_33 @[dec_tlu_ctl.scala 331:57] + io.dec_tlu_i0_kill_writeb_r <= tlu_i0_kill_writeb_r @[dec_tlu_ctl.scala 333:41] + reg reset_detect : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 334:88] + reset_detect <= UInt<1>("h01") @[dec_tlu_ctl.scala 334:88] + reg reset_detected : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 335:88] + reset_detected <= reset_detect @[dec_tlu_ctl.scala 335:88] + node _T_34 = xor(reset_detect, reset_detected) @[dec_tlu_ctl.scala 336:64] + reset_delayed <= _T_34 @[dec_tlu_ctl.scala 336:49] + reg nmi_int_delayed : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 338:72] + nmi_int_delayed <= nmi_int_sync @[dec_tlu_ctl.scala 338:72] + reg nmi_int_detected_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 339:72] + nmi_int_detected_f <= nmi_int_detected @[dec_tlu_ctl.scala 339:72] + reg nmi_lsu_load_type_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 340:72] + nmi_lsu_load_type_f <= nmi_lsu_load_type @[dec_tlu_ctl.scala 340:72] + reg nmi_lsu_store_type_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 341:72] + nmi_lsu_store_type_f <= nmi_lsu_store_type @[dec_tlu_ctl.scala 341:72] + node _T_35 = not(mdseac_locked_f) @[dec_tlu_ctl.scala 345:32] + node _T_36 = or(io.tlu_busbuff.lsu_imprecise_error_load_any, io.tlu_busbuff.lsu_imprecise_error_store_any) @[dec_tlu_ctl.scala 345:96] + node nmi_lsu_detected = and(_T_35, _T_36) @[dec_tlu_ctl.scala 345:49] + node _T_37 = not(nmi_int_delayed) @[dec_tlu_ctl.scala 347:45] + node _T_38 = and(nmi_int_sync, _T_37) @[dec_tlu_ctl.scala 347:43] + node _T_39 = or(_T_38, nmi_lsu_detected) @[dec_tlu_ctl.scala 347:63] + node _T_40 = not(take_nmi_r_d1) @[dec_tlu_ctl.scala 347:106] + node _T_41 = and(nmi_int_detected_f, _T_40) @[dec_tlu_ctl.scala 347:104] + node _T_42 = or(_T_39, _T_41) @[dec_tlu_ctl.scala 347:82] + node _T_43 = orr(io.lsu_fir_error) @[dec_tlu_ctl.scala 347:165] + node _T_44 = and(take_ext_int_start_d3, _T_43) @[dec_tlu_ctl.scala 347:146] + node _T_45 = or(_T_42, _T_44) @[dec_tlu_ctl.scala 347:122] + nmi_int_detected <= _T_45 @[dec_tlu_ctl.scala 347:26] + node _T_46 = and(nmi_lsu_detected, io.tlu_busbuff.lsu_imprecise_error_load_any) @[dec_tlu_ctl.scala 349:48] + node _T_47 = not(take_nmi_r_d1) @[dec_tlu_ctl.scala 349:119] + node _T_48 = and(nmi_int_detected_f, _T_47) @[dec_tlu_ctl.scala 349:117] + node _T_49 = not(_T_48) @[dec_tlu_ctl.scala 349:96] + node _T_50 = and(_T_46, _T_49) @[dec_tlu_ctl.scala 349:94] + node _T_51 = not(take_nmi_r_d1) @[dec_tlu_ctl.scala 349:161] + node _T_52 = and(nmi_lsu_load_type_f, _T_51) @[dec_tlu_ctl.scala 349:159] + node _T_53 = or(_T_50, _T_52) @[dec_tlu_ctl.scala 349:136] + nmi_lsu_load_type <= _T_53 @[dec_tlu_ctl.scala 349:27] + node _T_54 = and(nmi_lsu_detected, io.tlu_busbuff.lsu_imprecise_error_store_any) @[dec_tlu_ctl.scala 350:49] + node _T_55 = not(take_nmi_r_d1) @[dec_tlu_ctl.scala 350:121] + node _T_56 = and(nmi_int_detected_f, _T_55) @[dec_tlu_ctl.scala 350:119] + node _T_57 = not(_T_56) @[dec_tlu_ctl.scala 350:98] + node _T_58 = and(_T_54, _T_57) @[dec_tlu_ctl.scala 350:96] + node _T_59 = not(take_nmi_r_d1) @[dec_tlu_ctl.scala 350:164] + node _T_60 = and(nmi_lsu_store_type_f, _T_59) @[dec_tlu_ctl.scala 350:162] + node _T_61 = or(_T_58, _T_60) @[dec_tlu_ctl.scala 350:138] + nmi_lsu_store_type <= _T_61 @[dec_tlu_ctl.scala 350:28] + node _T_62 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 357:69] + node mpc_debug_halt_req_sync = and(mpc_debug_halt_req_sync_raw, _T_62) @[dec_tlu_ctl.scala 357:67] + reg mpc_debug_halt_req_sync_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 358:72] + mpc_debug_halt_req_sync_f <= mpc_debug_halt_req_sync @[dec_tlu_ctl.scala 358:72] + reg mpc_debug_run_req_sync_f : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 359:72] + mpc_debug_run_req_sync_f <= mpc_debug_run_req_sync @[dec_tlu_ctl.scala 359:72] + reg _T_63 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 360:89] + _T_63 <= mpc_halt_state_ns @[dec_tlu_ctl.scala 360:89] + mpc_halt_state_f <= _T_63 @[dec_tlu_ctl.scala 360:57] + reg mpc_run_state_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 361:88] + mpc_run_state_f <= mpc_run_state_ns @[dec_tlu_ctl.scala 361:88] + reg debug_brkpt_status_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 362:80] + debug_brkpt_status_f <= debug_brkpt_status_ns @[dec_tlu_ctl.scala 362:80] + reg mpc_debug_halt_ack_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 363:80] + mpc_debug_halt_ack_f <= mpc_debug_halt_ack_ns @[dec_tlu_ctl.scala 363:80] + reg mpc_debug_run_ack_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 364:80] + mpc_debug_run_ack_f <= mpc_debug_run_ack_ns @[dec_tlu_ctl.scala 364:80] + reg _T_64 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 365:89] + _T_64 <= dbg_halt_state_ns @[dec_tlu_ctl.scala 365:89] + dbg_halt_state_f <= _T_64 @[dec_tlu_ctl.scala 365:57] + reg dbg_run_state_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 366:88] + dbg_run_state_f <= dbg_run_state_ns @[dec_tlu_ctl.scala 366:88] + reg _T_65 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 367:81] + _T_65 <= dec_tlu_mpc_halted_only_ns @[dec_tlu_ctl.scala 367:81] + io.dec_tlu_mpc_halted_only <= _T_65 @[dec_tlu_ctl.scala 367:49] + node _T_66 = not(mpc_debug_halt_req_sync_f) @[dec_tlu_ctl.scala 371:71] + node mpc_debug_halt_req_sync_pulse = and(mpc_debug_halt_req_sync, _T_66) @[dec_tlu_ctl.scala 371:69] + node _T_67 = not(mpc_debug_run_req_sync_f) @[dec_tlu_ctl.scala 372:70] + node mpc_debug_run_req_sync_pulse = and(mpc_debug_run_req_sync, _T_67) @[dec_tlu_ctl.scala 372:68] + node _T_68 = or(mpc_halt_state_f, mpc_debug_halt_req_sync_pulse) @[dec_tlu_ctl.scala 374:48] + node _T_69 = not(io.mpc_reset_run_req) @[dec_tlu_ctl.scala 374:99] + node _T_70 = and(reset_delayed, _T_69) @[dec_tlu_ctl.scala 374:97] + node _T_71 = or(_T_68, _T_70) @[dec_tlu_ctl.scala 374:80] + node _T_72 = not(mpc_debug_run_req_sync) @[dec_tlu_ctl.scala 374:125] + node _T_73 = and(_T_71, _T_72) @[dec_tlu_ctl.scala 374:123] + mpc_halt_state_ns <= _T_73 @[dec_tlu_ctl.scala 374:27] + node _T_74 = not(mpc_debug_run_ack_f) @[dec_tlu_ctl.scala 375:80] + node _T_75 = and(mpc_debug_run_req_sync_pulse, _T_74) @[dec_tlu_ctl.scala 375:78] + node _T_76 = or(mpc_run_state_f, _T_75) @[dec_tlu_ctl.scala 375:46] + node _T_77 = not(dcsr_single_step_running_f) @[dec_tlu_ctl.scala 375:133] + node _T_78 = and(debug_mode_status, _T_77) @[dec_tlu_ctl.scala 375:131] + node _T_79 = and(_T_76, _T_78) @[dec_tlu_ctl.scala 375:103] + mpc_run_state_ns <= _T_79 @[dec_tlu_ctl.scala 375:26] + node _T_80 = or(dbg_halt_req_final, dcsr_single_step_done_f) @[dec_tlu_ctl.scala 377:70] + node _T_81 = or(_T_80, trigger_hit_dmode_r_d1) @[dec_tlu_ctl.scala 377:96] + node _T_82 = or(_T_81, ebreak_to_debug_mode_r_d1) @[dec_tlu_ctl.scala 377:121] + node _T_83 = or(dbg_halt_state_f, _T_82) @[dec_tlu_ctl.scala 377:48] + node _T_84 = not(io.dbg_resume_req) @[dec_tlu_ctl.scala 377:153] + node _T_85 = and(_T_83, _T_84) @[dec_tlu_ctl.scala 377:151] + dbg_halt_state_ns <= _T_85 @[dec_tlu_ctl.scala 377:27] + node _T_86 = or(dbg_run_state_f, io.dbg_resume_req) @[dec_tlu_ctl.scala 378:46] + node _T_87 = not(dcsr_single_step_running_f) @[dec_tlu_ctl.scala 378:97] + node _T_88 = and(debug_mode_status, _T_87) @[dec_tlu_ctl.scala 378:95] + node _T_89 = and(_T_86, _T_88) @[dec_tlu_ctl.scala 378:67] + dbg_run_state_ns <= _T_89 @[dec_tlu_ctl.scala 378:26] + node _T_90 = not(dbg_halt_state_f) @[dec_tlu_ctl.scala 381:39] + node _T_91 = and(_T_90, mpc_halt_state_f) @[dec_tlu_ctl.scala 381:57] + dec_tlu_mpc_halted_only_ns <= _T_91 @[dec_tlu_ctl.scala 381:36] + node debug_brkpt_valid = or(ebreak_to_debug_mode_r_d1, trigger_hit_dmode_r_d1) @[dec_tlu_ctl.scala 384:59] + node _T_92 = or(debug_brkpt_valid, debug_brkpt_status_f) @[dec_tlu_ctl.scala 385:53] + node _T_93 = not(dcsr_single_step_running_f) @[dec_tlu_ctl.scala 385:105] + node _T_94 = and(internal_dbg_halt_mode, _T_93) @[dec_tlu_ctl.scala 385:103] + node _T_95 = and(_T_92, _T_94) @[dec_tlu_ctl.scala 385:77] + debug_brkpt_status_ns <= _T_95 @[dec_tlu_ctl.scala 385:31] + node _T_96 = and(mpc_halt_state_f, debug_mode_status) @[dec_tlu_ctl.scala 388:51] + node _T_97 = and(_T_96, mpc_debug_halt_req_sync) @[dec_tlu_ctl.scala 388:78] + node _T_98 = and(_T_97, core_empty) @[dec_tlu_ctl.scala 388:104] + mpc_debug_halt_ack_ns <= _T_98 @[dec_tlu_ctl.scala 388:31] + node _T_99 = not(dbg_halt_state_ns) @[dec_tlu_ctl.scala 389:59] + node _T_100 = and(mpc_debug_run_req_sync, _T_99) @[dec_tlu_ctl.scala 389:57] + node _T_101 = not(mpc_debug_halt_req_sync) @[dec_tlu_ctl.scala 389:80] + node _T_102 = and(_T_100, _T_101) @[dec_tlu_ctl.scala 389:78] + node _T_103 = and(mpc_debug_run_ack_f, mpc_debug_run_req_sync) @[dec_tlu_ctl.scala 389:129] + node _T_104 = or(_T_102, _T_103) @[dec_tlu_ctl.scala 389:106] + mpc_debug_run_ack_ns <= _T_104 @[dec_tlu_ctl.scala 389:30] + io.mpc_debug_halt_ack <= mpc_debug_halt_ack_f @[dec_tlu_ctl.scala 392:31] + io.mpc_debug_run_ack <= mpc_debug_run_ack_f @[dec_tlu_ctl.scala 393:31] + io.debug_brkpt_status <= debug_brkpt_status_f @[dec_tlu_ctl.scala 394:31] + node _T_105 = or(io.dbg_halt_req, dbg_halt_req_held) @[dec_tlu_ctl.scala 397:53] + node dbg_halt_req_held_ns = and(_T_105, ext_int_freeze_d1) @[dec_tlu_ctl.scala 397:74] + node _T_106 = or(io.dbg_halt_req, dbg_halt_req_held) @[dec_tlu_ctl.scala 398:48] + node _T_107 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 398:71] + node _T_108 = and(_T_106, _T_107) @[dec_tlu_ctl.scala 398:69] + dbg_halt_req_final <= _T_108 @[dec_tlu_ctl.scala 398:28] + node _T_109 = or(dbg_halt_req_final, mpc_debug_halt_req_sync) @[dec_tlu_ctl.scala 401:50] + node _T_110 = not(io.mpc_reset_run_req) @[dec_tlu_ctl.scala 401:95] + node _T_111 = and(reset_delayed, _T_110) @[dec_tlu_ctl.scala 401:93] + node _T_112 = or(_T_109, _T_111) @[dec_tlu_ctl.scala 401:76] + node _T_113 = not(debug_mode_status) @[dec_tlu_ctl.scala 401:121] + node _T_114 = and(_T_112, _T_113) @[dec_tlu_ctl.scala 401:119] + node _T_115 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 401:149] + node debug_halt_req = and(_T_114, _T_115) @[dec_tlu_ctl.scala 401:147] + node _T_116 = not(debug_resume_req_f) @[dec_tlu_ctl.scala 403:32] + node _T_117 = not(dbg_halt_state_ns) @[dec_tlu_ctl.scala 403:75] + node _T_118 = and(mpc_run_state_ns, _T_117) @[dec_tlu_ctl.scala 403:73] + node _T_119 = not(mpc_halt_state_ns) @[dec_tlu_ctl.scala 403:117] + node _T_120 = and(dbg_run_state_ns, _T_119) @[dec_tlu_ctl.scala 403:115] + node _T_121 = or(_T_118, _T_120) @[dec_tlu_ctl.scala 403:95] + node debug_resume_req = and(_T_116, _T_121) @[dec_tlu_ctl.scala 403:52] + node _T_122 = or(debug_halt_req_f, pmu_fw_halt_req_f) @[dec_tlu_ctl.scala 408:43] + node _T_123 = not(synchronous_flush_r) @[dec_tlu_ctl.scala 408:66] + node _T_124 = and(_T_122, _T_123) @[dec_tlu_ctl.scala 408:64] + node _T_125 = not(mret_r) @[dec_tlu_ctl.scala 408:89] + node _T_126 = and(_T_124, _T_125) @[dec_tlu_ctl.scala 408:87] + node _T_127 = not(halt_taken_f) @[dec_tlu_ctl.scala 408:99] + node _T_128 = and(_T_126, _T_127) @[dec_tlu_ctl.scala 408:97] + node _T_129 = not(dec_tlu_flush_noredir_r_d1) @[dec_tlu_ctl.scala 408:115] + node _T_130 = and(_T_128, _T_129) @[dec_tlu_ctl.scala 408:113] + node _T_131 = not(take_reset) @[dec_tlu_ctl.scala 408:145] + node take_halt = and(_T_130, _T_131) @[dec_tlu_ctl.scala 408:143] + node _T_132 = not(dec_tlu_flush_pause_r_d1) @[dec_tlu_ctl.scala 411:56] + node _T_133 = and(dec_tlu_flush_noredir_r_d1, _T_132) @[dec_tlu_ctl.scala 411:54] + node _T_134 = not(take_ext_int_start_d1) @[dec_tlu_ctl.scala 411:84] + node _T_135 = and(_T_133, _T_134) @[dec_tlu_ctl.scala 411:82] + node _T_136 = not(dbg_tlu_halted_f) @[dec_tlu_ctl.scala 411:126] + node _T_137 = and(halt_taken_f, _T_136) @[dec_tlu_ctl.scala 411:124] + node _T_138 = not(pmu_fw_tlu_halted_f) @[dec_tlu_ctl.scala 411:146] + node _T_139 = and(_T_137, _T_138) @[dec_tlu_ctl.scala 411:144] + node _T_140 = not(interrupt_valid_r_d1) @[dec_tlu_ctl.scala 411:169] + node _T_141 = and(_T_139, _T_140) @[dec_tlu_ctl.scala 411:167] + node halt_taken = or(_T_135, _T_141) @[dec_tlu_ctl.scala 411:108] + node _T_142 = and(io.lsu_idle_any, lsu_idle_any_f) @[dec_tlu_ctl.scala 415:53] + node _T_143 = and(_T_142, io.tlu_mem.ifu_miss_state_idle) @[dec_tlu_ctl.scala 415:70] + node _T_144 = and(_T_143, ifu_miss_state_idle_f) @[dec_tlu_ctl.scala 415:103] + node _T_145 = not(debug_halt_req) @[dec_tlu_ctl.scala 415:129] + node _T_146 = and(_T_144, _T_145) @[dec_tlu_ctl.scala 415:127] + node _T_147 = not(debug_halt_req_d1) @[dec_tlu_ctl.scala 415:147] + node _T_148 = and(_T_146, _T_147) @[dec_tlu_ctl.scala 415:145] + node _T_149 = not(io.dec_div_active) @[dec_tlu_ctl.scala 415:168] + node _T_150 = and(_T_148, _T_149) @[dec_tlu_ctl.scala 415:166] + node _T_151 = or(force_halt, _T_150) @[dec_tlu_ctl.scala 415:34] + core_empty <= _T_151 @[dec_tlu_ctl.scala 415:20] + node _T_152 = not(debug_mode_status) @[dec_tlu_ctl.scala 421:37] + node _T_153 = and(_T_152, debug_halt_req) @[dec_tlu_ctl.scala 421:63] + node _T_154 = or(_T_153, dcsr_single_step_done_f) @[dec_tlu_ctl.scala 421:81] + node _T_155 = or(_T_154, trigger_hit_dmode_r_d1) @[dec_tlu_ctl.scala 421:107] + node enter_debug_halt_req = or(_T_155, ebreak_to_debug_mode_r_d1) @[dec_tlu_ctl.scala 421:132] + node _T_156 = bits(dcsr, 2, 2) @[dec_tlu_ctl.scala 424:111] + node _T_157 = not(_T_156) @[dec_tlu_ctl.scala 424:106] + node _T_158 = and(debug_resume_req_f, _T_157) @[dec_tlu_ctl.scala 424:104] + node _T_159 = not(_T_158) @[dec_tlu_ctl.scala 424:83] + node _T_160 = and(debug_mode_status, _T_159) @[dec_tlu_ctl.scala 424:81] + node _T_161 = or(debug_halt_req_ns, _T_160) @[dec_tlu_ctl.scala 424:53] + internal_dbg_halt_mode <= _T_161 @[dec_tlu_ctl.scala 424:32] + node _T_162 = not(dcsr_single_step_running_f) @[dec_tlu_ctl.scala 426:67] + node allow_dbg_halt_csr_write = and(debug_mode_status, _T_162) @[dec_tlu_ctl.scala 426:65] + node _T_163 = and(debug_halt_req_f, core_empty) @[dec_tlu_ctl.scala 431:48] + node _T_164 = and(_T_163, halt_taken) @[dec_tlu_ctl.scala 431:61] + node _T_165 = not(debug_resume_req_f) @[dec_tlu_ctl.scala 431:97] + node _T_166 = and(dbg_tlu_halted_f, _T_165) @[dec_tlu_ctl.scala 431:95] + node dbg_tlu_halted = or(_T_164, _T_166) @[dec_tlu_ctl.scala 431:75] + node _T_167 = not(dbg_tlu_halted) @[dec_tlu_ctl.scala 432:73] + node _T_168 = and(debug_halt_req_f, _T_167) @[dec_tlu_ctl.scala 432:71] + node _T_169 = or(enter_debug_halt_req, _T_168) @[dec_tlu_ctl.scala 432:51] + debug_halt_req_ns <= _T_169 @[dec_tlu_ctl.scala 432:27] + node _T_170 = and(debug_resume_req_f, dbg_tlu_halted_f) @[dec_tlu_ctl.scala 433:49] + node resume_ack_ns = and(_T_170, dbg_run_state_ns) @[dec_tlu_ctl.scala 433:68] + node _T_171 = not(io.dec_tlu_dbg_halted) @[dec_tlu_ctl.scala 435:61] + node _T_172 = and(io.dec_tlu_i0_valid_r, _T_171) @[dec_tlu_ctl.scala 435:59] + node _T_173 = bits(dcsr, 2, 2) @[dec_tlu_ctl.scala 435:90] + node _T_174 = and(_T_172, _T_173) @[dec_tlu_ctl.scala 435:84] + node _T_175 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 435:104] + node dcsr_single_step_done = and(_T_174, _T_175) @[dec_tlu_ctl.scala 435:102] + node _T_176 = bits(dcsr, 2, 2) @[dec_tlu_ctl.scala 437:66] + node _T_177 = and(debug_resume_req_f, _T_176) @[dec_tlu_ctl.scala 437:60] + node _T_178 = not(dcsr_single_step_done_f) @[dec_tlu_ctl.scala 437:111] + node _T_179 = and(dcsr_single_step_running_f, _T_178) @[dec_tlu_ctl.scala 437:109] + node dcsr_single_step_running = or(_T_177, _T_179) @[dec_tlu_ctl.scala 437:79] + node dbg_cmd_done_ns = and(io.dec_tlu_i0_valid_r, io.dec_tlu_dbg_halted) @[dec_tlu_ctl.scala 439:53] + node _T_180 = or(trigger_hit_dmode_r, ebreak_to_debug_mode_r) @[dec_tlu_ctl.scala 442:57] + node _T_181 = not(io.dec_tlu_flush_lower_wb) @[dec_tlu_ctl.scala 442:112] + node _T_182 = and(request_debug_mode_r_d1, _T_181) @[dec_tlu_ctl.scala 442:110] + node request_debug_mode_r = or(_T_180, _T_182) @[dec_tlu_ctl.scala 442:83] + node _T_183 = or(request_debug_mode_r_d1, request_debug_mode_done_f) @[dec_tlu_ctl.scala 444:64] + node _T_184 = not(dbg_tlu_halted_f) @[dec_tlu_ctl.scala 444:95] + node request_debug_mode_done = and(_T_183, _T_184) @[dec_tlu_ctl.scala 444:93] + reg _T_185 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 447:81] + _T_185 <= io.tlu_ifc.dec_tlu_flush_noredir_wb @[dec_tlu_ctl.scala 447:81] + dec_tlu_flush_noredir_r_d1 <= _T_185 @[dec_tlu_ctl.scala 447:49] + reg _T_186 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 448:89] + _T_186 <= halt_taken @[dec_tlu_ctl.scala 448:89] + halt_taken_f <= _T_186 @[dec_tlu_ctl.scala 448:57] + reg _T_187 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 449:89] + _T_187 <= io.lsu_idle_any @[dec_tlu_ctl.scala 449:89] + lsu_idle_any_f <= _T_187 @[dec_tlu_ctl.scala 449:57] + reg _T_188 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 450:81] + _T_188 <= io.tlu_mem.ifu_miss_state_idle @[dec_tlu_ctl.scala 450:81] + ifu_miss_state_idle_f <= _T_188 @[dec_tlu_ctl.scala 450:49] + reg _T_189 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 451:89] + _T_189 <= dbg_tlu_halted @[dec_tlu_ctl.scala 451:89] + dbg_tlu_halted_f <= _T_189 @[dec_tlu_ctl.scala 451:57] + reg _T_190 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 452:81] + _T_190 <= resume_ack_ns @[dec_tlu_ctl.scala 452:81] + io.dec_tlu_resume_ack <= _T_190 @[dec_tlu_ctl.scala 452:49] + reg _T_191 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 453:89] + _T_191 <= debug_halt_req_ns @[dec_tlu_ctl.scala 453:89] + debug_halt_req_f <= _T_191 @[dec_tlu_ctl.scala 453:57] + reg _T_192 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 454:89] + _T_192 <= debug_resume_req @[dec_tlu_ctl.scala 454:89] + debug_resume_req_f <= _T_192 @[dec_tlu_ctl.scala 454:57] + reg _T_193 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 455:81] + _T_193 <= trigger_hit_dmode_r @[dec_tlu_ctl.scala 455:81] + trigger_hit_dmode_r_d1 <= _T_193 @[dec_tlu_ctl.scala 455:49] + reg _T_194 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 456:81] + _T_194 <= dcsr_single_step_done @[dec_tlu_ctl.scala 456:81] + dcsr_single_step_done_f <= _T_194 @[dec_tlu_ctl.scala 456:49] + reg _T_195 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 457:89] + _T_195 <= debug_halt_req @[dec_tlu_ctl.scala 457:89] + debug_halt_req_d1 <= _T_195 @[dec_tlu_ctl.scala 457:57] + reg dec_tlu_wr_pause_r_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 458:81] + dec_tlu_wr_pause_r_d1 <= io.dec_tlu_wr_pause_r @[dec_tlu_ctl.scala 458:81] + reg dec_pause_state_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 459:81] + dec_pause_state_f <= io.dec_pause_state @[dec_tlu_ctl.scala 459:81] + reg _T_196 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 460:81] + _T_196 <= request_debug_mode_r @[dec_tlu_ctl.scala 460:81] + request_debug_mode_r_d1 <= _T_196 @[dec_tlu_ctl.scala 460:49] + reg _T_197 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 461:73] + _T_197 <= request_debug_mode_done @[dec_tlu_ctl.scala 461:73] + request_debug_mode_done_f <= _T_197 @[dec_tlu_ctl.scala 461:41] + reg _T_198 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 462:73] + _T_198 <= dcsr_single_step_running @[dec_tlu_ctl.scala 462:73] + dcsr_single_step_running_f <= _T_198 @[dec_tlu_ctl.scala 462:41] + reg _T_199 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 463:73] + _T_199 <= io.dec_tlu_flush_pause_r @[dec_tlu_ctl.scala 463:73] + dec_tlu_flush_pause_r_d1 <= _T_199 @[dec_tlu_ctl.scala 463:41] + reg _T_200 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 464:81] + _T_200 <= dbg_halt_req_held_ns @[dec_tlu_ctl.scala 464:81] + dbg_halt_req_held <= _T_200 @[dec_tlu_ctl.scala 464:49] + io.dec_tlu_debug_stall <= debug_halt_req_f @[dec_tlu_ctl.scala 467:41] + io.dec_tlu_dbg_halted <= dbg_tlu_halted_f @[dec_tlu_ctl.scala 468:41] + io.dec_tlu_debug_mode <= debug_mode_status @[dec_tlu_ctl.scala 469:41] + dec_tlu_pmu_fw_halted <= pmu_fw_tlu_halted_f @[dec_tlu_ctl.scala 470:41] + node _T_201 = and(fence_i_r, internal_dbg_halt_mode) @[dec_tlu_ctl.scala 473:71] + node _T_202 = or(take_halt, _T_201) @[dec_tlu_ctl.scala 473:58] + node _T_203 = or(_T_202, io.dec_tlu_flush_pause_r) @[dec_tlu_ctl.scala 473:97] + node _T_204 = and(i0_trigger_hit_r, trigger_hit_dmode_r) @[dec_tlu_ctl.scala 473:144] + node _T_205 = or(_T_203, _T_204) @[dec_tlu_ctl.scala 473:124] + node _T_206 = or(_T_205, take_ext_int_start) @[dec_tlu_ctl.scala 473:167] + io.tlu_ifc.dec_tlu_flush_noredir_wb <= _T_206 @[dec_tlu_ctl.scala 473:45] + io.dec_tlu_flush_extint <= take_ext_int_start @[dec_tlu_ctl.scala 475:33] + node _T_207 = not(interrupt_valid_r) @[dec_tlu_ctl.scala 478:61] + node _T_208 = and(dec_tlu_wr_pause_r_d1, _T_207) @[dec_tlu_ctl.scala 478:59] + node _T_209 = not(take_ext_int_start) @[dec_tlu_ctl.scala 478:82] + node _T_210 = and(_T_208, _T_209) @[dec_tlu_ctl.scala 478:80] + io.dec_tlu_flush_pause_r <= _T_210 @[dec_tlu_ctl.scala 478:34] + node _T_211 = not(io.dec_pause_state) @[dec_tlu_ctl.scala 480:28] + node _T_212 = and(_T_211, dec_pause_state_f) @[dec_tlu_ctl.scala 480:48] + node _T_213 = or(ext_int_ready, ce_int_ready) @[dec_tlu_ctl.scala 480:86] + node _T_214 = or(_T_213, timer_int_ready) @[dec_tlu_ctl.scala 480:101] + node _T_215 = or(_T_214, soft_int_ready) @[dec_tlu_ctl.scala 480:119] + node _T_216 = or(_T_215, int_timer0_int_hold_f) @[dec_tlu_ctl.scala 480:136] + node _T_217 = or(_T_216, int_timer1_int_hold_f) @[dec_tlu_ctl.scala 480:160] + node _T_218 = or(_T_217, nmi_int_detected) @[dec_tlu_ctl.scala 480:184] + node _T_219 = or(_T_218, ext_int_freeze_d1) @[dec_tlu_ctl.scala 480:203] + node _T_220 = not(_T_219) @[dec_tlu_ctl.scala 480:70] + node _T_221 = and(_T_212, _T_220) @[dec_tlu_ctl.scala 480:68] + node _T_222 = not(interrupt_valid_r_d1) @[dec_tlu_ctl.scala 480:226] + node _T_223 = and(_T_221, _T_222) @[dec_tlu_ctl.scala 480:224] + node _T_224 = not(debug_halt_req_f) @[dec_tlu_ctl.scala 480:250] + node _T_225 = and(_T_223, _T_224) @[dec_tlu_ctl.scala 480:248] + node _T_226 = not(pmu_fw_halt_req_f) @[dec_tlu_ctl.scala 480:270] + node _T_227 = and(_T_225, _T_226) @[dec_tlu_ctl.scala 480:268] + node _T_228 = not(halt_taken_f) @[dec_tlu_ctl.scala 480:291] + node _T_229 = and(_T_227, _T_228) @[dec_tlu_ctl.scala 480:289] + pause_expired_r <= _T_229 @[dec_tlu_ctl.scala 480:25] + node _T_230 = bits(dcsr, 2, 2) @[dec_tlu_ctl.scala 482:88] + node _T_231 = and(io.tlu_exu.dec_tlu_flush_lower_r, _T_230) @[dec_tlu_ctl.scala 482:82] + node _T_232 = or(io.dec_tlu_resume_ack, dcsr_single_step_running) @[dec_tlu_ctl.scala 482:125] + node _T_233 = and(_T_231, _T_232) @[dec_tlu_ctl.scala 482:100] + node _T_234 = not(io.tlu_ifc.dec_tlu_flush_noredir_wb) @[dec_tlu_ctl.scala 482:155] + node _T_235 = and(_T_233, _T_234) @[dec_tlu_ctl.scala 482:153] + io.tlu_bp.dec_tlu_flush_leak_one_wb <= _T_235 @[dec_tlu_ctl.scala 482:45] + node _T_236 = or(ic_perr_r_d1, iccm_sbecc_r_d1) @[dec_tlu_ctl.scala 483:93] + node _T_237 = and(io.tlu_exu.dec_tlu_flush_lower_r, _T_236) @[dec_tlu_ctl.scala 483:77] + io.tlu_mem.dec_tlu_flush_err_wb <= _T_237 @[dec_tlu_ctl.scala 483:41] + io.dec_dbg_cmd_done <= dbg_cmd_done_ns @[dec_tlu_ctl.scala 486:29] + node _T_238 = and(illegal_r, io.dec_dbg_cmd_done) @[dec_tlu_ctl.scala 487:42] + io.dec_dbg_cmd_fail <= _T_238 @[dec_tlu_ctl.scala 487:29] + node _T_239 = bits(mtdata1_t[3], 2, 2) @[dec_tlu_ctl.scala 500:48] + node _T_240 = bits(mtdata1_t[2], 2, 2) @[dec_tlu_ctl.scala 500:75] + node _T_241 = bits(mtdata1_t[1], 2, 2) @[dec_tlu_ctl.scala 500:102] + node _T_242 = bits(mtdata1_t[0], 2, 2) @[dec_tlu_ctl.scala 500:129] node _T_243 = cat(_T_241, _T_242) @[Cat.scala 29:58] node _T_244 = cat(_T_239, _T_240) @[Cat.scala 29:58] node trigger_execute = cat(_T_244, _T_243) @[Cat.scala 29:58] - node _T_245 = bits(mtdata1_t[3], 7, 7) @[dec_tlu_ctl.scala 502:52] - node _T_246 = bits(mtdata1_t[2], 7, 7) @[dec_tlu_ctl.scala 502:79] - node _T_247 = bits(mtdata1_t[1], 7, 7) @[dec_tlu_ctl.scala 502:106] - node _T_248 = bits(mtdata1_t[0], 7, 7) @[dec_tlu_ctl.scala 502:133] + node _T_245 = bits(mtdata1_t[3], 7, 7) @[dec_tlu_ctl.scala 501:52] + node _T_246 = bits(mtdata1_t[2], 7, 7) @[dec_tlu_ctl.scala 501:79] + node _T_247 = bits(mtdata1_t[1], 7, 7) @[dec_tlu_ctl.scala 501:106] + node _T_248 = bits(mtdata1_t[0], 7, 7) @[dec_tlu_ctl.scala 501:133] node _T_249 = cat(_T_247, _T_248) @[Cat.scala 29:58] node _T_250 = cat(_T_245, _T_246) @[Cat.scala 29:58] node trigger_data = cat(_T_250, _T_249) @[Cat.scala 29:58] - node _T_251 = bits(mtdata1_t[3], 1, 1) @[dec_tlu_ctl.scala 503:52] - node _T_252 = bits(mtdata1_t[2], 1, 1) @[dec_tlu_ctl.scala 503:79] - node _T_253 = bits(mtdata1_t[1], 1, 1) @[dec_tlu_ctl.scala 503:106] - node _T_254 = bits(mtdata1_t[0], 1, 1) @[dec_tlu_ctl.scala 503:133] + node _T_251 = bits(mtdata1_t[3], 1, 1) @[dec_tlu_ctl.scala 502:52] + node _T_252 = bits(mtdata1_t[2], 1, 1) @[dec_tlu_ctl.scala 502:79] + node _T_253 = bits(mtdata1_t[1], 1, 1) @[dec_tlu_ctl.scala 502:106] + node _T_254 = bits(mtdata1_t[0], 1, 1) @[dec_tlu_ctl.scala 502:133] node _T_255 = cat(_T_253, _T_254) @[Cat.scala 29:58] node _T_256 = cat(_T_251, _T_252) @[Cat.scala 29:58] node trigger_store = cat(_T_256, _T_255) @[Cat.scala 29:58] - node _T_257 = bits(mtdata1_t[3], 6, 6) @[dec_tlu_ctl.scala 506:45] - node _T_258 = bits(mstatus, 0, 0) @[dec_tlu_ctl.scala 506:71] - node _T_259 = or(_T_257, _T_258) @[dec_tlu_ctl.scala 506:62] - node _T_260 = bits(mtdata1_t[3], 3, 3) @[dec_tlu_ctl.scala 506:100] - node _T_261 = and(_T_259, _T_260) @[dec_tlu_ctl.scala 506:86] - node _T_262 = bits(mtdata1_t[2], 6, 6) @[dec_tlu_ctl.scala 506:133] - node _T_263 = bits(mstatus, 0, 0) @[dec_tlu_ctl.scala 506:159] - node _T_264 = or(_T_262, _T_263) @[dec_tlu_ctl.scala 506:150] - node _T_265 = bits(mtdata1_t[2], 3, 3) @[dec_tlu_ctl.scala 506:188] - node _T_266 = and(_T_264, _T_265) @[dec_tlu_ctl.scala 506:174] - node _T_267 = bits(mtdata1_t[1], 6, 6) @[dec_tlu_ctl.scala 506:222] - node _T_268 = bits(mstatus, 0, 0) @[dec_tlu_ctl.scala 506:248] - node _T_269 = or(_T_267, _T_268) @[dec_tlu_ctl.scala 506:239] - node _T_270 = bits(mtdata1_t[1], 3, 3) @[dec_tlu_ctl.scala 506:277] - node _T_271 = and(_T_269, _T_270) @[dec_tlu_ctl.scala 506:263] - node _T_272 = bits(mtdata1_t[0], 6, 6) @[dec_tlu_ctl.scala 506:311] - node _T_273 = bits(mstatus, 0, 0) @[dec_tlu_ctl.scala 506:337] - node _T_274 = or(_T_272, _T_273) @[dec_tlu_ctl.scala 506:328] - node _T_275 = bits(mtdata1_t[0], 3, 3) @[dec_tlu_ctl.scala 506:366] - node _T_276 = and(_T_274, _T_275) @[dec_tlu_ctl.scala 506:352] + node _T_257 = bits(mtdata1_t[3], 6, 6) @[dec_tlu_ctl.scala 505:45] + node _T_258 = bits(mstatus, 0, 0) @[dec_tlu_ctl.scala 505:71] + node _T_259 = or(_T_257, _T_258) @[dec_tlu_ctl.scala 505:62] + node _T_260 = bits(mtdata1_t[3], 3, 3) @[dec_tlu_ctl.scala 505:100] + node _T_261 = and(_T_259, _T_260) @[dec_tlu_ctl.scala 505:86] + node _T_262 = bits(mtdata1_t[2], 6, 6) @[dec_tlu_ctl.scala 505:133] + node _T_263 = bits(mstatus, 0, 0) @[dec_tlu_ctl.scala 505:159] + node _T_264 = or(_T_262, _T_263) @[dec_tlu_ctl.scala 505:150] + node _T_265 = bits(mtdata1_t[2], 3, 3) @[dec_tlu_ctl.scala 505:188] + node _T_266 = and(_T_264, _T_265) @[dec_tlu_ctl.scala 505:174] + node _T_267 = bits(mtdata1_t[1], 6, 6) @[dec_tlu_ctl.scala 505:222] + node _T_268 = bits(mstatus, 0, 0) @[dec_tlu_ctl.scala 505:248] + node _T_269 = or(_T_267, _T_268) @[dec_tlu_ctl.scala 505:239] + node _T_270 = bits(mtdata1_t[1], 3, 3) @[dec_tlu_ctl.scala 505:277] + node _T_271 = and(_T_269, _T_270) @[dec_tlu_ctl.scala 505:263] + node _T_272 = bits(mtdata1_t[0], 6, 6) @[dec_tlu_ctl.scala 505:311] + node _T_273 = bits(mstatus, 0, 0) @[dec_tlu_ctl.scala 505:337] + node _T_274 = or(_T_272, _T_273) @[dec_tlu_ctl.scala 505:328] + node _T_275 = bits(mtdata1_t[0], 3, 3) @[dec_tlu_ctl.scala 505:366] + node _T_276 = and(_T_274, _T_275) @[dec_tlu_ctl.scala 505:352] node _T_277 = cat(_T_271, _T_276) @[Cat.scala 29:58] node _T_278 = cat(_T_261, _T_266) @[Cat.scala 29:58] node trigger_enabled = cat(_T_278, _T_277) @[Cat.scala 29:58] - node _T_279 = and(trigger_execute, trigger_data) @[dec_tlu_ctl.scala 509:57] + node _T_279 = and(trigger_execute, trigger_data) @[dec_tlu_ctl.scala 508:57] node _T_280 = bits(inst_acc_r_raw, 0, 0) @[Bitwise.scala 72:15] node _T_281 = mux(_T_280, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_282 = and(_T_279, _T_281) @[dec_tlu_ctl.scala 509:72] - node _T_283 = or(io.tlu_exu.exu_i0_br_error_r, io.tlu_exu.exu_i0_br_start_error_r) @[dec_tlu_ctl.scala 509:137] + node _T_282 = and(_T_279, _T_281) @[dec_tlu_ctl.scala 508:72] + node _T_283 = or(io.tlu_exu.exu_i0_br_error_r, io.tlu_exu.exu_i0_br_start_error_r) @[dec_tlu_ctl.scala 508:137] node _T_284 = bits(_T_283, 0, 0) @[Bitwise.scala 72:15] node _T_285 = mux(_T_284, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_286 = or(_T_282, _T_285) @[dec_tlu_ctl.scala 509:98] - node i0_iside_trigger_has_pri_r = not(_T_286) @[dec_tlu_ctl.scala 509:38] - node _T_287 = and(trigger_store, trigger_data) @[dec_tlu_ctl.scala 512:51] + node _T_286 = or(_T_282, _T_285) @[dec_tlu_ctl.scala 508:98] + node i0_iside_trigger_has_pri_r = not(_T_286) @[dec_tlu_ctl.scala 508:38] + node _T_287 = and(trigger_store, trigger_data) @[dec_tlu_ctl.scala 511:51] node _T_288 = bits(lsu_i0_exc_r_raw, 0, 0) @[Bitwise.scala 72:15] node _T_289 = mux(_T_288, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_290 = and(_T_287, _T_289) @[dec_tlu_ctl.scala 512:66] - node i0_lsu_trigger_has_pri_r = not(_T_290) @[dec_tlu_ctl.scala 512:35] + node _T_290 = and(_T_287, _T_289) @[dec_tlu_ctl.scala 511:66] + node i0_lsu_trigger_has_pri_r = not(_T_290) @[dec_tlu_ctl.scala 511:35] node _T_291 = bits(io.dec_tlu_i0_valid_r, 0, 0) @[Bitwise.scala 72:15] node _T_292 = mux(_T_291, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_293 = bits(io.dec_tlu_packet_r.i0trigger, 3, 0) @[dec_tlu_ctl.scala 517:84] - node _T_294 = and(_T_292, _T_293) @[dec_tlu_ctl.scala 517:53] - node _T_295 = and(_T_294, i0_iside_trigger_has_pri_r) @[dec_tlu_ctl.scala 517:90] - node _T_296 = and(_T_295, i0_lsu_trigger_has_pri_r) @[dec_tlu_ctl.scala 517:119] - node i0trigger_qual_r = and(_T_296, trigger_enabled) @[dec_tlu_ctl.scala 517:146] - node _T_297 = or(io.tlu_bp.dec_tlu_flush_lower_wb, io.dec_tlu_dbg_halted) @[dec_tlu_ctl.scala 519:65] + node _T_293 = bits(io.dec_tlu_packet_r.i0trigger, 3, 0) @[dec_tlu_ctl.scala 516:84] + node _T_294 = and(_T_292, _T_293) @[dec_tlu_ctl.scala 516:53] + node _T_295 = and(_T_294, i0_iside_trigger_has_pri_r) @[dec_tlu_ctl.scala 516:90] + node _T_296 = and(_T_295, i0_lsu_trigger_has_pri_r) @[dec_tlu_ctl.scala 516:119] + node i0trigger_qual_r = and(_T_296, trigger_enabled) @[dec_tlu_ctl.scala 516:146] + node _T_297 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_dbg_halted) @[dec_tlu_ctl.scala 518:58] node _T_298 = bits(_T_297, 0, 0) @[Bitwise.scala 72:15] node _T_299 = mux(_T_298, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_300 = not(_T_299) @[dec_tlu_ctl.scala 519:23] - node i0_trigger_r = and(_T_300, i0trigger_qual_r) @[dec_tlu_ctl.scala 519:91] - node _T_301 = bits(i0_trigger_r, 3, 3) @[dec_tlu_ctl.scala 522:53] - node _T_302 = bits(mtdata1_t[2], 5, 5) @[dec_tlu_ctl.scala 522:73] - node _T_303 = not(_T_302) @[dec_tlu_ctl.scala 522:60] - node _T_304 = bits(i0_trigger_r, 2, 2) @[dec_tlu_ctl.scala 522:103] - node _T_305 = or(_T_303, _T_304) @[dec_tlu_ctl.scala 522:89] - node _T_306 = and(_T_301, _T_305) @[dec_tlu_ctl.scala 522:57] - node _T_307 = bits(i0_trigger_r, 2, 2) @[dec_tlu_ctl.scala 522:121] - node _T_308 = bits(mtdata1_t[2], 5, 5) @[dec_tlu_ctl.scala 522:141] - node _T_309 = not(_T_308) @[dec_tlu_ctl.scala 522:128] - node _T_310 = bits(i0_trigger_r, 3, 3) @[dec_tlu_ctl.scala 522:171] - node _T_311 = or(_T_309, _T_310) @[dec_tlu_ctl.scala 522:157] - node _T_312 = and(_T_307, _T_311) @[dec_tlu_ctl.scala 522:125] - node _T_313 = bits(i0_trigger_r, 1, 1) @[dec_tlu_ctl.scala 522:189] - node _T_314 = bits(mtdata1_t[0], 5, 5) @[dec_tlu_ctl.scala 522:209] - node _T_315 = not(_T_314) @[dec_tlu_ctl.scala 522:196] - node _T_316 = bits(i0_trigger_r, 0, 0) @[dec_tlu_ctl.scala 522:239] - node _T_317 = or(_T_315, _T_316) @[dec_tlu_ctl.scala 522:225] - node _T_318 = and(_T_313, _T_317) @[dec_tlu_ctl.scala 522:193] - node _T_319 = bits(i0_trigger_r, 0, 0) @[dec_tlu_ctl.scala 522:257] - node _T_320 = bits(mtdata1_t[0], 5, 5) @[dec_tlu_ctl.scala 522:277] - node _T_321 = not(_T_320) @[dec_tlu_ctl.scala 522:264] - node _T_322 = bits(i0_trigger_r, 1, 1) @[dec_tlu_ctl.scala 522:307] - node _T_323 = or(_T_321, _T_322) @[dec_tlu_ctl.scala 522:293] - node _T_324 = and(_T_319, _T_323) @[dec_tlu_ctl.scala 522:261] + node _T_300 = not(_T_299) @[dec_tlu_ctl.scala 518:23] + node i0_trigger_r = and(_T_300, i0trigger_qual_r) @[dec_tlu_ctl.scala 518:84] + node _T_301 = bits(i0_trigger_r, 3, 3) @[dec_tlu_ctl.scala 521:53] + node _T_302 = bits(mtdata1_t[2], 5, 5) @[dec_tlu_ctl.scala 521:73] + node _T_303 = not(_T_302) @[dec_tlu_ctl.scala 521:60] + node _T_304 = bits(i0_trigger_r, 2, 2) @[dec_tlu_ctl.scala 521:103] + node _T_305 = or(_T_303, _T_304) @[dec_tlu_ctl.scala 521:89] + node _T_306 = and(_T_301, _T_305) @[dec_tlu_ctl.scala 521:57] + node _T_307 = bits(i0_trigger_r, 2, 2) @[dec_tlu_ctl.scala 521:121] + node _T_308 = bits(mtdata1_t[2], 5, 5) @[dec_tlu_ctl.scala 521:141] + node _T_309 = not(_T_308) @[dec_tlu_ctl.scala 521:128] + node _T_310 = bits(i0_trigger_r, 3, 3) @[dec_tlu_ctl.scala 521:171] + node _T_311 = or(_T_309, _T_310) @[dec_tlu_ctl.scala 521:157] + node _T_312 = and(_T_307, _T_311) @[dec_tlu_ctl.scala 521:125] + node _T_313 = bits(i0_trigger_r, 1, 1) @[dec_tlu_ctl.scala 521:189] + node _T_314 = bits(mtdata1_t[0], 5, 5) @[dec_tlu_ctl.scala 521:209] + node _T_315 = not(_T_314) @[dec_tlu_ctl.scala 521:196] + node _T_316 = bits(i0_trigger_r, 0, 0) @[dec_tlu_ctl.scala 521:239] + node _T_317 = or(_T_315, _T_316) @[dec_tlu_ctl.scala 521:225] + node _T_318 = and(_T_313, _T_317) @[dec_tlu_ctl.scala 521:193] + node _T_319 = bits(i0_trigger_r, 0, 0) @[dec_tlu_ctl.scala 521:257] + node _T_320 = bits(mtdata1_t[0], 5, 5) @[dec_tlu_ctl.scala 521:277] + node _T_321 = not(_T_320) @[dec_tlu_ctl.scala 521:264] + node _T_322 = bits(i0_trigger_r, 1, 1) @[dec_tlu_ctl.scala 521:307] + node _T_323 = or(_T_321, _T_322) @[dec_tlu_ctl.scala 521:293] + node _T_324 = and(_T_319, _T_323) @[dec_tlu_ctl.scala 521:261] node _T_325 = cat(_T_318, _T_324) @[Cat.scala 29:58] node _T_326 = cat(_T_306, _T_312) @[Cat.scala 29:58] node i0_trigger_chain_masked_r = cat(_T_326, _T_325) @[Cat.scala 29:58] - node i0_trigger_hit_raw_r = orr(i0_trigger_chain_masked_r) @[dec_tlu_ctl.scala 525:57] - i0_trigger_hit_r <= i0_trigger_hit_raw_r @[dec_tlu_ctl.scala 527:25] - node _T_327 = bits(mtdata1_t[3], 6, 6) @[dec_tlu_ctl.scala 531:44] - node _T_328 = bits(mtdata1_t[3], 9, 9) @[dec_tlu_ctl.scala 531:75] - node _T_329 = and(_T_327, _T_328) @[dec_tlu_ctl.scala 531:61] - node _T_330 = bits(mtdata1_t[2], 6, 6) @[dec_tlu_ctl.scala 531:104] - node _T_331 = bits(mtdata1_t[2], 9, 9) @[dec_tlu_ctl.scala 531:135] - node _T_332 = and(_T_330, _T_331) @[dec_tlu_ctl.scala 531:121] - node _T_333 = bits(mtdata1_t[1], 6, 6) @[dec_tlu_ctl.scala 531:164] - node _T_334 = bits(mtdata1_t[1], 9, 9) @[dec_tlu_ctl.scala 531:195] - node _T_335 = and(_T_333, _T_334) @[dec_tlu_ctl.scala 531:181] - node _T_336 = bits(mtdata1_t[0], 6, 6) @[dec_tlu_ctl.scala 531:224] - node _T_337 = bits(mtdata1_t[0], 9, 9) @[dec_tlu_ctl.scala 531:255] - node _T_338 = and(_T_336, _T_337) @[dec_tlu_ctl.scala 531:241] + node i0_trigger_hit_raw_r = orr(i0_trigger_chain_masked_r) @[dec_tlu_ctl.scala 524:57] + i0_trigger_hit_r <= i0_trigger_hit_raw_r @[dec_tlu_ctl.scala 526:25] + node _T_327 = bits(mtdata1_t[3], 6, 6) @[dec_tlu_ctl.scala 530:44] + node _T_328 = bits(mtdata1_t[3], 9, 9) @[dec_tlu_ctl.scala 530:75] + node _T_329 = and(_T_327, _T_328) @[dec_tlu_ctl.scala 530:61] + node _T_330 = bits(mtdata1_t[2], 6, 6) @[dec_tlu_ctl.scala 530:104] + node _T_331 = bits(mtdata1_t[2], 9, 9) @[dec_tlu_ctl.scala 530:135] + node _T_332 = and(_T_330, _T_331) @[dec_tlu_ctl.scala 530:121] + node _T_333 = bits(mtdata1_t[1], 6, 6) @[dec_tlu_ctl.scala 530:164] + node _T_334 = bits(mtdata1_t[1], 9, 9) @[dec_tlu_ctl.scala 530:195] + node _T_335 = and(_T_333, _T_334) @[dec_tlu_ctl.scala 530:181] + node _T_336 = bits(mtdata1_t[0], 6, 6) @[dec_tlu_ctl.scala 530:224] + node _T_337 = bits(mtdata1_t[0], 9, 9) @[dec_tlu_ctl.scala 530:255] + node _T_338 = and(_T_336, _T_337) @[dec_tlu_ctl.scala 530:241] node _T_339 = cat(_T_335, _T_338) @[Cat.scala 29:58] node _T_340 = cat(_T_329, _T_332) @[Cat.scala 29:58] node trigger_action = cat(_T_340, _T_339) @[Cat.scala 29:58] node _T_341 = bits(i0_trigger_hit_r, 0, 0) @[Bitwise.scala 72:15] node _T_342 = mux(_T_341, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node update_hit_bit_r = and(_T_342, i0_trigger_chain_masked_r) @[dec_tlu_ctl.scala 534:56] - node _T_343 = and(i0_trigger_chain_masked_r, trigger_action) @[dec_tlu_ctl.scala 537:57] - node i0_trigger_action_r = orr(_T_343) @[dec_tlu_ctl.scala 537:75] - node _T_344 = and(i0_trigger_hit_r, i0_trigger_action_r) @[dec_tlu_ctl.scala 539:45] - trigger_hit_dmode_r <= _T_344 @[dec_tlu_ctl.scala 539:24] - node _T_345 = not(trigger_hit_dmode_r) @[dec_tlu_ctl.scala 541:55] - node mepc_trigger_hit_sel_pc_r = and(i0_trigger_hit_r, _T_345) @[dec_tlu_ctl.scala 541:53] - node _T_346 = not(io.dec_tlu_debug_mode) @[dec_tlu_ctl.scala 568:62] - node _T_347 = and(i_cpu_halt_req_sync, _T_346) @[dec_tlu_ctl.scala 568:60] - node _T_348 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 568:87] - node i_cpu_halt_req_sync_qual = and(_T_347, _T_348) @[dec_tlu_ctl.scala 568:85] - node _T_349 = not(io.dec_tlu_debug_mode) @[dec_tlu_ctl.scala 569:60] - node _T_350 = and(i_cpu_run_req_sync, _T_349) @[dec_tlu_ctl.scala 569:58] - node _T_351 = and(_T_350, pmu_fw_tlu_halted_f) @[dec_tlu_ctl.scala 569:83] - node _T_352 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 569:107] - node i_cpu_run_req_sync_qual = and(_T_351, _T_352) @[dec_tlu_ctl.scala 569:105] - reg i_cpu_halt_req_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 571:80] - i_cpu_halt_req_d1 <= i_cpu_halt_req_sync_qual @[dec_tlu_ctl.scala 571:80] - reg i_cpu_run_req_d1_raw : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 572:80] - i_cpu_run_req_d1_raw <= i_cpu_run_req_sync_qual @[dec_tlu_ctl.scala 572:80] - reg _T_353 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 573:81] - _T_353 <= cpu_halt_status @[dec_tlu_ctl.scala 573:81] - io.o_cpu_halt_status <= _T_353 @[dec_tlu_ctl.scala 573:49] - reg _T_354 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 574:81] - _T_354 <= cpu_halt_ack @[dec_tlu_ctl.scala 574:81] - io.o_cpu_halt_ack <= _T_354 @[dec_tlu_ctl.scala 574:49] - reg _T_355 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 575:81] - _T_355 <= cpu_run_ack @[dec_tlu_ctl.scala 575:81] - io.o_cpu_run_ack <= _T_355 @[dec_tlu_ctl.scala 575:49] - reg internal_pmu_fw_halt_mode_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 576:68] - internal_pmu_fw_halt_mode_f <= internal_pmu_fw_halt_mode @[dec_tlu_ctl.scala 576:68] - reg _T_356 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 577:73] - _T_356 <= pmu_fw_halt_req_ns @[dec_tlu_ctl.scala 577:73] - pmu_fw_halt_req_f <= _T_356 @[dec_tlu_ctl.scala 577:41] - reg _T_357 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 578:73] - _T_357 <= pmu_fw_tlu_halted @[dec_tlu_ctl.scala 578:73] - pmu_fw_tlu_halted_f <= _T_357 @[dec_tlu_ctl.scala 578:41] - reg _T_358 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 579:73] - _T_358 <= int_timer0_int_hold @[dec_tlu_ctl.scala 579:73] - int_timer0_int_hold_f <= _T_358 @[dec_tlu_ctl.scala 579:41] - reg _T_359 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 580:73] - _T_359 <= int_timer1_int_hold @[dec_tlu_ctl.scala 580:73] - int_timer1_int_hold_f <= _T_359 @[dec_tlu_ctl.scala 580:41] - node _T_360 = not(i_cpu_halt_req_d1) @[dec_tlu_ctl.scala 584:52] - node ext_halt_pulse = and(i_cpu_halt_req_sync_qual, _T_360) @[dec_tlu_ctl.scala 584:50] - node enter_pmu_fw_halt_req = or(ext_halt_pulse, fw_halt_req) @[dec_tlu_ctl.scala 585:48] - node _T_361 = not(pmu_fw_tlu_halted) @[dec_tlu_ctl.scala 586:72] - node _T_362 = and(pmu_fw_halt_req_f, _T_361) @[dec_tlu_ctl.scala 586:70] - node _T_363 = or(enter_pmu_fw_halt_req, _T_362) @[dec_tlu_ctl.scala 586:49] - node _T_364 = not(debug_halt_req_f) @[dec_tlu_ctl.scala 586:95] - node _T_365 = and(_T_363, _T_364) @[dec_tlu_ctl.scala 586:93] - pmu_fw_halt_req_ns <= _T_365 @[dec_tlu_ctl.scala 586:23] - node _T_366 = not(i_cpu_run_req_d1) @[dec_tlu_ctl.scala 587:85] - node _T_367 = and(internal_pmu_fw_halt_mode_f, _T_366) @[dec_tlu_ctl.scala 587:83] - node _T_368 = not(debug_halt_req_f) @[dec_tlu_ctl.scala 587:105] - node _T_369 = and(_T_367, _T_368) @[dec_tlu_ctl.scala 587:103] - node _T_370 = or(pmu_fw_halt_req_ns, _T_369) @[dec_tlu_ctl.scala 587:52] - internal_pmu_fw_halt_mode <= _T_370 @[dec_tlu_ctl.scala 587:30] - node _T_371 = and(pmu_fw_halt_req_f, core_empty) @[dec_tlu_ctl.scala 590:45] - node _T_372 = and(_T_371, halt_taken) @[dec_tlu_ctl.scala 590:58] - node _T_373 = not(enter_debug_halt_req) @[dec_tlu_ctl.scala 590:73] - node _T_374 = and(_T_372, _T_373) @[dec_tlu_ctl.scala 590:71] - node _T_375 = not(i_cpu_run_req_d1) @[dec_tlu_ctl.scala 590:121] - node _T_376 = and(pmu_fw_tlu_halted_f, _T_375) @[dec_tlu_ctl.scala 590:119] - node _T_377 = or(_T_374, _T_376) @[dec_tlu_ctl.scala 590:96] - node _T_378 = not(debug_halt_req_f) @[dec_tlu_ctl.scala 590:143] - node _T_379 = and(_T_377, _T_378) @[dec_tlu_ctl.scala 590:141] - pmu_fw_tlu_halted <= _T_379 @[dec_tlu_ctl.scala 590:22] - node _T_380 = and(i_cpu_halt_req_d1, pmu_fw_tlu_halted_f) @[dec_tlu_ctl.scala 592:38] - cpu_halt_ack <= _T_380 @[dec_tlu_ctl.scala 592:17] - node _T_381 = not(i_cpu_run_req_d1) @[dec_tlu_ctl.scala 593:46] - node _T_382 = and(pmu_fw_tlu_halted_f, _T_381) @[dec_tlu_ctl.scala 593:44] - node _T_383 = not(i_cpu_run_req_d1) @[dec_tlu_ctl.scala 593:91] - node _T_384 = and(io.o_cpu_halt_status, _T_383) @[dec_tlu_ctl.scala 593:89] - node _T_385 = not(debug_mode_status) @[dec_tlu_ctl.scala 593:111] - node _T_386 = and(_T_384, _T_385) @[dec_tlu_ctl.scala 593:109] - node _T_387 = or(_T_382, _T_386) @[dec_tlu_ctl.scala 593:65] - cpu_halt_status <= _T_387 @[dec_tlu_ctl.scala 593:20] - node _T_388 = and(io.o_cpu_halt_status, i_cpu_run_req_sync_qual) @[dec_tlu_ctl.scala 594:41] - node _T_389 = and(io.o_cpu_run_ack, i_cpu_run_req_sync_qual) @[dec_tlu_ctl.scala 594:88] - node _T_390 = or(_T_388, _T_389) @[dec_tlu_ctl.scala 594:68] - cpu_run_ack <= _T_390 @[dec_tlu_ctl.scala 594:16] - io.o_debug_mode_status <= debug_mode_status @[dec_tlu_ctl.scala 596:27] - node _T_391 = or(nmi_int_detected, timer_int_ready) @[dec_tlu_ctl.scala 599:66] - node _T_392 = or(_T_391, soft_int_ready) @[dec_tlu_ctl.scala 599:84] - node _T_393 = or(_T_392, int_timer0_int_hold_f) @[dec_tlu_ctl.scala 599:101] - node _T_394 = or(_T_393, int_timer1_int_hold_f) @[dec_tlu_ctl.scala 599:125] - node _T_395 = and(io.dec_pic.mhwakeup, mhwakeup_ready) @[dec_tlu_ctl.scala 599:172] - node _T_396 = or(_T_394, _T_395) @[dec_tlu_ctl.scala 599:149] - node _T_397 = and(_T_396, io.o_cpu_halt_status) @[dec_tlu_ctl.scala 599:191] - node _T_398 = not(i_cpu_halt_req_d1) @[dec_tlu_ctl.scala 599:216] - node _T_399 = and(_T_397, _T_398) @[dec_tlu_ctl.scala 599:214] - node _T_400 = or(i_cpu_run_req_d1_raw, _T_399) @[dec_tlu_ctl.scala 599:45] - i_cpu_run_req_d1 <= _T_400 @[dec_tlu_ctl.scala 599:21] - reg _T_401 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 605:89] - _T_401 <= mdseac_locked_ns @[dec_tlu_ctl.scala 605:89] - mdseac_locked_f <= _T_401 @[dec_tlu_ctl.scala 605:57] - reg lsu_single_ecc_error_r_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 606:72] - lsu_single_ecc_error_r_d1 <= io.lsu_single_ecc_error_incr @[dec_tlu_ctl.scala 606:72] - node _T_402 = not(io.tlu_bp.dec_tlu_flush_lower_wb) @[dec_tlu_ctl.scala 608:57] - node lsu_exc_valid_r_raw = and(io.lsu_error_pkt_r.valid, _T_402) @[dec_tlu_ctl.scala 608:55] - lsu_i0_exc_r_raw <= io.lsu_error_pkt_r.valid @[dec_tlu_ctl.scala 609:21] - node _T_403 = and(lsu_i0_exc_r_raw, lsu_exc_valid_r_raw) @[dec_tlu_ctl.scala 610:40] - node _T_404 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 610:64] - node _T_405 = and(_T_403, _T_404) @[dec_tlu_ctl.scala 610:62] - node _T_406 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 610:84] - node lsu_exc_valid_r = and(_T_405, _T_406) @[dec_tlu_ctl.scala 610:82] - reg _T_407 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 612:74] - _T_407 <= lsu_exc_valid_r @[dec_tlu_ctl.scala 612:74] - lsu_exc_valid_r_d1 <= _T_407 @[dec_tlu_ctl.scala 612:41] - reg lsu_i0_exc_r_d1 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 613:73] - lsu_i0_exc_r_d1 <= lsu_exc_valid_r @[dec_tlu_ctl.scala 613:73] - node _T_408 = not(io.lsu_error_pkt_r.bits.exc_type) @[dec_tlu_ctl.scala 614:40] - node lsu_exc_ma_r = and(lsu_exc_valid_r, _T_408) @[dec_tlu_ctl.scala 614:38] - node lsu_exc_acc_r = and(lsu_exc_valid_r, io.lsu_error_pkt_r.bits.exc_type) @[dec_tlu_ctl.scala 615:38] - node lsu_exc_st_r = and(lsu_exc_valid_r, io.lsu_error_pkt_r.bits.inst_type) @[dec_tlu_ctl.scala 616:38] - node _T_409 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 620:49] - node _T_410 = and(io.dec_tlu_i0_valid_r, _T_409) @[dec_tlu_ctl.scala 620:47] - node _T_411 = not(io.lsu_error_pkt_r.bits.inst_type) @[dec_tlu_ctl.scala 620:70] - node _T_412 = and(_T_411, io.lsu_error_pkt_r.bits.single_ecc_error) @[dec_tlu_ctl.scala 620:105] - node lsu_i0_rfnpc_r = and(_T_410, _T_412) @[dec_tlu_ctl.scala 620:67] - node _T_413 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 623:52] - node _T_414 = and(io.dec_tlu_i0_valid_r, _T_413) @[dec_tlu_ctl.scala 623:50] - node _T_415 = not(lsu_exc_valid_r) @[dec_tlu_ctl.scala 623:65] - node _T_416 = and(_T_414, _T_415) @[dec_tlu_ctl.scala 623:63] - node _T_417 = not(inst_acc_r) @[dec_tlu_ctl.scala 623:82] - node _T_418 = and(_T_416, _T_417) @[dec_tlu_ctl.scala 623:79] - node _T_419 = not(io.dec_tlu_dbg_halted) @[dec_tlu_ctl.scala 623:96] - node _T_420 = and(_T_418, _T_419) @[dec_tlu_ctl.scala 623:94] - node _T_421 = not(request_debug_mode_r_d1) @[dec_tlu_ctl.scala 623:121] - node _T_422 = and(_T_420, _T_421) @[dec_tlu_ctl.scala 623:119] - node _T_423 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 623:148] - node tlu_i0_commit_cmt = and(_T_422, _T_423) @[dec_tlu_ctl.scala 623:146] - node _T_424 = or(rfpc_i0_r, lsu_exc_valid_r) @[dec_tlu_ctl.scala 626:38] - node _T_425 = or(_T_424, inst_acc_r) @[dec_tlu_ctl.scala 626:53] - node _T_426 = and(illegal_r, io.dec_tlu_dbg_halted) @[dec_tlu_ctl.scala 626:79] - node _T_427 = or(_T_425, _T_426) @[dec_tlu_ctl.scala 626:66] - node _T_428 = or(_T_427, i0_trigger_hit_r) @[dec_tlu_ctl.scala 626:104] - tlu_i0_kill_writeb_r <= _T_428 @[dec_tlu_ctl.scala 626:25] - io.tlu_mem.dec_tlu_i0_commit_cmt <= tlu_i0_commit_cmt @[dec_tlu_ctl.scala 627:37] - node _T_429 = not(tlu_flush_lower_r_d1) @[dec_tlu_ctl.scala 632:44] - node _T_430 = and(io.dec_tlu_i0_valid_r, _T_429) @[dec_tlu_ctl.scala 632:42] - node _T_431 = or(io.tlu_exu.exu_i0_br_error_r, io.tlu_exu.exu_i0_br_start_error_r) @[dec_tlu_ctl.scala 632:98] - node _T_432 = and(_T_430, _T_431) @[dec_tlu_ctl.scala 632:66] - node _T_433 = or(ic_perr_r_d1, iccm_sbecc_r_d1) @[dec_tlu_ctl.scala 632:154] - node _T_434 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 632:175] - node _T_435 = and(_T_433, _T_434) @[dec_tlu_ctl.scala 632:173] - node _T_436 = or(_T_432, _T_435) @[dec_tlu_ctl.scala 632:137] - node _T_437 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 632:199] - node _T_438 = and(_T_436, _T_437) @[dec_tlu_ctl.scala 632:196] - node _T_439 = not(lsu_i0_rfnpc_r) @[dec_tlu_ctl.scala 632:220] - node _T_440 = and(_T_438, _T_439) @[dec_tlu_ctl.scala 632:217] - rfpc_i0_r <= _T_440 @[dec_tlu_ctl.scala 632:14] - node _T_441 = not(io.tlu_exu.dec_tlu_flush_lower_r) @[dec_tlu_ctl.scala 635:70] - node _T_442 = and(iccm_repair_state_d1, _T_441) @[dec_tlu_ctl.scala 635:68] - node _T_443 = or(iccm_sbecc_r_d1, _T_442) @[dec_tlu_ctl.scala 635:44] - iccm_repair_state_ns <= _T_443 @[dec_tlu_ctl.scala 635:25] - node _T_444 = and(tlu_i0_commit_cmt, iccm_repair_state_d1) @[dec_tlu_ctl.scala 641:52] - node _T_445 = or(ebreak_r, ecall_r) @[dec_tlu_ctl.scala 641:88] - node _T_446 = or(_T_445, mret_r) @[dec_tlu_ctl.scala 641:98] - node _T_447 = or(_T_446, take_reset) @[dec_tlu_ctl.scala 641:107] - node _T_448 = or(_T_447, illegal_r) @[dec_tlu_ctl.scala 641:120] - node _T_449 = eq(io.dec_csr_wraddr_r, UInt<12>("h07c2")) @[dec_tlu_ctl.scala 641:176] - node _T_450 = and(dec_csr_wen_r_mod, _T_449) @[dec_tlu_ctl.scala 641:153] - node _T_451 = or(_T_448, _T_450) @[dec_tlu_ctl.scala 641:132] - node _T_452 = not(_T_451) @[dec_tlu_ctl.scala 641:77] - node iccm_repair_state_rfnpc = and(_T_444, _T_452) @[dec_tlu_ctl.scala 641:75] - node _T_453 = and(io.tlu_exu.exu_i0_br_error_r, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 644:59] - node _T_454 = not(tlu_flush_lower_r_d1) @[dec_tlu_ctl.scala 644:85] - node dec_tlu_br0_error_r = and(_T_453, _T_454) @[dec_tlu_ctl.scala 644:83] - node _T_455 = and(io.tlu_exu.exu_i0_br_start_error_r, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 645:71] - node _T_456 = not(tlu_flush_lower_r_d1) @[dec_tlu_ctl.scala 645:97] - node dec_tlu_br0_start_error_r = and(_T_455, _T_456) @[dec_tlu_ctl.scala 645:95] - node _T_457 = and(io.tlu_exu.exu_i0_br_valid_r, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 646:55] - node _T_458 = not(tlu_flush_lower_r_d1) @[dec_tlu_ctl.scala 646:81] - node _T_459 = and(_T_457, _T_458) @[dec_tlu_ctl.scala 646:79] - node _T_460 = not(io.tlu_exu.exu_i0_br_mp_r) @[dec_tlu_ctl.scala 646:106] - node _T_461 = not(io.tlu_exu.exu_pmu_i0_br_ataken) @[dec_tlu_ctl.scala 646:135] - node _T_462 = or(_T_460, _T_461) @[dec_tlu_ctl.scala 646:133] - node dec_tlu_br0_v_r = and(_T_459, _T_462) @[dec_tlu_ctl.scala 646:103] - io.tlu_bp.dec_tlu_br0_r_pkt.bits.hist <= io.tlu_exu.exu_i0_br_hist_r @[dec_tlu_ctl.scala 649:65] - io.tlu_bp.dec_tlu_br0_r_pkt.bits.br_error <= dec_tlu_br0_error_r @[dec_tlu_ctl.scala 650:57] - io.tlu_bp.dec_tlu_br0_r_pkt.bits.br_start_error <= dec_tlu_br0_start_error_r @[dec_tlu_ctl.scala 651:57] - io.tlu_bp.dec_tlu_br0_r_pkt.valid <= dec_tlu_br0_v_r @[dec_tlu_ctl.scala 652:57] - io.tlu_bp.dec_tlu_br0_r_pkt.bits.way <= io.exu_i0_br_way_r @[dec_tlu_ctl.scala 653:65] - io.tlu_bp.dec_tlu_br0_r_pkt.bits.middle <= io.tlu_exu.exu_i0_br_middle_r @[dec_tlu_ctl.scala 654:65] - node _T_463 = eq(io.dec_tlu_packet_r.pmu_i0_itype, UInt<4>("h08")) @[dec_tlu_ctl.scala 657:51] - node _T_464 = and(_T_463, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 657:64] - node _T_465 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 657:90] - node _T_466 = and(_T_464, _T_465) @[dec_tlu_ctl.scala 657:88] - node _T_467 = bits(dcsr, 15, 15) @[dec_tlu_ctl.scala 657:115] - node _T_468 = not(_T_467) @[dec_tlu_ctl.scala 657:110] - node _T_469 = and(_T_466, _T_468) @[dec_tlu_ctl.scala 657:108] - node _T_470 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 657:132] - node _T_471 = and(_T_469, _T_470) @[dec_tlu_ctl.scala 657:130] - ebreak_r <= _T_471 @[dec_tlu_ctl.scala 657:13] - node _T_472 = eq(io.dec_tlu_packet_r.pmu_i0_itype, UInt<4>("h09")) @[dec_tlu_ctl.scala 658:51] - node _T_473 = and(_T_472, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 658:64] - node _T_474 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 658:90] - node _T_475 = and(_T_473, _T_474) @[dec_tlu_ctl.scala 658:88] - node _T_476 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 658:110] - node _T_477 = and(_T_475, _T_476) @[dec_tlu_ctl.scala 658:108] - ecall_r <= _T_477 @[dec_tlu_ctl.scala 658:13] - node _T_478 = not(io.dec_tlu_packet_r.legal) @[dec_tlu_ctl.scala 659:17] - node _T_479 = and(_T_478, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 659:46] - node _T_480 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 659:72] - node _T_481 = and(_T_479, _T_480) @[dec_tlu_ctl.scala 659:70] - node _T_482 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 659:92] - node _T_483 = and(_T_481, _T_482) @[dec_tlu_ctl.scala 659:90] - illegal_r <= _T_483 @[dec_tlu_ctl.scala 659:13] - node _T_484 = eq(io.dec_tlu_packet_r.pmu_i0_itype, UInt<4>("h0c")) @[dec_tlu_ctl.scala 660:51] - node _T_485 = and(_T_484, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 660:64] - node _T_486 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 660:90] - node _T_487 = and(_T_485, _T_486) @[dec_tlu_ctl.scala 660:88] - node _T_488 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 660:110] - node _T_489 = and(_T_487, _T_488) @[dec_tlu_ctl.scala 660:108] - mret_r <= _T_489 @[dec_tlu_ctl.scala 660:13] - node _T_490 = and(io.dec_tlu_packet_r.fence_i, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 662:50] - node _T_491 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 662:76] - node _T_492 = and(_T_490, _T_491) @[dec_tlu_ctl.scala 662:74] - node _T_493 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 662:97] - node _T_494 = and(_T_492, _T_493) @[dec_tlu_ctl.scala 662:95] - fence_i_r <= _T_494 @[dec_tlu_ctl.scala 662:17] - node _T_495 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 663:53] - node _T_496 = and(io.tlu_mem.ifu_ic_error_start, _T_495) @[dec_tlu_ctl.scala 663:51] - node _T_497 = not(debug_mode_status) @[dec_tlu_ctl.scala 663:75] - node _T_498 = or(_T_497, dcsr_single_step_running) @[dec_tlu_ctl.scala 663:101] - node _T_499 = and(_T_496, _T_498) @[dec_tlu_ctl.scala 663:72] - node _T_500 = not(internal_pmu_fw_halt_mode_f) @[dec_tlu_ctl.scala 663:131] - node _T_501 = and(_T_499, _T_500) @[dec_tlu_ctl.scala 663:129] - ic_perr_r <= _T_501 @[dec_tlu_ctl.scala 663:17] - node _T_502 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 664:61] - node _T_503 = and(io.tlu_mem.ifu_iccm_rd_ecc_single_err, _T_502) @[dec_tlu_ctl.scala 664:59] - node _T_504 = not(debug_mode_status) @[dec_tlu_ctl.scala 664:83] - node _T_505 = or(_T_504, dcsr_single_step_running) @[dec_tlu_ctl.scala 664:109] - node _T_506 = and(_T_503, _T_505) @[dec_tlu_ctl.scala 664:80] - node _T_507 = not(internal_pmu_fw_halt_mode_f) @[dec_tlu_ctl.scala 664:139] - node _T_508 = and(_T_506, _T_507) @[dec_tlu_ctl.scala 664:137] - iccm_sbecc_r <= _T_508 @[dec_tlu_ctl.scala 664:17] - node _T_509 = and(io.dec_tlu_packet_r.icaf, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 665:49] - inst_acc_r_raw <= _T_509 @[dec_tlu_ctl.scala 665:20] - node _T_510 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 666:35] - node _T_511 = and(inst_acc_r_raw, _T_510) @[dec_tlu_ctl.scala 666:33] - node _T_512 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 666:48] - node _T_513 = and(_T_511, _T_512) @[dec_tlu_ctl.scala 666:46] - inst_acc_r <= _T_513 @[dec_tlu_ctl.scala 666:15] - node _T_514 = eq(io.dec_tlu_packet_r.pmu_i0_itype, UInt<4>("h08")) @[dec_tlu_ctl.scala 669:64] - node _T_515 = and(_T_514, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 669:77] - node _T_516 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 669:103] - node _T_517 = and(_T_515, _T_516) @[dec_tlu_ctl.scala 669:101] - node _T_518 = bits(dcsr, 15, 15) @[dec_tlu_ctl.scala 669:127] - node _T_519 = and(_T_517, _T_518) @[dec_tlu_ctl.scala 669:121] - node _T_520 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 669:144] - node _T_521 = and(_T_519, _T_520) @[dec_tlu_ctl.scala 669:142] - ebreak_to_debug_mode_r <= _T_521 @[dec_tlu_ctl.scala 669:27] - reg _T_522 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 671:64] - _T_522 <= ebreak_to_debug_mode_r @[dec_tlu_ctl.scala 671:64] - ebreak_to_debug_mode_r_d1 <= _T_522 @[dec_tlu_ctl.scala 671:34] - io.tlu_mem.dec_tlu_fence_i_wb <= fence_i_r @[dec_tlu_ctl.scala 672:39] - node _T_523 = or(ebreak_r, ecall_r) @[dec_tlu_ctl.scala 685:41] - node _T_524 = or(_T_523, illegal_r) @[dec_tlu_ctl.scala 685:51] - node _T_525 = or(_T_524, inst_acc_r) @[dec_tlu_ctl.scala 685:63] - node _T_526 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 685:79] - node _T_527 = and(_T_525, _T_526) @[dec_tlu_ctl.scala 685:77] - node _T_528 = not(io.dec_tlu_dbg_halted) @[dec_tlu_ctl.scala 685:92] - node i0_exception_valid_r = and(_T_527, _T_528) @[dec_tlu_ctl.scala 685:90] - node _T_529 = not(take_nmi) @[dec_tlu_ctl.scala 694:33] - node _T_530 = and(take_ext_int, _T_529) @[dec_tlu_ctl.scala 694:31] - node _T_531 = bits(_T_530, 0, 0) @[dec_tlu_ctl.scala 694:44] - node _T_532 = not(take_nmi) @[dec_tlu_ctl.scala 695:27] - node _T_533 = and(take_timer_int, _T_532) @[dec_tlu_ctl.scala 695:25] - node _T_534 = bits(_T_533, 0, 0) @[dec_tlu_ctl.scala 695:38] - node _T_535 = not(take_nmi) @[dec_tlu_ctl.scala 696:26] - node _T_536 = and(take_soft_int, _T_535) @[dec_tlu_ctl.scala 696:24] - node _T_537 = bits(_T_536, 0, 0) @[dec_tlu_ctl.scala 696:37] - node _T_538 = not(take_nmi) @[dec_tlu_ctl.scala 697:32] - node _T_539 = and(take_int_timer0_int, _T_538) @[dec_tlu_ctl.scala 697:30] - node _T_540 = bits(_T_539, 0, 0) @[dec_tlu_ctl.scala 697:43] - node _T_541 = not(take_nmi) @[dec_tlu_ctl.scala 698:32] - node _T_542 = and(take_int_timer1_int, _T_541) @[dec_tlu_ctl.scala 698:30] - node _T_543 = bits(_T_542, 0, 0) @[dec_tlu_ctl.scala 698:43] - node _T_544 = not(take_nmi) @[dec_tlu_ctl.scala 699:24] - node _T_545 = and(take_ce_int, _T_544) @[dec_tlu_ctl.scala 699:22] - node _T_546 = bits(_T_545, 0, 0) @[dec_tlu_ctl.scala 699:35] - node _T_547 = not(take_nmi) @[dec_tlu_ctl.scala 700:22] - node _T_548 = and(illegal_r, _T_547) @[dec_tlu_ctl.scala 700:20] - node _T_549 = bits(_T_548, 0, 0) @[dec_tlu_ctl.scala 700:33] - node _T_550 = not(take_nmi) @[dec_tlu_ctl.scala 701:21] - node _T_551 = and(ecall_r, _T_550) @[dec_tlu_ctl.scala 701:19] - node _T_552 = bits(_T_551, 0, 0) @[dec_tlu_ctl.scala 701:32] - node _T_553 = not(take_nmi) @[dec_tlu_ctl.scala 702:24] - node _T_554 = and(inst_acc_r, _T_553) @[dec_tlu_ctl.scala 702:22] - node _T_555 = bits(_T_554, 0, 0) @[dec_tlu_ctl.scala 702:35] - node _T_556 = or(ebreak_r, i0_trigger_hit_r) @[dec_tlu_ctl.scala 703:20] - node _T_557 = not(take_nmi) @[dec_tlu_ctl.scala 703:42] - node _T_558 = and(_T_556, _T_557) @[dec_tlu_ctl.scala 703:40] - node _T_559 = bits(_T_558, 0, 0) @[dec_tlu_ctl.scala 703:53] - node _T_560 = not(lsu_exc_st_r) @[dec_tlu_ctl.scala 704:25] - node _T_561 = and(lsu_exc_ma_r, _T_560) @[dec_tlu_ctl.scala 704:23] - node _T_562 = not(take_nmi) @[dec_tlu_ctl.scala 704:41] - node _T_563 = and(_T_561, _T_562) @[dec_tlu_ctl.scala 704:39] - node _T_564 = bits(_T_563, 0, 0) @[dec_tlu_ctl.scala 704:52] - node _T_565 = not(lsu_exc_st_r) @[dec_tlu_ctl.scala 705:26] - node _T_566 = and(lsu_exc_acc_r, _T_565) @[dec_tlu_ctl.scala 705:24] - node _T_567 = not(take_nmi) @[dec_tlu_ctl.scala 705:42] - node _T_568 = and(_T_566, _T_567) @[dec_tlu_ctl.scala 705:40] - node _T_569 = bits(_T_568, 0, 0) @[dec_tlu_ctl.scala 705:53] - node _T_570 = and(lsu_exc_ma_r, lsu_exc_st_r) @[dec_tlu_ctl.scala 706:23] - node _T_571 = not(take_nmi) @[dec_tlu_ctl.scala 706:40] - node _T_572 = and(_T_570, _T_571) @[dec_tlu_ctl.scala 706:38] - node _T_573 = bits(_T_572, 0, 0) @[dec_tlu_ctl.scala 706:51] - node _T_574 = and(lsu_exc_acc_r, lsu_exc_st_r) @[dec_tlu_ctl.scala 707:24] - node _T_575 = not(take_nmi) @[dec_tlu_ctl.scala 707:41] - node _T_576 = and(_T_574, _T_575) @[dec_tlu_ctl.scala 707:39] - node _T_577 = bits(_T_576, 0, 0) @[dec_tlu_ctl.scala 707:52] + node update_hit_bit_r = and(_T_342, i0_trigger_chain_masked_r) @[dec_tlu_ctl.scala 533:56] + node _T_343 = and(i0_trigger_chain_masked_r, trigger_action) @[dec_tlu_ctl.scala 536:57] + node i0_trigger_action_r = orr(_T_343) @[dec_tlu_ctl.scala 536:75] + node _T_344 = and(i0_trigger_hit_r, i0_trigger_action_r) @[dec_tlu_ctl.scala 538:45] + trigger_hit_dmode_r <= _T_344 @[dec_tlu_ctl.scala 538:24] + node _T_345 = not(trigger_hit_dmode_r) @[dec_tlu_ctl.scala 540:55] + node mepc_trigger_hit_sel_pc_r = and(i0_trigger_hit_r, _T_345) @[dec_tlu_ctl.scala 540:53] + node _T_346 = not(io.dec_tlu_debug_mode) @[dec_tlu_ctl.scala 567:62] + node _T_347 = and(i_cpu_halt_req_sync, _T_346) @[dec_tlu_ctl.scala 567:60] + node _T_348 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 567:87] + node i_cpu_halt_req_sync_qual = and(_T_347, _T_348) @[dec_tlu_ctl.scala 567:85] + node _T_349 = not(io.dec_tlu_debug_mode) @[dec_tlu_ctl.scala 568:60] + node _T_350 = and(i_cpu_run_req_sync, _T_349) @[dec_tlu_ctl.scala 568:58] + node _T_351 = and(_T_350, pmu_fw_tlu_halted_f) @[dec_tlu_ctl.scala 568:83] + node _T_352 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 568:107] + node i_cpu_run_req_sync_qual = and(_T_351, _T_352) @[dec_tlu_ctl.scala 568:105] + reg i_cpu_halt_req_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 570:80] + i_cpu_halt_req_d1 <= i_cpu_halt_req_sync_qual @[dec_tlu_ctl.scala 570:80] + reg i_cpu_run_req_d1_raw : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 571:80] + i_cpu_run_req_d1_raw <= i_cpu_run_req_sync_qual @[dec_tlu_ctl.scala 571:80] + reg _T_353 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 572:81] + _T_353 <= cpu_halt_status @[dec_tlu_ctl.scala 572:81] + io.o_cpu_halt_status <= _T_353 @[dec_tlu_ctl.scala 572:49] + reg _T_354 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 573:81] + _T_354 <= cpu_halt_ack @[dec_tlu_ctl.scala 573:81] + io.o_cpu_halt_ack <= _T_354 @[dec_tlu_ctl.scala 573:49] + reg _T_355 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 574:81] + _T_355 <= cpu_run_ack @[dec_tlu_ctl.scala 574:81] + io.o_cpu_run_ack <= _T_355 @[dec_tlu_ctl.scala 574:49] + reg internal_pmu_fw_halt_mode_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 575:68] + internal_pmu_fw_halt_mode_f <= internal_pmu_fw_halt_mode @[dec_tlu_ctl.scala 575:68] + reg _T_356 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 576:73] + _T_356 <= pmu_fw_halt_req_ns @[dec_tlu_ctl.scala 576:73] + pmu_fw_halt_req_f <= _T_356 @[dec_tlu_ctl.scala 576:41] + reg _T_357 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 577:73] + _T_357 <= pmu_fw_tlu_halted @[dec_tlu_ctl.scala 577:73] + pmu_fw_tlu_halted_f <= _T_357 @[dec_tlu_ctl.scala 577:41] + reg _T_358 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 578:73] + _T_358 <= int_timer0_int_hold @[dec_tlu_ctl.scala 578:73] + int_timer0_int_hold_f <= _T_358 @[dec_tlu_ctl.scala 578:41] + reg _T_359 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 579:73] + _T_359 <= int_timer1_int_hold @[dec_tlu_ctl.scala 579:73] + int_timer1_int_hold_f <= _T_359 @[dec_tlu_ctl.scala 579:41] + node _T_360 = not(i_cpu_halt_req_d1) @[dec_tlu_ctl.scala 583:52] + node ext_halt_pulse = and(i_cpu_halt_req_sync_qual, _T_360) @[dec_tlu_ctl.scala 583:50] + node enter_pmu_fw_halt_req = or(ext_halt_pulse, fw_halt_req) @[dec_tlu_ctl.scala 584:48] + node _T_361 = not(pmu_fw_tlu_halted) @[dec_tlu_ctl.scala 585:72] + node _T_362 = and(pmu_fw_halt_req_f, _T_361) @[dec_tlu_ctl.scala 585:70] + node _T_363 = or(enter_pmu_fw_halt_req, _T_362) @[dec_tlu_ctl.scala 585:49] + node _T_364 = not(debug_halt_req_f) @[dec_tlu_ctl.scala 585:95] + node _T_365 = and(_T_363, _T_364) @[dec_tlu_ctl.scala 585:93] + pmu_fw_halt_req_ns <= _T_365 @[dec_tlu_ctl.scala 585:23] + node _T_366 = not(i_cpu_run_req_d1) @[dec_tlu_ctl.scala 586:85] + node _T_367 = and(internal_pmu_fw_halt_mode_f, _T_366) @[dec_tlu_ctl.scala 586:83] + node _T_368 = not(debug_halt_req_f) @[dec_tlu_ctl.scala 586:105] + node _T_369 = and(_T_367, _T_368) @[dec_tlu_ctl.scala 586:103] + node _T_370 = or(pmu_fw_halt_req_ns, _T_369) @[dec_tlu_ctl.scala 586:52] + internal_pmu_fw_halt_mode <= _T_370 @[dec_tlu_ctl.scala 586:30] + node _T_371 = and(pmu_fw_halt_req_f, core_empty) @[dec_tlu_ctl.scala 589:45] + node _T_372 = and(_T_371, halt_taken) @[dec_tlu_ctl.scala 589:58] + node _T_373 = not(enter_debug_halt_req) @[dec_tlu_ctl.scala 589:73] + node _T_374 = and(_T_372, _T_373) @[dec_tlu_ctl.scala 589:71] + node _T_375 = not(i_cpu_run_req_d1) @[dec_tlu_ctl.scala 589:121] + node _T_376 = and(pmu_fw_tlu_halted_f, _T_375) @[dec_tlu_ctl.scala 589:119] + node _T_377 = or(_T_374, _T_376) @[dec_tlu_ctl.scala 589:96] + node _T_378 = not(debug_halt_req_f) @[dec_tlu_ctl.scala 589:143] + node _T_379 = and(_T_377, _T_378) @[dec_tlu_ctl.scala 589:141] + pmu_fw_tlu_halted <= _T_379 @[dec_tlu_ctl.scala 589:22] + node _T_380 = and(i_cpu_halt_req_d1, pmu_fw_tlu_halted_f) @[dec_tlu_ctl.scala 591:38] + cpu_halt_ack <= _T_380 @[dec_tlu_ctl.scala 591:17] + node _T_381 = not(i_cpu_run_req_d1) @[dec_tlu_ctl.scala 592:46] + node _T_382 = and(pmu_fw_tlu_halted_f, _T_381) @[dec_tlu_ctl.scala 592:44] + node _T_383 = not(i_cpu_run_req_d1) @[dec_tlu_ctl.scala 592:91] + node _T_384 = and(io.o_cpu_halt_status, _T_383) @[dec_tlu_ctl.scala 592:89] + node _T_385 = not(debug_mode_status) @[dec_tlu_ctl.scala 592:111] + node _T_386 = and(_T_384, _T_385) @[dec_tlu_ctl.scala 592:109] + node _T_387 = or(_T_382, _T_386) @[dec_tlu_ctl.scala 592:65] + cpu_halt_status <= _T_387 @[dec_tlu_ctl.scala 592:20] + node _T_388 = and(io.o_cpu_halt_status, i_cpu_run_req_sync_qual) @[dec_tlu_ctl.scala 593:41] + node _T_389 = and(io.o_cpu_run_ack, i_cpu_run_req_sync_qual) @[dec_tlu_ctl.scala 593:88] + node _T_390 = or(_T_388, _T_389) @[dec_tlu_ctl.scala 593:68] + cpu_run_ack <= _T_390 @[dec_tlu_ctl.scala 593:16] + io.o_debug_mode_status <= debug_mode_status @[dec_tlu_ctl.scala 595:27] + node _T_391 = or(nmi_int_detected, timer_int_ready) @[dec_tlu_ctl.scala 598:66] + node _T_392 = or(_T_391, soft_int_ready) @[dec_tlu_ctl.scala 598:84] + node _T_393 = or(_T_392, int_timer0_int_hold_f) @[dec_tlu_ctl.scala 598:101] + node _T_394 = or(_T_393, int_timer1_int_hold_f) @[dec_tlu_ctl.scala 598:125] + node _T_395 = and(io.dec_pic.mhwakeup, mhwakeup_ready) @[dec_tlu_ctl.scala 598:172] + node _T_396 = or(_T_394, _T_395) @[dec_tlu_ctl.scala 598:149] + node _T_397 = and(_T_396, io.o_cpu_halt_status) @[dec_tlu_ctl.scala 598:191] + node _T_398 = not(i_cpu_halt_req_d1) @[dec_tlu_ctl.scala 598:216] + node _T_399 = and(_T_397, _T_398) @[dec_tlu_ctl.scala 598:214] + node _T_400 = or(i_cpu_run_req_d1_raw, _T_399) @[dec_tlu_ctl.scala 598:45] + i_cpu_run_req_d1 <= _T_400 @[dec_tlu_ctl.scala 598:21] + reg _T_401 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 604:89] + _T_401 <= mdseac_locked_ns @[dec_tlu_ctl.scala 604:89] + mdseac_locked_f <= _T_401 @[dec_tlu_ctl.scala 604:57] + reg lsu_single_ecc_error_r_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 605:72] + lsu_single_ecc_error_r_d1 <= io.lsu_single_ecc_error_incr @[dec_tlu_ctl.scala 605:72] + node _T_402 = not(io.dec_tlu_flush_lower_wb) @[dec_tlu_ctl.scala 607:57] + node lsu_exc_valid_r_raw = and(io.lsu_error_pkt_r.valid, _T_402) @[dec_tlu_ctl.scala 607:55] + lsu_i0_exc_r_raw <= io.lsu_error_pkt_r.valid @[dec_tlu_ctl.scala 608:21] + node _T_403 = and(lsu_i0_exc_r_raw, lsu_exc_valid_r_raw) @[dec_tlu_ctl.scala 609:40] + node _T_404 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 609:64] + node _T_405 = and(_T_403, _T_404) @[dec_tlu_ctl.scala 609:62] + node _T_406 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 609:84] + node lsu_exc_valid_r = and(_T_405, _T_406) @[dec_tlu_ctl.scala 609:82] + reg _T_407 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 611:74] + _T_407 <= lsu_exc_valid_r @[dec_tlu_ctl.scala 611:74] + lsu_exc_valid_r_d1 <= _T_407 @[dec_tlu_ctl.scala 611:41] + reg lsu_i0_exc_r_d1 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 612:73] + lsu_i0_exc_r_d1 <= lsu_exc_valid_r @[dec_tlu_ctl.scala 612:73] + node _T_408 = not(io.lsu_error_pkt_r.bits.exc_type) @[dec_tlu_ctl.scala 613:40] + node lsu_exc_ma_r = and(lsu_exc_valid_r, _T_408) @[dec_tlu_ctl.scala 613:38] + node lsu_exc_acc_r = and(lsu_exc_valid_r, io.lsu_error_pkt_r.bits.exc_type) @[dec_tlu_ctl.scala 614:38] + node lsu_exc_st_r = and(lsu_exc_valid_r, io.lsu_error_pkt_r.bits.inst_type) @[dec_tlu_ctl.scala 615:38] + node _T_409 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 619:49] + node _T_410 = and(io.dec_tlu_i0_valid_r, _T_409) @[dec_tlu_ctl.scala 619:47] + node _T_411 = not(io.lsu_error_pkt_r.bits.inst_type) @[dec_tlu_ctl.scala 619:70] + node _T_412 = and(_T_411, io.lsu_error_pkt_r.bits.single_ecc_error) @[dec_tlu_ctl.scala 619:105] + node lsu_i0_rfnpc_r = and(_T_410, _T_412) @[dec_tlu_ctl.scala 619:67] + node _T_413 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 622:52] + node _T_414 = and(io.dec_tlu_i0_valid_r, _T_413) @[dec_tlu_ctl.scala 622:50] + node _T_415 = not(lsu_exc_valid_r) @[dec_tlu_ctl.scala 622:65] + node _T_416 = and(_T_414, _T_415) @[dec_tlu_ctl.scala 622:63] + node _T_417 = not(inst_acc_r) @[dec_tlu_ctl.scala 622:82] + node _T_418 = and(_T_416, _T_417) @[dec_tlu_ctl.scala 622:79] + node _T_419 = not(io.dec_tlu_dbg_halted) @[dec_tlu_ctl.scala 622:96] + node _T_420 = and(_T_418, _T_419) @[dec_tlu_ctl.scala 622:94] + node _T_421 = not(request_debug_mode_r_d1) @[dec_tlu_ctl.scala 622:121] + node _T_422 = and(_T_420, _T_421) @[dec_tlu_ctl.scala 622:119] + node _T_423 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 622:148] + node tlu_i0_commit_cmt = and(_T_422, _T_423) @[dec_tlu_ctl.scala 622:146] + node _T_424 = or(rfpc_i0_r, lsu_exc_valid_r) @[dec_tlu_ctl.scala 625:38] + node _T_425 = or(_T_424, inst_acc_r) @[dec_tlu_ctl.scala 625:53] + node _T_426 = and(illegal_r, io.dec_tlu_dbg_halted) @[dec_tlu_ctl.scala 625:79] + node _T_427 = or(_T_425, _T_426) @[dec_tlu_ctl.scala 625:66] + node _T_428 = or(_T_427, i0_trigger_hit_r) @[dec_tlu_ctl.scala 625:104] + tlu_i0_kill_writeb_r <= _T_428 @[dec_tlu_ctl.scala 625:25] + io.tlu_mem.dec_tlu_i0_commit_cmt <= tlu_i0_commit_cmt @[dec_tlu_ctl.scala 626:37] + node _T_429 = not(tlu_flush_lower_r_d1) @[dec_tlu_ctl.scala 631:44] + node _T_430 = and(io.dec_tlu_i0_valid_r, _T_429) @[dec_tlu_ctl.scala 631:42] + node _T_431 = or(io.tlu_exu.exu_i0_br_error_r, io.tlu_exu.exu_i0_br_start_error_r) @[dec_tlu_ctl.scala 631:98] + node _T_432 = and(_T_430, _T_431) @[dec_tlu_ctl.scala 631:66] + node _T_433 = or(ic_perr_r_d1, iccm_sbecc_r_d1) @[dec_tlu_ctl.scala 631:154] + node _T_434 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 631:175] + node _T_435 = and(_T_433, _T_434) @[dec_tlu_ctl.scala 631:173] + node _T_436 = or(_T_432, _T_435) @[dec_tlu_ctl.scala 631:137] + node _T_437 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 631:199] + node _T_438 = and(_T_436, _T_437) @[dec_tlu_ctl.scala 631:196] + node _T_439 = not(lsu_i0_rfnpc_r) @[dec_tlu_ctl.scala 631:220] + node _T_440 = and(_T_438, _T_439) @[dec_tlu_ctl.scala 631:217] + rfpc_i0_r <= _T_440 @[dec_tlu_ctl.scala 631:14] + node _T_441 = not(io.tlu_exu.dec_tlu_flush_lower_r) @[dec_tlu_ctl.scala 634:70] + node _T_442 = and(iccm_repair_state_d1, _T_441) @[dec_tlu_ctl.scala 634:68] + node _T_443 = or(iccm_sbecc_r_d1, _T_442) @[dec_tlu_ctl.scala 634:44] + iccm_repair_state_ns <= _T_443 @[dec_tlu_ctl.scala 634:25] + node _T_444 = and(tlu_i0_commit_cmt, iccm_repair_state_d1) @[dec_tlu_ctl.scala 640:52] + node _T_445 = or(ebreak_r, ecall_r) @[dec_tlu_ctl.scala 640:88] + node _T_446 = or(_T_445, mret_r) @[dec_tlu_ctl.scala 640:98] + node _T_447 = or(_T_446, take_reset) @[dec_tlu_ctl.scala 640:107] + node _T_448 = or(_T_447, illegal_r) @[dec_tlu_ctl.scala 640:120] + node _T_449 = eq(io.dec_csr_wraddr_r, UInt<12>("h07c2")) @[dec_tlu_ctl.scala 640:176] + node _T_450 = and(dec_csr_wen_r_mod, _T_449) @[dec_tlu_ctl.scala 640:153] + node _T_451 = or(_T_448, _T_450) @[dec_tlu_ctl.scala 640:132] + node _T_452 = not(_T_451) @[dec_tlu_ctl.scala 640:77] + node iccm_repair_state_rfnpc = and(_T_444, _T_452) @[dec_tlu_ctl.scala 640:75] + node _T_453 = and(io.tlu_exu.exu_i0_br_error_r, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 643:59] + node _T_454 = not(tlu_flush_lower_r_d1) @[dec_tlu_ctl.scala 643:85] + node dec_tlu_br0_error_r = and(_T_453, _T_454) @[dec_tlu_ctl.scala 643:83] + node _T_455 = and(io.tlu_exu.exu_i0_br_start_error_r, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 644:71] + node _T_456 = not(tlu_flush_lower_r_d1) @[dec_tlu_ctl.scala 644:97] + node dec_tlu_br0_start_error_r = and(_T_455, _T_456) @[dec_tlu_ctl.scala 644:95] + node _T_457 = and(io.tlu_exu.exu_i0_br_valid_r, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 645:55] + node _T_458 = not(tlu_flush_lower_r_d1) @[dec_tlu_ctl.scala 645:81] + node _T_459 = and(_T_457, _T_458) @[dec_tlu_ctl.scala 645:79] + node _T_460 = not(io.tlu_exu.exu_i0_br_mp_r) @[dec_tlu_ctl.scala 645:106] + node _T_461 = not(io.tlu_exu.exu_pmu_i0_br_ataken) @[dec_tlu_ctl.scala 645:135] + node _T_462 = or(_T_460, _T_461) @[dec_tlu_ctl.scala 645:133] + node dec_tlu_br0_v_r = and(_T_459, _T_462) @[dec_tlu_ctl.scala 645:103] + io.tlu_bp.dec_tlu_br0_r_pkt.bits.hist <= io.tlu_exu.exu_i0_br_hist_r @[dec_tlu_ctl.scala 648:65] + io.tlu_bp.dec_tlu_br0_r_pkt.bits.br_error <= dec_tlu_br0_error_r @[dec_tlu_ctl.scala 649:57] + io.tlu_bp.dec_tlu_br0_r_pkt.bits.br_start_error <= dec_tlu_br0_start_error_r @[dec_tlu_ctl.scala 650:57] + io.tlu_bp.dec_tlu_br0_r_pkt.valid <= dec_tlu_br0_v_r @[dec_tlu_ctl.scala 651:57] + io.tlu_bp.dec_tlu_br0_r_pkt.bits.way <= io.exu_i0_br_way_r @[dec_tlu_ctl.scala 652:65] + io.tlu_bp.dec_tlu_br0_r_pkt.bits.middle <= io.tlu_exu.exu_i0_br_middle_r @[dec_tlu_ctl.scala 653:65] + node _T_463 = eq(io.dec_tlu_packet_r.pmu_i0_itype, UInt<4>("h08")) @[dec_tlu_ctl.scala 656:51] + node _T_464 = and(_T_463, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 656:64] + node _T_465 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 656:90] + node _T_466 = and(_T_464, _T_465) @[dec_tlu_ctl.scala 656:88] + node _T_467 = bits(dcsr, 15, 15) @[dec_tlu_ctl.scala 656:115] + node _T_468 = not(_T_467) @[dec_tlu_ctl.scala 656:110] + node _T_469 = and(_T_466, _T_468) @[dec_tlu_ctl.scala 656:108] + node _T_470 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 656:132] + node _T_471 = and(_T_469, _T_470) @[dec_tlu_ctl.scala 656:130] + ebreak_r <= _T_471 @[dec_tlu_ctl.scala 656:13] + node _T_472 = eq(io.dec_tlu_packet_r.pmu_i0_itype, UInt<4>("h09")) @[dec_tlu_ctl.scala 657:51] + node _T_473 = and(_T_472, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 657:64] + node _T_474 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 657:90] + node _T_475 = and(_T_473, _T_474) @[dec_tlu_ctl.scala 657:88] + node _T_476 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 657:110] + node _T_477 = and(_T_475, _T_476) @[dec_tlu_ctl.scala 657:108] + ecall_r <= _T_477 @[dec_tlu_ctl.scala 657:13] + node _T_478 = not(io.dec_tlu_packet_r.legal) @[dec_tlu_ctl.scala 658:17] + node _T_479 = and(_T_478, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 658:46] + node _T_480 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 658:72] + node _T_481 = and(_T_479, _T_480) @[dec_tlu_ctl.scala 658:70] + node _T_482 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 658:92] + node _T_483 = and(_T_481, _T_482) @[dec_tlu_ctl.scala 658:90] + illegal_r <= _T_483 @[dec_tlu_ctl.scala 658:13] + node _T_484 = eq(io.dec_tlu_packet_r.pmu_i0_itype, UInt<4>("h0c")) @[dec_tlu_ctl.scala 659:51] + node _T_485 = and(_T_484, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 659:64] + node _T_486 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 659:90] + node _T_487 = and(_T_485, _T_486) @[dec_tlu_ctl.scala 659:88] + node _T_488 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 659:110] + node _T_489 = and(_T_487, _T_488) @[dec_tlu_ctl.scala 659:108] + mret_r <= _T_489 @[dec_tlu_ctl.scala 659:13] + node _T_490 = and(io.dec_tlu_packet_r.fence_i, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 661:50] + node _T_491 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 661:76] + node _T_492 = and(_T_490, _T_491) @[dec_tlu_ctl.scala 661:74] + node _T_493 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 661:97] + node _T_494 = and(_T_492, _T_493) @[dec_tlu_ctl.scala 661:95] + fence_i_r <= _T_494 @[dec_tlu_ctl.scala 661:17] + node _T_495 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 662:53] + node _T_496 = and(io.tlu_mem.ifu_ic_error_start, _T_495) @[dec_tlu_ctl.scala 662:51] + node _T_497 = not(debug_mode_status) @[dec_tlu_ctl.scala 662:75] + node _T_498 = or(_T_497, dcsr_single_step_running) @[dec_tlu_ctl.scala 662:101] + node _T_499 = and(_T_496, _T_498) @[dec_tlu_ctl.scala 662:72] + node _T_500 = not(internal_pmu_fw_halt_mode_f) @[dec_tlu_ctl.scala 662:131] + node _T_501 = and(_T_499, _T_500) @[dec_tlu_ctl.scala 662:129] + ic_perr_r <= _T_501 @[dec_tlu_ctl.scala 662:17] + node _T_502 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 663:61] + node _T_503 = and(io.tlu_mem.ifu_iccm_rd_ecc_single_err, _T_502) @[dec_tlu_ctl.scala 663:59] + node _T_504 = not(debug_mode_status) @[dec_tlu_ctl.scala 663:83] + node _T_505 = or(_T_504, dcsr_single_step_running) @[dec_tlu_ctl.scala 663:109] + node _T_506 = and(_T_503, _T_505) @[dec_tlu_ctl.scala 663:80] + node _T_507 = not(internal_pmu_fw_halt_mode_f) @[dec_tlu_ctl.scala 663:139] + node _T_508 = and(_T_506, _T_507) @[dec_tlu_ctl.scala 663:137] + iccm_sbecc_r <= _T_508 @[dec_tlu_ctl.scala 663:17] + node _T_509 = and(io.dec_tlu_packet_r.icaf, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 664:49] + inst_acc_r_raw <= _T_509 @[dec_tlu_ctl.scala 664:20] + node _T_510 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 665:35] + node _T_511 = and(inst_acc_r_raw, _T_510) @[dec_tlu_ctl.scala 665:33] + node _T_512 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 665:48] + node _T_513 = and(_T_511, _T_512) @[dec_tlu_ctl.scala 665:46] + inst_acc_r <= _T_513 @[dec_tlu_ctl.scala 665:15] + node _T_514 = eq(io.dec_tlu_packet_r.pmu_i0_itype, UInt<4>("h08")) @[dec_tlu_ctl.scala 668:64] + node _T_515 = and(_T_514, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 668:77] + node _T_516 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 668:103] + node _T_517 = and(_T_515, _T_516) @[dec_tlu_ctl.scala 668:101] + node _T_518 = bits(dcsr, 15, 15) @[dec_tlu_ctl.scala 668:127] + node _T_519 = and(_T_517, _T_518) @[dec_tlu_ctl.scala 668:121] + node _T_520 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 668:144] + node _T_521 = and(_T_519, _T_520) @[dec_tlu_ctl.scala 668:142] + ebreak_to_debug_mode_r <= _T_521 @[dec_tlu_ctl.scala 668:27] + reg _T_522 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 670:64] + _T_522 <= ebreak_to_debug_mode_r @[dec_tlu_ctl.scala 670:64] + ebreak_to_debug_mode_r_d1 <= _T_522 @[dec_tlu_ctl.scala 670:34] + io.tlu_mem.dec_tlu_fence_i_wb <= fence_i_r @[dec_tlu_ctl.scala 671:39] + node _T_523 = or(ebreak_r, ecall_r) @[dec_tlu_ctl.scala 684:41] + node _T_524 = or(_T_523, illegal_r) @[dec_tlu_ctl.scala 684:51] + node _T_525 = or(_T_524, inst_acc_r) @[dec_tlu_ctl.scala 684:63] + node _T_526 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 684:79] + node _T_527 = and(_T_525, _T_526) @[dec_tlu_ctl.scala 684:77] + node _T_528 = not(io.dec_tlu_dbg_halted) @[dec_tlu_ctl.scala 684:92] + node i0_exception_valid_r = and(_T_527, _T_528) @[dec_tlu_ctl.scala 684:90] + node _T_529 = not(take_nmi) @[dec_tlu_ctl.scala 693:33] + node _T_530 = and(take_ext_int, _T_529) @[dec_tlu_ctl.scala 693:31] + node _T_531 = bits(_T_530, 0, 0) @[dec_tlu_ctl.scala 693:44] + node _T_532 = not(take_nmi) @[dec_tlu_ctl.scala 694:27] + node _T_533 = and(take_timer_int, _T_532) @[dec_tlu_ctl.scala 694:25] + node _T_534 = bits(_T_533, 0, 0) @[dec_tlu_ctl.scala 694:38] + node _T_535 = not(take_nmi) @[dec_tlu_ctl.scala 695:26] + node _T_536 = and(take_soft_int, _T_535) @[dec_tlu_ctl.scala 695:24] + node _T_537 = bits(_T_536, 0, 0) @[dec_tlu_ctl.scala 695:37] + node _T_538 = not(take_nmi) @[dec_tlu_ctl.scala 696:32] + node _T_539 = and(take_int_timer0_int, _T_538) @[dec_tlu_ctl.scala 696:30] + node _T_540 = bits(_T_539, 0, 0) @[dec_tlu_ctl.scala 696:43] + node _T_541 = not(take_nmi) @[dec_tlu_ctl.scala 697:32] + node _T_542 = and(take_int_timer1_int, _T_541) @[dec_tlu_ctl.scala 697:30] + node _T_543 = bits(_T_542, 0, 0) @[dec_tlu_ctl.scala 697:43] + node _T_544 = not(take_nmi) @[dec_tlu_ctl.scala 698:24] + node _T_545 = and(take_ce_int, _T_544) @[dec_tlu_ctl.scala 698:22] + node _T_546 = bits(_T_545, 0, 0) @[dec_tlu_ctl.scala 698:35] + node _T_547 = not(take_nmi) @[dec_tlu_ctl.scala 699:22] + node _T_548 = and(illegal_r, _T_547) @[dec_tlu_ctl.scala 699:20] + node _T_549 = bits(_T_548, 0, 0) @[dec_tlu_ctl.scala 699:33] + node _T_550 = not(take_nmi) @[dec_tlu_ctl.scala 700:21] + node _T_551 = and(ecall_r, _T_550) @[dec_tlu_ctl.scala 700:19] + node _T_552 = bits(_T_551, 0, 0) @[dec_tlu_ctl.scala 700:32] + node _T_553 = not(take_nmi) @[dec_tlu_ctl.scala 701:24] + node _T_554 = and(inst_acc_r, _T_553) @[dec_tlu_ctl.scala 701:22] + node _T_555 = bits(_T_554, 0, 0) @[dec_tlu_ctl.scala 701:35] + node _T_556 = or(ebreak_r, i0_trigger_hit_r) @[dec_tlu_ctl.scala 702:20] + node _T_557 = not(take_nmi) @[dec_tlu_ctl.scala 702:42] + node _T_558 = and(_T_556, _T_557) @[dec_tlu_ctl.scala 702:40] + node _T_559 = bits(_T_558, 0, 0) @[dec_tlu_ctl.scala 702:53] + node _T_560 = not(lsu_exc_st_r) @[dec_tlu_ctl.scala 703:25] + node _T_561 = and(lsu_exc_ma_r, _T_560) @[dec_tlu_ctl.scala 703:23] + node _T_562 = not(take_nmi) @[dec_tlu_ctl.scala 703:41] + node _T_563 = and(_T_561, _T_562) @[dec_tlu_ctl.scala 703:39] + node _T_564 = bits(_T_563, 0, 0) @[dec_tlu_ctl.scala 703:52] + node _T_565 = not(lsu_exc_st_r) @[dec_tlu_ctl.scala 704:26] + node _T_566 = and(lsu_exc_acc_r, _T_565) @[dec_tlu_ctl.scala 704:24] + node _T_567 = not(take_nmi) @[dec_tlu_ctl.scala 704:42] + node _T_568 = and(_T_566, _T_567) @[dec_tlu_ctl.scala 704:40] + node _T_569 = bits(_T_568, 0, 0) @[dec_tlu_ctl.scala 704:53] + node _T_570 = and(lsu_exc_ma_r, lsu_exc_st_r) @[dec_tlu_ctl.scala 705:23] + node _T_571 = not(take_nmi) @[dec_tlu_ctl.scala 705:40] + node _T_572 = and(_T_570, _T_571) @[dec_tlu_ctl.scala 705:38] + node _T_573 = bits(_T_572, 0, 0) @[dec_tlu_ctl.scala 705:51] + node _T_574 = and(lsu_exc_acc_r, lsu_exc_st_r) @[dec_tlu_ctl.scala 706:24] + node _T_575 = not(take_nmi) @[dec_tlu_ctl.scala 706:41] + node _T_576 = and(_T_574, _T_575) @[dec_tlu_ctl.scala 706:39] + node _T_577 = bits(_T_576, 0, 0) @[dec_tlu_ctl.scala 706:52] node _T_578 = mux(_T_531, UInt<5>("h0b"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_579 = mux(_T_534, UInt<5>("h07"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_580 = mux(_T_537, UInt<5>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -78922,281 +78928,281 @@ circuit quasar : node _T_604 = or(_T_603, _T_591) @[Mux.scala 27:72] wire exc_cause_r : UInt<5> @[Mux.scala 27:72] exc_cause_r <= _T_604 @[Mux.scala 27:72] - node _T_605 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 718:24] - node _T_606 = and(_T_605, mstatus_mie_ns) @[dec_tlu_ctl.scala 718:49] - node _T_607 = bits(mip, 2, 2) @[dec_tlu_ctl.scala 718:71] - node _T_608 = and(_T_606, _T_607) @[dec_tlu_ctl.scala 718:66] - node _T_609 = bits(mie_ns, 2, 2) @[dec_tlu_ctl.scala 718:92] - node _T_610 = and(_T_608, _T_609) @[dec_tlu_ctl.scala 718:84] - mhwakeup_ready <= _T_610 @[dec_tlu_ctl.scala 718:20] - node _T_611 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 719:23] - node _T_612 = and(_T_611, mstatus_mie_ns) @[dec_tlu_ctl.scala 719:48] - node _T_613 = bits(mip, 2, 2) @[dec_tlu_ctl.scala 719:70] - node _T_614 = and(_T_612, _T_613) @[dec_tlu_ctl.scala 719:65] - node _T_615 = bits(mie_ns, 2, 2) @[dec_tlu_ctl.scala 719:91] - node _T_616 = and(_T_614, _T_615) @[dec_tlu_ctl.scala 719:83] - node _T_617 = not(ignore_ext_int_due_to_lsu_stall) @[dec_tlu_ctl.scala 719:104] - node _T_618 = and(_T_616, _T_617) @[dec_tlu_ctl.scala 719:102] - ext_int_ready <= _T_618 @[dec_tlu_ctl.scala 719:20] - node _T_619 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 720:23] - node _T_620 = and(_T_619, mstatus_mie_ns) @[dec_tlu_ctl.scala 720:48] - node _T_621 = bits(mip, 5, 5) @[dec_tlu_ctl.scala 720:70] - node _T_622 = and(_T_620, _T_621) @[dec_tlu_ctl.scala 720:65] - node _T_623 = bits(mie_ns, 5, 5) @[dec_tlu_ctl.scala 720:91] - node _T_624 = and(_T_622, _T_623) @[dec_tlu_ctl.scala 720:83] - ce_int_ready <= _T_624 @[dec_tlu_ctl.scala 720:20] - node _T_625 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 721:23] - node _T_626 = and(_T_625, mstatus_mie_ns) @[dec_tlu_ctl.scala 721:48] - node _T_627 = bits(mip, 0, 0) @[dec_tlu_ctl.scala 721:70] - node _T_628 = and(_T_626, _T_627) @[dec_tlu_ctl.scala 721:65] - node _T_629 = bits(mie_ns, 0, 0) @[dec_tlu_ctl.scala 721:91] - node _T_630 = and(_T_628, _T_629) @[dec_tlu_ctl.scala 721:83] - soft_int_ready <= _T_630 @[dec_tlu_ctl.scala 721:20] - node _T_631 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 722:23] - node _T_632 = and(_T_631, mstatus_mie_ns) @[dec_tlu_ctl.scala 722:48] - node _T_633 = bits(mip, 1, 1) @[dec_tlu_ctl.scala 722:70] - node _T_634 = and(_T_632, _T_633) @[dec_tlu_ctl.scala 722:65] - node _T_635 = bits(mie_ns, 1, 1) @[dec_tlu_ctl.scala 722:91] - node _T_636 = and(_T_634, _T_635) @[dec_tlu_ctl.scala 722:83] - timer_int_ready <= _T_636 @[dec_tlu_ctl.scala 722:20] - node _T_637 = bits(mie_ns, 4, 4) @[dec_tlu_ctl.scala 725:57] - node int_timer0_int_possible = and(mstatus_mie_ns, _T_637) @[dec_tlu_ctl.scala 725:49] - node _T_638 = bits(mip, 4, 4) @[dec_tlu_ctl.scala 726:34] - node int_timer0_int_ready = and(_T_638, int_timer0_int_possible) @[dec_tlu_ctl.scala 726:47] - node _T_639 = bits(mie_ns, 3, 3) @[dec_tlu_ctl.scala 727:57] - node int_timer1_int_possible = and(mstatus_mie_ns, _T_639) @[dec_tlu_ctl.scala 727:49] - node _T_640 = bits(mip, 3, 3) @[dec_tlu_ctl.scala 728:34] - node int_timer1_int_ready = and(_T_640, int_timer1_int_possible) @[dec_tlu_ctl.scala 728:47] - node _T_641 = or(io.dec_csr_stall_int_ff, synchronous_flush_r) @[dec_tlu_ctl.scala 732:52] - node _T_642 = or(_T_641, exc_or_int_valid_r_d1) @[dec_tlu_ctl.scala 732:74] - node int_timer_stalled = or(_T_642, mret_r) @[dec_tlu_ctl.scala 732:98] - node _T_643 = or(pmu_fw_tlu_halted_f, int_timer_stalled) @[dec_tlu_ctl.scala 734:72] - node _T_644 = and(int_timer0_int_ready, _T_643) @[dec_tlu_ctl.scala 734:49] - node _T_645 = and(int_timer0_int_possible, int_timer0_int_hold_f) @[dec_tlu_ctl.scala 734:121] - node _T_646 = not(interrupt_valid_r) @[dec_tlu_ctl.scala 734:147] - node _T_647 = and(_T_645, _T_646) @[dec_tlu_ctl.scala 734:145] - node _T_648 = not(take_ext_int_start) @[dec_tlu_ctl.scala 734:168] - node _T_649 = and(_T_647, _T_648) @[dec_tlu_ctl.scala 734:166] - node _T_650 = not(debug_mode_status) @[dec_tlu_ctl.scala 734:190] - node _T_651 = and(_T_649, _T_650) @[dec_tlu_ctl.scala 734:188] - node _T_652 = or(_T_644, _T_651) @[dec_tlu_ctl.scala 734:94] - int_timer0_int_hold <= _T_652 @[dec_tlu_ctl.scala 734:24] - node _T_653 = or(pmu_fw_tlu_halted_f, int_timer_stalled) @[dec_tlu_ctl.scala 735:72] - node _T_654 = and(int_timer1_int_ready, _T_653) @[dec_tlu_ctl.scala 735:49] - node _T_655 = and(int_timer1_int_possible, int_timer1_int_hold_f) @[dec_tlu_ctl.scala 735:121] - node _T_656 = not(interrupt_valid_r) @[dec_tlu_ctl.scala 735:147] - node _T_657 = and(_T_655, _T_656) @[dec_tlu_ctl.scala 735:145] - node _T_658 = not(take_ext_int_start) @[dec_tlu_ctl.scala 735:168] - node _T_659 = and(_T_657, _T_658) @[dec_tlu_ctl.scala 735:166] - node _T_660 = not(debug_mode_status) @[dec_tlu_ctl.scala 735:190] - node _T_661 = and(_T_659, _T_660) @[dec_tlu_ctl.scala 735:188] - node _T_662 = or(_T_654, _T_661) @[dec_tlu_ctl.scala 735:94] - int_timer1_int_hold <= _T_662 @[dec_tlu_ctl.scala 735:24] - node _T_663 = not(dcsr_single_step_running) @[dec_tlu_ctl.scala 737:59] - node _T_664 = and(debug_mode_status, _T_663) @[dec_tlu_ctl.scala 737:57] - internal_dbg_halt_timers <= _T_664 @[dec_tlu_ctl.scala 737:29] - node _T_665 = not(dcsr_single_step_running) @[dec_tlu_ctl.scala 739:55] - node _T_666 = or(_T_665, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 739:81] - node _T_667 = and(internal_dbg_halt_mode, _T_666) @[dec_tlu_ctl.scala 739:52] - node _T_668 = or(_T_667, internal_pmu_fw_halt_mode) @[dec_tlu_ctl.scala 739:107] - node _T_669 = or(_T_668, i_cpu_halt_req_d1) @[dec_tlu_ctl.scala 739:135] - node _T_670 = or(_T_669, take_nmi) @[dec_tlu_ctl.scala 739:155] - node _T_671 = or(_T_670, ebreak_to_debug_mode_r) @[dec_tlu_ctl.scala 739:166] - node _T_672 = or(_T_671, synchronous_flush_r) @[dec_tlu_ctl.scala 739:191] - node _T_673 = or(_T_672, exc_or_int_valid_r_d1) @[dec_tlu_ctl.scala 739:214] - node _T_674 = or(_T_673, mret_r) @[dec_tlu_ctl.scala 739:238] - node block_interrupts = or(_T_674, ext_int_freeze_d1) @[dec_tlu_ctl.scala 739:247] - reg _T_675 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 743:62] - _T_675 <= take_ext_int_start @[dec_tlu_ctl.scala 743:62] - take_ext_int_start_d1 <= _T_675 @[dec_tlu_ctl.scala 743:30] - reg _T_676 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 744:62] - _T_676 <= take_ext_int_start_d1 @[dec_tlu_ctl.scala 744:62] - take_ext_int_start_d2 <= _T_676 @[dec_tlu_ctl.scala 744:30] - reg _T_677 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 745:62] - _T_677 <= take_ext_int_start_d2 @[dec_tlu_ctl.scala 745:62] - take_ext_int_start_d3 <= _T_677 @[dec_tlu_ctl.scala 745:30] - reg _T_678 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 746:66] - _T_678 <= ext_int_freeze @[dec_tlu_ctl.scala 746:66] - ext_int_freeze_d1 <= _T_678 @[dec_tlu_ctl.scala 746:34] - node _T_679 = not(block_interrupts) @[dec_tlu_ctl.scala 747:47] - node _T_680 = and(ext_int_ready, _T_679) @[dec_tlu_ctl.scala 747:45] - take_ext_int_start <= _T_680 @[dec_tlu_ctl.scala 747:28] - node _T_681 = or(take_ext_int_start, take_ext_int_start_d1) @[dec_tlu_ctl.scala 749:46] - node _T_682 = or(_T_681, take_ext_int_start_d2) @[dec_tlu_ctl.scala 749:70] - node _T_683 = or(_T_682, take_ext_int_start_d3) @[dec_tlu_ctl.scala 749:94] - ext_int_freeze <= _T_683 @[dec_tlu_ctl.scala 749:24] - node _T_684 = orr(io.lsu_fir_error) @[dec_tlu_ctl.scala 750:67] - node _T_685 = not(_T_684) @[dec_tlu_ctl.scala 750:49] - node _T_686 = and(take_ext_int_start_d3, _T_685) @[dec_tlu_ctl.scala 750:47] - take_ext_int <= _T_686 @[dec_tlu_ctl.scala 750:22] - node _T_687 = and(csr_pkt.csr_meicpct, io.dec_csr_any_unq_d) @[dec_tlu_ctl.scala 751:49] - fast_int_meicpct <= _T_687 @[dec_tlu_ctl.scala 751:26] - ignore_ext_int_due_to_lsu_stall <= io.lsu_fastint_stall_any @[dec_tlu_ctl.scala 752:41] - node _T_688 = not(ext_int_ready) @[dec_tlu_ctl.scala 765:35] - node _T_689 = and(ce_int_ready, _T_688) @[dec_tlu_ctl.scala 765:33] - node _T_690 = not(block_interrupts) @[dec_tlu_ctl.scala 765:52] - node _T_691 = and(_T_689, _T_690) @[dec_tlu_ctl.scala 765:50] - take_ce_int <= _T_691 @[dec_tlu_ctl.scala 765:17] - node _T_692 = not(ext_int_ready) @[dec_tlu_ctl.scala 766:38] - node _T_693 = and(soft_int_ready, _T_692) @[dec_tlu_ctl.scala 766:36] - node _T_694 = not(ce_int_ready) @[dec_tlu_ctl.scala 766:55] - node _T_695 = and(_T_693, _T_694) @[dec_tlu_ctl.scala 766:53] - node _T_696 = not(block_interrupts) @[dec_tlu_ctl.scala 766:71] - node _T_697 = and(_T_695, _T_696) @[dec_tlu_ctl.scala 766:69] - take_soft_int <= _T_697 @[dec_tlu_ctl.scala 766:18] - node _T_698 = not(soft_int_ready) @[dec_tlu_ctl.scala 767:40] - node _T_699 = and(timer_int_ready, _T_698) @[dec_tlu_ctl.scala 767:38] - node _T_700 = not(ext_int_ready) @[dec_tlu_ctl.scala 767:58] - node _T_701 = and(_T_699, _T_700) @[dec_tlu_ctl.scala 767:56] - node _T_702 = not(ce_int_ready) @[dec_tlu_ctl.scala 767:75] - node _T_703 = and(_T_701, _T_702) @[dec_tlu_ctl.scala 767:73] - node _T_704 = not(block_interrupts) @[dec_tlu_ctl.scala 767:91] - node _T_705 = and(_T_703, _T_704) @[dec_tlu_ctl.scala 767:89] - take_timer_int <= _T_705 @[dec_tlu_ctl.scala 767:19] - node _T_706 = or(int_timer0_int_ready, int_timer0_int_hold_f) @[dec_tlu_ctl.scala 768:49] - node _T_707 = and(_T_706, int_timer0_int_possible) @[dec_tlu_ctl.scala 768:74] - node _T_708 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 768:102] - node _T_709 = and(_T_707, _T_708) @[dec_tlu_ctl.scala 768:100] - node _T_710 = not(timer_int_ready) @[dec_tlu_ctl.scala 768:129] - node _T_711 = and(_T_709, _T_710) @[dec_tlu_ctl.scala 768:127] - node _T_712 = not(soft_int_ready) @[dec_tlu_ctl.scala 768:148] - node _T_713 = and(_T_711, _T_712) @[dec_tlu_ctl.scala 768:146] - node _T_714 = not(ext_int_ready) @[dec_tlu_ctl.scala 768:166] - node _T_715 = and(_T_713, _T_714) @[dec_tlu_ctl.scala 768:164] - node _T_716 = not(ce_int_ready) @[dec_tlu_ctl.scala 768:183] - node _T_717 = and(_T_715, _T_716) @[dec_tlu_ctl.scala 768:181] - node _T_718 = not(block_interrupts) @[dec_tlu_ctl.scala 768:199] - node _T_719 = and(_T_717, _T_718) @[dec_tlu_ctl.scala 768:197] - take_int_timer0_int <= _T_719 @[dec_tlu_ctl.scala 768:24] - node _T_720 = or(int_timer1_int_ready, int_timer1_int_hold_f) @[dec_tlu_ctl.scala 769:49] - node _T_721 = and(_T_720, int_timer1_int_possible) @[dec_tlu_ctl.scala 769:74] - node _T_722 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 769:102] - node _T_723 = and(_T_721, _T_722) @[dec_tlu_ctl.scala 769:100] - node _T_724 = or(int_timer0_int_ready, int_timer0_int_hold_f) @[dec_tlu_ctl.scala 769:152] - node _T_725 = not(_T_724) @[dec_tlu_ctl.scala 769:129] - node _T_726 = and(_T_723, _T_725) @[dec_tlu_ctl.scala 769:127] - node _T_727 = not(timer_int_ready) @[dec_tlu_ctl.scala 769:179] - node _T_728 = and(_T_726, _T_727) @[dec_tlu_ctl.scala 769:177] - node _T_729 = not(soft_int_ready) @[dec_tlu_ctl.scala 769:198] - node _T_730 = and(_T_728, _T_729) @[dec_tlu_ctl.scala 769:196] - node _T_731 = not(ext_int_ready) @[dec_tlu_ctl.scala 769:216] - node _T_732 = and(_T_730, _T_731) @[dec_tlu_ctl.scala 769:214] - node _T_733 = not(ce_int_ready) @[dec_tlu_ctl.scala 769:233] - node _T_734 = and(_T_732, _T_733) @[dec_tlu_ctl.scala 769:231] - node _T_735 = not(block_interrupts) @[dec_tlu_ctl.scala 769:249] - node _T_736 = and(_T_734, _T_735) @[dec_tlu_ctl.scala 769:247] - take_int_timer1_int <= _T_736 @[dec_tlu_ctl.scala 769:24] - node _T_737 = and(reset_delayed, io.mpc_reset_run_req) @[dec_tlu_ctl.scala 770:32] - take_reset <= _T_737 @[dec_tlu_ctl.scala 770:15] - node _T_738 = not(internal_pmu_fw_halt_mode) @[dec_tlu_ctl.scala 771:35] - node _T_739 = and(nmi_int_detected, _T_738) @[dec_tlu_ctl.scala 771:33] - node _T_740 = not(internal_dbg_halt_mode) @[dec_tlu_ctl.scala 771:65] - node _T_741 = bits(dcsr, 11, 11) @[dec_tlu_ctl.scala 771:125] - node _T_742 = and(dcsr_single_step_running_f, _T_741) @[dec_tlu_ctl.scala 771:119] - node _T_743 = not(io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 771:141] - node _T_744 = and(_T_742, _T_743) @[dec_tlu_ctl.scala 771:139] - node _T_745 = not(dcsr_single_step_done_f) @[dec_tlu_ctl.scala 771:166] - node _T_746 = and(_T_744, _T_745) @[dec_tlu_ctl.scala 771:164] - node _T_747 = or(_T_740, _T_746) @[dec_tlu_ctl.scala 771:89] - node _T_748 = and(_T_739, _T_747) @[dec_tlu_ctl.scala 771:62] - node _T_749 = not(synchronous_flush_r) @[dec_tlu_ctl.scala 771:195] - node _T_750 = and(_T_748, _T_749) @[dec_tlu_ctl.scala 771:193] - node _T_751 = not(mret_r) @[dec_tlu_ctl.scala 771:218] - node _T_752 = and(_T_750, _T_751) @[dec_tlu_ctl.scala 771:216] - node _T_753 = not(take_reset) @[dec_tlu_ctl.scala 771:228] - node _T_754 = and(_T_752, _T_753) @[dec_tlu_ctl.scala 771:226] - node _T_755 = not(ebreak_to_debug_mode_r) @[dec_tlu_ctl.scala 771:242] - node _T_756 = and(_T_754, _T_755) @[dec_tlu_ctl.scala 771:240] - node _T_757 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 771:269] - node _T_758 = orr(io.lsu_fir_error) @[dec_tlu_ctl.scala 771:332] - node _T_759 = and(take_ext_int_start_d3, _T_758) @[dec_tlu_ctl.scala 771:313] - node _T_760 = or(_T_757, _T_759) @[dec_tlu_ctl.scala 771:288] - node _T_761 = and(_T_756, _T_760) @[dec_tlu_ctl.scala 771:266] - take_nmi <= _T_761 @[dec_tlu_ctl.scala 771:13] - node _T_762 = or(take_ext_int, take_timer_int) @[dec_tlu_ctl.scala 774:38] - node _T_763 = or(_T_762, take_soft_int) @[dec_tlu_ctl.scala 774:55] - node _T_764 = or(_T_763, take_nmi) @[dec_tlu_ctl.scala 774:71] - node _T_765 = or(_T_764, take_ce_int) @[dec_tlu_ctl.scala 774:82] - node _T_766 = or(_T_765, take_int_timer0_int) @[dec_tlu_ctl.scala 774:96] - node _T_767 = or(_T_766, take_int_timer1_int) @[dec_tlu_ctl.scala 774:118] - interrupt_valid_r <= _T_767 @[dec_tlu_ctl.scala 774:22] - node _T_768 = bits(mtvec, 30, 1) @[dec_tlu_ctl.scala 779:34] + node _T_605 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 717:24] + node _T_606 = and(_T_605, mstatus_mie_ns) @[dec_tlu_ctl.scala 717:49] + node _T_607 = bits(mip, 2, 2) @[dec_tlu_ctl.scala 717:71] + node _T_608 = and(_T_606, _T_607) @[dec_tlu_ctl.scala 717:66] + node _T_609 = bits(mie_ns, 2, 2) @[dec_tlu_ctl.scala 717:92] + node _T_610 = and(_T_608, _T_609) @[dec_tlu_ctl.scala 717:84] + mhwakeup_ready <= _T_610 @[dec_tlu_ctl.scala 717:20] + node _T_611 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 718:23] + node _T_612 = and(_T_611, mstatus_mie_ns) @[dec_tlu_ctl.scala 718:48] + node _T_613 = bits(mip, 2, 2) @[dec_tlu_ctl.scala 718:70] + node _T_614 = and(_T_612, _T_613) @[dec_tlu_ctl.scala 718:65] + node _T_615 = bits(mie_ns, 2, 2) @[dec_tlu_ctl.scala 718:91] + node _T_616 = and(_T_614, _T_615) @[dec_tlu_ctl.scala 718:83] + node _T_617 = not(ignore_ext_int_due_to_lsu_stall) @[dec_tlu_ctl.scala 718:104] + node _T_618 = and(_T_616, _T_617) @[dec_tlu_ctl.scala 718:102] + ext_int_ready <= _T_618 @[dec_tlu_ctl.scala 718:20] + node _T_619 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 719:23] + node _T_620 = and(_T_619, mstatus_mie_ns) @[dec_tlu_ctl.scala 719:48] + node _T_621 = bits(mip, 5, 5) @[dec_tlu_ctl.scala 719:70] + node _T_622 = and(_T_620, _T_621) @[dec_tlu_ctl.scala 719:65] + node _T_623 = bits(mie_ns, 5, 5) @[dec_tlu_ctl.scala 719:91] + node _T_624 = and(_T_622, _T_623) @[dec_tlu_ctl.scala 719:83] + ce_int_ready <= _T_624 @[dec_tlu_ctl.scala 719:20] + node _T_625 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 720:23] + node _T_626 = and(_T_625, mstatus_mie_ns) @[dec_tlu_ctl.scala 720:48] + node _T_627 = bits(mip, 0, 0) @[dec_tlu_ctl.scala 720:70] + node _T_628 = and(_T_626, _T_627) @[dec_tlu_ctl.scala 720:65] + node _T_629 = bits(mie_ns, 0, 0) @[dec_tlu_ctl.scala 720:91] + node _T_630 = and(_T_628, _T_629) @[dec_tlu_ctl.scala 720:83] + soft_int_ready <= _T_630 @[dec_tlu_ctl.scala 720:20] + node _T_631 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 721:23] + node _T_632 = and(_T_631, mstatus_mie_ns) @[dec_tlu_ctl.scala 721:48] + node _T_633 = bits(mip, 1, 1) @[dec_tlu_ctl.scala 721:70] + node _T_634 = and(_T_632, _T_633) @[dec_tlu_ctl.scala 721:65] + node _T_635 = bits(mie_ns, 1, 1) @[dec_tlu_ctl.scala 721:91] + node _T_636 = and(_T_634, _T_635) @[dec_tlu_ctl.scala 721:83] + timer_int_ready <= _T_636 @[dec_tlu_ctl.scala 721:20] + node _T_637 = bits(mie_ns, 4, 4) @[dec_tlu_ctl.scala 724:57] + node int_timer0_int_possible = and(mstatus_mie_ns, _T_637) @[dec_tlu_ctl.scala 724:49] + node _T_638 = bits(mip, 4, 4) @[dec_tlu_ctl.scala 725:34] + node int_timer0_int_ready = and(_T_638, int_timer0_int_possible) @[dec_tlu_ctl.scala 725:47] + node _T_639 = bits(mie_ns, 3, 3) @[dec_tlu_ctl.scala 726:57] + node int_timer1_int_possible = and(mstatus_mie_ns, _T_639) @[dec_tlu_ctl.scala 726:49] + node _T_640 = bits(mip, 3, 3) @[dec_tlu_ctl.scala 727:34] + node int_timer1_int_ready = and(_T_640, int_timer1_int_possible) @[dec_tlu_ctl.scala 727:47] + node _T_641 = or(io.dec_csr_stall_int_ff, synchronous_flush_r) @[dec_tlu_ctl.scala 731:52] + node _T_642 = or(_T_641, exc_or_int_valid_r_d1) @[dec_tlu_ctl.scala 731:74] + node int_timer_stalled = or(_T_642, mret_r) @[dec_tlu_ctl.scala 731:98] + node _T_643 = or(pmu_fw_tlu_halted_f, int_timer_stalled) @[dec_tlu_ctl.scala 733:72] + node _T_644 = and(int_timer0_int_ready, _T_643) @[dec_tlu_ctl.scala 733:49] + node _T_645 = and(int_timer0_int_possible, int_timer0_int_hold_f) @[dec_tlu_ctl.scala 733:121] + node _T_646 = not(interrupt_valid_r) @[dec_tlu_ctl.scala 733:147] + node _T_647 = and(_T_645, _T_646) @[dec_tlu_ctl.scala 733:145] + node _T_648 = not(take_ext_int_start) @[dec_tlu_ctl.scala 733:168] + node _T_649 = and(_T_647, _T_648) @[dec_tlu_ctl.scala 733:166] + node _T_650 = not(debug_mode_status) @[dec_tlu_ctl.scala 733:190] + node _T_651 = and(_T_649, _T_650) @[dec_tlu_ctl.scala 733:188] + node _T_652 = or(_T_644, _T_651) @[dec_tlu_ctl.scala 733:94] + int_timer0_int_hold <= _T_652 @[dec_tlu_ctl.scala 733:24] + node _T_653 = or(pmu_fw_tlu_halted_f, int_timer_stalled) @[dec_tlu_ctl.scala 734:72] + node _T_654 = and(int_timer1_int_ready, _T_653) @[dec_tlu_ctl.scala 734:49] + node _T_655 = and(int_timer1_int_possible, int_timer1_int_hold_f) @[dec_tlu_ctl.scala 734:121] + node _T_656 = not(interrupt_valid_r) @[dec_tlu_ctl.scala 734:147] + node _T_657 = and(_T_655, _T_656) @[dec_tlu_ctl.scala 734:145] + node _T_658 = not(take_ext_int_start) @[dec_tlu_ctl.scala 734:168] + node _T_659 = and(_T_657, _T_658) @[dec_tlu_ctl.scala 734:166] + node _T_660 = not(debug_mode_status) @[dec_tlu_ctl.scala 734:190] + node _T_661 = and(_T_659, _T_660) @[dec_tlu_ctl.scala 734:188] + node _T_662 = or(_T_654, _T_661) @[dec_tlu_ctl.scala 734:94] + int_timer1_int_hold <= _T_662 @[dec_tlu_ctl.scala 734:24] + node _T_663 = not(dcsr_single_step_running) @[dec_tlu_ctl.scala 736:59] + node _T_664 = and(debug_mode_status, _T_663) @[dec_tlu_ctl.scala 736:57] + internal_dbg_halt_timers <= _T_664 @[dec_tlu_ctl.scala 736:29] + node _T_665 = not(dcsr_single_step_running) @[dec_tlu_ctl.scala 738:55] + node _T_666 = or(_T_665, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 738:81] + node _T_667 = and(internal_dbg_halt_mode, _T_666) @[dec_tlu_ctl.scala 738:52] + node _T_668 = or(_T_667, internal_pmu_fw_halt_mode) @[dec_tlu_ctl.scala 738:107] + node _T_669 = or(_T_668, i_cpu_halt_req_d1) @[dec_tlu_ctl.scala 738:135] + node _T_670 = or(_T_669, take_nmi) @[dec_tlu_ctl.scala 738:155] + node _T_671 = or(_T_670, ebreak_to_debug_mode_r) @[dec_tlu_ctl.scala 738:166] + node _T_672 = or(_T_671, synchronous_flush_r) @[dec_tlu_ctl.scala 738:191] + node _T_673 = or(_T_672, exc_or_int_valid_r_d1) @[dec_tlu_ctl.scala 738:214] + node _T_674 = or(_T_673, mret_r) @[dec_tlu_ctl.scala 738:238] + node block_interrupts = or(_T_674, ext_int_freeze_d1) @[dec_tlu_ctl.scala 738:247] + reg _T_675 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 742:62] + _T_675 <= take_ext_int_start @[dec_tlu_ctl.scala 742:62] + take_ext_int_start_d1 <= _T_675 @[dec_tlu_ctl.scala 742:30] + reg _T_676 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 743:62] + _T_676 <= take_ext_int_start_d1 @[dec_tlu_ctl.scala 743:62] + take_ext_int_start_d2 <= _T_676 @[dec_tlu_ctl.scala 743:30] + reg _T_677 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 744:62] + _T_677 <= take_ext_int_start_d2 @[dec_tlu_ctl.scala 744:62] + take_ext_int_start_d3 <= _T_677 @[dec_tlu_ctl.scala 744:30] + reg _T_678 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 745:66] + _T_678 <= ext_int_freeze @[dec_tlu_ctl.scala 745:66] + ext_int_freeze_d1 <= _T_678 @[dec_tlu_ctl.scala 745:34] + node _T_679 = not(block_interrupts) @[dec_tlu_ctl.scala 746:47] + node _T_680 = and(ext_int_ready, _T_679) @[dec_tlu_ctl.scala 746:45] + take_ext_int_start <= _T_680 @[dec_tlu_ctl.scala 746:28] + node _T_681 = or(take_ext_int_start, take_ext_int_start_d1) @[dec_tlu_ctl.scala 748:46] + node _T_682 = or(_T_681, take_ext_int_start_d2) @[dec_tlu_ctl.scala 748:70] + node _T_683 = or(_T_682, take_ext_int_start_d3) @[dec_tlu_ctl.scala 748:94] + ext_int_freeze <= _T_683 @[dec_tlu_ctl.scala 748:24] + node _T_684 = orr(io.lsu_fir_error) @[dec_tlu_ctl.scala 749:67] + node _T_685 = not(_T_684) @[dec_tlu_ctl.scala 749:49] + node _T_686 = and(take_ext_int_start_d3, _T_685) @[dec_tlu_ctl.scala 749:47] + take_ext_int <= _T_686 @[dec_tlu_ctl.scala 749:22] + node _T_687 = and(csr_pkt.csr_meicpct, io.dec_csr_any_unq_d) @[dec_tlu_ctl.scala 750:49] + fast_int_meicpct <= _T_687 @[dec_tlu_ctl.scala 750:26] + ignore_ext_int_due_to_lsu_stall <= io.lsu_fastint_stall_any @[dec_tlu_ctl.scala 751:41] + node _T_688 = not(ext_int_ready) @[dec_tlu_ctl.scala 764:35] + node _T_689 = and(ce_int_ready, _T_688) @[dec_tlu_ctl.scala 764:33] + node _T_690 = not(block_interrupts) @[dec_tlu_ctl.scala 764:52] + node _T_691 = and(_T_689, _T_690) @[dec_tlu_ctl.scala 764:50] + take_ce_int <= _T_691 @[dec_tlu_ctl.scala 764:17] + node _T_692 = not(ext_int_ready) @[dec_tlu_ctl.scala 765:38] + node _T_693 = and(soft_int_ready, _T_692) @[dec_tlu_ctl.scala 765:36] + node _T_694 = not(ce_int_ready) @[dec_tlu_ctl.scala 765:55] + node _T_695 = and(_T_693, _T_694) @[dec_tlu_ctl.scala 765:53] + node _T_696 = not(block_interrupts) @[dec_tlu_ctl.scala 765:71] + node _T_697 = and(_T_695, _T_696) @[dec_tlu_ctl.scala 765:69] + take_soft_int <= _T_697 @[dec_tlu_ctl.scala 765:18] + node _T_698 = not(soft_int_ready) @[dec_tlu_ctl.scala 766:40] + node _T_699 = and(timer_int_ready, _T_698) @[dec_tlu_ctl.scala 766:38] + node _T_700 = not(ext_int_ready) @[dec_tlu_ctl.scala 766:58] + node _T_701 = and(_T_699, _T_700) @[dec_tlu_ctl.scala 766:56] + node _T_702 = not(ce_int_ready) @[dec_tlu_ctl.scala 766:75] + node _T_703 = and(_T_701, _T_702) @[dec_tlu_ctl.scala 766:73] + node _T_704 = not(block_interrupts) @[dec_tlu_ctl.scala 766:91] + node _T_705 = and(_T_703, _T_704) @[dec_tlu_ctl.scala 766:89] + take_timer_int <= _T_705 @[dec_tlu_ctl.scala 766:19] + node _T_706 = or(int_timer0_int_ready, int_timer0_int_hold_f) @[dec_tlu_ctl.scala 767:49] + node _T_707 = and(_T_706, int_timer0_int_possible) @[dec_tlu_ctl.scala 767:74] + node _T_708 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 767:102] + node _T_709 = and(_T_707, _T_708) @[dec_tlu_ctl.scala 767:100] + node _T_710 = not(timer_int_ready) @[dec_tlu_ctl.scala 767:129] + node _T_711 = and(_T_709, _T_710) @[dec_tlu_ctl.scala 767:127] + node _T_712 = not(soft_int_ready) @[dec_tlu_ctl.scala 767:148] + node _T_713 = and(_T_711, _T_712) @[dec_tlu_ctl.scala 767:146] + node _T_714 = not(ext_int_ready) @[dec_tlu_ctl.scala 767:166] + node _T_715 = and(_T_713, _T_714) @[dec_tlu_ctl.scala 767:164] + node _T_716 = not(ce_int_ready) @[dec_tlu_ctl.scala 767:183] + node _T_717 = and(_T_715, _T_716) @[dec_tlu_ctl.scala 767:181] + node _T_718 = not(block_interrupts) @[dec_tlu_ctl.scala 767:199] + node _T_719 = and(_T_717, _T_718) @[dec_tlu_ctl.scala 767:197] + take_int_timer0_int <= _T_719 @[dec_tlu_ctl.scala 767:24] + node _T_720 = or(int_timer1_int_ready, int_timer1_int_hold_f) @[dec_tlu_ctl.scala 768:49] + node _T_721 = and(_T_720, int_timer1_int_possible) @[dec_tlu_ctl.scala 768:74] + node _T_722 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 768:102] + node _T_723 = and(_T_721, _T_722) @[dec_tlu_ctl.scala 768:100] + node _T_724 = or(int_timer0_int_ready, int_timer0_int_hold_f) @[dec_tlu_ctl.scala 768:152] + node _T_725 = not(_T_724) @[dec_tlu_ctl.scala 768:129] + node _T_726 = and(_T_723, _T_725) @[dec_tlu_ctl.scala 768:127] + node _T_727 = not(timer_int_ready) @[dec_tlu_ctl.scala 768:179] + node _T_728 = and(_T_726, _T_727) @[dec_tlu_ctl.scala 768:177] + node _T_729 = not(soft_int_ready) @[dec_tlu_ctl.scala 768:198] + node _T_730 = and(_T_728, _T_729) @[dec_tlu_ctl.scala 768:196] + node _T_731 = not(ext_int_ready) @[dec_tlu_ctl.scala 768:216] + node _T_732 = and(_T_730, _T_731) @[dec_tlu_ctl.scala 768:214] + node _T_733 = not(ce_int_ready) @[dec_tlu_ctl.scala 768:233] + node _T_734 = and(_T_732, _T_733) @[dec_tlu_ctl.scala 768:231] + node _T_735 = not(block_interrupts) @[dec_tlu_ctl.scala 768:249] + node _T_736 = and(_T_734, _T_735) @[dec_tlu_ctl.scala 768:247] + take_int_timer1_int <= _T_736 @[dec_tlu_ctl.scala 768:24] + node _T_737 = and(reset_delayed, io.mpc_reset_run_req) @[dec_tlu_ctl.scala 769:32] + take_reset <= _T_737 @[dec_tlu_ctl.scala 769:15] + node _T_738 = not(internal_pmu_fw_halt_mode) @[dec_tlu_ctl.scala 770:35] + node _T_739 = and(nmi_int_detected, _T_738) @[dec_tlu_ctl.scala 770:33] + node _T_740 = not(internal_dbg_halt_mode) @[dec_tlu_ctl.scala 770:65] + node _T_741 = bits(dcsr, 11, 11) @[dec_tlu_ctl.scala 770:125] + node _T_742 = and(dcsr_single_step_running_f, _T_741) @[dec_tlu_ctl.scala 770:119] + node _T_743 = not(io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 770:141] + node _T_744 = and(_T_742, _T_743) @[dec_tlu_ctl.scala 770:139] + node _T_745 = not(dcsr_single_step_done_f) @[dec_tlu_ctl.scala 770:166] + node _T_746 = and(_T_744, _T_745) @[dec_tlu_ctl.scala 770:164] + node _T_747 = or(_T_740, _T_746) @[dec_tlu_ctl.scala 770:89] + node _T_748 = and(_T_739, _T_747) @[dec_tlu_ctl.scala 770:62] + node _T_749 = not(synchronous_flush_r) @[dec_tlu_ctl.scala 770:195] + node _T_750 = and(_T_748, _T_749) @[dec_tlu_ctl.scala 770:193] + node _T_751 = not(mret_r) @[dec_tlu_ctl.scala 770:218] + node _T_752 = and(_T_750, _T_751) @[dec_tlu_ctl.scala 770:216] + node _T_753 = not(take_reset) @[dec_tlu_ctl.scala 770:228] + node _T_754 = and(_T_752, _T_753) @[dec_tlu_ctl.scala 770:226] + node _T_755 = not(ebreak_to_debug_mode_r) @[dec_tlu_ctl.scala 770:242] + node _T_756 = and(_T_754, _T_755) @[dec_tlu_ctl.scala 770:240] + node _T_757 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 770:269] + node _T_758 = orr(io.lsu_fir_error) @[dec_tlu_ctl.scala 770:332] + node _T_759 = and(take_ext_int_start_d3, _T_758) @[dec_tlu_ctl.scala 770:313] + node _T_760 = or(_T_757, _T_759) @[dec_tlu_ctl.scala 770:288] + node _T_761 = and(_T_756, _T_760) @[dec_tlu_ctl.scala 770:266] + take_nmi <= _T_761 @[dec_tlu_ctl.scala 770:13] + node _T_762 = or(take_ext_int, take_timer_int) @[dec_tlu_ctl.scala 773:38] + node _T_763 = or(_T_762, take_soft_int) @[dec_tlu_ctl.scala 773:55] + node _T_764 = or(_T_763, take_nmi) @[dec_tlu_ctl.scala 773:71] + node _T_765 = or(_T_764, take_ce_int) @[dec_tlu_ctl.scala 773:82] + node _T_766 = or(_T_765, take_int_timer0_int) @[dec_tlu_ctl.scala 773:96] + node _T_767 = or(_T_766, take_int_timer1_int) @[dec_tlu_ctl.scala 773:118] + interrupt_valid_r <= _T_767 @[dec_tlu_ctl.scala 773:22] + node _T_768 = bits(mtvec, 30, 1) @[dec_tlu_ctl.scala 778:34] node _T_769 = cat(_T_768, UInt<1>("h00")) @[Cat.scala 29:58] node _T_770 = cat(UInt<25>("h00"), exc_cause_r) @[Cat.scala 29:58] node _T_771 = cat(_T_770, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_772 = add(_T_769, _T_771) @[dec_tlu_ctl.scala 779:51] - node vectored_path = tail(_T_772, 1) @[dec_tlu_ctl.scala 779:51] - node _T_773 = bits(take_nmi, 0, 0) @[dec_tlu_ctl.scala 780:38] - node _T_774 = bits(mtvec, 0, 0) @[dec_tlu_ctl.scala 780:67] - node _T_775 = eq(_T_774, UInt<1>("h01")) @[dec_tlu_ctl.scala 780:71] - node _T_776 = bits(mtvec, 30, 1) @[dec_tlu_ctl.scala 780:104] + node _T_772 = add(_T_769, _T_771) @[dec_tlu_ctl.scala 778:51] + node vectored_path = tail(_T_772, 1) @[dec_tlu_ctl.scala 778:51] + node _T_773 = bits(take_nmi, 0, 0) @[dec_tlu_ctl.scala 779:38] + node _T_774 = bits(mtvec, 0, 0) @[dec_tlu_ctl.scala 779:67] + node _T_775 = eq(_T_774, UInt<1>("h01")) @[dec_tlu_ctl.scala 779:71] + node _T_776 = bits(mtvec, 30, 1) @[dec_tlu_ctl.scala 779:104] node _T_777 = cat(_T_776, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_778 = mux(_T_775, vectored_path, _T_777) @[dec_tlu_ctl.scala 780:61] - node interrupt_path = mux(_T_773, io.nmi_vec, _T_778) @[dec_tlu_ctl.scala 780:28] - node _T_779 = or(lsu_i0_rfnpc_r, fence_i_r) @[dec_tlu_ctl.scala 781:36] - node _T_780 = or(_T_779, iccm_repair_state_rfnpc) @[dec_tlu_ctl.scala 781:48] - node _T_781 = not(interrupt_valid_r) @[dec_tlu_ctl.scala 781:96] - node _T_782 = and(i_cpu_run_req_d1, _T_781) @[dec_tlu_ctl.scala 781:94] - node _T_783 = or(_T_780, _T_782) @[dec_tlu_ctl.scala 781:74] - node _T_784 = not(io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 781:131] - node _T_785 = and(rfpc_i0_r, _T_784) @[dec_tlu_ctl.scala 781:129] - node sel_npc_r = or(_T_783, _T_785) @[dec_tlu_ctl.scala 781:116] - node _T_786 = and(i_cpu_run_req_d1, pmu_fw_tlu_halted_f) @[dec_tlu_ctl.scala 782:43] - node sel_npc_resume = or(_T_786, pause_expired_r) @[dec_tlu_ctl.scala 782:66] - node _T_787 = orr(io.lsu_fir_error) @[dec_tlu_ctl.scala 783:65] - node _T_788 = not(_T_787) @[dec_tlu_ctl.scala 783:47] - node sel_fir_addr = and(take_ext_int_start_d3, _T_788) @[dec_tlu_ctl.scala 783:45] - node _T_789 = or(i0_exception_valid_r, rfpc_i0_r) @[dec_tlu_ctl.scala 784:49] - node _T_790 = or(_T_789, lsu_exc_valid_r) @[dec_tlu_ctl.scala 784:61] - node _T_791 = or(_T_790, fence_i_r) @[dec_tlu_ctl.scala 784:79] - node _T_792 = or(_T_791, lsu_i0_rfnpc_r) @[dec_tlu_ctl.scala 784:91] - node _T_793 = or(_T_792, iccm_repair_state_rfnpc) @[dec_tlu_ctl.scala 784:108] - node _T_794 = or(_T_793, debug_resume_req_f) @[dec_tlu_ctl.scala 784:135] - node _T_795 = or(_T_794, sel_npc_resume) @[dec_tlu_ctl.scala 784:157] - node _T_796 = or(_T_795, dec_tlu_wr_pause_r_d1) @[dec_tlu_ctl.scala 784:175] - node _T_797 = or(_T_796, i0_trigger_hit_r) @[dec_tlu_ctl.scala 784:201] - synchronous_flush_r <= _T_797 @[dec_tlu_ctl.scala 784:25] - node _T_798 = or(interrupt_valid_r, mret_r) @[dec_tlu_ctl.scala 785:43] - node _T_799 = or(_T_798, synchronous_flush_r) @[dec_tlu_ctl.scala 785:52] - node _T_800 = or(_T_799, take_halt) @[dec_tlu_ctl.scala 785:74] - node _T_801 = or(_T_800, take_reset) @[dec_tlu_ctl.scala 785:86] - node _T_802 = or(_T_801, take_ext_int_start) @[dec_tlu_ctl.scala 785:99] - tlu_flush_lower_r <= _T_802 @[dec_tlu_ctl.scala 785:22] - node _T_803 = bits(take_reset, 0, 0) @[dec_tlu_ctl.scala 787:42] - node _T_804 = bits(sel_fir_addr, 0, 0) @[dec_tlu_ctl.scala 788:72] - node _T_805 = eq(take_nmi, UInt<1>("h00")) @[dec_tlu_ctl.scala 789:66] - node _T_806 = eq(sel_npc_r, UInt<1>("h01")) @[dec_tlu_ctl.scala 789:84] - node _T_807 = and(_T_805, _T_806) @[dec_tlu_ctl.scala 789:73] - node _T_808 = eq(take_nmi, UInt<1>("h00")) @[dec_tlu_ctl.scala 790:66] - node _T_809 = eq(rfpc_i0_r, UInt<1>("h01")) @[dec_tlu_ctl.scala 790:84] - node _T_810 = and(_T_808, _T_809) @[dec_tlu_ctl.scala 790:73] - node _T_811 = eq(io.dec_tlu_i0_valid_r, UInt<1>("h01")) @[dec_tlu_ctl.scala 790:114] - node _T_812 = and(_T_810, _T_811) @[dec_tlu_ctl.scala 790:91] - node _T_813 = eq(sel_npc_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 790:132] - node _T_814 = and(_T_812, _T_813) @[dec_tlu_ctl.scala 790:121] - node _T_815 = eq(interrupt_valid_r, UInt<1>("h01")) @[dec_tlu_ctl.scala 791:75] - node _T_816 = eq(sel_fir_addr, UInt<1>("h00")) @[dec_tlu_ctl.scala 791:96] - node _T_817 = and(_T_815, _T_816) @[dec_tlu_ctl.scala 791:82] - node _T_818 = or(i0_exception_valid_r, lsu_exc_valid_r) @[dec_tlu_ctl.scala 792:80] - node _T_819 = not(trigger_hit_dmode_r) @[dec_tlu_ctl.scala 792:120] - node _T_820 = and(i0_trigger_hit_r, _T_819) @[dec_tlu_ctl.scala 792:118] - node _T_821 = or(_T_818, _T_820) @[dec_tlu_ctl.scala 792:98] - node _T_822 = not(interrupt_valid_r) @[dec_tlu_ctl.scala 792:145] - node _T_823 = and(_T_821, _T_822) @[dec_tlu_ctl.scala 792:143] - node _T_824 = not(sel_fir_addr) @[dec_tlu_ctl.scala 792:166] - node _T_825 = and(_T_823, _T_824) @[dec_tlu_ctl.scala 792:164] - node _T_826 = bits(_T_825, 0, 0) @[dec_tlu_ctl.scala 792:181] - node _T_827 = bits(mtvec, 30, 1) @[dec_tlu_ctl.scala 792:205] + node _T_778 = mux(_T_775, vectored_path, _T_777) @[dec_tlu_ctl.scala 779:61] + node interrupt_path = mux(_T_773, io.nmi_vec, _T_778) @[dec_tlu_ctl.scala 779:28] + node _T_779 = or(lsu_i0_rfnpc_r, fence_i_r) @[dec_tlu_ctl.scala 780:36] + node _T_780 = or(_T_779, iccm_repair_state_rfnpc) @[dec_tlu_ctl.scala 780:48] + node _T_781 = not(interrupt_valid_r) @[dec_tlu_ctl.scala 780:96] + node _T_782 = and(i_cpu_run_req_d1, _T_781) @[dec_tlu_ctl.scala 780:94] + node _T_783 = or(_T_780, _T_782) @[dec_tlu_ctl.scala 780:74] + node _T_784 = not(io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 780:131] + node _T_785 = and(rfpc_i0_r, _T_784) @[dec_tlu_ctl.scala 780:129] + node sel_npc_r = or(_T_783, _T_785) @[dec_tlu_ctl.scala 780:116] + node _T_786 = and(i_cpu_run_req_d1, pmu_fw_tlu_halted_f) @[dec_tlu_ctl.scala 781:43] + node sel_npc_resume = or(_T_786, pause_expired_r) @[dec_tlu_ctl.scala 781:66] + node _T_787 = orr(io.lsu_fir_error) @[dec_tlu_ctl.scala 782:65] + node _T_788 = not(_T_787) @[dec_tlu_ctl.scala 782:47] + node sel_fir_addr = and(take_ext_int_start_d3, _T_788) @[dec_tlu_ctl.scala 782:45] + node _T_789 = or(i0_exception_valid_r, rfpc_i0_r) @[dec_tlu_ctl.scala 783:49] + node _T_790 = or(_T_789, lsu_exc_valid_r) @[dec_tlu_ctl.scala 783:61] + node _T_791 = or(_T_790, fence_i_r) @[dec_tlu_ctl.scala 783:79] + node _T_792 = or(_T_791, lsu_i0_rfnpc_r) @[dec_tlu_ctl.scala 783:91] + node _T_793 = or(_T_792, iccm_repair_state_rfnpc) @[dec_tlu_ctl.scala 783:108] + node _T_794 = or(_T_793, debug_resume_req_f) @[dec_tlu_ctl.scala 783:135] + node _T_795 = or(_T_794, sel_npc_resume) @[dec_tlu_ctl.scala 783:157] + node _T_796 = or(_T_795, dec_tlu_wr_pause_r_d1) @[dec_tlu_ctl.scala 783:175] + node _T_797 = or(_T_796, i0_trigger_hit_r) @[dec_tlu_ctl.scala 783:201] + synchronous_flush_r <= _T_797 @[dec_tlu_ctl.scala 783:25] + node _T_798 = or(interrupt_valid_r, mret_r) @[dec_tlu_ctl.scala 784:43] + node _T_799 = or(_T_798, synchronous_flush_r) @[dec_tlu_ctl.scala 784:52] + node _T_800 = or(_T_799, take_halt) @[dec_tlu_ctl.scala 784:74] + node _T_801 = or(_T_800, take_reset) @[dec_tlu_ctl.scala 784:86] + node _T_802 = or(_T_801, take_ext_int_start) @[dec_tlu_ctl.scala 784:99] + tlu_flush_lower_r <= _T_802 @[dec_tlu_ctl.scala 784:22] + node _T_803 = bits(take_reset, 0, 0) @[dec_tlu_ctl.scala 786:42] + node _T_804 = bits(sel_fir_addr, 0, 0) @[dec_tlu_ctl.scala 787:72] + node _T_805 = eq(take_nmi, UInt<1>("h00")) @[dec_tlu_ctl.scala 788:66] + node _T_806 = eq(sel_npc_r, UInt<1>("h01")) @[dec_tlu_ctl.scala 788:84] + node _T_807 = and(_T_805, _T_806) @[dec_tlu_ctl.scala 788:73] + node _T_808 = eq(take_nmi, UInt<1>("h00")) @[dec_tlu_ctl.scala 789:66] + node _T_809 = eq(rfpc_i0_r, UInt<1>("h01")) @[dec_tlu_ctl.scala 789:84] + node _T_810 = and(_T_808, _T_809) @[dec_tlu_ctl.scala 789:73] + node _T_811 = eq(io.dec_tlu_i0_valid_r, UInt<1>("h01")) @[dec_tlu_ctl.scala 789:114] + node _T_812 = and(_T_810, _T_811) @[dec_tlu_ctl.scala 789:91] + node _T_813 = eq(sel_npc_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 789:132] + node _T_814 = and(_T_812, _T_813) @[dec_tlu_ctl.scala 789:121] + node _T_815 = eq(interrupt_valid_r, UInt<1>("h01")) @[dec_tlu_ctl.scala 790:75] + node _T_816 = eq(sel_fir_addr, UInt<1>("h00")) @[dec_tlu_ctl.scala 790:96] + node _T_817 = and(_T_815, _T_816) @[dec_tlu_ctl.scala 790:82] + node _T_818 = or(i0_exception_valid_r, lsu_exc_valid_r) @[dec_tlu_ctl.scala 791:80] + node _T_819 = not(trigger_hit_dmode_r) @[dec_tlu_ctl.scala 791:120] + node _T_820 = and(i0_trigger_hit_r, _T_819) @[dec_tlu_ctl.scala 791:118] + node _T_821 = or(_T_818, _T_820) @[dec_tlu_ctl.scala 791:98] + node _T_822 = not(interrupt_valid_r) @[dec_tlu_ctl.scala 791:145] + node _T_823 = and(_T_821, _T_822) @[dec_tlu_ctl.scala 791:143] + node _T_824 = not(sel_fir_addr) @[dec_tlu_ctl.scala 791:166] + node _T_825 = and(_T_823, _T_824) @[dec_tlu_ctl.scala 791:164] + node _T_826 = bits(_T_825, 0, 0) @[dec_tlu_ctl.scala 791:181] + node _T_827 = bits(mtvec, 30, 1) @[dec_tlu_ctl.scala 791:205] node _T_828 = cat(_T_827, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_829 = not(take_nmi) @[dec_tlu_ctl.scala 793:58] - node _T_830 = and(_T_829, mret_r) @[dec_tlu_ctl.scala 793:68] - node _T_831 = bits(_T_830, 0, 0) @[dec_tlu_ctl.scala 793:78] - node _T_832 = not(take_nmi) @[dec_tlu_ctl.scala 794:58] - node _T_833 = and(_T_832, debug_resume_req_f) @[dec_tlu_ctl.scala 794:68] - node _T_834 = bits(_T_833, 0, 0) @[dec_tlu_ctl.scala 794:90] - node _T_835 = not(take_nmi) @[dec_tlu_ctl.scala 795:58] - node _T_836 = and(_T_835, sel_npc_resume) @[dec_tlu_ctl.scala 795:68] - node _T_837 = bits(_T_836, 0, 0) @[dec_tlu_ctl.scala 795:86] + node _T_829 = not(take_nmi) @[dec_tlu_ctl.scala 792:58] + node _T_830 = and(_T_829, mret_r) @[dec_tlu_ctl.scala 792:68] + node _T_831 = bits(_T_830, 0, 0) @[dec_tlu_ctl.scala 792:78] + node _T_832 = not(take_nmi) @[dec_tlu_ctl.scala 793:58] + node _T_833 = and(_T_832, debug_resume_req_f) @[dec_tlu_ctl.scala 793:68] + node _T_834 = bits(_T_833, 0, 0) @[dec_tlu_ctl.scala 793:90] + node _T_835 = not(take_nmi) @[dec_tlu_ctl.scala 794:58] + node _T_836 = and(_T_835, sel_npc_resume) @[dec_tlu_ctl.scala 794:68] + node _T_837 = bits(_T_836, 0, 0) @[dec_tlu_ctl.scala 794:86] node _T_838 = mux(_T_804, io.lsu_fir_addr, UInt<1>("h00")) @[Mux.scala 27:72] node _T_839 = mux(_T_807, npc_r, UInt<1>("h00")) @[Mux.scala 27:72] node _T_840 = mux(_T_814, io.dec_tlu_i0_pc_r, UInt<1>("h00")) @[Mux.scala 27:72] @@ -79214,462 +79220,461 @@ circuit quasar : node _T_852 = or(_T_851, _T_845) @[Mux.scala 27:72] wire _T_853 : UInt<31> @[Mux.scala 27:72] _T_853 <= _T_852 @[Mux.scala 27:72] - node tlu_flush_path_r = mux(_T_803, io.rst_vec, _T_853) @[dec_tlu_ctl.scala 787:30] - reg tlu_flush_path_r_d1 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 798:64] - tlu_flush_path_r_d1 <= tlu_flush_path_r @[dec_tlu_ctl.scala 798:64] - io.tlu_bp.dec_tlu_flush_lower_wb <= tlu_flush_lower_r_d1 @[dec_tlu_ctl.scala 800:49] - io.tlu_mem.dec_tlu_flush_lower_wb <= io.tlu_bp.dec_tlu_flush_lower_wb @[dec_tlu_ctl.scala 801:41] - io.tlu_exu.dec_tlu_flush_lower_r <= tlu_flush_lower_r @[dec_tlu_ctl.scala 802:49] - io.tlu_exu.dec_tlu_flush_path_r <= tlu_flush_path_r @[dec_tlu_ctl.scala 803:49] - node _T_854 = or(lsu_exc_valid_r, i0_exception_valid_r) @[dec_tlu_ctl.scala 806:45] - node _T_855 = or(_T_854, interrupt_valid_r) @[dec_tlu_ctl.scala 806:68] - node _T_856 = not(trigger_hit_dmode_r) @[dec_tlu_ctl.scala 806:110] - node _T_857 = and(i0_trigger_hit_r, _T_856) @[dec_tlu_ctl.scala 806:108] - node exc_or_int_valid_r = or(_T_855, _T_857) @[dec_tlu_ctl.scala 806:88] - reg _T_858 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 808:90] - _T_858 <= interrupt_valid_r @[dec_tlu_ctl.scala 808:90] - interrupt_valid_r_d1 <= _T_858 @[dec_tlu_ctl.scala 808:57] - reg i0_exception_valid_r_d1 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 809:89] - i0_exception_valid_r_d1 <= i0_exception_valid_r @[dec_tlu_ctl.scala 809:89] - reg _T_859 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 810:90] - _T_859 <= exc_or_int_valid_r @[dec_tlu_ctl.scala 810:90] - exc_or_int_valid_r_d1 <= _T_859 @[dec_tlu_ctl.scala 810:57] - reg exc_cause_wb : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 811:89] - exc_cause_wb <= exc_cause_r @[dec_tlu_ctl.scala 811:89] - node _T_860 = not(illegal_r) @[dec_tlu_ctl.scala 812:119] - node _T_861 = and(tlu_i0_commit_cmt, _T_860) @[dec_tlu_ctl.scala 812:117] - reg i0_valid_wb : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 812:97] - i0_valid_wb <= _T_861 @[dec_tlu_ctl.scala 812:97] - reg trigger_hit_r_d1 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 813:89] - trigger_hit_r_d1 <= i0_trigger_hit_r @[dec_tlu_ctl.scala 813:89] - reg _T_862 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 814:98] - _T_862 <= take_nmi @[dec_tlu_ctl.scala 814:98] - take_nmi_r_d1 <= _T_862 @[dec_tlu_ctl.scala 814:65] - reg _T_863 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 815:90] - _T_863 <= pause_expired_r @[dec_tlu_ctl.scala 815:90] - pause_expired_wb <= _T_863 @[dec_tlu_ctl.scala 815:57] - inst csr of csr_tlu @[dec_tlu_ctl.scala 817:15] + node tlu_flush_path_r = mux(_T_803, io.rst_vec, _T_853) @[dec_tlu_ctl.scala 786:30] + reg tlu_flush_path_r_d1 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 797:64] + tlu_flush_path_r_d1 <= tlu_flush_path_r @[dec_tlu_ctl.scala 797:64] + io.dec_tlu_flush_lower_wb <= tlu_flush_lower_r_d1 @[dec_tlu_ctl.scala 799:41] + io.tlu_exu.dec_tlu_flush_lower_r <= tlu_flush_lower_r @[dec_tlu_ctl.scala 801:49] + io.tlu_exu.dec_tlu_flush_path_r <= tlu_flush_path_r @[dec_tlu_ctl.scala 802:49] + node _T_854 = or(lsu_exc_valid_r, i0_exception_valid_r) @[dec_tlu_ctl.scala 805:45] + node _T_855 = or(_T_854, interrupt_valid_r) @[dec_tlu_ctl.scala 805:68] + node _T_856 = not(trigger_hit_dmode_r) @[dec_tlu_ctl.scala 805:110] + node _T_857 = and(i0_trigger_hit_r, _T_856) @[dec_tlu_ctl.scala 805:108] + node exc_or_int_valid_r = or(_T_855, _T_857) @[dec_tlu_ctl.scala 805:88] + reg _T_858 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 807:90] + _T_858 <= interrupt_valid_r @[dec_tlu_ctl.scala 807:90] + interrupt_valid_r_d1 <= _T_858 @[dec_tlu_ctl.scala 807:57] + reg i0_exception_valid_r_d1 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 808:89] + i0_exception_valid_r_d1 <= i0_exception_valid_r @[dec_tlu_ctl.scala 808:89] + reg _T_859 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 809:90] + _T_859 <= exc_or_int_valid_r @[dec_tlu_ctl.scala 809:90] + exc_or_int_valid_r_d1 <= _T_859 @[dec_tlu_ctl.scala 809:57] + reg exc_cause_wb : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 810:89] + exc_cause_wb <= exc_cause_r @[dec_tlu_ctl.scala 810:89] + node _T_860 = not(illegal_r) @[dec_tlu_ctl.scala 811:119] + node _T_861 = and(tlu_i0_commit_cmt, _T_860) @[dec_tlu_ctl.scala 811:117] + reg i0_valid_wb : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 811:97] + i0_valid_wb <= _T_861 @[dec_tlu_ctl.scala 811:97] + reg trigger_hit_r_d1 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 812:89] + trigger_hit_r_d1 <= i0_trigger_hit_r @[dec_tlu_ctl.scala 812:89] + reg _T_862 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 813:98] + _T_862 <= take_nmi @[dec_tlu_ctl.scala 813:98] + take_nmi_r_d1 <= _T_862 @[dec_tlu_ctl.scala 813:65] + reg _T_863 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 814:90] + _T_863 <= pause_expired_r @[dec_tlu_ctl.scala 814:90] + pause_expired_wb <= _T_863 @[dec_tlu_ctl.scala 814:57] + inst csr of csr_tlu @[dec_tlu_ctl.scala 816:15] csr.clock <= clock csr.reset <= reset - csr.io.free_clk <= io.free_clk @[dec_tlu_ctl.scala 818:44] - csr.io.active_clk <= io.active_clk @[dec_tlu_ctl.scala 819:44] - csr.io.scan_mode <= io.scan_mode @[dec_tlu_ctl.scala 820:44] - csr.io.dec_csr_wrdata_r <= io.dec_csr_wrdata_r @[dec_tlu_ctl.scala 821:44] - csr.io.dec_csr_wraddr_r <= io.dec_csr_wraddr_r @[dec_tlu_ctl.scala 822:44] - csr.io.dec_csr_rdaddr_d <= io.dec_csr_rdaddr_d @[dec_tlu_ctl.scala 823:44] - csr.io.dec_csr_wen_unq_d <= io.dec_csr_wen_unq_d @[dec_tlu_ctl.scala 824:44] - csr.io.dec_i0_decode_d <= io.dec_i0_decode_d @[dec_tlu_ctl.scala 825:44] - csr.io.ifu_ic_debug_rd_data_valid <= io.tlu_mem.ifu_ic_debug_rd_data_valid @[dec_tlu_ctl.scala 826:44] - csr.io.ifu_pmu_bus_trxn <= io.tlu_mem.ifu_pmu_bus_trxn @[dec_tlu_ctl.scala 827:44] - csr.io.dma_iccm_stall_any <= io.tlu_dma.dma_iccm_stall_any @[dec_tlu_ctl.scala 828:44] - csr.io.dma_dccm_stall_any <= io.tlu_dma.dma_dccm_stall_any @[dec_tlu_ctl.scala 829:44] - csr.io.lsu_store_stall_any <= io.lsu_store_stall_any @[dec_tlu_ctl.scala 830:44] - csr.io.dec_pmu_presync_stall <= io.dec_pmu_presync_stall @[dec_tlu_ctl.scala 831:44] - csr.io.dec_pmu_postsync_stall <= io.dec_pmu_postsync_stall @[dec_tlu_ctl.scala 832:44] - csr.io.dec_pmu_decode_stall <= io.dec_pmu_decode_stall @[dec_tlu_ctl.scala 833:44] - csr.io.ifu_pmu_fetch_stall <= io.tlu_ifc.ifu_pmu_fetch_stall @[dec_tlu_ctl.scala 834:44] - csr.io.dec_tlu_packet_r.pmu_lsu_misaligned <= io.dec_tlu_packet_r.pmu_lsu_misaligned @[dec_tlu_ctl.scala 835:44] - csr.io.dec_tlu_packet_r.pmu_divide <= io.dec_tlu_packet_r.pmu_divide @[dec_tlu_ctl.scala 835:44] - csr.io.dec_tlu_packet_r.pmu_i0_br_unpred <= io.dec_tlu_packet_r.pmu_i0_br_unpred @[dec_tlu_ctl.scala 835:44] - csr.io.dec_tlu_packet_r.pmu_i0_itype <= io.dec_tlu_packet_r.pmu_i0_itype @[dec_tlu_ctl.scala 835:44] - csr.io.dec_tlu_packet_r.i0trigger <= io.dec_tlu_packet_r.i0trigger @[dec_tlu_ctl.scala 835:44] - csr.io.dec_tlu_packet_r.fence_i <= io.dec_tlu_packet_r.fence_i @[dec_tlu_ctl.scala 835:44] - csr.io.dec_tlu_packet_r.icaf_type <= io.dec_tlu_packet_r.icaf_type @[dec_tlu_ctl.scala 835:44] - csr.io.dec_tlu_packet_r.icaf_f1 <= io.dec_tlu_packet_r.icaf_f1 @[dec_tlu_ctl.scala 835:44] - csr.io.dec_tlu_packet_r.icaf <= io.dec_tlu_packet_r.icaf @[dec_tlu_ctl.scala 835:44] - csr.io.dec_tlu_packet_r.legal <= io.dec_tlu_packet_r.legal @[dec_tlu_ctl.scala 835:44] - csr.io.exu_pmu_i0_br_ataken <= io.tlu_exu.exu_pmu_i0_br_ataken @[dec_tlu_ctl.scala 836:44] - csr.io.exu_pmu_i0_br_misp <= io.tlu_exu.exu_pmu_i0_br_misp @[dec_tlu_ctl.scala 837:44] - csr.io.dec_pmu_instr_decoded <= io.dec_pmu_instr_decoded @[dec_tlu_ctl.scala 838:44] - csr.io.ifu_pmu_instr_aligned <= io.ifu_pmu_instr_aligned @[dec_tlu_ctl.scala 839:44] - csr.io.exu_pmu_i0_pc4 <= io.tlu_exu.exu_pmu_i0_pc4 @[dec_tlu_ctl.scala 840:44] - csr.io.ifu_pmu_ic_miss <= io.tlu_mem.ifu_pmu_ic_miss @[dec_tlu_ctl.scala 841:44] - csr.io.ifu_pmu_ic_hit <= io.tlu_mem.ifu_pmu_ic_hit @[dec_tlu_ctl.scala 842:44] - csr.io.dec_csr_wen_r <= io.dec_csr_wen_r @[dec_tlu_ctl.scala 843:44] - csr.io.dec_tlu_dbg_halted <= io.dec_tlu_dbg_halted @[dec_tlu_ctl.scala 844:44] - csr.io.dma_pmu_dccm_write <= io.tlu_dma.dma_pmu_dccm_write @[dec_tlu_ctl.scala 845:44] - csr.io.dma_pmu_dccm_read <= io.tlu_dma.dma_pmu_dccm_read @[dec_tlu_ctl.scala 846:44] - csr.io.dma_pmu_any_write <= io.tlu_dma.dma_pmu_any_write @[dec_tlu_ctl.scala 847:44] - csr.io.dma_pmu_any_read <= io.tlu_dma.dma_pmu_any_read @[dec_tlu_ctl.scala 848:44] - csr.io.lsu_pmu_bus_busy <= io.tlu_busbuff.lsu_pmu_bus_busy @[dec_tlu_ctl.scala 849:44] - csr.io.dec_tlu_i0_pc_r <= io.dec_tlu_i0_pc_r @[dec_tlu_ctl.scala 850:44] - csr.io.dec_tlu_i0_valid_r <= io.dec_tlu_i0_valid_r @[dec_tlu_ctl.scala 851:44] - csr.io.dec_csr_stall_int_ff <= io.dec_csr_stall_int_ff @[dec_tlu_ctl.scala 852:44] - csr.io.dec_csr_any_unq_d <= io.dec_csr_any_unq_d @[dec_tlu_ctl.scala 853:44] - csr.io.ifu_pmu_bus_busy <= io.tlu_mem.ifu_pmu_bus_busy @[dec_tlu_ctl.scala 854:44] - csr.io.lsu_pmu_bus_error <= io.tlu_busbuff.lsu_pmu_bus_error @[dec_tlu_ctl.scala 855:44] - csr.io.ifu_pmu_bus_error <= io.tlu_mem.ifu_pmu_bus_error @[dec_tlu_ctl.scala 856:44] - csr.io.lsu_pmu_bus_misaligned <= io.tlu_busbuff.lsu_pmu_bus_misaligned @[dec_tlu_ctl.scala 857:44] - csr.io.lsu_pmu_bus_trxn <= io.tlu_busbuff.lsu_pmu_bus_trxn @[dec_tlu_ctl.scala 858:44] - csr.io.ifu_ic_debug_rd_data <= io.tlu_mem.ifu_ic_debug_rd_data @[dec_tlu_ctl.scala 859:44] - csr.io.pic_pl <= io.dec_pic.pic_pl @[dec_tlu_ctl.scala 860:44] - csr.io.pic_claimid <= io.dec_pic.pic_claimid @[dec_tlu_ctl.scala 861:44] - csr.io.iccm_dma_sb_error <= io.iccm_dma_sb_error @[dec_tlu_ctl.scala 862:44] - csr.io.lsu_imprecise_error_addr_any <= io.tlu_busbuff.lsu_imprecise_error_addr_any @[dec_tlu_ctl.scala 863:44] - csr.io.lsu_imprecise_error_load_any <= io.tlu_busbuff.lsu_imprecise_error_load_any @[dec_tlu_ctl.scala 864:44] - csr.io.lsu_imprecise_error_store_any <= io.tlu_busbuff.lsu_imprecise_error_store_any @[dec_tlu_ctl.scala 865:44] - csr.io.dec_illegal_inst <= io.dec_illegal_inst @[dec_tlu_ctl.scala 866:44] - csr.io.lsu_error_pkt_r.bits.addr <= io.lsu_error_pkt_r.bits.addr @[dec_tlu_ctl.scala 867:44] - csr.io.lsu_error_pkt_r.bits.mscause <= io.lsu_error_pkt_r.bits.mscause @[dec_tlu_ctl.scala 867:44] - csr.io.lsu_error_pkt_r.bits.exc_type <= io.lsu_error_pkt_r.bits.exc_type @[dec_tlu_ctl.scala 867:44] - csr.io.lsu_error_pkt_r.bits.inst_type <= io.lsu_error_pkt_r.bits.inst_type @[dec_tlu_ctl.scala 867:44] - csr.io.lsu_error_pkt_r.bits.single_ecc_error <= io.lsu_error_pkt_r.bits.single_ecc_error @[dec_tlu_ctl.scala 867:44] - csr.io.lsu_error_pkt_r.valid <= io.lsu_error_pkt_r.valid @[dec_tlu_ctl.scala 867:44] - csr.io.mexintpend <= io.dec_pic.mexintpend @[dec_tlu_ctl.scala 868:44] - csr.io.exu_npc_r <= io.tlu_exu.exu_npc_r @[dec_tlu_ctl.scala 869:44] - csr.io.mpc_reset_run_req <= io.mpc_reset_run_req @[dec_tlu_ctl.scala 870:44] - csr.io.rst_vec <= io.rst_vec @[dec_tlu_ctl.scala 871:44] - csr.io.core_id <= io.core_id @[dec_tlu_ctl.scala 872:44] - csr.io.dec_timer_rddata_d <= int_timers.io.dec_timer_rddata_d @[dec_tlu_ctl.scala 873:44] - csr.io.dec_timer_read_d <= int_timers.io.dec_timer_read_d @[dec_tlu_ctl.scala 874:44] - io.dec_pic.dec_tlu_meicurpl <= csr.io.dec_tlu_meicurpl @[dec_tlu_ctl.scala 875:52] - io.tlu_exu.dec_tlu_meihap <= csr.io.dec_tlu_meihap @[dec_tlu_ctl.scala 876:52] - io.dec_pic.dec_tlu_meipt <= csr.io.dec_tlu_meipt @[dec_tlu_ctl.scala 877:52] - io.dec_tlu_int_valid_wb1 <= csr.io.dec_tlu_int_valid_wb1 @[dec_tlu_ctl.scala 878:44] - io.dec_tlu_i0_exc_valid_wb1 <= csr.io.dec_tlu_i0_exc_valid_wb1 @[dec_tlu_ctl.scala 879:44] - io.dec_tlu_i0_valid_wb1 <= csr.io.dec_tlu_i0_valid_wb1 @[dec_tlu_ctl.scala 880:44] - io.tlu_mem.dec_tlu_ic_diag_pkt.icache_wr_valid <= csr.io.dec_tlu_ic_diag_pkt.icache_wr_valid @[dec_tlu_ctl.scala 881:52] - io.tlu_mem.dec_tlu_ic_diag_pkt.icache_rd_valid <= csr.io.dec_tlu_ic_diag_pkt.icache_rd_valid @[dec_tlu_ctl.scala 881:52] - io.tlu_mem.dec_tlu_ic_diag_pkt.icache_dicawics <= csr.io.dec_tlu_ic_diag_pkt.icache_dicawics @[dec_tlu_ctl.scala 881:52] - io.tlu_mem.dec_tlu_ic_diag_pkt.icache_wrdata <= csr.io.dec_tlu_ic_diag_pkt.icache_wrdata @[dec_tlu_ctl.scala 881:52] - io.trigger_pkt_any[0].tdata2 <= csr.io.trigger_pkt_any[0].tdata2 @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[0].m <= csr.io.trigger_pkt_any[0].m @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[0].execute <= csr.io.trigger_pkt_any[0].execute @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[0].load <= csr.io.trigger_pkt_any[0].load @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[0].store <= csr.io.trigger_pkt_any[0].store @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[0].match_pkt <= csr.io.trigger_pkt_any[0].match_pkt @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[0].select <= csr.io.trigger_pkt_any[0].select @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[1].tdata2 <= csr.io.trigger_pkt_any[1].tdata2 @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[1].m <= csr.io.trigger_pkt_any[1].m @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[1].execute <= csr.io.trigger_pkt_any[1].execute @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[1].load <= csr.io.trigger_pkt_any[1].load @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[1].store <= csr.io.trigger_pkt_any[1].store @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[1].match_pkt <= csr.io.trigger_pkt_any[1].match_pkt @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[1].select <= csr.io.trigger_pkt_any[1].select @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[2].tdata2 <= csr.io.trigger_pkt_any[2].tdata2 @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[2].m <= csr.io.trigger_pkt_any[2].m @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[2].execute <= csr.io.trigger_pkt_any[2].execute @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[2].load <= csr.io.trigger_pkt_any[2].load @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[2].store <= csr.io.trigger_pkt_any[2].store @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[2].match_pkt <= csr.io.trigger_pkt_any[2].match_pkt @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[2].select <= csr.io.trigger_pkt_any[2].select @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[3].tdata2 <= csr.io.trigger_pkt_any[3].tdata2 @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[3].m <= csr.io.trigger_pkt_any[3].m @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[3].execute <= csr.io.trigger_pkt_any[3].execute @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[3].load <= csr.io.trigger_pkt_any[3].load @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[3].store <= csr.io.trigger_pkt_any[3].store @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[3].match_pkt <= csr.io.trigger_pkt_any[3].match_pkt @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[3].select <= csr.io.trigger_pkt_any[3].select @[dec_tlu_ctl.scala 882:40] - io.dec_tlu_mtval_wb1 <= csr.io.dec_tlu_mtval_wb1 @[dec_tlu_ctl.scala 883:40] - io.dec_tlu_exc_cause_wb1 <= csr.io.dec_tlu_exc_cause_wb1 @[dec_tlu_ctl.scala 884:40] - io.dec_tlu_perfcnt0 <= csr.io.dec_tlu_perfcnt0 @[dec_tlu_ctl.scala 885:40] - io.dec_tlu_perfcnt1 <= csr.io.dec_tlu_perfcnt1 @[dec_tlu_ctl.scala 886:40] - io.dec_tlu_perfcnt2 <= csr.io.dec_tlu_perfcnt2 @[dec_tlu_ctl.scala 887:40] - io.dec_tlu_perfcnt3 <= csr.io.dec_tlu_perfcnt3 @[dec_tlu_ctl.scala 888:40] - io.dec_tlu_misc_clk_override <= csr.io.dec_tlu_misc_clk_override @[dec_tlu_ctl.scala 889:40] - io.dec_tlu_dec_clk_override <= csr.io.dec_tlu_dec_clk_override @[dec_tlu_ctl.scala 890:40] - io.dec_tlu_ifu_clk_override <= csr.io.dec_tlu_ifu_clk_override @[dec_tlu_ctl.scala 891:40] - io.dec_tlu_lsu_clk_override <= csr.io.dec_tlu_lsu_clk_override @[dec_tlu_ctl.scala 892:40] - io.dec_tlu_bus_clk_override <= csr.io.dec_tlu_bus_clk_override @[dec_tlu_ctl.scala 893:40] - io.dec_tlu_pic_clk_override <= csr.io.dec_tlu_pic_clk_override @[dec_tlu_ctl.scala 894:40] - io.dec_tlu_dccm_clk_override <= csr.io.dec_tlu_dccm_clk_override @[dec_tlu_ctl.scala 895:40] - io.dec_tlu_icm_clk_override <= csr.io.dec_tlu_icm_clk_override @[dec_tlu_ctl.scala 896:40] - io.dec_csr_rddata_d <= csr.io.dec_csr_rddata_d @[dec_tlu_ctl.scala 897:40] - io.dec_tlu_pipelining_disable <= csr.io.dec_tlu_pipelining_disable @[dec_tlu_ctl.scala 898:40] - io.dec_tlu_wr_pause_r <= csr.io.dec_tlu_wr_pause_r @[dec_tlu_ctl.scala 899:40] - io.tlu_ifc.dec_tlu_mrac_ff <= csr.io.dec_tlu_mrac_ff @[dec_tlu_ctl.scala 900:48] - io.tlu_busbuff.dec_tlu_wb_coalescing_disable <= csr.io.dec_tlu_wb_coalescing_disable @[dec_tlu_ctl.scala 901:52] - io.tlu_bp.dec_tlu_bpred_disable <= csr.io.dec_tlu_bpred_disable @[dec_tlu_ctl.scala 902:47] - io.tlu_busbuff.dec_tlu_sideeffect_posted_disable <= csr.io.dec_tlu_sideeffect_posted_disable @[dec_tlu_ctl.scala 903:52] - io.tlu_mem.dec_tlu_core_ecc_disable <= csr.io.dec_tlu_core_ecc_disable @[dec_tlu_ctl.scala 904:48] - io.tlu_busbuff.dec_tlu_external_ldfwd_disable <= csr.io.dec_tlu_external_ldfwd_disable @[dec_tlu_ctl.scala 905:52] - io.tlu_dma.dec_tlu_dma_qos_prty <= csr.io.dec_tlu_dma_qos_prty @[dec_tlu_ctl.scala 906:48] - csr.io.dec_illegal_inst <= io.dec_illegal_inst @[dec_tlu_ctl.scala 907:44] - csr.io.lsu_error_pkt_r.bits.addr <= io.lsu_error_pkt_r.bits.addr @[dec_tlu_ctl.scala 908:44] - csr.io.lsu_error_pkt_r.bits.mscause <= io.lsu_error_pkt_r.bits.mscause @[dec_tlu_ctl.scala 908:44] - csr.io.lsu_error_pkt_r.bits.exc_type <= io.lsu_error_pkt_r.bits.exc_type @[dec_tlu_ctl.scala 908:44] - csr.io.lsu_error_pkt_r.bits.inst_type <= io.lsu_error_pkt_r.bits.inst_type @[dec_tlu_ctl.scala 908:44] - csr.io.lsu_error_pkt_r.bits.single_ecc_error <= io.lsu_error_pkt_r.bits.single_ecc_error @[dec_tlu_ctl.scala 908:44] - csr.io.lsu_error_pkt_r.valid <= io.lsu_error_pkt_r.valid @[dec_tlu_ctl.scala 908:44] - csr.io.mexintpend <= io.dec_pic.mexintpend @[dec_tlu_ctl.scala 909:44] - csr.io.exu_npc_r <= io.tlu_exu.exu_npc_r @[dec_tlu_ctl.scala 910:44] - csr.io.mpc_reset_run_req <= io.mpc_reset_run_req @[dec_tlu_ctl.scala 911:44] - csr.io.rst_vec <= io.rst_vec @[dec_tlu_ctl.scala 912:44] - csr.io.core_id <= io.core_id @[dec_tlu_ctl.scala 913:44] - csr.io.dec_timer_rddata_d <= int_timers.io.dec_timer_rddata_d @[dec_tlu_ctl.scala 914:44] - csr.io.dec_timer_read_d <= int_timers.io.dec_timer_read_d @[dec_tlu_ctl.scala 915:44] - csr.io.rfpc_i0_r <= rfpc_i0_r @[dec_tlu_ctl.scala 918:39] - csr.io.i0_trigger_hit_r <= i0_trigger_hit_r @[dec_tlu_ctl.scala 919:39] - csr.io.exc_or_int_valid_r <= exc_or_int_valid_r @[dec_tlu_ctl.scala 920:39] - csr.io.mret_r <= mret_r @[dec_tlu_ctl.scala 921:39] - csr.io.dcsr_single_step_running_f <= dcsr_single_step_running_f @[dec_tlu_ctl.scala 922:39] - csr.io.dec_timer_t0_pulse <= int_timers.io.dec_timer_t0_pulse @[dec_tlu_ctl.scala 923:39] - csr.io.dec_timer_t1_pulse <= int_timers.io.dec_timer_t1_pulse @[dec_tlu_ctl.scala 924:39] - csr.io.timer_int_sync <= timer_int_sync @[dec_tlu_ctl.scala 925:39] - csr.io.soft_int_sync <= soft_int_sync @[dec_tlu_ctl.scala 926:39] - csr.io.csr_wr_clk <= rvclkhdr.io.l1clk @[dec_tlu_ctl.scala 927:39] - csr.io.ebreak_to_debug_mode_r <= ebreak_to_debug_mode_r @[dec_tlu_ctl.scala 928:39] - csr.io.dec_tlu_pmu_fw_halted <= dec_tlu_pmu_fw_halted @[dec_tlu_ctl.scala 929:39] - csr.io.lsu_fir_error <= io.lsu_fir_error @[dec_tlu_ctl.scala 930:39] - csr.io.tlu_flush_lower_r_d1 <= tlu_flush_lower_r_d1 @[dec_tlu_ctl.scala 931:39] - csr.io.dec_tlu_flush_noredir_r_d1 <= dec_tlu_flush_noredir_r_d1 @[dec_tlu_ctl.scala 932:39] - csr.io.tlu_flush_path_r_d1 <= tlu_flush_path_r_d1 @[dec_tlu_ctl.scala 933:39] - csr.io.reset_delayed <= reset_delayed @[dec_tlu_ctl.scala 934:39] - csr.io.interrupt_valid_r <= interrupt_valid_r @[dec_tlu_ctl.scala 935:39] - csr.io.i0_exception_valid_r <= i0_exception_valid_r @[dec_tlu_ctl.scala 936:39] - csr.io.lsu_exc_valid_r <= lsu_exc_valid_r @[dec_tlu_ctl.scala 937:39] - csr.io.mepc_trigger_hit_sel_pc_r <= mepc_trigger_hit_sel_pc_r @[dec_tlu_ctl.scala 938:39] - csr.io.e4e5_int_clk <= rvclkhdr_3.io.l1clk @[dec_tlu_ctl.scala 939:39] - csr.io.lsu_i0_exc_r <= lsu_exc_valid_r @[dec_tlu_ctl.scala 940:39] - csr.io.inst_acc_r <= inst_acc_r @[dec_tlu_ctl.scala 941:39] - csr.io.inst_acc_second_r <= io.dec_tlu_packet_r.icaf_f1 @[dec_tlu_ctl.scala 942:39] - csr.io.take_nmi <= take_nmi @[dec_tlu_ctl.scala 943:39] - csr.io.lsu_error_pkt_addr_r <= io.lsu_error_pkt_r.bits.addr @[dec_tlu_ctl.scala 944:39] - csr.io.exc_cause_r <= exc_cause_r @[dec_tlu_ctl.scala 945:39] - csr.io.i0_valid_wb <= i0_valid_wb @[dec_tlu_ctl.scala 946:39] - csr.io.exc_or_int_valid_r_d1 <= exc_or_int_valid_r_d1 @[dec_tlu_ctl.scala 947:39] - csr.io.interrupt_valid_r_d1 <= interrupt_valid_r_d1 @[dec_tlu_ctl.scala 948:39] - csr.io.clk_override <= io.dec_tlu_dec_clk_override @[dec_tlu_ctl.scala 949:39] - csr.io.i0_exception_valid_r_d1 <= i0_exception_valid_r_d1 @[dec_tlu_ctl.scala 950:39] - csr.io.lsu_i0_exc_r_d1 <= lsu_i0_exc_r_d1 @[dec_tlu_ctl.scala 951:39] - csr.io.exc_cause_wb <= exc_cause_wb @[dec_tlu_ctl.scala 952:39] - csr.io.nmi_lsu_store_type <= nmi_lsu_store_type @[dec_tlu_ctl.scala 953:39] - csr.io.nmi_lsu_load_type <= nmi_lsu_load_type @[dec_tlu_ctl.scala 954:39] - csr.io.tlu_i0_commit_cmt <= tlu_i0_commit_cmt @[dec_tlu_ctl.scala 955:39] - csr.io.ebreak_r <= ebreak_r @[dec_tlu_ctl.scala 956:39] - csr.io.ecall_r <= ecall_r @[dec_tlu_ctl.scala 957:39] - csr.io.illegal_r <= illegal_r @[dec_tlu_ctl.scala 958:39] - csr.io.mdseac_locked_f <= mdseac_locked_f @[dec_tlu_ctl.scala 959:39] - csr.io.nmi_int_detected_f <= nmi_int_detected_f @[dec_tlu_ctl.scala 960:39] - csr.io.internal_dbg_halt_mode_f2 <= internal_dbg_halt_mode_f2 @[dec_tlu_ctl.scala 961:39] - csr.io.ext_int_freeze_d1 <= ext_int_freeze_d1 @[dec_tlu_ctl.scala 962:39] - csr.io.ic_perr_r_d1 <= ic_perr_r_d1 @[dec_tlu_ctl.scala 963:39] - csr.io.iccm_sbecc_r_d1 <= iccm_sbecc_r_d1 @[dec_tlu_ctl.scala 964:39] - csr.io.lsu_single_ecc_error_r_d1 <= lsu_single_ecc_error_r_d1 @[dec_tlu_ctl.scala 965:39] - csr.io.ifu_miss_state_idle_f <= ifu_miss_state_idle_f @[dec_tlu_ctl.scala 966:39] - csr.io.lsu_idle_any_f <= lsu_idle_any_f @[dec_tlu_ctl.scala 967:39] - csr.io.dbg_tlu_halted_f <= dbg_tlu_halted_f @[dec_tlu_ctl.scala 968:39] - csr.io.dbg_tlu_halted <= dbg_tlu_halted @[dec_tlu_ctl.scala 969:39] - csr.io.debug_halt_req_f <= debug_halt_req_f @[dec_tlu_ctl.scala 970:51] - csr.io.take_ext_int_start <= take_ext_int_start @[dec_tlu_ctl.scala 971:47] - csr.io.trigger_hit_dmode_r_d1 <= trigger_hit_dmode_r_d1 @[dec_tlu_ctl.scala 972:43] - csr.io.trigger_hit_r_d1 <= trigger_hit_r_d1 @[dec_tlu_ctl.scala 973:43] - csr.io.dcsr_single_step_done_f <= dcsr_single_step_done_f @[dec_tlu_ctl.scala 974:43] - csr.io.ebreak_to_debug_mode_r_d1 <= ebreak_to_debug_mode_r_d1 @[dec_tlu_ctl.scala 975:39] - csr.io.debug_halt_req <= debug_halt_req @[dec_tlu_ctl.scala 976:51] - csr.io.allow_dbg_halt_csr_write <= allow_dbg_halt_csr_write @[dec_tlu_ctl.scala 977:39] - csr.io.internal_dbg_halt_mode_f <= debug_mode_status @[dec_tlu_ctl.scala 978:39] - csr.io.enter_debug_halt_req <= enter_debug_halt_req @[dec_tlu_ctl.scala 979:39] - csr.io.internal_dbg_halt_mode <= internal_dbg_halt_mode @[dec_tlu_ctl.scala 980:39] - csr.io.request_debug_mode_done <= request_debug_mode_done @[dec_tlu_ctl.scala 981:39] - csr.io.request_debug_mode_r <= request_debug_mode_r @[dec_tlu_ctl.scala 982:39] - csr.io.update_hit_bit_r <= update_hit_bit_r @[dec_tlu_ctl.scala 983:39] - csr.io.take_timer_int <= take_timer_int @[dec_tlu_ctl.scala 984:39] - csr.io.take_int_timer0_int <= take_int_timer0_int @[dec_tlu_ctl.scala 985:39] - csr.io.take_int_timer1_int <= take_int_timer1_int @[dec_tlu_ctl.scala 986:39] - csr.io.take_ext_int <= take_ext_int @[dec_tlu_ctl.scala 987:39] - csr.io.tlu_flush_lower_r <= tlu_flush_lower_r @[dec_tlu_ctl.scala 988:39] - csr.io.dec_tlu_br0_error_r <= dec_tlu_br0_error_r @[dec_tlu_ctl.scala 989:39] - csr.io.dec_tlu_br0_start_error_r <= dec_tlu_br0_start_error_r @[dec_tlu_ctl.scala 990:39] - csr.io.lsu_pmu_load_external_r <= lsu_pmu_load_external_r @[dec_tlu_ctl.scala 991:39] - csr.io.lsu_pmu_store_external_r <= lsu_pmu_store_external_r @[dec_tlu_ctl.scala 992:39] - csr.io.csr_pkt.legal <= csr_pkt.legal @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.postsync <= csr_pkt.postsync @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.presync <= csr_pkt.presync @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_dicago <= csr_pkt.csr_dicago @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_dicad1 <= csr_pkt.csr_dicad1 @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_dicad0 <= csr_pkt.csr_dicad0 @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_dicad0h <= csr_pkt.csr_dicad0h @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_dicawics <= csr_pkt.csr_dicawics @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mfdhs <= csr_pkt.csr_mfdhs @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mfdht <= csr_pkt.csr_mfdht @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mdccmect <= csr_pkt.csr_mdccmect @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_miccmect <= csr_pkt.csr_miccmect @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_micect <= csr_pkt.csr_micect @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mdeau <= csr_pkt.csr_mdeau @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_meicpct <= csr_pkt.csr_meicpct @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mcpc <= csr_pkt.csr_mcpc @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mpmc <= csr_pkt.csr_mpmc @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mitcnt1 <= csr_pkt.csr_mitcnt1 @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mitcnt0 <= csr_pkt.csr_mitcnt0 @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mitb1 <= csr_pkt.csr_mitb1 @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mitb0 <= csr_pkt.csr_mitb0 @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mitctl1 <= csr_pkt.csr_mitctl1 @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mitctl0 <= csr_pkt.csr_mitctl0 @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mcountinhibit <= csr_pkt.csr_mcountinhibit @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mhpme6 <= csr_pkt.csr_mhpme6 @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mhpme5 <= csr_pkt.csr_mhpme5 @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mhpme4 <= csr_pkt.csr_mhpme4 @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mhpme3 <= csr_pkt.csr_mhpme3 @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mhpmc6h <= csr_pkt.csr_mhpmc6h @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mhpmc5h <= csr_pkt.csr_mhpmc5h @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mhpmc4h <= csr_pkt.csr_mhpmc4h @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mhpmc3h <= csr_pkt.csr_mhpmc3h @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mhpmc6 <= csr_pkt.csr_mhpmc6 @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mhpmc5 <= csr_pkt.csr_mhpmc5 @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mhpmc4 <= csr_pkt.csr_mhpmc4 @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mhpmc3 <= csr_pkt.csr_mhpmc3 @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mtdata2 <= csr_pkt.csr_mtdata2 @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mtdata1 <= csr_pkt.csr_mtdata1 @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mtsel <= csr_pkt.csr_mtsel @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_dpc <= csr_pkt.csr_dpc @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mfdc <= csr_pkt.csr_mfdc @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mcgc <= csr_pkt.csr_mcgc @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_dcsr <= csr_pkt.csr_dcsr @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_meicidpl <= csr_pkt.csr_meicidpl @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_meicurpl <= csr_pkt.csr_meicurpl @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_meipt <= csr_pkt.csr_meipt @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_meivt <= csr_pkt.csr_meivt @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_meihap <= csr_pkt.csr_meihap @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mdseac <= csr_pkt.csr_mdseac @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_dmst <= csr_pkt.csr_dmst @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mrac <= csr_pkt.csr_mrac @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mtval <= csr_pkt.csr_mtval @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mscause <= csr_pkt.csr_mscause @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mcause <= csr_pkt.csr_mcause @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mepc <= csr_pkt.csr_mepc @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mscratch <= csr_pkt.csr_mscratch @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_minstreth <= csr_pkt.csr_minstreth @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_minstretl <= csr_pkt.csr_minstretl @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mcycleh <= csr_pkt.csr_mcycleh @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mcyclel <= csr_pkt.csr_mcyclel @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mie <= csr_pkt.csr_mie @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mip <= csr_pkt.csr_mip @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mtvec <= csr_pkt.csr_mtvec @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mstatus <= csr_pkt.csr_mstatus @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mhartid <= csr_pkt.csr_mhartid @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mimpid <= csr_pkt.csr_mimpid @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_marchid <= csr_pkt.csr_marchid @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mvendorid <= csr_pkt.csr_mvendorid @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_misa <= csr_pkt.csr_misa @[dec_tlu_ctl.scala 993:39] - npc_r <= csr.io.npc_r @[dec_tlu_ctl.scala 995:31] - npc_r_d1 <= csr.io.npc_r_d1 @[dec_tlu_ctl.scala 996:31] - mie_ns <= csr.io.mie_ns @[dec_tlu_ctl.scala 997:31] - mepc <= csr.io.mepc @[dec_tlu_ctl.scala 998:31] - mdseac_locked_ns <= csr.io.mdseac_locked_ns @[dec_tlu_ctl.scala 999:31] - force_halt <= csr.io.force_halt @[dec_tlu_ctl.scala 1000:31] - dpc <= csr.io.dpc @[dec_tlu_ctl.scala 1001:31] - mstatus_mie_ns <= csr.io.mstatus_mie_ns @[dec_tlu_ctl.scala 1002:31] - dec_csr_wen_r_mod <= csr.io.dec_csr_wen_r_mod @[dec_tlu_ctl.scala 1003:31] - fw_halt_req <= csr.io.fw_halt_req @[dec_tlu_ctl.scala 1004:31] - mstatus <= csr.io.mstatus @[dec_tlu_ctl.scala 1005:31] - dcsr <= csr.io.dcsr @[dec_tlu_ctl.scala 1006:31] - mtvec <= csr.io.mtvec @[dec_tlu_ctl.scala 1007:31] - mip <= csr.io.mip @[dec_tlu_ctl.scala 1008:31] - mtdata1_t[0] <= csr.io.mtdata1_t[0] @[dec_tlu_ctl.scala 1009:33] - mtdata1_t[1] <= csr.io.mtdata1_t[1] @[dec_tlu_ctl.scala 1009:33] - mtdata1_t[2] <= csr.io.mtdata1_t[2] @[dec_tlu_ctl.scala 1009:33] - mtdata1_t[3] <= csr.io.mtdata1_t[3] @[dec_tlu_ctl.scala 1009:33] - inst csr_read of dec_decode_csr_read @[dec_tlu_ctl.scala 1010:22] + csr.io.free_clk <= io.free_clk @[dec_tlu_ctl.scala 817:44] + csr.io.active_clk <= io.active_clk @[dec_tlu_ctl.scala 818:44] + csr.io.scan_mode <= io.scan_mode @[dec_tlu_ctl.scala 819:44] + csr.io.dec_csr_wrdata_r <= io.dec_csr_wrdata_r @[dec_tlu_ctl.scala 820:44] + csr.io.dec_csr_wraddr_r <= io.dec_csr_wraddr_r @[dec_tlu_ctl.scala 821:44] + csr.io.dec_csr_rdaddr_d <= io.dec_csr_rdaddr_d @[dec_tlu_ctl.scala 822:44] + csr.io.dec_csr_wen_unq_d <= io.dec_csr_wen_unq_d @[dec_tlu_ctl.scala 823:44] + csr.io.dec_i0_decode_d <= io.dec_i0_decode_d @[dec_tlu_ctl.scala 824:44] + csr.io.ifu_ic_debug_rd_data_valid <= io.tlu_mem.ifu_ic_debug_rd_data_valid @[dec_tlu_ctl.scala 825:44] + csr.io.ifu_pmu_bus_trxn <= io.tlu_mem.ifu_pmu_bus_trxn @[dec_tlu_ctl.scala 826:44] + csr.io.dma_iccm_stall_any <= io.tlu_dma.dma_iccm_stall_any @[dec_tlu_ctl.scala 827:44] + csr.io.dma_dccm_stall_any <= io.tlu_dma.dma_dccm_stall_any @[dec_tlu_ctl.scala 828:44] + csr.io.lsu_store_stall_any <= io.lsu_store_stall_any @[dec_tlu_ctl.scala 829:44] + csr.io.dec_pmu_presync_stall <= io.dec_pmu_presync_stall @[dec_tlu_ctl.scala 830:44] + csr.io.dec_pmu_postsync_stall <= io.dec_pmu_postsync_stall @[dec_tlu_ctl.scala 831:44] + csr.io.dec_pmu_decode_stall <= io.dec_pmu_decode_stall @[dec_tlu_ctl.scala 832:44] + csr.io.ifu_pmu_fetch_stall <= io.tlu_ifc.ifu_pmu_fetch_stall @[dec_tlu_ctl.scala 833:44] + csr.io.dec_tlu_packet_r.pmu_lsu_misaligned <= io.dec_tlu_packet_r.pmu_lsu_misaligned @[dec_tlu_ctl.scala 834:44] + csr.io.dec_tlu_packet_r.pmu_divide <= io.dec_tlu_packet_r.pmu_divide @[dec_tlu_ctl.scala 834:44] + csr.io.dec_tlu_packet_r.pmu_i0_br_unpred <= io.dec_tlu_packet_r.pmu_i0_br_unpred @[dec_tlu_ctl.scala 834:44] + csr.io.dec_tlu_packet_r.pmu_i0_itype <= io.dec_tlu_packet_r.pmu_i0_itype @[dec_tlu_ctl.scala 834:44] + csr.io.dec_tlu_packet_r.i0trigger <= io.dec_tlu_packet_r.i0trigger @[dec_tlu_ctl.scala 834:44] + csr.io.dec_tlu_packet_r.fence_i <= io.dec_tlu_packet_r.fence_i @[dec_tlu_ctl.scala 834:44] + csr.io.dec_tlu_packet_r.icaf_type <= io.dec_tlu_packet_r.icaf_type @[dec_tlu_ctl.scala 834:44] + csr.io.dec_tlu_packet_r.icaf_f1 <= io.dec_tlu_packet_r.icaf_f1 @[dec_tlu_ctl.scala 834:44] + csr.io.dec_tlu_packet_r.icaf <= io.dec_tlu_packet_r.icaf @[dec_tlu_ctl.scala 834:44] + csr.io.dec_tlu_packet_r.legal <= io.dec_tlu_packet_r.legal @[dec_tlu_ctl.scala 834:44] + csr.io.exu_pmu_i0_br_ataken <= io.tlu_exu.exu_pmu_i0_br_ataken @[dec_tlu_ctl.scala 835:44] + csr.io.exu_pmu_i0_br_misp <= io.tlu_exu.exu_pmu_i0_br_misp @[dec_tlu_ctl.scala 836:44] + csr.io.dec_pmu_instr_decoded <= io.dec_pmu_instr_decoded @[dec_tlu_ctl.scala 837:44] + csr.io.ifu_pmu_instr_aligned <= io.ifu_pmu_instr_aligned @[dec_tlu_ctl.scala 838:44] + csr.io.exu_pmu_i0_pc4 <= io.tlu_exu.exu_pmu_i0_pc4 @[dec_tlu_ctl.scala 839:44] + csr.io.ifu_pmu_ic_miss <= io.tlu_mem.ifu_pmu_ic_miss @[dec_tlu_ctl.scala 840:44] + csr.io.ifu_pmu_ic_hit <= io.tlu_mem.ifu_pmu_ic_hit @[dec_tlu_ctl.scala 841:44] + csr.io.dec_csr_wen_r <= io.dec_csr_wen_r @[dec_tlu_ctl.scala 842:44] + csr.io.dec_tlu_dbg_halted <= io.dec_tlu_dbg_halted @[dec_tlu_ctl.scala 843:44] + csr.io.dma_pmu_dccm_write <= io.tlu_dma.dma_pmu_dccm_write @[dec_tlu_ctl.scala 844:44] + csr.io.dma_pmu_dccm_read <= io.tlu_dma.dma_pmu_dccm_read @[dec_tlu_ctl.scala 845:44] + csr.io.dma_pmu_any_write <= io.tlu_dma.dma_pmu_any_write @[dec_tlu_ctl.scala 846:44] + csr.io.dma_pmu_any_read <= io.tlu_dma.dma_pmu_any_read @[dec_tlu_ctl.scala 847:44] + csr.io.lsu_pmu_bus_busy <= io.tlu_busbuff.lsu_pmu_bus_busy @[dec_tlu_ctl.scala 848:44] + csr.io.dec_tlu_i0_pc_r <= io.dec_tlu_i0_pc_r @[dec_tlu_ctl.scala 849:44] + csr.io.dec_tlu_i0_valid_r <= io.dec_tlu_i0_valid_r @[dec_tlu_ctl.scala 850:44] + csr.io.dec_csr_stall_int_ff <= io.dec_csr_stall_int_ff @[dec_tlu_ctl.scala 851:44] + csr.io.dec_csr_any_unq_d <= io.dec_csr_any_unq_d @[dec_tlu_ctl.scala 852:44] + csr.io.ifu_pmu_bus_busy <= io.tlu_mem.ifu_pmu_bus_busy @[dec_tlu_ctl.scala 853:44] + csr.io.lsu_pmu_bus_error <= io.tlu_busbuff.lsu_pmu_bus_error @[dec_tlu_ctl.scala 854:44] + csr.io.ifu_pmu_bus_error <= io.tlu_mem.ifu_pmu_bus_error @[dec_tlu_ctl.scala 855:44] + csr.io.lsu_pmu_bus_misaligned <= io.tlu_busbuff.lsu_pmu_bus_misaligned @[dec_tlu_ctl.scala 856:44] + csr.io.lsu_pmu_bus_trxn <= io.tlu_busbuff.lsu_pmu_bus_trxn @[dec_tlu_ctl.scala 857:44] + csr.io.ifu_ic_debug_rd_data <= io.tlu_mem.ifu_ic_debug_rd_data @[dec_tlu_ctl.scala 858:44] + csr.io.pic_pl <= io.dec_pic.pic_pl @[dec_tlu_ctl.scala 859:44] + csr.io.pic_claimid <= io.dec_pic.pic_claimid @[dec_tlu_ctl.scala 860:44] + csr.io.iccm_dma_sb_error <= io.iccm_dma_sb_error @[dec_tlu_ctl.scala 861:44] + csr.io.lsu_imprecise_error_addr_any <= io.tlu_busbuff.lsu_imprecise_error_addr_any @[dec_tlu_ctl.scala 862:44] + csr.io.lsu_imprecise_error_load_any <= io.tlu_busbuff.lsu_imprecise_error_load_any @[dec_tlu_ctl.scala 863:44] + csr.io.lsu_imprecise_error_store_any <= io.tlu_busbuff.lsu_imprecise_error_store_any @[dec_tlu_ctl.scala 864:44] + csr.io.dec_illegal_inst <= io.dec_illegal_inst @[dec_tlu_ctl.scala 865:44] + csr.io.lsu_error_pkt_r.bits.addr <= io.lsu_error_pkt_r.bits.addr @[dec_tlu_ctl.scala 866:44] + csr.io.lsu_error_pkt_r.bits.mscause <= io.lsu_error_pkt_r.bits.mscause @[dec_tlu_ctl.scala 866:44] + csr.io.lsu_error_pkt_r.bits.exc_type <= io.lsu_error_pkt_r.bits.exc_type @[dec_tlu_ctl.scala 866:44] + csr.io.lsu_error_pkt_r.bits.inst_type <= io.lsu_error_pkt_r.bits.inst_type @[dec_tlu_ctl.scala 866:44] + csr.io.lsu_error_pkt_r.bits.single_ecc_error <= io.lsu_error_pkt_r.bits.single_ecc_error @[dec_tlu_ctl.scala 866:44] + csr.io.lsu_error_pkt_r.valid <= io.lsu_error_pkt_r.valid @[dec_tlu_ctl.scala 866:44] + csr.io.mexintpend <= io.dec_pic.mexintpend @[dec_tlu_ctl.scala 867:44] + csr.io.exu_npc_r <= io.tlu_exu.exu_npc_r @[dec_tlu_ctl.scala 868:44] + csr.io.mpc_reset_run_req <= io.mpc_reset_run_req @[dec_tlu_ctl.scala 869:44] + csr.io.rst_vec <= io.rst_vec @[dec_tlu_ctl.scala 870:44] + csr.io.core_id <= io.core_id @[dec_tlu_ctl.scala 871:44] + csr.io.dec_timer_rddata_d <= int_timers.io.dec_timer_rddata_d @[dec_tlu_ctl.scala 872:44] + csr.io.dec_timer_read_d <= int_timers.io.dec_timer_read_d @[dec_tlu_ctl.scala 873:44] + io.dec_pic.dec_tlu_meicurpl <= csr.io.dec_tlu_meicurpl @[dec_tlu_ctl.scala 874:52] + io.tlu_exu.dec_tlu_meihap <= csr.io.dec_tlu_meihap @[dec_tlu_ctl.scala 875:52] + io.dec_pic.dec_tlu_meipt <= csr.io.dec_tlu_meipt @[dec_tlu_ctl.scala 876:52] + io.dec_tlu_int_valid_wb1 <= csr.io.dec_tlu_int_valid_wb1 @[dec_tlu_ctl.scala 877:44] + io.dec_tlu_i0_exc_valid_wb1 <= csr.io.dec_tlu_i0_exc_valid_wb1 @[dec_tlu_ctl.scala 878:44] + io.dec_tlu_i0_valid_wb1 <= csr.io.dec_tlu_i0_valid_wb1 @[dec_tlu_ctl.scala 879:44] + io.tlu_mem.dec_tlu_ic_diag_pkt.icache_wr_valid <= csr.io.dec_tlu_ic_diag_pkt.icache_wr_valid @[dec_tlu_ctl.scala 880:52] + io.tlu_mem.dec_tlu_ic_diag_pkt.icache_rd_valid <= csr.io.dec_tlu_ic_diag_pkt.icache_rd_valid @[dec_tlu_ctl.scala 880:52] + io.tlu_mem.dec_tlu_ic_diag_pkt.icache_dicawics <= csr.io.dec_tlu_ic_diag_pkt.icache_dicawics @[dec_tlu_ctl.scala 880:52] + io.tlu_mem.dec_tlu_ic_diag_pkt.icache_wrdata <= csr.io.dec_tlu_ic_diag_pkt.icache_wrdata @[dec_tlu_ctl.scala 880:52] + io.trigger_pkt_any[0].tdata2 <= csr.io.trigger_pkt_any[0].tdata2 @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[0].m <= csr.io.trigger_pkt_any[0].m @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[0].execute <= csr.io.trigger_pkt_any[0].execute @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[0].load <= csr.io.trigger_pkt_any[0].load @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[0].store <= csr.io.trigger_pkt_any[0].store @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[0].match_pkt <= csr.io.trigger_pkt_any[0].match_pkt @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[0].select <= csr.io.trigger_pkt_any[0].select @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[1].tdata2 <= csr.io.trigger_pkt_any[1].tdata2 @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[1].m <= csr.io.trigger_pkt_any[1].m @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[1].execute <= csr.io.trigger_pkt_any[1].execute @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[1].load <= csr.io.trigger_pkt_any[1].load @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[1].store <= csr.io.trigger_pkt_any[1].store @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[1].match_pkt <= csr.io.trigger_pkt_any[1].match_pkt @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[1].select <= csr.io.trigger_pkt_any[1].select @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[2].tdata2 <= csr.io.trigger_pkt_any[2].tdata2 @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[2].m <= csr.io.trigger_pkt_any[2].m @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[2].execute <= csr.io.trigger_pkt_any[2].execute @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[2].load <= csr.io.trigger_pkt_any[2].load @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[2].store <= csr.io.trigger_pkt_any[2].store @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[2].match_pkt <= csr.io.trigger_pkt_any[2].match_pkt @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[2].select <= csr.io.trigger_pkt_any[2].select @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[3].tdata2 <= csr.io.trigger_pkt_any[3].tdata2 @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[3].m <= csr.io.trigger_pkt_any[3].m @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[3].execute <= csr.io.trigger_pkt_any[3].execute @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[3].load <= csr.io.trigger_pkt_any[3].load @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[3].store <= csr.io.trigger_pkt_any[3].store @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[3].match_pkt <= csr.io.trigger_pkt_any[3].match_pkt @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[3].select <= csr.io.trigger_pkt_any[3].select @[dec_tlu_ctl.scala 881:40] + io.dec_tlu_mtval_wb1 <= csr.io.dec_tlu_mtval_wb1 @[dec_tlu_ctl.scala 882:40] + io.dec_tlu_exc_cause_wb1 <= csr.io.dec_tlu_exc_cause_wb1 @[dec_tlu_ctl.scala 883:40] + io.dec_tlu_perfcnt0 <= csr.io.dec_tlu_perfcnt0 @[dec_tlu_ctl.scala 884:40] + io.dec_tlu_perfcnt1 <= csr.io.dec_tlu_perfcnt1 @[dec_tlu_ctl.scala 885:40] + io.dec_tlu_perfcnt2 <= csr.io.dec_tlu_perfcnt2 @[dec_tlu_ctl.scala 886:40] + io.dec_tlu_perfcnt3 <= csr.io.dec_tlu_perfcnt3 @[dec_tlu_ctl.scala 887:40] + io.dec_tlu_misc_clk_override <= csr.io.dec_tlu_misc_clk_override @[dec_tlu_ctl.scala 888:40] + io.dec_tlu_dec_clk_override <= csr.io.dec_tlu_dec_clk_override @[dec_tlu_ctl.scala 889:40] + io.dec_tlu_ifu_clk_override <= csr.io.dec_tlu_ifu_clk_override @[dec_tlu_ctl.scala 890:40] + io.dec_tlu_lsu_clk_override <= csr.io.dec_tlu_lsu_clk_override @[dec_tlu_ctl.scala 891:40] + io.dec_tlu_bus_clk_override <= csr.io.dec_tlu_bus_clk_override @[dec_tlu_ctl.scala 892:40] + io.dec_tlu_pic_clk_override <= csr.io.dec_tlu_pic_clk_override @[dec_tlu_ctl.scala 893:40] + io.dec_tlu_dccm_clk_override <= csr.io.dec_tlu_dccm_clk_override @[dec_tlu_ctl.scala 894:40] + io.dec_tlu_icm_clk_override <= csr.io.dec_tlu_icm_clk_override @[dec_tlu_ctl.scala 895:40] + io.dec_csr_rddata_d <= csr.io.dec_csr_rddata_d @[dec_tlu_ctl.scala 896:40] + io.dec_tlu_pipelining_disable <= csr.io.dec_tlu_pipelining_disable @[dec_tlu_ctl.scala 897:40] + io.dec_tlu_wr_pause_r <= csr.io.dec_tlu_wr_pause_r @[dec_tlu_ctl.scala 898:40] + io.tlu_ifc.dec_tlu_mrac_ff <= csr.io.dec_tlu_mrac_ff @[dec_tlu_ctl.scala 899:48] + io.tlu_busbuff.dec_tlu_wb_coalescing_disable <= csr.io.dec_tlu_wb_coalescing_disable @[dec_tlu_ctl.scala 900:52] + io.tlu_bp.dec_tlu_bpred_disable <= csr.io.dec_tlu_bpred_disable @[dec_tlu_ctl.scala 901:47] + io.tlu_busbuff.dec_tlu_sideeffect_posted_disable <= csr.io.dec_tlu_sideeffect_posted_disable @[dec_tlu_ctl.scala 902:52] + io.tlu_mem.dec_tlu_core_ecc_disable <= csr.io.dec_tlu_core_ecc_disable @[dec_tlu_ctl.scala 903:48] + io.tlu_busbuff.dec_tlu_external_ldfwd_disable <= csr.io.dec_tlu_external_ldfwd_disable @[dec_tlu_ctl.scala 904:52] + io.tlu_dma.dec_tlu_dma_qos_prty <= csr.io.dec_tlu_dma_qos_prty @[dec_tlu_ctl.scala 905:48] + csr.io.dec_illegal_inst <= io.dec_illegal_inst @[dec_tlu_ctl.scala 906:44] + csr.io.lsu_error_pkt_r.bits.addr <= io.lsu_error_pkt_r.bits.addr @[dec_tlu_ctl.scala 907:44] + csr.io.lsu_error_pkt_r.bits.mscause <= io.lsu_error_pkt_r.bits.mscause @[dec_tlu_ctl.scala 907:44] + csr.io.lsu_error_pkt_r.bits.exc_type <= io.lsu_error_pkt_r.bits.exc_type @[dec_tlu_ctl.scala 907:44] + csr.io.lsu_error_pkt_r.bits.inst_type <= io.lsu_error_pkt_r.bits.inst_type @[dec_tlu_ctl.scala 907:44] + csr.io.lsu_error_pkt_r.bits.single_ecc_error <= io.lsu_error_pkt_r.bits.single_ecc_error @[dec_tlu_ctl.scala 907:44] + csr.io.lsu_error_pkt_r.valid <= io.lsu_error_pkt_r.valid @[dec_tlu_ctl.scala 907:44] + csr.io.mexintpend <= io.dec_pic.mexintpend @[dec_tlu_ctl.scala 908:44] + csr.io.exu_npc_r <= io.tlu_exu.exu_npc_r @[dec_tlu_ctl.scala 909:44] + csr.io.mpc_reset_run_req <= io.mpc_reset_run_req @[dec_tlu_ctl.scala 910:44] + csr.io.rst_vec <= io.rst_vec @[dec_tlu_ctl.scala 911:44] + csr.io.core_id <= io.core_id @[dec_tlu_ctl.scala 912:44] + csr.io.dec_timer_rddata_d <= int_timers.io.dec_timer_rddata_d @[dec_tlu_ctl.scala 913:44] + csr.io.dec_timer_read_d <= int_timers.io.dec_timer_read_d @[dec_tlu_ctl.scala 914:44] + csr.io.rfpc_i0_r <= rfpc_i0_r @[dec_tlu_ctl.scala 917:39] + csr.io.i0_trigger_hit_r <= i0_trigger_hit_r @[dec_tlu_ctl.scala 918:39] + csr.io.exc_or_int_valid_r <= exc_or_int_valid_r @[dec_tlu_ctl.scala 919:39] + csr.io.mret_r <= mret_r @[dec_tlu_ctl.scala 920:39] + csr.io.dcsr_single_step_running_f <= dcsr_single_step_running_f @[dec_tlu_ctl.scala 921:39] + csr.io.dec_timer_t0_pulse <= int_timers.io.dec_timer_t0_pulse @[dec_tlu_ctl.scala 922:39] + csr.io.dec_timer_t1_pulse <= int_timers.io.dec_timer_t1_pulse @[dec_tlu_ctl.scala 923:39] + csr.io.timer_int_sync <= timer_int_sync @[dec_tlu_ctl.scala 924:39] + csr.io.soft_int_sync <= soft_int_sync @[dec_tlu_ctl.scala 925:39] + csr.io.csr_wr_clk <= rvclkhdr.io.l1clk @[dec_tlu_ctl.scala 926:39] + csr.io.ebreak_to_debug_mode_r <= ebreak_to_debug_mode_r @[dec_tlu_ctl.scala 927:39] + csr.io.dec_tlu_pmu_fw_halted <= dec_tlu_pmu_fw_halted @[dec_tlu_ctl.scala 928:39] + csr.io.lsu_fir_error <= io.lsu_fir_error @[dec_tlu_ctl.scala 929:39] + csr.io.tlu_flush_lower_r_d1 <= tlu_flush_lower_r_d1 @[dec_tlu_ctl.scala 930:39] + csr.io.dec_tlu_flush_noredir_r_d1 <= dec_tlu_flush_noredir_r_d1 @[dec_tlu_ctl.scala 931:39] + csr.io.tlu_flush_path_r_d1 <= tlu_flush_path_r_d1 @[dec_tlu_ctl.scala 932:39] + csr.io.reset_delayed <= reset_delayed @[dec_tlu_ctl.scala 933:39] + csr.io.interrupt_valid_r <= interrupt_valid_r @[dec_tlu_ctl.scala 934:39] + csr.io.i0_exception_valid_r <= i0_exception_valid_r @[dec_tlu_ctl.scala 935:39] + csr.io.lsu_exc_valid_r <= lsu_exc_valid_r @[dec_tlu_ctl.scala 936:39] + csr.io.mepc_trigger_hit_sel_pc_r <= mepc_trigger_hit_sel_pc_r @[dec_tlu_ctl.scala 937:39] + csr.io.e4e5_int_clk <= rvclkhdr_3.io.l1clk @[dec_tlu_ctl.scala 938:39] + csr.io.lsu_i0_exc_r <= lsu_exc_valid_r @[dec_tlu_ctl.scala 939:39] + csr.io.inst_acc_r <= inst_acc_r @[dec_tlu_ctl.scala 940:39] + csr.io.inst_acc_second_r <= io.dec_tlu_packet_r.icaf_f1 @[dec_tlu_ctl.scala 941:39] + csr.io.take_nmi <= take_nmi @[dec_tlu_ctl.scala 942:39] + csr.io.lsu_error_pkt_addr_r <= io.lsu_error_pkt_r.bits.addr @[dec_tlu_ctl.scala 943:39] + csr.io.exc_cause_r <= exc_cause_r @[dec_tlu_ctl.scala 944:39] + csr.io.i0_valid_wb <= i0_valid_wb @[dec_tlu_ctl.scala 945:39] + csr.io.exc_or_int_valid_r_d1 <= exc_or_int_valid_r_d1 @[dec_tlu_ctl.scala 946:39] + csr.io.interrupt_valid_r_d1 <= interrupt_valid_r_d1 @[dec_tlu_ctl.scala 947:39] + csr.io.clk_override <= io.dec_tlu_dec_clk_override @[dec_tlu_ctl.scala 948:39] + csr.io.i0_exception_valid_r_d1 <= i0_exception_valid_r_d1 @[dec_tlu_ctl.scala 949:39] + csr.io.lsu_i0_exc_r_d1 <= lsu_i0_exc_r_d1 @[dec_tlu_ctl.scala 950:39] + csr.io.exc_cause_wb <= exc_cause_wb @[dec_tlu_ctl.scala 951:39] + csr.io.nmi_lsu_store_type <= nmi_lsu_store_type @[dec_tlu_ctl.scala 952:39] + csr.io.nmi_lsu_load_type <= nmi_lsu_load_type @[dec_tlu_ctl.scala 953:39] + csr.io.tlu_i0_commit_cmt <= tlu_i0_commit_cmt @[dec_tlu_ctl.scala 954:39] + csr.io.ebreak_r <= ebreak_r @[dec_tlu_ctl.scala 955:39] + csr.io.ecall_r <= ecall_r @[dec_tlu_ctl.scala 956:39] + csr.io.illegal_r <= illegal_r @[dec_tlu_ctl.scala 957:39] + csr.io.mdseac_locked_f <= mdseac_locked_f @[dec_tlu_ctl.scala 958:39] + csr.io.nmi_int_detected_f <= nmi_int_detected_f @[dec_tlu_ctl.scala 959:39] + csr.io.internal_dbg_halt_mode_f2 <= internal_dbg_halt_mode_f2 @[dec_tlu_ctl.scala 960:39] + csr.io.ext_int_freeze_d1 <= ext_int_freeze_d1 @[dec_tlu_ctl.scala 961:39] + csr.io.ic_perr_r_d1 <= ic_perr_r_d1 @[dec_tlu_ctl.scala 962:39] + csr.io.iccm_sbecc_r_d1 <= iccm_sbecc_r_d1 @[dec_tlu_ctl.scala 963:39] + csr.io.lsu_single_ecc_error_r_d1 <= lsu_single_ecc_error_r_d1 @[dec_tlu_ctl.scala 964:39] + csr.io.ifu_miss_state_idle_f <= ifu_miss_state_idle_f @[dec_tlu_ctl.scala 965:39] + csr.io.lsu_idle_any_f <= lsu_idle_any_f @[dec_tlu_ctl.scala 966:39] + csr.io.dbg_tlu_halted_f <= dbg_tlu_halted_f @[dec_tlu_ctl.scala 967:39] + csr.io.dbg_tlu_halted <= dbg_tlu_halted @[dec_tlu_ctl.scala 968:39] + csr.io.debug_halt_req_f <= debug_halt_req_f @[dec_tlu_ctl.scala 969:51] + csr.io.take_ext_int_start <= take_ext_int_start @[dec_tlu_ctl.scala 970:47] + csr.io.trigger_hit_dmode_r_d1 <= trigger_hit_dmode_r_d1 @[dec_tlu_ctl.scala 971:43] + csr.io.trigger_hit_r_d1 <= trigger_hit_r_d1 @[dec_tlu_ctl.scala 972:43] + csr.io.dcsr_single_step_done_f <= dcsr_single_step_done_f @[dec_tlu_ctl.scala 973:43] + csr.io.ebreak_to_debug_mode_r_d1 <= ebreak_to_debug_mode_r_d1 @[dec_tlu_ctl.scala 974:39] + csr.io.debug_halt_req <= debug_halt_req @[dec_tlu_ctl.scala 975:51] + csr.io.allow_dbg_halt_csr_write <= allow_dbg_halt_csr_write @[dec_tlu_ctl.scala 976:39] + csr.io.internal_dbg_halt_mode_f <= debug_mode_status @[dec_tlu_ctl.scala 977:39] + csr.io.enter_debug_halt_req <= enter_debug_halt_req @[dec_tlu_ctl.scala 978:39] + csr.io.internal_dbg_halt_mode <= internal_dbg_halt_mode @[dec_tlu_ctl.scala 979:39] + csr.io.request_debug_mode_done <= request_debug_mode_done @[dec_tlu_ctl.scala 980:39] + csr.io.request_debug_mode_r <= request_debug_mode_r @[dec_tlu_ctl.scala 981:39] + csr.io.update_hit_bit_r <= update_hit_bit_r @[dec_tlu_ctl.scala 982:39] + csr.io.take_timer_int <= take_timer_int @[dec_tlu_ctl.scala 983:39] + csr.io.take_int_timer0_int <= take_int_timer0_int @[dec_tlu_ctl.scala 984:39] + csr.io.take_int_timer1_int <= take_int_timer1_int @[dec_tlu_ctl.scala 985:39] + csr.io.take_ext_int <= take_ext_int @[dec_tlu_ctl.scala 986:39] + csr.io.tlu_flush_lower_r <= tlu_flush_lower_r @[dec_tlu_ctl.scala 987:39] + csr.io.dec_tlu_br0_error_r <= dec_tlu_br0_error_r @[dec_tlu_ctl.scala 988:39] + csr.io.dec_tlu_br0_start_error_r <= dec_tlu_br0_start_error_r @[dec_tlu_ctl.scala 989:39] + csr.io.lsu_pmu_load_external_r <= lsu_pmu_load_external_r @[dec_tlu_ctl.scala 990:39] + csr.io.lsu_pmu_store_external_r <= lsu_pmu_store_external_r @[dec_tlu_ctl.scala 991:39] + csr.io.csr_pkt.legal <= csr_pkt.legal @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.postsync <= csr_pkt.postsync @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.presync <= csr_pkt.presync @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_dicago <= csr_pkt.csr_dicago @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_dicad1 <= csr_pkt.csr_dicad1 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_dicad0 <= csr_pkt.csr_dicad0 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_dicad0h <= csr_pkt.csr_dicad0h @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_dicawics <= csr_pkt.csr_dicawics @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mfdhs <= csr_pkt.csr_mfdhs @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mfdht <= csr_pkt.csr_mfdht @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mdccmect <= csr_pkt.csr_mdccmect @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_miccmect <= csr_pkt.csr_miccmect @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_micect <= csr_pkt.csr_micect @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mdeau <= csr_pkt.csr_mdeau @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_meicpct <= csr_pkt.csr_meicpct @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mcpc <= csr_pkt.csr_mcpc @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mpmc <= csr_pkt.csr_mpmc @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mitcnt1 <= csr_pkt.csr_mitcnt1 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mitcnt0 <= csr_pkt.csr_mitcnt0 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mitb1 <= csr_pkt.csr_mitb1 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mitb0 <= csr_pkt.csr_mitb0 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mitctl1 <= csr_pkt.csr_mitctl1 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mitctl0 <= csr_pkt.csr_mitctl0 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mcountinhibit <= csr_pkt.csr_mcountinhibit @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mhpme6 <= csr_pkt.csr_mhpme6 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mhpme5 <= csr_pkt.csr_mhpme5 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mhpme4 <= csr_pkt.csr_mhpme4 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mhpme3 <= csr_pkt.csr_mhpme3 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mhpmc6h <= csr_pkt.csr_mhpmc6h @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mhpmc5h <= csr_pkt.csr_mhpmc5h @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mhpmc4h <= csr_pkt.csr_mhpmc4h @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mhpmc3h <= csr_pkt.csr_mhpmc3h @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mhpmc6 <= csr_pkt.csr_mhpmc6 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mhpmc5 <= csr_pkt.csr_mhpmc5 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mhpmc4 <= csr_pkt.csr_mhpmc4 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mhpmc3 <= csr_pkt.csr_mhpmc3 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mtdata2 <= csr_pkt.csr_mtdata2 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mtdata1 <= csr_pkt.csr_mtdata1 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mtsel <= csr_pkt.csr_mtsel @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_dpc <= csr_pkt.csr_dpc @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mfdc <= csr_pkt.csr_mfdc @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mcgc <= csr_pkt.csr_mcgc @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_dcsr <= csr_pkt.csr_dcsr @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_meicidpl <= csr_pkt.csr_meicidpl @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_meicurpl <= csr_pkt.csr_meicurpl @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_meipt <= csr_pkt.csr_meipt @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_meivt <= csr_pkt.csr_meivt @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_meihap <= csr_pkt.csr_meihap @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mdseac <= csr_pkt.csr_mdseac @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_dmst <= csr_pkt.csr_dmst @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mrac <= csr_pkt.csr_mrac @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mtval <= csr_pkt.csr_mtval @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mscause <= csr_pkt.csr_mscause @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mcause <= csr_pkt.csr_mcause @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mepc <= csr_pkt.csr_mepc @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mscratch <= csr_pkt.csr_mscratch @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_minstreth <= csr_pkt.csr_minstreth @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_minstretl <= csr_pkt.csr_minstretl @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mcycleh <= csr_pkt.csr_mcycleh @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mcyclel <= csr_pkt.csr_mcyclel @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mie <= csr_pkt.csr_mie @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mip <= csr_pkt.csr_mip @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mtvec <= csr_pkt.csr_mtvec @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mstatus <= csr_pkt.csr_mstatus @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mhartid <= csr_pkt.csr_mhartid @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mimpid <= csr_pkt.csr_mimpid @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_marchid <= csr_pkt.csr_marchid @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mvendorid <= csr_pkt.csr_mvendorid @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_misa <= csr_pkt.csr_misa @[dec_tlu_ctl.scala 992:39] + npc_r <= csr.io.npc_r @[dec_tlu_ctl.scala 994:31] + npc_r_d1 <= csr.io.npc_r_d1 @[dec_tlu_ctl.scala 995:31] + mie_ns <= csr.io.mie_ns @[dec_tlu_ctl.scala 996:31] + mepc <= csr.io.mepc @[dec_tlu_ctl.scala 997:31] + mdseac_locked_ns <= csr.io.mdseac_locked_ns @[dec_tlu_ctl.scala 998:31] + force_halt <= csr.io.force_halt @[dec_tlu_ctl.scala 999:31] + dpc <= csr.io.dpc @[dec_tlu_ctl.scala 1000:31] + mstatus_mie_ns <= csr.io.mstatus_mie_ns @[dec_tlu_ctl.scala 1001:31] + dec_csr_wen_r_mod <= csr.io.dec_csr_wen_r_mod @[dec_tlu_ctl.scala 1002:31] + fw_halt_req <= csr.io.fw_halt_req @[dec_tlu_ctl.scala 1003:31] + mstatus <= csr.io.mstatus @[dec_tlu_ctl.scala 1004:31] + dcsr <= csr.io.dcsr @[dec_tlu_ctl.scala 1005:31] + mtvec <= csr.io.mtvec @[dec_tlu_ctl.scala 1006:31] + mip <= csr.io.mip @[dec_tlu_ctl.scala 1007:31] + mtdata1_t[0] <= csr.io.mtdata1_t[0] @[dec_tlu_ctl.scala 1008:33] + mtdata1_t[1] <= csr.io.mtdata1_t[1] @[dec_tlu_ctl.scala 1008:33] + mtdata1_t[2] <= csr.io.mtdata1_t[2] @[dec_tlu_ctl.scala 1008:33] + mtdata1_t[3] <= csr.io.mtdata1_t[3] @[dec_tlu_ctl.scala 1008:33] + inst csr_read of dec_decode_csr_read @[dec_tlu_ctl.scala 1009:22] csr_read.clock <= clock csr_read.reset <= reset - csr_read.io.dec_csr_rdaddr_d <= io.dec_csr_rdaddr_d @[dec_tlu_ctl.scala 1011:37] - csr_pkt.legal <= csr_read.io.csr_pkt.legal @[dec_tlu_ctl.scala 1012:16] - csr_pkt.postsync <= csr_read.io.csr_pkt.postsync @[dec_tlu_ctl.scala 1012:16] - csr_pkt.presync <= csr_read.io.csr_pkt.presync @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_dicago <= csr_read.io.csr_pkt.csr_dicago @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_dicad1 <= csr_read.io.csr_pkt.csr_dicad1 @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_dicad0 <= csr_read.io.csr_pkt.csr_dicad0 @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_dicad0h <= csr_read.io.csr_pkt.csr_dicad0h @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_dicawics <= csr_read.io.csr_pkt.csr_dicawics @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mfdhs <= csr_read.io.csr_pkt.csr_mfdhs @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mfdht <= csr_read.io.csr_pkt.csr_mfdht @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mdccmect <= csr_read.io.csr_pkt.csr_mdccmect @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_miccmect <= csr_read.io.csr_pkt.csr_miccmect @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_micect <= csr_read.io.csr_pkt.csr_micect @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mdeau <= csr_read.io.csr_pkt.csr_mdeau @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_meicpct <= csr_read.io.csr_pkt.csr_meicpct @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mcpc <= csr_read.io.csr_pkt.csr_mcpc @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mpmc <= csr_read.io.csr_pkt.csr_mpmc @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mitcnt1 <= csr_read.io.csr_pkt.csr_mitcnt1 @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mitcnt0 <= csr_read.io.csr_pkt.csr_mitcnt0 @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mitb1 <= csr_read.io.csr_pkt.csr_mitb1 @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mitb0 <= csr_read.io.csr_pkt.csr_mitb0 @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mitctl1 <= csr_read.io.csr_pkt.csr_mitctl1 @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mitctl0 <= csr_read.io.csr_pkt.csr_mitctl0 @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mcountinhibit <= csr_read.io.csr_pkt.csr_mcountinhibit @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mhpme6 <= csr_read.io.csr_pkt.csr_mhpme6 @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mhpme5 <= csr_read.io.csr_pkt.csr_mhpme5 @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mhpme4 <= csr_read.io.csr_pkt.csr_mhpme4 @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mhpme3 <= csr_read.io.csr_pkt.csr_mhpme3 @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mhpmc6h <= csr_read.io.csr_pkt.csr_mhpmc6h @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mhpmc5h <= csr_read.io.csr_pkt.csr_mhpmc5h @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mhpmc4h <= csr_read.io.csr_pkt.csr_mhpmc4h @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mhpmc3h <= csr_read.io.csr_pkt.csr_mhpmc3h @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mhpmc6 <= csr_read.io.csr_pkt.csr_mhpmc6 @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mhpmc5 <= csr_read.io.csr_pkt.csr_mhpmc5 @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mhpmc4 <= csr_read.io.csr_pkt.csr_mhpmc4 @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mhpmc3 <= csr_read.io.csr_pkt.csr_mhpmc3 @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mtdata2 <= csr_read.io.csr_pkt.csr_mtdata2 @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mtdata1 <= csr_read.io.csr_pkt.csr_mtdata1 @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mtsel <= csr_read.io.csr_pkt.csr_mtsel @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_dpc <= csr_read.io.csr_pkt.csr_dpc @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mfdc <= csr_read.io.csr_pkt.csr_mfdc @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mcgc <= csr_read.io.csr_pkt.csr_mcgc @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_dcsr <= csr_read.io.csr_pkt.csr_dcsr @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_meicidpl <= csr_read.io.csr_pkt.csr_meicidpl @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_meicurpl <= csr_read.io.csr_pkt.csr_meicurpl @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_meipt <= csr_read.io.csr_pkt.csr_meipt @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_meivt <= csr_read.io.csr_pkt.csr_meivt @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_meihap <= csr_read.io.csr_pkt.csr_meihap @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mdseac <= csr_read.io.csr_pkt.csr_mdseac @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_dmst <= csr_read.io.csr_pkt.csr_dmst @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mrac <= csr_read.io.csr_pkt.csr_mrac @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mtval <= csr_read.io.csr_pkt.csr_mtval @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mscause <= csr_read.io.csr_pkt.csr_mscause @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mcause <= csr_read.io.csr_pkt.csr_mcause @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mepc <= csr_read.io.csr_pkt.csr_mepc @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mscratch <= csr_read.io.csr_pkt.csr_mscratch @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_minstreth <= csr_read.io.csr_pkt.csr_minstreth @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_minstretl <= csr_read.io.csr_pkt.csr_minstretl @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mcycleh <= csr_read.io.csr_pkt.csr_mcycleh @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mcyclel <= csr_read.io.csr_pkt.csr_mcyclel @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mie <= csr_read.io.csr_pkt.csr_mie @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mip <= csr_read.io.csr_pkt.csr_mip @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mtvec <= csr_read.io.csr_pkt.csr_mtvec @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mstatus <= csr_read.io.csr_pkt.csr_mstatus @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mhartid <= csr_read.io.csr_pkt.csr_mhartid @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mimpid <= csr_read.io.csr_pkt.csr_mimpid @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_marchid <= csr_read.io.csr_pkt.csr_marchid @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mvendorid <= csr_read.io.csr_pkt.csr_mvendorid @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_misa <= csr_read.io.csr_pkt.csr_misa @[dec_tlu_ctl.scala 1012:16] - node _T_864 = and(csr_pkt.presync, io.dec_csr_any_unq_d) @[dec_tlu_ctl.scala 1014:42] - node _T_865 = not(io.dec_csr_wen_unq_d) @[dec_tlu_ctl.scala 1014:67] - node _T_866 = and(_T_864, _T_865) @[dec_tlu_ctl.scala 1014:65] - io.dec_tlu_presync_d <= _T_866 @[dec_tlu_ctl.scala 1014:23] - node _T_867 = and(csr_pkt.postsync, io.dec_csr_any_unq_d) @[dec_tlu_ctl.scala 1015:43] - io.dec_tlu_postsync_d <= _T_867 @[dec_tlu_ctl.scala 1015:23] - node _T_868 = or(csr_pkt.csr_mitcnt0, csr_pkt.csr_mitcnt1) @[dec_tlu_ctl.scala 1018:50] - node _T_869 = or(_T_868, csr_pkt.csr_mitb0) @[dec_tlu_ctl.scala 1018:72] - node _T_870 = or(_T_869, csr_pkt.csr_mitb1) @[dec_tlu_ctl.scala 1018:92] - node _T_871 = or(_T_870, csr_pkt.csr_mitctl0) @[dec_tlu_ctl.scala 1018:112] - node _T_872 = or(_T_871, csr_pkt.csr_mitctl1) @[dec_tlu_ctl.scala 1018:134] - node _T_873 = not(UInt<1>("h01")) @[dec_tlu_ctl.scala 1018:159] - node conditionally_illegal = and(_T_872, _T_873) @[dec_tlu_ctl.scala 1018:157] - node _T_874 = or(csr_pkt.csr_dcsr, csr_pkt.csr_dpc) @[dec_tlu_ctl.scala 1019:55] - node _T_875 = or(_T_874, csr_pkt.csr_dmst) @[dec_tlu_ctl.scala 1019:73] - node _T_876 = or(_T_875, csr_pkt.csr_dicawics) @[dec_tlu_ctl.scala 1019:92] - node _T_877 = or(_T_876, csr_pkt.csr_dicad0) @[dec_tlu_ctl.scala 1019:115] - node _T_878 = or(_T_877, csr_pkt.csr_dicad0h) @[dec_tlu_ctl.scala 1019:136] - node _T_879 = or(_T_878, csr_pkt.csr_dicad1) @[dec_tlu_ctl.scala 1019:158] - node _T_880 = or(_T_879, csr_pkt.csr_dicago) @[dec_tlu_ctl.scala 1019:179] - node _T_881 = not(_T_880) @[dec_tlu_ctl.scala 1019:36] - node _T_882 = or(_T_881, dbg_tlu_halted_f) @[dec_tlu_ctl.scala 1019:201] - node _T_883 = and(csr_pkt.legal, _T_882) @[dec_tlu_ctl.scala 1019:33] - node _T_884 = not(fast_int_meicpct) @[dec_tlu_ctl.scala 1019:223] - node _T_885 = and(_T_883, _T_884) @[dec_tlu_ctl.scala 1019:221] - node _T_886 = not(conditionally_illegal) @[dec_tlu_ctl.scala 1019:243] - node valid_csr = and(_T_885, _T_886) @[dec_tlu_ctl.scala 1019:241] - node _T_887 = and(io.dec_csr_any_unq_d, valid_csr) @[dec_tlu_ctl.scala 1021:46] - node _T_888 = or(csr_pkt.csr_mvendorid, csr_pkt.csr_marchid) @[dec_tlu_ctl.scala 1021:107] - node _T_889 = or(_T_888, csr_pkt.csr_mimpid) @[dec_tlu_ctl.scala 1021:129] - node _T_890 = or(_T_889, csr_pkt.csr_mhartid) @[dec_tlu_ctl.scala 1021:150] - node _T_891 = or(_T_890, csr_pkt.csr_mdseac) @[dec_tlu_ctl.scala 1021:172] - node _T_892 = or(_T_891, csr_pkt.csr_meihap) @[dec_tlu_ctl.scala 1021:193] - node _T_893 = and(io.dec_csr_wen_unq_d, _T_892) @[dec_tlu_ctl.scala 1021:82] - node _T_894 = not(_T_893) @[dec_tlu_ctl.scala 1021:59] - node _T_895 = and(_T_887, _T_894) @[dec_tlu_ctl.scala 1021:57] - io.dec_csr_legal_d <= _T_895 @[dec_tlu_ctl.scala 1021:20] + csr_read.io.dec_csr_rdaddr_d <= io.dec_csr_rdaddr_d @[dec_tlu_ctl.scala 1010:37] + csr_pkt.legal <= csr_read.io.csr_pkt.legal @[dec_tlu_ctl.scala 1011:16] + csr_pkt.postsync <= csr_read.io.csr_pkt.postsync @[dec_tlu_ctl.scala 1011:16] + csr_pkt.presync <= csr_read.io.csr_pkt.presync @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_dicago <= csr_read.io.csr_pkt.csr_dicago @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_dicad1 <= csr_read.io.csr_pkt.csr_dicad1 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_dicad0 <= csr_read.io.csr_pkt.csr_dicad0 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_dicad0h <= csr_read.io.csr_pkt.csr_dicad0h @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_dicawics <= csr_read.io.csr_pkt.csr_dicawics @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mfdhs <= csr_read.io.csr_pkt.csr_mfdhs @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mfdht <= csr_read.io.csr_pkt.csr_mfdht @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mdccmect <= csr_read.io.csr_pkt.csr_mdccmect @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_miccmect <= csr_read.io.csr_pkt.csr_miccmect @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_micect <= csr_read.io.csr_pkt.csr_micect @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mdeau <= csr_read.io.csr_pkt.csr_mdeau @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_meicpct <= csr_read.io.csr_pkt.csr_meicpct @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mcpc <= csr_read.io.csr_pkt.csr_mcpc @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mpmc <= csr_read.io.csr_pkt.csr_mpmc @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mitcnt1 <= csr_read.io.csr_pkt.csr_mitcnt1 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mitcnt0 <= csr_read.io.csr_pkt.csr_mitcnt0 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mitb1 <= csr_read.io.csr_pkt.csr_mitb1 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mitb0 <= csr_read.io.csr_pkt.csr_mitb0 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mitctl1 <= csr_read.io.csr_pkt.csr_mitctl1 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mitctl0 <= csr_read.io.csr_pkt.csr_mitctl0 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mcountinhibit <= csr_read.io.csr_pkt.csr_mcountinhibit @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mhpme6 <= csr_read.io.csr_pkt.csr_mhpme6 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mhpme5 <= csr_read.io.csr_pkt.csr_mhpme5 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mhpme4 <= csr_read.io.csr_pkt.csr_mhpme4 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mhpme3 <= csr_read.io.csr_pkt.csr_mhpme3 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mhpmc6h <= csr_read.io.csr_pkt.csr_mhpmc6h @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mhpmc5h <= csr_read.io.csr_pkt.csr_mhpmc5h @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mhpmc4h <= csr_read.io.csr_pkt.csr_mhpmc4h @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mhpmc3h <= csr_read.io.csr_pkt.csr_mhpmc3h @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mhpmc6 <= csr_read.io.csr_pkt.csr_mhpmc6 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mhpmc5 <= csr_read.io.csr_pkt.csr_mhpmc5 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mhpmc4 <= csr_read.io.csr_pkt.csr_mhpmc4 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mhpmc3 <= csr_read.io.csr_pkt.csr_mhpmc3 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mtdata2 <= csr_read.io.csr_pkt.csr_mtdata2 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mtdata1 <= csr_read.io.csr_pkt.csr_mtdata1 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mtsel <= csr_read.io.csr_pkt.csr_mtsel @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_dpc <= csr_read.io.csr_pkt.csr_dpc @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mfdc <= csr_read.io.csr_pkt.csr_mfdc @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mcgc <= csr_read.io.csr_pkt.csr_mcgc @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_dcsr <= csr_read.io.csr_pkt.csr_dcsr @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_meicidpl <= csr_read.io.csr_pkt.csr_meicidpl @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_meicurpl <= csr_read.io.csr_pkt.csr_meicurpl @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_meipt <= csr_read.io.csr_pkt.csr_meipt @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_meivt <= csr_read.io.csr_pkt.csr_meivt @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_meihap <= csr_read.io.csr_pkt.csr_meihap @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mdseac <= csr_read.io.csr_pkt.csr_mdseac @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_dmst <= csr_read.io.csr_pkt.csr_dmst @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mrac <= csr_read.io.csr_pkt.csr_mrac @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mtval <= csr_read.io.csr_pkt.csr_mtval @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mscause <= csr_read.io.csr_pkt.csr_mscause @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mcause <= csr_read.io.csr_pkt.csr_mcause @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mepc <= csr_read.io.csr_pkt.csr_mepc @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mscratch <= csr_read.io.csr_pkt.csr_mscratch @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_minstreth <= csr_read.io.csr_pkt.csr_minstreth @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_minstretl <= csr_read.io.csr_pkt.csr_minstretl @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mcycleh <= csr_read.io.csr_pkt.csr_mcycleh @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mcyclel <= csr_read.io.csr_pkt.csr_mcyclel @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mie <= csr_read.io.csr_pkt.csr_mie @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mip <= csr_read.io.csr_pkt.csr_mip @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mtvec <= csr_read.io.csr_pkt.csr_mtvec @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mstatus <= csr_read.io.csr_pkt.csr_mstatus @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mhartid <= csr_read.io.csr_pkt.csr_mhartid @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mimpid <= csr_read.io.csr_pkt.csr_mimpid @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_marchid <= csr_read.io.csr_pkt.csr_marchid @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mvendorid <= csr_read.io.csr_pkt.csr_mvendorid @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_misa <= csr_read.io.csr_pkt.csr_misa @[dec_tlu_ctl.scala 1011:16] + node _T_864 = and(csr_pkt.presync, io.dec_csr_any_unq_d) @[dec_tlu_ctl.scala 1013:42] + node _T_865 = not(io.dec_csr_wen_unq_d) @[dec_tlu_ctl.scala 1013:67] + node _T_866 = and(_T_864, _T_865) @[dec_tlu_ctl.scala 1013:65] + io.dec_tlu_presync_d <= _T_866 @[dec_tlu_ctl.scala 1013:23] + node _T_867 = and(csr_pkt.postsync, io.dec_csr_any_unq_d) @[dec_tlu_ctl.scala 1014:43] + io.dec_tlu_postsync_d <= _T_867 @[dec_tlu_ctl.scala 1014:23] + node _T_868 = or(csr_pkt.csr_mitcnt0, csr_pkt.csr_mitcnt1) @[dec_tlu_ctl.scala 1017:50] + node _T_869 = or(_T_868, csr_pkt.csr_mitb0) @[dec_tlu_ctl.scala 1017:72] + node _T_870 = or(_T_869, csr_pkt.csr_mitb1) @[dec_tlu_ctl.scala 1017:92] + node _T_871 = or(_T_870, csr_pkt.csr_mitctl0) @[dec_tlu_ctl.scala 1017:112] + node _T_872 = or(_T_871, csr_pkt.csr_mitctl1) @[dec_tlu_ctl.scala 1017:134] + node _T_873 = not(UInt<1>("h01")) @[dec_tlu_ctl.scala 1017:159] + node conditionally_illegal = and(_T_872, _T_873) @[dec_tlu_ctl.scala 1017:157] + node _T_874 = or(csr_pkt.csr_dcsr, csr_pkt.csr_dpc) @[dec_tlu_ctl.scala 1018:55] + node _T_875 = or(_T_874, csr_pkt.csr_dmst) @[dec_tlu_ctl.scala 1018:73] + node _T_876 = or(_T_875, csr_pkt.csr_dicawics) @[dec_tlu_ctl.scala 1018:92] + node _T_877 = or(_T_876, csr_pkt.csr_dicad0) @[dec_tlu_ctl.scala 1018:115] + node _T_878 = or(_T_877, csr_pkt.csr_dicad0h) @[dec_tlu_ctl.scala 1018:136] + node _T_879 = or(_T_878, csr_pkt.csr_dicad1) @[dec_tlu_ctl.scala 1018:158] + node _T_880 = or(_T_879, csr_pkt.csr_dicago) @[dec_tlu_ctl.scala 1018:179] + node _T_881 = not(_T_880) @[dec_tlu_ctl.scala 1018:36] + node _T_882 = or(_T_881, dbg_tlu_halted_f) @[dec_tlu_ctl.scala 1018:201] + node _T_883 = and(csr_pkt.legal, _T_882) @[dec_tlu_ctl.scala 1018:33] + node _T_884 = not(fast_int_meicpct) @[dec_tlu_ctl.scala 1018:223] + node _T_885 = and(_T_883, _T_884) @[dec_tlu_ctl.scala 1018:221] + node _T_886 = not(conditionally_illegal) @[dec_tlu_ctl.scala 1018:243] + node valid_csr = and(_T_885, _T_886) @[dec_tlu_ctl.scala 1018:241] + node _T_887 = and(io.dec_csr_any_unq_d, valid_csr) @[dec_tlu_ctl.scala 1020:46] + node _T_888 = or(csr_pkt.csr_mvendorid, csr_pkt.csr_marchid) @[dec_tlu_ctl.scala 1020:107] + node _T_889 = or(_T_888, csr_pkt.csr_mimpid) @[dec_tlu_ctl.scala 1020:129] + node _T_890 = or(_T_889, csr_pkt.csr_mhartid) @[dec_tlu_ctl.scala 1020:150] + node _T_891 = or(_T_890, csr_pkt.csr_mdseac) @[dec_tlu_ctl.scala 1020:172] + node _T_892 = or(_T_891, csr_pkt.csr_meihap) @[dec_tlu_ctl.scala 1020:193] + node _T_893 = and(io.dec_csr_wen_unq_d, _T_892) @[dec_tlu_ctl.scala 1020:82] + node _T_894 = not(_T_893) @[dec_tlu_ctl.scala 1020:59] + node _T_895 = and(_T_887, _T_894) @[dec_tlu_ctl.scala 1020:57] + io.dec_csr_legal_d <= _T_895 @[dec_tlu_ctl.scala 1020:20] module dec_trigger : input clock : Clock @@ -81133,7 +81138,7 @@ circuit quasar : module dec : input clock : Clock input reset : AsyncReset - output io : {flip free_clk : Clock, flip active_clk : Clock, flip lsu_fastint_stall_any : UInt<1>, dec_pause_state_cg : UInt<1>, flip rst_vec : UInt<31>, flip nmi_int : UInt<1>, flip nmi_vec : UInt<31>, flip i_cpu_halt_req : UInt<1>, flip i_cpu_run_req : UInt<1>, o_cpu_halt_status : UInt<1>, o_cpu_halt_ack : UInt<1>, o_cpu_run_ack : UInt<1>, o_debug_mode_status : UInt<1>, flip core_id : UInt<28>, flip mpc_debug_halt_req : UInt<1>, flip mpc_debug_run_req : UInt<1>, flip mpc_reset_run_req : UInt<1>, mpc_debug_halt_ack : UInt<1>, mpc_debug_run_ack : UInt<1>, debug_brkpt_status : UInt<1>, flip lsu_pmu_misaligned_m : UInt<1>, flip lsu_fir_addr : UInt<31>, flip lsu_fir_error : UInt<2>, flip lsu_trigger_match_m : UInt<4>, flip lsu_idle_any : UInt<1>, flip lsu_error_pkt_r : {valid : UInt<1>, bits : {single_ecc_error : UInt<1>, inst_type : UInt<1>, exc_type : UInt<1>, mscause : UInt<4>, addr : UInt<32>}}, flip lsu_single_ecc_error_incr : UInt<1>, flip exu_div_result : UInt<32>, flip exu_div_wren : UInt<1>, flip lsu_result_m : UInt<32>, flip lsu_result_corr_r : UInt<32>, flip lsu_load_stall_any : UInt<1>, flip lsu_store_stall_any : UInt<1>, flip iccm_dma_sb_error : UInt<1>, flip exu_flush_final : UInt<1>, flip timer_int : UInt<1>, flip soft_int : UInt<1>, flip dbg_halt_req : UInt<1>, flip dbg_resume_req : UInt<1>, dec_tlu_dbg_halted : UInt<1>, dec_tlu_debug_mode : UInt<1>, dec_tlu_resume_ack : UInt<1>, dec_tlu_mpc_halted_only : UInt<1>, dec_dbg_rddata : UInt<32>, dec_dbg_cmd_done : UInt<1>, dec_dbg_cmd_fail : UInt<1>, trigger_pkt_any : {select : UInt<1>, match_pkt : UInt<1>, store : UInt<1>, load : UInt<1>, execute : UInt<1>, m : UInt<1>, tdata2 : UInt<32>}[4], flip exu_i0_br_way_r : UInt<1>, lsu_p : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, dec_lsu_offset_d : UInt<12>, dec_tlu_i0_kill_writeb_r : UInt<1>, dec_tlu_perfcnt0 : UInt<1>, dec_tlu_perfcnt1 : UInt<1>, dec_tlu_perfcnt2 : UInt<1>, dec_tlu_perfcnt3 : UInt<1>, dec_lsu_valid_raw_d : UInt<1>, rv_trace_pkt : {rv_i_valid_ip : UInt<2>, rv_i_insn_ip : UInt<32>, rv_i_address_ip : UInt<32>, rv_i_exception_ip : UInt<2>, rv_i_ecause_ip : UInt<5>, rv_i_interrupt_ip : UInt<2>, rv_i_tval_ip : UInt<32>}, dec_tlu_misc_clk_override : UInt<1>, dec_tlu_ifu_clk_override : UInt<1>, dec_tlu_lsu_clk_override : UInt<1>, dec_tlu_bus_clk_override : UInt<1>, dec_tlu_pic_clk_override : UInt<1>, dec_tlu_dccm_clk_override : UInt<1>, dec_tlu_icm_clk_override : UInt<1>, flip scan_mode : UInt<1>, flip ifu_dec : {dec_aln : {aln_dec : {flip dec_i0_decode_d : UInt<1>, ifu_i0_cinst : UInt<16>}, aln_ib : {ifu_i0_icaf : UInt<1>, ifu_i0_icaf_type : UInt<2>, ifu_i0_icaf_f1 : UInt<1>, ifu_i0_dbecc : UInt<1>, ifu_i0_bp_index : UInt<8>, ifu_i0_bp_fghr : UInt<8>, ifu_i0_bp_btag : UInt<5>, ifu_i0_valid : UInt<1>, ifu_i0_instr : UInt<32>, ifu_i0_pc : UInt<31>, ifu_i0_pc4 : UInt<1>, i0_brp : {valid : UInt<1>, bits : {toffset : UInt<12>, hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, bank : UInt<1>, prett : UInt<31>, way : UInt<1>, ret : UInt<1>}}}, ifu_pmu_instr_aligned : UInt<1>}, dec_mem_ctrl : {flip dec_tlu_flush_lower_wb : UInt<1>, flip dec_tlu_flush_err_wb : UInt<1>, flip dec_tlu_i0_commit_cmt : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip dec_tlu_fence_i_wb : UInt<1>, flip dec_tlu_ic_diag_pkt : {icache_wrdata : UInt<71>, icache_dicawics : UInt<17>, icache_rd_valid : UInt<1>, icache_wr_valid : UInt<1>}, flip dec_tlu_core_ecc_disable : UInt<1>, ifu_pmu_ic_miss : UInt<1>, ifu_pmu_ic_hit : UInt<1>, ifu_pmu_bus_error : UInt<1>, ifu_pmu_bus_busy : UInt<1>, ifu_pmu_bus_trxn : UInt<1>, ifu_ic_error_start : UInt<1>, ifu_iccm_rd_ecc_single_err : UInt<1>, ifu_ic_debug_rd_data : UInt<71>, ifu_ic_debug_rd_data_valid : UInt<1>, ifu_miss_state_idle : UInt<1>}, dec_ifc : {flip dec_tlu_flush_noredir_wb : UInt<1>, flip dec_tlu_mrac_ff : UInt<32>, ifu_pmu_fetch_stall : UInt<1>}, dec_bp : {flip dec_tlu_br0_r_pkt : {valid : UInt<1>, bits : {hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, way : UInt<1>, middle : UInt<1>}}, flip dec_tlu_flush_lower_wb : UInt<1>, flip dec_tlu_flush_leak_one_wb : UInt<1>, flip dec_tlu_bpred_disable : UInt<1>}}, flip dec_exu : {dec_alu : {flip dec_i0_alu_decode_d : UInt<1>, flip dec_csr_ren_d : UInt<1>, flip dec_i0_br_immed_d : UInt<12>, exu_i0_pc_x : UInt<31>}, dec_div : {flip div_p : {valid : UInt<1>, bits : {unsign : UInt<1>, rem : UInt<1>}}, flip dec_div_cancel : UInt<1>}, decode_exu : {flip dec_data_en : UInt<2>, flip dec_ctl_en : UInt<2>, flip i0_ap : {land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, srl : UInt<1>, sra : UInt<1>, beq : UInt<1>, bne : UInt<1>, blt : UInt<1>, bge : UInt<1>, add : UInt<1>, sub : UInt<1>, slt : UInt<1>, unsign : UInt<1>, jal : UInt<1>, predict_t : UInt<1>, predict_nt : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>}, flip dec_i0_predict_p_d : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<31>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}}, flip i0_predict_fghr_d : UInt<8>, flip i0_predict_index_d : UInt<8>, flip i0_predict_btag_d : UInt<5>, flip dec_i0_rs1_en_d : UInt<1>, flip dec_i0_rs2_en_d : UInt<1>, flip dec_i0_immed_d : UInt<32>, flip dec_i0_rs1_bypass_data_d : UInt<32>, flip dec_i0_rs2_bypass_data_d : UInt<32>, flip dec_i0_select_pc_d : UInt<1>, flip dec_i0_rs1_bypass_en_d : UInt<2>, flip dec_i0_rs2_bypass_en_d : UInt<2>, flip mul_p : {valid : UInt<1>, bits : {rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, bext : UInt<1>, bdep : UInt<1>, clmul : UInt<1>, clmulh : UInt<1>, clmulr : UInt<1>, grev : UInt<1>, shfl : UInt<1>, unshfl : UInt<1>, crc32_b : UInt<1>, crc32_h : UInt<1>, crc32_w : UInt<1>, crc32c_b : UInt<1>, crc32c_h : UInt<1>, crc32c_w : UInt<1>, bfp : UInt<1>}}, flip pred_correct_npc_x : UInt<31>, flip dec_extint_stall : UInt<1>, exu_i0_result_x : UInt<32>, exu_csr_rs1_x : UInt<32>}, tlu_exu : {flip dec_tlu_meihap : UInt<30>, flip dec_tlu_flush_lower_r : UInt<1>, flip dec_tlu_flush_path_r : UInt<31>, exu_i0_br_hist_r : UInt<2>, exu_i0_br_error_r : UInt<1>, exu_i0_br_start_error_r : UInt<1>, exu_i0_br_index_r : UInt<8>, exu_i0_br_valid_r : UInt<1>, exu_i0_br_mp_r : UInt<1>, exu_i0_br_middle_r : UInt<1>, exu_pmu_i0_br_misp : UInt<1>, exu_pmu_i0_br_ataken : UInt<1>, exu_pmu_i0_pc4 : UInt<1>, exu_npc_r : UInt<31>}, ib_exu : {flip dec_i0_pc_d : UInt<31>, flip dec_debug_wdata_rs1_d : UInt<1>}, gpr_exu : {flip gpr_i0_rs1_d : UInt<32>, flip gpr_i0_rs2_d : UInt<32>}}, flip lsu_dec : {tlu_busbuff : {lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>}, dctl_busbuff : {lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>}}, flip lsu_tlu : {lsu_pmu_load_external_m : UInt<1>, lsu_pmu_store_external_m : UInt<1>}, dec_dbg : {dbg_ib : {flip dbg_cmd_valid : UInt<1>, flip dbg_cmd_write : UInt<1>, flip dbg_cmd_type : UInt<2>, flip dbg_cmd_addr : UInt<32>}, dbg_dctl : {flip dbg_cmd_wrdata : UInt<2>}}, dec_dma : {dctl_dma : {flip dma_dccm_stall_any : UInt<1>}, tlu_dma : {flip dma_pmu_dccm_read : UInt<1>, flip dma_pmu_dccm_write : UInt<1>, flip dma_pmu_any_read : UInt<1>, flip dma_pmu_any_write : UInt<1>, dec_tlu_dma_qos_prty : UInt<3>, flip dma_dccm_stall_any : UInt<1>, flip dma_iccm_stall_any : UInt<1>}}, dec_pic : {flip pic_claimid : UInt<8>, flip pic_pl : UInt<4>, flip mhwakeup : UInt<1>, dec_tlu_meicurpl : UInt<4>, dec_tlu_meipt : UInt<4>, flip mexintpend : UInt<1>}} + output io : {flip free_clk : Clock, flip active_clk : Clock, flip lsu_fastint_stall_any : UInt<1>, dec_pause_state_cg : UInt<1>, flip rst_vec : UInt<31>, flip nmi_int : UInt<1>, flip nmi_vec : UInt<31>, flip i_cpu_halt_req : UInt<1>, flip i_cpu_run_req : UInt<1>, o_cpu_halt_status : UInt<1>, o_cpu_halt_ack : UInt<1>, o_cpu_run_ack : UInt<1>, o_debug_mode_status : UInt<1>, flip core_id : UInt<28>, flip mpc_debug_halt_req : UInt<1>, flip mpc_debug_run_req : UInt<1>, flip mpc_reset_run_req : UInt<1>, mpc_debug_halt_ack : UInt<1>, mpc_debug_run_ack : UInt<1>, debug_brkpt_status : UInt<1>, flip lsu_pmu_misaligned_m : UInt<1>, flip lsu_fir_addr : UInt<31>, flip lsu_fir_error : UInt<2>, flip lsu_trigger_match_m : UInt<4>, flip lsu_idle_any : UInt<1>, flip lsu_error_pkt_r : {valid : UInt<1>, bits : {single_ecc_error : UInt<1>, inst_type : UInt<1>, exc_type : UInt<1>, mscause : UInt<4>, addr : UInt<32>}}, flip lsu_single_ecc_error_incr : UInt<1>, flip exu_div_result : UInt<32>, flip exu_div_wren : UInt<1>, flip lsu_result_m : UInt<32>, flip lsu_result_corr_r : UInt<32>, flip lsu_load_stall_any : UInt<1>, flip lsu_store_stall_any : UInt<1>, flip iccm_dma_sb_error : UInt<1>, flip exu_flush_final : UInt<1>, flip timer_int : UInt<1>, flip soft_int : UInt<1>, flip dbg_halt_req : UInt<1>, flip dbg_resume_req : UInt<1>, dec_tlu_dbg_halted : UInt<1>, dec_tlu_debug_mode : UInt<1>, dec_tlu_resume_ack : UInt<1>, dec_tlu_mpc_halted_only : UInt<1>, dec_dbg_rddata : UInt<32>, dec_dbg_cmd_done : UInt<1>, dec_dbg_cmd_fail : UInt<1>, trigger_pkt_any : {select : UInt<1>, match_pkt : UInt<1>, store : UInt<1>, load : UInt<1>, execute : UInt<1>, m : UInt<1>, tdata2 : UInt<32>}[4], flip exu_i0_br_way_r : UInt<1>, lsu_p : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, dec_lsu_offset_d : UInt<12>, dec_tlu_i0_kill_writeb_r : UInt<1>, dec_tlu_perfcnt0 : UInt<1>, dec_tlu_perfcnt1 : UInt<1>, dec_tlu_perfcnt2 : UInt<1>, dec_tlu_perfcnt3 : UInt<1>, dec_lsu_valid_raw_d : UInt<1>, rv_trace_pkt : {rv_i_valid_ip : UInt<2>, rv_i_insn_ip : UInt<32>, rv_i_address_ip : UInt<32>, rv_i_exception_ip : UInt<2>, rv_i_ecause_ip : UInt<5>, rv_i_interrupt_ip : UInt<2>, rv_i_tval_ip : UInt<32>}, dec_tlu_misc_clk_override : UInt<1>, dec_tlu_ifu_clk_override : UInt<1>, dec_tlu_lsu_clk_override : UInt<1>, dec_tlu_bus_clk_override : UInt<1>, dec_tlu_pic_clk_override : UInt<1>, dec_tlu_dccm_clk_override : UInt<1>, dec_tlu_icm_clk_override : UInt<1>, flip scan_mode : UInt<1>, flip ifu_dec : {dec_aln : {aln_dec : {flip dec_i0_decode_d : UInt<1>, ifu_i0_cinst : UInt<16>}, aln_ib : {ifu_i0_icaf : UInt<1>, ifu_i0_icaf_type : UInt<2>, ifu_i0_icaf_f1 : UInt<1>, ifu_i0_dbecc : UInt<1>, ifu_i0_bp_index : UInt<8>, ifu_i0_bp_fghr : UInt<8>, ifu_i0_bp_btag : UInt<5>, ifu_i0_valid : UInt<1>, ifu_i0_instr : UInt<32>, ifu_i0_pc : UInt<31>, ifu_i0_pc4 : UInt<1>, i0_brp : {valid : UInt<1>, bits : {toffset : UInt<12>, hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, bank : UInt<1>, prett : UInt<31>, way : UInt<1>, ret : UInt<1>}}}, ifu_pmu_instr_aligned : UInt<1>}, dec_mem_ctrl : {flip dec_tlu_flush_err_wb : UInt<1>, flip dec_tlu_i0_commit_cmt : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip dec_tlu_fence_i_wb : UInt<1>, flip dec_tlu_ic_diag_pkt : {icache_wrdata : UInt<71>, icache_dicawics : UInt<17>, icache_rd_valid : UInt<1>, icache_wr_valid : UInt<1>}, flip dec_tlu_core_ecc_disable : UInt<1>, ifu_pmu_ic_miss : UInt<1>, ifu_pmu_ic_hit : UInt<1>, ifu_pmu_bus_error : UInt<1>, ifu_pmu_bus_busy : UInt<1>, ifu_pmu_bus_trxn : UInt<1>, ifu_ic_error_start : UInt<1>, ifu_iccm_rd_ecc_single_err : UInt<1>, ifu_ic_debug_rd_data : UInt<71>, ifu_ic_debug_rd_data_valid : UInt<1>, ifu_miss_state_idle : UInt<1>}, dec_ifc : {flip dec_tlu_flush_noredir_wb : UInt<1>, flip dec_tlu_mrac_ff : UInt<32>, ifu_pmu_fetch_stall : UInt<1>}, dec_bp : {flip dec_tlu_br0_r_pkt : {valid : UInt<1>, bits : {hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, way : UInt<1>, middle : UInt<1>}}, flip dec_tlu_flush_leak_one_wb : UInt<1>, flip dec_tlu_bpred_disable : UInt<1>}}, flip dec_exu : {dec_alu : {flip dec_i0_alu_decode_d : UInt<1>, flip dec_csr_ren_d : UInt<1>, flip dec_i0_br_immed_d : UInt<12>, exu_i0_pc_x : UInt<31>}, dec_div : {flip div_p : {valid : UInt<1>, bits : {unsign : UInt<1>, rem : UInt<1>}}, flip dec_div_cancel : UInt<1>}, decode_exu : {flip dec_data_en : UInt<2>, flip dec_ctl_en : UInt<2>, flip i0_ap : {land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, srl : UInt<1>, sra : UInt<1>, beq : UInt<1>, bne : UInt<1>, blt : UInt<1>, bge : UInt<1>, add : UInt<1>, sub : UInt<1>, slt : UInt<1>, unsign : UInt<1>, jal : UInt<1>, predict_t : UInt<1>, predict_nt : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>}, flip dec_i0_predict_p_d : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<31>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}}, flip i0_predict_fghr_d : UInt<8>, flip i0_predict_index_d : UInt<8>, flip i0_predict_btag_d : UInt<5>, flip dec_i0_rs1_en_d : UInt<1>, flip dec_i0_rs2_en_d : UInt<1>, flip dec_i0_immed_d : UInt<32>, flip dec_i0_rs1_bypass_data_d : UInt<32>, flip dec_i0_rs2_bypass_data_d : UInt<32>, flip dec_i0_select_pc_d : UInt<1>, flip dec_i0_rs1_bypass_en_d : UInt<2>, flip dec_i0_rs2_bypass_en_d : UInt<2>, flip mul_p : {valid : UInt<1>, bits : {rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, bext : UInt<1>, bdep : UInt<1>, clmul : UInt<1>, clmulh : UInt<1>, clmulr : UInt<1>, grev : UInt<1>, shfl : UInt<1>, unshfl : UInt<1>, crc32_b : UInt<1>, crc32_h : UInt<1>, crc32_w : UInt<1>, crc32c_b : UInt<1>, crc32c_h : UInt<1>, crc32c_w : UInt<1>, bfp : UInt<1>}}, flip pred_correct_npc_x : UInt<31>, flip dec_extint_stall : UInt<1>, exu_i0_result_x : UInt<32>, exu_csr_rs1_x : UInt<32>}, tlu_exu : {flip dec_tlu_meihap : UInt<30>, flip dec_tlu_flush_lower_r : UInt<1>, flip dec_tlu_flush_path_r : UInt<31>, exu_i0_br_hist_r : UInt<2>, exu_i0_br_error_r : UInt<1>, exu_i0_br_start_error_r : UInt<1>, exu_i0_br_index_r : UInt<8>, exu_i0_br_valid_r : UInt<1>, exu_i0_br_mp_r : UInt<1>, exu_i0_br_middle_r : UInt<1>, exu_pmu_i0_br_misp : UInt<1>, exu_pmu_i0_br_ataken : UInt<1>, exu_pmu_i0_pc4 : UInt<1>, exu_npc_r : UInt<31>}, ib_exu : {flip dec_i0_pc_d : UInt<31>, flip dec_debug_wdata_rs1_d : UInt<1>}, gpr_exu : {flip gpr_i0_rs1_d : UInt<32>, flip gpr_i0_rs2_d : UInt<32>}}, flip lsu_dec : {tlu_busbuff : {lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>}, dctl_busbuff : {lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>}}, flip lsu_tlu : {lsu_pmu_load_external_m : UInt<1>, lsu_pmu_store_external_m : UInt<1>}, dec_dbg : {dbg_ib : {flip dbg_cmd_valid : UInt<1>, flip dbg_cmd_write : UInt<1>, flip dbg_cmd_type : UInt<2>, flip dbg_cmd_addr : UInt<32>}, dbg_dctl : {flip dbg_cmd_wrdata : UInt<32>}}, dec_dma : {dctl_dma : {flip dma_dccm_stall_any : UInt<1>}, tlu_dma : {flip dma_pmu_dccm_read : UInt<1>, flip dma_pmu_dccm_write : UInt<1>, flip dma_pmu_any_read : UInt<1>, flip dma_pmu_any_write : UInt<1>, dec_tlu_dma_qos_prty : UInt<3>, flip dma_dccm_stall_any : UInt<1>, flip dma_iccm_stall_any : UInt<1>}}, dec_pic : {flip pic_claimid : UInt<8>, flip pic_pl : UInt<4>, flip mhwakeup : UInt<1>, dec_tlu_meicurpl : UInt<4>, dec_tlu_meipt : UInt<4>, flip mexintpend : UInt<1>}} wire dec_i0_inst_wb1 : UInt<32> dec_i0_inst_wb1 <= UInt<1>("h00") @@ -81340,7 +81345,7 @@ circuit quasar : decode.io.lsu_store_stall_any <= io.lsu_store_stall_any @[dec.scala 162:48] decode.io.exu_div_wren <= io.exu_div_wren @[dec.scala 163:48] decode.io.dec_tlu_i0_kill_writeb_wb <= tlu.io.dec_tlu_i0_kill_writeb_wb @[dec.scala 164:48] - decode.io.dec_tlu_flush_lower_wb <= tlu.io.tlu_bp.dec_tlu_flush_lower_wb @[dec.scala 165:48] + decode.io.dec_tlu_flush_lower_wb <= tlu.io.dec_tlu_flush_lower_wb @[dec.scala 165:48] decode.io.dec_tlu_i0_kill_writeb_r <= tlu.io.dec_tlu_i0_kill_writeb_r @[dec.scala 166:48] decode.io.dec_tlu_flush_lower_r <= tlu.io.tlu_exu.dec_tlu_flush_lower_r @[dec.scala 167:48] decode.io.dec_tlu_flush_pause_r <= tlu.io.dec_tlu_flush_pause_r @[dec.scala 168:48] @@ -81409,13 +81414,11 @@ circuit quasar : io.ifu_dec.dec_mem_ctrl.dec_tlu_force_halt <= tlu.io.tlu_mem.dec_tlu_force_halt @[dec.scala 202:18] io.ifu_dec.dec_mem_ctrl.dec_tlu_i0_commit_cmt <= tlu.io.tlu_mem.dec_tlu_i0_commit_cmt @[dec.scala 202:18] io.ifu_dec.dec_mem_ctrl.dec_tlu_flush_err_wb <= tlu.io.tlu_mem.dec_tlu_flush_err_wb @[dec.scala 202:18] - io.ifu_dec.dec_mem_ctrl.dec_tlu_flush_lower_wb <= tlu.io.tlu_mem.dec_tlu_flush_lower_wb @[dec.scala 202:18] tlu.io.tlu_ifc.ifu_pmu_fetch_stall <= io.ifu_dec.dec_ifc.ifu_pmu_fetch_stall @[dec.scala 203:18] io.ifu_dec.dec_ifc.dec_tlu_mrac_ff <= tlu.io.tlu_ifc.dec_tlu_mrac_ff @[dec.scala 203:18] io.ifu_dec.dec_ifc.dec_tlu_flush_noredir_wb <= tlu.io.tlu_ifc.dec_tlu_flush_noredir_wb @[dec.scala 203:18] io.ifu_dec.dec_bp.dec_tlu_bpred_disable <= tlu.io.tlu_bp.dec_tlu_bpred_disable @[dec.scala 204:18] io.ifu_dec.dec_bp.dec_tlu_flush_leak_one_wb <= tlu.io.tlu_bp.dec_tlu_flush_leak_one_wb @[dec.scala 204:18] - io.ifu_dec.dec_bp.dec_tlu_flush_lower_wb <= tlu.io.tlu_bp.dec_tlu_flush_lower_wb @[dec.scala 204:18] io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.middle <= tlu.io.tlu_bp.dec_tlu_br0_r_pkt.bits.middle @[dec.scala 204:18] io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.way <= tlu.io.tlu_bp.dec_tlu_br0_r_pkt.bits.way @[dec.scala 204:18] io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.br_start_error <= tlu.io.tlu_bp.dec_tlu_br0_r_pkt.bits.br_start_error @[dec.scala 204:18] @@ -81787,7 +81790,7 @@ circuit quasar : module dbg : input clock : Clock input reset : AsyncReset - output io : {dbg_cmd_size : UInt<2>, dbg_core_rst_l : UInt<1>, flip core_dbg_rddata : UInt<32>, flip core_dbg_cmd_done : UInt<1>, flip core_dbg_cmd_fail : UInt<1>, dbg_halt_req : UInt<1>, dbg_resume_req : UInt<1>, flip dec_tlu_debug_mode : UInt<1>, flip dec_tlu_dbg_halted : UInt<1>, flip dec_tlu_mpc_halted_only : UInt<1>, flip dec_tlu_resume_ack : UInt<1>, flip dmi_reg_en : UInt<1>, flip dmi_reg_addr : UInt<7>, flip dmi_reg_wr_en : UInt<1>, flip dmi_reg_wdata : UInt<32>, dmi_reg_rdata : UInt<32>, sb_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<1>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip dbg_dec : {dbg_ib : {flip dbg_cmd_valid : UInt<1>, flip dbg_cmd_write : UInt<1>, flip dbg_cmd_type : UInt<2>, flip dbg_cmd_addr : UInt<32>}, dbg_dctl : {flip dbg_cmd_wrdata : UInt<2>}}, flip dbg_dma : {dbg_ib : {flip dbg_cmd_valid : UInt<1>, flip dbg_cmd_write : UInt<1>, flip dbg_cmd_type : UInt<2>, flip dbg_cmd_addr : UInt<32>}, dbg_dctl : {flip dbg_cmd_wrdata : UInt<2>}}, flip dbg_dma_io : {flip dbg_dma_bubble : UInt<1>, dma_dbg_ready : UInt<1>}, flip dbg_bus_clk_en : UInt<1>, flip dbg_rst_l : UInt<1>, flip clk_override : UInt<1>, flip scan_mode : UInt<1>} + output io : {dbg_cmd_size : UInt<2>, dbg_core_rst_l : UInt<1>, flip core_dbg_rddata : UInt<32>, flip core_dbg_cmd_done : UInt<1>, flip core_dbg_cmd_fail : UInt<1>, dbg_halt_req : UInt<1>, dbg_resume_req : UInt<1>, flip dec_tlu_debug_mode : UInt<1>, flip dec_tlu_dbg_halted : UInt<1>, flip dec_tlu_mpc_halted_only : UInt<1>, flip dec_tlu_resume_ack : UInt<1>, flip dmi_reg_en : UInt<1>, flip dmi_reg_addr : UInt<7>, flip dmi_reg_wr_en : UInt<1>, flip dmi_reg_wdata : UInt<32>, dmi_reg_rdata : UInt<32>, sb_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<1>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip dbg_dec : {dbg_ib : {flip dbg_cmd_valid : UInt<1>, flip dbg_cmd_write : UInt<1>, flip dbg_cmd_type : UInt<2>, flip dbg_cmd_addr : UInt<32>}, dbg_dctl : {flip dbg_cmd_wrdata : UInt<32>}}, flip dbg_dma : {dbg_ib : {flip dbg_cmd_valid : UInt<1>, flip dbg_cmd_write : UInt<1>, flip dbg_cmd_type : UInt<2>, flip dbg_cmd_addr : UInt<32>}, dbg_dctl : {flip dbg_cmd_wrdata : UInt<32>}}, flip dbg_dma_io : {flip dbg_dma_bubble : UInt<1>, dma_dbg_ready : UInt<1>}, flip dbg_bus_clk_en : UInt<1>, flip dbg_rst_l : UInt<1>, flip clk_override : UInt<1>, flip scan_mode : UInt<1>} wire dbg_state : UInt<3> dbg_state <= UInt<3>("h00") @@ -107033,24 +107036,24 @@ circuit quasar : module dma_ctrl : input clock : Clock input reset : AsyncReset - output io : {flip free_clk : Clock, flip dma_bus_clk_en : UInt<1>, flip clk_override : UInt<1>, flip scan_mode : UInt<1>, flip dbg_cmd_size : UInt<2>, dma_dbg_rddata : UInt<32>, dma_dbg_cmd_done : UInt<1>, dma_dbg_cmd_fail : UInt<1>, dbg_dma : {dbg_ib : {flip dbg_cmd_valid : UInt<1>, flip dbg_cmd_write : UInt<1>, flip dbg_cmd_type : UInt<2>, flip dbg_cmd_addr : UInt<32>}, dbg_dctl : {flip dbg_cmd_wrdata : UInt<2>}}, dbg_dma_io : {flip dbg_dma_bubble : UInt<1>, dma_dbg_ready : UInt<1>}, flip dec_dma : {dctl_dma : {flip dma_dccm_stall_any : UInt<1>}, tlu_dma : {flip dma_pmu_dccm_read : UInt<1>, flip dma_pmu_dccm_write : UInt<1>, flip dma_pmu_any_read : UInt<1>, flip dma_pmu_any_write : UInt<1>, dec_tlu_dma_qos_prty : UInt<3>, flip dma_dccm_stall_any : UInt<1>, flip dma_iccm_stall_any : UInt<1>}}, flip iccm_dma_rvalid : UInt<1>, flip iccm_dma_ecc_error : UInt<1>, flip iccm_dma_rtag : UInt<3>, flip iccm_dma_rdata : UInt<64>, flip iccm_ready : UInt<1>, flip dma_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<1>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip lsu_dma : {dma_lsc_ctl : {flip dma_dccm_req : UInt<1>, flip dma_mem_addr : UInt<32>, flip dma_mem_sz : UInt<3>, flip dma_mem_write : UInt<1>, flip dma_mem_wdata : UInt<64>}, dma_dccm_ctl : {flip dma_mem_addr : UInt<32>, flip dma_mem_wdata : UInt<64>, dccm_dma_rvalid : UInt<1>, dccm_dma_ecc_error : UInt<1>, dccm_dma_rtag : UInt<3>, dccm_dma_rdata : UInt<64>}, dccm_ready : UInt<1>, flip dma_mem_tag : UInt<3>}, flip ifu_dma : {dma_ifc : {flip dma_iccm_stall_any : UInt<1>}, dma_mem_ctl : {flip dma_iccm_req : UInt<1>, flip dma_mem_addr : UInt<32>, flip dma_mem_sz : UInt<3>, flip dma_mem_write : UInt<1>, flip dma_mem_wdata : UInt<64>, flip dma_mem_tag : UInt<3>}}} + output io : {flip free_clk : Clock, flip dma_bus_clk_en : UInt<1>, flip clk_override : UInt<1>, flip scan_mode : UInt<1>, flip dbg_cmd_size : UInt<2>, dma_dbg_rddata : UInt<32>, dma_dbg_cmd_done : UInt<1>, dma_dbg_cmd_fail : UInt<1>, dbg_dma : {dbg_ib : {flip dbg_cmd_valid : UInt<1>, flip dbg_cmd_write : UInt<1>, flip dbg_cmd_type : UInt<2>, flip dbg_cmd_addr : UInt<32>}, dbg_dctl : {flip dbg_cmd_wrdata : UInt<32>}}, dbg_dma_io : {flip dbg_dma_bubble : UInt<1>, dma_dbg_ready : UInt<1>}, flip dec_dma : {dctl_dma : {flip dma_dccm_stall_any : UInt<1>}, tlu_dma : {flip dma_pmu_dccm_read : UInt<1>, flip dma_pmu_dccm_write : UInt<1>, flip dma_pmu_any_read : UInt<1>, flip dma_pmu_any_write : UInt<1>, dec_tlu_dma_qos_prty : UInt<3>, flip dma_dccm_stall_any : UInt<1>, flip dma_iccm_stall_any : UInt<1>}}, flip iccm_dma_rvalid : UInt<1>, flip iccm_dma_ecc_error : UInt<1>, flip iccm_dma_rtag : UInt<3>, flip iccm_dma_rdata : UInt<64>, flip iccm_ready : UInt<1>, flip dma_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<1>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip lsu_dma : {dma_lsc_ctl : {flip dma_dccm_req : UInt<1>, flip dma_mem_addr : UInt<32>, flip dma_mem_sz : UInt<3>, flip dma_mem_write : UInt<1>, flip dma_mem_wdata : UInt<64>}, dma_dccm_ctl : {flip dma_mem_addr : UInt<32>, flip dma_mem_wdata : UInt<64>, dccm_dma_rvalid : UInt<1>, dccm_dma_ecc_error : UInt<1>, dccm_dma_rtag : UInt<3>, dccm_dma_rdata : UInt<64>}, dccm_ready : UInt<1>, flip dma_mem_tag : UInt<3>}, flip ifu_dma : {dma_ifc : {flip dma_iccm_stall_any : UInt<1>}, dma_mem_ctl : {flip dma_iccm_req : UInt<1>, flip dma_mem_addr : UInt<32>, flip dma_mem_sz : UInt<3>, flip dma_mem_write : UInt<1>, flip dma_mem_wdata : UInt<64>, flip dma_mem_tag : UInt<3>}}} - wire fifo_error : UInt<2>[5] @[dma_ctrl.scala 43:24] + wire fifo_error : UInt<2>[5] @[dma_ctrl.scala 36:24] wire fifo_error_bus : UInt<5> fifo_error_bus <= UInt<1>("h00") wire fifo_done : UInt<5> fifo_done <= UInt<1>("h00") - wire fifo_addr : UInt<32>[5] @[dma_ctrl.scala 49:23] - wire fifo_sz : UInt<3>[5] @[dma_ctrl.scala 51:21] - wire fifo_byteen : UInt<8>[5] @[dma_ctrl.scala 53:25] - wire fifo_data : UInt<64>[5] @[dma_ctrl.scala 55:23] - wire fifo_tag : UInt<1>[5] @[dma_ctrl.scala 57:22] - wire fifo_mid : UInt<1>[5] @[dma_ctrl.scala 59:22] - wire fifo_prty : UInt<2>[5] @[dma_ctrl.scala 61:23] + wire fifo_addr : UInt<32>[5] @[dma_ctrl.scala 42:23] + wire fifo_sz : UInt<3>[5] @[dma_ctrl.scala 44:21] + wire fifo_byteen : UInt<8>[5] @[dma_ctrl.scala 46:25] + wire fifo_data : UInt<64>[5] @[dma_ctrl.scala 48:23] + wire fifo_tag : UInt<1>[5] @[dma_ctrl.scala 50:22] + wire fifo_mid : UInt<1>[5] @[dma_ctrl.scala 52:22] + wire fifo_prty : UInt<2>[5] @[dma_ctrl.scala 54:23] wire fifo_error_en : UInt<5> fifo_error_en <= UInt<1>("h00") - wire fifo_error_in : UInt<2>[5] @[dma_ctrl.scala 65:27] - wire fifo_data_in : UInt<64>[5] @[dma_ctrl.scala 67:26] + wire fifo_error_in : UInt<2>[5] @[dma_ctrl.scala 58:27] + wire fifo_data_in : UInt<64>[5] @[dma_ctrl.scala 60:26] wire RspPtr : UInt<3> RspPtr <= UInt<1>("h00") wire WrPtr : UInt<3> @@ -107157,888 +107160,888 @@ circuit quasar : wrbuf_data_vld <= UInt<1>("h00") wire rdbuf_vld : UInt<1> rdbuf_vld <= UInt<1>("h00") - wire dma_free_clk : Clock @[dma_ctrl.scala 175:26] - wire dma_bus_clk : Clock @[dma_ctrl.scala 177:25] - wire dma_buffer_c1_clk : Clock @[dma_ctrl.scala 179:31] + wire dma_free_clk : Clock @[dma_ctrl.scala 168:26] + wire dma_bus_clk : Clock @[dma_ctrl.scala 170:25] + wire dma_buffer_c1_clk : Clock @[dma_ctrl.scala 172:31] wire fifo_byteen_in : UInt<8> fifo_byteen_in <= UInt<1>("h00") - node _T = bits(dma_mem_addr_int, 31, 0) @[dma_ctrl.scala 188:95] + node _T = bits(dma_mem_addr_int, 31, 0) @[dma_ctrl.scala 181:95] node _T_1 = bits(_T, 31, 28) @[lib.scala 356:27] node dma_mem_addr_in_dccm_region_nc = eq(_T_1, UInt<4>("h0f")) @[lib.scala 356:49] wire dma_mem_addr_in_dccm : UInt<1> @[lib.scala 357:26] node _T_2 = bits(_T, 31, 16) @[lib.scala 361:24] node _T_3 = eq(_T_2, UInt<16>("h0f004")) @[lib.scala 361:39] dma_mem_addr_in_dccm <= _T_3 @[lib.scala 361:16] - node _T_4 = bits(dma_mem_addr_int, 31, 0) @[dma_ctrl.scala 192:93] + node _T_4 = bits(dma_mem_addr_int, 31, 0) @[dma_ctrl.scala 185:93] node _T_5 = bits(_T_4, 31, 28) @[lib.scala 356:27] node dma_mem_addr_in_pic_region_nc = eq(_T_5, UInt<4>("h0f")) @[lib.scala 356:49] wire dma_mem_addr_in_pic : UInt<1> @[lib.scala 357:26] node _T_6 = bits(_T_4, 31, 15) @[lib.scala 361:24] node _T_7 = eq(_T_6, UInt<17>("h01e018")) @[lib.scala 361:39] dma_mem_addr_in_pic <= _T_7 @[lib.scala 361:16] - node _T_8 = bits(dma_mem_addr_int, 31, 0) @[dma_ctrl.scala 196:111] + node _T_8 = bits(dma_mem_addr_int, 31, 0) @[dma_ctrl.scala 189:111] node _T_9 = bits(_T_8, 31, 28) @[lib.scala 356:27] node dma_mem_addr_in_iccm_region_nc = eq(_T_9, UInt<4>("h0e")) @[lib.scala 356:49] wire dma_mem_addr_in_iccm : UInt<1> @[lib.scala 357:26] node _T_10 = bits(_T_8, 31, 16) @[lib.scala 361:24] node _T_11 = eq(_T_10, UInt<16>("h0ee00")) @[lib.scala 361:39] dma_mem_addr_in_iccm <= _T_11 @[lib.scala 361:16] - node _T_12 = bits(io.dbg_dma.dbg_ib.dbg_cmd_valid, 0, 0) @[dma_ctrl.scala 200:66] - node _T_13 = bits(io.dbg_dma.dbg_ib.dbg_cmd_addr, 31, 0) @[dma_ctrl.scala 200:104] - node _T_14 = bits(bus_cmd_addr, 31, 0) @[dma_ctrl.scala 200:124] - node fifo_addr_in = mux(_T_12, _T_13, _T_14) @[dma_ctrl.scala 200:33] - node _T_15 = bits(io.dbg_dma.dbg_ib.dbg_cmd_valid, 0, 0) @[dma_ctrl.scala 202:67] - node _T_16 = bits(io.dbg_dma.dbg_ib.dbg_cmd_addr, 2, 2) @[dma_ctrl.scala 202:123] - node _T_17 = mul(UInt<3>("h04"), _T_16) @[dma_ctrl.scala 202:91] - node _T_18 = dshl(UInt<4>("h0f"), _T_17) @[dma_ctrl.scala 202:83] - node _T_19 = bits(bus_cmd_byteen, 7, 0) @[dma_ctrl.scala 202:143] - node _T_20 = mux(_T_15, _T_18, _T_19) @[dma_ctrl.scala 202:34] - fifo_byteen_in <= _T_20 @[dma_ctrl.scala 202:28] - node _T_21 = bits(io.dbg_dma.dbg_ib.dbg_cmd_valid, 0, 0) @[dma_ctrl.scala 204:66] - node _T_22 = bits(io.dbg_cmd_size, 1, 0) @[dma_ctrl.scala 204:98] + node _T_12 = bits(io.dbg_dma.dbg_ib.dbg_cmd_valid, 0, 0) @[dma_ctrl.scala 193:66] + node _T_13 = bits(io.dbg_dma.dbg_ib.dbg_cmd_addr, 31, 0) @[dma_ctrl.scala 193:104] + node _T_14 = bits(bus_cmd_addr, 31, 0) @[dma_ctrl.scala 193:124] + node fifo_addr_in = mux(_T_12, _T_13, _T_14) @[dma_ctrl.scala 193:33] + node _T_15 = bits(io.dbg_dma.dbg_ib.dbg_cmd_valid, 0, 0) @[dma_ctrl.scala 195:67] + node _T_16 = bits(io.dbg_dma.dbg_ib.dbg_cmd_addr, 2, 2) @[dma_ctrl.scala 195:123] + node _T_17 = mul(UInt<3>("h04"), _T_16) @[dma_ctrl.scala 195:91] + node _T_18 = dshl(UInt<4>("h0f"), _T_17) @[dma_ctrl.scala 195:83] + node _T_19 = bits(bus_cmd_byteen, 7, 0) @[dma_ctrl.scala 195:143] + node _T_20 = mux(_T_15, _T_18, _T_19) @[dma_ctrl.scala 195:34] + fifo_byteen_in <= _T_20 @[dma_ctrl.scala 195:28] + node _T_21 = bits(io.dbg_dma.dbg_ib.dbg_cmd_valid, 0, 0) @[dma_ctrl.scala 197:66] + node _T_22 = bits(io.dbg_cmd_size, 1, 0) @[dma_ctrl.scala 197:98] node _T_23 = cat(UInt<1>("h00"), _T_22) @[Cat.scala 29:58] - node _T_24 = bits(bus_cmd_sz, 2, 0) @[dma_ctrl.scala 204:116] - node fifo_sz_in = mux(_T_21, _T_23, _T_24) @[dma_ctrl.scala 204:33] - node _T_25 = bits(io.dbg_dma.dbg_ib.dbg_cmd_valid, 0, 0) @[dma_ctrl.scala 206:66] - node fifo_write_in = mux(_T_25, io.dbg_dma.dbg_ib.dbg_cmd_write, bus_cmd_write) @[dma_ctrl.scala 206:33] - node _T_26 = eq(io.dbg_dma.dbg_ib.dbg_cmd_valid, UInt<1>("h00")) @[dma_ctrl.scala 208:30] - node fifo_posted_write_in = and(_T_26, bus_cmd_posted_write) @[dma_ctrl.scala 208:63] - node _T_27 = bits(axi_mstr_prty_en, 0, 0) @[dma_ctrl.scala 213:73] - node _T_28 = and(_T_27, io.dma_bus_clk_en) @[dma_ctrl.scala 213:80] - node _T_29 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 213:168] - node _T_30 = bits(_T_29, 0, 0) @[dma_ctrl.scala 213:172] - node _T_31 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_30) @[dma_ctrl.scala 213:136] - node _T_32 = or(_T_28, _T_31) @[dma_ctrl.scala 213:101] - node _T_33 = eq(UInt<1>("h00"), WrPtr) @[dma_ctrl.scala 213:188] - node _T_34 = and(_T_32, _T_33) @[dma_ctrl.scala 213:181] - node _T_35 = bits(axi_mstr_prty_en, 0, 0) @[dma_ctrl.scala 213:73] - node _T_36 = and(_T_35, io.dma_bus_clk_en) @[dma_ctrl.scala 213:80] - node _T_37 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 213:168] - node _T_38 = bits(_T_37, 0, 0) @[dma_ctrl.scala 213:172] - node _T_39 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_38) @[dma_ctrl.scala 213:136] - node _T_40 = or(_T_36, _T_39) @[dma_ctrl.scala 213:101] - node _T_41 = eq(UInt<1>("h01"), WrPtr) @[dma_ctrl.scala 213:188] - node _T_42 = and(_T_40, _T_41) @[dma_ctrl.scala 213:181] - node _T_43 = bits(axi_mstr_prty_en, 0, 0) @[dma_ctrl.scala 213:73] - node _T_44 = and(_T_43, io.dma_bus_clk_en) @[dma_ctrl.scala 213:80] - node _T_45 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 213:168] - node _T_46 = bits(_T_45, 0, 0) @[dma_ctrl.scala 213:172] - node _T_47 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_46) @[dma_ctrl.scala 213:136] - node _T_48 = or(_T_44, _T_47) @[dma_ctrl.scala 213:101] - node _T_49 = eq(UInt<2>("h02"), WrPtr) @[dma_ctrl.scala 213:188] - node _T_50 = and(_T_48, _T_49) @[dma_ctrl.scala 213:181] - node _T_51 = bits(axi_mstr_prty_en, 0, 0) @[dma_ctrl.scala 213:73] - node _T_52 = and(_T_51, io.dma_bus_clk_en) @[dma_ctrl.scala 213:80] - node _T_53 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 213:168] - node _T_54 = bits(_T_53, 0, 0) @[dma_ctrl.scala 213:172] - node _T_55 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_54) @[dma_ctrl.scala 213:136] - node _T_56 = or(_T_52, _T_55) @[dma_ctrl.scala 213:101] - node _T_57 = eq(UInt<2>("h03"), WrPtr) @[dma_ctrl.scala 213:188] - node _T_58 = and(_T_56, _T_57) @[dma_ctrl.scala 213:181] - node _T_59 = bits(axi_mstr_prty_en, 0, 0) @[dma_ctrl.scala 213:73] - node _T_60 = and(_T_59, io.dma_bus_clk_en) @[dma_ctrl.scala 213:80] - node _T_61 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 213:168] - node _T_62 = bits(_T_61, 0, 0) @[dma_ctrl.scala 213:172] - node _T_63 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_62) @[dma_ctrl.scala 213:136] - node _T_64 = or(_T_60, _T_63) @[dma_ctrl.scala 213:101] - node _T_65 = eq(UInt<3>("h04"), WrPtr) @[dma_ctrl.scala 213:188] - node _T_66 = and(_T_64, _T_65) @[dma_ctrl.scala 213:181] + node _T_24 = bits(bus_cmd_sz, 2, 0) @[dma_ctrl.scala 197:116] + node fifo_sz_in = mux(_T_21, _T_23, _T_24) @[dma_ctrl.scala 197:33] + node _T_25 = bits(io.dbg_dma.dbg_ib.dbg_cmd_valid, 0, 0) @[dma_ctrl.scala 199:66] + node fifo_write_in = mux(_T_25, io.dbg_dma.dbg_ib.dbg_cmd_write, bus_cmd_write) @[dma_ctrl.scala 199:33] + node _T_26 = eq(io.dbg_dma.dbg_ib.dbg_cmd_valid, UInt<1>("h00")) @[dma_ctrl.scala 201:30] + node fifo_posted_write_in = and(_T_26, bus_cmd_posted_write) @[dma_ctrl.scala 201:63] + node _T_27 = bits(axi_mstr_prty_en, 0, 0) @[dma_ctrl.scala 206:73] + node _T_28 = and(_T_27, io.dma_bus_clk_en) @[dma_ctrl.scala 206:80] + node _T_29 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 206:168] + node _T_30 = bits(_T_29, 0, 0) @[dma_ctrl.scala 206:172] + node _T_31 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_30) @[dma_ctrl.scala 206:136] + node _T_32 = or(_T_28, _T_31) @[dma_ctrl.scala 206:101] + node _T_33 = eq(UInt<1>("h00"), WrPtr) @[dma_ctrl.scala 206:188] + node _T_34 = and(_T_32, _T_33) @[dma_ctrl.scala 206:181] + node _T_35 = bits(axi_mstr_prty_en, 0, 0) @[dma_ctrl.scala 206:73] + node _T_36 = and(_T_35, io.dma_bus_clk_en) @[dma_ctrl.scala 206:80] + node _T_37 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 206:168] + node _T_38 = bits(_T_37, 0, 0) @[dma_ctrl.scala 206:172] + node _T_39 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_38) @[dma_ctrl.scala 206:136] + node _T_40 = or(_T_36, _T_39) @[dma_ctrl.scala 206:101] + node _T_41 = eq(UInt<1>("h01"), WrPtr) @[dma_ctrl.scala 206:188] + node _T_42 = and(_T_40, _T_41) @[dma_ctrl.scala 206:181] + node _T_43 = bits(axi_mstr_prty_en, 0, 0) @[dma_ctrl.scala 206:73] + node _T_44 = and(_T_43, io.dma_bus_clk_en) @[dma_ctrl.scala 206:80] + node _T_45 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 206:168] + node _T_46 = bits(_T_45, 0, 0) @[dma_ctrl.scala 206:172] + node _T_47 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_46) @[dma_ctrl.scala 206:136] + node _T_48 = or(_T_44, _T_47) @[dma_ctrl.scala 206:101] + node _T_49 = eq(UInt<2>("h02"), WrPtr) @[dma_ctrl.scala 206:188] + node _T_50 = and(_T_48, _T_49) @[dma_ctrl.scala 206:181] + node _T_51 = bits(axi_mstr_prty_en, 0, 0) @[dma_ctrl.scala 206:73] + node _T_52 = and(_T_51, io.dma_bus_clk_en) @[dma_ctrl.scala 206:80] + node _T_53 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 206:168] + node _T_54 = bits(_T_53, 0, 0) @[dma_ctrl.scala 206:172] + node _T_55 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_54) @[dma_ctrl.scala 206:136] + node _T_56 = or(_T_52, _T_55) @[dma_ctrl.scala 206:101] + node _T_57 = eq(UInt<2>("h03"), WrPtr) @[dma_ctrl.scala 206:188] + node _T_58 = and(_T_56, _T_57) @[dma_ctrl.scala 206:181] + node _T_59 = bits(axi_mstr_prty_en, 0, 0) @[dma_ctrl.scala 206:73] + node _T_60 = and(_T_59, io.dma_bus_clk_en) @[dma_ctrl.scala 206:80] + node _T_61 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 206:168] + node _T_62 = bits(_T_61, 0, 0) @[dma_ctrl.scala 206:172] + node _T_63 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_62) @[dma_ctrl.scala 206:136] + node _T_64 = or(_T_60, _T_63) @[dma_ctrl.scala 206:101] + node _T_65 = eq(UInt<3>("h04"), WrPtr) @[dma_ctrl.scala 206:188] + node _T_66 = and(_T_64, _T_65) @[dma_ctrl.scala 206:181] node _T_67 = cat(_T_66, _T_58) @[Cat.scala 29:58] node _T_68 = cat(_T_67, _T_50) @[Cat.scala 29:58] node _T_69 = cat(_T_68, _T_42) @[Cat.scala 29:58] node _T_70 = cat(_T_69, _T_34) @[Cat.scala 29:58] - fifo_cmd_en <= _T_70 @[dma_ctrl.scala 213:21] - node _T_71 = and(axi_mstr_prty_en, fifo_write_in) @[dma_ctrl.scala 215:73] - node _T_72 = and(_T_71, io.dma_bus_clk_en) @[dma_ctrl.scala 215:89] - node _T_73 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 215:177] - node _T_74 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_73) @[dma_ctrl.scala 215:145] - node _T_75 = and(_T_74, io.dbg_dma.dbg_ib.dbg_cmd_write) @[dma_ctrl.scala 215:181] - node _T_76 = or(_T_72, _T_75) @[dma_ctrl.scala 215:110] - node _T_77 = eq(UInt<1>("h00"), WrPtr) @[dma_ctrl.scala 215:224] - node _T_78 = and(_T_76, _T_77) @[dma_ctrl.scala 215:217] - node _T_79 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 215:258] - node _T_80 = eq(UInt<1>("h00"), RdPtr) @[dma_ctrl.scala 215:288] - node _T_81 = and(_T_79, _T_80) @[dma_ctrl.scala 215:281] - node _T_82 = or(_T_78, _T_81) @[dma_ctrl.scala 215:236] - node _T_83 = eq(UInt<1>("h00"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 215:350] - node _T_84 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_83) @[dma_ctrl.scala 215:343] - node _T_85 = or(_T_82, _T_84) @[dma_ctrl.scala 215:300] - node _T_86 = eq(UInt<1>("h00"), io.iccm_dma_rtag) @[dma_ctrl.scala 215:423] - node _T_87 = and(io.iccm_dma_rvalid, _T_86) @[dma_ctrl.scala 215:416] - node _T_88 = or(_T_85, _T_87) @[dma_ctrl.scala 215:394] - node _T_89 = and(axi_mstr_prty_en, fifo_write_in) @[dma_ctrl.scala 215:73] - node _T_90 = and(_T_89, io.dma_bus_clk_en) @[dma_ctrl.scala 215:89] - node _T_91 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 215:177] - node _T_92 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_91) @[dma_ctrl.scala 215:145] - node _T_93 = and(_T_92, io.dbg_dma.dbg_ib.dbg_cmd_write) @[dma_ctrl.scala 215:181] - node _T_94 = or(_T_90, _T_93) @[dma_ctrl.scala 215:110] - node _T_95 = eq(UInt<1>("h01"), WrPtr) @[dma_ctrl.scala 215:224] - node _T_96 = and(_T_94, _T_95) @[dma_ctrl.scala 215:217] - node _T_97 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 215:258] - node _T_98 = eq(UInt<1>("h01"), RdPtr) @[dma_ctrl.scala 215:288] - node _T_99 = and(_T_97, _T_98) @[dma_ctrl.scala 215:281] - node _T_100 = or(_T_96, _T_99) @[dma_ctrl.scala 215:236] - node _T_101 = eq(UInt<1>("h01"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 215:350] - node _T_102 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_101) @[dma_ctrl.scala 215:343] - node _T_103 = or(_T_100, _T_102) @[dma_ctrl.scala 215:300] - node _T_104 = eq(UInt<1>("h01"), io.iccm_dma_rtag) @[dma_ctrl.scala 215:423] - node _T_105 = and(io.iccm_dma_rvalid, _T_104) @[dma_ctrl.scala 215:416] - node _T_106 = or(_T_103, _T_105) @[dma_ctrl.scala 215:394] - node _T_107 = and(axi_mstr_prty_en, fifo_write_in) @[dma_ctrl.scala 215:73] - node _T_108 = and(_T_107, io.dma_bus_clk_en) @[dma_ctrl.scala 215:89] - node _T_109 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 215:177] - node _T_110 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_109) @[dma_ctrl.scala 215:145] - node _T_111 = and(_T_110, io.dbg_dma.dbg_ib.dbg_cmd_write) @[dma_ctrl.scala 215:181] - node _T_112 = or(_T_108, _T_111) @[dma_ctrl.scala 215:110] - node _T_113 = eq(UInt<2>("h02"), WrPtr) @[dma_ctrl.scala 215:224] - node _T_114 = and(_T_112, _T_113) @[dma_ctrl.scala 215:217] - node _T_115 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 215:258] - node _T_116 = eq(UInt<2>("h02"), RdPtr) @[dma_ctrl.scala 215:288] - node _T_117 = and(_T_115, _T_116) @[dma_ctrl.scala 215:281] - node _T_118 = or(_T_114, _T_117) @[dma_ctrl.scala 215:236] - node _T_119 = eq(UInt<2>("h02"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 215:350] - node _T_120 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_119) @[dma_ctrl.scala 215:343] - node _T_121 = or(_T_118, _T_120) @[dma_ctrl.scala 215:300] - node _T_122 = eq(UInt<2>("h02"), io.iccm_dma_rtag) @[dma_ctrl.scala 215:423] - node _T_123 = and(io.iccm_dma_rvalid, _T_122) @[dma_ctrl.scala 215:416] - node _T_124 = or(_T_121, _T_123) @[dma_ctrl.scala 215:394] - node _T_125 = and(axi_mstr_prty_en, fifo_write_in) @[dma_ctrl.scala 215:73] - node _T_126 = and(_T_125, io.dma_bus_clk_en) @[dma_ctrl.scala 215:89] - node _T_127 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 215:177] - node _T_128 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_127) @[dma_ctrl.scala 215:145] - node _T_129 = and(_T_128, io.dbg_dma.dbg_ib.dbg_cmd_write) @[dma_ctrl.scala 215:181] - node _T_130 = or(_T_126, _T_129) @[dma_ctrl.scala 215:110] - node _T_131 = eq(UInt<2>("h03"), WrPtr) @[dma_ctrl.scala 215:224] - node _T_132 = and(_T_130, _T_131) @[dma_ctrl.scala 215:217] - node _T_133 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 215:258] - node _T_134 = eq(UInt<2>("h03"), RdPtr) @[dma_ctrl.scala 215:288] - node _T_135 = and(_T_133, _T_134) @[dma_ctrl.scala 215:281] - node _T_136 = or(_T_132, _T_135) @[dma_ctrl.scala 215:236] - node _T_137 = eq(UInt<2>("h03"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 215:350] - node _T_138 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_137) @[dma_ctrl.scala 215:343] - node _T_139 = or(_T_136, _T_138) @[dma_ctrl.scala 215:300] - node _T_140 = eq(UInt<2>("h03"), io.iccm_dma_rtag) @[dma_ctrl.scala 215:423] - node _T_141 = and(io.iccm_dma_rvalid, _T_140) @[dma_ctrl.scala 215:416] - node _T_142 = or(_T_139, _T_141) @[dma_ctrl.scala 215:394] - node _T_143 = and(axi_mstr_prty_en, fifo_write_in) @[dma_ctrl.scala 215:73] - node _T_144 = and(_T_143, io.dma_bus_clk_en) @[dma_ctrl.scala 215:89] - node _T_145 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 215:177] - node _T_146 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_145) @[dma_ctrl.scala 215:145] - node _T_147 = and(_T_146, io.dbg_dma.dbg_ib.dbg_cmd_write) @[dma_ctrl.scala 215:181] - node _T_148 = or(_T_144, _T_147) @[dma_ctrl.scala 215:110] - node _T_149 = eq(UInt<3>("h04"), WrPtr) @[dma_ctrl.scala 215:224] - node _T_150 = and(_T_148, _T_149) @[dma_ctrl.scala 215:217] - node _T_151 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 215:258] - node _T_152 = eq(UInt<3>("h04"), RdPtr) @[dma_ctrl.scala 215:288] - node _T_153 = and(_T_151, _T_152) @[dma_ctrl.scala 215:281] - node _T_154 = or(_T_150, _T_153) @[dma_ctrl.scala 215:236] - node _T_155 = eq(UInt<3>("h04"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 215:350] - node _T_156 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_155) @[dma_ctrl.scala 215:343] - node _T_157 = or(_T_154, _T_156) @[dma_ctrl.scala 215:300] - node _T_158 = eq(UInt<3>("h04"), io.iccm_dma_rtag) @[dma_ctrl.scala 215:423] - node _T_159 = and(io.iccm_dma_rvalid, _T_158) @[dma_ctrl.scala 215:416] - node _T_160 = or(_T_157, _T_159) @[dma_ctrl.scala 215:394] + fifo_cmd_en <= _T_70 @[dma_ctrl.scala 206:21] + node _T_71 = and(axi_mstr_prty_en, fifo_write_in) @[dma_ctrl.scala 208:73] + node _T_72 = and(_T_71, io.dma_bus_clk_en) @[dma_ctrl.scala 208:89] + node _T_73 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 208:177] + node _T_74 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_73) @[dma_ctrl.scala 208:145] + node _T_75 = and(_T_74, io.dbg_dma.dbg_ib.dbg_cmd_write) @[dma_ctrl.scala 208:181] + node _T_76 = or(_T_72, _T_75) @[dma_ctrl.scala 208:110] + node _T_77 = eq(UInt<1>("h00"), WrPtr) @[dma_ctrl.scala 208:224] + node _T_78 = and(_T_76, _T_77) @[dma_ctrl.scala 208:217] + node _T_79 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 208:258] + node _T_80 = eq(UInt<1>("h00"), RdPtr) @[dma_ctrl.scala 208:288] + node _T_81 = and(_T_79, _T_80) @[dma_ctrl.scala 208:281] + node _T_82 = or(_T_78, _T_81) @[dma_ctrl.scala 208:236] + node _T_83 = eq(UInt<1>("h00"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 208:350] + node _T_84 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_83) @[dma_ctrl.scala 208:343] + node _T_85 = or(_T_82, _T_84) @[dma_ctrl.scala 208:300] + node _T_86 = eq(UInt<1>("h00"), io.iccm_dma_rtag) @[dma_ctrl.scala 208:423] + node _T_87 = and(io.iccm_dma_rvalid, _T_86) @[dma_ctrl.scala 208:416] + node _T_88 = or(_T_85, _T_87) @[dma_ctrl.scala 208:394] + node _T_89 = and(axi_mstr_prty_en, fifo_write_in) @[dma_ctrl.scala 208:73] + node _T_90 = and(_T_89, io.dma_bus_clk_en) @[dma_ctrl.scala 208:89] + node _T_91 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 208:177] + node _T_92 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_91) @[dma_ctrl.scala 208:145] + node _T_93 = and(_T_92, io.dbg_dma.dbg_ib.dbg_cmd_write) @[dma_ctrl.scala 208:181] + node _T_94 = or(_T_90, _T_93) @[dma_ctrl.scala 208:110] + node _T_95 = eq(UInt<1>("h01"), WrPtr) @[dma_ctrl.scala 208:224] + node _T_96 = and(_T_94, _T_95) @[dma_ctrl.scala 208:217] + node _T_97 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 208:258] + node _T_98 = eq(UInt<1>("h01"), RdPtr) @[dma_ctrl.scala 208:288] + node _T_99 = and(_T_97, _T_98) @[dma_ctrl.scala 208:281] + node _T_100 = or(_T_96, _T_99) @[dma_ctrl.scala 208:236] + node _T_101 = eq(UInt<1>("h01"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 208:350] + node _T_102 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_101) @[dma_ctrl.scala 208:343] + node _T_103 = or(_T_100, _T_102) @[dma_ctrl.scala 208:300] + node _T_104 = eq(UInt<1>("h01"), io.iccm_dma_rtag) @[dma_ctrl.scala 208:423] + node _T_105 = and(io.iccm_dma_rvalid, _T_104) @[dma_ctrl.scala 208:416] + node _T_106 = or(_T_103, _T_105) @[dma_ctrl.scala 208:394] + node _T_107 = and(axi_mstr_prty_en, fifo_write_in) @[dma_ctrl.scala 208:73] + node _T_108 = and(_T_107, io.dma_bus_clk_en) @[dma_ctrl.scala 208:89] + node _T_109 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 208:177] + node _T_110 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_109) @[dma_ctrl.scala 208:145] + node _T_111 = and(_T_110, io.dbg_dma.dbg_ib.dbg_cmd_write) @[dma_ctrl.scala 208:181] + node _T_112 = or(_T_108, _T_111) @[dma_ctrl.scala 208:110] + node _T_113 = eq(UInt<2>("h02"), WrPtr) @[dma_ctrl.scala 208:224] + node _T_114 = and(_T_112, _T_113) @[dma_ctrl.scala 208:217] + node _T_115 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 208:258] + node _T_116 = eq(UInt<2>("h02"), RdPtr) @[dma_ctrl.scala 208:288] + node _T_117 = and(_T_115, _T_116) @[dma_ctrl.scala 208:281] + node _T_118 = or(_T_114, _T_117) @[dma_ctrl.scala 208:236] + node _T_119 = eq(UInt<2>("h02"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 208:350] + node _T_120 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_119) @[dma_ctrl.scala 208:343] + node _T_121 = or(_T_118, _T_120) @[dma_ctrl.scala 208:300] + node _T_122 = eq(UInt<2>("h02"), io.iccm_dma_rtag) @[dma_ctrl.scala 208:423] + node _T_123 = and(io.iccm_dma_rvalid, _T_122) @[dma_ctrl.scala 208:416] + node _T_124 = or(_T_121, _T_123) @[dma_ctrl.scala 208:394] + node _T_125 = and(axi_mstr_prty_en, fifo_write_in) @[dma_ctrl.scala 208:73] + node _T_126 = and(_T_125, io.dma_bus_clk_en) @[dma_ctrl.scala 208:89] + node _T_127 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 208:177] + node _T_128 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_127) @[dma_ctrl.scala 208:145] + node _T_129 = and(_T_128, io.dbg_dma.dbg_ib.dbg_cmd_write) @[dma_ctrl.scala 208:181] + node _T_130 = or(_T_126, _T_129) @[dma_ctrl.scala 208:110] + node _T_131 = eq(UInt<2>("h03"), WrPtr) @[dma_ctrl.scala 208:224] + node _T_132 = and(_T_130, _T_131) @[dma_ctrl.scala 208:217] + node _T_133 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 208:258] + node _T_134 = eq(UInt<2>("h03"), RdPtr) @[dma_ctrl.scala 208:288] + node _T_135 = and(_T_133, _T_134) @[dma_ctrl.scala 208:281] + node _T_136 = or(_T_132, _T_135) @[dma_ctrl.scala 208:236] + node _T_137 = eq(UInt<2>("h03"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 208:350] + node _T_138 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_137) @[dma_ctrl.scala 208:343] + node _T_139 = or(_T_136, _T_138) @[dma_ctrl.scala 208:300] + node _T_140 = eq(UInt<2>("h03"), io.iccm_dma_rtag) @[dma_ctrl.scala 208:423] + node _T_141 = and(io.iccm_dma_rvalid, _T_140) @[dma_ctrl.scala 208:416] + node _T_142 = or(_T_139, _T_141) @[dma_ctrl.scala 208:394] + node _T_143 = and(axi_mstr_prty_en, fifo_write_in) @[dma_ctrl.scala 208:73] + node _T_144 = and(_T_143, io.dma_bus_clk_en) @[dma_ctrl.scala 208:89] + node _T_145 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 208:177] + node _T_146 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_145) @[dma_ctrl.scala 208:145] + node _T_147 = and(_T_146, io.dbg_dma.dbg_ib.dbg_cmd_write) @[dma_ctrl.scala 208:181] + node _T_148 = or(_T_144, _T_147) @[dma_ctrl.scala 208:110] + node _T_149 = eq(UInt<3>("h04"), WrPtr) @[dma_ctrl.scala 208:224] + node _T_150 = and(_T_148, _T_149) @[dma_ctrl.scala 208:217] + node _T_151 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 208:258] + node _T_152 = eq(UInt<3>("h04"), RdPtr) @[dma_ctrl.scala 208:288] + node _T_153 = and(_T_151, _T_152) @[dma_ctrl.scala 208:281] + node _T_154 = or(_T_150, _T_153) @[dma_ctrl.scala 208:236] + node _T_155 = eq(UInt<3>("h04"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 208:350] + node _T_156 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_155) @[dma_ctrl.scala 208:343] + node _T_157 = or(_T_154, _T_156) @[dma_ctrl.scala 208:300] + node _T_158 = eq(UInt<3>("h04"), io.iccm_dma_rtag) @[dma_ctrl.scala 208:423] + node _T_159 = and(io.iccm_dma_rvalid, _T_158) @[dma_ctrl.scala 208:416] + node _T_160 = or(_T_157, _T_159) @[dma_ctrl.scala 208:394] node _T_161 = cat(_T_160, _T_142) @[Cat.scala 29:58] node _T_162 = cat(_T_161, _T_124) @[Cat.scala 29:58] node _T_163 = cat(_T_162, _T_106) @[Cat.scala 29:58] node _T_164 = cat(_T_163, _T_88) @[Cat.scala 29:58] - fifo_data_en <= _T_164 @[dma_ctrl.scala 215:21] - node _T_165 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 217:95] - node _T_166 = eq(io.lsu_dma.dma_lsc_ctl.dma_mem_write, UInt<1>("h00")) @[dma_ctrl.scala 217:136] - node _T_167 = and(_T_165, _T_166) @[dma_ctrl.scala 217:134] - node _T_168 = eq(UInt<1>("h00"), RdPtr) @[dma_ctrl.scala 217:181] - node _T_169 = and(_T_167, _T_168) @[dma_ctrl.scala 217:174] - node _T_170 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 217:95] - node _T_171 = eq(io.lsu_dma.dma_lsc_ctl.dma_mem_write, UInt<1>("h00")) @[dma_ctrl.scala 217:136] - node _T_172 = and(_T_170, _T_171) @[dma_ctrl.scala 217:134] - node _T_173 = eq(UInt<1>("h01"), RdPtr) @[dma_ctrl.scala 217:181] - node _T_174 = and(_T_172, _T_173) @[dma_ctrl.scala 217:174] - node _T_175 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 217:95] - node _T_176 = eq(io.lsu_dma.dma_lsc_ctl.dma_mem_write, UInt<1>("h00")) @[dma_ctrl.scala 217:136] - node _T_177 = and(_T_175, _T_176) @[dma_ctrl.scala 217:134] - node _T_178 = eq(UInt<2>("h02"), RdPtr) @[dma_ctrl.scala 217:181] - node _T_179 = and(_T_177, _T_178) @[dma_ctrl.scala 217:174] - node _T_180 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 217:95] - node _T_181 = eq(io.lsu_dma.dma_lsc_ctl.dma_mem_write, UInt<1>("h00")) @[dma_ctrl.scala 217:136] - node _T_182 = and(_T_180, _T_181) @[dma_ctrl.scala 217:134] - node _T_183 = eq(UInt<2>("h03"), RdPtr) @[dma_ctrl.scala 217:181] - node _T_184 = and(_T_182, _T_183) @[dma_ctrl.scala 217:174] - node _T_185 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 217:95] - node _T_186 = eq(io.lsu_dma.dma_lsc_ctl.dma_mem_write, UInt<1>("h00")) @[dma_ctrl.scala 217:136] - node _T_187 = and(_T_185, _T_186) @[dma_ctrl.scala 217:134] - node _T_188 = eq(UInt<3>("h04"), RdPtr) @[dma_ctrl.scala 217:181] - node _T_189 = and(_T_187, _T_188) @[dma_ctrl.scala 217:174] + fifo_data_en <= _T_164 @[dma_ctrl.scala 208:21] + node _T_165 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 210:95] + node _T_166 = eq(io.lsu_dma.dma_lsc_ctl.dma_mem_write, UInt<1>("h00")) @[dma_ctrl.scala 210:136] + node _T_167 = and(_T_165, _T_166) @[dma_ctrl.scala 210:134] + node _T_168 = eq(UInt<1>("h00"), RdPtr) @[dma_ctrl.scala 210:181] + node _T_169 = and(_T_167, _T_168) @[dma_ctrl.scala 210:174] + node _T_170 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 210:95] + node _T_171 = eq(io.lsu_dma.dma_lsc_ctl.dma_mem_write, UInt<1>("h00")) @[dma_ctrl.scala 210:136] + node _T_172 = and(_T_170, _T_171) @[dma_ctrl.scala 210:134] + node _T_173 = eq(UInt<1>("h01"), RdPtr) @[dma_ctrl.scala 210:181] + node _T_174 = and(_T_172, _T_173) @[dma_ctrl.scala 210:174] + node _T_175 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 210:95] + node _T_176 = eq(io.lsu_dma.dma_lsc_ctl.dma_mem_write, UInt<1>("h00")) @[dma_ctrl.scala 210:136] + node _T_177 = and(_T_175, _T_176) @[dma_ctrl.scala 210:134] + node _T_178 = eq(UInt<2>("h02"), RdPtr) @[dma_ctrl.scala 210:181] + node _T_179 = and(_T_177, _T_178) @[dma_ctrl.scala 210:174] + node _T_180 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 210:95] + node _T_181 = eq(io.lsu_dma.dma_lsc_ctl.dma_mem_write, UInt<1>("h00")) @[dma_ctrl.scala 210:136] + node _T_182 = and(_T_180, _T_181) @[dma_ctrl.scala 210:134] + node _T_183 = eq(UInt<2>("h03"), RdPtr) @[dma_ctrl.scala 210:181] + node _T_184 = and(_T_182, _T_183) @[dma_ctrl.scala 210:174] + node _T_185 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 210:95] + node _T_186 = eq(io.lsu_dma.dma_lsc_ctl.dma_mem_write, UInt<1>("h00")) @[dma_ctrl.scala 210:136] + node _T_187 = and(_T_185, _T_186) @[dma_ctrl.scala 210:134] + node _T_188 = eq(UInt<3>("h04"), RdPtr) @[dma_ctrl.scala 210:181] + node _T_189 = and(_T_187, _T_188) @[dma_ctrl.scala 210:174] node _T_190 = cat(_T_189, _T_184) @[Cat.scala 29:58] node _T_191 = cat(_T_190, _T_179) @[Cat.scala 29:58] node _T_192 = cat(_T_191, _T_174) @[Cat.scala 29:58] node _T_193 = cat(_T_192, _T_169) @[Cat.scala 29:58] - fifo_pend_en <= _T_193 @[dma_ctrl.scala 217:21] - node _T_194 = bits(dma_address_error, 0, 0) @[dma_ctrl.scala 219:78] - node _T_195 = bits(dma_alignment_error, 0, 0) @[dma_ctrl.scala 219:107] - node _T_196 = or(_T_194, _T_195) @[dma_ctrl.scala 219:85] - node _T_197 = or(_T_196, dma_dbg_cmd_error) @[dma_ctrl.scala 219:114] - node _T_198 = eq(UInt<1>("h00"), RdPtr) @[dma_ctrl.scala 219:142] - node _T_199 = and(_T_197, _T_198) @[dma_ctrl.scala 219:135] - node _T_200 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error) @[dma_ctrl.scala 219:198] - node _T_201 = eq(UInt<1>("h00"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 219:251] - node _T_202 = and(_T_200, _T_201) @[dma_ctrl.scala 219:244] - node _T_203 = or(_T_199, _T_202) @[dma_ctrl.scala 219:154] - node _T_204 = and(io.iccm_dma_rvalid, io.iccm_dma_ecc_error) @[dma_ctrl.scala 219:318] - node _T_205 = eq(UInt<1>("h00"), io.iccm_dma_rtag) @[dma_ctrl.scala 219:350] - node _T_206 = and(_T_204, _T_205) @[dma_ctrl.scala 219:343] - node _T_207 = or(_T_203, _T_206) @[dma_ctrl.scala 219:295] - node _T_208 = bits(dma_address_error, 0, 0) @[dma_ctrl.scala 219:78] - node _T_209 = bits(dma_alignment_error, 0, 0) @[dma_ctrl.scala 219:107] - node _T_210 = or(_T_208, _T_209) @[dma_ctrl.scala 219:85] - node _T_211 = or(_T_210, dma_dbg_cmd_error) @[dma_ctrl.scala 219:114] - node _T_212 = eq(UInt<1>("h01"), RdPtr) @[dma_ctrl.scala 219:142] - node _T_213 = and(_T_211, _T_212) @[dma_ctrl.scala 219:135] - node _T_214 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error) @[dma_ctrl.scala 219:198] - node _T_215 = eq(UInt<1>("h01"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 219:251] - node _T_216 = and(_T_214, _T_215) @[dma_ctrl.scala 219:244] - node _T_217 = or(_T_213, _T_216) @[dma_ctrl.scala 219:154] - node _T_218 = and(io.iccm_dma_rvalid, io.iccm_dma_ecc_error) @[dma_ctrl.scala 219:318] - node _T_219 = eq(UInt<1>("h01"), io.iccm_dma_rtag) @[dma_ctrl.scala 219:350] - node _T_220 = and(_T_218, _T_219) @[dma_ctrl.scala 219:343] - node _T_221 = or(_T_217, _T_220) @[dma_ctrl.scala 219:295] - node _T_222 = bits(dma_address_error, 0, 0) @[dma_ctrl.scala 219:78] - node _T_223 = bits(dma_alignment_error, 0, 0) @[dma_ctrl.scala 219:107] - node _T_224 = or(_T_222, _T_223) @[dma_ctrl.scala 219:85] - node _T_225 = or(_T_224, dma_dbg_cmd_error) @[dma_ctrl.scala 219:114] - node _T_226 = eq(UInt<2>("h02"), RdPtr) @[dma_ctrl.scala 219:142] - node _T_227 = and(_T_225, _T_226) @[dma_ctrl.scala 219:135] - node _T_228 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error) @[dma_ctrl.scala 219:198] - node _T_229 = eq(UInt<2>("h02"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 219:251] - node _T_230 = and(_T_228, _T_229) @[dma_ctrl.scala 219:244] - node _T_231 = or(_T_227, _T_230) @[dma_ctrl.scala 219:154] - node _T_232 = and(io.iccm_dma_rvalid, io.iccm_dma_ecc_error) @[dma_ctrl.scala 219:318] - node _T_233 = eq(UInt<2>("h02"), io.iccm_dma_rtag) @[dma_ctrl.scala 219:350] - node _T_234 = and(_T_232, _T_233) @[dma_ctrl.scala 219:343] - node _T_235 = or(_T_231, _T_234) @[dma_ctrl.scala 219:295] - node _T_236 = bits(dma_address_error, 0, 0) @[dma_ctrl.scala 219:78] - node _T_237 = bits(dma_alignment_error, 0, 0) @[dma_ctrl.scala 219:107] - node _T_238 = or(_T_236, _T_237) @[dma_ctrl.scala 219:85] - node _T_239 = or(_T_238, dma_dbg_cmd_error) @[dma_ctrl.scala 219:114] - node _T_240 = eq(UInt<2>("h03"), RdPtr) @[dma_ctrl.scala 219:142] - node _T_241 = and(_T_239, _T_240) @[dma_ctrl.scala 219:135] - node _T_242 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error) @[dma_ctrl.scala 219:198] - node _T_243 = eq(UInt<2>("h03"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 219:251] - node _T_244 = and(_T_242, _T_243) @[dma_ctrl.scala 219:244] - node _T_245 = or(_T_241, _T_244) @[dma_ctrl.scala 219:154] - node _T_246 = and(io.iccm_dma_rvalid, io.iccm_dma_ecc_error) @[dma_ctrl.scala 219:318] - node _T_247 = eq(UInt<2>("h03"), io.iccm_dma_rtag) @[dma_ctrl.scala 219:350] - node _T_248 = and(_T_246, _T_247) @[dma_ctrl.scala 219:343] - node _T_249 = or(_T_245, _T_248) @[dma_ctrl.scala 219:295] - node _T_250 = bits(dma_address_error, 0, 0) @[dma_ctrl.scala 219:78] - node _T_251 = bits(dma_alignment_error, 0, 0) @[dma_ctrl.scala 219:107] - node _T_252 = or(_T_250, _T_251) @[dma_ctrl.scala 219:85] - node _T_253 = or(_T_252, dma_dbg_cmd_error) @[dma_ctrl.scala 219:114] - node _T_254 = eq(UInt<3>("h04"), RdPtr) @[dma_ctrl.scala 219:142] - node _T_255 = and(_T_253, _T_254) @[dma_ctrl.scala 219:135] - node _T_256 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error) @[dma_ctrl.scala 219:198] - node _T_257 = eq(UInt<3>("h04"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 219:251] - node _T_258 = and(_T_256, _T_257) @[dma_ctrl.scala 219:244] - node _T_259 = or(_T_255, _T_258) @[dma_ctrl.scala 219:154] - node _T_260 = and(io.iccm_dma_rvalid, io.iccm_dma_ecc_error) @[dma_ctrl.scala 219:318] - node _T_261 = eq(UInt<3>("h04"), io.iccm_dma_rtag) @[dma_ctrl.scala 219:350] - node _T_262 = and(_T_260, _T_261) @[dma_ctrl.scala 219:343] - node _T_263 = or(_T_259, _T_262) @[dma_ctrl.scala 219:295] + fifo_pend_en <= _T_193 @[dma_ctrl.scala 210:21] + node _T_194 = bits(dma_address_error, 0, 0) @[dma_ctrl.scala 212:78] + node _T_195 = bits(dma_alignment_error, 0, 0) @[dma_ctrl.scala 212:107] + node _T_196 = or(_T_194, _T_195) @[dma_ctrl.scala 212:85] + node _T_197 = or(_T_196, dma_dbg_cmd_error) @[dma_ctrl.scala 212:114] + node _T_198 = eq(UInt<1>("h00"), RdPtr) @[dma_ctrl.scala 212:142] + node _T_199 = and(_T_197, _T_198) @[dma_ctrl.scala 212:135] + node _T_200 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error) @[dma_ctrl.scala 212:198] + node _T_201 = eq(UInt<1>("h00"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 212:251] + node _T_202 = and(_T_200, _T_201) @[dma_ctrl.scala 212:244] + node _T_203 = or(_T_199, _T_202) @[dma_ctrl.scala 212:154] + node _T_204 = and(io.iccm_dma_rvalid, io.iccm_dma_ecc_error) @[dma_ctrl.scala 212:318] + node _T_205 = eq(UInt<1>("h00"), io.iccm_dma_rtag) @[dma_ctrl.scala 212:350] + node _T_206 = and(_T_204, _T_205) @[dma_ctrl.scala 212:343] + node _T_207 = or(_T_203, _T_206) @[dma_ctrl.scala 212:295] + node _T_208 = bits(dma_address_error, 0, 0) @[dma_ctrl.scala 212:78] + node _T_209 = bits(dma_alignment_error, 0, 0) @[dma_ctrl.scala 212:107] + node _T_210 = or(_T_208, _T_209) @[dma_ctrl.scala 212:85] + node _T_211 = or(_T_210, dma_dbg_cmd_error) @[dma_ctrl.scala 212:114] + node _T_212 = eq(UInt<1>("h01"), RdPtr) @[dma_ctrl.scala 212:142] + node _T_213 = and(_T_211, _T_212) @[dma_ctrl.scala 212:135] + node _T_214 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error) @[dma_ctrl.scala 212:198] + node _T_215 = eq(UInt<1>("h01"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 212:251] + node _T_216 = and(_T_214, _T_215) @[dma_ctrl.scala 212:244] + node _T_217 = or(_T_213, _T_216) @[dma_ctrl.scala 212:154] + node _T_218 = and(io.iccm_dma_rvalid, io.iccm_dma_ecc_error) @[dma_ctrl.scala 212:318] + node _T_219 = eq(UInt<1>("h01"), io.iccm_dma_rtag) @[dma_ctrl.scala 212:350] + node _T_220 = and(_T_218, _T_219) @[dma_ctrl.scala 212:343] + node _T_221 = or(_T_217, _T_220) @[dma_ctrl.scala 212:295] + node _T_222 = bits(dma_address_error, 0, 0) @[dma_ctrl.scala 212:78] + node _T_223 = bits(dma_alignment_error, 0, 0) @[dma_ctrl.scala 212:107] + node _T_224 = or(_T_222, _T_223) @[dma_ctrl.scala 212:85] + node _T_225 = or(_T_224, dma_dbg_cmd_error) @[dma_ctrl.scala 212:114] + node _T_226 = eq(UInt<2>("h02"), RdPtr) @[dma_ctrl.scala 212:142] + node _T_227 = and(_T_225, _T_226) @[dma_ctrl.scala 212:135] + node _T_228 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error) @[dma_ctrl.scala 212:198] + node _T_229 = eq(UInt<2>("h02"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 212:251] + node _T_230 = and(_T_228, _T_229) @[dma_ctrl.scala 212:244] + node _T_231 = or(_T_227, _T_230) @[dma_ctrl.scala 212:154] + node _T_232 = and(io.iccm_dma_rvalid, io.iccm_dma_ecc_error) @[dma_ctrl.scala 212:318] + node _T_233 = eq(UInt<2>("h02"), io.iccm_dma_rtag) @[dma_ctrl.scala 212:350] + node _T_234 = and(_T_232, _T_233) @[dma_ctrl.scala 212:343] + node _T_235 = or(_T_231, _T_234) @[dma_ctrl.scala 212:295] + node _T_236 = bits(dma_address_error, 0, 0) @[dma_ctrl.scala 212:78] + node _T_237 = bits(dma_alignment_error, 0, 0) @[dma_ctrl.scala 212:107] + node _T_238 = or(_T_236, _T_237) @[dma_ctrl.scala 212:85] + node _T_239 = or(_T_238, dma_dbg_cmd_error) @[dma_ctrl.scala 212:114] + node _T_240 = eq(UInt<2>("h03"), RdPtr) @[dma_ctrl.scala 212:142] + node _T_241 = and(_T_239, _T_240) @[dma_ctrl.scala 212:135] + node _T_242 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error) @[dma_ctrl.scala 212:198] + node _T_243 = eq(UInt<2>("h03"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 212:251] + node _T_244 = and(_T_242, _T_243) @[dma_ctrl.scala 212:244] + node _T_245 = or(_T_241, _T_244) @[dma_ctrl.scala 212:154] + node _T_246 = and(io.iccm_dma_rvalid, io.iccm_dma_ecc_error) @[dma_ctrl.scala 212:318] + node _T_247 = eq(UInt<2>("h03"), io.iccm_dma_rtag) @[dma_ctrl.scala 212:350] + node _T_248 = and(_T_246, _T_247) @[dma_ctrl.scala 212:343] + node _T_249 = or(_T_245, _T_248) @[dma_ctrl.scala 212:295] + node _T_250 = bits(dma_address_error, 0, 0) @[dma_ctrl.scala 212:78] + node _T_251 = bits(dma_alignment_error, 0, 0) @[dma_ctrl.scala 212:107] + node _T_252 = or(_T_250, _T_251) @[dma_ctrl.scala 212:85] + node _T_253 = or(_T_252, dma_dbg_cmd_error) @[dma_ctrl.scala 212:114] + node _T_254 = eq(UInt<3>("h04"), RdPtr) @[dma_ctrl.scala 212:142] + node _T_255 = and(_T_253, _T_254) @[dma_ctrl.scala 212:135] + node _T_256 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error) @[dma_ctrl.scala 212:198] + node _T_257 = eq(UInt<3>("h04"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 212:251] + node _T_258 = and(_T_256, _T_257) @[dma_ctrl.scala 212:244] + node _T_259 = or(_T_255, _T_258) @[dma_ctrl.scala 212:154] + node _T_260 = and(io.iccm_dma_rvalid, io.iccm_dma_ecc_error) @[dma_ctrl.scala 212:318] + node _T_261 = eq(UInt<3>("h04"), io.iccm_dma_rtag) @[dma_ctrl.scala 212:350] + node _T_262 = and(_T_260, _T_261) @[dma_ctrl.scala 212:343] + node _T_263 = or(_T_259, _T_262) @[dma_ctrl.scala 212:295] node _T_264 = cat(_T_263, _T_249) @[Cat.scala 29:58] node _T_265 = cat(_T_264, _T_235) @[Cat.scala 29:58] node _T_266 = cat(_T_265, _T_221) @[Cat.scala 29:58] node _T_267 = cat(_T_266, _T_207) @[Cat.scala 29:58] - fifo_error_en <= _T_267 @[dma_ctrl.scala 219:21] - node _T_268 = bits(fifo_error_in[0], 1, 0) @[dma_ctrl.scala 221:77] - node _T_269 = orr(_T_268) @[dma_ctrl.scala 221:83] - node _T_270 = bits(fifo_error_en, 0, 0) @[dma_ctrl.scala 221:103] - node _T_271 = and(_T_269, _T_270) @[dma_ctrl.scala 221:88] - node _T_272 = orr(fifo_error[0]) @[dma_ctrl.scala 221:125] - node _T_273 = or(_T_271, _T_272) @[dma_ctrl.scala 221:108] - node _T_274 = and(_T_273, io.dma_bus_clk_en) @[dma_ctrl.scala 221:131] - node _T_275 = bits(fifo_error_in[1], 1, 0) @[dma_ctrl.scala 221:77] - node _T_276 = orr(_T_275) @[dma_ctrl.scala 221:83] - node _T_277 = bits(fifo_error_en, 1, 1) @[dma_ctrl.scala 221:103] - node _T_278 = and(_T_276, _T_277) @[dma_ctrl.scala 221:88] - node _T_279 = orr(fifo_error[1]) @[dma_ctrl.scala 221:125] - node _T_280 = or(_T_278, _T_279) @[dma_ctrl.scala 221:108] - node _T_281 = and(_T_280, io.dma_bus_clk_en) @[dma_ctrl.scala 221:131] - node _T_282 = bits(fifo_error_in[2], 1, 0) @[dma_ctrl.scala 221:77] - node _T_283 = orr(_T_282) @[dma_ctrl.scala 221:83] - node _T_284 = bits(fifo_error_en, 2, 2) @[dma_ctrl.scala 221:103] - node _T_285 = and(_T_283, _T_284) @[dma_ctrl.scala 221:88] - node _T_286 = orr(fifo_error[2]) @[dma_ctrl.scala 221:125] - node _T_287 = or(_T_285, _T_286) @[dma_ctrl.scala 221:108] - node _T_288 = and(_T_287, io.dma_bus_clk_en) @[dma_ctrl.scala 221:131] - node _T_289 = bits(fifo_error_in[3], 1, 0) @[dma_ctrl.scala 221:77] - node _T_290 = orr(_T_289) @[dma_ctrl.scala 221:83] - node _T_291 = bits(fifo_error_en, 3, 3) @[dma_ctrl.scala 221:103] - node _T_292 = and(_T_290, _T_291) @[dma_ctrl.scala 221:88] - node _T_293 = orr(fifo_error[3]) @[dma_ctrl.scala 221:125] - node _T_294 = or(_T_292, _T_293) @[dma_ctrl.scala 221:108] - node _T_295 = and(_T_294, io.dma_bus_clk_en) @[dma_ctrl.scala 221:131] - node _T_296 = bits(fifo_error_in[4], 1, 0) @[dma_ctrl.scala 221:77] - node _T_297 = orr(_T_296) @[dma_ctrl.scala 221:83] - node _T_298 = bits(fifo_error_en, 4, 4) @[dma_ctrl.scala 221:103] - node _T_299 = and(_T_297, _T_298) @[dma_ctrl.scala 221:88] - node _T_300 = orr(fifo_error[4]) @[dma_ctrl.scala 221:125] - node _T_301 = or(_T_299, _T_300) @[dma_ctrl.scala 221:108] - node _T_302 = and(_T_301, io.dma_bus_clk_en) @[dma_ctrl.scala 221:131] + fifo_error_en <= _T_267 @[dma_ctrl.scala 212:21] + node _T_268 = bits(fifo_error_in[0], 1, 0) @[dma_ctrl.scala 214:77] + node _T_269 = orr(_T_268) @[dma_ctrl.scala 214:83] + node _T_270 = bits(fifo_error_en, 0, 0) @[dma_ctrl.scala 214:103] + node _T_271 = and(_T_269, _T_270) @[dma_ctrl.scala 214:88] + node _T_272 = orr(fifo_error[0]) @[dma_ctrl.scala 214:125] + node _T_273 = or(_T_271, _T_272) @[dma_ctrl.scala 214:108] + node _T_274 = and(_T_273, io.dma_bus_clk_en) @[dma_ctrl.scala 214:131] + node _T_275 = bits(fifo_error_in[1], 1, 0) @[dma_ctrl.scala 214:77] + node _T_276 = orr(_T_275) @[dma_ctrl.scala 214:83] + node _T_277 = bits(fifo_error_en, 1, 1) @[dma_ctrl.scala 214:103] + node _T_278 = and(_T_276, _T_277) @[dma_ctrl.scala 214:88] + node _T_279 = orr(fifo_error[1]) @[dma_ctrl.scala 214:125] + node _T_280 = or(_T_278, _T_279) @[dma_ctrl.scala 214:108] + node _T_281 = and(_T_280, io.dma_bus_clk_en) @[dma_ctrl.scala 214:131] + node _T_282 = bits(fifo_error_in[2], 1, 0) @[dma_ctrl.scala 214:77] + node _T_283 = orr(_T_282) @[dma_ctrl.scala 214:83] + node _T_284 = bits(fifo_error_en, 2, 2) @[dma_ctrl.scala 214:103] + node _T_285 = and(_T_283, _T_284) @[dma_ctrl.scala 214:88] + node _T_286 = orr(fifo_error[2]) @[dma_ctrl.scala 214:125] + node _T_287 = or(_T_285, _T_286) @[dma_ctrl.scala 214:108] + node _T_288 = and(_T_287, io.dma_bus_clk_en) @[dma_ctrl.scala 214:131] + node _T_289 = bits(fifo_error_in[3], 1, 0) @[dma_ctrl.scala 214:77] + node _T_290 = orr(_T_289) @[dma_ctrl.scala 214:83] + node _T_291 = bits(fifo_error_en, 3, 3) @[dma_ctrl.scala 214:103] + node _T_292 = and(_T_290, _T_291) @[dma_ctrl.scala 214:88] + node _T_293 = orr(fifo_error[3]) @[dma_ctrl.scala 214:125] + node _T_294 = or(_T_292, _T_293) @[dma_ctrl.scala 214:108] + node _T_295 = and(_T_294, io.dma_bus_clk_en) @[dma_ctrl.scala 214:131] + node _T_296 = bits(fifo_error_in[4], 1, 0) @[dma_ctrl.scala 214:77] + node _T_297 = orr(_T_296) @[dma_ctrl.scala 214:83] + node _T_298 = bits(fifo_error_en, 4, 4) @[dma_ctrl.scala 214:103] + node _T_299 = and(_T_297, _T_298) @[dma_ctrl.scala 214:88] + node _T_300 = orr(fifo_error[4]) @[dma_ctrl.scala 214:125] + node _T_301 = or(_T_299, _T_300) @[dma_ctrl.scala 214:108] + node _T_302 = and(_T_301, io.dma_bus_clk_en) @[dma_ctrl.scala 214:131] node _T_303 = cat(_T_302, _T_295) @[Cat.scala 29:58] node _T_304 = cat(_T_303, _T_288) @[Cat.scala 29:58] node _T_305 = cat(_T_304, _T_281) @[Cat.scala 29:58] node _T_306 = cat(_T_305, _T_274) @[Cat.scala 29:58] - fifo_error_bus_en <= _T_306 @[dma_ctrl.scala 221:21] - node _T_307 = orr(fifo_error[0]) @[dma_ctrl.scala 223:74] - node _T_308 = bits(fifo_error_en, 0, 0) @[dma_ctrl.scala 223:93] - node _T_309 = or(_T_307, _T_308) @[dma_ctrl.scala 223:78] - node _T_310 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 223:137] - node _T_311 = and(_T_310, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[dma_ctrl.scala 223:176] - node _T_312 = or(_T_309, _T_311) @[dma_ctrl.scala 223:97] - node _T_313 = eq(UInt<1>("h00"), RdPtr) @[dma_ctrl.scala 223:224] - node _T_314 = and(_T_312, _T_313) @[dma_ctrl.scala 223:217] - node _T_315 = eq(UInt<1>("h00"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 223:286] - node _T_316 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_315) @[dma_ctrl.scala 223:279] - node _T_317 = or(_T_314, _T_316) @[dma_ctrl.scala 223:236] - node _T_318 = eq(UInt<1>("h00"), io.iccm_dma_rtag) @[dma_ctrl.scala 223:359] - node _T_319 = and(io.iccm_dma_rvalid, _T_318) @[dma_ctrl.scala 223:352] - node _T_320 = or(_T_317, _T_319) @[dma_ctrl.scala 223:330] - node _T_321 = orr(fifo_error[1]) @[dma_ctrl.scala 223:74] - node _T_322 = bits(fifo_error_en, 1, 1) @[dma_ctrl.scala 223:93] - node _T_323 = or(_T_321, _T_322) @[dma_ctrl.scala 223:78] - node _T_324 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 223:137] - node _T_325 = and(_T_324, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[dma_ctrl.scala 223:176] - node _T_326 = or(_T_323, _T_325) @[dma_ctrl.scala 223:97] - node _T_327 = eq(UInt<1>("h01"), RdPtr) @[dma_ctrl.scala 223:224] - node _T_328 = and(_T_326, _T_327) @[dma_ctrl.scala 223:217] - node _T_329 = eq(UInt<1>("h01"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 223:286] - node _T_330 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_329) @[dma_ctrl.scala 223:279] - node _T_331 = or(_T_328, _T_330) @[dma_ctrl.scala 223:236] - node _T_332 = eq(UInt<1>("h01"), io.iccm_dma_rtag) @[dma_ctrl.scala 223:359] - node _T_333 = and(io.iccm_dma_rvalid, _T_332) @[dma_ctrl.scala 223:352] - node _T_334 = or(_T_331, _T_333) @[dma_ctrl.scala 223:330] - node _T_335 = orr(fifo_error[2]) @[dma_ctrl.scala 223:74] - node _T_336 = bits(fifo_error_en, 2, 2) @[dma_ctrl.scala 223:93] - node _T_337 = or(_T_335, _T_336) @[dma_ctrl.scala 223:78] - node _T_338 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 223:137] - node _T_339 = and(_T_338, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[dma_ctrl.scala 223:176] - node _T_340 = or(_T_337, _T_339) @[dma_ctrl.scala 223:97] - node _T_341 = eq(UInt<2>("h02"), RdPtr) @[dma_ctrl.scala 223:224] - node _T_342 = and(_T_340, _T_341) @[dma_ctrl.scala 223:217] - node _T_343 = eq(UInt<2>("h02"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 223:286] - node _T_344 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_343) @[dma_ctrl.scala 223:279] - node _T_345 = or(_T_342, _T_344) @[dma_ctrl.scala 223:236] - node _T_346 = eq(UInt<2>("h02"), io.iccm_dma_rtag) @[dma_ctrl.scala 223:359] - node _T_347 = and(io.iccm_dma_rvalid, _T_346) @[dma_ctrl.scala 223:352] - node _T_348 = or(_T_345, _T_347) @[dma_ctrl.scala 223:330] - node _T_349 = orr(fifo_error[3]) @[dma_ctrl.scala 223:74] - node _T_350 = bits(fifo_error_en, 3, 3) @[dma_ctrl.scala 223:93] - node _T_351 = or(_T_349, _T_350) @[dma_ctrl.scala 223:78] - node _T_352 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 223:137] - node _T_353 = and(_T_352, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[dma_ctrl.scala 223:176] - node _T_354 = or(_T_351, _T_353) @[dma_ctrl.scala 223:97] - node _T_355 = eq(UInt<2>("h03"), RdPtr) @[dma_ctrl.scala 223:224] - node _T_356 = and(_T_354, _T_355) @[dma_ctrl.scala 223:217] - node _T_357 = eq(UInt<2>("h03"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 223:286] - node _T_358 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_357) @[dma_ctrl.scala 223:279] - node _T_359 = or(_T_356, _T_358) @[dma_ctrl.scala 223:236] - node _T_360 = eq(UInt<2>("h03"), io.iccm_dma_rtag) @[dma_ctrl.scala 223:359] - node _T_361 = and(io.iccm_dma_rvalid, _T_360) @[dma_ctrl.scala 223:352] - node _T_362 = or(_T_359, _T_361) @[dma_ctrl.scala 223:330] - node _T_363 = orr(fifo_error[4]) @[dma_ctrl.scala 223:74] - node _T_364 = bits(fifo_error_en, 4, 4) @[dma_ctrl.scala 223:93] - node _T_365 = or(_T_363, _T_364) @[dma_ctrl.scala 223:78] - node _T_366 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 223:137] - node _T_367 = and(_T_366, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[dma_ctrl.scala 223:176] - node _T_368 = or(_T_365, _T_367) @[dma_ctrl.scala 223:97] - node _T_369 = eq(UInt<3>("h04"), RdPtr) @[dma_ctrl.scala 223:224] - node _T_370 = and(_T_368, _T_369) @[dma_ctrl.scala 223:217] - node _T_371 = eq(UInt<3>("h04"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 223:286] - node _T_372 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_371) @[dma_ctrl.scala 223:279] - node _T_373 = or(_T_370, _T_372) @[dma_ctrl.scala 223:236] - node _T_374 = eq(UInt<3>("h04"), io.iccm_dma_rtag) @[dma_ctrl.scala 223:359] - node _T_375 = and(io.iccm_dma_rvalid, _T_374) @[dma_ctrl.scala 223:352] - node _T_376 = or(_T_373, _T_375) @[dma_ctrl.scala 223:330] + fifo_error_bus_en <= _T_306 @[dma_ctrl.scala 214:21] + node _T_307 = orr(fifo_error[0]) @[dma_ctrl.scala 216:74] + node _T_308 = bits(fifo_error_en, 0, 0) @[dma_ctrl.scala 216:93] + node _T_309 = or(_T_307, _T_308) @[dma_ctrl.scala 216:78] + node _T_310 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 216:137] + node _T_311 = and(_T_310, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[dma_ctrl.scala 216:176] + node _T_312 = or(_T_309, _T_311) @[dma_ctrl.scala 216:97] + node _T_313 = eq(UInt<1>("h00"), RdPtr) @[dma_ctrl.scala 216:224] + node _T_314 = and(_T_312, _T_313) @[dma_ctrl.scala 216:217] + node _T_315 = eq(UInt<1>("h00"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 216:286] + node _T_316 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_315) @[dma_ctrl.scala 216:279] + node _T_317 = or(_T_314, _T_316) @[dma_ctrl.scala 216:236] + node _T_318 = eq(UInt<1>("h00"), io.iccm_dma_rtag) @[dma_ctrl.scala 216:359] + node _T_319 = and(io.iccm_dma_rvalid, _T_318) @[dma_ctrl.scala 216:352] + node _T_320 = or(_T_317, _T_319) @[dma_ctrl.scala 216:330] + node _T_321 = orr(fifo_error[1]) @[dma_ctrl.scala 216:74] + node _T_322 = bits(fifo_error_en, 1, 1) @[dma_ctrl.scala 216:93] + node _T_323 = or(_T_321, _T_322) @[dma_ctrl.scala 216:78] + node _T_324 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 216:137] + node _T_325 = and(_T_324, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[dma_ctrl.scala 216:176] + node _T_326 = or(_T_323, _T_325) @[dma_ctrl.scala 216:97] + node _T_327 = eq(UInt<1>("h01"), RdPtr) @[dma_ctrl.scala 216:224] + node _T_328 = and(_T_326, _T_327) @[dma_ctrl.scala 216:217] + node _T_329 = eq(UInt<1>("h01"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 216:286] + node _T_330 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_329) @[dma_ctrl.scala 216:279] + node _T_331 = or(_T_328, _T_330) @[dma_ctrl.scala 216:236] + node _T_332 = eq(UInt<1>("h01"), io.iccm_dma_rtag) @[dma_ctrl.scala 216:359] + node _T_333 = and(io.iccm_dma_rvalid, _T_332) @[dma_ctrl.scala 216:352] + node _T_334 = or(_T_331, _T_333) @[dma_ctrl.scala 216:330] + node _T_335 = orr(fifo_error[2]) @[dma_ctrl.scala 216:74] + node _T_336 = bits(fifo_error_en, 2, 2) @[dma_ctrl.scala 216:93] + node _T_337 = or(_T_335, _T_336) @[dma_ctrl.scala 216:78] + node _T_338 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 216:137] + node _T_339 = and(_T_338, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[dma_ctrl.scala 216:176] + node _T_340 = or(_T_337, _T_339) @[dma_ctrl.scala 216:97] + node _T_341 = eq(UInt<2>("h02"), RdPtr) @[dma_ctrl.scala 216:224] + node _T_342 = and(_T_340, _T_341) @[dma_ctrl.scala 216:217] + node _T_343 = eq(UInt<2>("h02"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 216:286] + node _T_344 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_343) @[dma_ctrl.scala 216:279] + node _T_345 = or(_T_342, _T_344) @[dma_ctrl.scala 216:236] + node _T_346 = eq(UInt<2>("h02"), io.iccm_dma_rtag) @[dma_ctrl.scala 216:359] + node _T_347 = and(io.iccm_dma_rvalid, _T_346) @[dma_ctrl.scala 216:352] + node _T_348 = or(_T_345, _T_347) @[dma_ctrl.scala 216:330] + node _T_349 = orr(fifo_error[3]) @[dma_ctrl.scala 216:74] + node _T_350 = bits(fifo_error_en, 3, 3) @[dma_ctrl.scala 216:93] + node _T_351 = or(_T_349, _T_350) @[dma_ctrl.scala 216:78] + node _T_352 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 216:137] + node _T_353 = and(_T_352, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[dma_ctrl.scala 216:176] + node _T_354 = or(_T_351, _T_353) @[dma_ctrl.scala 216:97] + node _T_355 = eq(UInt<2>("h03"), RdPtr) @[dma_ctrl.scala 216:224] + node _T_356 = and(_T_354, _T_355) @[dma_ctrl.scala 216:217] + node _T_357 = eq(UInt<2>("h03"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 216:286] + node _T_358 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_357) @[dma_ctrl.scala 216:279] + node _T_359 = or(_T_356, _T_358) @[dma_ctrl.scala 216:236] + node _T_360 = eq(UInt<2>("h03"), io.iccm_dma_rtag) @[dma_ctrl.scala 216:359] + node _T_361 = and(io.iccm_dma_rvalid, _T_360) @[dma_ctrl.scala 216:352] + node _T_362 = or(_T_359, _T_361) @[dma_ctrl.scala 216:330] + node _T_363 = orr(fifo_error[4]) @[dma_ctrl.scala 216:74] + node _T_364 = bits(fifo_error_en, 4, 4) @[dma_ctrl.scala 216:93] + node _T_365 = or(_T_363, _T_364) @[dma_ctrl.scala 216:78] + node _T_366 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 216:137] + node _T_367 = and(_T_366, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[dma_ctrl.scala 216:176] + node _T_368 = or(_T_365, _T_367) @[dma_ctrl.scala 216:97] + node _T_369 = eq(UInt<3>("h04"), RdPtr) @[dma_ctrl.scala 216:224] + node _T_370 = and(_T_368, _T_369) @[dma_ctrl.scala 216:217] + node _T_371 = eq(UInt<3>("h04"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 216:286] + node _T_372 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_371) @[dma_ctrl.scala 216:279] + node _T_373 = or(_T_370, _T_372) @[dma_ctrl.scala 216:236] + node _T_374 = eq(UInt<3>("h04"), io.iccm_dma_rtag) @[dma_ctrl.scala 216:359] + node _T_375 = and(io.iccm_dma_rvalid, _T_374) @[dma_ctrl.scala 216:352] + node _T_376 = or(_T_373, _T_375) @[dma_ctrl.scala 216:330] node _T_377 = cat(_T_376, _T_362) @[Cat.scala 29:58] node _T_378 = cat(_T_377, _T_348) @[Cat.scala 29:58] node _T_379 = cat(_T_378, _T_334) @[Cat.scala 29:58] node _T_380 = cat(_T_379, _T_320) @[Cat.scala 29:58] - fifo_done_en <= _T_380 @[dma_ctrl.scala 223:21] - node _T_381 = bits(fifo_done_en, 0, 0) @[dma_ctrl.scala 225:71] - node _T_382 = bits(fifo_done, 0, 0) @[dma_ctrl.scala 225:86] - node _T_383 = or(_T_381, _T_382) @[dma_ctrl.scala 225:75] - node _T_384 = and(_T_383, io.dma_bus_clk_en) @[dma_ctrl.scala 225:91] - node _T_385 = bits(fifo_done_en, 1, 1) @[dma_ctrl.scala 225:71] - node _T_386 = bits(fifo_done, 1, 1) @[dma_ctrl.scala 225:86] - node _T_387 = or(_T_385, _T_386) @[dma_ctrl.scala 225:75] - node _T_388 = and(_T_387, io.dma_bus_clk_en) @[dma_ctrl.scala 225:91] - node _T_389 = bits(fifo_done_en, 2, 2) @[dma_ctrl.scala 225:71] - node _T_390 = bits(fifo_done, 2, 2) @[dma_ctrl.scala 225:86] - node _T_391 = or(_T_389, _T_390) @[dma_ctrl.scala 225:75] - node _T_392 = and(_T_391, io.dma_bus_clk_en) @[dma_ctrl.scala 225:91] - node _T_393 = bits(fifo_done_en, 3, 3) @[dma_ctrl.scala 225:71] - node _T_394 = bits(fifo_done, 3, 3) @[dma_ctrl.scala 225:86] - node _T_395 = or(_T_393, _T_394) @[dma_ctrl.scala 225:75] - node _T_396 = and(_T_395, io.dma_bus_clk_en) @[dma_ctrl.scala 225:91] - node _T_397 = bits(fifo_done_en, 4, 4) @[dma_ctrl.scala 225:71] - node _T_398 = bits(fifo_done, 4, 4) @[dma_ctrl.scala 225:86] - node _T_399 = or(_T_397, _T_398) @[dma_ctrl.scala 225:75] - node _T_400 = and(_T_399, io.dma_bus_clk_en) @[dma_ctrl.scala 225:91] + fifo_done_en <= _T_380 @[dma_ctrl.scala 216:21] + node _T_381 = bits(fifo_done_en, 0, 0) @[dma_ctrl.scala 218:71] + node _T_382 = bits(fifo_done, 0, 0) @[dma_ctrl.scala 218:86] + node _T_383 = or(_T_381, _T_382) @[dma_ctrl.scala 218:75] + node _T_384 = and(_T_383, io.dma_bus_clk_en) @[dma_ctrl.scala 218:91] + node _T_385 = bits(fifo_done_en, 1, 1) @[dma_ctrl.scala 218:71] + node _T_386 = bits(fifo_done, 1, 1) @[dma_ctrl.scala 218:86] + node _T_387 = or(_T_385, _T_386) @[dma_ctrl.scala 218:75] + node _T_388 = and(_T_387, io.dma_bus_clk_en) @[dma_ctrl.scala 218:91] + node _T_389 = bits(fifo_done_en, 2, 2) @[dma_ctrl.scala 218:71] + node _T_390 = bits(fifo_done, 2, 2) @[dma_ctrl.scala 218:86] + node _T_391 = or(_T_389, _T_390) @[dma_ctrl.scala 218:75] + node _T_392 = and(_T_391, io.dma_bus_clk_en) @[dma_ctrl.scala 218:91] + node _T_393 = bits(fifo_done_en, 3, 3) @[dma_ctrl.scala 218:71] + node _T_394 = bits(fifo_done, 3, 3) @[dma_ctrl.scala 218:86] + node _T_395 = or(_T_393, _T_394) @[dma_ctrl.scala 218:75] + node _T_396 = and(_T_395, io.dma_bus_clk_en) @[dma_ctrl.scala 218:91] + node _T_397 = bits(fifo_done_en, 4, 4) @[dma_ctrl.scala 218:71] + node _T_398 = bits(fifo_done, 4, 4) @[dma_ctrl.scala 218:86] + node _T_399 = or(_T_397, _T_398) @[dma_ctrl.scala 218:75] + node _T_400 = and(_T_399, io.dma_bus_clk_en) @[dma_ctrl.scala 218:91] node _T_401 = cat(_T_400, _T_396) @[Cat.scala 29:58] node _T_402 = cat(_T_401, _T_392) @[Cat.scala 29:58] node _T_403 = cat(_T_402, _T_388) @[Cat.scala 29:58] node _T_404 = cat(_T_403, _T_384) @[Cat.scala 29:58] - fifo_done_bus_en <= _T_404 @[dma_ctrl.scala 225:21] - node _T_405 = or(bus_rsp_sent, bus_posted_write_done) @[dma_ctrl.scala 227:74] - node _T_406 = and(_T_405, io.dma_bus_clk_en) @[dma_ctrl.scala 227:99] - node _T_407 = or(_T_406, io.dma_dbg_cmd_done) @[dma_ctrl.scala 227:120] - node _T_408 = eq(UInt<1>("h00"), RspPtr) @[dma_ctrl.scala 227:150] - node _T_409 = and(_T_407, _T_408) @[dma_ctrl.scala 227:143] - node _T_410 = or(bus_rsp_sent, bus_posted_write_done) @[dma_ctrl.scala 227:74] - node _T_411 = and(_T_410, io.dma_bus_clk_en) @[dma_ctrl.scala 227:99] - node _T_412 = or(_T_411, io.dma_dbg_cmd_done) @[dma_ctrl.scala 227:120] - node _T_413 = eq(UInt<1>("h01"), RspPtr) @[dma_ctrl.scala 227:150] - node _T_414 = and(_T_412, _T_413) @[dma_ctrl.scala 227:143] - node _T_415 = or(bus_rsp_sent, bus_posted_write_done) @[dma_ctrl.scala 227:74] - node _T_416 = and(_T_415, io.dma_bus_clk_en) @[dma_ctrl.scala 227:99] - node _T_417 = or(_T_416, io.dma_dbg_cmd_done) @[dma_ctrl.scala 227:120] - node _T_418 = eq(UInt<2>("h02"), RspPtr) @[dma_ctrl.scala 227:150] - node _T_419 = and(_T_417, _T_418) @[dma_ctrl.scala 227:143] - node _T_420 = or(bus_rsp_sent, bus_posted_write_done) @[dma_ctrl.scala 227:74] - node _T_421 = and(_T_420, io.dma_bus_clk_en) @[dma_ctrl.scala 227:99] - node _T_422 = or(_T_421, io.dma_dbg_cmd_done) @[dma_ctrl.scala 227:120] - node _T_423 = eq(UInt<2>("h03"), RspPtr) @[dma_ctrl.scala 227:150] - node _T_424 = and(_T_422, _T_423) @[dma_ctrl.scala 227:143] - node _T_425 = or(bus_rsp_sent, bus_posted_write_done) @[dma_ctrl.scala 227:74] - node _T_426 = and(_T_425, io.dma_bus_clk_en) @[dma_ctrl.scala 227:99] - node _T_427 = or(_T_426, io.dma_dbg_cmd_done) @[dma_ctrl.scala 227:120] - node _T_428 = eq(UInt<3>("h04"), RspPtr) @[dma_ctrl.scala 227:150] - node _T_429 = and(_T_427, _T_428) @[dma_ctrl.scala 227:143] + fifo_done_bus_en <= _T_404 @[dma_ctrl.scala 218:21] + node _T_405 = or(bus_rsp_sent, bus_posted_write_done) @[dma_ctrl.scala 220:74] + node _T_406 = and(_T_405, io.dma_bus_clk_en) @[dma_ctrl.scala 220:99] + node _T_407 = or(_T_406, io.dma_dbg_cmd_done) @[dma_ctrl.scala 220:120] + node _T_408 = eq(UInt<1>("h00"), RspPtr) @[dma_ctrl.scala 220:150] + node _T_409 = and(_T_407, _T_408) @[dma_ctrl.scala 220:143] + node _T_410 = or(bus_rsp_sent, bus_posted_write_done) @[dma_ctrl.scala 220:74] + node _T_411 = and(_T_410, io.dma_bus_clk_en) @[dma_ctrl.scala 220:99] + node _T_412 = or(_T_411, io.dma_dbg_cmd_done) @[dma_ctrl.scala 220:120] + node _T_413 = eq(UInt<1>("h01"), RspPtr) @[dma_ctrl.scala 220:150] + node _T_414 = and(_T_412, _T_413) @[dma_ctrl.scala 220:143] + node _T_415 = or(bus_rsp_sent, bus_posted_write_done) @[dma_ctrl.scala 220:74] + node _T_416 = and(_T_415, io.dma_bus_clk_en) @[dma_ctrl.scala 220:99] + node _T_417 = or(_T_416, io.dma_dbg_cmd_done) @[dma_ctrl.scala 220:120] + node _T_418 = eq(UInt<2>("h02"), RspPtr) @[dma_ctrl.scala 220:150] + node _T_419 = and(_T_417, _T_418) @[dma_ctrl.scala 220:143] + node _T_420 = or(bus_rsp_sent, bus_posted_write_done) @[dma_ctrl.scala 220:74] + node _T_421 = and(_T_420, io.dma_bus_clk_en) @[dma_ctrl.scala 220:99] + node _T_422 = or(_T_421, io.dma_dbg_cmd_done) @[dma_ctrl.scala 220:120] + node _T_423 = eq(UInt<2>("h03"), RspPtr) @[dma_ctrl.scala 220:150] + node _T_424 = and(_T_422, _T_423) @[dma_ctrl.scala 220:143] + node _T_425 = or(bus_rsp_sent, bus_posted_write_done) @[dma_ctrl.scala 220:74] + node _T_426 = and(_T_425, io.dma_bus_clk_en) @[dma_ctrl.scala 220:99] + node _T_427 = or(_T_426, io.dma_dbg_cmd_done) @[dma_ctrl.scala 220:120] + node _T_428 = eq(UInt<3>("h04"), RspPtr) @[dma_ctrl.scala 220:150] + node _T_429 = and(_T_427, _T_428) @[dma_ctrl.scala 220:143] node _T_430 = cat(_T_429, _T_424) @[Cat.scala 29:58] node _T_431 = cat(_T_430, _T_419) @[Cat.scala 29:58] node _T_432 = cat(_T_431, _T_414) @[Cat.scala 29:58] node _T_433 = cat(_T_432, _T_409) @[Cat.scala 29:58] - fifo_reset <= _T_433 @[dma_ctrl.scala 227:21] - node _T_434 = eq(UInt<1>("h00"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 229:108] - node _T_435 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_434) @[dma_ctrl.scala 229:101] + fifo_reset <= _T_433 @[dma_ctrl.scala 220:21] + node _T_434 = eq(UInt<1>("h00"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 222:108] + node _T_435 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_434) @[dma_ctrl.scala 222:101] node _T_436 = cat(UInt<1>("h00"), io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error) @[Cat.scala 29:58] - node _T_437 = eq(UInt<1>("h00"), io.iccm_dma_rtag) @[dma_ctrl.scala 229:236] - node _T_438 = and(io.iccm_dma_rvalid, _T_437) @[dma_ctrl.scala 229:229] + node _T_437 = eq(UInt<1>("h00"), io.iccm_dma_rtag) @[dma_ctrl.scala 222:236] + node _T_438 = and(io.iccm_dma_rvalid, _T_437) @[dma_ctrl.scala 222:229] node _T_439 = cat(UInt<1>("h00"), io.iccm_dma_ecc_error) @[Cat.scala 29:58] - node _T_440 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 229:318] - node _T_441 = or(_T_440, dma_dbg_cmd_error) @[dma_ctrl.scala 229:340] + node _T_440 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 222:318] + node _T_441 = or(_T_440, dma_dbg_cmd_error) @[dma_ctrl.scala 222:340] node _T_442 = cat(_T_441, dma_alignment_error) @[Cat.scala 29:58] - node _T_443 = mux(_T_438, _T_439, _T_442) @[dma_ctrl.scala 229:209] - node _T_444 = mux(_T_435, _T_436, _T_443) @[dma_ctrl.scala 229:60] - fifo_error_in[0] <= _T_444 @[dma_ctrl.scala 229:53] - node _T_445 = eq(UInt<1>("h01"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 229:108] - node _T_446 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_445) @[dma_ctrl.scala 229:101] + node _T_443 = mux(_T_438, _T_439, _T_442) @[dma_ctrl.scala 222:209] + node _T_444 = mux(_T_435, _T_436, _T_443) @[dma_ctrl.scala 222:60] + fifo_error_in[0] <= _T_444 @[dma_ctrl.scala 222:53] + node _T_445 = eq(UInt<1>("h01"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 222:108] + node _T_446 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_445) @[dma_ctrl.scala 222:101] node _T_447 = cat(UInt<1>("h00"), io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error) @[Cat.scala 29:58] - node _T_448 = eq(UInt<1>("h01"), io.iccm_dma_rtag) @[dma_ctrl.scala 229:236] - node _T_449 = and(io.iccm_dma_rvalid, _T_448) @[dma_ctrl.scala 229:229] + node _T_448 = eq(UInt<1>("h01"), io.iccm_dma_rtag) @[dma_ctrl.scala 222:236] + node _T_449 = and(io.iccm_dma_rvalid, _T_448) @[dma_ctrl.scala 222:229] node _T_450 = cat(UInt<1>("h00"), io.iccm_dma_ecc_error) @[Cat.scala 29:58] - node _T_451 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 229:318] - node _T_452 = or(_T_451, dma_dbg_cmd_error) @[dma_ctrl.scala 229:340] + node _T_451 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 222:318] + node _T_452 = or(_T_451, dma_dbg_cmd_error) @[dma_ctrl.scala 222:340] node _T_453 = cat(_T_452, dma_alignment_error) @[Cat.scala 29:58] - node _T_454 = mux(_T_449, _T_450, _T_453) @[dma_ctrl.scala 229:209] - node _T_455 = mux(_T_446, _T_447, _T_454) @[dma_ctrl.scala 229:60] - fifo_error_in[1] <= _T_455 @[dma_ctrl.scala 229:53] - node _T_456 = eq(UInt<2>("h02"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 229:108] - node _T_457 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_456) @[dma_ctrl.scala 229:101] + node _T_454 = mux(_T_449, _T_450, _T_453) @[dma_ctrl.scala 222:209] + node _T_455 = mux(_T_446, _T_447, _T_454) @[dma_ctrl.scala 222:60] + fifo_error_in[1] <= _T_455 @[dma_ctrl.scala 222:53] + node _T_456 = eq(UInt<2>("h02"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 222:108] + node _T_457 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_456) @[dma_ctrl.scala 222:101] node _T_458 = cat(UInt<1>("h00"), io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error) @[Cat.scala 29:58] - node _T_459 = eq(UInt<2>("h02"), io.iccm_dma_rtag) @[dma_ctrl.scala 229:236] - node _T_460 = and(io.iccm_dma_rvalid, _T_459) @[dma_ctrl.scala 229:229] + node _T_459 = eq(UInt<2>("h02"), io.iccm_dma_rtag) @[dma_ctrl.scala 222:236] + node _T_460 = and(io.iccm_dma_rvalid, _T_459) @[dma_ctrl.scala 222:229] node _T_461 = cat(UInt<1>("h00"), io.iccm_dma_ecc_error) @[Cat.scala 29:58] - node _T_462 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 229:318] - node _T_463 = or(_T_462, dma_dbg_cmd_error) @[dma_ctrl.scala 229:340] + node _T_462 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 222:318] + node _T_463 = or(_T_462, dma_dbg_cmd_error) @[dma_ctrl.scala 222:340] node _T_464 = cat(_T_463, dma_alignment_error) @[Cat.scala 29:58] - node _T_465 = mux(_T_460, _T_461, _T_464) @[dma_ctrl.scala 229:209] - node _T_466 = mux(_T_457, _T_458, _T_465) @[dma_ctrl.scala 229:60] - fifo_error_in[2] <= _T_466 @[dma_ctrl.scala 229:53] - node _T_467 = eq(UInt<2>("h03"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 229:108] - node _T_468 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_467) @[dma_ctrl.scala 229:101] + node _T_465 = mux(_T_460, _T_461, _T_464) @[dma_ctrl.scala 222:209] + node _T_466 = mux(_T_457, _T_458, _T_465) @[dma_ctrl.scala 222:60] + fifo_error_in[2] <= _T_466 @[dma_ctrl.scala 222:53] + node _T_467 = eq(UInt<2>("h03"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 222:108] + node _T_468 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_467) @[dma_ctrl.scala 222:101] node _T_469 = cat(UInt<1>("h00"), io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error) @[Cat.scala 29:58] - node _T_470 = eq(UInt<2>("h03"), io.iccm_dma_rtag) @[dma_ctrl.scala 229:236] - node _T_471 = and(io.iccm_dma_rvalid, _T_470) @[dma_ctrl.scala 229:229] + node _T_470 = eq(UInt<2>("h03"), io.iccm_dma_rtag) @[dma_ctrl.scala 222:236] + node _T_471 = and(io.iccm_dma_rvalid, _T_470) @[dma_ctrl.scala 222:229] node _T_472 = cat(UInt<1>("h00"), io.iccm_dma_ecc_error) @[Cat.scala 29:58] - node _T_473 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 229:318] - node _T_474 = or(_T_473, dma_dbg_cmd_error) @[dma_ctrl.scala 229:340] + node _T_473 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 222:318] + node _T_474 = or(_T_473, dma_dbg_cmd_error) @[dma_ctrl.scala 222:340] node _T_475 = cat(_T_474, dma_alignment_error) @[Cat.scala 29:58] - node _T_476 = mux(_T_471, _T_472, _T_475) @[dma_ctrl.scala 229:209] - node _T_477 = mux(_T_468, _T_469, _T_476) @[dma_ctrl.scala 229:60] - fifo_error_in[3] <= _T_477 @[dma_ctrl.scala 229:53] - node _T_478 = eq(UInt<3>("h04"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 229:108] - node _T_479 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_478) @[dma_ctrl.scala 229:101] + node _T_476 = mux(_T_471, _T_472, _T_475) @[dma_ctrl.scala 222:209] + node _T_477 = mux(_T_468, _T_469, _T_476) @[dma_ctrl.scala 222:60] + fifo_error_in[3] <= _T_477 @[dma_ctrl.scala 222:53] + node _T_478 = eq(UInt<3>("h04"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 222:108] + node _T_479 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_478) @[dma_ctrl.scala 222:101] node _T_480 = cat(UInt<1>("h00"), io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error) @[Cat.scala 29:58] - node _T_481 = eq(UInt<3>("h04"), io.iccm_dma_rtag) @[dma_ctrl.scala 229:236] - node _T_482 = and(io.iccm_dma_rvalid, _T_481) @[dma_ctrl.scala 229:229] + node _T_481 = eq(UInt<3>("h04"), io.iccm_dma_rtag) @[dma_ctrl.scala 222:236] + node _T_482 = and(io.iccm_dma_rvalid, _T_481) @[dma_ctrl.scala 222:229] node _T_483 = cat(UInt<1>("h00"), io.iccm_dma_ecc_error) @[Cat.scala 29:58] - node _T_484 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 229:318] - node _T_485 = or(_T_484, dma_dbg_cmd_error) @[dma_ctrl.scala 229:340] + node _T_484 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 222:318] + node _T_485 = or(_T_484, dma_dbg_cmd_error) @[dma_ctrl.scala 222:340] node _T_486 = cat(_T_485, dma_alignment_error) @[Cat.scala 29:58] - node _T_487 = mux(_T_482, _T_483, _T_486) @[dma_ctrl.scala 229:209] - node _T_488 = mux(_T_479, _T_480, _T_487) @[dma_ctrl.scala 229:60] - fifo_error_in[4] <= _T_488 @[dma_ctrl.scala 229:53] - node _T_489 = bits(fifo_error_en, 0, 0) @[dma_ctrl.scala 231:73] - node _T_490 = orr(fifo_error_in[0]) @[dma_ctrl.scala 231:97] - node _T_491 = and(_T_489, _T_490) @[dma_ctrl.scala 231:77] + node _T_487 = mux(_T_482, _T_483, _T_486) @[dma_ctrl.scala 222:209] + node _T_488 = mux(_T_479, _T_480, _T_487) @[dma_ctrl.scala 222:60] + fifo_error_in[4] <= _T_488 @[dma_ctrl.scala 222:53] + node _T_489 = bits(fifo_error_en, 0, 0) @[dma_ctrl.scala 224:73] + node _T_490 = orr(fifo_error_in[0]) @[dma_ctrl.scala 224:97] + node _T_491 = and(_T_489, _T_490) @[dma_ctrl.scala 224:77] node _T_492 = mux(UInt<1>("h00"), UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] node _T_493 = cat(_T_492, fifo_addr[0]) @[Cat.scala 29:58] - node _T_494 = eq(UInt<1>("h00"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 231:188] - node _T_495 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_494) @[dma_ctrl.scala 231:181] - node _T_496 = eq(UInt<1>("h00"), io.iccm_dma_rtag) @[dma_ctrl.scala 231:302] - node _T_497 = and(io.iccm_dma_rvalid, _T_496) @[dma_ctrl.scala 231:295] + node _T_494 = eq(UInt<1>("h00"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 224:188] + node _T_495 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_494) @[dma_ctrl.scala 224:181] + node _T_496 = eq(UInt<1>("h00"), io.iccm_dma_rtag) @[dma_ctrl.scala 224:302] + node _T_497 = and(io.iccm_dma_rvalid, _T_496) @[dma_ctrl.scala 224:295] node _T_498 = cat(io.dbg_dma.dbg_dctl.dbg_cmd_wrdata, io.dbg_dma.dbg_dctl.dbg_cmd_wrdata) @[Cat.scala 29:58] - node _T_499 = bits(bus_cmd_wdata, 63, 0) @[dma_ctrl.scala 231:439] - node _T_500 = mux(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_498, _T_499) @[dma_ctrl.scala 231:347] - node _T_501 = mux(_T_497, io.iccm_dma_rdata, _T_500) @[dma_ctrl.scala 231:275] - node _T_502 = mux(_T_495, io.lsu_dma.dma_dccm_ctl.dccm_dma_rdata, _T_501) @[dma_ctrl.scala 231:140] - node _T_503 = mux(_T_491, _T_493, _T_502) @[dma_ctrl.scala 231:59] - fifo_data_in[0] <= _T_503 @[dma_ctrl.scala 231:52] - node _T_504 = bits(fifo_error_en, 1, 1) @[dma_ctrl.scala 231:73] - node _T_505 = orr(fifo_error_in[1]) @[dma_ctrl.scala 231:97] - node _T_506 = and(_T_504, _T_505) @[dma_ctrl.scala 231:77] + node _T_499 = bits(bus_cmd_wdata, 63, 0) @[dma_ctrl.scala 224:439] + node _T_500 = mux(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_498, _T_499) @[dma_ctrl.scala 224:347] + node _T_501 = mux(_T_497, io.iccm_dma_rdata, _T_500) @[dma_ctrl.scala 224:275] + node _T_502 = mux(_T_495, io.lsu_dma.dma_dccm_ctl.dccm_dma_rdata, _T_501) @[dma_ctrl.scala 224:140] + node _T_503 = mux(_T_491, _T_493, _T_502) @[dma_ctrl.scala 224:59] + fifo_data_in[0] <= _T_503 @[dma_ctrl.scala 224:52] + node _T_504 = bits(fifo_error_en, 1, 1) @[dma_ctrl.scala 224:73] + node _T_505 = orr(fifo_error_in[1]) @[dma_ctrl.scala 224:97] + node _T_506 = and(_T_504, _T_505) @[dma_ctrl.scala 224:77] node _T_507 = mux(UInt<1>("h00"), UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] node _T_508 = cat(_T_507, fifo_addr[1]) @[Cat.scala 29:58] - node _T_509 = eq(UInt<1>("h01"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 231:188] - node _T_510 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_509) @[dma_ctrl.scala 231:181] - node _T_511 = eq(UInt<1>("h01"), io.iccm_dma_rtag) @[dma_ctrl.scala 231:302] - node _T_512 = and(io.iccm_dma_rvalid, _T_511) @[dma_ctrl.scala 231:295] + node _T_509 = eq(UInt<1>("h01"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 224:188] + node _T_510 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_509) @[dma_ctrl.scala 224:181] + node _T_511 = eq(UInt<1>("h01"), io.iccm_dma_rtag) @[dma_ctrl.scala 224:302] + node _T_512 = and(io.iccm_dma_rvalid, _T_511) @[dma_ctrl.scala 224:295] node _T_513 = cat(io.dbg_dma.dbg_dctl.dbg_cmd_wrdata, io.dbg_dma.dbg_dctl.dbg_cmd_wrdata) @[Cat.scala 29:58] - node _T_514 = bits(bus_cmd_wdata, 63, 0) @[dma_ctrl.scala 231:439] - node _T_515 = mux(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_513, _T_514) @[dma_ctrl.scala 231:347] - node _T_516 = mux(_T_512, io.iccm_dma_rdata, _T_515) @[dma_ctrl.scala 231:275] - node _T_517 = mux(_T_510, io.lsu_dma.dma_dccm_ctl.dccm_dma_rdata, _T_516) @[dma_ctrl.scala 231:140] - node _T_518 = mux(_T_506, _T_508, _T_517) @[dma_ctrl.scala 231:59] - fifo_data_in[1] <= _T_518 @[dma_ctrl.scala 231:52] - node _T_519 = bits(fifo_error_en, 2, 2) @[dma_ctrl.scala 231:73] - node _T_520 = orr(fifo_error_in[2]) @[dma_ctrl.scala 231:97] - node _T_521 = and(_T_519, _T_520) @[dma_ctrl.scala 231:77] + node _T_514 = bits(bus_cmd_wdata, 63, 0) @[dma_ctrl.scala 224:439] + node _T_515 = mux(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_513, _T_514) @[dma_ctrl.scala 224:347] + node _T_516 = mux(_T_512, io.iccm_dma_rdata, _T_515) @[dma_ctrl.scala 224:275] + node _T_517 = mux(_T_510, io.lsu_dma.dma_dccm_ctl.dccm_dma_rdata, _T_516) @[dma_ctrl.scala 224:140] + node _T_518 = mux(_T_506, _T_508, _T_517) @[dma_ctrl.scala 224:59] + fifo_data_in[1] <= _T_518 @[dma_ctrl.scala 224:52] + node _T_519 = bits(fifo_error_en, 2, 2) @[dma_ctrl.scala 224:73] + node _T_520 = orr(fifo_error_in[2]) @[dma_ctrl.scala 224:97] + node _T_521 = and(_T_519, _T_520) @[dma_ctrl.scala 224:77] node _T_522 = mux(UInt<1>("h00"), UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] node _T_523 = cat(_T_522, fifo_addr[2]) @[Cat.scala 29:58] - node _T_524 = eq(UInt<2>("h02"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 231:188] - node _T_525 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_524) @[dma_ctrl.scala 231:181] - node _T_526 = eq(UInt<2>("h02"), io.iccm_dma_rtag) @[dma_ctrl.scala 231:302] - node _T_527 = and(io.iccm_dma_rvalid, _T_526) @[dma_ctrl.scala 231:295] + node _T_524 = eq(UInt<2>("h02"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 224:188] + node _T_525 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_524) @[dma_ctrl.scala 224:181] + node _T_526 = eq(UInt<2>("h02"), io.iccm_dma_rtag) @[dma_ctrl.scala 224:302] + node _T_527 = and(io.iccm_dma_rvalid, _T_526) @[dma_ctrl.scala 224:295] node _T_528 = cat(io.dbg_dma.dbg_dctl.dbg_cmd_wrdata, io.dbg_dma.dbg_dctl.dbg_cmd_wrdata) @[Cat.scala 29:58] - node _T_529 = bits(bus_cmd_wdata, 63, 0) @[dma_ctrl.scala 231:439] - node _T_530 = mux(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_528, _T_529) @[dma_ctrl.scala 231:347] - node _T_531 = mux(_T_527, io.iccm_dma_rdata, _T_530) @[dma_ctrl.scala 231:275] - node _T_532 = mux(_T_525, io.lsu_dma.dma_dccm_ctl.dccm_dma_rdata, _T_531) @[dma_ctrl.scala 231:140] - node _T_533 = mux(_T_521, _T_523, _T_532) @[dma_ctrl.scala 231:59] - fifo_data_in[2] <= _T_533 @[dma_ctrl.scala 231:52] - node _T_534 = bits(fifo_error_en, 3, 3) @[dma_ctrl.scala 231:73] - node _T_535 = orr(fifo_error_in[3]) @[dma_ctrl.scala 231:97] - node _T_536 = and(_T_534, _T_535) @[dma_ctrl.scala 231:77] + node _T_529 = bits(bus_cmd_wdata, 63, 0) @[dma_ctrl.scala 224:439] + node _T_530 = mux(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_528, _T_529) @[dma_ctrl.scala 224:347] + node _T_531 = mux(_T_527, io.iccm_dma_rdata, _T_530) @[dma_ctrl.scala 224:275] + node _T_532 = mux(_T_525, io.lsu_dma.dma_dccm_ctl.dccm_dma_rdata, _T_531) @[dma_ctrl.scala 224:140] + node _T_533 = mux(_T_521, _T_523, _T_532) @[dma_ctrl.scala 224:59] + fifo_data_in[2] <= _T_533 @[dma_ctrl.scala 224:52] + node _T_534 = bits(fifo_error_en, 3, 3) @[dma_ctrl.scala 224:73] + node _T_535 = orr(fifo_error_in[3]) @[dma_ctrl.scala 224:97] + node _T_536 = and(_T_534, _T_535) @[dma_ctrl.scala 224:77] node _T_537 = mux(UInt<1>("h00"), UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] node _T_538 = cat(_T_537, fifo_addr[3]) @[Cat.scala 29:58] - node _T_539 = eq(UInt<2>("h03"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 231:188] - node _T_540 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_539) @[dma_ctrl.scala 231:181] - node _T_541 = eq(UInt<2>("h03"), io.iccm_dma_rtag) @[dma_ctrl.scala 231:302] - node _T_542 = and(io.iccm_dma_rvalid, _T_541) @[dma_ctrl.scala 231:295] + node _T_539 = eq(UInt<2>("h03"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 224:188] + node _T_540 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_539) @[dma_ctrl.scala 224:181] + node _T_541 = eq(UInt<2>("h03"), io.iccm_dma_rtag) @[dma_ctrl.scala 224:302] + node _T_542 = and(io.iccm_dma_rvalid, _T_541) @[dma_ctrl.scala 224:295] node _T_543 = cat(io.dbg_dma.dbg_dctl.dbg_cmd_wrdata, io.dbg_dma.dbg_dctl.dbg_cmd_wrdata) @[Cat.scala 29:58] - node _T_544 = bits(bus_cmd_wdata, 63, 0) @[dma_ctrl.scala 231:439] - node _T_545 = mux(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_543, _T_544) @[dma_ctrl.scala 231:347] - node _T_546 = mux(_T_542, io.iccm_dma_rdata, _T_545) @[dma_ctrl.scala 231:275] - node _T_547 = mux(_T_540, io.lsu_dma.dma_dccm_ctl.dccm_dma_rdata, _T_546) @[dma_ctrl.scala 231:140] - node _T_548 = mux(_T_536, _T_538, _T_547) @[dma_ctrl.scala 231:59] - fifo_data_in[3] <= _T_548 @[dma_ctrl.scala 231:52] - node _T_549 = bits(fifo_error_en, 4, 4) @[dma_ctrl.scala 231:73] - node _T_550 = orr(fifo_error_in[4]) @[dma_ctrl.scala 231:97] - node _T_551 = and(_T_549, _T_550) @[dma_ctrl.scala 231:77] + node _T_544 = bits(bus_cmd_wdata, 63, 0) @[dma_ctrl.scala 224:439] + node _T_545 = mux(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_543, _T_544) @[dma_ctrl.scala 224:347] + node _T_546 = mux(_T_542, io.iccm_dma_rdata, _T_545) @[dma_ctrl.scala 224:275] + node _T_547 = mux(_T_540, io.lsu_dma.dma_dccm_ctl.dccm_dma_rdata, _T_546) @[dma_ctrl.scala 224:140] + node _T_548 = mux(_T_536, _T_538, _T_547) @[dma_ctrl.scala 224:59] + fifo_data_in[3] <= _T_548 @[dma_ctrl.scala 224:52] + node _T_549 = bits(fifo_error_en, 4, 4) @[dma_ctrl.scala 224:73] + node _T_550 = orr(fifo_error_in[4]) @[dma_ctrl.scala 224:97] + node _T_551 = and(_T_549, _T_550) @[dma_ctrl.scala 224:77] node _T_552 = mux(UInt<1>("h00"), UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] node _T_553 = cat(_T_552, fifo_addr[4]) @[Cat.scala 29:58] - node _T_554 = eq(UInt<3>("h04"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 231:188] - node _T_555 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_554) @[dma_ctrl.scala 231:181] - node _T_556 = eq(UInt<3>("h04"), io.iccm_dma_rtag) @[dma_ctrl.scala 231:302] - node _T_557 = and(io.iccm_dma_rvalid, _T_556) @[dma_ctrl.scala 231:295] + node _T_554 = eq(UInt<3>("h04"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 224:188] + node _T_555 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_554) @[dma_ctrl.scala 224:181] + node _T_556 = eq(UInt<3>("h04"), io.iccm_dma_rtag) @[dma_ctrl.scala 224:302] + node _T_557 = and(io.iccm_dma_rvalid, _T_556) @[dma_ctrl.scala 224:295] node _T_558 = cat(io.dbg_dma.dbg_dctl.dbg_cmd_wrdata, io.dbg_dma.dbg_dctl.dbg_cmd_wrdata) @[Cat.scala 29:58] - node _T_559 = bits(bus_cmd_wdata, 63, 0) @[dma_ctrl.scala 231:439] - node _T_560 = mux(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_558, _T_559) @[dma_ctrl.scala 231:347] - node _T_561 = mux(_T_557, io.iccm_dma_rdata, _T_560) @[dma_ctrl.scala 231:275] - node _T_562 = mux(_T_555, io.lsu_dma.dma_dccm_ctl.dccm_dma_rdata, _T_561) @[dma_ctrl.scala 231:140] - node _T_563 = mux(_T_551, _T_553, _T_562) @[dma_ctrl.scala 231:59] - fifo_data_in[4] <= _T_563 @[dma_ctrl.scala 231:52] - node _T_564 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 233:98] - node _T_565 = bits(fifo_valid, 0, 0) @[dma_ctrl.scala 233:118] - node _T_566 = mux(_T_564, UInt<1>("h01"), _T_565) @[dma_ctrl.scala 233:86] - node _T_567 = bits(fifo_reset, 0, 0) @[dma_ctrl.scala 233:136] - node _T_568 = eq(_T_567, UInt<1>("h00")) @[dma_ctrl.scala 233:125] - node _T_569 = and(_T_566, _T_568) @[dma_ctrl.scala 233:123] - reg _T_570 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 233:82] - _T_570 <= _T_569 @[dma_ctrl.scala 233:82] - node _T_571 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 233:98] - node _T_572 = bits(fifo_valid, 1, 1) @[dma_ctrl.scala 233:118] - node _T_573 = mux(_T_571, UInt<1>("h01"), _T_572) @[dma_ctrl.scala 233:86] - node _T_574 = bits(fifo_reset, 1, 1) @[dma_ctrl.scala 233:136] - node _T_575 = eq(_T_574, UInt<1>("h00")) @[dma_ctrl.scala 233:125] - node _T_576 = and(_T_573, _T_575) @[dma_ctrl.scala 233:123] - reg _T_577 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 233:82] - _T_577 <= _T_576 @[dma_ctrl.scala 233:82] - node _T_578 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 233:98] - node _T_579 = bits(fifo_valid, 2, 2) @[dma_ctrl.scala 233:118] - node _T_580 = mux(_T_578, UInt<1>("h01"), _T_579) @[dma_ctrl.scala 233:86] - node _T_581 = bits(fifo_reset, 2, 2) @[dma_ctrl.scala 233:136] - node _T_582 = eq(_T_581, UInt<1>("h00")) @[dma_ctrl.scala 233:125] - node _T_583 = and(_T_580, _T_582) @[dma_ctrl.scala 233:123] - reg _T_584 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 233:82] - _T_584 <= _T_583 @[dma_ctrl.scala 233:82] - node _T_585 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 233:98] - node _T_586 = bits(fifo_valid, 3, 3) @[dma_ctrl.scala 233:118] - node _T_587 = mux(_T_585, UInt<1>("h01"), _T_586) @[dma_ctrl.scala 233:86] - node _T_588 = bits(fifo_reset, 3, 3) @[dma_ctrl.scala 233:136] - node _T_589 = eq(_T_588, UInt<1>("h00")) @[dma_ctrl.scala 233:125] - node _T_590 = and(_T_587, _T_589) @[dma_ctrl.scala 233:123] - reg _T_591 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 233:82] - _T_591 <= _T_590 @[dma_ctrl.scala 233:82] - node _T_592 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 233:98] - node _T_593 = bits(fifo_valid, 4, 4) @[dma_ctrl.scala 233:118] - node _T_594 = mux(_T_592, UInt<1>("h01"), _T_593) @[dma_ctrl.scala 233:86] - node _T_595 = bits(fifo_reset, 4, 4) @[dma_ctrl.scala 233:136] - node _T_596 = eq(_T_595, UInt<1>("h00")) @[dma_ctrl.scala 233:125] - node _T_597 = and(_T_594, _T_596) @[dma_ctrl.scala 233:123] - reg _T_598 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 233:82] - _T_598 <= _T_597 @[dma_ctrl.scala 233:82] + node _T_559 = bits(bus_cmd_wdata, 63, 0) @[dma_ctrl.scala 224:439] + node _T_560 = mux(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_558, _T_559) @[dma_ctrl.scala 224:347] + node _T_561 = mux(_T_557, io.iccm_dma_rdata, _T_560) @[dma_ctrl.scala 224:275] + node _T_562 = mux(_T_555, io.lsu_dma.dma_dccm_ctl.dccm_dma_rdata, _T_561) @[dma_ctrl.scala 224:140] + node _T_563 = mux(_T_551, _T_553, _T_562) @[dma_ctrl.scala 224:59] + fifo_data_in[4] <= _T_563 @[dma_ctrl.scala 224:52] + node _T_564 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 226:98] + node _T_565 = bits(fifo_valid, 0, 0) @[dma_ctrl.scala 226:118] + node _T_566 = mux(_T_564, UInt<1>("h01"), _T_565) @[dma_ctrl.scala 226:86] + node _T_567 = bits(fifo_reset, 0, 0) @[dma_ctrl.scala 226:136] + node _T_568 = eq(_T_567, UInt<1>("h00")) @[dma_ctrl.scala 226:125] + node _T_569 = and(_T_566, _T_568) @[dma_ctrl.scala 226:123] + reg _T_570 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 226:82] + _T_570 <= _T_569 @[dma_ctrl.scala 226:82] + node _T_571 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 226:98] + node _T_572 = bits(fifo_valid, 1, 1) @[dma_ctrl.scala 226:118] + node _T_573 = mux(_T_571, UInt<1>("h01"), _T_572) @[dma_ctrl.scala 226:86] + node _T_574 = bits(fifo_reset, 1, 1) @[dma_ctrl.scala 226:136] + node _T_575 = eq(_T_574, UInt<1>("h00")) @[dma_ctrl.scala 226:125] + node _T_576 = and(_T_573, _T_575) @[dma_ctrl.scala 226:123] + reg _T_577 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 226:82] + _T_577 <= _T_576 @[dma_ctrl.scala 226:82] + node _T_578 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 226:98] + node _T_579 = bits(fifo_valid, 2, 2) @[dma_ctrl.scala 226:118] + node _T_580 = mux(_T_578, UInt<1>("h01"), _T_579) @[dma_ctrl.scala 226:86] + node _T_581 = bits(fifo_reset, 2, 2) @[dma_ctrl.scala 226:136] + node _T_582 = eq(_T_581, UInt<1>("h00")) @[dma_ctrl.scala 226:125] + node _T_583 = and(_T_580, _T_582) @[dma_ctrl.scala 226:123] + reg _T_584 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 226:82] + _T_584 <= _T_583 @[dma_ctrl.scala 226:82] + node _T_585 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 226:98] + node _T_586 = bits(fifo_valid, 3, 3) @[dma_ctrl.scala 226:118] + node _T_587 = mux(_T_585, UInt<1>("h01"), _T_586) @[dma_ctrl.scala 226:86] + node _T_588 = bits(fifo_reset, 3, 3) @[dma_ctrl.scala 226:136] + node _T_589 = eq(_T_588, UInt<1>("h00")) @[dma_ctrl.scala 226:125] + node _T_590 = and(_T_587, _T_589) @[dma_ctrl.scala 226:123] + reg _T_591 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 226:82] + _T_591 <= _T_590 @[dma_ctrl.scala 226:82] + node _T_592 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 226:98] + node _T_593 = bits(fifo_valid, 4, 4) @[dma_ctrl.scala 226:118] + node _T_594 = mux(_T_592, UInt<1>("h01"), _T_593) @[dma_ctrl.scala 226:86] + node _T_595 = bits(fifo_reset, 4, 4) @[dma_ctrl.scala 226:136] + node _T_596 = eq(_T_595, UInt<1>("h00")) @[dma_ctrl.scala 226:125] + node _T_597 = and(_T_594, _T_596) @[dma_ctrl.scala 226:123] + reg _T_598 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 226:82] + _T_598 <= _T_597 @[dma_ctrl.scala 226:82] node _T_599 = cat(_T_598, _T_591) @[Cat.scala 29:58] node _T_600 = cat(_T_599, _T_584) @[Cat.scala 29:58] node _T_601 = cat(_T_600, _T_577) @[Cat.scala 29:58] node _T_602 = cat(_T_601, _T_570) @[Cat.scala 29:58] - fifo_valid <= _T_602 @[dma_ctrl.scala 233:14] - node _T_603 = bits(fifo_error_en, 0, 0) @[dma_ctrl.scala 235:103] - node _T_604 = bits(_T_603, 0, 0) @[dma_ctrl.scala 235:113] - node _T_605 = mux(_T_604, fifo_error_in[0], fifo_error[0]) @[dma_ctrl.scala 235:89] - node _T_606 = bits(fifo_reset, 0, 0) @[dma_ctrl.scala 235:196] - node _T_607 = eq(_T_606, UInt<1>("h00")) @[dma_ctrl.scala 235:185] + fifo_valid <= _T_602 @[dma_ctrl.scala 226:14] + node _T_603 = bits(fifo_error_en, 0, 0) @[dma_ctrl.scala 228:103] + node _T_604 = bits(_T_603, 0, 0) @[dma_ctrl.scala 228:113] + node _T_605 = mux(_T_604, fifo_error_in[0], fifo_error[0]) @[dma_ctrl.scala 228:89] + node _T_606 = bits(fifo_reset, 0, 0) @[dma_ctrl.scala 228:196] + node _T_607 = eq(_T_606, UInt<1>("h00")) @[dma_ctrl.scala 228:185] node _T_608 = bits(_T_607, 0, 0) @[Bitwise.scala 72:15] node _T_609 = mux(_T_608, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_610 = and(_T_605, _T_609) @[dma_ctrl.scala 235:150] - reg _T_611 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 235:85] - _T_611 <= _T_610 @[dma_ctrl.scala 235:85] - fifo_error[0] <= _T_611 @[dma_ctrl.scala 235:50] - node _T_612 = bits(fifo_error_en, 1, 1) @[dma_ctrl.scala 235:103] - node _T_613 = bits(_T_612, 0, 0) @[dma_ctrl.scala 235:113] - node _T_614 = mux(_T_613, fifo_error_in[1], fifo_error[1]) @[dma_ctrl.scala 235:89] - node _T_615 = bits(fifo_reset, 1, 1) @[dma_ctrl.scala 235:196] - node _T_616 = eq(_T_615, UInt<1>("h00")) @[dma_ctrl.scala 235:185] + node _T_610 = and(_T_605, _T_609) @[dma_ctrl.scala 228:150] + reg _T_611 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 228:85] + _T_611 <= _T_610 @[dma_ctrl.scala 228:85] + fifo_error[0] <= _T_611 @[dma_ctrl.scala 228:50] + node _T_612 = bits(fifo_error_en, 1, 1) @[dma_ctrl.scala 228:103] + node _T_613 = bits(_T_612, 0, 0) @[dma_ctrl.scala 228:113] + node _T_614 = mux(_T_613, fifo_error_in[1], fifo_error[1]) @[dma_ctrl.scala 228:89] + node _T_615 = bits(fifo_reset, 1, 1) @[dma_ctrl.scala 228:196] + node _T_616 = eq(_T_615, UInt<1>("h00")) @[dma_ctrl.scala 228:185] node _T_617 = bits(_T_616, 0, 0) @[Bitwise.scala 72:15] node _T_618 = mux(_T_617, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_619 = and(_T_614, _T_618) @[dma_ctrl.scala 235:150] - reg _T_620 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 235:85] - _T_620 <= _T_619 @[dma_ctrl.scala 235:85] - fifo_error[1] <= _T_620 @[dma_ctrl.scala 235:50] - node _T_621 = bits(fifo_error_en, 2, 2) @[dma_ctrl.scala 235:103] - node _T_622 = bits(_T_621, 0, 0) @[dma_ctrl.scala 235:113] - node _T_623 = mux(_T_622, fifo_error_in[2], fifo_error[2]) @[dma_ctrl.scala 235:89] - node _T_624 = bits(fifo_reset, 2, 2) @[dma_ctrl.scala 235:196] - node _T_625 = eq(_T_624, UInt<1>("h00")) @[dma_ctrl.scala 235:185] + node _T_619 = and(_T_614, _T_618) @[dma_ctrl.scala 228:150] + reg _T_620 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 228:85] + _T_620 <= _T_619 @[dma_ctrl.scala 228:85] + fifo_error[1] <= _T_620 @[dma_ctrl.scala 228:50] + node _T_621 = bits(fifo_error_en, 2, 2) @[dma_ctrl.scala 228:103] + node _T_622 = bits(_T_621, 0, 0) @[dma_ctrl.scala 228:113] + node _T_623 = mux(_T_622, fifo_error_in[2], fifo_error[2]) @[dma_ctrl.scala 228:89] + node _T_624 = bits(fifo_reset, 2, 2) @[dma_ctrl.scala 228:196] + node _T_625 = eq(_T_624, UInt<1>("h00")) @[dma_ctrl.scala 228:185] node _T_626 = bits(_T_625, 0, 0) @[Bitwise.scala 72:15] node _T_627 = mux(_T_626, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_628 = and(_T_623, _T_627) @[dma_ctrl.scala 235:150] - reg _T_629 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 235:85] - _T_629 <= _T_628 @[dma_ctrl.scala 235:85] - fifo_error[2] <= _T_629 @[dma_ctrl.scala 235:50] - node _T_630 = bits(fifo_error_en, 3, 3) @[dma_ctrl.scala 235:103] - node _T_631 = bits(_T_630, 0, 0) @[dma_ctrl.scala 235:113] - node _T_632 = mux(_T_631, fifo_error_in[3], fifo_error[3]) @[dma_ctrl.scala 235:89] - node _T_633 = bits(fifo_reset, 3, 3) @[dma_ctrl.scala 235:196] - node _T_634 = eq(_T_633, UInt<1>("h00")) @[dma_ctrl.scala 235:185] + node _T_628 = and(_T_623, _T_627) @[dma_ctrl.scala 228:150] + reg _T_629 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 228:85] + _T_629 <= _T_628 @[dma_ctrl.scala 228:85] + fifo_error[2] <= _T_629 @[dma_ctrl.scala 228:50] + node _T_630 = bits(fifo_error_en, 3, 3) @[dma_ctrl.scala 228:103] + node _T_631 = bits(_T_630, 0, 0) @[dma_ctrl.scala 228:113] + node _T_632 = mux(_T_631, fifo_error_in[3], fifo_error[3]) @[dma_ctrl.scala 228:89] + node _T_633 = bits(fifo_reset, 3, 3) @[dma_ctrl.scala 228:196] + node _T_634 = eq(_T_633, UInt<1>("h00")) @[dma_ctrl.scala 228:185] node _T_635 = bits(_T_634, 0, 0) @[Bitwise.scala 72:15] node _T_636 = mux(_T_635, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_637 = and(_T_632, _T_636) @[dma_ctrl.scala 235:150] - reg _T_638 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 235:85] - _T_638 <= _T_637 @[dma_ctrl.scala 235:85] - fifo_error[3] <= _T_638 @[dma_ctrl.scala 235:50] - node _T_639 = bits(fifo_error_en, 4, 4) @[dma_ctrl.scala 235:103] - node _T_640 = bits(_T_639, 0, 0) @[dma_ctrl.scala 235:113] - node _T_641 = mux(_T_640, fifo_error_in[4], fifo_error[4]) @[dma_ctrl.scala 235:89] - node _T_642 = bits(fifo_reset, 4, 4) @[dma_ctrl.scala 235:196] - node _T_643 = eq(_T_642, UInt<1>("h00")) @[dma_ctrl.scala 235:185] + node _T_637 = and(_T_632, _T_636) @[dma_ctrl.scala 228:150] + reg _T_638 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 228:85] + _T_638 <= _T_637 @[dma_ctrl.scala 228:85] + fifo_error[3] <= _T_638 @[dma_ctrl.scala 228:50] + node _T_639 = bits(fifo_error_en, 4, 4) @[dma_ctrl.scala 228:103] + node _T_640 = bits(_T_639, 0, 0) @[dma_ctrl.scala 228:113] + node _T_641 = mux(_T_640, fifo_error_in[4], fifo_error[4]) @[dma_ctrl.scala 228:89] + node _T_642 = bits(fifo_reset, 4, 4) @[dma_ctrl.scala 228:196] + node _T_643 = eq(_T_642, UInt<1>("h00")) @[dma_ctrl.scala 228:185] node _T_644 = bits(_T_643, 0, 0) @[Bitwise.scala 72:15] node _T_645 = mux(_T_644, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_646 = and(_T_641, _T_645) @[dma_ctrl.scala 235:150] - reg _T_647 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 235:85] - _T_647 <= _T_646 @[dma_ctrl.scala 235:85] - fifo_error[4] <= _T_647 @[dma_ctrl.scala 235:50] - node _T_648 = bits(fifo_error_bus_en, 0, 0) @[dma_ctrl.scala 237:111] - node _T_649 = bits(fifo_error_bus, 0, 0) @[dma_ctrl.scala 237:135] - node _T_650 = mux(_T_648, UInt<1>("h01"), _T_649) @[dma_ctrl.scala 237:93] - node _T_651 = bits(fifo_reset, 0, 0) @[dma_ctrl.scala 237:153] - node _T_652 = eq(_T_651, UInt<1>("h00")) @[dma_ctrl.scala 237:142] - node _T_653 = and(_T_650, _T_652) @[dma_ctrl.scala 237:140] - reg _T_654 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 237:89] - _T_654 <= _T_653 @[dma_ctrl.scala 237:89] - node _T_655 = bits(fifo_error_bus_en, 1, 1) @[dma_ctrl.scala 237:111] - node _T_656 = bits(fifo_error_bus, 1, 1) @[dma_ctrl.scala 237:135] - node _T_657 = mux(_T_655, UInt<1>("h01"), _T_656) @[dma_ctrl.scala 237:93] - node _T_658 = bits(fifo_reset, 1, 1) @[dma_ctrl.scala 237:153] - node _T_659 = eq(_T_658, UInt<1>("h00")) @[dma_ctrl.scala 237:142] - node _T_660 = and(_T_657, _T_659) @[dma_ctrl.scala 237:140] - reg _T_661 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 237:89] - _T_661 <= _T_660 @[dma_ctrl.scala 237:89] - node _T_662 = bits(fifo_error_bus_en, 2, 2) @[dma_ctrl.scala 237:111] - node _T_663 = bits(fifo_error_bus, 2, 2) @[dma_ctrl.scala 237:135] - node _T_664 = mux(_T_662, UInt<1>("h01"), _T_663) @[dma_ctrl.scala 237:93] - node _T_665 = bits(fifo_reset, 2, 2) @[dma_ctrl.scala 237:153] - node _T_666 = eq(_T_665, UInt<1>("h00")) @[dma_ctrl.scala 237:142] - node _T_667 = and(_T_664, _T_666) @[dma_ctrl.scala 237:140] - reg _T_668 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 237:89] - _T_668 <= _T_667 @[dma_ctrl.scala 237:89] - node _T_669 = bits(fifo_error_bus_en, 3, 3) @[dma_ctrl.scala 237:111] - node _T_670 = bits(fifo_error_bus, 3, 3) @[dma_ctrl.scala 237:135] - node _T_671 = mux(_T_669, UInt<1>("h01"), _T_670) @[dma_ctrl.scala 237:93] - node _T_672 = bits(fifo_reset, 3, 3) @[dma_ctrl.scala 237:153] - node _T_673 = eq(_T_672, UInt<1>("h00")) @[dma_ctrl.scala 237:142] - node _T_674 = and(_T_671, _T_673) @[dma_ctrl.scala 237:140] - reg _T_675 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 237:89] - _T_675 <= _T_674 @[dma_ctrl.scala 237:89] - node _T_676 = bits(fifo_error_bus_en, 4, 4) @[dma_ctrl.scala 237:111] - node _T_677 = bits(fifo_error_bus, 4, 4) @[dma_ctrl.scala 237:135] - node _T_678 = mux(_T_676, UInt<1>("h01"), _T_677) @[dma_ctrl.scala 237:93] - node _T_679 = bits(fifo_reset, 4, 4) @[dma_ctrl.scala 237:153] - node _T_680 = eq(_T_679, UInt<1>("h00")) @[dma_ctrl.scala 237:142] - node _T_681 = and(_T_678, _T_680) @[dma_ctrl.scala 237:140] - reg _T_682 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 237:89] - _T_682 <= _T_681 @[dma_ctrl.scala 237:89] + node _T_646 = and(_T_641, _T_645) @[dma_ctrl.scala 228:150] + reg _T_647 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 228:85] + _T_647 <= _T_646 @[dma_ctrl.scala 228:85] + fifo_error[4] <= _T_647 @[dma_ctrl.scala 228:50] + node _T_648 = bits(fifo_error_bus_en, 0, 0) @[dma_ctrl.scala 230:111] + node _T_649 = bits(fifo_error_bus, 0, 0) @[dma_ctrl.scala 230:135] + node _T_650 = mux(_T_648, UInt<1>("h01"), _T_649) @[dma_ctrl.scala 230:93] + node _T_651 = bits(fifo_reset, 0, 0) @[dma_ctrl.scala 230:153] + node _T_652 = eq(_T_651, UInt<1>("h00")) @[dma_ctrl.scala 230:142] + node _T_653 = and(_T_650, _T_652) @[dma_ctrl.scala 230:140] + reg _T_654 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 230:89] + _T_654 <= _T_653 @[dma_ctrl.scala 230:89] + node _T_655 = bits(fifo_error_bus_en, 1, 1) @[dma_ctrl.scala 230:111] + node _T_656 = bits(fifo_error_bus, 1, 1) @[dma_ctrl.scala 230:135] + node _T_657 = mux(_T_655, UInt<1>("h01"), _T_656) @[dma_ctrl.scala 230:93] + node _T_658 = bits(fifo_reset, 1, 1) @[dma_ctrl.scala 230:153] + node _T_659 = eq(_T_658, UInt<1>("h00")) @[dma_ctrl.scala 230:142] + node _T_660 = and(_T_657, _T_659) @[dma_ctrl.scala 230:140] + reg _T_661 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 230:89] + _T_661 <= _T_660 @[dma_ctrl.scala 230:89] + node _T_662 = bits(fifo_error_bus_en, 2, 2) @[dma_ctrl.scala 230:111] + node _T_663 = bits(fifo_error_bus, 2, 2) @[dma_ctrl.scala 230:135] + node _T_664 = mux(_T_662, UInt<1>("h01"), _T_663) @[dma_ctrl.scala 230:93] + node _T_665 = bits(fifo_reset, 2, 2) @[dma_ctrl.scala 230:153] + node _T_666 = eq(_T_665, UInt<1>("h00")) @[dma_ctrl.scala 230:142] + node _T_667 = and(_T_664, _T_666) @[dma_ctrl.scala 230:140] + reg _T_668 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 230:89] + _T_668 <= _T_667 @[dma_ctrl.scala 230:89] + node _T_669 = bits(fifo_error_bus_en, 3, 3) @[dma_ctrl.scala 230:111] + node _T_670 = bits(fifo_error_bus, 3, 3) @[dma_ctrl.scala 230:135] + node _T_671 = mux(_T_669, UInt<1>("h01"), _T_670) @[dma_ctrl.scala 230:93] + node _T_672 = bits(fifo_reset, 3, 3) @[dma_ctrl.scala 230:153] + node _T_673 = eq(_T_672, UInt<1>("h00")) @[dma_ctrl.scala 230:142] + node _T_674 = and(_T_671, _T_673) @[dma_ctrl.scala 230:140] + reg _T_675 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 230:89] + _T_675 <= _T_674 @[dma_ctrl.scala 230:89] + node _T_676 = bits(fifo_error_bus_en, 4, 4) @[dma_ctrl.scala 230:111] + node _T_677 = bits(fifo_error_bus, 4, 4) @[dma_ctrl.scala 230:135] + node _T_678 = mux(_T_676, UInt<1>("h01"), _T_677) @[dma_ctrl.scala 230:93] + node _T_679 = bits(fifo_reset, 4, 4) @[dma_ctrl.scala 230:153] + node _T_680 = eq(_T_679, UInt<1>("h00")) @[dma_ctrl.scala 230:142] + node _T_681 = and(_T_678, _T_680) @[dma_ctrl.scala 230:140] + reg _T_682 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 230:89] + _T_682 <= _T_681 @[dma_ctrl.scala 230:89] node _T_683 = cat(_T_682, _T_675) @[Cat.scala 29:58] node _T_684 = cat(_T_683, _T_668) @[Cat.scala 29:58] node _T_685 = cat(_T_684, _T_661) @[Cat.scala 29:58] node _T_686 = cat(_T_685, _T_654) @[Cat.scala 29:58] - fifo_error_bus <= _T_686 @[dma_ctrl.scala 237:21] - node _T_687 = bits(fifo_pend_en, 0, 0) @[dma_ctrl.scala 239:106] - node _T_688 = bits(fifo_rpend, 0, 0) @[dma_ctrl.scala 239:126] - node _T_689 = mux(_T_687, UInt<1>("h01"), _T_688) @[dma_ctrl.scala 239:93] - node _T_690 = bits(fifo_reset, 0, 0) @[dma_ctrl.scala 239:144] - node _T_691 = eq(_T_690, UInt<1>("h00")) @[dma_ctrl.scala 239:133] - node _T_692 = and(_T_689, _T_691) @[dma_ctrl.scala 239:131] - reg _T_693 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 239:89] - _T_693 <= _T_692 @[dma_ctrl.scala 239:89] - node _T_694 = bits(fifo_pend_en, 1, 1) @[dma_ctrl.scala 239:106] - node _T_695 = bits(fifo_rpend, 1, 1) @[dma_ctrl.scala 239:126] - node _T_696 = mux(_T_694, UInt<1>("h01"), _T_695) @[dma_ctrl.scala 239:93] - node _T_697 = bits(fifo_reset, 1, 1) @[dma_ctrl.scala 239:144] - node _T_698 = eq(_T_697, UInt<1>("h00")) @[dma_ctrl.scala 239:133] - node _T_699 = and(_T_696, _T_698) @[dma_ctrl.scala 239:131] - reg _T_700 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 239:89] - _T_700 <= _T_699 @[dma_ctrl.scala 239:89] - node _T_701 = bits(fifo_pend_en, 2, 2) @[dma_ctrl.scala 239:106] - node _T_702 = bits(fifo_rpend, 2, 2) @[dma_ctrl.scala 239:126] - node _T_703 = mux(_T_701, UInt<1>("h01"), _T_702) @[dma_ctrl.scala 239:93] - node _T_704 = bits(fifo_reset, 2, 2) @[dma_ctrl.scala 239:144] - node _T_705 = eq(_T_704, UInt<1>("h00")) @[dma_ctrl.scala 239:133] - node _T_706 = and(_T_703, _T_705) @[dma_ctrl.scala 239:131] - reg _T_707 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 239:89] - _T_707 <= _T_706 @[dma_ctrl.scala 239:89] - node _T_708 = bits(fifo_pend_en, 3, 3) @[dma_ctrl.scala 239:106] - node _T_709 = bits(fifo_rpend, 3, 3) @[dma_ctrl.scala 239:126] - node _T_710 = mux(_T_708, UInt<1>("h01"), _T_709) @[dma_ctrl.scala 239:93] - node _T_711 = bits(fifo_reset, 3, 3) @[dma_ctrl.scala 239:144] - node _T_712 = eq(_T_711, UInt<1>("h00")) @[dma_ctrl.scala 239:133] - node _T_713 = and(_T_710, _T_712) @[dma_ctrl.scala 239:131] - reg _T_714 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 239:89] - _T_714 <= _T_713 @[dma_ctrl.scala 239:89] - node _T_715 = bits(fifo_pend_en, 4, 4) @[dma_ctrl.scala 239:106] - node _T_716 = bits(fifo_rpend, 4, 4) @[dma_ctrl.scala 239:126] - node _T_717 = mux(_T_715, UInt<1>("h01"), _T_716) @[dma_ctrl.scala 239:93] - node _T_718 = bits(fifo_reset, 4, 4) @[dma_ctrl.scala 239:144] - node _T_719 = eq(_T_718, UInt<1>("h00")) @[dma_ctrl.scala 239:133] - node _T_720 = and(_T_717, _T_719) @[dma_ctrl.scala 239:131] - reg _T_721 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 239:89] - _T_721 <= _T_720 @[dma_ctrl.scala 239:89] + fifo_error_bus <= _T_686 @[dma_ctrl.scala 230:21] + node _T_687 = bits(fifo_pend_en, 0, 0) @[dma_ctrl.scala 232:106] + node _T_688 = bits(fifo_rpend, 0, 0) @[dma_ctrl.scala 232:126] + node _T_689 = mux(_T_687, UInt<1>("h01"), _T_688) @[dma_ctrl.scala 232:93] + node _T_690 = bits(fifo_reset, 0, 0) @[dma_ctrl.scala 232:144] + node _T_691 = eq(_T_690, UInt<1>("h00")) @[dma_ctrl.scala 232:133] + node _T_692 = and(_T_689, _T_691) @[dma_ctrl.scala 232:131] + reg _T_693 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 232:89] + _T_693 <= _T_692 @[dma_ctrl.scala 232:89] + node _T_694 = bits(fifo_pend_en, 1, 1) @[dma_ctrl.scala 232:106] + node _T_695 = bits(fifo_rpend, 1, 1) @[dma_ctrl.scala 232:126] + node _T_696 = mux(_T_694, UInt<1>("h01"), _T_695) @[dma_ctrl.scala 232:93] + node _T_697 = bits(fifo_reset, 1, 1) @[dma_ctrl.scala 232:144] + node _T_698 = eq(_T_697, UInt<1>("h00")) @[dma_ctrl.scala 232:133] + node _T_699 = and(_T_696, _T_698) @[dma_ctrl.scala 232:131] + reg _T_700 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 232:89] + _T_700 <= _T_699 @[dma_ctrl.scala 232:89] + node _T_701 = bits(fifo_pend_en, 2, 2) @[dma_ctrl.scala 232:106] + node _T_702 = bits(fifo_rpend, 2, 2) @[dma_ctrl.scala 232:126] + node _T_703 = mux(_T_701, UInt<1>("h01"), _T_702) @[dma_ctrl.scala 232:93] + node _T_704 = bits(fifo_reset, 2, 2) @[dma_ctrl.scala 232:144] + node _T_705 = eq(_T_704, UInt<1>("h00")) @[dma_ctrl.scala 232:133] + node _T_706 = and(_T_703, _T_705) @[dma_ctrl.scala 232:131] + reg _T_707 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 232:89] + _T_707 <= _T_706 @[dma_ctrl.scala 232:89] + node _T_708 = bits(fifo_pend_en, 3, 3) @[dma_ctrl.scala 232:106] + node _T_709 = bits(fifo_rpend, 3, 3) @[dma_ctrl.scala 232:126] + node _T_710 = mux(_T_708, UInt<1>("h01"), _T_709) @[dma_ctrl.scala 232:93] + node _T_711 = bits(fifo_reset, 3, 3) @[dma_ctrl.scala 232:144] + node _T_712 = eq(_T_711, UInt<1>("h00")) @[dma_ctrl.scala 232:133] + node _T_713 = and(_T_710, _T_712) @[dma_ctrl.scala 232:131] + reg _T_714 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 232:89] + _T_714 <= _T_713 @[dma_ctrl.scala 232:89] + node _T_715 = bits(fifo_pend_en, 4, 4) @[dma_ctrl.scala 232:106] + node _T_716 = bits(fifo_rpend, 4, 4) @[dma_ctrl.scala 232:126] + node _T_717 = mux(_T_715, UInt<1>("h01"), _T_716) @[dma_ctrl.scala 232:93] + node _T_718 = bits(fifo_reset, 4, 4) @[dma_ctrl.scala 232:144] + node _T_719 = eq(_T_718, UInt<1>("h00")) @[dma_ctrl.scala 232:133] + node _T_720 = and(_T_717, _T_719) @[dma_ctrl.scala 232:131] + reg _T_721 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 232:89] + _T_721 <= _T_720 @[dma_ctrl.scala 232:89] node _T_722 = cat(_T_721, _T_714) @[Cat.scala 29:58] node _T_723 = cat(_T_722, _T_707) @[Cat.scala 29:58] node _T_724 = cat(_T_723, _T_700) @[Cat.scala 29:58] node _T_725 = cat(_T_724, _T_693) @[Cat.scala 29:58] - fifo_rpend <= _T_725 @[dma_ctrl.scala 239:21] - node _T_726 = bits(fifo_done_en, 0, 0) @[dma_ctrl.scala 241:106] - node _T_727 = bits(fifo_done, 0, 0) @[dma_ctrl.scala 241:125] - node _T_728 = mux(_T_726, UInt<1>("h01"), _T_727) @[dma_ctrl.scala 241:93] - node _T_729 = bits(fifo_reset, 0, 0) @[dma_ctrl.scala 241:143] - node _T_730 = eq(_T_729, UInt<1>("h00")) @[dma_ctrl.scala 241:132] - node _T_731 = and(_T_728, _T_730) @[dma_ctrl.scala 241:130] - reg _T_732 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 241:89] - _T_732 <= _T_731 @[dma_ctrl.scala 241:89] - node _T_733 = bits(fifo_done_en, 1, 1) @[dma_ctrl.scala 241:106] - node _T_734 = bits(fifo_done, 1, 1) @[dma_ctrl.scala 241:125] - node _T_735 = mux(_T_733, UInt<1>("h01"), _T_734) @[dma_ctrl.scala 241:93] - node _T_736 = bits(fifo_reset, 1, 1) @[dma_ctrl.scala 241:143] - node _T_737 = eq(_T_736, UInt<1>("h00")) @[dma_ctrl.scala 241:132] - node _T_738 = and(_T_735, _T_737) @[dma_ctrl.scala 241:130] - reg _T_739 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 241:89] - _T_739 <= _T_738 @[dma_ctrl.scala 241:89] - node _T_740 = bits(fifo_done_en, 2, 2) @[dma_ctrl.scala 241:106] - node _T_741 = bits(fifo_done, 2, 2) @[dma_ctrl.scala 241:125] - node _T_742 = mux(_T_740, UInt<1>("h01"), _T_741) @[dma_ctrl.scala 241:93] - node _T_743 = bits(fifo_reset, 2, 2) @[dma_ctrl.scala 241:143] - node _T_744 = eq(_T_743, UInt<1>("h00")) @[dma_ctrl.scala 241:132] - node _T_745 = and(_T_742, _T_744) @[dma_ctrl.scala 241:130] - reg _T_746 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 241:89] - _T_746 <= _T_745 @[dma_ctrl.scala 241:89] - node _T_747 = bits(fifo_done_en, 3, 3) @[dma_ctrl.scala 241:106] - node _T_748 = bits(fifo_done, 3, 3) @[dma_ctrl.scala 241:125] - node _T_749 = mux(_T_747, UInt<1>("h01"), _T_748) @[dma_ctrl.scala 241:93] - node _T_750 = bits(fifo_reset, 3, 3) @[dma_ctrl.scala 241:143] - node _T_751 = eq(_T_750, UInt<1>("h00")) @[dma_ctrl.scala 241:132] - node _T_752 = and(_T_749, _T_751) @[dma_ctrl.scala 241:130] - reg _T_753 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 241:89] - _T_753 <= _T_752 @[dma_ctrl.scala 241:89] - node _T_754 = bits(fifo_done_en, 4, 4) @[dma_ctrl.scala 241:106] - node _T_755 = bits(fifo_done, 4, 4) @[dma_ctrl.scala 241:125] - node _T_756 = mux(_T_754, UInt<1>("h01"), _T_755) @[dma_ctrl.scala 241:93] - node _T_757 = bits(fifo_reset, 4, 4) @[dma_ctrl.scala 241:143] - node _T_758 = eq(_T_757, UInt<1>("h00")) @[dma_ctrl.scala 241:132] - node _T_759 = and(_T_756, _T_758) @[dma_ctrl.scala 241:130] - reg _T_760 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 241:89] - _T_760 <= _T_759 @[dma_ctrl.scala 241:89] + fifo_rpend <= _T_725 @[dma_ctrl.scala 232:21] + node _T_726 = bits(fifo_done_en, 0, 0) @[dma_ctrl.scala 234:106] + node _T_727 = bits(fifo_done, 0, 0) @[dma_ctrl.scala 234:125] + node _T_728 = mux(_T_726, UInt<1>("h01"), _T_727) @[dma_ctrl.scala 234:93] + node _T_729 = bits(fifo_reset, 0, 0) @[dma_ctrl.scala 234:143] + node _T_730 = eq(_T_729, UInt<1>("h00")) @[dma_ctrl.scala 234:132] + node _T_731 = and(_T_728, _T_730) @[dma_ctrl.scala 234:130] + reg _T_732 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 234:89] + _T_732 <= _T_731 @[dma_ctrl.scala 234:89] + node _T_733 = bits(fifo_done_en, 1, 1) @[dma_ctrl.scala 234:106] + node _T_734 = bits(fifo_done, 1, 1) @[dma_ctrl.scala 234:125] + node _T_735 = mux(_T_733, UInt<1>("h01"), _T_734) @[dma_ctrl.scala 234:93] + node _T_736 = bits(fifo_reset, 1, 1) @[dma_ctrl.scala 234:143] + node _T_737 = eq(_T_736, UInt<1>("h00")) @[dma_ctrl.scala 234:132] + node _T_738 = and(_T_735, _T_737) @[dma_ctrl.scala 234:130] + reg _T_739 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 234:89] + _T_739 <= _T_738 @[dma_ctrl.scala 234:89] + node _T_740 = bits(fifo_done_en, 2, 2) @[dma_ctrl.scala 234:106] + node _T_741 = bits(fifo_done, 2, 2) @[dma_ctrl.scala 234:125] + node _T_742 = mux(_T_740, UInt<1>("h01"), _T_741) @[dma_ctrl.scala 234:93] + node _T_743 = bits(fifo_reset, 2, 2) @[dma_ctrl.scala 234:143] + node _T_744 = eq(_T_743, UInt<1>("h00")) @[dma_ctrl.scala 234:132] + node _T_745 = and(_T_742, _T_744) @[dma_ctrl.scala 234:130] + reg _T_746 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 234:89] + _T_746 <= _T_745 @[dma_ctrl.scala 234:89] + node _T_747 = bits(fifo_done_en, 3, 3) @[dma_ctrl.scala 234:106] + node _T_748 = bits(fifo_done, 3, 3) @[dma_ctrl.scala 234:125] + node _T_749 = mux(_T_747, UInt<1>("h01"), _T_748) @[dma_ctrl.scala 234:93] + node _T_750 = bits(fifo_reset, 3, 3) @[dma_ctrl.scala 234:143] + node _T_751 = eq(_T_750, UInt<1>("h00")) @[dma_ctrl.scala 234:132] + node _T_752 = and(_T_749, _T_751) @[dma_ctrl.scala 234:130] + reg _T_753 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 234:89] + _T_753 <= _T_752 @[dma_ctrl.scala 234:89] + node _T_754 = bits(fifo_done_en, 4, 4) @[dma_ctrl.scala 234:106] + node _T_755 = bits(fifo_done, 4, 4) @[dma_ctrl.scala 234:125] + node _T_756 = mux(_T_754, UInt<1>("h01"), _T_755) @[dma_ctrl.scala 234:93] + node _T_757 = bits(fifo_reset, 4, 4) @[dma_ctrl.scala 234:143] + node _T_758 = eq(_T_757, UInt<1>("h00")) @[dma_ctrl.scala 234:132] + node _T_759 = and(_T_756, _T_758) @[dma_ctrl.scala 234:130] + reg _T_760 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 234:89] + _T_760 <= _T_759 @[dma_ctrl.scala 234:89] node _T_761 = cat(_T_760, _T_753) @[Cat.scala 29:58] node _T_762 = cat(_T_761, _T_746) @[Cat.scala 29:58] node _T_763 = cat(_T_762, _T_739) @[Cat.scala 29:58] node _T_764 = cat(_T_763, _T_732) @[Cat.scala 29:58] - fifo_done <= _T_764 @[dma_ctrl.scala 241:21] - node _T_765 = bits(fifo_done_bus_en, 0, 0) @[dma_ctrl.scala 243:110] - node _T_766 = bits(fifo_done_bus, 0, 0) @[dma_ctrl.scala 243:133] - node _T_767 = mux(_T_765, UInt<1>("h01"), _T_766) @[dma_ctrl.scala 243:93] - node _T_768 = bits(fifo_reset, 0, 0) @[dma_ctrl.scala 243:151] - node _T_769 = eq(_T_768, UInt<1>("h00")) @[dma_ctrl.scala 243:140] - node _T_770 = and(_T_767, _T_769) @[dma_ctrl.scala 243:138] - reg _T_771 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 243:89] - _T_771 <= _T_770 @[dma_ctrl.scala 243:89] - node _T_772 = bits(fifo_done_bus_en, 1, 1) @[dma_ctrl.scala 243:110] - node _T_773 = bits(fifo_done_bus, 1, 1) @[dma_ctrl.scala 243:133] - node _T_774 = mux(_T_772, UInt<1>("h01"), _T_773) @[dma_ctrl.scala 243:93] - node _T_775 = bits(fifo_reset, 1, 1) @[dma_ctrl.scala 243:151] - node _T_776 = eq(_T_775, UInt<1>("h00")) @[dma_ctrl.scala 243:140] - node _T_777 = and(_T_774, _T_776) @[dma_ctrl.scala 243:138] - reg _T_778 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 243:89] - _T_778 <= _T_777 @[dma_ctrl.scala 243:89] - node _T_779 = bits(fifo_done_bus_en, 2, 2) @[dma_ctrl.scala 243:110] - node _T_780 = bits(fifo_done_bus, 2, 2) @[dma_ctrl.scala 243:133] - node _T_781 = mux(_T_779, UInt<1>("h01"), _T_780) @[dma_ctrl.scala 243:93] - node _T_782 = bits(fifo_reset, 2, 2) @[dma_ctrl.scala 243:151] - node _T_783 = eq(_T_782, UInt<1>("h00")) @[dma_ctrl.scala 243:140] - node _T_784 = and(_T_781, _T_783) @[dma_ctrl.scala 243:138] - reg _T_785 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 243:89] - _T_785 <= _T_784 @[dma_ctrl.scala 243:89] - node _T_786 = bits(fifo_done_bus_en, 3, 3) @[dma_ctrl.scala 243:110] - node _T_787 = bits(fifo_done_bus, 3, 3) @[dma_ctrl.scala 243:133] - node _T_788 = mux(_T_786, UInt<1>("h01"), _T_787) @[dma_ctrl.scala 243:93] - node _T_789 = bits(fifo_reset, 3, 3) @[dma_ctrl.scala 243:151] - node _T_790 = eq(_T_789, UInt<1>("h00")) @[dma_ctrl.scala 243:140] - node _T_791 = and(_T_788, _T_790) @[dma_ctrl.scala 243:138] - reg _T_792 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 243:89] - _T_792 <= _T_791 @[dma_ctrl.scala 243:89] - node _T_793 = bits(fifo_done_bus_en, 4, 4) @[dma_ctrl.scala 243:110] - node _T_794 = bits(fifo_done_bus, 4, 4) @[dma_ctrl.scala 243:133] - node _T_795 = mux(_T_793, UInt<1>("h01"), _T_794) @[dma_ctrl.scala 243:93] - node _T_796 = bits(fifo_reset, 4, 4) @[dma_ctrl.scala 243:151] - node _T_797 = eq(_T_796, UInt<1>("h00")) @[dma_ctrl.scala 243:140] - node _T_798 = and(_T_795, _T_797) @[dma_ctrl.scala 243:138] - reg _T_799 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 243:89] - _T_799 <= _T_798 @[dma_ctrl.scala 243:89] + fifo_done <= _T_764 @[dma_ctrl.scala 234:21] + node _T_765 = bits(fifo_done_bus_en, 0, 0) @[dma_ctrl.scala 236:110] + node _T_766 = bits(fifo_done_bus, 0, 0) @[dma_ctrl.scala 236:133] + node _T_767 = mux(_T_765, UInt<1>("h01"), _T_766) @[dma_ctrl.scala 236:93] + node _T_768 = bits(fifo_reset, 0, 0) @[dma_ctrl.scala 236:151] + node _T_769 = eq(_T_768, UInt<1>("h00")) @[dma_ctrl.scala 236:140] + node _T_770 = and(_T_767, _T_769) @[dma_ctrl.scala 236:138] + reg _T_771 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 236:89] + _T_771 <= _T_770 @[dma_ctrl.scala 236:89] + node _T_772 = bits(fifo_done_bus_en, 1, 1) @[dma_ctrl.scala 236:110] + node _T_773 = bits(fifo_done_bus, 1, 1) @[dma_ctrl.scala 236:133] + node _T_774 = mux(_T_772, UInt<1>("h01"), _T_773) @[dma_ctrl.scala 236:93] + node _T_775 = bits(fifo_reset, 1, 1) @[dma_ctrl.scala 236:151] + node _T_776 = eq(_T_775, UInt<1>("h00")) @[dma_ctrl.scala 236:140] + node _T_777 = and(_T_774, _T_776) @[dma_ctrl.scala 236:138] + reg _T_778 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 236:89] + _T_778 <= _T_777 @[dma_ctrl.scala 236:89] + node _T_779 = bits(fifo_done_bus_en, 2, 2) @[dma_ctrl.scala 236:110] + node _T_780 = bits(fifo_done_bus, 2, 2) @[dma_ctrl.scala 236:133] + node _T_781 = mux(_T_779, UInt<1>("h01"), _T_780) @[dma_ctrl.scala 236:93] + node _T_782 = bits(fifo_reset, 2, 2) @[dma_ctrl.scala 236:151] + node _T_783 = eq(_T_782, UInt<1>("h00")) @[dma_ctrl.scala 236:140] + node _T_784 = and(_T_781, _T_783) @[dma_ctrl.scala 236:138] + reg _T_785 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 236:89] + _T_785 <= _T_784 @[dma_ctrl.scala 236:89] + node _T_786 = bits(fifo_done_bus_en, 3, 3) @[dma_ctrl.scala 236:110] + node _T_787 = bits(fifo_done_bus, 3, 3) @[dma_ctrl.scala 236:133] + node _T_788 = mux(_T_786, UInt<1>("h01"), _T_787) @[dma_ctrl.scala 236:93] + node _T_789 = bits(fifo_reset, 3, 3) @[dma_ctrl.scala 236:151] + node _T_790 = eq(_T_789, UInt<1>("h00")) @[dma_ctrl.scala 236:140] + node _T_791 = and(_T_788, _T_790) @[dma_ctrl.scala 236:138] + reg _T_792 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 236:89] + _T_792 <= _T_791 @[dma_ctrl.scala 236:89] + node _T_793 = bits(fifo_done_bus_en, 4, 4) @[dma_ctrl.scala 236:110] + node _T_794 = bits(fifo_done_bus, 4, 4) @[dma_ctrl.scala 236:133] + node _T_795 = mux(_T_793, UInt<1>("h01"), _T_794) @[dma_ctrl.scala 236:93] + node _T_796 = bits(fifo_reset, 4, 4) @[dma_ctrl.scala 236:151] + node _T_797 = eq(_T_796, UInt<1>("h00")) @[dma_ctrl.scala 236:140] + node _T_798 = and(_T_795, _T_797) @[dma_ctrl.scala 236:138] + reg _T_799 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 236:89] + _T_799 <= _T_798 @[dma_ctrl.scala 236:89] node _T_800 = cat(_T_799, _T_792) @[Cat.scala 29:58] node _T_801 = cat(_T_800, _T_785) @[Cat.scala 29:58] node _T_802 = cat(_T_801, _T_778) @[Cat.scala 29:58] node _T_803 = cat(_T_802, _T_771) @[Cat.scala 29:58] - fifo_done_bus <= _T_803 @[dma_ctrl.scala 243:21] - node _T_804 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 245:84] + fifo_done_bus <= _T_803 @[dma_ctrl.scala 236:21] + node _T_804 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 238:84] inst rvclkhdr of rvclkhdr_831 @[lib.scala 368:23] rvclkhdr.clock <= clock rvclkhdr.reset <= reset @@ -108047,8 +108050,8 @@ circuit quasar : rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_805 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_805 <= fifo_addr_in @[lib.scala 374:16] - fifo_addr[0] <= _T_805 @[dma_ctrl.scala 245:49] - node _T_806 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 245:84] + fifo_addr[0] <= _T_805 @[dma_ctrl.scala 238:49] + node _T_806 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 238:84] inst rvclkhdr_1 of rvclkhdr_832 @[lib.scala 368:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset @@ -108057,8 +108060,8 @@ circuit quasar : rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_807 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_807 <= fifo_addr_in @[lib.scala 374:16] - fifo_addr[1] <= _T_807 @[dma_ctrl.scala 245:49] - node _T_808 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 245:84] + fifo_addr[1] <= _T_807 @[dma_ctrl.scala 238:49] + node _T_808 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 238:84] inst rvclkhdr_2 of rvclkhdr_833 @[lib.scala 368:23] rvclkhdr_2.clock <= clock rvclkhdr_2.reset <= reset @@ -108067,8 +108070,8 @@ circuit quasar : rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_809 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_809 <= fifo_addr_in @[lib.scala 374:16] - fifo_addr[2] <= _T_809 @[dma_ctrl.scala 245:49] - node _T_810 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 245:84] + fifo_addr[2] <= _T_809 @[dma_ctrl.scala 238:49] + node _T_810 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 238:84] inst rvclkhdr_3 of rvclkhdr_834 @[lib.scala 368:23] rvclkhdr_3.clock <= clock rvclkhdr_3.reset <= reset @@ -108077,8 +108080,8 @@ circuit quasar : rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_811 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_811 <= fifo_addr_in @[lib.scala 374:16] - fifo_addr[3] <= _T_811 @[dma_ctrl.scala 245:49] - node _T_812 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 245:84] + fifo_addr[3] <= _T_811 @[dma_ctrl.scala 238:49] + node _T_812 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 238:84] inst rvclkhdr_4 of rvclkhdr_835 @[lib.scala 368:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset @@ -108087,103 +108090,103 @@ circuit quasar : rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_813 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_813 <= fifo_addr_in @[lib.scala 374:16] - fifo_addr[4] <= _T_813 @[dma_ctrl.scala 245:49] - node _T_814 = bits(fifo_sz_in, 2, 0) @[dma_ctrl.scala 247:100] - node _T_815 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 247:123] + fifo_addr[4] <= _T_813 @[dma_ctrl.scala 238:49] + node _T_814 = bits(fifo_sz_in, 2, 0) @[dma_ctrl.scala 240:100] + node _T_815 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 240:123] reg _T_816 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_815 : @[Reg.scala 28:19] _T_816 <= _T_814 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_sz[0] <= _T_816 @[dma_ctrl.scala 247:47] - node _T_817 = bits(fifo_sz_in, 2, 0) @[dma_ctrl.scala 247:100] - node _T_818 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 247:123] + fifo_sz[0] <= _T_816 @[dma_ctrl.scala 240:47] + node _T_817 = bits(fifo_sz_in, 2, 0) @[dma_ctrl.scala 240:100] + node _T_818 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 240:123] reg _T_819 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_818 : @[Reg.scala 28:19] _T_819 <= _T_817 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_sz[1] <= _T_819 @[dma_ctrl.scala 247:47] - node _T_820 = bits(fifo_sz_in, 2, 0) @[dma_ctrl.scala 247:100] - node _T_821 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 247:123] + fifo_sz[1] <= _T_819 @[dma_ctrl.scala 240:47] + node _T_820 = bits(fifo_sz_in, 2, 0) @[dma_ctrl.scala 240:100] + node _T_821 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 240:123] reg _T_822 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_821 : @[Reg.scala 28:19] _T_822 <= _T_820 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_sz[2] <= _T_822 @[dma_ctrl.scala 247:47] - node _T_823 = bits(fifo_sz_in, 2, 0) @[dma_ctrl.scala 247:100] - node _T_824 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 247:123] + fifo_sz[2] <= _T_822 @[dma_ctrl.scala 240:47] + node _T_823 = bits(fifo_sz_in, 2, 0) @[dma_ctrl.scala 240:100] + node _T_824 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 240:123] reg _T_825 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_824 : @[Reg.scala 28:19] _T_825 <= _T_823 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_sz[3] <= _T_825 @[dma_ctrl.scala 247:47] - node _T_826 = bits(fifo_sz_in, 2, 0) @[dma_ctrl.scala 247:100] - node _T_827 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 247:123] + fifo_sz[3] <= _T_825 @[dma_ctrl.scala 240:47] + node _T_826 = bits(fifo_sz_in, 2, 0) @[dma_ctrl.scala 240:100] + node _T_827 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 240:123] reg _T_828 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_827 : @[Reg.scala 28:19] _T_828 <= _T_826 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_sz[4] <= _T_828 @[dma_ctrl.scala 247:47] - node _T_829 = bits(fifo_byteen_in, 7, 0) @[dma_ctrl.scala 249:108] - node _T_830 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 249:131] - node _T_831 = bits(_T_830, 0, 0) @[dma_ctrl.scala 249:141] + fifo_sz[4] <= _T_828 @[dma_ctrl.scala 240:47] + node _T_829 = bits(fifo_byteen_in, 7, 0) @[dma_ctrl.scala 242:108] + node _T_830 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 242:131] + node _T_831 = bits(_T_830, 0, 0) @[dma_ctrl.scala 242:141] reg _T_832 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_831 : @[Reg.scala 28:19] _T_832 <= _T_829 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_byteen[0] <= _T_832 @[dma_ctrl.scala 249:51] - node _T_833 = bits(fifo_byteen_in, 7, 0) @[dma_ctrl.scala 249:108] - node _T_834 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 249:131] - node _T_835 = bits(_T_834, 0, 0) @[dma_ctrl.scala 249:141] + fifo_byteen[0] <= _T_832 @[dma_ctrl.scala 242:51] + node _T_833 = bits(fifo_byteen_in, 7, 0) @[dma_ctrl.scala 242:108] + node _T_834 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 242:131] + node _T_835 = bits(_T_834, 0, 0) @[dma_ctrl.scala 242:141] reg _T_836 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_835 : @[Reg.scala 28:19] _T_836 <= _T_833 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_byteen[1] <= _T_836 @[dma_ctrl.scala 249:51] - node _T_837 = bits(fifo_byteen_in, 7, 0) @[dma_ctrl.scala 249:108] - node _T_838 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 249:131] - node _T_839 = bits(_T_838, 0, 0) @[dma_ctrl.scala 249:141] + fifo_byteen[1] <= _T_836 @[dma_ctrl.scala 242:51] + node _T_837 = bits(fifo_byteen_in, 7, 0) @[dma_ctrl.scala 242:108] + node _T_838 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 242:131] + node _T_839 = bits(_T_838, 0, 0) @[dma_ctrl.scala 242:141] reg _T_840 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_839 : @[Reg.scala 28:19] _T_840 <= _T_837 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_byteen[2] <= _T_840 @[dma_ctrl.scala 249:51] - node _T_841 = bits(fifo_byteen_in, 7, 0) @[dma_ctrl.scala 249:108] - node _T_842 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 249:131] - node _T_843 = bits(_T_842, 0, 0) @[dma_ctrl.scala 249:141] + fifo_byteen[2] <= _T_840 @[dma_ctrl.scala 242:51] + node _T_841 = bits(fifo_byteen_in, 7, 0) @[dma_ctrl.scala 242:108] + node _T_842 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 242:131] + node _T_843 = bits(_T_842, 0, 0) @[dma_ctrl.scala 242:141] reg _T_844 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_843 : @[Reg.scala 28:19] _T_844 <= _T_841 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_byteen[3] <= _T_844 @[dma_ctrl.scala 249:51] - node _T_845 = bits(fifo_byteen_in, 7, 0) @[dma_ctrl.scala 249:108] - node _T_846 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 249:131] - node _T_847 = bits(_T_846, 0, 0) @[dma_ctrl.scala 249:141] + fifo_byteen[3] <= _T_844 @[dma_ctrl.scala 242:51] + node _T_845 = bits(fifo_byteen_in, 7, 0) @[dma_ctrl.scala 242:108] + node _T_846 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 242:131] + node _T_847 = bits(_T_846, 0, 0) @[dma_ctrl.scala 242:141] reg _T_848 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_847 : @[Reg.scala 28:19] _T_848 <= _T_845 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_byteen[4] <= _T_848 @[dma_ctrl.scala 249:51] - node _T_849 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 251:129] + fifo_byteen[4] <= _T_848 @[dma_ctrl.scala 242:51] + node _T_849 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 244:129] reg _T_850 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_849 : @[Reg.scala 28:19] _T_850 <= fifo_write_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_851 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 251:129] + node _T_851 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 244:129] reg _T_852 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_851 : @[Reg.scala 28:19] _T_852 <= fifo_write_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_853 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 251:129] + node _T_853 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 244:129] reg _T_854 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_853 : @[Reg.scala 28:19] _T_854 <= fifo_write_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_855 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 251:129] + node _T_855 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 244:129] reg _T_856 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_855 : @[Reg.scala 28:19] _T_856 <= fifo_write_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_857 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 251:129] + node _T_857 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 244:129] reg _T_858 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_857 : @[Reg.scala 28:19] _T_858 <= fifo_write_in @[Reg.scala 28:23] @@ -108192,28 +108195,28 @@ circuit quasar : node _T_860 = cat(_T_859, _T_854) @[Cat.scala 29:58] node _T_861 = cat(_T_860, _T_852) @[Cat.scala 29:58] node _T_862 = cat(_T_861, _T_850) @[Cat.scala 29:58] - fifo_write <= _T_862 @[dma_ctrl.scala 251:21] - node _T_863 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 253:136] + fifo_write <= _T_862 @[dma_ctrl.scala 244:21] + node _T_863 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 246:136] reg _T_864 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_863 : @[Reg.scala 28:19] _T_864 <= fifo_posted_write_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_865 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 253:136] + node _T_865 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 246:136] reg _T_866 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_865 : @[Reg.scala 28:19] _T_866 <= fifo_posted_write_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_867 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 253:136] + node _T_867 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 246:136] reg _T_868 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_867 : @[Reg.scala 28:19] _T_868 <= fifo_posted_write_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_869 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 253:136] + node _T_869 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 246:136] reg _T_870 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_869 : @[Reg.scala 28:19] _T_870 <= fifo_posted_write_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_871 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 253:136] + node _T_871 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 246:136] reg _T_872 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_871 : @[Reg.scala 28:19] _T_872 <= fifo_posted_write_in @[Reg.scala 28:23] @@ -108222,28 +108225,28 @@ circuit quasar : node _T_874 = cat(_T_873, _T_868) @[Cat.scala 29:58] node _T_875 = cat(_T_874, _T_866) @[Cat.scala 29:58] node _T_876 = cat(_T_875, _T_864) @[Cat.scala 29:58] - fifo_posted_write <= _T_876 @[dma_ctrl.scala 253:21] - node _T_877 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 255:126] + fifo_posted_write <= _T_876 @[dma_ctrl.scala 246:21] + node _T_877 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 248:126] reg _T_878 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_877 : @[Reg.scala 28:19] _T_878 <= io.dbg_dma.dbg_ib.dbg_cmd_valid @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_879 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 255:126] + node _T_879 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 248:126] reg _T_880 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_879 : @[Reg.scala 28:19] _T_880 <= io.dbg_dma.dbg_ib.dbg_cmd_valid @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_881 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 255:126] + node _T_881 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 248:126] reg _T_882 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_881 : @[Reg.scala 28:19] _T_882 <= io.dbg_dma.dbg_ib.dbg_cmd_valid @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_883 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 255:126] + node _T_883 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 248:126] reg _T_884 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_883 : @[Reg.scala 28:19] _T_884 <= io.dbg_dma.dbg_ib.dbg_cmd_valid @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_885 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 255:126] + node _T_885 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 248:126] reg _T_886 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_885 : @[Reg.scala 28:19] _T_886 <= io.dbg_dma.dbg_ib.dbg_cmd_valid @[Reg.scala 28:23] @@ -108252,8 +108255,8 @@ circuit quasar : node _T_888 = cat(_T_887, _T_882) @[Cat.scala 29:58] node _T_889 = cat(_T_888, _T_880) @[Cat.scala 29:58] node _T_890 = cat(_T_889, _T_878) @[Cat.scala 29:58] - fifo_dbg <= _T_890 @[dma_ctrl.scala 255:21] - node _T_891 = bits(fifo_data_en, 0, 0) @[dma_ctrl.scala 257:88] + fifo_dbg <= _T_890 @[dma_ctrl.scala 248:21] + node _T_891 = bits(fifo_data_en, 0, 0) @[dma_ctrl.scala 250:88] inst rvclkhdr_5 of rvclkhdr_836 @[lib.scala 368:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset @@ -108262,8 +108265,8 @@ circuit quasar : rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_892 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_892 <= fifo_data_in[0] @[lib.scala 374:16] - fifo_data[0] <= _T_892 @[dma_ctrl.scala 257:49] - node _T_893 = bits(fifo_data_en, 1, 1) @[dma_ctrl.scala 257:88] + fifo_data[0] <= _T_892 @[dma_ctrl.scala 250:49] + node _T_893 = bits(fifo_data_en, 1, 1) @[dma_ctrl.scala 250:88] inst rvclkhdr_6 of rvclkhdr_837 @[lib.scala 368:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset @@ -108272,8 +108275,8 @@ circuit quasar : rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_894 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_894 <= fifo_data_in[1] @[lib.scala 374:16] - fifo_data[1] <= _T_894 @[dma_ctrl.scala 257:49] - node _T_895 = bits(fifo_data_en, 2, 2) @[dma_ctrl.scala 257:88] + fifo_data[1] <= _T_894 @[dma_ctrl.scala 250:49] + node _T_895 = bits(fifo_data_en, 2, 2) @[dma_ctrl.scala 250:88] inst rvclkhdr_7 of rvclkhdr_838 @[lib.scala 368:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset @@ -108282,8 +108285,8 @@ circuit quasar : rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_896 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_896 <= fifo_data_in[2] @[lib.scala 374:16] - fifo_data[2] <= _T_896 @[dma_ctrl.scala 257:49] - node _T_897 = bits(fifo_data_en, 3, 3) @[dma_ctrl.scala 257:88] + fifo_data[2] <= _T_896 @[dma_ctrl.scala 250:49] + node _T_897 = bits(fifo_data_en, 3, 3) @[dma_ctrl.scala 250:88] inst rvclkhdr_8 of rvclkhdr_839 @[lib.scala 368:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset @@ -108292,8 +108295,8 @@ circuit quasar : rvclkhdr_8.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_898 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_898 <= fifo_data_in[3] @[lib.scala 374:16] - fifo_data[3] <= _T_898 @[dma_ctrl.scala 257:49] - node _T_899 = bits(fifo_data_en, 4, 4) @[dma_ctrl.scala 257:88] + fifo_data[3] <= _T_898 @[dma_ctrl.scala 250:49] + node _T_899 = bits(fifo_data_en, 4, 4) @[dma_ctrl.scala 250:88] inst rvclkhdr_9 of rvclkhdr_840 @[lib.scala 368:23] rvclkhdr_9.clock <= clock rvclkhdr_9.reset <= reset @@ -108302,142 +108305,142 @@ circuit quasar : rvclkhdr_9.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_900 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_900 <= fifo_data_in[4] @[lib.scala 374:16] - fifo_data[4] <= _T_900 @[dma_ctrl.scala 257:49] - node _T_901 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 259:120] + fifo_data[4] <= _T_900 @[dma_ctrl.scala 250:49] + node _T_901 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 252:120] reg _T_902 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_901 : @[Reg.scala 28:19] _T_902 <= bus_cmd_tag @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_tag[0] <= _T_902 @[dma_ctrl.scala 259:48] - node _T_903 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 259:120] + fifo_tag[0] <= _T_902 @[dma_ctrl.scala 252:48] + node _T_903 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 252:120] reg _T_904 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_903 : @[Reg.scala 28:19] _T_904 <= bus_cmd_tag @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_tag[1] <= _T_904 @[dma_ctrl.scala 259:48] - node _T_905 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 259:120] + fifo_tag[1] <= _T_904 @[dma_ctrl.scala 252:48] + node _T_905 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 252:120] reg _T_906 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_905 : @[Reg.scala 28:19] _T_906 <= bus_cmd_tag @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_tag[2] <= _T_906 @[dma_ctrl.scala 259:48] - node _T_907 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 259:120] + fifo_tag[2] <= _T_906 @[dma_ctrl.scala 252:48] + node _T_907 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 252:120] reg _T_908 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_907 : @[Reg.scala 28:19] _T_908 <= bus_cmd_tag @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_tag[3] <= _T_908 @[dma_ctrl.scala 259:48] - node _T_909 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 259:120] + fifo_tag[3] <= _T_908 @[dma_ctrl.scala 252:48] + node _T_909 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 252:120] reg _T_910 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_909 : @[Reg.scala 28:19] _T_910 <= bus_cmd_tag @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_tag[4] <= _T_910 @[dma_ctrl.scala 259:48] - node _T_911 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 261:120] + fifo_tag[4] <= _T_910 @[dma_ctrl.scala 252:48] + node _T_911 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 254:120] reg _T_912 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_911 : @[Reg.scala 28:19] _T_912 <= bus_cmd_mid @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_mid[0] <= _T_912 @[dma_ctrl.scala 261:48] - node _T_913 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 261:120] + fifo_mid[0] <= _T_912 @[dma_ctrl.scala 254:48] + node _T_913 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 254:120] reg _T_914 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_913 : @[Reg.scala 28:19] _T_914 <= bus_cmd_mid @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_mid[1] <= _T_914 @[dma_ctrl.scala 261:48] - node _T_915 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 261:120] + fifo_mid[1] <= _T_914 @[dma_ctrl.scala 254:48] + node _T_915 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 254:120] reg _T_916 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_915 : @[Reg.scala 28:19] _T_916 <= bus_cmd_mid @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_mid[2] <= _T_916 @[dma_ctrl.scala 261:48] - node _T_917 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 261:120] + fifo_mid[2] <= _T_916 @[dma_ctrl.scala 254:48] + node _T_917 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 254:120] reg _T_918 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_917 : @[Reg.scala 28:19] _T_918 <= bus_cmd_mid @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_mid[3] <= _T_918 @[dma_ctrl.scala 261:48] - node _T_919 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 261:120] + fifo_mid[3] <= _T_918 @[dma_ctrl.scala 254:48] + node _T_919 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 254:120] reg _T_920 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_919 : @[Reg.scala 28:19] _T_920 <= bus_cmd_mid @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_mid[4] <= _T_920 @[dma_ctrl.scala 261:48] - node _T_921 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 263:122] + fifo_mid[4] <= _T_920 @[dma_ctrl.scala 254:48] + node _T_921 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 256:122] reg _T_922 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_921 : @[Reg.scala 28:19] _T_922 <= bus_cmd_prty @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_prty[0] <= _T_922 @[dma_ctrl.scala 263:49] - node _T_923 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 263:122] + fifo_prty[0] <= _T_922 @[dma_ctrl.scala 256:49] + node _T_923 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 256:122] reg _T_924 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_923 : @[Reg.scala 28:19] _T_924 <= bus_cmd_prty @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_prty[1] <= _T_924 @[dma_ctrl.scala 263:49] - node _T_925 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 263:122] + fifo_prty[1] <= _T_924 @[dma_ctrl.scala 256:49] + node _T_925 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 256:122] reg _T_926 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_925 : @[Reg.scala 28:19] _T_926 <= bus_cmd_prty @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_prty[2] <= _T_926 @[dma_ctrl.scala 263:49] - node _T_927 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 263:122] + fifo_prty[2] <= _T_926 @[dma_ctrl.scala 256:49] + node _T_927 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 256:122] reg _T_928 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_927 : @[Reg.scala 28:19] _T_928 <= bus_cmd_prty @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_prty[3] <= _T_928 @[dma_ctrl.scala 263:49] - node _T_929 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 263:122] + fifo_prty[3] <= _T_928 @[dma_ctrl.scala 256:49] + node _T_929 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 256:122] reg _T_930 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_929 : @[Reg.scala 28:19] _T_930 <= bus_cmd_prty @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_prty[4] <= _T_930 @[dma_ctrl.scala 263:49] - node _T_931 = eq(WrPtr, UInt<3>("h04")) @[dma_ctrl.scala 267:30] - node _T_932 = bits(_T_931, 0, 0) @[dma_ctrl.scala 267:57] - node _T_933 = add(WrPtr, UInt<1>("h01")) @[dma_ctrl.scala 267:76] - node _T_934 = tail(_T_933, 1) @[dma_ctrl.scala 267:76] - node _T_935 = mux(_T_932, UInt<1>("h00"), _T_934) @[dma_ctrl.scala 267:22] - NxtWrPtr <= _T_935 @[dma_ctrl.scala 267:16] - node _T_936 = eq(RdPtr, UInt<3>("h04")) @[dma_ctrl.scala 269:30] - node _T_937 = bits(_T_936, 0, 0) @[dma_ctrl.scala 269:57] - node _T_938 = add(RdPtr, UInt<1>("h01")) @[dma_ctrl.scala 269:76] - node _T_939 = tail(_T_938, 1) @[dma_ctrl.scala 269:76] - node _T_940 = mux(_T_937, UInt<1>("h00"), _T_939) @[dma_ctrl.scala 269:22] - NxtRdPtr <= _T_940 @[dma_ctrl.scala 269:16] - node _T_941 = eq(RspPtr, UInt<3>("h04")) @[dma_ctrl.scala 271:31] - node _T_942 = bits(_T_941, 0, 0) @[dma_ctrl.scala 271:58] - node _T_943 = add(RspPtr, UInt<1>("h01")) @[dma_ctrl.scala 271:78] - node _T_944 = tail(_T_943, 1) @[dma_ctrl.scala 271:78] - node _T_945 = mux(_T_942, UInt<1>("h00"), _T_944) @[dma_ctrl.scala 271:22] - NxtRspPtr <= _T_945 @[dma_ctrl.scala 271:16] - node WrPtrEn = orr(fifo_cmd_en) @[dma_ctrl.scala 273:30] - node _T_946 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 275:55] - node _T_947 = bits(dma_address_error, 0, 0) @[dma_ctrl.scala 275:114] - node _T_948 = bits(dma_alignment_error, 0, 0) @[dma_ctrl.scala 275:143] - node _T_949 = or(_T_947, _T_948) @[dma_ctrl.scala 275:121] - node _T_950 = or(_T_949, dma_dbg_cmd_error) @[dma_ctrl.scala 275:150] - node RdPtrEn = or(_T_946, _T_950) @[dma_ctrl.scala 275:93] - node _T_951 = or(bus_rsp_sent, bus_posted_write_done) @[dma_ctrl.scala 277:55] - node _T_952 = and(_T_951, io.dma_bus_clk_en) @[dma_ctrl.scala 277:80] - node RspPtrEn = or(io.dma_dbg_cmd_done, _T_952) @[dma_ctrl.scala 277:39] + fifo_prty[4] <= _T_930 @[dma_ctrl.scala 256:49] + node _T_931 = eq(WrPtr, UInt<3>("h04")) @[dma_ctrl.scala 260:30] + node _T_932 = bits(_T_931, 0, 0) @[dma_ctrl.scala 260:57] + node _T_933 = add(WrPtr, UInt<1>("h01")) @[dma_ctrl.scala 260:76] + node _T_934 = tail(_T_933, 1) @[dma_ctrl.scala 260:76] + node _T_935 = mux(_T_932, UInt<1>("h00"), _T_934) @[dma_ctrl.scala 260:22] + NxtWrPtr <= _T_935 @[dma_ctrl.scala 260:16] + node _T_936 = eq(RdPtr, UInt<3>("h04")) @[dma_ctrl.scala 262:30] + node _T_937 = bits(_T_936, 0, 0) @[dma_ctrl.scala 262:57] + node _T_938 = add(RdPtr, UInt<1>("h01")) @[dma_ctrl.scala 262:76] + node _T_939 = tail(_T_938, 1) @[dma_ctrl.scala 262:76] + node _T_940 = mux(_T_937, UInt<1>("h00"), _T_939) @[dma_ctrl.scala 262:22] + NxtRdPtr <= _T_940 @[dma_ctrl.scala 262:16] + node _T_941 = eq(RspPtr, UInt<3>("h04")) @[dma_ctrl.scala 264:31] + node _T_942 = bits(_T_941, 0, 0) @[dma_ctrl.scala 264:58] + node _T_943 = add(RspPtr, UInt<1>("h01")) @[dma_ctrl.scala 264:78] + node _T_944 = tail(_T_943, 1) @[dma_ctrl.scala 264:78] + node _T_945 = mux(_T_942, UInt<1>("h00"), _T_944) @[dma_ctrl.scala 264:22] + NxtRspPtr <= _T_945 @[dma_ctrl.scala 264:16] + node WrPtrEn = orr(fifo_cmd_en) @[dma_ctrl.scala 266:30] + node _T_946 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 268:55] + node _T_947 = bits(dma_address_error, 0, 0) @[dma_ctrl.scala 268:114] + node _T_948 = bits(dma_alignment_error, 0, 0) @[dma_ctrl.scala 268:143] + node _T_949 = or(_T_947, _T_948) @[dma_ctrl.scala 268:121] + node _T_950 = or(_T_949, dma_dbg_cmd_error) @[dma_ctrl.scala 268:150] + node RdPtrEn = or(_T_946, _T_950) @[dma_ctrl.scala 268:93] + node _T_951 = or(bus_rsp_sent, bus_posted_write_done) @[dma_ctrl.scala 270:55] + node _T_952 = and(_T_951, io.dma_bus_clk_en) @[dma_ctrl.scala 270:80] + node RspPtrEn = or(io.dma_dbg_cmd_done, _T_952) @[dma_ctrl.scala 270:39] reg _T_953 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when WrPtrEn : @[Reg.scala 28:19] _T_953 <= NxtWrPtr @[Reg.scala 28:23] skip @[Reg.scala 28:19] - WrPtr <= _T_953 @[dma_ctrl.scala 279:16] - node _T_954 = bits(RdPtrEn, 0, 0) @[dma_ctrl.scala 284:38] + WrPtr <= _T_953 @[dma_ctrl.scala 272:16] + node _T_954 = bits(RdPtrEn, 0, 0) @[dma_ctrl.scala 277:38] reg _T_955 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_954 : @[Reg.scala 28:19] _T_955 <= NxtRdPtr @[Reg.scala 28:23] skip @[Reg.scala 28:19] - RdPtr <= _T_955 @[dma_ctrl.scala 283:16] - node _T_956 = bits(RspPtrEn, 0, 0) @[dma_ctrl.scala 288:40] + RdPtr <= _T_955 @[dma_ctrl.scala 276:16] + node _T_956 = bits(RspPtrEn, 0, 0) @[dma_ctrl.scala 281:40] reg _T_957 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_956 : @[Reg.scala 28:19] _T_957 <= NxtRspPtr @[Reg.scala 28:23] skip @[Reg.scala 28:19] - RspPtr <= _T_957 @[dma_ctrl.scala 287:16] + RspPtr <= _T_957 @[dma_ctrl.scala 280:16] wire num_fifo_vld_tmp : UInt<4> num_fifo_vld_tmp <= UInt<1>("h00") wire num_fifo_vld_tmp2 : UInt<4> @@ -108446,333 +108449,353 @@ circuit quasar : node _T_959 = cat(_T_958, axi_mstr_prty_en) @[Cat.scala 29:58] node _T_960 = mux(UInt<1>("h00"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] node _T_961 = cat(_T_960, bus_rsp_sent) @[Cat.scala 29:58] - node _T_962 = sub(_T_959, _T_961) @[dma_ctrl.scala 298:62] - node _T_963 = tail(_T_962, 1) @[dma_ctrl.scala 298:62] - num_fifo_vld_tmp <= _T_963 @[dma_ctrl.scala 298:25] + node _T_962 = sub(_T_959, _T_961) @[dma_ctrl.scala 291:62] + node _T_963 = tail(_T_962, 1) @[dma_ctrl.scala 291:62] + num_fifo_vld_tmp <= _T_963 @[dma_ctrl.scala 291:25] node _T_964 = mux(UInt<1>("h00"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_965 = bits(fifo_valid, 0, 0) @[dma_ctrl.scala 300:88] + node _T_965 = bits(fifo_valid, 0, 0) @[dma_ctrl.scala 293:88] node _T_966 = cat(_T_964, _T_965) @[Cat.scala 29:58] node _T_967 = mux(UInt<1>("h00"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_968 = bits(fifo_valid, 1, 1) @[dma_ctrl.scala 300:88] + node _T_968 = bits(fifo_valid, 1, 1) @[dma_ctrl.scala 293:88] node _T_969 = cat(_T_967, _T_968) @[Cat.scala 29:58] node _T_970 = mux(UInt<1>("h00"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_971 = bits(fifo_valid, 2, 2) @[dma_ctrl.scala 300:88] + node _T_971 = bits(fifo_valid, 2, 2) @[dma_ctrl.scala 293:88] node _T_972 = cat(_T_970, _T_971) @[Cat.scala 29:58] node _T_973 = mux(UInt<1>("h00"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_974 = bits(fifo_valid, 3, 3) @[dma_ctrl.scala 300:88] + node _T_974 = bits(fifo_valid, 3, 3) @[dma_ctrl.scala 293:88] node _T_975 = cat(_T_973, _T_974) @[Cat.scala 29:58] node _T_976 = mux(UInt<1>("h00"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_977 = bits(fifo_valid, 4, 4) @[dma_ctrl.scala 300:88] + node _T_977 = bits(fifo_valid, 4, 4) @[dma_ctrl.scala 293:88] node _T_978 = cat(_T_976, _T_977) @[Cat.scala 29:58] - node _T_979 = add(_T_966, _T_969) @[dma_ctrl.scala 300:102] - node _T_980 = tail(_T_979, 1) @[dma_ctrl.scala 300:102] - node _T_981 = add(_T_980, _T_972) @[dma_ctrl.scala 300:102] - node _T_982 = tail(_T_981, 1) @[dma_ctrl.scala 300:102] - node _T_983 = add(_T_982, _T_975) @[dma_ctrl.scala 300:102] - node _T_984 = tail(_T_983, 1) @[dma_ctrl.scala 300:102] - node _T_985 = add(_T_984, _T_978) @[dma_ctrl.scala 300:102] - node _T_986 = tail(_T_985, 1) @[dma_ctrl.scala 300:102] - num_fifo_vld_tmp2 <= _T_986 @[dma_ctrl.scala 300:25] - node _T_987 = add(num_fifo_vld_tmp, num_fifo_vld_tmp2) @[dma_ctrl.scala 302:45] - node _T_988 = tail(_T_987, 1) @[dma_ctrl.scala 302:45] - num_fifo_vld <= _T_988 @[dma_ctrl.scala 302:25] - node fifo_full_spec = geq(num_fifo_vld_tmp2, UInt<3>("h05")) @[dma_ctrl.scala 304:46] - node _T_989 = or(fifo_full, dbg_dma_bubble_bus) @[dma_ctrl.scala 306:39] - node dma_fifo_ready = not(_T_989) @[dma_ctrl.scala 306:27] - node _T_990 = dshr(fifo_valid, RdPtr) @[dma_ctrl.scala 310:38] - node _T_991 = bits(_T_990, 0, 0) @[dma_ctrl.scala 310:38] - node _T_992 = dshr(fifo_done, RdPtr) @[dma_ctrl.scala 310:58] - node _T_993 = bits(_T_992, 0, 0) @[dma_ctrl.scala 310:58] - node _T_994 = eq(_T_993, UInt<1>("h00")) @[dma_ctrl.scala 310:48] - node _T_995 = and(_T_991, _T_994) @[dma_ctrl.scala 310:46] - node _T_996 = dshr(fifo_dbg, RdPtr) @[dma_ctrl.scala 310:77] - node _T_997 = bits(_T_996, 0, 0) @[dma_ctrl.scala 310:77] - node _T_998 = eq(_T_997, UInt<1>("h00")) @[dma_ctrl.scala 310:68] - node _T_999 = and(_T_995, _T_998) @[dma_ctrl.scala 310:66] - node _T_1000 = or(dma_mem_addr_in_dccm, dma_mem_addr_in_iccm) @[dma_ctrl.scala 310:111] - node _T_1001 = not(_T_1000) @[dma_ctrl.scala 310:88] - node _T_1002 = and(_T_999, _T_1001) @[dma_ctrl.scala 310:85] - dma_address_error <= _T_1002 @[dma_ctrl.scala 310:25] - node _T_1003 = dshr(fifo_valid, RdPtr) @[dma_ctrl.scala 311:38] - node _T_1004 = bits(_T_1003, 0, 0) @[dma_ctrl.scala 311:38] - node _T_1005 = dshr(fifo_done, RdPtr) @[dma_ctrl.scala 311:58] - node _T_1006 = bits(_T_1005, 0, 0) @[dma_ctrl.scala 311:58] - node _T_1007 = eq(_T_1006, UInt<1>("h00")) @[dma_ctrl.scala 311:48] - node _T_1008 = and(_T_1004, _T_1007) @[dma_ctrl.scala 311:46] - node _T_1009 = eq(dma_address_error, UInt<1>("h00")) @[dma_ctrl.scala 311:68] - node _T_1010 = and(_T_1008, _T_1009) @[dma_ctrl.scala 311:66] - node _T_1011 = bits(dma_mem_sz_int, 2, 0) @[dma_ctrl.scala 312:22] - node _T_1012 = eq(_T_1011, UInt<1>("h01")) @[dma_ctrl.scala 312:28] - node _T_1013 = bits(dma_mem_addr_int, 0, 0) @[dma_ctrl.scala 312:55] - node _T_1014 = and(_T_1012, _T_1013) @[dma_ctrl.scala 312:37] - node _T_1015 = bits(dma_mem_sz_int, 2, 0) @[dma_ctrl.scala 313:23] - node _T_1016 = eq(_T_1015, UInt<2>("h02")) @[dma_ctrl.scala 313:29] - node _T_1017 = bits(dma_mem_addr_int, 1, 0) @[dma_ctrl.scala 313:57] - node _T_1018 = orr(_T_1017) @[dma_ctrl.scala 313:64] - node _T_1019 = and(_T_1016, _T_1018) @[dma_ctrl.scala 313:38] - node _T_1020 = or(_T_1014, _T_1019) @[dma_ctrl.scala 312:60] - node _T_1021 = bits(dma_mem_sz_int, 2, 0) @[dma_ctrl.scala 314:23] - node _T_1022 = eq(_T_1021, UInt<2>("h03")) @[dma_ctrl.scala 314:29] - node _T_1023 = bits(dma_mem_addr_int, 2, 0) @[dma_ctrl.scala 314:57] - node _T_1024 = orr(_T_1023) @[dma_ctrl.scala 314:64] - node _T_1025 = and(_T_1022, _T_1024) @[dma_ctrl.scala 314:38] - node _T_1026 = or(_T_1020, _T_1025) @[dma_ctrl.scala 313:70] - node _T_1027 = bits(dma_mem_sz_int, 1, 0) @[dma_ctrl.scala 315:48] - node _T_1028 = eq(_T_1027, UInt<2>("h02")) @[dma_ctrl.scala 315:55] - node _T_1029 = bits(dma_mem_sz_int, 1, 0) @[dma_ctrl.scala 315:81] - node _T_1030 = eq(_T_1029, UInt<2>("h03")) @[dma_ctrl.scala 315:88] - node _T_1031 = or(_T_1028, _T_1030) @[dma_ctrl.scala 315:64] - node _T_1032 = not(_T_1031) @[dma_ctrl.scala 315:31] - node _T_1033 = and(dma_mem_addr_in_iccm, _T_1032) @[dma_ctrl.scala 315:29] - node _T_1034 = or(_T_1026, _T_1033) @[dma_ctrl.scala 314:70] - node _T_1035 = and(dma_mem_addr_in_dccm, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[dma_ctrl.scala 316:29] - node _T_1036 = bits(dma_mem_sz_int, 1, 0) @[dma_ctrl.scala 316:87] - node _T_1037 = eq(_T_1036, UInt<2>("h02")) @[dma_ctrl.scala 316:94] - node _T_1038 = bits(dma_mem_sz_int, 1, 0) @[dma_ctrl.scala 316:120] - node _T_1039 = eq(_T_1038, UInt<2>("h03")) @[dma_ctrl.scala 316:127] - node _T_1040 = or(_T_1037, _T_1039) @[dma_ctrl.scala 316:103] - node _T_1041 = not(_T_1040) @[dma_ctrl.scala 316:70] - node _T_1042 = and(_T_1035, _T_1041) @[dma_ctrl.scala 316:68] - node _T_1043 = or(_T_1034, _T_1042) @[dma_ctrl.scala 315:108] - node _T_1044 = bits(dma_mem_sz_int, 2, 0) @[dma_ctrl.scala 317:62] - node _T_1045 = eq(_T_1044, UInt<2>("h02")) @[dma_ctrl.scala 317:69] - node _T_1046 = and(io.lsu_dma.dma_lsc_ctl.dma_mem_write, _T_1045) @[dma_ctrl.scala 317:45] - node _T_1047 = bits(dma_mem_addr_int, 2, 0) @[dma_ctrl.scala 317:108] - node _T_1048 = eq(_T_1047, UInt<1>("h00")) @[dma_ctrl.scala 317:114] - node _T_1049 = bits(dma_mem_byteen, 3, 0) @[dma_ctrl.scala 317:141] - node _T_1050 = bits(dma_mem_addr_int, 2, 0) @[dma_ctrl.scala 318:26] - node _T_1051 = eq(_T_1050, UInt<1>("h01")) @[dma_ctrl.scala 318:32] - node _T_1052 = bits(dma_mem_byteen, 4, 1) @[dma_ctrl.scala 318:59] - node _T_1053 = bits(dma_mem_addr_int, 2, 0) @[dma_ctrl.scala 319:26] - node _T_1054 = eq(_T_1053, UInt<2>("h02")) @[dma_ctrl.scala 319:32] - node _T_1055 = bits(dma_mem_byteen, 5, 2) @[dma_ctrl.scala 319:59] - node _T_1056 = bits(dma_mem_addr_int, 2, 0) @[dma_ctrl.scala 320:26] - node _T_1057 = eq(_T_1056, UInt<2>("h03")) @[dma_ctrl.scala 320:32] - node _T_1058 = bits(dma_mem_byteen, 6, 3) @[dma_ctrl.scala 320:59] - node _T_1059 = mux(_T_1048, _T_1049, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1060 = mux(_T_1051, _T_1052, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1061 = mux(_T_1054, _T_1055, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1062 = mux(_T_1057, _T_1058, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1063 = or(_T_1059, _T_1060) @[Mux.scala 27:72] - node _T_1064 = or(_T_1063, _T_1061) @[Mux.scala 27:72] - node _T_1065 = or(_T_1064, _T_1062) @[Mux.scala 27:72] - wire _T_1066 : UInt<4> @[Mux.scala 27:72] - _T_1066 <= _T_1065 @[Mux.scala 27:72] - node _T_1067 = neq(_T_1066, UInt<4>("h0f")) @[dma_ctrl.scala 320:68] - node _T_1068 = and(_T_1046, _T_1067) @[dma_ctrl.scala 317:78] - node _T_1069 = or(_T_1043, _T_1068) @[dma_ctrl.scala 316:145] - node _T_1070 = bits(dma_mem_sz_int, 2, 0) @[dma_ctrl.scala 321:62] - node _T_1071 = eq(_T_1070, UInt<2>("h03")) @[dma_ctrl.scala 321:69] - node _T_1072 = and(io.lsu_dma.dma_lsc_ctl.dma_mem_write, _T_1071) @[dma_ctrl.scala 321:45] - node _T_1073 = bits(dma_mem_byteen, 7, 0) @[dma_ctrl.scala 321:97] - node _T_1074 = eq(_T_1073, UInt<4>("h0f")) @[dma_ctrl.scala 321:103] - node _T_1075 = bits(dma_mem_byteen, 7, 0) @[dma_ctrl.scala 321:133] - node _T_1076 = eq(_T_1075, UInt<8>("h0f0")) @[dma_ctrl.scala 321:139] - node _T_1077 = or(_T_1074, _T_1076) @[dma_ctrl.scala 321:116] - node _T_1078 = bits(dma_mem_byteen, 7, 0) @[dma_ctrl.scala 321:169] - node _T_1079 = eq(_T_1078, UInt<8>("h0ff")) @[dma_ctrl.scala 321:175] - node _T_1080 = or(_T_1077, _T_1079) @[dma_ctrl.scala 321:152] - node _T_1081 = eq(_T_1080, UInt<1>("h00")) @[dma_ctrl.scala 321:80] - node _T_1082 = and(_T_1072, _T_1081) @[dma_ctrl.scala 321:78] - node _T_1083 = or(_T_1069, _T_1082) @[dma_ctrl.scala 320:79] - node _T_1084 = and(_T_1010, _T_1083) @[dma_ctrl.scala 311:87] - dma_alignment_error <= _T_1084 @[dma_ctrl.scala 311:25] - node _T_1085 = and(fifo_empty, dbg_dma_bubble_bus) @[dma_ctrl.scala 326:50] - io.dbg_dma_io.dma_dbg_ready <= _T_1085 @[dma_ctrl.scala 326:36] - node _T_1086 = dshr(fifo_valid, RspPtr) @[dma_ctrl.scala 327:39] - node _T_1087 = bits(_T_1086, 0, 0) @[dma_ctrl.scala 327:39] - node _T_1088 = dshr(fifo_dbg, RspPtr) @[dma_ctrl.scala 327:58] - node _T_1089 = bits(_T_1088, 0, 0) @[dma_ctrl.scala 327:58] - node _T_1090 = and(_T_1087, _T_1089) @[dma_ctrl.scala 327:48] - node _T_1091 = dshr(fifo_done, RspPtr) @[dma_ctrl.scala 327:78] - node _T_1092 = bits(_T_1091, 0, 0) @[dma_ctrl.scala 327:78] - node _T_1093 = and(_T_1090, _T_1092) @[dma_ctrl.scala 327:67] - io.dma_dbg_cmd_done <= _T_1093 @[dma_ctrl.scala 327:25] - node _T_1094 = bits(fifo_addr[RspPtr], 2, 2) @[dma_ctrl.scala 328:49] - node _T_1095 = bits(fifo_data[RspPtr], 63, 32) @[dma_ctrl.scala 328:71] - node _T_1096 = bits(fifo_data[RspPtr], 31, 0) @[dma_ctrl.scala 328:98] - node _T_1097 = mux(_T_1094, _T_1095, _T_1096) @[dma_ctrl.scala 328:31] - io.dma_dbg_rddata <= _T_1097 @[dma_ctrl.scala 328:25] - node _T_1098 = orr(fifo_error[RspPtr]) @[dma_ctrl.scala 329:47] - io.dma_dbg_cmd_fail <= _T_1098 @[dma_ctrl.scala 329:25] - node _T_1099 = dshr(fifo_valid, RdPtr) @[dma_ctrl.scala 331:38] - node _T_1100 = bits(_T_1099, 0, 0) @[dma_ctrl.scala 331:38] - node _T_1101 = dshr(fifo_done, RdPtr) @[dma_ctrl.scala 331:58] - node _T_1102 = bits(_T_1101, 0, 0) @[dma_ctrl.scala 331:58] - node _T_1103 = eq(_T_1102, UInt<1>("h00")) @[dma_ctrl.scala 331:48] - node _T_1104 = and(_T_1100, _T_1103) @[dma_ctrl.scala 331:46] - node _T_1105 = dshr(fifo_dbg, RdPtr) @[dma_ctrl.scala 331:76] - node _T_1106 = bits(_T_1105, 0, 0) @[dma_ctrl.scala 331:76] - node _T_1107 = and(_T_1104, _T_1106) @[dma_ctrl.scala 331:66] - node _T_1108 = or(dma_mem_addr_in_dccm, dma_mem_addr_in_iccm) @[dma_ctrl.scala 331:111] - node _T_1109 = or(_T_1108, dma_mem_addr_in_pic) @[dma_ctrl.scala 331:134] - node _T_1110 = not(_T_1109) @[dma_ctrl.scala 331:88] - node _T_1111 = bits(_T_1110, 0, 0) @[dma_ctrl.scala 331:164] - node _T_1112 = bits(dma_mem_sz_int, 1, 0) @[dma_ctrl.scala 331:184] - node _T_1113 = neq(_T_1112, UInt<2>("h02")) @[dma_ctrl.scala 331:191] - node _T_1114 = or(_T_1111, _T_1113) @[dma_ctrl.scala 331:167] - node _T_1115 = and(_T_1107, _T_1114) @[dma_ctrl.scala 331:84] - dma_dbg_cmd_error <= _T_1115 @[dma_ctrl.scala 331:25] - node _T_1116 = or(dma_mem_addr_in_dccm, dma_mem_addr_in_pic) @[dma_ctrl.scala 335:80] - node _T_1117 = and(dma_mem_req, _T_1116) @[dma_ctrl.scala 335:56] - node _T_1118 = geq(dma_nack_count, dma_nack_count_csr) @[dma_ctrl.scala 335:121] - node _T_1119 = and(_T_1117, _T_1118) @[dma_ctrl.scala 335:103] - io.dec_dma.tlu_dma.dma_dccm_stall_any <= _T_1119 @[dma_ctrl.scala 335:41] - node _T_1120 = and(dma_mem_req, dma_mem_addr_in_iccm) @[dma_ctrl.scala 336:56] - node _T_1121 = geq(dma_nack_count, dma_nack_count_csr) @[dma_ctrl.scala 336:97] - node _T_1122 = and(_T_1120, _T_1121) @[dma_ctrl.scala 336:79] - io.ifu_dma.dma_ifc.dma_iccm_stall_any <= _T_1122 @[dma_ctrl.scala 336:41] - io.dec_dma.tlu_dma.dma_iccm_stall_any <= io.ifu_dma.dma_ifc.dma_iccm_stall_any @[dma_ctrl.scala 337:41] - io.dec_dma.dctl_dma.dma_dccm_stall_any <= io.dec_dma.tlu_dma.dma_dccm_stall_any @[dma_ctrl.scala 338:42] - node _T_1123 = orr(fifo_valid) @[dma_ctrl.scala 341:30] - node _T_1124 = not(_T_1123) @[dma_ctrl.scala 341:17] - fifo_empty <= _T_1124 @[dma_ctrl.scala 341:14] - dma_nack_count_csr <= io.dec_dma.tlu_dma.dec_tlu_dma_qos_prty @[dma_ctrl.scala 345:22] - node _T_1125 = geq(dma_nack_count, dma_nack_count_csr) @[dma_ctrl.scala 346:45] - node _T_1126 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 346:115] - node _T_1127 = eq(_T_1126, UInt<1>("h00")) @[dma_ctrl.scala 346:77] - node _T_1128 = bits(_T_1127, 0, 0) @[Bitwise.scala 72:15] - node _T_1129 = mux(_T_1128, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_1130 = bits(dma_nack_count, 2, 0) @[dma_ctrl.scala 346:171] - node _T_1131 = and(_T_1129, _T_1130) @[dma_ctrl.scala 346:155] - node _T_1132 = bits(dma_mem_req, 0, 0) @[dma_ctrl.scala 346:196] - node _T_1133 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 346:243] - node _T_1134 = eq(_T_1133, UInt<1>("h00")) @[dma_ctrl.scala 346:205] - node _T_1135 = and(_T_1132, _T_1134) @[dma_ctrl.scala 346:203] - node _T_1136 = bits(dma_nack_count, 2, 0) @[dma_ctrl.scala 346:298] - node _T_1137 = add(_T_1136, UInt<1>("h01")) @[dma_ctrl.scala 346:304] - node _T_1138 = tail(_T_1137, 1) @[dma_ctrl.scala 346:304] - node _T_1139 = mux(_T_1135, _T_1138, UInt<1>("h00")) @[dma_ctrl.scala 346:182] - node dma_nack_count_d = mux(_T_1125, _T_1131, _T_1139) @[dma_ctrl.scala 346:29] - node _T_1140 = bits(dma_nack_count_d, 2, 0) @[dma_ctrl.scala 349:31] - node _T_1141 = bits(dma_mem_req, 0, 0) @[dma_ctrl.scala 349:55] - reg _T_1142 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_1141 : @[Reg.scala 28:19] - _T_1142 <= _T_1140 @[Reg.scala 28:23] + node _T_979 = add(_T_966, _T_969) @[dma_ctrl.scala 293:102] + node _T_980 = tail(_T_979, 1) @[dma_ctrl.scala 293:102] + node _T_981 = add(_T_980, _T_972) @[dma_ctrl.scala 293:102] + node _T_982 = tail(_T_981, 1) @[dma_ctrl.scala 293:102] + node _T_983 = add(_T_982, _T_975) @[dma_ctrl.scala 293:102] + node _T_984 = tail(_T_983, 1) @[dma_ctrl.scala 293:102] + node _T_985 = add(_T_984, _T_978) @[dma_ctrl.scala 293:102] + node _T_986 = tail(_T_985, 1) @[dma_ctrl.scala 293:102] + num_fifo_vld_tmp2 <= _T_986 @[dma_ctrl.scala 293:25] + node _T_987 = add(num_fifo_vld_tmp, num_fifo_vld_tmp2) @[dma_ctrl.scala 295:45] + node _T_988 = tail(_T_987, 1) @[dma_ctrl.scala 295:45] + num_fifo_vld <= _T_988 @[dma_ctrl.scala 295:25] + node fifo_full_spec = geq(num_fifo_vld, UInt<3>("h05")) @[dma_ctrl.scala 297:41] + node _T_989 = or(fifo_full, dbg_dma_bubble_bus) @[dma_ctrl.scala 299:39] + node dma_fifo_ready = not(_T_989) @[dma_ctrl.scala 299:27] + node _T_990 = dshr(fifo_valid, RdPtr) @[dma_ctrl.scala 303:38] + node _T_991 = bits(_T_990, 0, 0) @[dma_ctrl.scala 303:38] + node _T_992 = dshr(fifo_done, RdPtr) @[dma_ctrl.scala 303:58] + node _T_993 = bits(_T_992, 0, 0) @[dma_ctrl.scala 303:58] + node _T_994 = eq(_T_993, UInt<1>("h00")) @[dma_ctrl.scala 303:48] + node _T_995 = and(_T_991, _T_994) @[dma_ctrl.scala 303:46] + node _T_996 = dshr(fifo_dbg, RdPtr) @[dma_ctrl.scala 303:77] + node _T_997 = bits(_T_996, 0, 0) @[dma_ctrl.scala 303:77] + node _T_998 = eq(_T_997, UInt<1>("h00")) @[dma_ctrl.scala 303:68] + node _T_999 = and(_T_995, _T_998) @[dma_ctrl.scala 303:66] + node _T_1000 = or(dma_mem_addr_in_dccm, dma_mem_addr_in_iccm) @[dma_ctrl.scala 303:111] + node _T_1001 = not(_T_1000) @[dma_ctrl.scala 303:88] + node _T_1002 = and(_T_999, _T_1001) @[dma_ctrl.scala 303:85] + dma_address_error <= _T_1002 @[dma_ctrl.scala 303:25] + node _T_1003 = dshr(fifo_valid, RdPtr) @[dma_ctrl.scala 304:38] + node _T_1004 = bits(_T_1003, 0, 0) @[dma_ctrl.scala 304:38] + node _T_1005 = dshr(fifo_done, RdPtr) @[dma_ctrl.scala 304:58] + node _T_1006 = bits(_T_1005, 0, 0) @[dma_ctrl.scala 304:58] + node _T_1007 = eq(_T_1006, UInt<1>("h00")) @[dma_ctrl.scala 304:48] + node _T_1008 = and(_T_1004, _T_1007) @[dma_ctrl.scala 304:46] + node _T_1009 = eq(dma_address_error, UInt<1>("h00")) @[dma_ctrl.scala 304:68] + node _T_1010 = and(_T_1008, _T_1009) @[dma_ctrl.scala 304:66] + node _T_1011 = bits(dma_mem_sz_int, 2, 0) @[dma_ctrl.scala 305:22] + node _T_1012 = eq(_T_1011, UInt<1>("h01")) @[dma_ctrl.scala 305:28] + node _T_1013 = bits(dma_mem_addr_int, 0, 0) @[dma_ctrl.scala 305:55] + node _T_1014 = and(_T_1012, _T_1013) @[dma_ctrl.scala 305:37] + node _T_1015 = bits(dma_mem_sz_int, 2, 0) @[dma_ctrl.scala 306:23] + node _T_1016 = eq(_T_1015, UInt<2>("h02")) @[dma_ctrl.scala 306:29] + node _T_1017 = bits(dma_mem_addr_int, 1, 0) @[dma_ctrl.scala 306:57] + node _T_1018 = orr(_T_1017) @[dma_ctrl.scala 306:64] + node _T_1019 = and(_T_1016, _T_1018) @[dma_ctrl.scala 306:38] + node _T_1020 = or(_T_1014, _T_1019) @[dma_ctrl.scala 305:60] + node _T_1021 = bits(dma_mem_sz_int, 2, 0) @[dma_ctrl.scala 307:23] + node _T_1022 = eq(_T_1021, UInt<2>("h03")) @[dma_ctrl.scala 307:29] + node _T_1023 = bits(dma_mem_addr_int, 2, 0) @[dma_ctrl.scala 307:57] + node _T_1024 = orr(_T_1023) @[dma_ctrl.scala 307:64] + node _T_1025 = and(_T_1022, _T_1024) @[dma_ctrl.scala 307:38] + node _T_1026 = or(_T_1020, _T_1025) @[dma_ctrl.scala 306:70] + node _T_1027 = bits(dma_mem_sz_int, 1, 0) @[dma_ctrl.scala 308:48] + node _T_1028 = eq(_T_1027, UInt<2>("h02")) @[dma_ctrl.scala 308:55] + node _T_1029 = bits(dma_mem_sz_int, 1, 0) @[dma_ctrl.scala 308:81] + node _T_1030 = eq(_T_1029, UInt<2>("h03")) @[dma_ctrl.scala 308:88] + node _T_1031 = or(_T_1028, _T_1030) @[dma_ctrl.scala 308:64] + node _T_1032 = not(_T_1031) @[dma_ctrl.scala 308:31] + node _T_1033 = and(dma_mem_addr_in_iccm, _T_1032) @[dma_ctrl.scala 308:29] + node _T_1034 = or(_T_1026, _T_1033) @[dma_ctrl.scala 307:70] + node _T_1035 = and(dma_mem_addr_in_dccm, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[dma_ctrl.scala 309:29] + node _T_1036 = bits(dma_mem_sz_int, 1, 0) @[dma_ctrl.scala 309:87] + node _T_1037 = eq(_T_1036, UInt<2>("h02")) @[dma_ctrl.scala 309:94] + node _T_1038 = bits(dma_mem_sz_int, 1, 0) @[dma_ctrl.scala 309:120] + node _T_1039 = eq(_T_1038, UInt<2>("h03")) @[dma_ctrl.scala 309:127] + node _T_1040 = or(_T_1037, _T_1039) @[dma_ctrl.scala 309:103] + node _T_1041 = not(_T_1040) @[dma_ctrl.scala 309:70] + node _T_1042 = and(_T_1035, _T_1041) @[dma_ctrl.scala 309:68] + node _T_1043 = or(_T_1034, _T_1042) @[dma_ctrl.scala 308:108] + node _T_1044 = bits(dma_mem_sz_int, 2, 0) @[dma_ctrl.scala 310:62] + node _T_1045 = eq(_T_1044, UInt<2>("h02")) @[dma_ctrl.scala 310:69] + node _T_1046 = and(io.lsu_dma.dma_lsc_ctl.dma_mem_write, _T_1045) @[dma_ctrl.scala 310:45] + node _T_1047 = bits(dma_mem_addr_int, 2, 0) @[dma_ctrl.scala 310:108] + node _T_1048 = eq(_T_1047, UInt<1>("h00")) @[dma_ctrl.scala 310:114] + node _T_1049 = bits(dma_mem_byteen, 3, 0) @[dma_ctrl.scala 310:141] + node _T_1050 = bits(dma_mem_addr_int, 2, 0) @[dma_ctrl.scala 311:26] + node _T_1051 = eq(_T_1050, UInt<1>("h01")) @[dma_ctrl.scala 311:32] + node _T_1052 = bits(dma_mem_byteen, 4, 1) @[dma_ctrl.scala 311:59] + node _T_1053 = bits(dma_mem_addr_int, 2, 0) @[dma_ctrl.scala 312:26] + node _T_1054 = eq(_T_1053, UInt<2>("h02")) @[dma_ctrl.scala 312:32] + node _T_1055 = bits(dma_mem_byteen, 5, 2) @[dma_ctrl.scala 312:59] + node _T_1056 = bits(dma_mem_addr_int, 2, 0) @[dma_ctrl.scala 313:26] + node _T_1057 = eq(_T_1056, UInt<2>("h03")) @[dma_ctrl.scala 313:32] + node _T_1058 = bits(dma_mem_byteen, 6, 3) @[dma_ctrl.scala 313:59] + node _T_1059 = bits(dma_mem_addr_int, 2, 0) @[dma_ctrl.scala 314:26] + node _T_1060 = eq(_T_1059, UInt<3>("h04")) @[dma_ctrl.scala 314:32] + node _T_1061 = bits(dma_mem_byteen, 7, 4) @[dma_ctrl.scala 314:59] + node _T_1062 = bits(dma_mem_addr_int, 2, 0) @[dma_ctrl.scala 315:26] + node _T_1063 = eq(_T_1062, UInt<3>("h05")) @[dma_ctrl.scala 315:32] + node _T_1064 = bits(dma_mem_byteen, 7, 5) @[dma_ctrl.scala 315:59] + node _T_1065 = bits(dma_mem_addr_int, 2, 0) @[dma_ctrl.scala 316:26] + node _T_1066 = eq(_T_1065, UInt<3>("h06")) @[dma_ctrl.scala 316:32] + node _T_1067 = bits(dma_mem_byteen, 7, 6) @[dma_ctrl.scala 316:59] + node _T_1068 = bits(dma_mem_addr_int, 2, 0) @[dma_ctrl.scala 317:26] + node _T_1069 = eq(_T_1068, UInt<3>("h07")) @[dma_ctrl.scala 317:32] + node _T_1070 = bits(dma_mem_byteen, 7, 7) @[dma_ctrl.scala 317:59] + node _T_1071 = mux(_T_1048, _T_1049, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1072 = mux(_T_1051, _T_1052, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1073 = mux(_T_1054, _T_1055, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1074 = mux(_T_1057, _T_1058, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1075 = mux(_T_1060, _T_1061, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1076 = mux(_T_1063, _T_1064, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1077 = mux(_T_1066, _T_1067, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1078 = mux(_T_1069, _T_1070, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1079 = or(_T_1071, _T_1072) @[Mux.scala 27:72] + node _T_1080 = or(_T_1079, _T_1073) @[Mux.scala 27:72] + node _T_1081 = or(_T_1080, _T_1074) @[Mux.scala 27:72] + node _T_1082 = or(_T_1081, _T_1075) @[Mux.scala 27:72] + node _T_1083 = or(_T_1082, _T_1076) @[Mux.scala 27:72] + node _T_1084 = or(_T_1083, _T_1077) @[Mux.scala 27:72] + node _T_1085 = or(_T_1084, _T_1078) @[Mux.scala 27:72] + wire _T_1086 : UInt<4> @[Mux.scala 27:72] + _T_1086 <= _T_1085 @[Mux.scala 27:72] + node _T_1087 = neq(_T_1086, UInt<4>("h0f")) @[dma_ctrl.scala 317:66] + node _T_1088 = and(_T_1046, _T_1087) @[dma_ctrl.scala 310:78] + node _T_1089 = or(_T_1043, _T_1088) @[dma_ctrl.scala 309:145] + node _T_1090 = bits(dma_mem_sz_int, 2, 0) @[dma_ctrl.scala 318:62] + node _T_1091 = eq(_T_1090, UInt<2>("h03")) @[dma_ctrl.scala 318:69] + node _T_1092 = and(io.lsu_dma.dma_lsc_ctl.dma_mem_write, _T_1091) @[dma_ctrl.scala 318:45] + node _T_1093 = bits(dma_mem_byteen, 7, 0) @[dma_ctrl.scala 318:97] + node _T_1094 = eq(_T_1093, UInt<4>("h0f")) @[dma_ctrl.scala 318:103] + node _T_1095 = bits(dma_mem_byteen, 7, 0) @[dma_ctrl.scala 318:133] + node _T_1096 = eq(_T_1095, UInt<8>("h0f0")) @[dma_ctrl.scala 318:139] + node _T_1097 = or(_T_1094, _T_1096) @[dma_ctrl.scala 318:116] + node _T_1098 = bits(dma_mem_byteen, 7, 0) @[dma_ctrl.scala 318:169] + node _T_1099 = eq(_T_1098, UInt<8>("h0ff")) @[dma_ctrl.scala 318:175] + node _T_1100 = or(_T_1097, _T_1099) @[dma_ctrl.scala 318:152] + node _T_1101 = eq(_T_1100, UInt<1>("h00")) @[dma_ctrl.scala 318:80] + node _T_1102 = and(_T_1092, _T_1101) @[dma_ctrl.scala 318:78] + node _T_1103 = or(_T_1089, _T_1102) @[dma_ctrl.scala 317:79] + node _T_1104 = and(_T_1010, _T_1103) @[dma_ctrl.scala 304:87] + dma_alignment_error <= _T_1104 @[dma_ctrl.scala 304:25] + node _T_1105 = and(fifo_empty, dbg_dma_bubble_bus) @[dma_ctrl.scala 323:50] + io.dbg_dma_io.dma_dbg_ready <= _T_1105 @[dma_ctrl.scala 323:36] + node _T_1106 = dshr(fifo_valid, RspPtr) @[dma_ctrl.scala 324:39] + node _T_1107 = bits(_T_1106, 0, 0) @[dma_ctrl.scala 324:39] + node _T_1108 = dshr(fifo_dbg, RspPtr) @[dma_ctrl.scala 324:58] + node _T_1109 = bits(_T_1108, 0, 0) @[dma_ctrl.scala 324:58] + node _T_1110 = and(_T_1107, _T_1109) @[dma_ctrl.scala 324:48] + node _T_1111 = dshr(fifo_done, RspPtr) @[dma_ctrl.scala 324:78] + node _T_1112 = bits(_T_1111, 0, 0) @[dma_ctrl.scala 324:78] + node _T_1113 = and(_T_1110, _T_1112) @[dma_ctrl.scala 324:67] + io.dma_dbg_cmd_done <= _T_1113 @[dma_ctrl.scala 324:25] + node _T_1114 = bits(fifo_addr[RspPtr], 2, 2) @[dma_ctrl.scala 325:49] + node _T_1115 = bits(fifo_data[RspPtr], 63, 32) @[dma_ctrl.scala 325:71] + node _T_1116 = bits(fifo_data[RspPtr], 31, 0) @[dma_ctrl.scala 325:98] + node _T_1117 = mux(_T_1114, _T_1115, _T_1116) @[dma_ctrl.scala 325:31] + io.dma_dbg_rddata <= _T_1117 @[dma_ctrl.scala 325:25] + node _T_1118 = orr(fifo_error[RspPtr]) @[dma_ctrl.scala 326:47] + io.dma_dbg_cmd_fail <= _T_1118 @[dma_ctrl.scala 326:25] + node _T_1119 = dshr(fifo_valid, RdPtr) @[dma_ctrl.scala 328:38] + node _T_1120 = bits(_T_1119, 0, 0) @[dma_ctrl.scala 328:38] + node _T_1121 = dshr(fifo_done, RdPtr) @[dma_ctrl.scala 328:58] + node _T_1122 = bits(_T_1121, 0, 0) @[dma_ctrl.scala 328:58] + node _T_1123 = eq(_T_1122, UInt<1>("h00")) @[dma_ctrl.scala 328:48] + node _T_1124 = and(_T_1120, _T_1123) @[dma_ctrl.scala 328:46] + node _T_1125 = dshr(fifo_dbg, RdPtr) @[dma_ctrl.scala 328:76] + node _T_1126 = bits(_T_1125, 0, 0) @[dma_ctrl.scala 328:76] + node _T_1127 = and(_T_1124, _T_1126) @[dma_ctrl.scala 328:66] + node _T_1128 = or(dma_mem_addr_in_dccm, dma_mem_addr_in_iccm) @[dma_ctrl.scala 328:111] + node _T_1129 = or(_T_1128, dma_mem_addr_in_pic) @[dma_ctrl.scala 328:134] + node _T_1130 = not(_T_1129) @[dma_ctrl.scala 328:88] + node _T_1131 = bits(_T_1130, 0, 0) @[dma_ctrl.scala 328:164] + node _T_1132 = bits(dma_mem_sz_int, 1, 0) @[dma_ctrl.scala 328:184] + node _T_1133 = neq(_T_1132, UInt<2>("h02")) @[dma_ctrl.scala 328:191] + node _T_1134 = or(_T_1131, _T_1133) @[dma_ctrl.scala 328:167] + node _T_1135 = and(_T_1127, _T_1134) @[dma_ctrl.scala 328:84] + dma_dbg_cmd_error <= _T_1135 @[dma_ctrl.scala 328:25] + node _T_1136 = or(dma_mem_addr_in_dccm, dma_mem_addr_in_pic) @[dma_ctrl.scala 332:80] + node _T_1137 = and(dma_mem_req, _T_1136) @[dma_ctrl.scala 332:56] + node _T_1138 = geq(dma_nack_count, dma_nack_count_csr) @[dma_ctrl.scala 332:121] + node _T_1139 = and(_T_1137, _T_1138) @[dma_ctrl.scala 332:103] + io.dec_dma.tlu_dma.dma_dccm_stall_any <= _T_1139 @[dma_ctrl.scala 332:41] + node _T_1140 = and(dma_mem_req, dma_mem_addr_in_iccm) @[dma_ctrl.scala 333:56] + node _T_1141 = geq(dma_nack_count, dma_nack_count_csr) @[dma_ctrl.scala 333:97] + node _T_1142 = and(_T_1140, _T_1141) @[dma_ctrl.scala 333:79] + io.ifu_dma.dma_ifc.dma_iccm_stall_any <= _T_1142 @[dma_ctrl.scala 333:41] + io.dec_dma.tlu_dma.dma_iccm_stall_any <= io.ifu_dma.dma_ifc.dma_iccm_stall_any @[dma_ctrl.scala 334:41] + io.dec_dma.dctl_dma.dma_dccm_stall_any <= io.dec_dma.tlu_dma.dma_dccm_stall_any @[dma_ctrl.scala 335:42] + node _T_1143 = orr(fifo_valid) @[dma_ctrl.scala 338:30] + node _T_1144 = not(_T_1143) @[dma_ctrl.scala 338:17] + fifo_empty <= _T_1144 @[dma_ctrl.scala 338:14] + dma_nack_count_csr <= io.dec_dma.tlu_dma.dec_tlu_dma_qos_prty @[dma_ctrl.scala 342:22] + node _T_1145 = geq(dma_nack_count, dma_nack_count_csr) @[dma_ctrl.scala 343:45] + node _T_1146 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 343:115] + node _T_1147 = eq(_T_1146, UInt<1>("h00")) @[dma_ctrl.scala 343:77] + node _T_1148 = bits(_T_1147, 0, 0) @[Bitwise.scala 72:15] + node _T_1149 = mux(_T_1148, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_1150 = bits(dma_nack_count, 2, 0) @[dma_ctrl.scala 343:171] + node _T_1151 = and(_T_1149, _T_1150) @[dma_ctrl.scala 343:155] + node _T_1152 = bits(dma_mem_req, 0, 0) @[dma_ctrl.scala 343:196] + node _T_1153 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 343:243] + node _T_1154 = eq(_T_1153, UInt<1>("h00")) @[dma_ctrl.scala 343:205] + node _T_1155 = and(_T_1152, _T_1154) @[dma_ctrl.scala 343:203] + node _T_1156 = bits(dma_nack_count, 2, 0) @[dma_ctrl.scala 343:298] + node _T_1157 = add(_T_1156, UInt<1>("h01")) @[dma_ctrl.scala 343:304] + node _T_1158 = tail(_T_1157, 1) @[dma_ctrl.scala 343:304] + node _T_1159 = mux(_T_1155, _T_1158, UInt<1>("h00")) @[dma_ctrl.scala 343:182] + node dma_nack_count_d = mux(_T_1145, _T_1151, _T_1159) @[dma_ctrl.scala 343:29] + node _T_1160 = bits(dma_nack_count_d, 2, 0) @[dma_ctrl.scala 346:31] + node _T_1161 = bits(dma_mem_req, 0, 0) @[dma_ctrl.scala 346:55] + reg _T_1162 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1161 : @[Reg.scala 28:19] + _T_1162 <= _T_1160 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - dma_nack_count <= _T_1142 @[dma_ctrl.scala 348:22] - node _T_1143 = dshr(fifo_valid, RdPtr) @[dma_ctrl.scala 354:33] - node _T_1144 = bits(_T_1143, 0, 0) @[dma_ctrl.scala 354:33] - node _T_1145 = dshr(fifo_rpend, RdPtr) @[dma_ctrl.scala 354:54] - node _T_1146 = bits(_T_1145, 0, 0) @[dma_ctrl.scala 354:54] - node _T_1147 = eq(_T_1146, UInt<1>("h00")) @[dma_ctrl.scala 354:43] - node _T_1148 = and(_T_1144, _T_1147) @[dma_ctrl.scala 354:41] - node _T_1149 = dshr(fifo_done, RdPtr) @[dma_ctrl.scala 354:74] - node _T_1150 = bits(_T_1149, 0, 0) @[dma_ctrl.scala 354:74] - node _T_1151 = eq(_T_1150, UInt<1>("h00")) @[dma_ctrl.scala 354:64] - node _T_1152 = and(_T_1148, _T_1151) @[dma_ctrl.scala 354:62] - node _T_1153 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 354:104] - node _T_1154 = or(_T_1153, dma_dbg_cmd_error) @[dma_ctrl.scala 354:126] - node _T_1155 = eq(_T_1154, UInt<1>("h00")) @[dma_ctrl.scala 354:84] - node _T_1156 = and(_T_1152, _T_1155) @[dma_ctrl.scala 354:82] - dma_mem_req <= _T_1156 @[dma_ctrl.scala 354:20] - node _T_1157 = or(dma_mem_addr_in_dccm, dma_mem_addr_in_pic) @[dma_ctrl.scala 355:79] - node _T_1158 = and(dma_mem_req, _T_1157) @[dma_ctrl.scala 355:55] - node _T_1159 = and(_T_1158, io.lsu_dma.dccm_ready) @[dma_ctrl.scala 355:102] - io.lsu_dma.dma_lsc_ctl.dma_dccm_req <= _T_1159 @[dma_ctrl.scala 355:40] - node _T_1160 = and(dma_mem_req, dma_mem_addr_in_iccm) @[dma_ctrl.scala 356:55] - node _T_1161 = and(_T_1160, io.iccm_ready) @[dma_ctrl.scala 356:78] - io.ifu_dma.dma_mem_ctl.dma_iccm_req <= _T_1161 @[dma_ctrl.scala 356:40] - io.lsu_dma.dma_mem_tag <= RdPtr @[dma_ctrl.scala 357:28] - dma_mem_addr_int <= fifo_addr[RdPtr] @[dma_ctrl.scala 358:20] - dma_mem_sz_int <= fifo_sz[RdPtr] @[dma_ctrl.scala 359:20] - node _T_1162 = bits(dma_mem_byteen, 7, 0) @[dma_ctrl.scala 360:101] - node _T_1163 = eq(_T_1162, UInt<8>("h0f0")) @[dma_ctrl.scala 360:107] - node _T_1164 = and(io.lsu_dma.dma_lsc_ctl.dma_mem_write, _T_1163) @[dma_ctrl.scala 360:84] - node _T_1165 = bits(dma_mem_addr_int, 31, 3) @[dma_ctrl.scala 360:141] - node _T_1166 = bits(dma_mem_addr_int, 1, 0) @[dma_ctrl.scala 360:171] - node _T_1167 = cat(_T_1165, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_1168 = cat(_T_1167, _T_1166) @[Cat.scala 29:58] - node _T_1169 = bits(dma_mem_addr_int, 31, 0) @[dma_ctrl.scala 360:196] - node _T_1170 = mux(_T_1164, _T_1168, _T_1169) @[dma_ctrl.scala 360:46] - io.lsu_dma.dma_lsc_ctl.dma_mem_addr <= _T_1170 @[dma_ctrl.scala 360:40] - node _T_1171 = bits(dma_mem_byteen, 7, 0) @[dma_ctrl.scala 361:102] - node _T_1172 = eq(_T_1171, UInt<4>("h0f")) @[dma_ctrl.scala 361:108] - node _T_1173 = bits(dma_mem_byteen, 7, 0) @[dma_ctrl.scala 361:138] - node _T_1174 = eq(_T_1173, UInt<8>("h0f0")) @[dma_ctrl.scala 361:144] - node _T_1175 = or(_T_1172, _T_1174) @[dma_ctrl.scala 361:121] - node _T_1176 = and(io.lsu_dma.dma_lsc_ctl.dma_mem_write, _T_1175) @[dma_ctrl.scala 361:84] - node _T_1177 = bits(dma_mem_sz_int, 2, 0) @[dma_ctrl.scala 361:178] - node _T_1178 = mux(_T_1176, UInt<2>("h02"), _T_1177) @[dma_ctrl.scala 361:46] - io.lsu_dma.dma_lsc_ctl.dma_mem_sz <= _T_1178 @[dma_ctrl.scala 361:40] - dma_mem_byteen <= fifo_byteen[RdPtr] @[dma_ctrl.scala 362:20] - node _T_1179 = dshr(fifo_write, RdPtr) @[dma_ctrl.scala 363:53] - node _T_1180 = bits(_T_1179, 0, 0) @[dma_ctrl.scala 363:53] - io.lsu_dma.dma_lsc_ctl.dma_mem_write <= _T_1180 @[dma_ctrl.scala 363:40] - io.lsu_dma.dma_lsc_ctl.dma_mem_wdata <= fifo_data[RdPtr] @[dma_ctrl.scala 364:40] - node _T_1181 = eq(io.lsu_dma.dma_lsc_ctl.dma_mem_write, UInt<1>("h00")) @[dma_ctrl.scala 368:83] - node _T_1182 = and(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, _T_1181) @[dma_ctrl.scala 368:81] - io.dec_dma.tlu_dma.dma_pmu_dccm_read <= _T_1182 @[dma_ctrl.scala 368:42] - node _T_1183 = and(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[dma_ctrl.scala 369:81] - io.dec_dma.tlu_dma.dma_pmu_dccm_write <= _T_1183 @[dma_ctrl.scala 369:42] - node _T_1184 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 370:82] - node _T_1185 = eq(io.lsu_dma.dma_lsc_ctl.dma_mem_write, UInt<1>("h00")) @[dma_ctrl.scala 370:123] - node _T_1186 = and(_T_1184, _T_1185) @[dma_ctrl.scala 370:121] - io.dec_dma.tlu_dma.dma_pmu_any_read <= _T_1186 @[dma_ctrl.scala 370:42] - node _T_1187 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 371:82] - node _T_1188 = and(_T_1187, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[dma_ctrl.scala 371:121] - io.dec_dma.tlu_dma.dma_pmu_any_write <= _T_1188 @[dma_ctrl.scala 371:42] - reg _T_1189 : UInt<1>, dma_bus_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 376:12] - _T_1189 <= fifo_full_spec @[dma_ctrl.scala 376:12] - fifo_full <= _T_1189 @[dma_ctrl.scala 375:22] - reg _T_1190 : UInt<1>, dma_bus_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 380:12] - _T_1190 <= io.dbg_dma_io.dbg_dma_bubble @[dma_ctrl.scala 380:12] - dbg_dma_bubble_bus <= _T_1190 @[dma_ctrl.scala 379:22] - reg _T_1191 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 384:12] - _T_1191 <= io.dma_dbg_cmd_done @[dma_ctrl.scala 384:12] - dma_dbg_cmd_done_q <= _T_1191 @[dma_ctrl.scala 383:22] - node _T_1192 = and(bus_cmd_valid, io.dma_bus_clk_en) @[dma_ctrl.scala 389:44] - node _T_1193 = or(_T_1192, io.dbg_dma.dbg_ib.dbg_cmd_valid) @[dma_ctrl.scala 389:65] - node dma_buffer_c1_clken = or(_T_1193, io.clk_override) @[dma_ctrl.scala 389:99] - node _T_1194 = or(bus_cmd_valid, bus_rsp_valid) @[dma_ctrl.scala 390:44] - node _T_1195 = or(_T_1194, io.dbg_dma.dbg_ib.dbg_cmd_valid) @[dma_ctrl.scala 390:60] - node _T_1196 = or(_T_1195, io.dma_dbg_cmd_done) @[dma_ctrl.scala 390:94] - node _T_1197 = or(_T_1196, dma_dbg_cmd_done_q) @[dma_ctrl.scala 390:116] - node _T_1198 = orr(fifo_valid) @[dma_ctrl.scala 390:151] - node _T_1199 = or(_T_1197, _T_1198) @[dma_ctrl.scala 390:137] - node dma_free_clken = or(_T_1199, io.clk_override) @[dma_ctrl.scala 390:156] - inst dma_buffer_c1cgc of rvclkhdr_841 @[dma_ctrl.scala 392:32] + dma_nack_count <= _T_1162 @[dma_ctrl.scala 345:22] + node _T_1163 = dshr(fifo_valid, RdPtr) @[dma_ctrl.scala 351:33] + node _T_1164 = bits(_T_1163, 0, 0) @[dma_ctrl.scala 351:33] + node _T_1165 = dshr(fifo_rpend, RdPtr) @[dma_ctrl.scala 351:54] + node _T_1166 = bits(_T_1165, 0, 0) @[dma_ctrl.scala 351:54] + node _T_1167 = eq(_T_1166, UInt<1>("h00")) @[dma_ctrl.scala 351:43] + node _T_1168 = and(_T_1164, _T_1167) @[dma_ctrl.scala 351:41] + node _T_1169 = dshr(fifo_done, RdPtr) @[dma_ctrl.scala 351:74] + node _T_1170 = bits(_T_1169, 0, 0) @[dma_ctrl.scala 351:74] + node _T_1171 = eq(_T_1170, UInt<1>("h00")) @[dma_ctrl.scala 351:64] + node _T_1172 = and(_T_1168, _T_1171) @[dma_ctrl.scala 351:62] + node _T_1173 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 351:104] + node _T_1174 = or(_T_1173, dma_dbg_cmd_error) @[dma_ctrl.scala 351:126] + node _T_1175 = eq(_T_1174, UInt<1>("h00")) @[dma_ctrl.scala 351:84] + node _T_1176 = and(_T_1172, _T_1175) @[dma_ctrl.scala 351:82] + dma_mem_req <= _T_1176 @[dma_ctrl.scala 351:20] + node _T_1177 = or(dma_mem_addr_in_dccm, dma_mem_addr_in_pic) @[dma_ctrl.scala 352:79] + node _T_1178 = and(dma_mem_req, _T_1177) @[dma_ctrl.scala 352:55] + node _T_1179 = and(_T_1178, io.lsu_dma.dccm_ready) @[dma_ctrl.scala 352:102] + io.lsu_dma.dma_lsc_ctl.dma_dccm_req <= _T_1179 @[dma_ctrl.scala 352:40] + node _T_1180 = and(dma_mem_req, dma_mem_addr_in_iccm) @[dma_ctrl.scala 353:55] + node _T_1181 = and(_T_1180, io.iccm_ready) @[dma_ctrl.scala 353:78] + io.ifu_dma.dma_mem_ctl.dma_iccm_req <= _T_1181 @[dma_ctrl.scala 353:40] + io.lsu_dma.dma_mem_tag <= RdPtr @[dma_ctrl.scala 354:28] + dma_mem_addr_int <= fifo_addr[RdPtr] @[dma_ctrl.scala 355:20] + dma_mem_sz_int <= fifo_sz[RdPtr] @[dma_ctrl.scala 356:20] + node _T_1182 = bits(dma_mem_byteen, 7, 0) @[dma_ctrl.scala 357:101] + node _T_1183 = eq(_T_1182, UInt<8>("h0f0")) @[dma_ctrl.scala 357:107] + node _T_1184 = and(io.lsu_dma.dma_lsc_ctl.dma_mem_write, _T_1183) @[dma_ctrl.scala 357:84] + node _T_1185 = bits(dma_mem_addr_int, 31, 3) @[dma_ctrl.scala 357:141] + node _T_1186 = bits(dma_mem_addr_int, 1, 0) @[dma_ctrl.scala 357:171] + node _T_1187 = cat(_T_1185, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_1188 = cat(_T_1187, _T_1186) @[Cat.scala 29:58] + node _T_1189 = bits(dma_mem_addr_int, 31, 0) @[dma_ctrl.scala 357:196] + node _T_1190 = mux(_T_1184, _T_1188, _T_1189) @[dma_ctrl.scala 357:46] + io.lsu_dma.dma_lsc_ctl.dma_mem_addr <= _T_1190 @[dma_ctrl.scala 357:40] + node _T_1191 = bits(dma_mem_byteen, 7, 0) @[dma_ctrl.scala 358:102] + node _T_1192 = eq(_T_1191, UInt<4>("h0f")) @[dma_ctrl.scala 358:108] + node _T_1193 = bits(dma_mem_byteen, 7, 0) @[dma_ctrl.scala 358:138] + node _T_1194 = eq(_T_1193, UInt<8>("h0f0")) @[dma_ctrl.scala 358:144] + node _T_1195 = or(_T_1192, _T_1194) @[dma_ctrl.scala 358:121] + node _T_1196 = and(io.lsu_dma.dma_lsc_ctl.dma_mem_write, _T_1195) @[dma_ctrl.scala 358:84] + node _T_1197 = bits(dma_mem_sz_int, 2, 0) @[dma_ctrl.scala 358:178] + node _T_1198 = mux(_T_1196, UInt<2>("h02"), _T_1197) @[dma_ctrl.scala 358:46] + io.lsu_dma.dma_lsc_ctl.dma_mem_sz <= _T_1198 @[dma_ctrl.scala 358:40] + dma_mem_byteen <= fifo_byteen[RdPtr] @[dma_ctrl.scala 359:20] + node _T_1199 = dshr(fifo_write, RdPtr) @[dma_ctrl.scala 360:53] + node _T_1200 = bits(_T_1199, 0, 0) @[dma_ctrl.scala 360:53] + io.lsu_dma.dma_lsc_ctl.dma_mem_write <= _T_1200 @[dma_ctrl.scala 360:40] + io.lsu_dma.dma_lsc_ctl.dma_mem_wdata <= fifo_data[RdPtr] @[dma_ctrl.scala 361:40] + node _T_1201 = eq(io.lsu_dma.dma_lsc_ctl.dma_mem_write, UInt<1>("h00")) @[dma_ctrl.scala 365:83] + node _T_1202 = and(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, _T_1201) @[dma_ctrl.scala 365:81] + io.dec_dma.tlu_dma.dma_pmu_dccm_read <= _T_1202 @[dma_ctrl.scala 365:42] + node _T_1203 = and(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[dma_ctrl.scala 366:81] + io.dec_dma.tlu_dma.dma_pmu_dccm_write <= _T_1203 @[dma_ctrl.scala 366:42] + node _T_1204 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 367:82] + node _T_1205 = eq(io.lsu_dma.dma_lsc_ctl.dma_mem_write, UInt<1>("h00")) @[dma_ctrl.scala 367:123] + node _T_1206 = and(_T_1204, _T_1205) @[dma_ctrl.scala 367:121] + io.dec_dma.tlu_dma.dma_pmu_any_read <= _T_1206 @[dma_ctrl.scala 367:42] + node _T_1207 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 368:82] + node _T_1208 = and(_T_1207, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[dma_ctrl.scala 368:121] + io.dec_dma.tlu_dma.dma_pmu_any_write <= _T_1208 @[dma_ctrl.scala 368:42] + reg _T_1209 : UInt<1>, dma_bus_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 373:12] + _T_1209 <= fifo_full_spec @[dma_ctrl.scala 373:12] + fifo_full <= _T_1209 @[dma_ctrl.scala 372:22] + reg _T_1210 : UInt<1>, dma_bus_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 377:12] + _T_1210 <= io.dbg_dma_io.dbg_dma_bubble @[dma_ctrl.scala 377:12] + dbg_dma_bubble_bus <= _T_1210 @[dma_ctrl.scala 376:22] + reg _T_1211 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 381:12] + _T_1211 <= io.dma_dbg_cmd_done @[dma_ctrl.scala 381:12] + dma_dbg_cmd_done_q <= _T_1211 @[dma_ctrl.scala 380:22] + node _T_1212 = and(bus_cmd_valid, io.dma_bus_clk_en) @[dma_ctrl.scala 386:44] + node _T_1213 = or(_T_1212, io.dbg_dma.dbg_ib.dbg_cmd_valid) @[dma_ctrl.scala 386:65] + node dma_buffer_c1_clken = or(_T_1213, io.clk_override) @[dma_ctrl.scala 386:99] + node _T_1214 = or(bus_cmd_valid, bus_rsp_valid) @[dma_ctrl.scala 387:44] + node _T_1215 = or(_T_1214, io.dbg_dma.dbg_ib.dbg_cmd_valid) @[dma_ctrl.scala 387:60] + node _T_1216 = or(_T_1215, io.dma_dbg_cmd_done) @[dma_ctrl.scala 387:94] + node _T_1217 = or(_T_1216, dma_dbg_cmd_done_q) @[dma_ctrl.scala 387:116] + node _T_1218 = orr(fifo_valid) @[dma_ctrl.scala 387:151] + node _T_1219 = or(_T_1217, _T_1218) @[dma_ctrl.scala 387:137] + node dma_free_clken = or(_T_1219, io.clk_override) @[dma_ctrl.scala 387:156] + inst dma_buffer_c1cgc of rvclkhdr_841 @[dma_ctrl.scala 389:32] dma_buffer_c1cgc.clock <= clock dma_buffer_c1cgc.reset <= reset - dma_buffer_c1cgc.io.en <= dma_buffer_c1_clken @[dma_ctrl.scala 393:33] - dma_buffer_c1cgc.io.scan_mode <= io.scan_mode @[dma_ctrl.scala 394:33] - dma_buffer_c1cgc.io.clk <= clock @[dma_ctrl.scala 395:33] - dma_buffer_c1_clk <= dma_buffer_c1cgc.io.l1clk @[dma_ctrl.scala 396:33] - inst dma_free_cgc of rvclkhdr_842 @[dma_ctrl.scala 398:28] + dma_buffer_c1cgc.io.en <= dma_buffer_c1_clken @[dma_ctrl.scala 390:33] + dma_buffer_c1cgc.io.scan_mode <= io.scan_mode @[dma_ctrl.scala 391:33] + dma_buffer_c1cgc.io.clk <= clock @[dma_ctrl.scala 392:33] + dma_buffer_c1_clk <= dma_buffer_c1cgc.io.l1clk @[dma_ctrl.scala 393:33] + inst dma_free_cgc of rvclkhdr_842 @[dma_ctrl.scala 395:28] dma_free_cgc.clock <= clock dma_free_cgc.reset <= reset - dma_free_cgc.io.en <= dma_free_clken @[dma_ctrl.scala 399:29] - dma_free_cgc.io.scan_mode <= io.scan_mode @[dma_ctrl.scala 400:29] - dma_free_cgc.io.clk <= clock @[dma_ctrl.scala 401:29] - dma_free_clk <= dma_free_cgc.io.l1clk @[dma_ctrl.scala 402:29] - inst dma_bus_cgc of rvclkhdr_843 @[dma_ctrl.scala 404:27] + dma_free_cgc.io.en <= dma_free_clken @[dma_ctrl.scala 396:29] + dma_free_cgc.io.scan_mode <= io.scan_mode @[dma_ctrl.scala 397:29] + dma_free_cgc.io.clk <= clock @[dma_ctrl.scala 398:29] + dma_free_clk <= dma_free_cgc.io.l1clk @[dma_ctrl.scala 399:29] + inst dma_bus_cgc of rvclkhdr_843 @[dma_ctrl.scala 401:27] dma_bus_cgc.clock <= clock dma_bus_cgc.reset <= reset - dma_bus_cgc.io.en <= io.dma_bus_clk_en @[dma_ctrl.scala 405:28] - dma_bus_cgc.io.scan_mode <= io.scan_mode @[dma_ctrl.scala 406:28] - dma_bus_cgc.io.clk <= clock @[dma_ctrl.scala 407:28] - dma_bus_clk <= dma_bus_cgc.io.l1clk @[dma_ctrl.scala 408:28] - node wrbuf_en = and(io.dma_axi.aw.valid, io.dma_axi.aw.ready) @[dma_ctrl.scala 412:47] - node wrbuf_data_en = and(io.dma_axi.w.valid, io.dma_axi.w.ready) @[dma_ctrl.scala 413:46] - node wrbuf_cmd_sent = and(axi_mstr_prty_en, bus_cmd_write) @[dma_ctrl.scala 414:40] - node _T_1200 = bits(wrbuf_cmd_sent, 0, 0) @[dma_ctrl.scala 415:42] - node _T_1201 = eq(wrbuf_en, UInt<1>("h00")) @[dma_ctrl.scala 415:51] - node wrbuf_rst = and(_T_1200, _T_1201) @[dma_ctrl.scala 415:49] - node _T_1202 = bits(wrbuf_cmd_sent, 0, 0) @[dma_ctrl.scala 416:42] - node _T_1203 = eq(wrbuf_data_en, UInt<1>("h00")) @[dma_ctrl.scala 416:51] - node wrbuf_data_rst = and(_T_1202, _T_1203) @[dma_ctrl.scala 416:49] - node _T_1204 = mux(wrbuf_en, UInt<1>("h01"), wrbuf_vld) @[dma_ctrl.scala 418:63] - node _T_1205 = eq(wrbuf_rst, UInt<1>("h00")) @[dma_ctrl.scala 418:92] - node _T_1206 = and(_T_1204, _T_1205) @[dma_ctrl.scala 418:90] - reg _T_1207 : UInt, dma_bus_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 418:59] - _T_1207 <= _T_1206 @[dma_ctrl.scala 418:59] - wrbuf_vld <= _T_1207 @[dma_ctrl.scala 418:25] - node _T_1208 = mux(wrbuf_data_en, UInt<1>("h01"), wrbuf_data_vld) @[dma_ctrl.scala 420:63] - node _T_1209 = eq(wrbuf_data_rst, UInt<1>("h00")) @[dma_ctrl.scala 420:102] - node _T_1210 = and(_T_1208, _T_1209) @[dma_ctrl.scala 420:100] - reg _T_1211 : UInt, dma_bus_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 420:59] - _T_1211 <= _T_1210 @[dma_ctrl.scala 420:59] - wrbuf_data_vld <= _T_1211 @[dma_ctrl.scala 420:25] + dma_bus_cgc.io.en <= io.dma_bus_clk_en @[dma_ctrl.scala 402:28] + dma_bus_cgc.io.scan_mode <= io.scan_mode @[dma_ctrl.scala 403:28] + dma_bus_cgc.io.clk <= clock @[dma_ctrl.scala 404:28] + dma_bus_clk <= dma_bus_cgc.io.l1clk @[dma_ctrl.scala 405:28] + node wrbuf_en = and(io.dma_axi.aw.valid, io.dma_axi.aw.ready) @[dma_ctrl.scala 409:47] + node wrbuf_data_en = and(io.dma_axi.w.valid, io.dma_axi.w.ready) @[dma_ctrl.scala 410:46] + node wrbuf_cmd_sent = and(axi_mstr_prty_en, bus_cmd_write) @[dma_ctrl.scala 411:40] + node _T_1220 = bits(wrbuf_cmd_sent, 0, 0) @[dma_ctrl.scala 412:42] + node _T_1221 = eq(wrbuf_en, UInt<1>("h00")) @[dma_ctrl.scala 412:51] + node wrbuf_rst = and(_T_1220, _T_1221) @[dma_ctrl.scala 412:49] + node _T_1222 = bits(wrbuf_cmd_sent, 0, 0) @[dma_ctrl.scala 413:42] + node _T_1223 = eq(wrbuf_data_en, UInt<1>("h00")) @[dma_ctrl.scala 413:51] + node wrbuf_data_rst = and(_T_1222, _T_1223) @[dma_ctrl.scala 413:49] + node _T_1224 = mux(wrbuf_en, UInt<1>("h01"), wrbuf_vld) @[dma_ctrl.scala 415:63] + node _T_1225 = eq(wrbuf_rst, UInt<1>("h00")) @[dma_ctrl.scala 415:92] + node _T_1226 = and(_T_1224, _T_1225) @[dma_ctrl.scala 415:90] + reg _T_1227 : UInt, dma_bus_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 415:59] + _T_1227 <= _T_1226 @[dma_ctrl.scala 415:59] + wrbuf_vld <= _T_1227 @[dma_ctrl.scala 415:25] + node _T_1228 = mux(wrbuf_data_en, UInt<1>("h01"), wrbuf_data_vld) @[dma_ctrl.scala 417:63] + node _T_1229 = eq(wrbuf_data_rst, UInt<1>("h00")) @[dma_ctrl.scala 417:102] + node _T_1230 = and(_T_1228, _T_1229) @[dma_ctrl.scala 417:100] + reg _T_1231 : UInt, dma_bus_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 417:59] + _T_1231 <= _T_1230 @[dma_ctrl.scala 417:59] + wrbuf_data_vld <= _T_1231 @[dma_ctrl.scala 417:25] reg wrbuf_tag : UInt, dma_bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when wrbuf_en : @[Reg.scala 28:19] wrbuf_tag <= io.dma_axi.aw.bits.id @[Reg.scala 28:23] @@ -108781,21 +108804,21 @@ circuit quasar : when wrbuf_en : @[Reg.scala 28:19] wrbuf_sz <= io.dma_axi.aw.bits.size @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_1212 = and(wrbuf_en, io.dma_bus_clk_en) @[dma_ctrl.scala 430:68] + node _T_1232 = and(wrbuf_en, io.dma_bus_clk_en) @[dma_ctrl.scala 427:68] inst rvclkhdr_10 of rvclkhdr_844 @[lib.scala 368:23] rvclkhdr_10.clock <= clock rvclkhdr_10.reset <= reset rvclkhdr_10.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_10.io.en <= _T_1212 @[lib.scala 371:17] + rvclkhdr_10.io.en <= _T_1232 @[lib.scala 371:17] rvclkhdr_10.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg wrbuf_addr : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] wrbuf_addr <= io.dma_axi.aw.bits.addr @[lib.scala 374:16] - node _T_1213 = and(wrbuf_data_en, io.dma_bus_clk_en) @[dma_ctrl.scala 432:72] + node _T_1233 = and(wrbuf_data_en, io.dma_bus_clk_en) @[dma_ctrl.scala 429:72] inst rvclkhdr_11 of rvclkhdr_845 @[lib.scala 368:23] rvclkhdr_11.clock <= clock rvclkhdr_11.reset <= reset rvclkhdr_11.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_11.io.en <= _T_1213 @[lib.scala 371:17] + rvclkhdr_11.io.en <= _T_1233 @[lib.scala 371:17] rvclkhdr_11.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg wrbuf_data : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] wrbuf_data <= io.dma_axi.w.bits.data @[lib.scala 374:16] @@ -108803,18 +108826,18 @@ circuit quasar : when wrbuf_data_en : @[Reg.scala 28:19] wrbuf_byteen <= io.dma_axi.w.bits.strb @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node rdbuf_en = and(io.dma_axi.ar.valid, io.dma_axi.ar.ready) @[dma_ctrl.scala 440:59] - node _T_1214 = eq(bus_cmd_write, UInt<1>("h00")) @[dma_ctrl.scala 441:44] - node rdbuf_cmd_sent = and(axi_mstr_prty_en, _T_1214) @[dma_ctrl.scala 441:42] - node _T_1215 = bits(rdbuf_cmd_sent, 0, 0) @[dma_ctrl.scala 442:54] - node _T_1216 = eq(rdbuf_en, UInt<1>("h00")) @[dma_ctrl.scala 442:63] - node rdbuf_rst = and(_T_1215, _T_1216) @[dma_ctrl.scala 442:61] - node _T_1217 = mux(rdbuf_en, UInt<1>("h01"), rdbuf_vld) @[dma_ctrl.scala 444:51] - node _T_1218 = eq(rdbuf_rst, UInt<1>("h00")) @[dma_ctrl.scala 444:80] - node _T_1219 = and(_T_1217, _T_1218) @[dma_ctrl.scala 444:78] - reg _T_1220 : UInt, dma_bus_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 444:47] - _T_1220 <= _T_1219 @[dma_ctrl.scala 444:47] - rdbuf_vld <= _T_1220 @[dma_ctrl.scala 444:13] + node rdbuf_en = and(io.dma_axi.ar.valid, io.dma_axi.ar.ready) @[dma_ctrl.scala 437:59] + node _T_1234 = eq(bus_cmd_write, UInt<1>("h00")) @[dma_ctrl.scala 438:44] + node rdbuf_cmd_sent = and(axi_mstr_prty_en, _T_1234) @[dma_ctrl.scala 438:42] + node _T_1235 = bits(rdbuf_cmd_sent, 0, 0) @[dma_ctrl.scala 439:54] + node _T_1236 = eq(rdbuf_en, UInt<1>("h00")) @[dma_ctrl.scala 439:63] + node rdbuf_rst = and(_T_1235, _T_1236) @[dma_ctrl.scala 439:61] + node _T_1237 = mux(rdbuf_en, UInt<1>("h01"), rdbuf_vld) @[dma_ctrl.scala 441:51] + node _T_1238 = eq(rdbuf_rst, UInt<1>("h00")) @[dma_ctrl.scala 441:80] + node _T_1239 = and(_T_1237, _T_1238) @[dma_ctrl.scala 441:78] + reg _T_1240 : UInt, dma_bus_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 441:47] + _T_1240 <= _T_1239 @[dma_ctrl.scala 441:47] + rdbuf_vld <= _T_1240 @[dma_ctrl.scala 441:13] reg rdbuf_tag : UInt, dma_bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when rdbuf_en : @[Reg.scala 28:19] rdbuf_tag <= io.dma_axi.ar.bits.id @[Reg.scala 28:23] @@ -108823,102 +108846,102 @@ circuit quasar : when rdbuf_en : @[Reg.scala 28:19] rdbuf_sz <= io.dma_axi.ar.bits.size @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_1221 = and(rdbuf_en, io.dma_bus_clk_en) @[dma_ctrl.scala 454:61] + node _T_1241 = and(rdbuf_en, io.dma_bus_clk_en) @[dma_ctrl.scala 451:61] inst rvclkhdr_12 of rvclkhdr_846 @[lib.scala 368:23] rvclkhdr_12.clock <= clock rvclkhdr_12.reset <= reset rvclkhdr_12.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_12.io.en <= _T_1221 @[lib.scala 371:17] + rvclkhdr_12.io.en <= _T_1241 @[lib.scala 371:17] rvclkhdr_12.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg rdbuf_addr : UInt, rvclkhdr_12.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] rdbuf_addr <= io.dma_axi.ar.bits.addr @[lib.scala 374:16] - node _T_1222 = eq(wrbuf_cmd_sent, UInt<1>("h00")) @[dma_ctrl.scala 456:44] - node _T_1223 = and(wrbuf_vld, _T_1222) @[dma_ctrl.scala 456:42] - node _T_1224 = not(_T_1223) @[dma_ctrl.scala 456:30] - io.dma_axi.aw.ready <= _T_1224 @[dma_ctrl.scala 456:27] - node _T_1225 = eq(wrbuf_cmd_sent, UInt<1>("h00")) @[dma_ctrl.scala 457:49] - node _T_1226 = and(wrbuf_data_vld, _T_1225) @[dma_ctrl.scala 457:47] - node _T_1227 = not(_T_1226) @[dma_ctrl.scala 457:30] - io.dma_axi.w.ready <= _T_1227 @[dma_ctrl.scala 457:27] - node _T_1228 = eq(rdbuf_cmd_sent, UInt<1>("h00")) @[dma_ctrl.scala 458:44] - node _T_1229 = and(rdbuf_vld, _T_1228) @[dma_ctrl.scala 458:42] - node _T_1230 = not(_T_1229) @[dma_ctrl.scala 458:30] - io.dma_axi.ar.ready <= _T_1230 @[dma_ctrl.scala 458:27] - node _T_1231 = and(wrbuf_vld, wrbuf_data_vld) @[dma_ctrl.scala 462:51] - node _T_1232 = or(_T_1231, rdbuf_vld) @[dma_ctrl.scala 462:69] - bus_cmd_valid <= _T_1232 @[dma_ctrl.scala 462:37] - node _T_1233 = and(bus_cmd_valid, dma_fifo_ready) @[dma_ctrl.scala 463:54] - axi_mstr_prty_en <= _T_1233 @[dma_ctrl.scala 463:37] - bus_cmd_write <= axi_mstr_sel @[dma_ctrl.scala 464:37] - bus_cmd_posted_write <= UInt<1>("h00") @[dma_ctrl.scala 465:25] - node _T_1234 = bits(axi_mstr_sel, 0, 0) @[dma_ctrl.scala 466:57] - node _T_1235 = mux(_T_1234, wrbuf_addr, rdbuf_addr) @[dma_ctrl.scala 466:43] - bus_cmd_addr <= _T_1235 @[dma_ctrl.scala 466:37] - node _T_1236 = bits(axi_mstr_sel, 0, 0) @[dma_ctrl.scala 467:59] - node _T_1237 = mux(_T_1236, wrbuf_sz, rdbuf_sz) @[dma_ctrl.scala 467:45] - bus_cmd_sz <= _T_1237 @[dma_ctrl.scala 467:39] - bus_cmd_wdata <= wrbuf_data @[dma_ctrl.scala 468:37] - bus_cmd_byteen <= wrbuf_byteen @[dma_ctrl.scala 469:37] - node _T_1238 = bits(axi_mstr_sel, 0, 0) @[dma_ctrl.scala 470:57] - node _T_1239 = mux(_T_1238, wrbuf_tag, rdbuf_tag) @[dma_ctrl.scala 470:43] - bus_cmd_tag <= _T_1239 @[dma_ctrl.scala 470:37] - bus_cmd_mid <= UInt<1>("h00") @[dma_ctrl.scala 471:37] - bus_cmd_prty <= UInt<1>("h00") @[dma_ctrl.scala 472:37] - node _T_1240 = and(wrbuf_vld, wrbuf_data_vld) @[dma_ctrl.scala 476:43] - node _T_1241 = and(_T_1240, rdbuf_vld) @[dma_ctrl.scala 476:60] - node _T_1242 = eq(_T_1241, UInt<1>("h01")) @[dma_ctrl.scala 476:73] - node _T_1243 = and(wrbuf_vld, wrbuf_data_vld) @[dma_ctrl.scala 476:111] - node _T_1244 = mux(_T_1242, axi_mstr_priority, _T_1243) @[dma_ctrl.scala 476:31] - axi_mstr_sel <= _T_1244 @[dma_ctrl.scala 476:25] - node axi_mstr_prty_in = not(axi_mstr_priority) @[dma_ctrl.scala 477:27] - node _T_1245 = bits(axi_mstr_prty_en, 0, 0) @[dma_ctrl.scala 481:55] - reg _T_1246 : UInt, dma_bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_1245 : @[Reg.scala 28:19] - _T_1246 <= axi_mstr_prty_in @[Reg.scala 28:23] + node _T_1242 = eq(wrbuf_cmd_sent, UInt<1>("h00")) @[dma_ctrl.scala 453:44] + node _T_1243 = and(wrbuf_vld, _T_1242) @[dma_ctrl.scala 453:42] + node _T_1244 = not(_T_1243) @[dma_ctrl.scala 453:30] + io.dma_axi.aw.ready <= _T_1244 @[dma_ctrl.scala 453:27] + node _T_1245 = eq(wrbuf_cmd_sent, UInt<1>("h00")) @[dma_ctrl.scala 454:49] + node _T_1246 = and(wrbuf_data_vld, _T_1245) @[dma_ctrl.scala 454:47] + node _T_1247 = not(_T_1246) @[dma_ctrl.scala 454:30] + io.dma_axi.w.ready <= _T_1247 @[dma_ctrl.scala 454:27] + node _T_1248 = eq(rdbuf_cmd_sent, UInt<1>("h00")) @[dma_ctrl.scala 455:44] + node _T_1249 = and(rdbuf_vld, _T_1248) @[dma_ctrl.scala 455:42] + node _T_1250 = not(_T_1249) @[dma_ctrl.scala 455:30] + io.dma_axi.ar.ready <= _T_1250 @[dma_ctrl.scala 455:27] + node _T_1251 = and(wrbuf_vld, wrbuf_data_vld) @[dma_ctrl.scala 459:51] + node _T_1252 = or(_T_1251, rdbuf_vld) @[dma_ctrl.scala 459:69] + bus_cmd_valid <= _T_1252 @[dma_ctrl.scala 459:37] + node _T_1253 = and(bus_cmd_valid, dma_fifo_ready) @[dma_ctrl.scala 460:54] + axi_mstr_prty_en <= _T_1253 @[dma_ctrl.scala 460:37] + bus_cmd_write <= axi_mstr_sel @[dma_ctrl.scala 461:37] + bus_cmd_posted_write <= UInt<1>("h00") @[dma_ctrl.scala 462:25] + node _T_1254 = bits(axi_mstr_sel, 0, 0) @[dma_ctrl.scala 463:57] + node _T_1255 = mux(_T_1254, wrbuf_addr, rdbuf_addr) @[dma_ctrl.scala 463:43] + bus_cmd_addr <= _T_1255 @[dma_ctrl.scala 463:37] + node _T_1256 = bits(axi_mstr_sel, 0, 0) @[dma_ctrl.scala 464:59] + node _T_1257 = mux(_T_1256, wrbuf_sz, rdbuf_sz) @[dma_ctrl.scala 464:45] + bus_cmd_sz <= _T_1257 @[dma_ctrl.scala 464:39] + bus_cmd_wdata <= wrbuf_data @[dma_ctrl.scala 465:37] + bus_cmd_byteen <= wrbuf_byteen @[dma_ctrl.scala 466:37] + node _T_1258 = bits(axi_mstr_sel, 0, 0) @[dma_ctrl.scala 467:57] + node _T_1259 = mux(_T_1258, wrbuf_tag, rdbuf_tag) @[dma_ctrl.scala 467:43] + bus_cmd_tag <= _T_1259 @[dma_ctrl.scala 467:37] + bus_cmd_mid <= UInt<1>("h00") @[dma_ctrl.scala 468:37] + bus_cmd_prty <= UInt<1>("h00") @[dma_ctrl.scala 469:37] + node _T_1260 = and(wrbuf_vld, wrbuf_data_vld) @[dma_ctrl.scala 473:43] + node _T_1261 = and(_T_1260, rdbuf_vld) @[dma_ctrl.scala 473:60] + node _T_1262 = eq(_T_1261, UInt<1>("h01")) @[dma_ctrl.scala 473:73] + node _T_1263 = and(wrbuf_vld, wrbuf_data_vld) @[dma_ctrl.scala 473:111] + node _T_1264 = mux(_T_1262, axi_mstr_priority, _T_1263) @[dma_ctrl.scala 473:31] + axi_mstr_sel <= _T_1264 @[dma_ctrl.scala 473:25] + node axi_mstr_prty_in = not(axi_mstr_priority) @[dma_ctrl.scala 474:27] + node _T_1265 = bits(axi_mstr_prty_en, 0, 0) @[dma_ctrl.scala 478:55] + reg _T_1266 : UInt, dma_bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1265 : @[Reg.scala 28:19] + _T_1266 <= axi_mstr_prty_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - axi_mstr_priority <= _T_1246 @[dma_ctrl.scala 480:27] - node _T_1247 = dshr(fifo_valid, RspPtr) @[dma_ctrl.scala 484:39] - node _T_1248 = bits(_T_1247, 0, 0) @[dma_ctrl.scala 484:39] - node _T_1249 = dshr(fifo_dbg, RspPtr) @[dma_ctrl.scala 484:59] - node _T_1250 = bits(_T_1249, 0, 0) @[dma_ctrl.scala 484:59] - node _T_1251 = eq(_T_1250, UInt<1>("h00")) @[dma_ctrl.scala 484:50] - node _T_1252 = and(_T_1248, _T_1251) @[dma_ctrl.scala 484:48] - node _T_1253 = dshr(fifo_done_bus, RspPtr) @[dma_ctrl.scala 484:83] - node _T_1254 = bits(_T_1253, 0, 0) @[dma_ctrl.scala 484:83] - node axi_rsp_valid = and(_T_1252, _T_1254) @[dma_ctrl.scala 484:68] - node _T_1255 = dshr(fifo_write, RspPtr) @[dma_ctrl.scala 486:39] - node axi_rsp_write = bits(_T_1255, 0, 0) @[dma_ctrl.scala 486:39] - node _T_1256 = bits(fifo_error[RspPtr], 0, 0) @[dma_ctrl.scala 487:51] - node _T_1257 = bits(fifo_error[RspPtr], 1, 1) @[dma_ctrl.scala 487:83] - node _T_1258 = mux(_T_1257, UInt<2>("h03"), UInt<1>("h00")) @[dma_ctrl.scala 487:64] - node axi_rsp_error = mux(_T_1256, UInt<2>("h02"), _T_1258) @[dma_ctrl.scala 487:32] - node _T_1259 = and(axi_rsp_valid, axi_rsp_write) @[dma_ctrl.scala 493:44] - io.dma_axi.b.valid <= _T_1259 @[dma_ctrl.scala 493:27] - node _T_1260 = bits(axi_rsp_error, 1, 0) @[dma_ctrl.scala 494:57] - io.dma_axi.b.bits.resp <= _T_1260 @[dma_ctrl.scala 494:41] - io.dma_axi.b.bits.id <= fifo_tag[RspPtr] @[dma_ctrl.scala 495:33] - node _T_1261 = eq(axi_rsp_write, UInt<1>("h00")) @[dma_ctrl.scala 497:46] - node _T_1262 = and(axi_rsp_valid, _T_1261) @[dma_ctrl.scala 497:44] - io.dma_axi.r.valid <= _T_1262 @[dma_ctrl.scala 497:27] - io.dma_axi.r.bits.resp <= axi_rsp_error @[dma_ctrl.scala 498:41] - node _T_1263 = bits(fifo_data[RspPtr], 63, 0) @[dma_ctrl.scala 499:59] - io.dma_axi.r.bits.data <= _T_1263 @[dma_ctrl.scala 499:43] - io.dma_axi.r.bits.last <= UInt<1>("h01") @[dma_ctrl.scala 500:41] - io.dma_axi.r.bits.id <= fifo_tag[RspPtr] @[dma_ctrl.scala 501:37] - bus_posted_write_done <= UInt<1>("h00") @[dma_ctrl.scala 503:25] - node _T_1264 = or(io.dma_axi.b.valid, io.dma_axi.r.valid) @[dma_ctrl.scala 504:60] - bus_rsp_valid <= _T_1264 @[dma_ctrl.scala 504:37] - node _T_1265 = and(io.dma_axi.b.valid, io.dma_axi.b.ready) @[dma_ctrl.scala 505:61] - node _T_1266 = and(io.dma_axi.r.valid, io.dma_axi.r.ready) @[dma_ctrl.scala 505:105] - node _T_1267 = or(_T_1265, _T_1266) @[dma_ctrl.scala 505:83] - bus_rsp_sent <= _T_1267 @[dma_ctrl.scala 505:37] - io.lsu_dma.dma_dccm_ctl.dma_mem_addr <= io.lsu_dma.dma_lsc_ctl.dma_mem_addr @[dma_ctrl.scala 506:40] - io.lsu_dma.dma_dccm_ctl.dma_mem_wdata <= io.lsu_dma.dma_lsc_ctl.dma_mem_wdata @[dma_ctrl.scala 507:41] - io.ifu_dma.dma_mem_ctl.dma_mem_sz <= io.lsu_dma.dma_lsc_ctl.dma_mem_sz @[dma_ctrl.scala 508:37] - io.ifu_dma.dma_mem_ctl.dma_mem_addr <= io.lsu_dma.dma_lsc_ctl.dma_mem_addr @[dma_ctrl.scala 509:39] - io.ifu_dma.dma_mem_ctl.dma_mem_wdata <= io.lsu_dma.dma_lsc_ctl.dma_mem_wdata @[dma_ctrl.scala 510:40] - io.ifu_dma.dma_mem_ctl.dma_mem_write <= io.lsu_dma.dma_lsc_ctl.dma_mem_write @[dma_ctrl.scala 511:40] - io.ifu_dma.dma_mem_ctl.dma_mem_tag <= io.lsu_dma.dma_mem_tag @[dma_ctrl.scala 512:38] + axi_mstr_priority <= _T_1266 @[dma_ctrl.scala 477:27] + node _T_1267 = dshr(fifo_valid, RspPtr) @[dma_ctrl.scala 481:39] + node _T_1268 = bits(_T_1267, 0, 0) @[dma_ctrl.scala 481:39] + node _T_1269 = dshr(fifo_dbg, RspPtr) @[dma_ctrl.scala 481:59] + node _T_1270 = bits(_T_1269, 0, 0) @[dma_ctrl.scala 481:59] + node _T_1271 = eq(_T_1270, UInt<1>("h00")) @[dma_ctrl.scala 481:50] + node _T_1272 = and(_T_1268, _T_1271) @[dma_ctrl.scala 481:48] + node _T_1273 = dshr(fifo_done_bus, RspPtr) @[dma_ctrl.scala 481:83] + node _T_1274 = bits(_T_1273, 0, 0) @[dma_ctrl.scala 481:83] + node axi_rsp_valid = and(_T_1272, _T_1274) @[dma_ctrl.scala 481:68] + node _T_1275 = dshr(fifo_write, RspPtr) @[dma_ctrl.scala 483:39] + node axi_rsp_write = bits(_T_1275, 0, 0) @[dma_ctrl.scala 483:39] + node _T_1276 = bits(fifo_error[RspPtr], 0, 0) @[dma_ctrl.scala 484:51] + node _T_1277 = bits(fifo_error[RspPtr], 1, 1) @[dma_ctrl.scala 484:83] + node _T_1278 = mux(_T_1277, UInt<2>("h03"), UInt<1>("h00")) @[dma_ctrl.scala 484:64] + node axi_rsp_error = mux(_T_1276, UInt<2>("h02"), _T_1278) @[dma_ctrl.scala 484:32] + node _T_1279 = and(axi_rsp_valid, axi_rsp_write) @[dma_ctrl.scala 490:44] + io.dma_axi.b.valid <= _T_1279 @[dma_ctrl.scala 490:27] + node _T_1280 = bits(axi_rsp_error, 1, 0) @[dma_ctrl.scala 491:57] + io.dma_axi.b.bits.resp <= _T_1280 @[dma_ctrl.scala 491:41] + io.dma_axi.b.bits.id <= fifo_tag[RspPtr] @[dma_ctrl.scala 492:33] + node _T_1281 = eq(axi_rsp_write, UInt<1>("h00")) @[dma_ctrl.scala 494:46] + node _T_1282 = and(axi_rsp_valid, _T_1281) @[dma_ctrl.scala 494:44] + io.dma_axi.r.valid <= _T_1282 @[dma_ctrl.scala 494:27] + io.dma_axi.r.bits.resp <= axi_rsp_error @[dma_ctrl.scala 495:41] + node _T_1283 = bits(fifo_data[RspPtr], 63, 0) @[dma_ctrl.scala 496:59] + io.dma_axi.r.bits.data <= _T_1283 @[dma_ctrl.scala 496:43] + io.dma_axi.r.bits.last <= UInt<1>("h01") @[dma_ctrl.scala 497:41] + io.dma_axi.r.bits.id <= fifo_tag[RspPtr] @[dma_ctrl.scala 498:37] + bus_posted_write_done <= UInt<1>("h00") @[dma_ctrl.scala 500:25] + node _T_1284 = or(io.dma_axi.b.valid, io.dma_axi.r.valid) @[dma_ctrl.scala 501:60] + bus_rsp_valid <= _T_1284 @[dma_ctrl.scala 501:37] + node _T_1285 = and(io.dma_axi.b.valid, io.dma_axi.b.ready) @[dma_ctrl.scala 502:61] + node _T_1286 = and(io.dma_axi.r.valid, io.dma_axi.r.ready) @[dma_ctrl.scala 502:105] + node _T_1287 = or(_T_1285, _T_1286) @[dma_ctrl.scala 502:83] + bus_rsp_sent <= _T_1287 @[dma_ctrl.scala 502:37] + io.lsu_dma.dma_dccm_ctl.dma_mem_addr <= io.lsu_dma.dma_lsc_ctl.dma_mem_addr @[dma_ctrl.scala 503:40] + io.lsu_dma.dma_dccm_ctl.dma_mem_wdata <= io.lsu_dma.dma_lsc_ctl.dma_mem_wdata @[dma_ctrl.scala 504:41] + io.ifu_dma.dma_mem_ctl.dma_mem_sz <= io.lsu_dma.dma_lsc_ctl.dma_mem_sz @[dma_ctrl.scala 505:37] + io.ifu_dma.dma_mem_ctl.dma_mem_addr <= io.lsu_dma.dma_lsc_ctl.dma_mem_addr @[dma_ctrl.scala 506:39] + io.ifu_dma.dma_mem_ctl.dma_mem_wdata <= io.lsu_dma.dma_lsc_ctl.dma_mem_wdata @[dma_ctrl.scala 507:40] + io.ifu_dma.dma_mem_ctl.dma_mem_write <= io.lsu_dma.dma_lsc_ctl.dma_mem_write @[dma_ctrl.scala 508:40] + io.ifu_dma.dma_mem_ctl.dma_mem_tag <= io.lsu_dma.dma_mem_tag @[dma_ctrl.scala 509:38] extmodule gated_latch_847 : output Q : Clock @@ -108971,722 +108994,752 @@ circuit quasar : module quasar : input clock : Clock input reset : AsyncReset - output io : {lsu_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, ifu_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, sb_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<1>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip dma_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<1>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip dbg_rst_l : AsyncReset, flip rst_vec : UInt<31>, flip nmi_int : UInt<1>, flip nmi_vec : UInt<31>, core_rst_l : AsyncReset, trace : {rv_i_valid_ip : UInt<2>, rv_i_insn_ip : UInt<32>, rv_i_address_ip : UInt<32>, rv_i_exception_ip : UInt<2>, rv_i_ecause_ip : UInt<5>, rv_i_interrupt_ip : UInt<2>, rv_i_tval_ip : UInt<32>}, dccm_clk_override : UInt<1>, icm_clk_override : UInt<1>, dec_tlu_core_ecc_disable : UInt<1>, flip i_cpu_halt_req : UInt<1>, flip i_cpu_run_req : UInt<1>, o_cpu_halt_ack : UInt<1>, o_cpu_halt_status : UInt<1>, o_cpu_run_ack : UInt<1>, o_debug_mode_status : UInt<1>, flip core_id : UInt<28>, flip mpc_debug_halt_req : UInt<1>, flip mpc_debug_run_req : UInt<1>, flip mpc_reset_run_req : UInt<1>, mpc_debug_halt_ack : UInt<1>, mpc_debug_run_ack : UInt<1>, debug_brkpt_status : UInt<1>, dec_tlu_perfcnt0 : UInt<1>, dec_tlu_perfcnt1 : UInt<1>, dec_tlu_perfcnt2 : UInt<1>, dec_tlu_perfcnt3 : UInt<1>, flip swerv_mem : {flip wren : UInt<1>, flip rden : UInt<1>, flip wr_addr_lo : UInt<16>, flip wr_addr_hi : UInt<16>, flip rd_addr_lo : UInt<16>, flip rd_addr_hi : UInt<16>, flip wr_data_lo : UInt<39>, flip wr_data_hi : UInt<39>, rd_data_lo : UInt<39>, rd_data_hi : UInt<39>}, ic : {rw_addr : UInt<31>, tag_valid : UInt<2>, wr_en : UInt<2>, rd_en : UInt<1>, wr_data : UInt<71>[2], debug_wr_data : UInt<71>, debug_addr : UInt<10>, flip rd_data : UInt<64>, flip debug_rd_data : UInt<71>, flip tag_debug_rd_data : UInt<26>, flip eccerr : UInt<2>, flip parerr : UInt<2>, flip rd_hit : UInt<2>, flip tag_perr : UInt<1>, debug_rd_en : UInt<1>, debug_wr_en : UInt<1>, debug_tag_array : UInt<1>, debug_way : UInt<2>, premux_data : UInt<64>, sel_premux_data : UInt<1>}, iccm : {rw_addr : UInt<15>, buf_correct_ecc : UInt<1>, correction_state : UInt<1>, wren : UInt<1>, rden : UInt<1>, wr_size : UInt<3>, wr_data : UInt<78>, flip rd_data : UInt<64>, flip rd_data_ecc : UInt<78>}, haddr : UInt<32>, hburst : UInt<3>, hmastlock : UInt<1>, hprot : UInt<4>, hsize : UInt<3>, htrans : UInt<2>, hwrite : UInt<1>, flip hrdata : UInt<64>, flip hready : UInt<1>, flip hresp : UInt<1>, lsu_haddr : UInt<32>, lsu_hburst : UInt<3>, lsu_hmastlock : UInt<1>, lsu_hprot : UInt<4>, lsu_hsize : UInt<3>, lsu_htrans : UInt<2>, lsu_hwrite : UInt<1>, lsu_hwdata : UInt<64>, flip lsu_hrdata : UInt<64>, flip lsu_hready : UInt<1>, flip lsu_hresp : UInt<1>, sb_haddr : UInt<32>, sb_hburst : UInt<3>, sb_hmastlock : UInt<1>, sb_hprot : UInt<4>, sb_hsize : UInt<3>, sb_htrans : UInt<2>, sb_hwrite : UInt<1>, sb_hwdata : UInt<64>, flip sb_hrdata : UInt<64>, flip sb_hready : UInt<1>, flip sb_hresp : UInt<1>, flip dma_hsel : UInt<1>, flip dma_haddr : UInt<32>, flip dma_hburst : UInt<3>, flip dma_hmastlock : UInt<1>, flip dma_hprot : UInt<4>, flip dma_hsize : UInt<3>, flip dma_htrans : UInt<2>, flip dma_hwrite : UInt<1>, flip dma_hwdata : UInt<64>, flip dma_hreadyin : UInt<1>, dma_hrdata : UInt<64>, dma_hreadyout : UInt<1>, dma_hresp : UInt<1>, flip lsu_bus_clk_en : UInt<1>, flip ifu_bus_clk_en : UInt<1>, flip dbg_bus_clk_en : UInt<1>, flip dma_bus_clk_en : UInt<1>, flip dmi_reg_en : UInt<1>, flip dmi_reg_addr : UInt<7>, flip dmi_reg_wr_en : UInt<1>, flip dmi_reg_wdata : UInt<32>, dmi_reg_rdata : UInt<32>, flip dmi_hard_reset : UInt<1>, flip extintsrc_req : UInt<31>, flip timer_int : UInt<1>, flip soft_int : UInt<1>, flip scan_mode : UInt<1>} + output io : {lsu_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, ifu_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, sb_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<1>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip dma_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<1>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, lsu_ahb : {in : {flip hrdata : UInt<64>, flip hready : UInt<1>, flip hresp : UInt<1>}, out : {haddr : UInt<32>, hburst : UInt<3>, hmastlock : UInt<1>, hprot : UInt<4>, hsize : UInt<3>, htrans : UInt<2>, hwrite : UInt<1>, hwdata : UInt<64>}}, ifu_ahb : {in : {flip hrdata : UInt<64>, flip hready : UInt<1>, flip hresp : UInt<1>}, out : {haddr : UInt<32>, hburst : UInt<3>, hmastlock : UInt<1>, hprot : UInt<4>, hsize : UInt<3>, htrans : UInt<2>, hwrite : UInt<1>, hwdata : UInt<64>}}, sb_ahb : {in : {flip hrdata : UInt<64>, flip hready : UInt<1>, flip hresp : UInt<1>}, out : {haddr : UInt<32>, hburst : UInt<3>, hmastlock : UInt<1>, hprot : UInt<4>, hsize : UInt<3>, htrans : UInt<2>, hwrite : UInt<1>, hwdata : UInt<64>}}, dma_ahb : {flip sig : {in : {flip hrdata : UInt<64>, flip hready : UInt<1>, flip hresp : UInt<1>}, out : {haddr : UInt<32>, hburst : UInt<3>, hmastlock : UInt<1>, hprot : UInt<4>, hsize : UInt<3>, htrans : UInt<2>, hwrite : UInt<1>, hwdata : UInt<64>}}, flip hsel : UInt<1>, flip hreadyin : UInt<1>}, flip dbg_rst_l : AsyncReset, flip rst_vec : UInt<31>, flip nmi_int : UInt<1>, flip nmi_vec : UInt<31>, core_rst_l : AsyncReset, rv_trace_pkt : {rv_i_valid_ip : UInt<2>, rv_i_insn_ip : UInt<32>, rv_i_address_ip : UInt<32>, rv_i_exception_ip : UInt<2>, rv_i_ecause_ip : UInt<5>, rv_i_interrupt_ip : UInt<2>, rv_i_tval_ip : UInt<32>}, dccm_clk_override : UInt<1>, icm_clk_override : UInt<1>, dec_tlu_core_ecc_disable : UInt<1>, flip i_cpu_halt_req : UInt<1>, flip i_cpu_run_req : UInt<1>, o_cpu_halt_ack : UInt<1>, o_cpu_halt_status : UInt<1>, o_cpu_run_ack : UInt<1>, o_debug_mode_status : UInt<1>, flip core_id : UInt<28>, flip mpc_debug_halt_req : UInt<1>, flip mpc_debug_run_req : UInt<1>, flip mpc_reset_run_req : UInt<1>, mpc_debug_halt_ack : UInt<1>, mpc_debug_run_ack : UInt<1>, debug_brkpt_status : UInt<1>, dec_tlu_perfcnt0 : UInt<1>, dec_tlu_perfcnt1 : UInt<1>, dec_tlu_perfcnt2 : UInt<1>, dec_tlu_perfcnt3 : UInt<1>, flip dccm : {flip wren : UInt<1>, flip rden : UInt<1>, flip wr_addr_lo : UInt<16>, flip wr_addr_hi : UInt<16>, flip rd_addr_lo : UInt<16>, flip rd_addr_hi : UInt<16>, flip wr_data_lo : UInt<39>, flip wr_data_hi : UInt<39>, rd_data_lo : UInt<39>, rd_data_hi : UInt<39>}, ic : {rw_addr : UInt<31>, tag_valid : UInt<2>, wr_en : UInt<2>, rd_en : UInt<1>, wr_data : UInt<71>[2], debug_wr_data : UInt<71>, debug_addr : UInt<10>, flip rd_data : UInt<64>, flip debug_rd_data : UInt<71>, flip tag_debug_rd_data : UInt<26>, flip eccerr : UInt<2>, flip parerr : UInt<2>, flip rd_hit : UInt<2>, flip tag_perr : UInt<1>, debug_rd_en : UInt<1>, debug_wr_en : UInt<1>, debug_tag_array : UInt<1>, debug_way : UInt<2>, premux_data : UInt<64>, sel_premux_data : UInt<1>}, iccm : {rw_addr : UInt<15>, buf_correct_ecc : UInt<1>, correction_state : UInt<1>, wren : UInt<1>, rden : UInt<1>, wr_size : UInt<3>, wr_data : UInt<78>, flip rd_data : UInt<64>, flip rd_data_ecc : UInt<78>}, flip lsu_bus_clk_en : UInt<1>, flip ifu_bus_clk_en : UInt<1>, flip dbg_bus_clk_en : UInt<1>, flip dma_bus_clk_en : UInt<1>, flip dmi_reg_en : UInt<1>, flip dmi_reg_addr : UInt<7>, flip dmi_reg_wr_en : UInt<1>, flip dmi_reg_wdata : UInt<32>, dmi_reg_rdata : UInt<32>, flip dmi_hard_reset : UInt<1>, flip extintsrc_req : UInt<31>, flip timer_int : UInt<1>, flip soft_int : UInt<1>, flip scan_mode : UInt<1>} - inst ifu of ifu @[quasar.scala 158:19] + inst ifu of ifu @[quasar.scala 74:19] ifu.clock <= clock ifu.reset <= reset - inst dec of dec @[quasar.scala 159:19] + inst dec of dec @[quasar.scala 75:19] dec.clock <= clock dec.reset <= reset - inst dbg of dbg @[quasar.scala 160:19] + inst dbg of dbg @[quasar.scala 76:19] dbg.clock <= clock dbg.reset <= reset - inst exu of exu @[quasar.scala 161:19] + inst exu of exu @[quasar.scala 77:19] exu.clock <= clock exu.reset <= reset - inst lsu of lsu @[quasar.scala 162:19] + inst lsu of lsu @[quasar.scala 78:19] lsu.clock <= clock lsu.reset <= reset - inst pic_ctrl_inst of pic_ctrl @[quasar.scala 163:29] + inst pic_ctrl_inst of pic_ctrl @[quasar.scala 79:29] pic_ctrl_inst.clock <= clock pic_ctrl_inst.reset <= reset - inst dma_ctrl of dma_ctrl @[quasar.scala 164:24] + inst dma_ctrl of dma_ctrl @[quasar.scala 80:24] dma_ctrl.clock <= clock dma_ctrl.reset <= reset - node _T = asUInt(reset) @[quasar.scala 166:33] - node _T_1 = bits(dbg.io.dbg_core_rst_l, 0, 0) @[quasar.scala 166:67] - node _T_2 = or(_T_1, io.scan_mode) @[quasar.scala 166:70] - node _T_3 = and(_T, _T_2) @[quasar.scala 166:36] - node _T_4 = asAsyncReset(_T_3) @[quasar.scala 166:99] - io.core_rst_l <= _T_4 @[quasar.scala 166:17] - node _T_5 = eq(dec.io.dec_pause_state_cg, UInt<1>("h00")) @[quasar.scala 167:23] - node _T_6 = or(_T_5, dec.io.dec_exu.tlu_exu.dec_tlu_flush_lower_r) @[quasar.scala 167:50] - node active_state = or(_T_6, dec.io.dec_tlu_misc_clk_override) @[quasar.scala 167:98] + node _T = asUInt(reset) @[quasar.scala 82:33] + node _T_1 = bits(dbg.io.dbg_core_rst_l, 0, 0) @[quasar.scala 82:67] + node _T_2 = or(_T_1, io.scan_mode) @[quasar.scala 82:70] + node _T_3 = and(_T, _T_2) @[quasar.scala 82:36] + node _T_4 = asAsyncReset(_T_3) @[quasar.scala 82:99] + io.core_rst_l <= _T_4 @[quasar.scala 82:17] + node _T_5 = eq(dec.io.dec_pause_state_cg, UInt<1>("h00")) @[quasar.scala 83:23] + node _T_6 = or(_T_5, dec.io.dec_exu.tlu_exu.dec_tlu_flush_lower_r) @[quasar.scala 83:50] + node active_state = or(_T_6, dec.io.dec_tlu_misc_clk_override) @[quasar.scala 83:98] inst rvclkhdr of rvclkhdr_847 @[lib.scala 343:22] rvclkhdr.clock <= clock rvclkhdr.reset <= reset rvclkhdr.io.clk <= clock @[lib.scala 344:17] rvclkhdr.io.en <= UInt<1>("h01") @[lib.scala 345:16] rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - node _T_7 = bits(active_state, 0, 0) @[quasar.scala 169:49] + node _T_7 = bits(active_state, 0, 0) @[quasar.scala 85:49] inst rvclkhdr_1 of rvclkhdr_848 @[lib.scala 343:22] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset rvclkhdr_1.io.clk <= clock @[lib.scala 344:17] rvclkhdr_1.io.en <= _T_7 @[lib.scala 345:16] rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - node core_dbg_cmd_done = or(dma_ctrl.io.dma_dbg_cmd_done, dec.io.dec_dbg_cmd_done) @[quasar.scala 170:56] - node core_dbg_cmd_fail = or(dma_ctrl.io.dma_dbg_cmd_fail, dec.io.dec_dbg_cmd_fail) @[quasar.scala 171:56] - node core_dbg_rddata = mux(dma_ctrl.io.dma_dbg_cmd_done, dma_ctrl.io.dma_dbg_rddata, dec.io.dec_dbg_rddata) @[quasar.scala 172:28] - ifu.io.ifu_dec.dec_bp.dec_tlu_bpred_disable <= dec.io.ifu_dec.dec_bp.dec_tlu_bpred_disable @[quasar.scala 175:18] - ifu.io.ifu_dec.dec_bp.dec_tlu_flush_leak_one_wb <= dec.io.ifu_dec.dec_bp.dec_tlu_flush_leak_one_wb @[quasar.scala 175:18] - ifu.io.ifu_dec.dec_bp.dec_tlu_flush_lower_wb <= dec.io.ifu_dec.dec_bp.dec_tlu_flush_lower_wb @[quasar.scala 175:18] - ifu.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.middle <= dec.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.middle @[quasar.scala 175:18] - ifu.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.way <= dec.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.way @[quasar.scala 175:18] - ifu.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.br_start_error <= dec.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.br_start_error @[quasar.scala 175:18] - ifu.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.br_error <= dec.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.br_error @[quasar.scala 175:18] - ifu.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.hist <= dec.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.hist @[quasar.scala 175:18] - ifu.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.valid <= dec.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.valid @[quasar.scala 175:18] - dec.io.ifu_dec.dec_ifc.ifu_pmu_fetch_stall <= ifu.io.ifu_dec.dec_ifc.ifu_pmu_fetch_stall @[quasar.scala 175:18] - ifu.io.ifu_dec.dec_ifc.dec_tlu_mrac_ff <= dec.io.ifu_dec.dec_ifc.dec_tlu_mrac_ff @[quasar.scala 175:18] - ifu.io.ifu_dec.dec_ifc.dec_tlu_flush_noredir_wb <= dec.io.ifu_dec.dec_ifc.dec_tlu_flush_noredir_wb @[quasar.scala 175:18] - dec.io.ifu_dec.dec_mem_ctrl.ifu_miss_state_idle <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_miss_state_idle @[quasar.scala 175:18] - dec.io.ifu_dec.dec_mem_ctrl.ifu_ic_debug_rd_data_valid <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_ic_debug_rd_data_valid @[quasar.scala 175:18] - dec.io.ifu_dec.dec_mem_ctrl.ifu_ic_debug_rd_data <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_ic_debug_rd_data @[quasar.scala 175:18] - dec.io.ifu_dec.dec_mem_ctrl.ifu_iccm_rd_ecc_single_err <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_iccm_rd_ecc_single_err @[quasar.scala 175:18] - dec.io.ifu_dec.dec_mem_ctrl.ifu_ic_error_start <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_ic_error_start @[quasar.scala 175:18] - dec.io.ifu_dec.dec_mem_ctrl.ifu_pmu_bus_trxn <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_pmu_bus_trxn @[quasar.scala 175:18] - dec.io.ifu_dec.dec_mem_ctrl.ifu_pmu_bus_busy <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_pmu_bus_busy @[quasar.scala 175:18] - dec.io.ifu_dec.dec_mem_ctrl.ifu_pmu_bus_error <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_pmu_bus_error @[quasar.scala 175:18] - dec.io.ifu_dec.dec_mem_ctrl.ifu_pmu_ic_hit <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_pmu_ic_hit @[quasar.scala 175:18] - dec.io.ifu_dec.dec_mem_ctrl.ifu_pmu_ic_miss <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_pmu_ic_miss @[quasar.scala 175:18] - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_core_ecc_disable <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_core_ecc_disable @[quasar.scala 175:18] - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wr_valid <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wr_valid @[quasar.scala 175:18] - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_rd_valid <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_rd_valid @[quasar.scala 175:18] - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_dicawics <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_dicawics @[quasar.scala 175:18] - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wrdata <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wrdata @[quasar.scala 175:18] - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_fence_i_wb <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_fence_i_wb @[quasar.scala 175:18] - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_force_halt <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_force_halt @[quasar.scala 175:18] - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_i0_commit_cmt <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_i0_commit_cmt @[quasar.scala 175:18] - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_flush_err_wb <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_flush_err_wb @[quasar.scala 175:18] - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_flush_lower_wb <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_flush_lower_wb @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.ifu_pmu_instr_aligned <= ifu.io.ifu_dec.dec_aln.ifu_pmu_instr_aligned @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.ret <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.ret @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.way <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.way @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.prett <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.prett @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.bank <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.bank @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.br_start_error <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.br_start_error @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.br_error <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.br_error @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.hist <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.hist @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.toffset <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.toffset @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.valid <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.valid @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_pc4 <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_pc4 @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_pc <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_pc @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_instr <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_instr @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_valid <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_valid @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_bp_btag <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_bp_btag @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_bp_fghr <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_bp_fghr @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_bp_index <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_bp_index @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_dbecc <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_dbecc @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_icaf_f1 <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_icaf_f1 @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_icaf_type <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_icaf_type @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_icaf <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_icaf @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.aln_dec.ifu_i0_cinst <= ifu.io.ifu_dec.dec_aln.aln_dec.ifu_i0_cinst @[quasar.scala 175:18] - ifu.io.ifu_dec.dec_aln.aln_dec.dec_i0_decode_d <= dec.io.ifu_dec.dec_aln.aln_dec.dec_i0_decode_d @[quasar.scala 175:18] - ifu.reset <= io.core_rst_l @[quasar.scala 177:13] - ifu.io.scan_mode <= io.scan_mode @[quasar.scala 178:20] - ifu.io.free_clk <= rvclkhdr.io.l1clk @[quasar.scala 179:19] - ifu.io.active_clk <= rvclkhdr_1.io.l1clk @[quasar.scala 180:21] - ifu.io.exu_flush_final <= dec.io.exu_flush_final @[quasar.scala 182:26] - ifu.io.exu_flush_path_final <= exu.io.exu_flush_path_final @[quasar.scala 183:31] - node _T_8 = mux(UInt<1>("h00"), UInt<1>("h00"), io.ifu_axi.ar.ready) @[quasar.scala 184:29] - ifu.io.ifu.ar.ready <= _T_8 @[quasar.scala 184:23] - node _T_9 = mux(UInt<1>("h00"), UInt<1>("h00"), io.ifu_axi.r.valid) @[quasar.scala 185:28] - ifu.io.ifu.r.valid <= _T_9 @[quasar.scala 185:22] - node _T_10 = mux(UInt<1>("h00"), UInt<1>("h00"), io.ifu_axi.r.bits.id) @[quasar.scala 186:30] - ifu.io.ifu.r.bits.id <= _T_10 @[quasar.scala 186:24] - node _T_11 = mux(UInt<1>("h00"), UInt<1>("h00"), io.ifu_axi.r.bits.data) @[quasar.scala 187:32] - ifu.io.ifu.r.bits.data <= _T_11 @[quasar.scala 187:26] - node _T_12 = mux(UInt<1>("h00"), UInt<1>("h00"), io.ifu_axi.r.bits.resp) @[quasar.scala 188:32] - ifu.io.ifu.r.bits.resp <= _T_12 @[quasar.scala 188:26] - ifu.io.ifu_bus_clk_en <= io.ifu_bus_clk_en @[quasar.scala 189:25] - ifu.io.ifu_dma.dma_mem_ctl.dma_mem_tag <= dma_ctrl.io.ifu_dma.dma_mem_ctl.dma_mem_tag @[quasar.scala 190:18] - ifu.io.ifu_dma.dma_mem_ctl.dma_mem_wdata <= dma_ctrl.io.ifu_dma.dma_mem_ctl.dma_mem_wdata @[quasar.scala 190:18] - ifu.io.ifu_dma.dma_mem_ctl.dma_mem_write <= dma_ctrl.io.ifu_dma.dma_mem_ctl.dma_mem_write @[quasar.scala 190:18] - ifu.io.ifu_dma.dma_mem_ctl.dma_mem_sz <= dma_ctrl.io.ifu_dma.dma_mem_ctl.dma_mem_sz @[quasar.scala 190:18] - ifu.io.ifu_dma.dma_mem_ctl.dma_mem_addr <= dma_ctrl.io.ifu_dma.dma_mem_ctl.dma_mem_addr @[quasar.scala 190:18] - ifu.io.ifu_dma.dma_mem_ctl.dma_iccm_req <= dma_ctrl.io.ifu_dma.dma_mem_ctl.dma_iccm_req @[quasar.scala 190:18] - ifu.io.ifu_dma.dma_ifc.dma_iccm_stall_any <= dma_ctrl.io.ifu_dma.dma_ifc.dma_iccm_stall_any @[quasar.scala 190:18] - io.ic.sel_premux_data <= ifu.io.ic.sel_premux_data @[quasar.scala 191:13] - io.ic.premux_data <= ifu.io.ic.premux_data @[quasar.scala 191:13] - io.ic.debug_way <= ifu.io.ic.debug_way @[quasar.scala 191:13] - io.ic.debug_tag_array <= ifu.io.ic.debug_tag_array @[quasar.scala 191:13] - io.ic.debug_wr_en <= ifu.io.ic.debug_wr_en @[quasar.scala 191:13] - io.ic.debug_rd_en <= ifu.io.ic.debug_rd_en @[quasar.scala 191:13] - ifu.io.ic.tag_perr <= io.ic.tag_perr @[quasar.scala 191:13] - ifu.io.ic.rd_hit <= io.ic.rd_hit @[quasar.scala 191:13] - ifu.io.ic.parerr <= io.ic.parerr @[quasar.scala 191:13] - ifu.io.ic.eccerr <= io.ic.eccerr @[quasar.scala 191:13] - ifu.io.ic.tag_debug_rd_data <= io.ic.tag_debug_rd_data @[quasar.scala 191:13] - ifu.io.ic.debug_rd_data <= io.ic.debug_rd_data @[quasar.scala 191:13] - ifu.io.ic.rd_data <= io.ic.rd_data @[quasar.scala 191:13] - io.ic.debug_addr <= ifu.io.ic.debug_addr @[quasar.scala 191:13] - io.ic.debug_wr_data <= ifu.io.ic.debug_wr_data @[quasar.scala 191:13] - io.ic.wr_data[0] <= ifu.io.ic.wr_data[0] @[quasar.scala 191:13] - io.ic.wr_data[1] <= ifu.io.ic.wr_data[1] @[quasar.scala 191:13] - io.ic.rd_en <= ifu.io.ic.rd_en @[quasar.scala 191:13] - io.ic.wr_en <= ifu.io.ic.wr_en @[quasar.scala 191:13] - io.ic.tag_valid <= ifu.io.ic.tag_valid @[quasar.scala 191:13] - io.ic.rw_addr <= ifu.io.ic.rw_addr @[quasar.scala 191:13] - ifu.io.iccm.rd_data_ecc <= io.iccm.rd_data_ecc @[quasar.scala 192:15] - ifu.io.iccm.rd_data <= io.iccm.rd_data @[quasar.scala 192:15] - io.iccm.wr_data <= ifu.io.iccm.wr_data @[quasar.scala 192:15] - io.iccm.wr_size <= ifu.io.iccm.wr_size @[quasar.scala 192:15] - io.iccm.rden <= ifu.io.iccm.rden @[quasar.scala 192:15] - io.iccm.wren <= ifu.io.iccm.wren @[quasar.scala 192:15] - io.iccm.correction_state <= ifu.io.iccm.correction_state @[quasar.scala 192:15] - io.iccm.buf_correct_ecc <= ifu.io.iccm.buf_correct_ecc @[quasar.scala 192:15] - io.iccm.rw_addr <= ifu.io.iccm.rw_addr @[quasar.scala 192:15] - ifu.io.exu_ifu.exu_bp.exu_mp_btag <= exu.io.exu_bp.exu_mp_btag @[quasar.scala 201:25] - ifu.io.exu_ifu.exu_bp.exu_mp_index <= exu.io.exu_bp.exu_mp_index @[quasar.scala 201:25] - ifu.io.exu_ifu.exu_bp.exu_mp_fghr <= exu.io.exu_bp.exu_mp_fghr @[quasar.scala 201:25] - ifu.io.exu_ifu.exu_bp.exu_mp_eghr <= exu.io.exu_bp.exu_mp_eghr @[quasar.scala 201:25] - ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.way <= exu.io.exu_bp.exu_mp_pkt.bits.way @[quasar.scala 201:25] - ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.pja <= exu.io.exu_bp.exu_mp_pkt.bits.pja @[quasar.scala 201:25] - ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.pret <= exu.io.exu_bp.exu_mp_pkt.bits.pret @[quasar.scala 201:25] - ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.pcall <= exu.io.exu_bp.exu_mp_pkt.bits.pcall @[quasar.scala 201:25] - ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.prett <= exu.io.exu_bp.exu_mp_pkt.bits.prett @[quasar.scala 201:25] - ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.br_start_error <= exu.io.exu_bp.exu_mp_pkt.bits.br_start_error @[quasar.scala 201:25] - ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.br_error <= exu.io.exu_bp.exu_mp_pkt.bits.br_error @[quasar.scala 201:25] - ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.toffset <= exu.io.exu_bp.exu_mp_pkt.bits.toffset @[quasar.scala 201:25] - ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.hist <= exu.io.exu_bp.exu_mp_pkt.bits.hist @[quasar.scala 201:25] - ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.pc4 <= exu.io.exu_bp.exu_mp_pkt.bits.pc4 @[quasar.scala 201:25] - ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.boffset <= exu.io.exu_bp.exu_mp_pkt.bits.boffset @[quasar.scala 201:25] - ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.ataken <= exu.io.exu_bp.exu_mp_pkt.bits.ataken @[quasar.scala 201:25] - ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.misp <= exu.io.exu_bp.exu_mp_pkt.bits.misp @[quasar.scala 201:25] - ifu.io.exu_ifu.exu_bp.exu_mp_pkt.valid <= exu.io.exu_bp.exu_mp_pkt.valid @[quasar.scala 201:25] - ifu.io.exu_ifu.exu_bp.exu_i0_br_way_r <= exu.io.exu_bp.exu_i0_br_way_r @[quasar.scala 201:25] - ifu.io.exu_ifu.exu_bp.exu_i0_br_fghr_r <= exu.io.exu_bp.exu_i0_br_fghr_r @[quasar.scala 201:25] - ifu.io.exu_ifu.exu_bp.exu_i0_br_index_r <= exu.io.exu_bp.exu_i0_br_index_r @[quasar.scala 201:25] - ifu.io.exu_ifu.exu_bp.exu_i0_br_fghr_r <= exu.io.exu_bp.exu_i0_br_fghr_r @[quasar.scala 202:42] - ifu.io.exu_ifu.exu_bp.exu_i0_br_index_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_index_r @[quasar.scala 203:43] - ifu.io.dec_tlu_flush_lower_wb <= dec.io.dec_exu.tlu_exu.dec_tlu_flush_lower_r @[quasar.scala 204:33] - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_flush_lower_wb <= dec.io.dec_exu.tlu_exu.dec_tlu_flush_lower_r @[quasar.scala 205:54] - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wr_valid <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wr_valid @[quasar.scala 206:51] - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_rd_valid <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_rd_valid @[quasar.scala 206:51] - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_dicawics <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_dicawics @[quasar.scala 206:51] - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wrdata <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wrdata @[quasar.scala 206:51] - dec.reset <= io.core_rst_l @[quasar.scala 209:13] - dec.io.free_clk <= rvclkhdr.io.l1clk @[quasar.scala 210:19] - dec.io.active_clk <= rvclkhdr_1.io.l1clk @[quasar.scala 211:21] - dec.io.lsu_fastint_stall_any <= lsu.io.lsu_fastint_stall_any @[quasar.scala 212:32] - dec.io.rst_vec <= io.rst_vec @[quasar.scala 213:18] - dec.io.nmi_int <= io.nmi_int @[quasar.scala 214:18] - dec.io.nmi_vec <= io.nmi_vec @[quasar.scala 215:18] - dec.io.i_cpu_halt_req <= io.i_cpu_halt_req @[quasar.scala 216:25] - dec.io.i_cpu_run_req <= io.i_cpu_run_req @[quasar.scala 217:24] - dec.io.core_id <= io.core_id @[quasar.scala 218:18] - dec.io.mpc_debug_halt_req <= io.mpc_debug_halt_req @[quasar.scala 219:29] - dec.io.mpc_debug_run_req <= io.mpc_debug_run_req @[quasar.scala 220:28] - dec.io.mpc_reset_run_req <= io.mpc_reset_run_req @[quasar.scala 221:28] - dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data @[quasar.scala 222:18] - dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_tag <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_tag @[quasar.scala 222:18] - dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_error <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_error @[quasar.scala 222:18] - dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_valid <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_valid @[quasar.scala 222:18] - dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_inv_tag_r @[quasar.scala 222:18] - dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_inv_r <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_inv_r @[quasar.scala 222:18] - dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_tag_m <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_tag_m @[quasar.scala 222:18] - dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_valid_m <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_valid_m @[quasar.scala 222:18] - dec.io.lsu_dec.tlu_busbuff.lsu_imprecise_error_addr_any <= lsu.io.lsu_dec.tlu_busbuff.lsu_imprecise_error_addr_any @[quasar.scala 222:18] - dec.io.lsu_dec.tlu_busbuff.lsu_imprecise_error_store_any <= lsu.io.lsu_dec.tlu_busbuff.lsu_imprecise_error_store_any @[quasar.scala 222:18] - dec.io.lsu_dec.tlu_busbuff.lsu_imprecise_error_load_any <= lsu.io.lsu_dec.tlu_busbuff.lsu_imprecise_error_load_any @[quasar.scala 222:18] - lsu.io.lsu_dec.tlu_busbuff.dec_tlu_sideeffect_posted_disable <= dec.io.lsu_dec.tlu_busbuff.dec_tlu_sideeffect_posted_disable @[quasar.scala 222:18] - lsu.io.lsu_dec.tlu_busbuff.dec_tlu_wb_coalescing_disable <= dec.io.lsu_dec.tlu_busbuff.dec_tlu_wb_coalescing_disable @[quasar.scala 222:18] - lsu.io.lsu_dec.tlu_busbuff.dec_tlu_external_ldfwd_disable <= dec.io.lsu_dec.tlu_busbuff.dec_tlu_external_ldfwd_disable @[quasar.scala 222:18] - dec.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_busy <= lsu.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_busy @[quasar.scala 222:18] - dec.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_error <= lsu.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_error @[quasar.scala 222:18] - dec.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_misaligned <= lsu.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_misaligned @[quasar.scala 222:18] - dec.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_trxn <= lsu.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_trxn @[quasar.scala 222:18] - dec.io.lsu_tlu.lsu_pmu_store_external_m <= lsu.io.lsu_tlu.lsu_pmu_store_external_m @[quasar.scala 223:18] - dec.io.lsu_tlu.lsu_pmu_load_external_m <= lsu.io.lsu_tlu.lsu_pmu_load_external_m @[quasar.scala 223:18] - dec.io.dec_dma.tlu_dma.dma_iccm_stall_any <= dma_ctrl.io.dec_dma.tlu_dma.dma_iccm_stall_any @[quasar.scala 224:18] - dec.io.dec_dma.tlu_dma.dma_dccm_stall_any <= dma_ctrl.io.dec_dma.tlu_dma.dma_dccm_stall_any @[quasar.scala 224:18] - dma_ctrl.io.dec_dma.tlu_dma.dec_tlu_dma_qos_prty <= dec.io.dec_dma.tlu_dma.dec_tlu_dma_qos_prty @[quasar.scala 224:18] - dec.io.dec_dma.tlu_dma.dma_pmu_any_write <= dma_ctrl.io.dec_dma.tlu_dma.dma_pmu_any_write @[quasar.scala 224:18] - dec.io.dec_dma.tlu_dma.dma_pmu_any_read <= dma_ctrl.io.dec_dma.tlu_dma.dma_pmu_any_read @[quasar.scala 224:18] - dec.io.dec_dma.tlu_dma.dma_pmu_dccm_write <= dma_ctrl.io.dec_dma.tlu_dma.dma_pmu_dccm_write @[quasar.scala 224:18] - dec.io.dec_dma.tlu_dma.dma_pmu_dccm_read <= dma_ctrl.io.dec_dma.tlu_dma.dma_pmu_dccm_read @[quasar.scala 224:18] - dec.io.dec_dma.dctl_dma.dma_dccm_stall_any <= dma_ctrl.io.dec_dma.dctl_dma.dma_dccm_stall_any @[quasar.scala 224:18] - dec.io.dec_pic.mexintpend <= pic_ctrl_inst.io.dec_pic.mexintpend @[quasar.scala 225:18] - pic_ctrl_inst.io.dec_pic.dec_tlu_meipt <= dec.io.dec_pic.dec_tlu_meipt @[quasar.scala 225:18] - pic_ctrl_inst.io.dec_pic.dec_tlu_meicurpl <= dec.io.dec_pic.dec_tlu_meicurpl @[quasar.scala 225:18] - dec.io.dec_pic.mhwakeup <= pic_ctrl_inst.io.dec_pic.mhwakeup @[quasar.scala 225:18] - dec.io.dec_pic.pic_pl <= pic_ctrl_inst.io.dec_pic.pic_pl @[quasar.scala 225:18] - dec.io.dec_pic.pic_claimid <= pic_ctrl_inst.io.dec_pic.pic_claimid @[quasar.scala 225:18] - dec.io.lsu_pmu_misaligned_m <= lsu.io.lsu_pmu_misaligned_m @[quasar.scala 226:31] - dec.io.lsu_fir_addr <= lsu.io.lsu_fir_addr @[quasar.scala 227:23] - dec.io.lsu_fir_error <= lsu.io.lsu_fir_error @[quasar.scala 228:24] - dec.io.lsu_trigger_match_m <= lsu.io.lsu_trigger_match_m @[quasar.scala 229:30] - dec.io.dec_dbg.dbg_dctl.dbg_cmd_wrdata <= dbg.io.dbg_dec.dbg_dctl.dbg_cmd_wrdata @[quasar.scala 230:18] - dec.io.dec_dbg.dbg_ib.dbg_cmd_addr <= dbg.io.dbg_dec.dbg_ib.dbg_cmd_addr @[quasar.scala 230:18] - dec.io.dec_dbg.dbg_ib.dbg_cmd_type <= dbg.io.dbg_dec.dbg_ib.dbg_cmd_type @[quasar.scala 230:18] - dec.io.dec_dbg.dbg_ib.dbg_cmd_write <= dbg.io.dbg_dec.dbg_ib.dbg_cmd_write @[quasar.scala 230:18] - dec.io.dec_dbg.dbg_ib.dbg_cmd_valid <= dbg.io.dbg_dec.dbg_ib.dbg_cmd_valid @[quasar.scala 230:18] - dec.io.lsu_idle_any <= lsu.io.lsu_idle_any @[quasar.scala 231:23] - dec.io.lsu_error_pkt_r.bits.addr <= lsu.io.lsu_error_pkt_r.bits.addr @[quasar.scala 232:26] - dec.io.lsu_error_pkt_r.bits.mscause <= lsu.io.lsu_error_pkt_r.bits.mscause @[quasar.scala 232:26] - dec.io.lsu_error_pkt_r.bits.exc_type <= lsu.io.lsu_error_pkt_r.bits.exc_type @[quasar.scala 232:26] - dec.io.lsu_error_pkt_r.bits.inst_type <= lsu.io.lsu_error_pkt_r.bits.inst_type @[quasar.scala 232:26] - dec.io.lsu_error_pkt_r.bits.single_ecc_error <= lsu.io.lsu_error_pkt_r.bits.single_ecc_error @[quasar.scala 232:26] - dec.io.lsu_error_pkt_r.valid <= lsu.io.lsu_error_pkt_r.valid @[quasar.scala 232:26] - dec.io.lsu_single_ecc_error_incr <= lsu.io.lsu_single_ecc_error_incr @[quasar.scala 233:36] - dec.io.exu_div_result <= exu.io.exu_div_result @[quasar.scala 234:25] - dec.io.exu_div_wren <= exu.io.exu_div_wren @[quasar.scala 235:23] - dec.io.lsu_result_m <= lsu.io.lsu_result_m @[quasar.scala 236:23] - dec.io.lsu_result_corr_r <= lsu.io.lsu_result_corr_r @[quasar.scala 237:28] - dec.io.lsu_load_stall_any <= lsu.io.lsu_load_stall_any @[quasar.scala 238:29] - dec.io.lsu_store_stall_any <= lsu.io.lsu_store_stall_any @[quasar.scala 239:30] - dec.io.iccm_dma_sb_error <= ifu.io.iccm_dma_sb_error @[quasar.scala 240:28] - dec.io.exu_flush_final <= exu.io.exu_flush_final @[quasar.scala 241:26] - dec.io.soft_int <= io.soft_int @[quasar.scala 243:19] - dec.io.dbg_halt_req <= dbg.io.dbg_halt_req @[quasar.scala 247:23] - dec.io.dbg_resume_req <= dbg.io.dbg_resume_req @[quasar.scala 248:25] - dec.io.exu_i0_br_way_r <= exu.io.exu_bp.exu_i0_br_way_r @[quasar.scala 249:26] - dec.io.timer_int <= io.timer_int @[quasar.scala 250:20] - dec.io.scan_mode <= io.scan_mode @[quasar.scala 251:20] - exu.io.dec_exu.gpr_exu.gpr_i0_rs2_d <= dec.io.dec_exu.gpr_exu.gpr_i0_rs2_d @[quasar.scala 254:18] - exu.io.dec_exu.gpr_exu.gpr_i0_rs1_d <= dec.io.dec_exu.gpr_exu.gpr_i0_rs1_d @[quasar.scala 254:18] - exu.io.dec_exu.ib_exu.dec_debug_wdata_rs1_d <= dec.io.dec_exu.ib_exu.dec_debug_wdata_rs1_d @[quasar.scala 254:18] - exu.io.dec_exu.ib_exu.dec_i0_pc_d <= dec.io.dec_exu.ib_exu.dec_i0_pc_d @[quasar.scala 254:18] - dec.io.dec_exu.tlu_exu.exu_npc_r <= exu.io.dec_exu.tlu_exu.exu_npc_r @[quasar.scala 254:18] - dec.io.dec_exu.tlu_exu.exu_pmu_i0_pc4 <= exu.io.dec_exu.tlu_exu.exu_pmu_i0_pc4 @[quasar.scala 254:18] - dec.io.dec_exu.tlu_exu.exu_pmu_i0_br_ataken <= exu.io.dec_exu.tlu_exu.exu_pmu_i0_br_ataken @[quasar.scala 254:18] - dec.io.dec_exu.tlu_exu.exu_pmu_i0_br_misp <= exu.io.dec_exu.tlu_exu.exu_pmu_i0_br_misp @[quasar.scala 254:18] - dec.io.dec_exu.tlu_exu.exu_i0_br_middle_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_middle_r @[quasar.scala 254:18] - dec.io.dec_exu.tlu_exu.exu_i0_br_mp_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_mp_r @[quasar.scala 254:18] - dec.io.dec_exu.tlu_exu.exu_i0_br_valid_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_valid_r @[quasar.scala 254:18] - dec.io.dec_exu.tlu_exu.exu_i0_br_index_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_index_r @[quasar.scala 254:18] - dec.io.dec_exu.tlu_exu.exu_i0_br_start_error_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_start_error_r @[quasar.scala 254:18] - dec.io.dec_exu.tlu_exu.exu_i0_br_error_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_error_r @[quasar.scala 254:18] - dec.io.dec_exu.tlu_exu.exu_i0_br_hist_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_hist_r @[quasar.scala 254:18] - exu.io.dec_exu.tlu_exu.dec_tlu_flush_path_r <= dec.io.dec_exu.tlu_exu.dec_tlu_flush_path_r @[quasar.scala 254:18] - exu.io.dec_exu.tlu_exu.dec_tlu_flush_lower_r <= dec.io.dec_exu.tlu_exu.dec_tlu_flush_lower_r @[quasar.scala 254:18] - exu.io.dec_exu.tlu_exu.dec_tlu_meihap <= dec.io.dec_exu.tlu_exu.dec_tlu_meihap @[quasar.scala 254:18] - dec.io.dec_exu.decode_exu.exu_csr_rs1_x <= exu.io.dec_exu.decode_exu.exu_csr_rs1_x @[quasar.scala 254:18] - dec.io.dec_exu.decode_exu.exu_i0_result_x <= exu.io.dec_exu.decode_exu.exu_i0_result_x @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_extint_stall <= dec.io.dec_exu.decode_exu.dec_extint_stall @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.pred_correct_npc_x <= dec.io.dec_exu.decode_exu.pred_correct_npc_x @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.mul_p.bits.bfp <= dec.io.dec_exu.decode_exu.mul_p.bits.bfp @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.mul_p.bits.crc32c_w <= dec.io.dec_exu.decode_exu.mul_p.bits.crc32c_w @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.mul_p.bits.crc32c_h <= dec.io.dec_exu.decode_exu.mul_p.bits.crc32c_h @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.mul_p.bits.crc32c_b <= dec.io.dec_exu.decode_exu.mul_p.bits.crc32c_b @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.mul_p.bits.crc32_w <= dec.io.dec_exu.decode_exu.mul_p.bits.crc32_w @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.mul_p.bits.crc32_h <= dec.io.dec_exu.decode_exu.mul_p.bits.crc32_h @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.mul_p.bits.crc32_b <= dec.io.dec_exu.decode_exu.mul_p.bits.crc32_b @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.mul_p.bits.unshfl <= dec.io.dec_exu.decode_exu.mul_p.bits.unshfl @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.mul_p.bits.shfl <= dec.io.dec_exu.decode_exu.mul_p.bits.shfl @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.mul_p.bits.grev <= dec.io.dec_exu.decode_exu.mul_p.bits.grev @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.mul_p.bits.clmulr <= dec.io.dec_exu.decode_exu.mul_p.bits.clmulr @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.mul_p.bits.clmulh <= dec.io.dec_exu.decode_exu.mul_p.bits.clmulh @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.mul_p.bits.clmul <= dec.io.dec_exu.decode_exu.mul_p.bits.clmul @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.mul_p.bits.bdep <= dec.io.dec_exu.decode_exu.mul_p.bits.bdep @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.mul_p.bits.bext <= dec.io.dec_exu.decode_exu.mul_p.bits.bext @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.mul_p.bits.low <= dec.io.dec_exu.decode_exu.mul_p.bits.low @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.mul_p.bits.rs2_sign <= dec.io.dec_exu.decode_exu.mul_p.bits.rs2_sign @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.mul_p.bits.rs1_sign <= dec.io.dec_exu.decode_exu.mul_p.bits.rs1_sign @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.mul_p.valid <= dec.io.dec_exu.decode_exu.mul_p.valid @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_rs2_bypass_en_d <= dec.io.dec_exu.decode_exu.dec_i0_rs2_bypass_en_d @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_rs1_bypass_en_d <= dec.io.dec_exu.decode_exu.dec_i0_rs1_bypass_en_d @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_select_pc_d <= dec.io.dec_exu.decode_exu.dec_i0_select_pc_d @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_rs2_bypass_data_d <= dec.io.dec_exu.decode_exu.dec_i0_rs2_bypass_data_d @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_rs1_bypass_data_d <= dec.io.dec_exu.decode_exu.dec_i0_rs1_bypass_data_d @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_immed_d <= dec.io.dec_exu.decode_exu.dec_i0_immed_d @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_rs2_en_d <= dec.io.dec_exu.decode_exu.dec_i0_rs2_en_d @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_rs1_en_d <= dec.io.dec_exu.decode_exu.dec_i0_rs1_en_d @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_predict_btag_d <= dec.io.dec_exu.decode_exu.i0_predict_btag_d @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_predict_index_d <= dec.io.dec_exu.decode_exu.i0_predict_index_d @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_predict_fghr_d <= dec.io.dec_exu.decode_exu.i0_predict_fghr_d @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.way <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.way @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pja <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pja @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pret <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pret @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pcall <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pcall @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.prett <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.prett @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.br_start_error <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.br_start_error @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.br_error <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.br_error @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.toffset <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.toffset @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.hist <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.hist @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pc4 <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pc4 @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.boffset <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.boffset @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.ataken <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.ataken @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.misp <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.misp @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.valid <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.valid @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_ap.csr_imm <= dec.io.dec_exu.decode_exu.i0_ap.csr_imm @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_ap.csr_write <= dec.io.dec_exu.decode_exu.i0_ap.csr_write @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_ap.predict_nt <= dec.io.dec_exu.decode_exu.i0_ap.predict_nt @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_ap.predict_t <= dec.io.dec_exu.decode_exu.i0_ap.predict_t @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_ap.jal <= dec.io.dec_exu.decode_exu.i0_ap.jal @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_ap.unsign <= dec.io.dec_exu.decode_exu.i0_ap.unsign @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_ap.slt <= dec.io.dec_exu.decode_exu.i0_ap.slt @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_ap.sub <= dec.io.dec_exu.decode_exu.i0_ap.sub @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_ap.add <= dec.io.dec_exu.decode_exu.i0_ap.add @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_ap.bge <= dec.io.dec_exu.decode_exu.i0_ap.bge @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_ap.blt <= dec.io.dec_exu.decode_exu.i0_ap.blt @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_ap.bne <= dec.io.dec_exu.decode_exu.i0_ap.bne @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_ap.beq <= dec.io.dec_exu.decode_exu.i0_ap.beq @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_ap.sra <= dec.io.dec_exu.decode_exu.i0_ap.sra @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_ap.srl <= dec.io.dec_exu.decode_exu.i0_ap.srl @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_ap.sll <= dec.io.dec_exu.decode_exu.i0_ap.sll @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_ap.lxor <= dec.io.dec_exu.decode_exu.i0_ap.lxor @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_ap.lor <= dec.io.dec_exu.decode_exu.i0_ap.lor @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_ap.land <= dec.io.dec_exu.decode_exu.i0_ap.land @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_ctl_en <= dec.io.dec_exu.decode_exu.dec_ctl_en @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_data_en <= dec.io.dec_exu.decode_exu.dec_data_en @[quasar.scala 254:18] - exu.io.dec_exu.dec_div.dec_div_cancel <= dec.io.dec_exu.dec_div.dec_div_cancel @[quasar.scala 254:18] - exu.io.dec_exu.dec_div.div_p.bits.rem <= dec.io.dec_exu.dec_div.div_p.bits.rem @[quasar.scala 254:18] - exu.io.dec_exu.dec_div.div_p.bits.unsign <= dec.io.dec_exu.dec_div.div_p.bits.unsign @[quasar.scala 254:18] - exu.io.dec_exu.dec_div.div_p.valid <= dec.io.dec_exu.dec_div.div_p.valid @[quasar.scala 254:18] - dec.io.dec_exu.dec_alu.exu_i0_pc_x <= exu.io.dec_exu.dec_alu.exu_i0_pc_x @[quasar.scala 254:18] - exu.io.dec_exu.dec_alu.dec_i0_br_immed_d <= dec.io.dec_exu.dec_alu.dec_i0_br_immed_d @[quasar.scala 254:18] - exu.io.dec_exu.dec_alu.dec_csr_ren_d <= dec.io.dec_exu.dec_alu.dec_csr_ren_d @[quasar.scala 254:18] - exu.io.dec_exu.dec_alu.dec_i0_alu_decode_d <= dec.io.dec_exu.dec_alu.dec_i0_alu_decode_d @[quasar.scala 254:18] - exu.reset <= io.core_rst_l @[quasar.scala 255:13] - exu.io.scan_mode <= io.scan_mode @[quasar.scala 256:20] - exu.io.dbg_cmd_wrdata <= dbg.io.dbg_dec.dbg_dctl.dbg_cmd_wrdata @[quasar.scala 257:25] - lsu.reset <= io.core_rst_l @[quasar.scala 260:13] - lsu.io.clk_override <= dec.io.dec_tlu_lsu_clk_override @[quasar.scala 261:23] - lsu.io.dec_tlu_flush_lower_r <= dec.io.dec_exu.tlu_exu.dec_tlu_flush_lower_r @[quasar.scala 262:32] - lsu.io.dec_tlu_i0_kill_writeb_r <= dec.io.dec_tlu_i0_kill_writeb_r @[quasar.scala 263:35] - lsu.io.dec_tlu_force_halt <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_force_halt @[quasar.scala 264:29] - lsu.io.dec_tlu_core_ecc_disable <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_core_ecc_disable @[quasar.scala 265:35] - lsu.io.lsu_exu.exu_lsu_rs2_d <= exu.io.lsu_exu.exu_lsu_rs2_d @[quasar.scala 266:18] - lsu.io.lsu_exu.exu_lsu_rs1_d <= exu.io.lsu_exu.exu_lsu_rs1_d @[quasar.scala 266:18] - lsu.io.dec_lsu_offset_d <= dec.io.dec_lsu_offset_d @[quasar.scala 267:27] - lsu.io.lsu_p.bits.store_data_bypass_m <= dec.io.lsu_p.bits.store_data_bypass_m @[quasar.scala 268:16] - lsu.io.lsu_p.bits.load_ldst_bypass_d <= dec.io.lsu_p.bits.load_ldst_bypass_d @[quasar.scala 268:16] - lsu.io.lsu_p.bits.store_data_bypass_d <= dec.io.lsu_p.bits.store_data_bypass_d @[quasar.scala 268:16] - lsu.io.lsu_p.bits.dma <= dec.io.lsu_p.bits.dma @[quasar.scala 268:16] - lsu.io.lsu_p.bits.unsign <= dec.io.lsu_p.bits.unsign @[quasar.scala 268:16] - lsu.io.lsu_p.bits.store <= dec.io.lsu_p.bits.store @[quasar.scala 268:16] - lsu.io.lsu_p.bits.load <= dec.io.lsu_p.bits.load @[quasar.scala 268:16] - lsu.io.lsu_p.bits.dword <= dec.io.lsu_p.bits.dword @[quasar.scala 268:16] - lsu.io.lsu_p.bits.word <= dec.io.lsu_p.bits.word @[quasar.scala 268:16] - lsu.io.lsu_p.bits.half <= dec.io.lsu_p.bits.half @[quasar.scala 268:16] - lsu.io.lsu_p.bits.by <= dec.io.lsu_p.bits.by @[quasar.scala 268:16] - lsu.io.lsu_p.bits.fast_int <= dec.io.lsu_p.bits.fast_int @[quasar.scala 268:16] - lsu.io.lsu_p.valid <= dec.io.lsu_p.valid @[quasar.scala 268:16] - lsu.io.dec_lsu_valid_raw_d <= dec.io.dec_lsu_valid_raw_d @[quasar.scala 269:30] - lsu.io.dec_tlu_mrac_ff <= dec.io.ifu_dec.dec_ifc.dec_tlu_mrac_ff @[quasar.scala 270:26] - lsu.io.trigger_pkt_any[0].tdata2 <= dec.io.trigger_pkt_any[0].tdata2 @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[0].m <= dec.io.trigger_pkt_any[0].m @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[0].execute <= dec.io.trigger_pkt_any[0].execute @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[0].load <= dec.io.trigger_pkt_any[0].load @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[0].store <= dec.io.trigger_pkt_any[0].store @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[0].match_pkt <= dec.io.trigger_pkt_any[0].match_pkt @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[0].select <= dec.io.trigger_pkt_any[0].select @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[1].tdata2 <= dec.io.trigger_pkt_any[1].tdata2 @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[1].m <= dec.io.trigger_pkt_any[1].m @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[1].execute <= dec.io.trigger_pkt_any[1].execute @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[1].load <= dec.io.trigger_pkt_any[1].load @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[1].store <= dec.io.trigger_pkt_any[1].store @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[1].match_pkt <= dec.io.trigger_pkt_any[1].match_pkt @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[1].select <= dec.io.trigger_pkt_any[1].select @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[2].tdata2 <= dec.io.trigger_pkt_any[2].tdata2 @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[2].m <= dec.io.trigger_pkt_any[2].m @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[2].execute <= dec.io.trigger_pkt_any[2].execute @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[2].load <= dec.io.trigger_pkt_any[2].load @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[2].store <= dec.io.trigger_pkt_any[2].store @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[2].match_pkt <= dec.io.trigger_pkt_any[2].match_pkt @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[2].select <= dec.io.trigger_pkt_any[2].select @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[3].tdata2 <= dec.io.trigger_pkt_any[3].tdata2 @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[3].m <= dec.io.trigger_pkt_any[3].m @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[3].execute <= dec.io.trigger_pkt_any[3].execute @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[3].load <= dec.io.trigger_pkt_any[3].load @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[3].store <= dec.io.trigger_pkt_any[3].store @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[3].match_pkt <= dec.io.trigger_pkt_any[3].match_pkt @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[3].select <= dec.io.trigger_pkt_any[3].select @[quasar.scala 271:26] - node _T_13 = mux(UInt<1>("h00"), UInt<1>("h00"), io.lsu_axi.aw.ready) @[quasar.scala 272:29] - lsu.io.axi.aw.ready <= _T_13 @[quasar.scala 272:23] - node _T_14 = mux(UInt<1>("h00"), UInt<1>("h00"), io.lsu_axi.w.ready) @[quasar.scala 273:29] - lsu.io.axi.w.ready <= _T_14 @[quasar.scala 273:22] - node _T_15 = mux(UInt<1>("h00"), UInt<1>("h00"), io.lsu_axi.b.valid) @[quasar.scala 274:29] - lsu.io.axi.b.valid <= _T_15 @[quasar.scala 274:22] - node _T_16 = mux(UInt<1>("h00"), UInt<1>("h00"), io.lsu_axi.b.bits.resp) @[quasar.scala 275:33] - lsu.io.axi.b.bits.resp <= _T_16 @[quasar.scala 275:26] - node _T_17 = mux(UInt<1>("h00"), UInt<1>("h00"), io.lsu_axi.b.bits.id) @[quasar.scala 276:31] - lsu.io.axi.b.bits.id <= _T_17 @[quasar.scala 276:24] - node _T_18 = mux(UInt<1>("h00"), UInt<1>("h00"), io.lsu_axi.ar.ready) @[quasar.scala 277:30] - lsu.io.axi.ar.ready <= _T_18 @[quasar.scala 277:23] - node _T_19 = mux(UInt<1>("h00"), UInt<1>("h00"), io.lsu_axi.r.valid) @[quasar.scala 278:29] - lsu.io.axi.r.valid <= _T_19 @[quasar.scala 278:22] - node _T_20 = mux(UInt<1>("h00"), UInt<1>("h00"), io.lsu_axi.r.bits.id) @[quasar.scala 279:31] - lsu.io.axi.r.bits.id <= _T_20 @[quasar.scala 279:24] - node _T_21 = mux(UInt<1>("h00"), UInt<1>("h00"), io.lsu_axi.r.bits.data) @[quasar.scala 280:33] - lsu.io.axi.r.bits.data <= _T_21 @[quasar.scala 280:26] - node _T_22 = mux(UInt<1>("h00"), UInt<1>("h00"), io.lsu_axi.r.bits.resp) @[quasar.scala 281:33] - lsu.io.axi.r.bits.resp <= _T_22 @[quasar.scala 281:26] - node _T_23 = mux(UInt<1>("h00"), UInt<1>("h00"), io.lsu_axi.r.bits.last) @[quasar.scala 282:33] - lsu.io.axi.r.bits.last <= _T_23 @[quasar.scala 282:26] - lsu.io.lsu_bus_clk_en <= io.lsu_bus_clk_en @[quasar.scala 283:25] - lsu.io.lsu_dma.dma_mem_tag <= dma_ctrl.io.lsu_dma.dma_mem_tag @[quasar.scala 284:18] - dma_ctrl.io.lsu_dma.dccm_ready <= lsu.io.lsu_dma.dccm_ready @[quasar.scala 284:18] - dma_ctrl.io.lsu_dma.dma_dccm_ctl.dccm_dma_rdata <= lsu.io.lsu_dma.dma_dccm_ctl.dccm_dma_rdata @[quasar.scala 284:18] - dma_ctrl.io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag <= lsu.io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag @[quasar.scala 284:18] - dma_ctrl.io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error <= lsu.io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error @[quasar.scala 284:18] - dma_ctrl.io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid <= lsu.io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid @[quasar.scala 284:18] - lsu.io.lsu_dma.dma_dccm_ctl.dma_mem_wdata <= dma_ctrl.io.lsu_dma.dma_dccm_ctl.dma_mem_wdata @[quasar.scala 284:18] - lsu.io.lsu_dma.dma_dccm_ctl.dma_mem_addr <= dma_ctrl.io.lsu_dma.dma_dccm_ctl.dma_mem_addr @[quasar.scala 284:18] - lsu.io.lsu_dma.dma_lsc_ctl.dma_mem_wdata <= dma_ctrl.io.lsu_dma.dma_lsc_ctl.dma_mem_wdata @[quasar.scala 284:18] - lsu.io.lsu_dma.dma_lsc_ctl.dma_mem_write <= dma_ctrl.io.lsu_dma.dma_lsc_ctl.dma_mem_write @[quasar.scala 284:18] - lsu.io.lsu_dma.dma_lsc_ctl.dma_mem_sz <= dma_ctrl.io.lsu_dma.dma_lsc_ctl.dma_mem_sz @[quasar.scala 284:18] - lsu.io.lsu_dma.dma_lsc_ctl.dma_mem_addr <= dma_ctrl.io.lsu_dma.dma_lsc_ctl.dma_mem_addr @[quasar.scala 284:18] - lsu.io.lsu_dma.dma_lsc_ctl.dma_dccm_req <= dma_ctrl.io.lsu_dma.dma_lsc_ctl.dma_dccm_req @[quasar.scala 284:18] - lsu.io.scan_mode <= io.scan_mode @[quasar.scala 285:20] - lsu.io.free_clk <= rvclkhdr.io.l1clk @[quasar.scala 286:19] - dbg.reset <= io.core_rst_l @[quasar.scala 289:13] - node _T_24 = mux(dma_ctrl.io.dma_dbg_cmd_done, dma_ctrl.io.dma_dbg_rddata, dec.io.dec_dbg_rddata) @[quasar.scala 290:32] - dbg.io.core_dbg_rddata <= _T_24 @[quasar.scala 290:26] - node _T_25 = or(dma_ctrl.io.dma_dbg_cmd_done, dec.io.dec_dbg_cmd_done) @[quasar.scala 291:60] - dbg.io.core_dbg_cmd_done <= _T_25 @[quasar.scala 291:28] - node _T_26 = or(dma_ctrl.io.dma_dbg_cmd_fail, dec.io.dec_dbg_cmd_fail) @[quasar.scala 292:60] - dbg.io.core_dbg_cmd_fail <= _T_26 @[quasar.scala 292:28] - dbg.io.dec_tlu_debug_mode <= dec.io.dec_tlu_debug_mode @[quasar.scala 293:29] - dbg.io.dec_tlu_dbg_halted <= dec.io.dec_tlu_dbg_halted @[quasar.scala 294:29] - dbg.io.dec_tlu_mpc_halted_only <= dec.io.dec_tlu_mpc_halted_only @[quasar.scala 295:34] - dbg.io.dec_tlu_resume_ack <= dec.io.dec_tlu_resume_ack @[quasar.scala 296:29] - dbg.io.dmi_reg_en <= io.dmi_reg_en @[quasar.scala 297:21] - dbg.io.dmi_reg_addr <= io.dmi_reg_addr @[quasar.scala 298:23] - dbg.io.dmi_reg_wr_en <= io.dmi_reg_wr_en @[quasar.scala 299:24] - dbg.io.dmi_reg_wdata <= io.dmi_reg_wdata @[quasar.scala 300:24] - dbg.io.sb_axi.r.bits.last <= io.sb_axi.r.bits.last @[quasar.scala 301:17] - dbg.io.sb_axi.r.bits.resp <= io.sb_axi.r.bits.resp @[quasar.scala 301:17] - dbg.io.sb_axi.r.bits.data <= io.sb_axi.r.bits.data @[quasar.scala 301:17] - dbg.io.sb_axi.r.bits.id <= io.sb_axi.r.bits.id @[quasar.scala 301:17] - dbg.io.sb_axi.r.valid <= io.sb_axi.r.valid @[quasar.scala 301:17] - io.sb_axi.r.ready <= dbg.io.sb_axi.r.ready @[quasar.scala 301:17] - io.sb_axi.ar.bits.qos <= dbg.io.sb_axi.ar.bits.qos @[quasar.scala 301:17] - io.sb_axi.ar.bits.prot <= dbg.io.sb_axi.ar.bits.prot @[quasar.scala 301:17] - io.sb_axi.ar.bits.cache <= dbg.io.sb_axi.ar.bits.cache @[quasar.scala 301:17] - io.sb_axi.ar.bits.lock <= dbg.io.sb_axi.ar.bits.lock @[quasar.scala 301:17] - io.sb_axi.ar.bits.burst <= dbg.io.sb_axi.ar.bits.burst @[quasar.scala 301:17] - io.sb_axi.ar.bits.size <= dbg.io.sb_axi.ar.bits.size @[quasar.scala 301:17] - io.sb_axi.ar.bits.len <= dbg.io.sb_axi.ar.bits.len @[quasar.scala 301:17] - io.sb_axi.ar.bits.region <= dbg.io.sb_axi.ar.bits.region @[quasar.scala 301:17] - io.sb_axi.ar.bits.addr <= dbg.io.sb_axi.ar.bits.addr @[quasar.scala 301:17] - io.sb_axi.ar.bits.id <= dbg.io.sb_axi.ar.bits.id @[quasar.scala 301:17] - io.sb_axi.ar.valid <= dbg.io.sb_axi.ar.valid @[quasar.scala 301:17] - dbg.io.sb_axi.ar.ready <= io.sb_axi.ar.ready @[quasar.scala 301:17] - dbg.io.sb_axi.b.bits.id <= io.sb_axi.b.bits.id @[quasar.scala 301:17] - dbg.io.sb_axi.b.bits.resp <= io.sb_axi.b.bits.resp @[quasar.scala 301:17] - dbg.io.sb_axi.b.valid <= io.sb_axi.b.valid @[quasar.scala 301:17] - io.sb_axi.b.ready <= dbg.io.sb_axi.b.ready @[quasar.scala 301:17] - io.sb_axi.w.bits.last <= dbg.io.sb_axi.w.bits.last @[quasar.scala 301:17] - io.sb_axi.w.bits.strb <= dbg.io.sb_axi.w.bits.strb @[quasar.scala 301:17] - io.sb_axi.w.bits.data <= dbg.io.sb_axi.w.bits.data @[quasar.scala 301:17] - io.sb_axi.w.valid <= dbg.io.sb_axi.w.valid @[quasar.scala 301:17] - dbg.io.sb_axi.w.ready <= io.sb_axi.w.ready @[quasar.scala 301:17] - io.sb_axi.aw.bits.qos <= dbg.io.sb_axi.aw.bits.qos @[quasar.scala 301:17] - io.sb_axi.aw.bits.prot <= dbg.io.sb_axi.aw.bits.prot @[quasar.scala 301:17] - io.sb_axi.aw.bits.cache <= dbg.io.sb_axi.aw.bits.cache @[quasar.scala 301:17] - io.sb_axi.aw.bits.lock <= dbg.io.sb_axi.aw.bits.lock @[quasar.scala 301:17] - io.sb_axi.aw.bits.burst <= dbg.io.sb_axi.aw.bits.burst @[quasar.scala 301:17] - io.sb_axi.aw.bits.size <= dbg.io.sb_axi.aw.bits.size @[quasar.scala 301:17] - io.sb_axi.aw.bits.len <= dbg.io.sb_axi.aw.bits.len @[quasar.scala 301:17] - io.sb_axi.aw.bits.region <= dbg.io.sb_axi.aw.bits.region @[quasar.scala 301:17] - io.sb_axi.aw.bits.addr <= dbg.io.sb_axi.aw.bits.addr @[quasar.scala 301:17] - io.sb_axi.aw.bits.id <= dbg.io.sb_axi.aw.bits.id @[quasar.scala 301:17] - io.sb_axi.aw.valid <= dbg.io.sb_axi.aw.valid @[quasar.scala 301:17] - dbg.io.sb_axi.aw.ready <= io.sb_axi.aw.ready @[quasar.scala 301:17] - dbg.io.dbg_bus_clk_en <= io.dbg_bus_clk_en @[quasar.scala 302:25] - node _T_27 = asUInt(io.dbg_rst_l) @[quasar.scala 303:42] - dbg.io.dbg_rst_l <= _T_27 @[quasar.scala 303:20] - dbg.io.clk_override <= dec.io.dec_tlu_misc_clk_override @[quasar.scala 304:23] - dbg.io.scan_mode <= io.scan_mode @[quasar.scala 305:20] - dma_ctrl.reset <= io.core_rst_l @[quasar.scala 309:18] - dma_ctrl.io.free_clk <= rvclkhdr.io.l1clk @[quasar.scala 310:24] - dma_ctrl.io.dma_bus_clk_en <= io.dma_bus_clk_en @[quasar.scala 311:30] - dma_ctrl.io.clk_override <= dec.io.dec_tlu_misc_clk_override @[quasar.scala 312:28] - dma_ctrl.io.scan_mode <= io.scan_mode @[quasar.scala 313:25] - dma_ctrl.io.dbg_dma.dbg_dctl.dbg_cmd_wrdata <= dbg.io.dbg_dma.dbg_dctl.dbg_cmd_wrdata @[quasar.scala 314:23] - dma_ctrl.io.dbg_dma.dbg_ib.dbg_cmd_addr <= dbg.io.dbg_dma.dbg_ib.dbg_cmd_addr @[quasar.scala 314:23] - dma_ctrl.io.dbg_dma.dbg_ib.dbg_cmd_type <= dbg.io.dbg_dma.dbg_ib.dbg_cmd_type @[quasar.scala 314:23] - dma_ctrl.io.dbg_dma.dbg_ib.dbg_cmd_write <= dbg.io.dbg_dma.dbg_ib.dbg_cmd_write @[quasar.scala 314:23] - dma_ctrl.io.dbg_dma.dbg_ib.dbg_cmd_valid <= dbg.io.dbg_dma.dbg_ib.dbg_cmd_valid @[quasar.scala 314:23] - dbg.io.dbg_dma_io.dma_dbg_ready <= dma_ctrl.io.dbg_dma_io.dma_dbg_ready @[quasar.scala 315:26] - dma_ctrl.io.dbg_dma_io.dbg_dma_bubble <= dbg.io.dbg_dma_io.dbg_dma_bubble @[quasar.scala 315:26] - dma_ctrl.io.dbg_cmd_size <= dbg.io.dbg_cmd_size @[quasar.scala 316:28] - dma_ctrl.io.iccm_dma_rvalid <= ifu.io.iccm_dma_rvalid @[quasar.scala 317:31] - dma_ctrl.io.iccm_dma_rtag <= ifu.io.iccm_dma_rtag @[quasar.scala 318:29] - dma_ctrl.io.iccm_dma_rdata <= ifu.io.iccm_dma_rdata @[quasar.scala 319:30] - dma_ctrl.io.iccm_ready <= ifu.io.iccm_ready @[quasar.scala 320:26] - dma_ctrl.io.iccm_dma_ecc_error <= ifu.io.iccm_dma_ecc_error @[quasar.scala 321:34] - pic_ctrl_inst.io.scan_mode <= io.scan_mode @[quasar.scala 324:30] - pic_ctrl_inst.reset <= io.core_rst_l @[quasar.scala 325:23] - pic_ctrl_inst.io.free_clk <= rvclkhdr.io.l1clk @[quasar.scala 326:29] - pic_ctrl_inst.io.active_clk <= rvclkhdr_1.io.l1clk @[quasar.scala 327:31] - pic_ctrl_inst.io.clk_override <= dec.io.dec_tlu_pic_clk_override @[quasar.scala 328:33] - pic_ctrl_inst.io.extintsrc_req <= io.extintsrc_req @[quasar.scala 329:34] - lsu.io.lsu_pic.picm_rd_data <= pic_ctrl_inst.io.lsu_pic.picm_rd_data @[quasar.scala 330:28] - pic_ctrl_inst.io.lsu_pic.picm_wr_data <= lsu.io.lsu_pic.picm_wr_data @[quasar.scala 330:28] - pic_ctrl_inst.io.lsu_pic.picm_wraddr <= lsu.io.lsu_pic.picm_wraddr @[quasar.scala 330:28] - pic_ctrl_inst.io.lsu_pic.picm_rdaddr <= lsu.io.lsu_pic.picm_rdaddr @[quasar.scala 330:28] - pic_ctrl_inst.io.lsu_pic.picm_mken <= lsu.io.lsu_pic.picm_mken @[quasar.scala 330:28] - pic_ctrl_inst.io.lsu_pic.picm_rden <= lsu.io.lsu_pic.picm_rden @[quasar.scala 330:28] - pic_ctrl_inst.io.lsu_pic.picm_wren <= lsu.io.lsu_pic.picm_wren @[quasar.scala 330:28] - io.trace.rv_i_tval_ip <= dec.io.rv_trace_pkt.rv_i_tval_ip @[quasar.scala 332:12] - io.trace.rv_i_interrupt_ip <= dec.io.rv_trace_pkt.rv_i_interrupt_ip @[quasar.scala 332:12] - io.trace.rv_i_ecause_ip <= dec.io.rv_trace_pkt.rv_i_ecause_ip @[quasar.scala 332:12] - io.trace.rv_i_exception_ip <= dec.io.rv_trace_pkt.rv_i_exception_ip @[quasar.scala 332:12] - io.trace.rv_i_address_ip <= dec.io.rv_trace_pkt.rv_i_address_ip @[quasar.scala 332:12] - io.trace.rv_i_insn_ip <= dec.io.rv_trace_pkt.rv_i_insn_ip @[quasar.scala 332:12] - io.trace.rv_i_valid_ip <= dec.io.rv_trace_pkt.rv_i_valid_ip @[quasar.scala 332:12] - io.dccm_clk_override <= dec.io.dec_tlu_dccm_clk_override @[quasar.scala 342:24] - io.icm_clk_override <= dec.io.dec_tlu_icm_clk_override @[quasar.scala 343:23] - io.dec_tlu_core_ecc_disable <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_core_ecc_disable @[quasar.scala 344:31] - io.o_cpu_halt_ack <= dec.io.o_cpu_halt_ack @[quasar.scala 345:21] - io.o_cpu_halt_status <= dec.io.o_cpu_halt_status @[quasar.scala 346:24] - io.o_cpu_run_ack <= dec.io.o_cpu_run_ack @[quasar.scala 347:20] - io.o_debug_mode_status <= dec.io.o_debug_mode_status @[quasar.scala 348:26] - io.mpc_debug_halt_ack <= dec.io.mpc_debug_halt_ack @[quasar.scala 349:25] - io.mpc_debug_run_ack <= dec.io.mpc_debug_run_ack @[quasar.scala 350:24] - io.debug_brkpt_status <= dec.io.debug_brkpt_status @[quasar.scala 351:25] - io.dec_tlu_perfcnt0 <= dec.io.dec_tlu_perfcnt0 @[quasar.scala 352:23] - io.dec_tlu_perfcnt1 <= dec.io.dec_tlu_perfcnt1 @[quasar.scala 353:23] - io.dec_tlu_perfcnt2 <= dec.io.dec_tlu_perfcnt2 @[quasar.scala 354:23] - io.dec_tlu_perfcnt3 <= dec.io.dec_tlu_perfcnt3 @[quasar.scala 355:23] - lsu.io.dccm.rd_data_hi <= io.swerv_mem.rd_data_hi @[quasar.scala 357:16] - lsu.io.dccm.rd_data_lo <= io.swerv_mem.rd_data_lo @[quasar.scala 357:16] - io.swerv_mem.wr_data_hi <= lsu.io.dccm.wr_data_hi @[quasar.scala 357:16] - io.swerv_mem.wr_data_lo <= lsu.io.dccm.wr_data_lo @[quasar.scala 357:16] - io.swerv_mem.rd_addr_hi <= lsu.io.dccm.rd_addr_hi @[quasar.scala 357:16] - io.swerv_mem.rd_addr_lo <= lsu.io.dccm.rd_addr_lo @[quasar.scala 357:16] - io.swerv_mem.wr_addr_hi <= lsu.io.dccm.wr_addr_hi @[quasar.scala 357:16] - io.swerv_mem.wr_addr_lo <= lsu.io.dccm.wr_addr_lo @[quasar.scala 357:16] - io.swerv_mem.rden <= lsu.io.dccm.rden @[quasar.scala 357:16] - io.swerv_mem.wren <= lsu.io.dccm.wren @[quasar.scala 357:16] - lsu.io.axi.r.bits.last <= io.lsu_axi.r.bits.last @[quasar.scala 381:14] - lsu.io.axi.r.bits.resp <= io.lsu_axi.r.bits.resp @[quasar.scala 381:14] - lsu.io.axi.r.bits.data <= io.lsu_axi.r.bits.data @[quasar.scala 381:14] - lsu.io.axi.r.bits.id <= io.lsu_axi.r.bits.id @[quasar.scala 381:14] - lsu.io.axi.r.valid <= io.lsu_axi.r.valid @[quasar.scala 381:14] - io.lsu_axi.r.ready <= lsu.io.axi.r.ready @[quasar.scala 381:14] - io.lsu_axi.ar.bits.qos <= lsu.io.axi.ar.bits.qos @[quasar.scala 381:14] - io.lsu_axi.ar.bits.prot <= lsu.io.axi.ar.bits.prot @[quasar.scala 381:14] - io.lsu_axi.ar.bits.cache <= lsu.io.axi.ar.bits.cache @[quasar.scala 381:14] - io.lsu_axi.ar.bits.lock <= lsu.io.axi.ar.bits.lock @[quasar.scala 381:14] - io.lsu_axi.ar.bits.burst <= lsu.io.axi.ar.bits.burst @[quasar.scala 381:14] - io.lsu_axi.ar.bits.size <= lsu.io.axi.ar.bits.size @[quasar.scala 381:14] - io.lsu_axi.ar.bits.len <= lsu.io.axi.ar.bits.len @[quasar.scala 381:14] - io.lsu_axi.ar.bits.region <= lsu.io.axi.ar.bits.region @[quasar.scala 381:14] - io.lsu_axi.ar.bits.addr <= lsu.io.axi.ar.bits.addr @[quasar.scala 381:14] - io.lsu_axi.ar.bits.id <= lsu.io.axi.ar.bits.id @[quasar.scala 381:14] - io.lsu_axi.ar.valid <= lsu.io.axi.ar.valid @[quasar.scala 381:14] - lsu.io.axi.ar.ready <= io.lsu_axi.ar.ready @[quasar.scala 381:14] - lsu.io.axi.b.bits.id <= io.lsu_axi.b.bits.id @[quasar.scala 381:14] - lsu.io.axi.b.bits.resp <= io.lsu_axi.b.bits.resp @[quasar.scala 381:14] - lsu.io.axi.b.valid <= io.lsu_axi.b.valid @[quasar.scala 381:14] - io.lsu_axi.b.ready <= lsu.io.axi.b.ready @[quasar.scala 381:14] - io.lsu_axi.w.bits.last <= lsu.io.axi.w.bits.last @[quasar.scala 381:14] - io.lsu_axi.w.bits.strb <= lsu.io.axi.w.bits.strb @[quasar.scala 381:14] - io.lsu_axi.w.bits.data <= lsu.io.axi.w.bits.data @[quasar.scala 381:14] - io.lsu_axi.w.valid <= lsu.io.axi.w.valid @[quasar.scala 381:14] - lsu.io.axi.w.ready <= io.lsu_axi.w.ready @[quasar.scala 381:14] - io.lsu_axi.aw.bits.qos <= lsu.io.axi.aw.bits.qos @[quasar.scala 381:14] - io.lsu_axi.aw.bits.prot <= lsu.io.axi.aw.bits.prot @[quasar.scala 381:14] - io.lsu_axi.aw.bits.cache <= lsu.io.axi.aw.bits.cache @[quasar.scala 381:14] - io.lsu_axi.aw.bits.lock <= lsu.io.axi.aw.bits.lock @[quasar.scala 381:14] - io.lsu_axi.aw.bits.burst <= lsu.io.axi.aw.bits.burst @[quasar.scala 381:14] - io.lsu_axi.aw.bits.size <= lsu.io.axi.aw.bits.size @[quasar.scala 381:14] - io.lsu_axi.aw.bits.len <= lsu.io.axi.aw.bits.len @[quasar.scala 381:14] - io.lsu_axi.aw.bits.region <= lsu.io.axi.aw.bits.region @[quasar.scala 381:14] - io.lsu_axi.aw.bits.addr <= lsu.io.axi.aw.bits.addr @[quasar.scala 381:14] - io.lsu_axi.aw.bits.id <= lsu.io.axi.aw.bits.id @[quasar.scala 381:14] - io.lsu_axi.aw.valid <= lsu.io.axi.aw.valid @[quasar.scala 381:14] - lsu.io.axi.aw.ready <= io.lsu_axi.aw.ready @[quasar.scala 381:14] - ifu.io.ifu.r.bits.last <= io.ifu_axi.r.bits.last @[quasar.scala 384:14] - ifu.io.ifu.r.bits.resp <= io.ifu_axi.r.bits.resp @[quasar.scala 384:14] - ifu.io.ifu.r.bits.data <= io.ifu_axi.r.bits.data @[quasar.scala 384:14] - ifu.io.ifu.r.bits.id <= io.ifu_axi.r.bits.id @[quasar.scala 384:14] - ifu.io.ifu.r.valid <= io.ifu_axi.r.valid @[quasar.scala 384:14] - io.ifu_axi.r.ready <= ifu.io.ifu.r.ready @[quasar.scala 384:14] - io.ifu_axi.ar.bits.qos <= ifu.io.ifu.ar.bits.qos @[quasar.scala 384:14] - io.ifu_axi.ar.bits.prot <= ifu.io.ifu.ar.bits.prot @[quasar.scala 384:14] - io.ifu_axi.ar.bits.cache <= ifu.io.ifu.ar.bits.cache @[quasar.scala 384:14] - io.ifu_axi.ar.bits.lock <= ifu.io.ifu.ar.bits.lock @[quasar.scala 384:14] - io.ifu_axi.ar.bits.burst <= ifu.io.ifu.ar.bits.burst @[quasar.scala 384:14] - io.ifu_axi.ar.bits.size <= ifu.io.ifu.ar.bits.size @[quasar.scala 384:14] - io.ifu_axi.ar.bits.len <= ifu.io.ifu.ar.bits.len @[quasar.scala 384:14] - io.ifu_axi.ar.bits.region <= ifu.io.ifu.ar.bits.region @[quasar.scala 384:14] - io.ifu_axi.ar.bits.addr <= ifu.io.ifu.ar.bits.addr @[quasar.scala 384:14] - io.ifu_axi.ar.bits.id <= ifu.io.ifu.ar.bits.id @[quasar.scala 384:14] - io.ifu_axi.ar.valid <= ifu.io.ifu.ar.valid @[quasar.scala 384:14] - ifu.io.ifu.ar.ready <= io.ifu_axi.ar.ready @[quasar.scala 384:14] - ifu.io.ifu.b.bits.id <= io.ifu_axi.b.bits.id @[quasar.scala 384:14] - ifu.io.ifu.b.bits.resp <= io.ifu_axi.b.bits.resp @[quasar.scala 384:14] - ifu.io.ifu.b.valid <= io.ifu_axi.b.valid @[quasar.scala 384:14] - io.ifu_axi.b.ready <= ifu.io.ifu.b.ready @[quasar.scala 384:14] - io.ifu_axi.w.bits.last <= ifu.io.ifu.w.bits.last @[quasar.scala 384:14] - io.ifu_axi.w.bits.strb <= ifu.io.ifu.w.bits.strb @[quasar.scala 384:14] - io.ifu_axi.w.bits.data <= ifu.io.ifu.w.bits.data @[quasar.scala 384:14] - io.ifu_axi.w.valid <= ifu.io.ifu.w.valid @[quasar.scala 384:14] - ifu.io.ifu.w.ready <= io.ifu_axi.w.ready @[quasar.scala 384:14] - io.ifu_axi.aw.bits.qos <= ifu.io.ifu.aw.bits.qos @[quasar.scala 384:14] - io.ifu_axi.aw.bits.prot <= ifu.io.ifu.aw.bits.prot @[quasar.scala 384:14] - io.ifu_axi.aw.bits.cache <= ifu.io.ifu.aw.bits.cache @[quasar.scala 384:14] - io.ifu_axi.aw.bits.lock <= ifu.io.ifu.aw.bits.lock @[quasar.scala 384:14] - io.ifu_axi.aw.bits.burst <= ifu.io.ifu.aw.bits.burst @[quasar.scala 384:14] - io.ifu_axi.aw.bits.size <= ifu.io.ifu.aw.bits.size @[quasar.scala 384:14] - io.ifu_axi.aw.bits.len <= ifu.io.ifu.aw.bits.len @[quasar.scala 384:14] - io.ifu_axi.aw.bits.region <= ifu.io.ifu.aw.bits.region @[quasar.scala 384:14] - io.ifu_axi.aw.bits.addr <= ifu.io.ifu.aw.bits.addr @[quasar.scala 384:14] - io.ifu_axi.aw.bits.id <= ifu.io.ifu.aw.bits.id @[quasar.scala 384:14] - io.ifu_axi.aw.valid <= ifu.io.ifu.aw.valid @[quasar.scala 384:14] - ifu.io.ifu.aw.ready <= io.ifu_axi.aw.ready @[quasar.scala 384:14] - io.dma_axi.r.bits.last <= dma_ctrl.io.dma_axi.r.bits.last @[quasar.scala 385:14] - io.dma_axi.r.bits.resp <= dma_ctrl.io.dma_axi.r.bits.resp @[quasar.scala 385:14] - io.dma_axi.r.bits.data <= dma_ctrl.io.dma_axi.r.bits.data @[quasar.scala 385:14] - io.dma_axi.r.bits.id <= dma_ctrl.io.dma_axi.r.bits.id @[quasar.scala 385:14] - io.dma_axi.r.valid <= dma_ctrl.io.dma_axi.r.valid @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.r.ready <= io.dma_axi.r.ready @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.ar.bits.qos <= io.dma_axi.ar.bits.qos @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.ar.bits.prot <= io.dma_axi.ar.bits.prot @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.ar.bits.cache <= io.dma_axi.ar.bits.cache @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.ar.bits.lock <= io.dma_axi.ar.bits.lock @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.ar.bits.burst <= io.dma_axi.ar.bits.burst @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.ar.bits.size <= io.dma_axi.ar.bits.size @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.ar.bits.len <= io.dma_axi.ar.bits.len @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.ar.bits.region <= io.dma_axi.ar.bits.region @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.ar.bits.addr <= io.dma_axi.ar.bits.addr @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.ar.bits.id <= io.dma_axi.ar.bits.id @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.ar.valid <= io.dma_axi.ar.valid @[quasar.scala 385:14] - io.dma_axi.ar.ready <= dma_ctrl.io.dma_axi.ar.ready @[quasar.scala 385:14] - io.dma_axi.b.bits.id <= dma_ctrl.io.dma_axi.b.bits.id @[quasar.scala 385:14] - io.dma_axi.b.bits.resp <= dma_ctrl.io.dma_axi.b.bits.resp @[quasar.scala 385:14] - io.dma_axi.b.valid <= dma_ctrl.io.dma_axi.b.valid @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.b.ready <= io.dma_axi.b.ready @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.w.bits.last <= io.dma_axi.w.bits.last @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.w.bits.strb <= io.dma_axi.w.bits.strb @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.w.bits.data <= io.dma_axi.w.bits.data @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.w.valid <= io.dma_axi.w.valid @[quasar.scala 385:14] - io.dma_axi.w.ready <= dma_ctrl.io.dma_axi.w.ready @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.aw.bits.qos <= io.dma_axi.aw.bits.qos @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.aw.bits.prot <= io.dma_axi.aw.bits.prot @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.aw.bits.cache <= io.dma_axi.aw.bits.cache @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.aw.bits.lock <= io.dma_axi.aw.bits.lock @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.aw.bits.burst <= io.dma_axi.aw.bits.burst @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.aw.bits.size <= io.dma_axi.aw.bits.size @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.aw.bits.len <= io.dma_axi.aw.bits.len @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.aw.bits.region <= io.dma_axi.aw.bits.region @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.aw.bits.addr <= io.dma_axi.aw.bits.addr @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.aw.bits.id <= io.dma_axi.aw.bits.id @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.aw.valid <= io.dma_axi.aw.valid @[quasar.scala 385:14] - io.dma_axi.aw.ready <= dma_ctrl.io.dma_axi.aw.ready @[quasar.scala 385:14] - io.hburst <= UInt<1>("h00") @[quasar.scala 388:13] - io.hmastlock <= UInt<1>("h00") @[quasar.scala 389:16] - io.hprot <= UInt<1>("h00") @[quasar.scala 390:12] - io.hsize <= UInt<1>("h00") @[quasar.scala 391:12] - io.htrans <= UInt<1>("h00") @[quasar.scala 392:13] - io.hwrite <= UInt<1>("h00") @[quasar.scala 393:13] - io.haddr <= UInt<1>("h00") @[quasar.scala 394:12] - io.lsu_haddr <= UInt<1>("h00") @[quasar.scala 396:16] - io.lsu_hburst <= UInt<1>("h00") @[quasar.scala 397:17] - io.lsu_hmastlock <= UInt<1>("h00") @[quasar.scala 398:20] - io.lsu_hprot <= UInt<1>("h00") @[quasar.scala 399:16] - io.lsu_hsize <= UInt<1>("h00") @[quasar.scala 400:16] - io.lsu_htrans <= UInt<1>("h00") @[quasar.scala 401:17] - io.lsu_hwrite <= UInt<1>("h00") @[quasar.scala 402:17] - io.lsu_hwdata <= UInt<1>("h00") @[quasar.scala 403:17] - io.sb_haddr <= UInt<1>("h00") @[quasar.scala 405:15] - io.sb_hburst <= UInt<1>("h00") @[quasar.scala 406:16] - io.sb_hmastlock <= UInt<1>("h00") @[quasar.scala 407:19] - io.sb_hprot <= UInt<1>("h00") @[quasar.scala 408:15] - io.sb_hsize <= UInt<1>("h00") @[quasar.scala 409:15] - io.sb_htrans <= UInt<1>("h00") @[quasar.scala 410:16] - io.sb_hwrite <= UInt<1>("h00") @[quasar.scala 411:16] - io.sb_hwdata <= UInt<1>("h00") @[quasar.scala 412:16] - io.dma_hrdata <= UInt<1>("h00") @[quasar.scala 414:17] - io.dma_hreadyout <= UInt<1>("h00") @[quasar.scala 415:20] - io.dma_hresp <= UInt<1>("h00") @[quasar.scala 416:16] - io.dma_hresp <= UInt<1>("h00") @[quasar.scala 418:16] - io.dmi_reg_rdata <= UInt<1>("h00") @[quasar.scala 420:20] + ifu.io.ifu_dec.dec_bp.dec_tlu_bpred_disable <= dec.io.ifu_dec.dec_bp.dec_tlu_bpred_disable @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_bp.dec_tlu_flush_leak_one_wb <= dec.io.ifu_dec.dec_bp.dec_tlu_flush_leak_one_wb @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.middle <= dec.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.middle @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.way <= dec.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.way @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.br_start_error <= dec.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.br_start_error @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.br_error <= dec.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.br_error @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.hist <= dec.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.hist @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.valid <= dec.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.valid @[quasar.scala 88:18] + dec.io.ifu_dec.dec_ifc.ifu_pmu_fetch_stall <= ifu.io.ifu_dec.dec_ifc.ifu_pmu_fetch_stall @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_ifc.dec_tlu_mrac_ff <= dec.io.ifu_dec.dec_ifc.dec_tlu_mrac_ff @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_ifc.dec_tlu_flush_noredir_wb <= dec.io.ifu_dec.dec_ifc.dec_tlu_flush_noredir_wb @[quasar.scala 88:18] + dec.io.ifu_dec.dec_mem_ctrl.ifu_miss_state_idle <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_miss_state_idle @[quasar.scala 88:18] + dec.io.ifu_dec.dec_mem_ctrl.ifu_ic_debug_rd_data_valid <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_ic_debug_rd_data_valid @[quasar.scala 88:18] + dec.io.ifu_dec.dec_mem_ctrl.ifu_ic_debug_rd_data <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_ic_debug_rd_data @[quasar.scala 88:18] + dec.io.ifu_dec.dec_mem_ctrl.ifu_iccm_rd_ecc_single_err <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_iccm_rd_ecc_single_err @[quasar.scala 88:18] + dec.io.ifu_dec.dec_mem_ctrl.ifu_ic_error_start <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_ic_error_start @[quasar.scala 88:18] + dec.io.ifu_dec.dec_mem_ctrl.ifu_pmu_bus_trxn <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_pmu_bus_trxn @[quasar.scala 88:18] + dec.io.ifu_dec.dec_mem_ctrl.ifu_pmu_bus_busy <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_pmu_bus_busy @[quasar.scala 88:18] + dec.io.ifu_dec.dec_mem_ctrl.ifu_pmu_bus_error <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_pmu_bus_error @[quasar.scala 88:18] + dec.io.ifu_dec.dec_mem_ctrl.ifu_pmu_ic_hit <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_pmu_ic_hit @[quasar.scala 88:18] + dec.io.ifu_dec.dec_mem_ctrl.ifu_pmu_ic_miss <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_pmu_ic_miss @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_core_ecc_disable <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_core_ecc_disable @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wr_valid <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wr_valid @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_rd_valid <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_rd_valid @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_dicawics <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_dicawics @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wrdata <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wrdata @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_fence_i_wb <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_fence_i_wb @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_force_halt <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_force_halt @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_i0_commit_cmt <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_i0_commit_cmt @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_flush_err_wb <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_flush_err_wb @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.ifu_pmu_instr_aligned <= ifu.io.ifu_dec.dec_aln.ifu_pmu_instr_aligned @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.ret <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.ret @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.way <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.way @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.prett <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.prett @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.bank <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.bank @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.br_start_error <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.br_start_error @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.br_error <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.br_error @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.hist <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.hist @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.toffset <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.toffset @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.valid <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.valid @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_pc4 <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_pc4 @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_pc <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_pc @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_instr <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_instr @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_valid <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_valid @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_bp_btag <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_bp_btag @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_bp_fghr <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_bp_fghr @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_bp_index <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_bp_index @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_dbecc <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_dbecc @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_icaf_f1 <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_icaf_f1 @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_icaf_type <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_icaf_type @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_icaf <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_icaf @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_dec.ifu_i0_cinst <= ifu.io.ifu_dec.dec_aln.aln_dec.ifu_i0_cinst @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_aln.aln_dec.dec_i0_decode_d <= dec.io.ifu_dec.dec_aln.aln_dec.dec_i0_decode_d @[quasar.scala 88:18] + ifu.reset <= io.core_rst_l @[quasar.scala 90:13] + ifu.io.scan_mode <= io.scan_mode @[quasar.scala 91:20] + ifu.io.free_clk <= rvclkhdr.io.l1clk @[quasar.scala 92:19] + ifu.io.active_clk <= rvclkhdr_1.io.l1clk @[quasar.scala 93:21] + ifu.io.exu_flush_final <= dec.io.exu_flush_final @[quasar.scala 95:26] + ifu.io.exu_flush_path_final <= exu.io.exu_flush_path_final @[quasar.scala 96:31] + ifu.io.ifu_bus_clk_en <= io.ifu_bus_clk_en @[quasar.scala 98:25] + ifu.io.ifu_dma.dma_mem_ctl.dma_mem_tag <= dma_ctrl.io.ifu_dma.dma_mem_ctl.dma_mem_tag @[quasar.scala 99:18] + ifu.io.ifu_dma.dma_mem_ctl.dma_mem_wdata <= dma_ctrl.io.ifu_dma.dma_mem_ctl.dma_mem_wdata @[quasar.scala 99:18] + ifu.io.ifu_dma.dma_mem_ctl.dma_mem_write <= dma_ctrl.io.ifu_dma.dma_mem_ctl.dma_mem_write @[quasar.scala 99:18] + ifu.io.ifu_dma.dma_mem_ctl.dma_mem_sz <= dma_ctrl.io.ifu_dma.dma_mem_ctl.dma_mem_sz @[quasar.scala 99:18] + ifu.io.ifu_dma.dma_mem_ctl.dma_mem_addr <= dma_ctrl.io.ifu_dma.dma_mem_ctl.dma_mem_addr @[quasar.scala 99:18] + ifu.io.ifu_dma.dma_mem_ctl.dma_iccm_req <= dma_ctrl.io.ifu_dma.dma_mem_ctl.dma_iccm_req @[quasar.scala 99:18] + ifu.io.ifu_dma.dma_ifc.dma_iccm_stall_any <= dma_ctrl.io.ifu_dma.dma_ifc.dma_iccm_stall_any @[quasar.scala 99:18] + io.ic.sel_premux_data <= ifu.io.ic.sel_premux_data @[quasar.scala 100:13] + io.ic.premux_data <= ifu.io.ic.premux_data @[quasar.scala 100:13] + io.ic.debug_way <= ifu.io.ic.debug_way @[quasar.scala 100:13] + io.ic.debug_tag_array <= ifu.io.ic.debug_tag_array @[quasar.scala 100:13] + io.ic.debug_wr_en <= ifu.io.ic.debug_wr_en @[quasar.scala 100:13] + io.ic.debug_rd_en <= ifu.io.ic.debug_rd_en @[quasar.scala 100:13] + ifu.io.ic.tag_perr <= io.ic.tag_perr @[quasar.scala 100:13] + ifu.io.ic.rd_hit <= io.ic.rd_hit @[quasar.scala 100:13] + ifu.io.ic.parerr <= io.ic.parerr @[quasar.scala 100:13] + ifu.io.ic.eccerr <= io.ic.eccerr @[quasar.scala 100:13] + ifu.io.ic.tag_debug_rd_data <= io.ic.tag_debug_rd_data @[quasar.scala 100:13] + ifu.io.ic.debug_rd_data <= io.ic.debug_rd_data @[quasar.scala 100:13] + ifu.io.ic.rd_data <= io.ic.rd_data @[quasar.scala 100:13] + io.ic.debug_addr <= ifu.io.ic.debug_addr @[quasar.scala 100:13] + io.ic.debug_wr_data <= ifu.io.ic.debug_wr_data @[quasar.scala 100:13] + io.ic.wr_data[0] <= ifu.io.ic.wr_data[0] @[quasar.scala 100:13] + io.ic.wr_data[1] <= ifu.io.ic.wr_data[1] @[quasar.scala 100:13] + io.ic.rd_en <= ifu.io.ic.rd_en @[quasar.scala 100:13] + io.ic.wr_en <= ifu.io.ic.wr_en @[quasar.scala 100:13] + io.ic.tag_valid <= ifu.io.ic.tag_valid @[quasar.scala 100:13] + io.ic.rw_addr <= ifu.io.ic.rw_addr @[quasar.scala 100:13] + ifu.io.iccm.rd_data_ecc <= io.iccm.rd_data_ecc @[quasar.scala 101:15] + ifu.io.iccm.rd_data <= io.iccm.rd_data @[quasar.scala 101:15] + io.iccm.wr_data <= ifu.io.iccm.wr_data @[quasar.scala 101:15] + io.iccm.wr_size <= ifu.io.iccm.wr_size @[quasar.scala 101:15] + io.iccm.rden <= ifu.io.iccm.rden @[quasar.scala 101:15] + io.iccm.wren <= ifu.io.iccm.wren @[quasar.scala 101:15] + io.iccm.correction_state <= ifu.io.iccm.correction_state @[quasar.scala 101:15] + io.iccm.buf_correct_ecc <= ifu.io.iccm.buf_correct_ecc @[quasar.scala 101:15] + io.iccm.rw_addr <= ifu.io.iccm.rw_addr @[quasar.scala 101:15] + ifu.io.exu_ifu.exu_bp.exu_mp_btag <= exu.io.exu_bp.exu_mp_btag @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_index <= exu.io.exu_bp.exu_mp_index @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_fghr <= exu.io.exu_bp.exu_mp_fghr @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_eghr <= exu.io.exu_bp.exu_mp_eghr @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.way <= exu.io.exu_bp.exu_mp_pkt.bits.way @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.pja <= exu.io.exu_bp.exu_mp_pkt.bits.pja @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.pret <= exu.io.exu_bp.exu_mp_pkt.bits.pret @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.pcall <= exu.io.exu_bp.exu_mp_pkt.bits.pcall @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.prett <= exu.io.exu_bp.exu_mp_pkt.bits.prett @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.br_start_error <= exu.io.exu_bp.exu_mp_pkt.bits.br_start_error @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.br_error <= exu.io.exu_bp.exu_mp_pkt.bits.br_error @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.toffset <= exu.io.exu_bp.exu_mp_pkt.bits.toffset @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.hist <= exu.io.exu_bp.exu_mp_pkt.bits.hist @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.pc4 <= exu.io.exu_bp.exu_mp_pkt.bits.pc4 @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.boffset <= exu.io.exu_bp.exu_mp_pkt.bits.boffset @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.ataken <= exu.io.exu_bp.exu_mp_pkt.bits.ataken @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.misp <= exu.io.exu_bp.exu_mp_pkt.bits.misp @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_pkt.valid <= exu.io.exu_bp.exu_mp_pkt.valid @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_i0_br_way_r <= exu.io.exu_bp.exu_i0_br_way_r @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_i0_br_fghr_r <= exu.io.exu_bp.exu_i0_br_fghr_r @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_i0_br_index_r <= exu.io.exu_bp.exu_i0_br_index_r @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_i0_br_fghr_r <= exu.io.exu_bp.exu_i0_br_fghr_r @[quasar.scala 103:42] + ifu.io.exu_ifu.exu_bp.exu_i0_br_index_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_index_r @[quasar.scala 104:43] + ifu.io.dec_tlu_flush_lower_wb <= dec.io.dec_exu.tlu_exu.dec_tlu_flush_lower_r @[quasar.scala 105:33] + ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wr_valid <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wr_valid @[quasar.scala 106:51] + ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_rd_valid <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_rd_valid @[quasar.scala 106:51] + ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_dicawics <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_dicawics @[quasar.scala 106:51] + ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wrdata <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wrdata @[quasar.scala 106:51] + dec.reset <= io.core_rst_l @[quasar.scala 109:13] + dec.io.free_clk <= rvclkhdr.io.l1clk @[quasar.scala 110:19] + dec.io.active_clk <= rvclkhdr_1.io.l1clk @[quasar.scala 111:21] + dec.io.lsu_fastint_stall_any <= lsu.io.lsu_fastint_stall_any @[quasar.scala 112:32] + dec.io.rst_vec <= io.rst_vec @[quasar.scala 113:18] + dec.io.nmi_int <= io.nmi_int @[quasar.scala 114:18] + dec.io.nmi_vec <= io.nmi_vec @[quasar.scala 115:18] + dec.io.i_cpu_halt_req <= io.i_cpu_halt_req @[quasar.scala 116:25] + dec.io.i_cpu_run_req <= io.i_cpu_run_req @[quasar.scala 117:24] + dec.io.core_id <= io.core_id @[quasar.scala 118:18] + dec.io.mpc_debug_halt_req <= io.mpc_debug_halt_req @[quasar.scala 119:29] + dec.io.mpc_debug_run_req <= io.mpc_debug_run_req @[quasar.scala 120:28] + dec.io.mpc_reset_run_req <= io.mpc_reset_run_req @[quasar.scala 121:28] + dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data @[quasar.scala 122:18] + dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_tag <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_tag @[quasar.scala 122:18] + dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_error <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_error @[quasar.scala 122:18] + dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_valid <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_valid @[quasar.scala 122:18] + dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_inv_tag_r @[quasar.scala 122:18] + dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_inv_r <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_inv_r @[quasar.scala 122:18] + dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_tag_m <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_tag_m @[quasar.scala 122:18] + dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_valid_m <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_valid_m @[quasar.scala 122:18] + dec.io.lsu_dec.tlu_busbuff.lsu_imprecise_error_addr_any <= lsu.io.lsu_dec.tlu_busbuff.lsu_imprecise_error_addr_any @[quasar.scala 122:18] + dec.io.lsu_dec.tlu_busbuff.lsu_imprecise_error_store_any <= lsu.io.lsu_dec.tlu_busbuff.lsu_imprecise_error_store_any @[quasar.scala 122:18] + dec.io.lsu_dec.tlu_busbuff.lsu_imprecise_error_load_any <= lsu.io.lsu_dec.tlu_busbuff.lsu_imprecise_error_load_any @[quasar.scala 122:18] + lsu.io.lsu_dec.tlu_busbuff.dec_tlu_sideeffect_posted_disable <= dec.io.lsu_dec.tlu_busbuff.dec_tlu_sideeffect_posted_disable @[quasar.scala 122:18] + lsu.io.lsu_dec.tlu_busbuff.dec_tlu_wb_coalescing_disable <= dec.io.lsu_dec.tlu_busbuff.dec_tlu_wb_coalescing_disable @[quasar.scala 122:18] + lsu.io.lsu_dec.tlu_busbuff.dec_tlu_external_ldfwd_disable <= dec.io.lsu_dec.tlu_busbuff.dec_tlu_external_ldfwd_disable @[quasar.scala 122:18] + dec.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_busy <= lsu.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_busy @[quasar.scala 122:18] + dec.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_error <= lsu.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_error @[quasar.scala 122:18] + dec.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_misaligned <= lsu.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_misaligned @[quasar.scala 122:18] + dec.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_trxn <= lsu.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_trxn @[quasar.scala 122:18] + dec.io.lsu_tlu.lsu_pmu_store_external_m <= lsu.io.lsu_tlu.lsu_pmu_store_external_m @[quasar.scala 123:18] + dec.io.lsu_tlu.lsu_pmu_load_external_m <= lsu.io.lsu_tlu.lsu_pmu_load_external_m @[quasar.scala 123:18] + dec.io.lsu_pmu_misaligned_m <= lsu.io.lsu_pmu_misaligned_m @[quasar.scala 124:31] + dec.io.dec_dma.tlu_dma.dma_iccm_stall_any <= dma_ctrl.io.dec_dma.tlu_dma.dma_iccm_stall_any @[quasar.scala 125:18] + dec.io.dec_dma.tlu_dma.dma_dccm_stall_any <= dma_ctrl.io.dec_dma.tlu_dma.dma_dccm_stall_any @[quasar.scala 125:18] + dma_ctrl.io.dec_dma.tlu_dma.dec_tlu_dma_qos_prty <= dec.io.dec_dma.tlu_dma.dec_tlu_dma_qos_prty @[quasar.scala 125:18] + dec.io.dec_dma.tlu_dma.dma_pmu_any_write <= dma_ctrl.io.dec_dma.tlu_dma.dma_pmu_any_write @[quasar.scala 125:18] + dec.io.dec_dma.tlu_dma.dma_pmu_any_read <= dma_ctrl.io.dec_dma.tlu_dma.dma_pmu_any_read @[quasar.scala 125:18] + dec.io.dec_dma.tlu_dma.dma_pmu_dccm_write <= dma_ctrl.io.dec_dma.tlu_dma.dma_pmu_dccm_write @[quasar.scala 125:18] + dec.io.dec_dma.tlu_dma.dma_pmu_dccm_read <= dma_ctrl.io.dec_dma.tlu_dma.dma_pmu_dccm_read @[quasar.scala 125:18] + dec.io.dec_dma.dctl_dma.dma_dccm_stall_any <= dma_ctrl.io.dec_dma.dctl_dma.dma_dccm_stall_any @[quasar.scala 125:18] + dec.io.lsu_fir_addr <= lsu.io.lsu_fir_addr @[quasar.scala 127:23] + dec.io.lsu_fir_error <= lsu.io.lsu_fir_error @[quasar.scala 128:24] + dec.io.lsu_trigger_match_m <= lsu.io.lsu_trigger_match_m @[quasar.scala 129:30] + dec.io.dec_dbg.dbg_dctl.dbg_cmd_wrdata <= dbg.io.dbg_dec.dbg_dctl.dbg_cmd_wrdata @[quasar.scala 130:18] + dec.io.dec_dbg.dbg_ib.dbg_cmd_addr <= dbg.io.dbg_dec.dbg_ib.dbg_cmd_addr @[quasar.scala 130:18] + dec.io.dec_dbg.dbg_ib.dbg_cmd_type <= dbg.io.dbg_dec.dbg_ib.dbg_cmd_type @[quasar.scala 130:18] + dec.io.dec_dbg.dbg_ib.dbg_cmd_write <= dbg.io.dbg_dec.dbg_ib.dbg_cmd_write @[quasar.scala 130:18] + dec.io.dec_dbg.dbg_ib.dbg_cmd_valid <= dbg.io.dbg_dec.dbg_ib.dbg_cmd_valid @[quasar.scala 130:18] + dec.io.lsu_idle_any <= lsu.io.lsu_idle_any @[quasar.scala 131:23] + dec.io.lsu_error_pkt_r.bits.addr <= lsu.io.lsu_error_pkt_r.bits.addr @[quasar.scala 132:26] + dec.io.lsu_error_pkt_r.bits.mscause <= lsu.io.lsu_error_pkt_r.bits.mscause @[quasar.scala 132:26] + dec.io.lsu_error_pkt_r.bits.exc_type <= lsu.io.lsu_error_pkt_r.bits.exc_type @[quasar.scala 132:26] + dec.io.lsu_error_pkt_r.bits.inst_type <= lsu.io.lsu_error_pkt_r.bits.inst_type @[quasar.scala 132:26] + dec.io.lsu_error_pkt_r.bits.single_ecc_error <= lsu.io.lsu_error_pkt_r.bits.single_ecc_error @[quasar.scala 132:26] + dec.io.lsu_error_pkt_r.valid <= lsu.io.lsu_error_pkt_r.valid @[quasar.scala 132:26] + dec.io.lsu_single_ecc_error_incr <= lsu.io.lsu_single_ecc_error_incr @[quasar.scala 133:36] + dec.io.exu_div_result <= exu.io.exu_div_result @[quasar.scala 134:25] + dec.io.exu_div_wren <= exu.io.exu_div_wren @[quasar.scala 135:23] + dec.io.lsu_result_m <= lsu.io.lsu_result_m @[quasar.scala 136:23] + dec.io.lsu_result_corr_r <= lsu.io.lsu_result_corr_r @[quasar.scala 137:28] + dec.io.lsu_load_stall_any <= lsu.io.lsu_load_stall_any @[quasar.scala 138:29] + dec.io.lsu_store_stall_any <= lsu.io.lsu_store_stall_any @[quasar.scala 139:30] + dec.io.iccm_dma_sb_error <= ifu.io.iccm_dma_sb_error @[quasar.scala 140:28] + dec.io.exu_flush_final <= exu.io.exu_flush_final @[quasar.scala 141:26] + dec.io.soft_int <= io.soft_int @[quasar.scala 143:19] + dec.io.dbg_halt_req <= dbg.io.dbg_halt_req @[quasar.scala 144:23] + dec.io.dbg_resume_req <= dbg.io.dbg_resume_req @[quasar.scala 145:25] + dec.io.exu_i0_br_way_r <= exu.io.exu_bp.exu_i0_br_way_r @[quasar.scala 146:26] + dec.io.timer_int <= io.timer_int @[quasar.scala 147:20] + dec.io.scan_mode <= io.scan_mode @[quasar.scala 148:20] + exu.io.dec_exu.gpr_exu.gpr_i0_rs2_d <= dec.io.dec_exu.gpr_exu.gpr_i0_rs2_d @[quasar.scala 151:18] + exu.io.dec_exu.gpr_exu.gpr_i0_rs1_d <= dec.io.dec_exu.gpr_exu.gpr_i0_rs1_d @[quasar.scala 151:18] + exu.io.dec_exu.ib_exu.dec_debug_wdata_rs1_d <= dec.io.dec_exu.ib_exu.dec_debug_wdata_rs1_d @[quasar.scala 151:18] + exu.io.dec_exu.ib_exu.dec_i0_pc_d <= dec.io.dec_exu.ib_exu.dec_i0_pc_d @[quasar.scala 151:18] + dec.io.dec_exu.tlu_exu.exu_npc_r <= exu.io.dec_exu.tlu_exu.exu_npc_r @[quasar.scala 151:18] + dec.io.dec_exu.tlu_exu.exu_pmu_i0_pc4 <= exu.io.dec_exu.tlu_exu.exu_pmu_i0_pc4 @[quasar.scala 151:18] + dec.io.dec_exu.tlu_exu.exu_pmu_i0_br_ataken <= exu.io.dec_exu.tlu_exu.exu_pmu_i0_br_ataken @[quasar.scala 151:18] + dec.io.dec_exu.tlu_exu.exu_pmu_i0_br_misp <= exu.io.dec_exu.tlu_exu.exu_pmu_i0_br_misp @[quasar.scala 151:18] + dec.io.dec_exu.tlu_exu.exu_i0_br_middle_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_middle_r @[quasar.scala 151:18] + dec.io.dec_exu.tlu_exu.exu_i0_br_mp_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_mp_r @[quasar.scala 151:18] + dec.io.dec_exu.tlu_exu.exu_i0_br_valid_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_valid_r @[quasar.scala 151:18] + dec.io.dec_exu.tlu_exu.exu_i0_br_index_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_index_r @[quasar.scala 151:18] + dec.io.dec_exu.tlu_exu.exu_i0_br_start_error_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_start_error_r @[quasar.scala 151:18] + dec.io.dec_exu.tlu_exu.exu_i0_br_error_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_error_r @[quasar.scala 151:18] + dec.io.dec_exu.tlu_exu.exu_i0_br_hist_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_hist_r @[quasar.scala 151:18] + exu.io.dec_exu.tlu_exu.dec_tlu_flush_path_r <= dec.io.dec_exu.tlu_exu.dec_tlu_flush_path_r @[quasar.scala 151:18] + exu.io.dec_exu.tlu_exu.dec_tlu_flush_lower_r <= dec.io.dec_exu.tlu_exu.dec_tlu_flush_lower_r @[quasar.scala 151:18] + exu.io.dec_exu.tlu_exu.dec_tlu_meihap <= dec.io.dec_exu.tlu_exu.dec_tlu_meihap @[quasar.scala 151:18] + dec.io.dec_exu.decode_exu.exu_csr_rs1_x <= exu.io.dec_exu.decode_exu.exu_csr_rs1_x @[quasar.scala 151:18] + dec.io.dec_exu.decode_exu.exu_i0_result_x <= exu.io.dec_exu.decode_exu.exu_i0_result_x @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_extint_stall <= dec.io.dec_exu.decode_exu.dec_extint_stall @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.pred_correct_npc_x <= dec.io.dec_exu.decode_exu.pred_correct_npc_x @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.bfp <= dec.io.dec_exu.decode_exu.mul_p.bits.bfp @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.crc32c_w <= dec.io.dec_exu.decode_exu.mul_p.bits.crc32c_w @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.crc32c_h <= dec.io.dec_exu.decode_exu.mul_p.bits.crc32c_h @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.crc32c_b <= dec.io.dec_exu.decode_exu.mul_p.bits.crc32c_b @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.crc32_w <= dec.io.dec_exu.decode_exu.mul_p.bits.crc32_w @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.crc32_h <= dec.io.dec_exu.decode_exu.mul_p.bits.crc32_h @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.crc32_b <= dec.io.dec_exu.decode_exu.mul_p.bits.crc32_b @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.unshfl <= dec.io.dec_exu.decode_exu.mul_p.bits.unshfl @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.shfl <= dec.io.dec_exu.decode_exu.mul_p.bits.shfl @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.grev <= dec.io.dec_exu.decode_exu.mul_p.bits.grev @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.clmulr <= dec.io.dec_exu.decode_exu.mul_p.bits.clmulr @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.clmulh <= dec.io.dec_exu.decode_exu.mul_p.bits.clmulh @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.clmul <= dec.io.dec_exu.decode_exu.mul_p.bits.clmul @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.bdep <= dec.io.dec_exu.decode_exu.mul_p.bits.bdep @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.bext <= dec.io.dec_exu.decode_exu.mul_p.bits.bext @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.low <= dec.io.dec_exu.decode_exu.mul_p.bits.low @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.rs2_sign <= dec.io.dec_exu.decode_exu.mul_p.bits.rs2_sign @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.rs1_sign <= dec.io.dec_exu.decode_exu.mul_p.bits.rs1_sign @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.valid <= dec.io.dec_exu.decode_exu.mul_p.valid @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_rs2_bypass_en_d <= dec.io.dec_exu.decode_exu.dec_i0_rs2_bypass_en_d @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_rs1_bypass_en_d <= dec.io.dec_exu.decode_exu.dec_i0_rs1_bypass_en_d @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_select_pc_d <= dec.io.dec_exu.decode_exu.dec_i0_select_pc_d @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_rs2_bypass_data_d <= dec.io.dec_exu.decode_exu.dec_i0_rs2_bypass_data_d @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_rs1_bypass_data_d <= dec.io.dec_exu.decode_exu.dec_i0_rs1_bypass_data_d @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_immed_d <= dec.io.dec_exu.decode_exu.dec_i0_immed_d @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_rs2_en_d <= dec.io.dec_exu.decode_exu.dec_i0_rs2_en_d @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_rs1_en_d <= dec.io.dec_exu.decode_exu.dec_i0_rs1_en_d @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_predict_btag_d <= dec.io.dec_exu.decode_exu.i0_predict_btag_d @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_predict_index_d <= dec.io.dec_exu.decode_exu.i0_predict_index_d @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_predict_fghr_d <= dec.io.dec_exu.decode_exu.i0_predict_fghr_d @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.way <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.way @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pja <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pja @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pret <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pret @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pcall <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pcall @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.prett <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.prett @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.br_start_error <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.br_start_error @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.br_error <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.br_error @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.toffset <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.toffset @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.hist <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.hist @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pc4 <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pc4 @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.boffset <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.boffset @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.ataken <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.ataken @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.misp <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.misp @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.valid <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.valid @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.csr_imm <= dec.io.dec_exu.decode_exu.i0_ap.csr_imm @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.csr_write <= dec.io.dec_exu.decode_exu.i0_ap.csr_write @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.predict_nt <= dec.io.dec_exu.decode_exu.i0_ap.predict_nt @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.predict_t <= dec.io.dec_exu.decode_exu.i0_ap.predict_t @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.jal <= dec.io.dec_exu.decode_exu.i0_ap.jal @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.unsign <= dec.io.dec_exu.decode_exu.i0_ap.unsign @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.slt <= dec.io.dec_exu.decode_exu.i0_ap.slt @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.sub <= dec.io.dec_exu.decode_exu.i0_ap.sub @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.add <= dec.io.dec_exu.decode_exu.i0_ap.add @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.bge <= dec.io.dec_exu.decode_exu.i0_ap.bge @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.blt <= dec.io.dec_exu.decode_exu.i0_ap.blt @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.bne <= dec.io.dec_exu.decode_exu.i0_ap.bne @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.beq <= dec.io.dec_exu.decode_exu.i0_ap.beq @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.sra <= dec.io.dec_exu.decode_exu.i0_ap.sra @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.srl <= dec.io.dec_exu.decode_exu.i0_ap.srl @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.sll <= dec.io.dec_exu.decode_exu.i0_ap.sll @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.lxor <= dec.io.dec_exu.decode_exu.i0_ap.lxor @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.lor <= dec.io.dec_exu.decode_exu.i0_ap.lor @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.land <= dec.io.dec_exu.decode_exu.i0_ap.land @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_ctl_en <= dec.io.dec_exu.decode_exu.dec_ctl_en @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_data_en <= dec.io.dec_exu.decode_exu.dec_data_en @[quasar.scala 151:18] + exu.io.dec_exu.dec_div.dec_div_cancel <= dec.io.dec_exu.dec_div.dec_div_cancel @[quasar.scala 151:18] + exu.io.dec_exu.dec_div.div_p.bits.rem <= dec.io.dec_exu.dec_div.div_p.bits.rem @[quasar.scala 151:18] + exu.io.dec_exu.dec_div.div_p.bits.unsign <= dec.io.dec_exu.dec_div.div_p.bits.unsign @[quasar.scala 151:18] + exu.io.dec_exu.dec_div.div_p.valid <= dec.io.dec_exu.dec_div.div_p.valid @[quasar.scala 151:18] + dec.io.dec_exu.dec_alu.exu_i0_pc_x <= exu.io.dec_exu.dec_alu.exu_i0_pc_x @[quasar.scala 151:18] + exu.io.dec_exu.dec_alu.dec_i0_br_immed_d <= dec.io.dec_exu.dec_alu.dec_i0_br_immed_d @[quasar.scala 151:18] + exu.io.dec_exu.dec_alu.dec_csr_ren_d <= dec.io.dec_exu.dec_alu.dec_csr_ren_d @[quasar.scala 151:18] + exu.io.dec_exu.dec_alu.dec_i0_alu_decode_d <= dec.io.dec_exu.dec_alu.dec_i0_alu_decode_d @[quasar.scala 151:18] + exu.reset <= io.core_rst_l @[quasar.scala 152:13] + exu.io.scan_mode <= io.scan_mode @[quasar.scala 153:20] + exu.io.dbg_cmd_wrdata <= dbg.io.dbg_dec.dbg_dctl.dbg_cmd_wrdata @[quasar.scala 154:25] + lsu.reset <= io.core_rst_l @[quasar.scala 157:13] + lsu.io.clk_override <= dec.io.dec_tlu_lsu_clk_override @[quasar.scala 158:23] + lsu.io.dec_tlu_flush_lower_r <= dec.io.dec_exu.tlu_exu.dec_tlu_flush_lower_r @[quasar.scala 159:32] + lsu.io.dec_tlu_i0_kill_writeb_r <= dec.io.dec_tlu_i0_kill_writeb_r @[quasar.scala 160:35] + lsu.io.dec_tlu_force_halt <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_force_halt @[quasar.scala 161:29] + lsu.io.dec_tlu_core_ecc_disable <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_core_ecc_disable @[quasar.scala 162:35] + lsu.io.lsu_exu.exu_lsu_rs2_d <= exu.io.lsu_exu.exu_lsu_rs2_d @[quasar.scala 163:18] + lsu.io.lsu_exu.exu_lsu_rs1_d <= exu.io.lsu_exu.exu_lsu_rs1_d @[quasar.scala 163:18] + lsu.io.dec_lsu_offset_d <= dec.io.dec_lsu_offset_d @[quasar.scala 164:27] + lsu.io.lsu_p.bits.store_data_bypass_m <= dec.io.lsu_p.bits.store_data_bypass_m @[quasar.scala 165:16] + lsu.io.lsu_p.bits.load_ldst_bypass_d <= dec.io.lsu_p.bits.load_ldst_bypass_d @[quasar.scala 165:16] + lsu.io.lsu_p.bits.store_data_bypass_d <= dec.io.lsu_p.bits.store_data_bypass_d @[quasar.scala 165:16] + lsu.io.lsu_p.bits.dma <= dec.io.lsu_p.bits.dma @[quasar.scala 165:16] + lsu.io.lsu_p.bits.unsign <= dec.io.lsu_p.bits.unsign @[quasar.scala 165:16] + lsu.io.lsu_p.bits.store <= dec.io.lsu_p.bits.store @[quasar.scala 165:16] + lsu.io.lsu_p.bits.load <= dec.io.lsu_p.bits.load @[quasar.scala 165:16] + lsu.io.lsu_p.bits.dword <= dec.io.lsu_p.bits.dword @[quasar.scala 165:16] + lsu.io.lsu_p.bits.word <= dec.io.lsu_p.bits.word @[quasar.scala 165:16] + lsu.io.lsu_p.bits.half <= dec.io.lsu_p.bits.half @[quasar.scala 165:16] + lsu.io.lsu_p.bits.by <= dec.io.lsu_p.bits.by @[quasar.scala 165:16] + lsu.io.lsu_p.bits.fast_int <= dec.io.lsu_p.bits.fast_int @[quasar.scala 165:16] + lsu.io.lsu_p.valid <= dec.io.lsu_p.valid @[quasar.scala 165:16] + lsu.io.dec_lsu_valid_raw_d <= dec.io.dec_lsu_valid_raw_d @[quasar.scala 166:30] + lsu.io.dec_tlu_mrac_ff <= dec.io.ifu_dec.dec_ifc.dec_tlu_mrac_ff @[quasar.scala 167:26] + lsu.io.trigger_pkt_any[0].tdata2 <= dec.io.trigger_pkt_any[0].tdata2 @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[0].m <= dec.io.trigger_pkt_any[0].m @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[0].execute <= dec.io.trigger_pkt_any[0].execute @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[0].load <= dec.io.trigger_pkt_any[0].load @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[0].store <= dec.io.trigger_pkt_any[0].store @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[0].match_pkt <= dec.io.trigger_pkt_any[0].match_pkt @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[0].select <= dec.io.trigger_pkt_any[0].select @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[1].tdata2 <= dec.io.trigger_pkt_any[1].tdata2 @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[1].m <= dec.io.trigger_pkt_any[1].m @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[1].execute <= dec.io.trigger_pkt_any[1].execute @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[1].load <= dec.io.trigger_pkt_any[1].load @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[1].store <= dec.io.trigger_pkt_any[1].store @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[1].match_pkt <= dec.io.trigger_pkt_any[1].match_pkt @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[1].select <= dec.io.trigger_pkt_any[1].select @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[2].tdata2 <= dec.io.trigger_pkt_any[2].tdata2 @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[2].m <= dec.io.trigger_pkt_any[2].m @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[2].execute <= dec.io.trigger_pkt_any[2].execute @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[2].load <= dec.io.trigger_pkt_any[2].load @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[2].store <= dec.io.trigger_pkt_any[2].store @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[2].match_pkt <= dec.io.trigger_pkt_any[2].match_pkt @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[2].select <= dec.io.trigger_pkt_any[2].select @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[3].tdata2 <= dec.io.trigger_pkt_any[3].tdata2 @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[3].m <= dec.io.trigger_pkt_any[3].m @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[3].execute <= dec.io.trigger_pkt_any[3].execute @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[3].load <= dec.io.trigger_pkt_any[3].load @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[3].store <= dec.io.trigger_pkt_any[3].store @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[3].match_pkt <= dec.io.trigger_pkt_any[3].match_pkt @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[3].select <= dec.io.trigger_pkt_any[3].select @[quasar.scala 168:26] + lsu.io.lsu_bus_clk_en <= io.lsu_bus_clk_en @[quasar.scala 170:25] + lsu.io.lsu_dma.dma_mem_tag <= dma_ctrl.io.lsu_dma.dma_mem_tag @[quasar.scala 171:18] + dma_ctrl.io.lsu_dma.dccm_ready <= lsu.io.lsu_dma.dccm_ready @[quasar.scala 171:18] + dma_ctrl.io.lsu_dma.dma_dccm_ctl.dccm_dma_rdata <= lsu.io.lsu_dma.dma_dccm_ctl.dccm_dma_rdata @[quasar.scala 171:18] + dma_ctrl.io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag <= lsu.io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag @[quasar.scala 171:18] + dma_ctrl.io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error <= lsu.io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error @[quasar.scala 171:18] + dma_ctrl.io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid <= lsu.io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid @[quasar.scala 171:18] + lsu.io.lsu_dma.dma_dccm_ctl.dma_mem_wdata <= dma_ctrl.io.lsu_dma.dma_dccm_ctl.dma_mem_wdata @[quasar.scala 171:18] + lsu.io.lsu_dma.dma_dccm_ctl.dma_mem_addr <= dma_ctrl.io.lsu_dma.dma_dccm_ctl.dma_mem_addr @[quasar.scala 171:18] + lsu.io.lsu_dma.dma_lsc_ctl.dma_mem_wdata <= dma_ctrl.io.lsu_dma.dma_lsc_ctl.dma_mem_wdata @[quasar.scala 171:18] + lsu.io.lsu_dma.dma_lsc_ctl.dma_mem_write <= dma_ctrl.io.lsu_dma.dma_lsc_ctl.dma_mem_write @[quasar.scala 171:18] + lsu.io.lsu_dma.dma_lsc_ctl.dma_mem_sz <= dma_ctrl.io.lsu_dma.dma_lsc_ctl.dma_mem_sz @[quasar.scala 171:18] + lsu.io.lsu_dma.dma_lsc_ctl.dma_mem_addr <= dma_ctrl.io.lsu_dma.dma_lsc_ctl.dma_mem_addr @[quasar.scala 171:18] + lsu.io.lsu_dma.dma_lsc_ctl.dma_dccm_req <= dma_ctrl.io.lsu_dma.dma_lsc_ctl.dma_dccm_req @[quasar.scala 171:18] + lsu.io.scan_mode <= io.scan_mode @[quasar.scala 172:20] + lsu.io.free_clk <= rvclkhdr.io.l1clk @[quasar.scala 173:19] + node _T_8 = mux(dma_ctrl.io.dma_dbg_cmd_done, dma_ctrl.io.dma_dbg_rddata, dec.io.dec_dbg_rddata) @[quasar.scala 176:32] + dbg.io.core_dbg_rddata <= _T_8 @[quasar.scala 176:26] + node _T_9 = or(dma_ctrl.io.dma_dbg_cmd_done, dec.io.dec_dbg_cmd_done) @[quasar.scala 177:60] + dbg.io.core_dbg_cmd_done <= _T_9 @[quasar.scala 177:28] + node _T_10 = or(dma_ctrl.io.dma_dbg_cmd_fail, dec.io.dec_dbg_cmd_fail) @[quasar.scala 178:60] + dbg.io.core_dbg_cmd_fail <= _T_10 @[quasar.scala 178:28] + dbg.io.dec_tlu_debug_mode <= dec.io.dec_tlu_debug_mode @[quasar.scala 179:29] + dbg.io.dec_tlu_dbg_halted <= dec.io.dec_tlu_dbg_halted @[quasar.scala 180:29] + dbg.io.dec_tlu_mpc_halted_only <= dec.io.dec_tlu_mpc_halted_only @[quasar.scala 181:34] + dbg.io.dec_tlu_resume_ack <= dec.io.dec_tlu_resume_ack @[quasar.scala 182:29] + dbg.io.dmi_reg_en <= io.dmi_reg_en @[quasar.scala 183:21] + dbg.io.dmi_reg_addr <= io.dmi_reg_addr @[quasar.scala 184:23] + dbg.io.dmi_reg_wr_en <= io.dmi_reg_wr_en @[quasar.scala 185:24] + dbg.io.dmi_reg_wdata <= io.dmi_reg_wdata @[quasar.scala 186:24] + dbg.io.dbg_bus_clk_en <= io.dbg_bus_clk_en @[quasar.scala 187:25] + node _T_11 = asUInt(io.dbg_rst_l) @[quasar.scala 188:42] + dbg.io.dbg_rst_l <= _T_11 @[quasar.scala 188:20] + dbg.io.clk_override <= dec.io.dec_tlu_misc_clk_override @[quasar.scala 189:23] + dbg.io.scan_mode <= io.scan_mode @[quasar.scala 190:20] + dma_ctrl.reset <= io.core_rst_l @[quasar.scala 194:18] + dma_ctrl.io.free_clk <= rvclkhdr.io.l1clk @[quasar.scala 195:24] + dma_ctrl.io.dma_bus_clk_en <= io.dma_bus_clk_en @[quasar.scala 196:30] + dma_ctrl.io.clk_override <= dec.io.dec_tlu_misc_clk_override @[quasar.scala 197:28] + dma_ctrl.io.scan_mode <= io.scan_mode @[quasar.scala 198:25] + dma_ctrl.io.dbg_dma.dbg_dctl.dbg_cmd_wrdata <= dbg.io.dbg_dma.dbg_dctl.dbg_cmd_wrdata @[quasar.scala 199:23] + dma_ctrl.io.dbg_dma.dbg_ib.dbg_cmd_addr <= dbg.io.dbg_dma.dbg_ib.dbg_cmd_addr @[quasar.scala 199:23] + dma_ctrl.io.dbg_dma.dbg_ib.dbg_cmd_type <= dbg.io.dbg_dma.dbg_ib.dbg_cmd_type @[quasar.scala 199:23] + dma_ctrl.io.dbg_dma.dbg_ib.dbg_cmd_write <= dbg.io.dbg_dma.dbg_ib.dbg_cmd_write @[quasar.scala 199:23] + dma_ctrl.io.dbg_dma.dbg_ib.dbg_cmd_valid <= dbg.io.dbg_dma.dbg_ib.dbg_cmd_valid @[quasar.scala 199:23] + dbg.io.dbg_dma_io.dma_dbg_ready <= dma_ctrl.io.dbg_dma_io.dma_dbg_ready @[quasar.scala 200:26] + dma_ctrl.io.dbg_dma_io.dbg_dma_bubble <= dbg.io.dbg_dma_io.dbg_dma_bubble @[quasar.scala 200:26] + dma_ctrl.io.dbg_cmd_size <= dbg.io.dbg_cmd_size @[quasar.scala 201:28] + dma_ctrl.io.iccm_dma_rvalid <= ifu.io.iccm_dma_rvalid @[quasar.scala 202:31] + dma_ctrl.io.iccm_dma_rtag <= ifu.io.iccm_dma_rtag @[quasar.scala 203:29] + dma_ctrl.io.iccm_dma_rdata <= ifu.io.iccm_dma_rdata @[quasar.scala 204:30] + dma_ctrl.io.iccm_ready <= ifu.io.iccm_ready @[quasar.scala 205:26] + dma_ctrl.io.iccm_dma_ecc_error <= ifu.io.iccm_dma_ecc_error @[quasar.scala 206:34] + pic_ctrl_inst.io.scan_mode <= io.scan_mode @[quasar.scala 209:30] + pic_ctrl_inst.reset <= io.core_rst_l @[quasar.scala 210:23] + pic_ctrl_inst.io.free_clk <= rvclkhdr.io.l1clk @[quasar.scala 211:29] + pic_ctrl_inst.io.active_clk <= rvclkhdr_1.io.l1clk @[quasar.scala 212:31] + pic_ctrl_inst.io.clk_override <= dec.io.dec_tlu_pic_clk_override @[quasar.scala 213:33] + pic_ctrl_inst.io.extintsrc_req <= io.extintsrc_req @[quasar.scala 214:34] + lsu.io.lsu_pic.picm_rd_data <= pic_ctrl_inst.io.lsu_pic.picm_rd_data @[quasar.scala 215:28] + pic_ctrl_inst.io.lsu_pic.picm_wr_data <= lsu.io.lsu_pic.picm_wr_data @[quasar.scala 215:28] + pic_ctrl_inst.io.lsu_pic.picm_wraddr <= lsu.io.lsu_pic.picm_wraddr @[quasar.scala 215:28] + pic_ctrl_inst.io.lsu_pic.picm_rdaddr <= lsu.io.lsu_pic.picm_rdaddr @[quasar.scala 215:28] + pic_ctrl_inst.io.lsu_pic.picm_mken <= lsu.io.lsu_pic.picm_mken @[quasar.scala 215:28] + pic_ctrl_inst.io.lsu_pic.picm_rden <= lsu.io.lsu_pic.picm_rden @[quasar.scala 215:28] + pic_ctrl_inst.io.lsu_pic.picm_wren <= lsu.io.lsu_pic.picm_wren @[quasar.scala 215:28] + dec.io.dec_pic.mexintpend <= pic_ctrl_inst.io.dec_pic.mexintpend @[quasar.scala 216:28] + pic_ctrl_inst.io.dec_pic.dec_tlu_meipt <= dec.io.dec_pic.dec_tlu_meipt @[quasar.scala 216:28] + pic_ctrl_inst.io.dec_pic.dec_tlu_meicurpl <= dec.io.dec_pic.dec_tlu_meicurpl @[quasar.scala 216:28] + dec.io.dec_pic.mhwakeup <= pic_ctrl_inst.io.dec_pic.mhwakeup @[quasar.scala 216:28] + dec.io.dec_pic.pic_pl <= pic_ctrl_inst.io.dec_pic.pic_pl @[quasar.scala 216:28] + dec.io.dec_pic.pic_claimid <= pic_ctrl_inst.io.dec_pic.pic_claimid @[quasar.scala 216:28] + io.rv_trace_pkt.rv_i_tval_ip <= dec.io.rv_trace_pkt.rv_i_tval_ip @[quasar.scala 218:19] + io.rv_trace_pkt.rv_i_interrupt_ip <= dec.io.rv_trace_pkt.rv_i_interrupt_ip @[quasar.scala 218:19] + io.rv_trace_pkt.rv_i_ecause_ip <= dec.io.rv_trace_pkt.rv_i_ecause_ip @[quasar.scala 218:19] + io.rv_trace_pkt.rv_i_exception_ip <= dec.io.rv_trace_pkt.rv_i_exception_ip @[quasar.scala 218:19] + io.rv_trace_pkt.rv_i_address_ip <= dec.io.rv_trace_pkt.rv_i_address_ip @[quasar.scala 218:19] + io.rv_trace_pkt.rv_i_insn_ip <= dec.io.rv_trace_pkt.rv_i_insn_ip @[quasar.scala 218:19] + io.rv_trace_pkt.rv_i_valid_ip <= dec.io.rv_trace_pkt.rv_i_valid_ip @[quasar.scala 218:19] + io.dccm_clk_override <= dec.io.dec_tlu_dccm_clk_override @[quasar.scala 221:24] + io.icm_clk_override <= dec.io.dec_tlu_icm_clk_override @[quasar.scala 222:23] + io.dec_tlu_core_ecc_disable <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_core_ecc_disable @[quasar.scala 223:31] + io.o_cpu_halt_ack <= dec.io.o_cpu_halt_ack @[quasar.scala 224:21] + io.o_cpu_halt_status <= dec.io.o_cpu_halt_status @[quasar.scala 225:24] + io.o_cpu_run_ack <= dec.io.o_cpu_run_ack @[quasar.scala 226:20] + io.o_debug_mode_status <= dec.io.o_debug_mode_status @[quasar.scala 227:26] + io.mpc_debug_halt_ack <= dec.io.mpc_debug_halt_ack @[quasar.scala 228:25] + io.mpc_debug_run_ack <= dec.io.mpc_debug_run_ack @[quasar.scala 229:24] + io.debug_brkpt_status <= dec.io.debug_brkpt_status @[quasar.scala 230:25] + io.dec_tlu_perfcnt0 <= dec.io.dec_tlu_perfcnt0 @[quasar.scala 231:23] + io.dec_tlu_perfcnt1 <= dec.io.dec_tlu_perfcnt1 @[quasar.scala 232:23] + io.dec_tlu_perfcnt2 <= dec.io.dec_tlu_perfcnt2 @[quasar.scala 233:23] + io.dec_tlu_perfcnt3 <= dec.io.dec_tlu_perfcnt3 @[quasar.scala 234:23] + io.dmi_reg_rdata <= dbg.io.dmi_reg_rdata @[quasar.scala 235:20] + lsu.io.dccm.rd_data_hi <= io.dccm.rd_data_hi @[quasar.scala 238:11] + lsu.io.dccm.rd_data_lo <= io.dccm.rd_data_lo @[quasar.scala 238:11] + io.dccm.wr_data_hi <= lsu.io.dccm.wr_data_hi @[quasar.scala 238:11] + io.dccm.wr_data_lo <= lsu.io.dccm.wr_data_lo @[quasar.scala 238:11] + io.dccm.rd_addr_hi <= lsu.io.dccm.rd_addr_hi @[quasar.scala 238:11] + io.dccm.rd_addr_lo <= lsu.io.dccm.rd_addr_lo @[quasar.scala 238:11] + io.dccm.wr_addr_hi <= lsu.io.dccm.wr_addr_hi @[quasar.scala 238:11] + io.dccm.wr_addr_lo <= lsu.io.dccm.wr_addr_lo @[quasar.scala 238:11] + io.dccm.rden <= lsu.io.dccm.rden @[quasar.scala 238:11] + io.dccm.wren <= lsu.io.dccm.wren @[quasar.scala 238:11] + wire _T_12 : {in : {flip hrdata : UInt<64>, flip hready : UInt<1>, flip hresp : UInt<1>}, out : {haddr : UInt<32>, hburst : UInt<3>, hmastlock : UInt<1>, hprot : UInt<4>, hsize : UInt<3>, htrans : UInt<2>, hwrite : UInt<1>, hwdata : UInt<64>}} @[quasar.scala 277:42] + _T_12.out.hwdata <= UInt<64>("h00") @[quasar.scala 277:42] + _T_12.out.hwrite <= UInt<1>("h00") @[quasar.scala 277:42] + _T_12.out.htrans <= UInt<2>("h00") @[quasar.scala 277:42] + _T_12.out.hsize <= UInt<3>("h00") @[quasar.scala 277:42] + _T_12.out.hprot <= UInt<4>("h00") @[quasar.scala 277:42] + _T_12.out.hmastlock <= UInt<1>("h00") @[quasar.scala 277:42] + _T_12.out.hburst <= UInt<3>("h00") @[quasar.scala 277:42] + _T_12.out.haddr <= UInt<32>("h00") @[quasar.scala 277:42] + _T_12.in.hresp <= UInt<1>("h00") @[quasar.scala 277:42] + _T_12.in.hready <= UInt<1>("h00") @[quasar.scala 277:42] + _T_12.in.hrdata <= UInt<64>("h00") @[quasar.scala 277:42] + io.lsu_ahb.out.hwdata <= _T_12.out.hwdata @[quasar.scala 277:27] + io.lsu_ahb.out.hwrite <= _T_12.out.hwrite @[quasar.scala 277:27] + io.lsu_ahb.out.htrans <= _T_12.out.htrans @[quasar.scala 277:27] + io.lsu_ahb.out.hsize <= _T_12.out.hsize @[quasar.scala 277:27] + io.lsu_ahb.out.hprot <= _T_12.out.hprot @[quasar.scala 277:27] + io.lsu_ahb.out.hmastlock <= _T_12.out.hmastlock @[quasar.scala 277:27] + io.lsu_ahb.out.hburst <= _T_12.out.hburst @[quasar.scala 277:27] + io.lsu_ahb.out.haddr <= _T_12.out.haddr @[quasar.scala 277:27] + _T_12.in.hresp <= io.lsu_ahb.in.hresp @[quasar.scala 277:27] + _T_12.in.hready <= io.lsu_ahb.in.hready @[quasar.scala 277:27] + _T_12.in.hrdata <= io.lsu_ahb.in.hrdata @[quasar.scala 277:27] + wire _T_13 : {in : {flip hrdata : UInt<64>, flip hready : UInt<1>, flip hresp : UInt<1>}, out : {haddr : UInt<32>, hburst : UInt<3>, hmastlock : UInt<1>, hprot : UInt<4>, hsize : UInt<3>, htrans : UInt<2>, hwrite : UInt<1>, hwdata : UInt<64>}} @[quasar.scala 278:42] + _T_13.out.hwdata <= UInt<64>("h00") @[quasar.scala 278:42] + _T_13.out.hwrite <= UInt<1>("h00") @[quasar.scala 278:42] + _T_13.out.htrans <= UInt<2>("h00") @[quasar.scala 278:42] + _T_13.out.hsize <= UInt<3>("h00") @[quasar.scala 278:42] + _T_13.out.hprot <= UInt<4>("h00") @[quasar.scala 278:42] + _T_13.out.hmastlock <= UInt<1>("h00") @[quasar.scala 278:42] + _T_13.out.hburst <= UInt<3>("h00") @[quasar.scala 278:42] + _T_13.out.haddr <= UInt<32>("h00") @[quasar.scala 278:42] + _T_13.in.hresp <= UInt<1>("h00") @[quasar.scala 278:42] + _T_13.in.hready <= UInt<1>("h00") @[quasar.scala 278:42] + _T_13.in.hrdata <= UInt<64>("h00") @[quasar.scala 278:42] + io.ifu_ahb.out.hwdata <= _T_13.out.hwdata @[quasar.scala 278:27] + io.ifu_ahb.out.hwrite <= _T_13.out.hwrite @[quasar.scala 278:27] + io.ifu_ahb.out.htrans <= _T_13.out.htrans @[quasar.scala 278:27] + io.ifu_ahb.out.hsize <= _T_13.out.hsize @[quasar.scala 278:27] + io.ifu_ahb.out.hprot <= _T_13.out.hprot @[quasar.scala 278:27] + io.ifu_ahb.out.hmastlock <= _T_13.out.hmastlock @[quasar.scala 278:27] + io.ifu_ahb.out.hburst <= _T_13.out.hburst @[quasar.scala 278:27] + io.ifu_ahb.out.haddr <= _T_13.out.haddr @[quasar.scala 278:27] + _T_13.in.hresp <= io.ifu_ahb.in.hresp @[quasar.scala 278:27] + _T_13.in.hready <= io.ifu_ahb.in.hready @[quasar.scala 278:27] + _T_13.in.hrdata <= io.ifu_ahb.in.hrdata @[quasar.scala 278:27] + wire _T_14 : {in : {flip hrdata : UInt<64>, flip hready : UInt<1>, flip hresp : UInt<1>}, out : {haddr : UInt<32>, hburst : UInt<3>, hmastlock : UInt<1>, hprot : UInt<4>, hsize : UInt<3>, htrans : UInt<2>, hwrite : UInt<1>, hwdata : UInt<64>}} @[quasar.scala 279:42] + _T_14.out.hwdata <= UInt<64>("h00") @[quasar.scala 279:42] + _T_14.out.hwrite <= UInt<1>("h00") @[quasar.scala 279:42] + _T_14.out.htrans <= UInt<2>("h00") @[quasar.scala 279:42] + _T_14.out.hsize <= UInt<3>("h00") @[quasar.scala 279:42] + _T_14.out.hprot <= UInt<4>("h00") @[quasar.scala 279:42] + _T_14.out.hmastlock <= UInt<1>("h00") @[quasar.scala 279:42] + _T_14.out.hburst <= UInt<3>("h00") @[quasar.scala 279:42] + _T_14.out.haddr <= UInt<32>("h00") @[quasar.scala 279:42] + _T_14.in.hresp <= UInt<1>("h00") @[quasar.scala 279:42] + _T_14.in.hready <= UInt<1>("h00") @[quasar.scala 279:42] + _T_14.in.hrdata <= UInt<64>("h00") @[quasar.scala 279:42] + io.sb_ahb.out.hwdata <= _T_14.out.hwdata @[quasar.scala 279:27] + io.sb_ahb.out.hwrite <= _T_14.out.hwrite @[quasar.scala 279:27] + io.sb_ahb.out.htrans <= _T_14.out.htrans @[quasar.scala 279:27] + io.sb_ahb.out.hsize <= _T_14.out.hsize @[quasar.scala 279:27] + io.sb_ahb.out.hprot <= _T_14.out.hprot @[quasar.scala 279:27] + io.sb_ahb.out.hmastlock <= _T_14.out.hmastlock @[quasar.scala 279:27] + io.sb_ahb.out.hburst <= _T_14.out.hburst @[quasar.scala 279:27] + io.sb_ahb.out.haddr <= _T_14.out.haddr @[quasar.scala 279:27] + _T_14.in.hresp <= io.sb_ahb.in.hresp @[quasar.scala 279:27] + _T_14.in.hready <= io.sb_ahb.in.hready @[quasar.scala 279:27] + _T_14.in.hrdata <= io.sb_ahb.in.hrdata @[quasar.scala 279:27] + wire _T_15 : {flip sig : {in : {flip hrdata : UInt<64>, flip hready : UInt<1>, flip hresp : UInt<1>}, out : {haddr : UInt<32>, hburst : UInt<3>, hmastlock : UInt<1>, hprot : UInt<4>, hsize : UInt<3>, htrans : UInt<2>, hwrite : UInt<1>, hwdata : UInt<64>}}, flip hsel : UInt<1>, flip hreadyin : UInt<1>} @[quasar.scala 280:42] + _T_15.hreadyin <= UInt<1>("h00") @[quasar.scala 280:42] + _T_15.hsel <= UInt<1>("h00") @[quasar.scala 280:42] + _T_15.sig.out.hwdata <= UInt<64>("h00") @[quasar.scala 280:42] + _T_15.sig.out.hwrite <= UInt<1>("h00") @[quasar.scala 280:42] + _T_15.sig.out.htrans <= UInt<2>("h00") @[quasar.scala 280:42] + _T_15.sig.out.hsize <= UInt<3>("h00") @[quasar.scala 280:42] + _T_15.sig.out.hprot <= UInt<4>("h00") @[quasar.scala 280:42] + _T_15.sig.out.hmastlock <= UInt<1>("h00") @[quasar.scala 280:42] + _T_15.sig.out.hburst <= UInt<3>("h00") @[quasar.scala 280:42] + _T_15.sig.out.haddr <= UInt<32>("h00") @[quasar.scala 280:42] + _T_15.sig.in.hresp <= UInt<1>("h00") @[quasar.scala 280:42] + _T_15.sig.in.hready <= UInt<1>("h00") @[quasar.scala 280:42] + _T_15.sig.in.hrdata <= UInt<64>("h00") @[quasar.scala 280:42] + _T_15.hreadyin <= io.dma_ahb.hreadyin @[quasar.scala 280:27] + _T_15.hsel <= io.dma_ahb.hsel @[quasar.scala 280:27] + _T_15.sig.out.hwdata <= io.dma_ahb.sig.out.hwdata @[quasar.scala 280:27] + _T_15.sig.out.hwrite <= io.dma_ahb.sig.out.hwrite @[quasar.scala 280:27] + _T_15.sig.out.htrans <= io.dma_ahb.sig.out.htrans @[quasar.scala 280:27] + _T_15.sig.out.hsize <= io.dma_ahb.sig.out.hsize @[quasar.scala 280:27] + _T_15.sig.out.hprot <= io.dma_ahb.sig.out.hprot @[quasar.scala 280:27] + _T_15.sig.out.hmastlock <= io.dma_ahb.sig.out.hmastlock @[quasar.scala 280:27] + _T_15.sig.out.hburst <= io.dma_ahb.sig.out.hburst @[quasar.scala 280:27] + _T_15.sig.out.haddr <= io.dma_ahb.sig.out.haddr @[quasar.scala 280:27] + io.dma_ahb.sig.in.hresp <= _T_15.sig.in.hresp @[quasar.scala 280:27] + io.dma_ahb.sig.in.hready <= _T_15.sig.in.hready @[quasar.scala 280:27] + io.dma_ahb.sig.in.hrdata <= _T_15.sig.in.hrdata @[quasar.scala 280:27] + io.dma_axi.r.bits.last <= dma_ctrl.io.dma_axi.r.bits.last @[quasar.scala 281:27] + io.dma_axi.r.bits.resp <= dma_ctrl.io.dma_axi.r.bits.resp @[quasar.scala 281:27] + io.dma_axi.r.bits.data <= dma_ctrl.io.dma_axi.r.bits.data @[quasar.scala 281:27] + io.dma_axi.r.bits.id <= dma_ctrl.io.dma_axi.r.bits.id @[quasar.scala 281:27] + io.dma_axi.r.valid <= dma_ctrl.io.dma_axi.r.valid @[quasar.scala 281:27] + dma_ctrl.io.dma_axi.r.ready <= io.dma_axi.r.ready @[quasar.scala 281:27] + dma_ctrl.io.dma_axi.ar.bits.qos <= io.dma_axi.ar.bits.qos @[quasar.scala 281:27] + dma_ctrl.io.dma_axi.ar.bits.prot <= io.dma_axi.ar.bits.prot @[quasar.scala 281:27] + dma_ctrl.io.dma_axi.ar.bits.cache <= io.dma_axi.ar.bits.cache @[quasar.scala 281:27] + dma_ctrl.io.dma_axi.ar.bits.lock <= io.dma_axi.ar.bits.lock @[quasar.scala 281:27] + dma_ctrl.io.dma_axi.ar.bits.burst <= io.dma_axi.ar.bits.burst @[quasar.scala 281:27] + dma_ctrl.io.dma_axi.ar.bits.size <= io.dma_axi.ar.bits.size @[quasar.scala 281:27] + dma_ctrl.io.dma_axi.ar.bits.len <= io.dma_axi.ar.bits.len @[quasar.scala 281:27] + dma_ctrl.io.dma_axi.ar.bits.region <= io.dma_axi.ar.bits.region @[quasar.scala 281:27] + dma_ctrl.io.dma_axi.ar.bits.addr <= io.dma_axi.ar.bits.addr @[quasar.scala 281:27] + dma_ctrl.io.dma_axi.ar.bits.id <= io.dma_axi.ar.bits.id @[quasar.scala 281:27] + dma_ctrl.io.dma_axi.ar.valid <= io.dma_axi.ar.valid @[quasar.scala 281:27] + io.dma_axi.ar.ready <= dma_ctrl.io.dma_axi.ar.ready @[quasar.scala 281:27] + io.dma_axi.b.bits.id <= dma_ctrl.io.dma_axi.b.bits.id @[quasar.scala 281:27] + io.dma_axi.b.bits.resp <= dma_ctrl.io.dma_axi.b.bits.resp @[quasar.scala 281:27] + io.dma_axi.b.valid <= dma_ctrl.io.dma_axi.b.valid @[quasar.scala 281:27] + dma_ctrl.io.dma_axi.b.ready <= io.dma_axi.b.ready @[quasar.scala 281:27] + dma_ctrl.io.dma_axi.w.bits.last <= io.dma_axi.w.bits.last @[quasar.scala 281:27] + dma_ctrl.io.dma_axi.w.bits.strb <= io.dma_axi.w.bits.strb @[quasar.scala 281:27] + dma_ctrl.io.dma_axi.w.bits.data <= io.dma_axi.w.bits.data @[quasar.scala 281:27] + dma_ctrl.io.dma_axi.w.valid <= io.dma_axi.w.valid @[quasar.scala 281:27] + io.dma_axi.w.ready <= dma_ctrl.io.dma_axi.w.ready @[quasar.scala 281:27] + dma_ctrl.io.dma_axi.aw.bits.qos <= io.dma_axi.aw.bits.qos @[quasar.scala 281:27] + dma_ctrl.io.dma_axi.aw.bits.prot <= io.dma_axi.aw.bits.prot @[quasar.scala 281:27] + dma_ctrl.io.dma_axi.aw.bits.cache <= io.dma_axi.aw.bits.cache @[quasar.scala 281:27] + dma_ctrl.io.dma_axi.aw.bits.lock <= io.dma_axi.aw.bits.lock @[quasar.scala 281:27] + dma_ctrl.io.dma_axi.aw.bits.burst <= io.dma_axi.aw.bits.burst @[quasar.scala 281:27] + dma_ctrl.io.dma_axi.aw.bits.size <= io.dma_axi.aw.bits.size @[quasar.scala 281:27] + dma_ctrl.io.dma_axi.aw.bits.len <= io.dma_axi.aw.bits.len @[quasar.scala 281:27] + dma_ctrl.io.dma_axi.aw.bits.region <= io.dma_axi.aw.bits.region @[quasar.scala 281:27] + dma_ctrl.io.dma_axi.aw.bits.addr <= io.dma_axi.aw.bits.addr @[quasar.scala 281:27] + dma_ctrl.io.dma_axi.aw.bits.id <= io.dma_axi.aw.bits.id @[quasar.scala 281:27] + dma_ctrl.io.dma_axi.aw.valid <= io.dma_axi.aw.valid @[quasar.scala 281:27] + io.dma_axi.aw.ready <= dma_ctrl.io.dma_axi.aw.ready @[quasar.scala 281:27] + dbg.io.sb_axi.r.bits.last <= io.sb_axi.r.bits.last @[quasar.scala 282:27] + dbg.io.sb_axi.r.bits.resp <= io.sb_axi.r.bits.resp @[quasar.scala 282:27] + dbg.io.sb_axi.r.bits.data <= io.sb_axi.r.bits.data @[quasar.scala 282:27] + dbg.io.sb_axi.r.bits.id <= io.sb_axi.r.bits.id @[quasar.scala 282:27] + dbg.io.sb_axi.r.valid <= io.sb_axi.r.valid @[quasar.scala 282:27] + io.sb_axi.r.ready <= dbg.io.sb_axi.r.ready @[quasar.scala 282:27] + io.sb_axi.ar.bits.qos <= dbg.io.sb_axi.ar.bits.qos @[quasar.scala 282:27] + io.sb_axi.ar.bits.prot <= dbg.io.sb_axi.ar.bits.prot @[quasar.scala 282:27] + io.sb_axi.ar.bits.cache <= dbg.io.sb_axi.ar.bits.cache @[quasar.scala 282:27] + io.sb_axi.ar.bits.lock <= dbg.io.sb_axi.ar.bits.lock @[quasar.scala 282:27] + io.sb_axi.ar.bits.burst <= dbg.io.sb_axi.ar.bits.burst @[quasar.scala 282:27] + io.sb_axi.ar.bits.size <= dbg.io.sb_axi.ar.bits.size @[quasar.scala 282:27] + io.sb_axi.ar.bits.len <= dbg.io.sb_axi.ar.bits.len @[quasar.scala 282:27] + io.sb_axi.ar.bits.region <= dbg.io.sb_axi.ar.bits.region @[quasar.scala 282:27] + io.sb_axi.ar.bits.addr <= dbg.io.sb_axi.ar.bits.addr @[quasar.scala 282:27] + io.sb_axi.ar.bits.id <= dbg.io.sb_axi.ar.bits.id @[quasar.scala 282:27] + io.sb_axi.ar.valid <= dbg.io.sb_axi.ar.valid @[quasar.scala 282:27] + dbg.io.sb_axi.ar.ready <= io.sb_axi.ar.ready @[quasar.scala 282:27] + dbg.io.sb_axi.b.bits.id <= io.sb_axi.b.bits.id @[quasar.scala 282:27] + dbg.io.sb_axi.b.bits.resp <= io.sb_axi.b.bits.resp @[quasar.scala 282:27] + dbg.io.sb_axi.b.valid <= io.sb_axi.b.valid @[quasar.scala 282:27] + io.sb_axi.b.ready <= dbg.io.sb_axi.b.ready @[quasar.scala 282:27] + io.sb_axi.w.bits.last <= dbg.io.sb_axi.w.bits.last @[quasar.scala 282:27] + io.sb_axi.w.bits.strb <= dbg.io.sb_axi.w.bits.strb @[quasar.scala 282:27] + io.sb_axi.w.bits.data <= dbg.io.sb_axi.w.bits.data @[quasar.scala 282:27] + io.sb_axi.w.valid <= dbg.io.sb_axi.w.valid @[quasar.scala 282:27] + dbg.io.sb_axi.w.ready <= io.sb_axi.w.ready @[quasar.scala 282:27] + io.sb_axi.aw.bits.qos <= dbg.io.sb_axi.aw.bits.qos @[quasar.scala 282:27] + io.sb_axi.aw.bits.prot <= dbg.io.sb_axi.aw.bits.prot @[quasar.scala 282:27] + io.sb_axi.aw.bits.cache <= dbg.io.sb_axi.aw.bits.cache @[quasar.scala 282:27] + io.sb_axi.aw.bits.lock <= dbg.io.sb_axi.aw.bits.lock @[quasar.scala 282:27] + io.sb_axi.aw.bits.burst <= dbg.io.sb_axi.aw.bits.burst @[quasar.scala 282:27] + io.sb_axi.aw.bits.size <= dbg.io.sb_axi.aw.bits.size @[quasar.scala 282:27] + io.sb_axi.aw.bits.len <= dbg.io.sb_axi.aw.bits.len @[quasar.scala 282:27] + io.sb_axi.aw.bits.region <= dbg.io.sb_axi.aw.bits.region @[quasar.scala 282:27] + io.sb_axi.aw.bits.addr <= dbg.io.sb_axi.aw.bits.addr @[quasar.scala 282:27] + io.sb_axi.aw.bits.id <= dbg.io.sb_axi.aw.bits.id @[quasar.scala 282:27] + io.sb_axi.aw.valid <= dbg.io.sb_axi.aw.valid @[quasar.scala 282:27] + dbg.io.sb_axi.aw.ready <= io.sb_axi.aw.ready @[quasar.scala 282:27] + ifu.io.ifu.r.bits.last <= io.ifu_axi.r.bits.last @[quasar.scala 283:27] + ifu.io.ifu.r.bits.resp <= io.ifu_axi.r.bits.resp @[quasar.scala 283:27] + ifu.io.ifu.r.bits.data <= io.ifu_axi.r.bits.data @[quasar.scala 283:27] + ifu.io.ifu.r.bits.id <= io.ifu_axi.r.bits.id @[quasar.scala 283:27] + ifu.io.ifu.r.valid <= io.ifu_axi.r.valid @[quasar.scala 283:27] + io.ifu_axi.r.ready <= ifu.io.ifu.r.ready @[quasar.scala 283:27] + io.ifu_axi.ar.bits.qos <= ifu.io.ifu.ar.bits.qos @[quasar.scala 283:27] + io.ifu_axi.ar.bits.prot <= ifu.io.ifu.ar.bits.prot @[quasar.scala 283:27] + io.ifu_axi.ar.bits.cache <= ifu.io.ifu.ar.bits.cache @[quasar.scala 283:27] + io.ifu_axi.ar.bits.lock <= ifu.io.ifu.ar.bits.lock @[quasar.scala 283:27] + io.ifu_axi.ar.bits.burst <= ifu.io.ifu.ar.bits.burst @[quasar.scala 283:27] + io.ifu_axi.ar.bits.size <= ifu.io.ifu.ar.bits.size @[quasar.scala 283:27] + io.ifu_axi.ar.bits.len <= ifu.io.ifu.ar.bits.len @[quasar.scala 283:27] + io.ifu_axi.ar.bits.region <= ifu.io.ifu.ar.bits.region @[quasar.scala 283:27] + io.ifu_axi.ar.bits.addr <= ifu.io.ifu.ar.bits.addr @[quasar.scala 283:27] + io.ifu_axi.ar.bits.id <= ifu.io.ifu.ar.bits.id @[quasar.scala 283:27] + io.ifu_axi.ar.valid <= ifu.io.ifu.ar.valid @[quasar.scala 283:27] + ifu.io.ifu.ar.ready <= io.ifu_axi.ar.ready @[quasar.scala 283:27] + ifu.io.ifu.b.bits.id <= io.ifu_axi.b.bits.id @[quasar.scala 283:27] + ifu.io.ifu.b.bits.resp <= io.ifu_axi.b.bits.resp @[quasar.scala 283:27] + ifu.io.ifu.b.valid <= io.ifu_axi.b.valid @[quasar.scala 283:27] + io.ifu_axi.b.ready <= ifu.io.ifu.b.ready @[quasar.scala 283:27] + io.ifu_axi.w.bits.last <= ifu.io.ifu.w.bits.last @[quasar.scala 283:27] + io.ifu_axi.w.bits.strb <= ifu.io.ifu.w.bits.strb @[quasar.scala 283:27] + io.ifu_axi.w.bits.data <= ifu.io.ifu.w.bits.data @[quasar.scala 283:27] + io.ifu_axi.w.valid <= ifu.io.ifu.w.valid @[quasar.scala 283:27] + ifu.io.ifu.w.ready <= io.ifu_axi.w.ready @[quasar.scala 283:27] + io.ifu_axi.aw.bits.qos <= ifu.io.ifu.aw.bits.qos @[quasar.scala 283:27] + io.ifu_axi.aw.bits.prot <= ifu.io.ifu.aw.bits.prot @[quasar.scala 283:27] + io.ifu_axi.aw.bits.cache <= ifu.io.ifu.aw.bits.cache @[quasar.scala 283:27] + io.ifu_axi.aw.bits.lock <= ifu.io.ifu.aw.bits.lock @[quasar.scala 283:27] + io.ifu_axi.aw.bits.burst <= ifu.io.ifu.aw.bits.burst @[quasar.scala 283:27] + io.ifu_axi.aw.bits.size <= ifu.io.ifu.aw.bits.size @[quasar.scala 283:27] + io.ifu_axi.aw.bits.len <= ifu.io.ifu.aw.bits.len @[quasar.scala 283:27] + io.ifu_axi.aw.bits.region <= ifu.io.ifu.aw.bits.region @[quasar.scala 283:27] + io.ifu_axi.aw.bits.addr <= ifu.io.ifu.aw.bits.addr @[quasar.scala 283:27] + io.ifu_axi.aw.bits.id <= ifu.io.ifu.aw.bits.id @[quasar.scala 283:27] + io.ifu_axi.aw.valid <= ifu.io.ifu.aw.valid @[quasar.scala 283:27] + ifu.io.ifu.aw.ready <= io.ifu_axi.aw.ready @[quasar.scala 283:27] + lsu.io.axi.r.bits.last <= io.lsu_axi.r.bits.last @[quasar.scala 284:27] + lsu.io.axi.r.bits.resp <= io.lsu_axi.r.bits.resp @[quasar.scala 284:27] + lsu.io.axi.r.bits.data <= io.lsu_axi.r.bits.data @[quasar.scala 284:27] + lsu.io.axi.r.bits.id <= io.lsu_axi.r.bits.id @[quasar.scala 284:27] + lsu.io.axi.r.valid <= io.lsu_axi.r.valid @[quasar.scala 284:27] + io.lsu_axi.r.ready <= lsu.io.axi.r.ready @[quasar.scala 284:27] + io.lsu_axi.ar.bits.qos <= lsu.io.axi.ar.bits.qos @[quasar.scala 284:27] + io.lsu_axi.ar.bits.prot <= lsu.io.axi.ar.bits.prot @[quasar.scala 284:27] + io.lsu_axi.ar.bits.cache <= lsu.io.axi.ar.bits.cache @[quasar.scala 284:27] + io.lsu_axi.ar.bits.lock <= lsu.io.axi.ar.bits.lock @[quasar.scala 284:27] + io.lsu_axi.ar.bits.burst <= lsu.io.axi.ar.bits.burst @[quasar.scala 284:27] + io.lsu_axi.ar.bits.size <= lsu.io.axi.ar.bits.size @[quasar.scala 284:27] + io.lsu_axi.ar.bits.len <= lsu.io.axi.ar.bits.len @[quasar.scala 284:27] + io.lsu_axi.ar.bits.region <= lsu.io.axi.ar.bits.region @[quasar.scala 284:27] + io.lsu_axi.ar.bits.addr <= lsu.io.axi.ar.bits.addr @[quasar.scala 284:27] + io.lsu_axi.ar.bits.id <= lsu.io.axi.ar.bits.id @[quasar.scala 284:27] + io.lsu_axi.ar.valid <= lsu.io.axi.ar.valid @[quasar.scala 284:27] + lsu.io.axi.ar.ready <= io.lsu_axi.ar.ready @[quasar.scala 284:27] + lsu.io.axi.b.bits.id <= io.lsu_axi.b.bits.id @[quasar.scala 284:27] + lsu.io.axi.b.bits.resp <= io.lsu_axi.b.bits.resp @[quasar.scala 284:27] + lsu.io.axi.b.valid <= io.lsu_axi.b.valid @[quasar.scala 284:27] + io.lsu_axi.b.ready <= lsu.io.axi.b.ready @[quasar.scala 284:27] + io.lsu_axi.w.bits.last <= lsu.io.axi.w.bits.last @[quasar.scala 284:27] + io.lsu_axi.w.bits.strb <= lsu.io.axi.w.bits.strb @[quasar.scala 284:27] + io.lsu_axi.w.bits.data <= lsu.io.axi.w.bits.data @[quasar.scala 284:27] + io.lsu_axi.w.valid <= lsu.io.axi.w.valid @[quasar.scala 284:27] + lsu.io.axi.w.ready <= io.lsu_axi.w.ready @[quasar.scala 284:27] + io.lsu_axi.aw.bits.qos <= lsu.io.axi.aw.bits.qos @[quasar.scala 284:27] + io.lsu_axi.aw.bits.prot <= lsu.io.axi.aw.bits.prot @[quasar.scala 284:27] + io.lsu_axi.aw.bits.cache <= lsu.io.axi.aw.bits.cache @[quasar.scala 284:27] + io.lsu_axi.aw.bits.lock <= lsu.io.axi.aw.bits.lock @[quasar.scala 284:27] + io.lsu_axi.aw.bits.burst <= lsu.io.axi.aw.bits.burst @[quasar.scala 284:27] + io.lsu_axi.aw.bits.size <= lsu.io.axi.aw.bits.size @[quasar.scala 284:27] + io.lsu_axi.aw.bits.len <= lsu.io.axi.aw.bits.len @[quasar.scala 284:27] + io.lsu_axi.aw.bits.region <= lsu.io.axi.aw.bits.region @[quasar.scala 284:27] + io.lsu_axi.aw.bits.addr <= lsu.io.axi.aw.bits.addr @[quasar.scala 284:27] + io.lsu_axi.aw.bits.id <= lsu.io.axi.aw.bits.id @[quasar.scala 284:27] + io.lsu_axi.aw.valid <= lsu.io.axi.aw.valid @[quasar.scala 284:27] + lsu.io.axi.aw.ready <= io.lsu_axi.aw.ready @[quasar.scala 284:27] diff --git a/quasar.v b/quasar.v index c7aba3d8..0f4ed38b 100644 --- a/quasar.v +++ b/quasar.v @@ -46049,6 +46049,9 @@ module dec_decode_ctl( input [1:0] io_dctl_busbuff_lsu_nonblock_load_data_tag, input [31:0] io_dctl_busbuff_lsu_nonblock_load_data, input io_dctl_dma_dma_dccm_stall_any, + output io_dec_aln_dec_i0_decode_d, + input [15:0] io_dec_aln_ifu_i0_cinst, + input [31:0] io_dbg_dctl_dbg_cmd_wrdata, input io_dec_tlu_flush_extint, input io_dec_tlu_force_halt, output [31:0] io_dec_i0_inst_wb1, @@ -46145,10 +46148,7 @@ module dec_decode_ctl( output io_dec_pause_state, output io_dec_pause_state_cg, output io_dec_div_active, - input io_scan_mode, - output io_dec_aln_dec_i0_decode_d, - input [15:0] io_dec_aln_ifu_i0_cinst, - input [1:0] io_dbg_dctl_dbg_cmd_wrdata + input io_scan_mode ); `ifdef RANDOMIZE_REG_INIT reg [31:0] _RAND_0; @@ -46247,57 +46247,57 @@ module dec_decode_ctl( wire rvclkhdr_io_clk; // @[lib.scala 343:22] wire rvclkhdr_io_en; // @[lib.scala 343:22] wire rvclkhdr_io_scan_mode; // @[lib.scala 343:22] - wire [31:0] i0_dec_io_ins; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_alu; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_rs1; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_rs2; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_imm12; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_rd; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_shimm5; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_imm20; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_pc; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_load; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_store; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_lsu; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_add; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_sub; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_land; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_lor; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_lxor; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_sll; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_sra; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_srl; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_slt; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_unsign; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_condbr; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_beq; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_bne; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_bge; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_blt; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_jal; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_by; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_half; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_word; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_csr_read; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_csr_clr; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_csr_set; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_csr_write; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_csr_imm; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_presync; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_postsync; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_ebreak; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_ecall; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_mret; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_mul; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_rs1_sign; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_rs2_sign; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_low; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_div; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_rem; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_fence; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_fence_i; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_pm_alu; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_legal; // @[dec_decode_ctl.scala 362:22] + wire [31:0] i0_dec_io_ins; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_alu; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_rs1; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_rs2; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_imm12; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_rd; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_shimm5; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_imm20; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_pc; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_load; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_store; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_lsu; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_add; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_sub; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_land; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_lor; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_lxor; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_sll; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_sra; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_srl; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_slt; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_unsign; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_condbr; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_beq; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_bne; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_bge; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_blt; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_jal; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_by; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_half; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_word; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_csr_read; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_csr_clr; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_csr_set; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_csr_write; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_csr_imm; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_presync; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_postsync; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_ebreak; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_ecall; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_mret; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_mul; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_rs1_sign; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_rs2_sign; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_low; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_div; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_rem; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_fence; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_fence_i; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_pm_alu; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_legal; // @[dec_decode_ctl.scala 356:22] wire rvclkhdr_1_io_l1clk; // @[lib.scala 368:23] wire rvclkhdr_1_io_clk; // @[lib.scala 368:23] wire rvclkhdr_1_io_en; // @[lib.scala 368:23] @@ -46374,249 +46374,249 @@ module dec_decode_ctl( wire rvclkhdr_19_io_clk; // @[lib.scala 368:23] wire rvclkhdr_19_io_en; // @[lib.scala 368:23] wire rvclkhdr_19_io_scan_mode; // @[lib.scala 368:23] - reg tlu_wr_pause_r1; // @[dec_decode_ctl.scala 469:55] - wire _T_1 = io_dec_tlu_wr_pause_r ^ tlu_wr_pause_r1; // @[dec_decode_ctl.scala 181:51] - reg tlu_wr_pause_r2; // @[dec_decode_ctl.scala 470:55] - wire _T_2 = tlu_wr_pause_r1 ^ tlu_wr_pause_r2; // @[dec_decode_ctl.scala 182:32] - wire _T_3 = _T_1 | _T_2; // @[dec_decode_ctl.scala 181:73] - wire _T_4 = io_dec_tlu_flush_extint ^ io_decode_exu_dec_extint_stall; // @[dec_decode_ctl.scala 183:32] - wire _T_5 = _T_3 | _T_4; // @[dec_decode_ctl.scala 182:56] - reg leak1_i1_stall; // @[dec_decode_ctl.scala 370:56] - wire _T_280 = ~io_dec_tlu_flush_lower_r; // @[dec_decode_ctl.scala 369:73] - wire _T_281 = leak1_i1_stall & _T_280; // @[dec_decode_ctl.scala 369:71] - wire leak1_i1_stall_in = io_dec_tlu_flush_leak_one_r | _T_281; // @[dec_decode_ctl.scala 369:53] - wire _T_6 = leak1_i1_stall_in ^ leak1_i1_stall; // @[dec_decode_ctl.scala 184:32] - wire _T_7 = _T_5 | _T_6; // @[dec_decode_ctl.scala 183:67] - wire _T_284 = io_dec_aln_dec_i0_decode_d & leak1_i1_stall; // @[dec_decode_ctl.scala 372:53] - reg leak1_i0_stall; // @[dec_decode_ctl.scala 373:56] - wire _T_286 = leak1_i0_stall & _T_280; // @[dec_decode_ctl.scala 372:89] - wire leak1_i0_stall_in = _T_284 | _T_286; // @[dec_decode_ctl.scala 372:71] - wire _T_8 = leak1_i0_stall_in ^ leak1_i0_stall; // @[dec_decode_ctl.scala 185:32] - wire _T_9 = _T_7 | _T_8; // @[dec_decode_ctl.scala 184:56] - reg pause_stall; // @[dec_decode_ctl.scala 467:50] - wire _T_415 = io_dec_tlu_wr_pause_r | pause_stall; // @[dec_decode_ctl.scala 466:44] - wire _T_408 = ~io_dec_tlu_flush_pause_r; // @[dec_decode_ctl.scala 465:49] - wire _T_409 = io_dec_tlu_flush_lower_r & _T_408; // @[dec_decode_ctl.scala 465:47] + reg tlu_wr_pause_r1; // @[dec_decode_ctl.scala 463:55] + wire _T_1 = io_dec_tlu_wr_pause_r ^ tlu_wr_pause_r1; // @[dec_decode_ctl.scala 178:54] + reg tlu_wr_pause_r2; // @[dec_decode_ctl.scala 464:55] + wire _T_2 = tlu_wr_pause_r1 ^ tlu_wr_pause_r2; // @[dec_decode_ctl.scala 179:54] + wire _T_3 = _T_1 | _T_2; // @[dec_decode_ctl.scala 178:89] + wire _T_4 = io_dec_tlu_flush_extint ^ io_decode_exu_dec_extint_stall; // @[dec_decode_ctl.scala 180:54] + wire _T_5 = _T_3 | _T_4; // @[dec_decode_ctl.scala 179:89] + reg leak1_i1_stall; // @[dec_decode_ctl.scala 364:56] + wire _T_280 = ~io_dec_tlu_flush_lower_r; // @[dec_decode_ctl.scala 363:73] + wire _T_281 = leak1_i1_stall & _T_280; // @[dec_decode_ctl.scala 363:71] + wire leak1_i1_stall_in = io_dec_tlu_flush_leak_one_r | _T_281; // @[dec_decode_ctl.scala 363:53] + wire _T_6 = leak1_i1_stall_in ^ leak1_i1_stall; // @[dec_decode_ctl.scala 181:54] + wire _T_7 = _T_5 | _T_6; // @[dec_decode_ctl.scala 180:89] + wire _T_284 = io_dec_aln_dec_i0_decode_d & leak1_i1_stall; // @[dec_decode_ctl.scala 366:53] + reg leak1_i0_stall; // @[dec_decode_ctl.scala 367:56] + wire _T_286 = leak1_i0_stall & _T_280; // @[dec_decode_ctl.scala 366:89] + wire leak1_i0_stall_in = _T_284 | _T_286; // @[dec_decode_ctl.scala 366:71] + wire _T_8 = leak1_i0_stall_in ^ leak1_i0_stall; // @[dec_decode_ctl.scala 182:54] + wire _T_9 = _T_7 | _T_8; // @[dec_decode_ctl.scala 181:89] + reg pause_stall; // @[dec_decode_ctl.scala 461:50] + wire _T_415 = io_dec_tlu_wr_pause_r | pause_stall; // @[dec_decode_ctl.scala 460:44] + wire _T_408 = ~io_dec_tlu_flush_pause_r; // @[dec_decode_ctl.scala 459:49] + wire _T_409 = io_dec_tlu_flush_lower_r & _T_408; // @[dec_decode_ctl.scala 459:47] reg [31:0] write_csr_data; // @[lib.scala 374:16] wire [31:0] _T_412 = {31'h0,write_csr_data[0]}; // @[Cat.scala 29:58] - wire _T_413 = write_csr_data == _T_412; // @[dec_decode_ctl.scala 465:109] - wire _T_414 = pause_stall & _T_413; // @[dec_decode_ctl.scala 465:91] - wire clear_pause = _T_409 | _T_414; // @[dec_decode_ctl.scala 465:76] - wire _T_416 = ~clear_pause; // @[dec_decode_ctl.scala 466:61] - wire pause_state_in = _T_415 & _T_416; // @[dec_decode_ctl.scala 466:59] - wire _T_10 = pause_state_in ^ pause_stall; // @[dec_decode_ctl.scala 186:32] - wire _T_11 = _T_9 | _T_10; // @[dec_decode_ctl.scala 185:56] - wire _T_18 = ~leak1_i1_stall; // @[dec_decode_ctl.scala 196:62] - wire i0_brp_valid = io_dec_i0_brp_valid & _T_18; // @[dec_decode_ctl.scala 196:60] - wire i0_dp_raw_condbr = i0_dec_io_out_condbr; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_raw_jal = i0_dec_io_out_jal; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] + wire _T_413 = write_csr_data == _T_412; // @[dec_decode_ctl.scala 459:109] + wire _T_414 = pause_stall & _T_413; // @[dec_decode_ctl.scala 459:91] + wire clear_pause = _T_409 | _T_414; // @[dec_decode_ctl.scala 459:76] + wire _T_416 = ~clear_pause; // @[dec_decode_ctl.scala 460:61] + wire pause_state_in = _T_415 & _T_416; // @[dec_decode_ctl.scala 460:59] + wire _T_10 = pause_state_in ^ pause_stall; // @[dec_decode_ctl.scala 183:54] + wire _T_11 = _T_9 | _T_10; // @[dec_decode_ctl.scala 182:89] + wire _T_18 = ~leak1_i1_stall; // @[dec_decode_ctl.scala 192:80] + wire i0_brp_valid = io_dec_i0_brp_valid & _T_18; // @[dec_decode_ctl.scala 192:78] + wire i0_dp_raw_condbr = i0_dec_io_out_condbr; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_jal = i0_dec_io_out_jal; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] wire [19:0] i0_pcall_imm = {io_dec_i0_instr_d[31],io_dec_i0_instr_d[19:12],io_dec_i0_instr_d[20],io_dec_i0_instr_d[30:21]}; // @[Cat.scala 29:58] - wire _T_298 = i0_pcall_imm[19:12] == 8'hff; // @[dec_decode_ctl.scala 378:79] - wire _T_300 = i0_pcall_imm[19:12] == 8'h0; // @[dec_decode_ctl.scala 378:112] - wire i0_pcall_12b_offset = i0_pcall_imm[11] ? _T_298 : _T_300; // @[dec_decode_ctl.scala 378:33] - wire i0_dp_raw_imm20 = i0_dec_io_out_imm20; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire _T_301 = i0_pcall_12b_offset & i0_dp_raw_imm20; // @[dec_decode_ctl.scala 379:47] - wire [4:0] i0r_rd = io_dec_i0_instr_d[11:7]; // @[dec_decode_ctl.scala 592:16] - wire _T_302 = i0r_rd == 5'h1; // @[dec_decode_ctl.scala 379:76] - wire _T_303 = i0r_rd == 5'h5; // @[dec_decode_ctl.scala 379:98] - wire _T_304 = _T_302 | _T_303; // @[dec_decode_ctl.scala 379:89] - wire i0_pcall_case = _T_301 & _T_304; // @[dec_decode_ctl.scala 379:65] - wire i0_pcall_raw = i0_dp_raw_jal & i0_pcall_case; // @[dec_decode_ctl.scala 381:38] - wire _T_20 = i0_dp_raw_condbr | i0_pcall_raw; // @[dec_decode_ctl.scala 207:75] - wire _T_309 = ~_T_304; // @[dec_decode_ctl.scala 380:67] - wire i0_pja_case = _T_301 & _T_309; // @[dec_decode_ctl.scala 380:65] - wire i0_pja_raw = i0_dp_raw_jal & i0_pja_case; // @[dec_decode_ctl.scala 383:38] - wire _T_21 = _T_20 | i0_pja_raw; // @[dec_decode_ctl.scala 207:90] - wire i0_dp_raw_imm12 = i0_dec_io_out_imm12; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire _T_325 = i0_dp_raw_jal & i0_dp_raw_imm12; // @[dec_decode_ctl.scala 387:37] - wire _T_326 = i0r_rd == 5'h0; // @[dec_decode_ctl.scala 387:65] - wire _T_327 = _T_325 & _T_326; // @[dec_decode_ctl.scala 387:55] - wire [4:0] i0r_rs1 = io_dec_i0_instr_d[19:15]; // @[dec_decode_ctl.scala 590:16] - wire _T_328 = i0r_rs1 == 5'h1; // @[dec_decode_ctl.scala 387:89] - wire _T_329 = i0r_rs1 == 5'h5; // @[dec_decode_ctl.scala 387:111] - wire _T_330 = _T_328 | _T_329; // @[dec_decode_ctl.scala 387:101] - wire i0_pret_case = _T_327 & _T_330; // @[dec_decode_ctl.scala 387:79] - wire i0_pret_raw = i0_dp_raw_jal & i0_pret_case; // @[dec_decode_ctl.scala 388:32] - wire _T_22 = _T_21 | i0_pret_raw; // @[dec_decode_ctl.scala 207:103] - wire _T_23 = ~_T_22; // @[dec_decode_ctl.scala 207:56] - wire i0_notbr_error = i0_brp_valid & _T_23; // @[dec_decode_ctl.scala 207:54] - wire _T_31 = io_dec_i0_brp_bits_br_error | i0_notbr_error; // @[dec_decode_ctl.scala 212:62] - wire _T_25 = i0_brp_valid & io_dec_i0_brp_bits_hist[1]; // @[dec_decode_ctl.scala 210:47] - wire _T_314 = i0_pcall_raw | i0_pja_raw; // @[dec_decode_ctl.scala 385:41] + wire _T_298 = i0_pcall_imm[19:12] == 8'hff; // @[dec_decode_ctl.scala 372:79] + wire _T_300 = i0_pcall_imm[19:12] == 8'h0; // @[dec_decode_ctl.scala 372:112] + wire i0_pcall_12b_offset = i0_pcall_imm[11] ? _T_298 : _T_300; // @[dec_decode_ctl.scala 372:33] + wire i0_dp_raw_imm20 = i0_dec_io_out_imm20; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire _T_301 = i0_pcall_12b_offset & i0_dp_raw_imm20; // @[dec_decode_ctl.scala 373:47] + wire [4:0] i0r_rd = io_dec_i0_instr_d[11:7]; // @[dec_decode_ctl.scala 586:16] + wire _T_302 = i0r_rd == 5'h1; // @[dec_decode_ctl.scala 373:76] + wire _T_303 = i0r_rd == 5'h5; // @[dec_decode_ctl.scala 373:98] + wire _T_304 = _T_302 | _T_303; // @[dec_decode_ctl.scala 373:89] + wire i0_pcall_case = _T_301 & _T_304; // @[dec_decode_ctl.scala 373:65] + wire i0_pcall_raw = i0_dp_raw_jal & i0_pcall_case; // @[dec_decode_ctl.scala 375:38] + wire _T_20 = i0_dp_raw_condbr | i0_pcall_raw; // @[dec_decode_ctl.scala 203:92] + wire _T_309 = ~_T_304; // @[dec_decode_ctl.scala 374:67] + wire i0_pja_case = _T_301 & _T_309; // @[dec_decode_ctl.scala 374:65] + wire i0_pja_raw = i0_dp_raw_jal & i0_pja_case; // @[dec_decode_ctl.scala 377:38] + wire _T_21 = _T_20 | i0_pja_raw; // @[dec_decode_ctl.scala 203:107] + wire i0_dp_raw_imm12 = i0_dec_io_out_imm12; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire _T_325 = i0_dp_raw_jal & i0_dp_raw_imm12; // @[dec_decode_ctl.scala 381:37] + wire _T_326 = i0r_rd == 5'h0; // @[dec_decode_ctl.scala 381:65] + wire _T_327 = _T_325 & _T_326; // @[dec_decode_ctl.scala 381:55] + wire [4:0] i0r_rs1 = io_dec_i0_instr_d[19:15]; // @[dec_decode_ctl.scala 584:16] + wire _T_328 = i0r_rs1 == 5'h1; // @[dec_decode_ctl.scala 381:89] + wire _T_329 = i0r_rs1 == 5'h5; // @[dec_decode_ctl.scala 381:111] + wire _T_330 = _T_328 | _T_329; // @[dec_decode_ctl.scala 381:101] + wire i0_pret_case = _T_327 & _T_330; // @[dec_decode_ctl.scala 381:79] + wire i0_pret_raw = i0_dp_raw_jal & i0_pret_case; // @[dec_decode_ctl.scala 382:32] + wire _T_22 = _T_21 | i0_pret_raw; // @[dec_decode_ctl.scala 203:120] + wire _T_23 = ~_T_22; // @[dec_decode_ctl.scala 203:73] + wire i0_notbr_error = i0_brp_valid & _T_23; // @[dec_decode_ctl.scala 203:71] + wire _T_31 = io_dec_i0_brp_bits_br_error | i0_notbr_error; // @[dec_decode_ctl.scala 208:87] + wire _T_25 = i0_brp_valid & io_dec_i0_brp_bits_hist[1]; // @[dec_decode_ctl.scala 206:72] + wire _T_314 = i0_pcall_raw | i0_pja_raw; // @[dec_decode_ctl.scala 379:41] wire [11:0] _T_323 = {io_dec_i0_instr_d[31],io_dec_i0_instr_d[7],io_dec_i0_instr_d[30:25],io_dec_i0_instr_d[11:8]}; // @[Cat.scala 29:58] - wire [11:0] i0_br_offset = _T_314 ? i0_pcall_imm[11:0] : _T_323; // @[dec_decode_ctl.scala 385:26] - wire _T_26 = io_dec_i0_brp_bits_toffset != i0_br_offset; // @[dec_decode_ctl.scala 210:106] - wire _T_27 = _T_25 & _T_26; // @[dec_decode_ctl.scala 210:76] - wire _T_28 = ~i0_pret_raw; // @[dec_decode_ctl.scala 210:126] - wire i0_br_toffset_error = _T_27 & _T_28; // @[dec_decode_ctl.scala 210:124] - wire _T_32 = _T_31 | i0_br_toffset_error; // @[dec_decode_ctl.scala 212:79] - wire _T_29 = i0_brp_valid & io_dec_i0_brp_bits_ret; // @[dec_decode_ctl.scala 211:47] - wire i0_ret_error = _T_29 & _T_28; // @[dec_decode_ctl.scala 211:72] - wire i0_br_error = _T_32 | i0_ret_error; // @[dec_decode_ctl.scala 212:101] - wire _T_39 = i0_br_error | io_dec_i0_brp_bits_br_start_error; // @[dec_decode_ctl.scala 217:47] - wire i0_br_error_all = _T_39 & _T_18; // @[dec_decode_ctl.scala 217:84] - wire i0_icaf_d = io_dec_i0_icaf_d | io_dec_i0_dbecc_d; // @[dec_decode_ctl.scala 226:36] - wire _T_41 = i0_br_error_all | i0_icaf_d; // @[dec_decode_ctl.scala 230:25] - wire i0_dp_raw_postsync = i0_dec_io_out_postsync; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_postsync = _T_41 | i0_dp_raw_postsync; // @[dec_decode_ctl.scala 230:50] - wire _T_442 = i0_dp_postsync | io_dec_tlu_postsync_d; // @[dec_decode_ctl.scala 496:36] - wire debug_fence_i = io_dec_debug_fence_d & io_dbg_dctl_dbg_cmd_wrdata[0]; // @[dec_decode_ctl.scala 488:48] - wire _T_443 = _T_442 | debug_fence_i; // @[dec_decode_ctl.scala 496:60] - wire i0_dp_raw_csr_write = i0_dec_io_out_csr_write; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_csr_write = _T_41 ? 1'h0 : i0_dp_raw_csr_write; // @[dec_decode_ctl.scala 230:50] - wire _T_343 = ~io_dec_debug_fence_d; // @[dec_decode_ctl.scala 427:42] - wire i0_csr_write = i0_dp_csr_write & _T_343; // @[dec_decode_ctl.scala 427:40] - wire i0_dp_raw_csr_read = i0_dec_io_out_csr_read; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_csr_read = _T_41 ? 1'h0 : i0_dp_raw_csr_read; // @[dec_decode_ctl.scala 230:50] - wire _T_347 = ~i0_dp_csr_read; // @[dec_decode_ctl.scala 432:41] - wire i0_csr_write_only_d = i0_csr_write & _T_347; // @[dec_decode_ctl.scala 432:39] - wire _T_445 = io_dec_i0_instr_d[31:20] == 12'h7c2; // @[dec_decode_ctl.scala 496:112] - wire _T_446 = i0_csr_write_only_d & _T_445; // @[dec_decode_ctl.scala 496:99] - wire i0_postsync = _T_443 | _T_446; // @[dec_decode_ctl.scala 496:76] - wire i0_dp_raw_legal = i0_dec_io_out_legal; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_legal = _T_41 | i0_dp_raw_legal; // @[dec_decode_ctl.scala 230:50] - wire any_csr_d = i0_dp_csr_read | i0_csr_write; // @[dec_decode_ctl.scala 498:34] - wire _T_447 = ~any_csr_d; // @[dec_decode_ctl.scala 500:40] - wire _T_448 = _T_447 | io_dec_csr_legal_d; // @[dec_decode_ctl.scala 500:51] - wire i0_legal = i0_dp_legal & _T_448; // @[dec_decode_ctl.scala 500:37] - wire _T_507 = ~i0_legal; // @[dec_decode_ctl.scala 540:64] - wire _T_508 = i0_postsync | _T_507; // @[dec_decode_ctl.scala 540:62] - wire _T_509 = io_dec_aln_dec_i0_decode_d & _T_508; // @[dec_decode_ctl.scala 540:47] - reg postsync_stall; // @[dec_decode_ctl.scala 538:53] + wire [11:0] i0_br_offset = _T_314 ? i0_pcall_imm[11:0] : _T_323; // @[dec_decode_ctl.scala 379:26] + wire _T_26 = io_dec_i0_brp_bits_toffset != i0_br_offset; // @[dec_decode_ctl.scala 206:131] + wire _T_27 = _T_25 & _T_26; // @[dec_decode_ctl.scala 206:101] + wire _T_28 = ~i0_pret_raw; // @[dec_decode_ctl.scala 206:151] + wire i0_br_toffset_error = _T_27 & _T_28; // @[dec_decode_ctl.scala 206:149] + wire _T_32 = _T_31 | i0_br_toffset_error; // @[dec_decode_ctl.scala 208:104] + wire _T_29 = i0_brp_valid & io_dec_i0_brp_bits_ret; // @[dec_decode_ctl.scala 207:72] + wire i0_ret_error = _T_29 & _T_28; // @[dec_decode_ctl.scala 207:97] + wire i0_br_error = _T_32 | i0_ret_error; // @[dec_decode_ctl.scala 208:126] + wire _T_39 = i0_br_error | io_dec_i0_brp_bits_br_start_error; // @[dec_decode_ctl.scala 213:72] + wire i0_br_error_all = _T_39 & _T_18; // @[dec_decode_ctl.scala 213:109] + wire i0_icaf_d = io_dec_i0_icaf_d | io_dec_i0_dbecc_d; // @[dec_decode_ctl.scala 222:43] + wire _T_41 = i0_br_error_all | i0_icaf_d; // @[dec_decode_ctl.scala 225:25] + wire i0_dp_raw_postsync = i0_dec_io_out_postsync; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_postsync = _T_41 | i0_dp_raw_postsync; // @[dec_decode_ctl.scala 225:50] + wire _T_442 = i0_dp_postsync | io_dec_tlu_postsync_d; // @[dec_decode_ctl.scala 490:36] + wire debug_fence_i = io_dec_debug_fence_d & io_dbg_dctl_dbg_cmd_wrdata[0]; // @[dec_decode_ctl.scala 482:48] + wire _T_443 = _T_442 | debug_fence_i; // @[dec_decode_ctl.scala 490:60] + wire i0_dp_raw_csr_write = i0_dec_io_out_csr_write; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_csr_write = _T_41 ? 1'h0 : i0_dp_raw_csr_write; // @[dec_decode_ctl.scala 225:50] + wire _T_343 = ~io_dec_debug_fence_d; // @[dec_decode_ctl.scala 421:42] + wire i0_csr_write = i0_dp_csr_write & _T_343; // @[dec_decode_ctl.scala 421:40] + wire i0_dp_raw_csr_read = i0_dec_io_out_csr_read; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_csr_read = _T_41 ? 1'h0 : i0_dp_raw_csr_read; // @[dec_decode_ctl.scala 225:50] + wire _T_347 = ~i0_dp_csr_read; // @[dec_decode_ctl.scala 426:41] + wire i0_csr_write_only_d = i0_csr_write & _T_347; // @[dec_decode_ctl.scala 426:39] + wire _T_445 = io_dec_i0_instr_d[31:20] == 12'h7c2; // @[dec_decode_ctl.scala 490:112] + wire _T_446 = i0_csr_write_only_d & _T_445; // @[dec_decode_ctl.scala 490:99] + wire i0_postsync = _T_443 | _T_446; // @[dec_decode_ctl.scala 490:76] + wire i0_dp_raw_legal = i0_dec_io_out_legal; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_legal = _T_41 | i0_dp_raw_legal; // @[dec_decode_ctl.scala 225:50] + wire any_csr_d = i0_dp_csr_read | i0_csr_write; // @[dec_decode_ctl.scala 492:34] + wire _T_447 = ~any_csr_d; // @[dec_decode_ctl.scala 494:40] + wire _T_448 = _T_447 | io_dec_csr_legal_d; // @[dec_decode_ctl.scala 494:51] + wire i0_legal = i0_dp_legal & _T_448; // @[dec_decode_ctl.scala 494:37] + wire _T_507 = ~i0_legal; // @[dec_decode_ctl.scala 534:64] + wire _T_508 = i0_postsync | _T_507; // @[dec_decode_ctl.scala 534:62] + wire _T_509 = io_dec_aln_dec_i0_decode_d & _T_508; // @[dec_decode_ctl.scala 534:47] + reg postsync_stall; // @[dec_decode_ctl.scala 532:53] reg x_d_valid; // @[lib.scala 384:16] - wire _T_510 = postsync_stall & x_d_valid; // @[dec_decode_ctl.scala 540:96] - wire ps_stall_in = _T_509 | _T_510; // @[dec_decode_ctl.scala 540:77] - wire _T_12 = ps_stall_in ^ postsync_stall; // @[dec_decode_ctl.scala 187:32] - wire _T_13 = _T_11 | _T_12; // @[dec_decode_ctl.scala 186:56] - reg flush_final_r; // @[dec_decode_ctl.scala 586:52] - wire _T_14 = io_exu_flush_final ^ flush_final_r; // @[dec_decode_ctl.scala 188:32] - wire _T_15 = _T_13 | _T_14; // @[dec_decode_ctl.scala 187:56] - wire shift_illegal = io_dec_aln_dec_i0_decode_d & _T_507; // @[dec_decode_ctl.scala 504:55] - reg illegal_lockout; // @[dec_decode_ctl.scala 508:54] - wire _T_469 = shift_illegal | illegal_lockout; // @[dec_decode_ctl.scala 507:40] - wire _T_470 = ~flush_final_r; // @[dec_decode_ctl.scala 507:61] - wire illegal_lockout_in = _T_469 & _T_470; // @[dec_decode_ctl.scala 507:59] - wire _T_16 = illegal_lockout_in ^ illegal_lockout; // @[dec_decode_ctl.scala 189:32] - wire i0_legal_decode_d = io_dec_aln_dec_i0_decode_d & i0_legal; // @[dec_decode_ctl.scala 614:54] - wire _T_33 = i0_br_error & i0_legal_decode_d; // @[dec_decode_ctl.scala 213:83] - wire _T_36 = io_dec_i0_brp_bits_br_start_error & i0_legal_decode_d; // @[dec_decode_ctl.scala 214:105] - wire i0_dp_raw_pm_alu = i0_dec_io_out_pm_alu; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_pm_alu = _T_41 ? 1'h0 : i0_dp_raw_pm_alu; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_fence_i = i0_dec_io_out_fence_i; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_fence_i = _T_41 ? 1'h0 : i0_dp_raw_fence_i; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_fence = i0_dec_io_out_fence; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_fence = _T_41 ? 1'h0 : i0_dp_raw_fence; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_rem = i0_dec_io_out_rem; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_raw_div = i0_dec_io_out_div; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_div = _T_41 ? 1'h0 : i0_dp_raw_div; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_low = i0_dec_io_out_low; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_raw_rs2_sign = i0_dec_io_out_rs2_sign; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_raw_rs1_sign = i0_dec_io_out_rs1_sign; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_raw_mul = i0_dec_io_out_mul; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_mul = _T_41 ? 1'h0 : i0_dp_raw_mul; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_mret = i0_dec_io_out_mret; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_mret = _T_41 ? 1'h0 : i0_dp_raw_mret; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_ecall = i0_dec_io_out_ecall; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_ecall = _T_41 ? 1'h0 : i0_dp_raw_ecall; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_ebreak = i0_dec_io_out_ebreak; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_ebreak = _T_41 ? 1'h0 : i0_dp_raw_ebreak; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_presync = i0_dec_io_out_presync; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_presync = _T_41 ? 1'h0 : i0_dp_raw_presync; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_csr_imm = i0_dec_io_out_csr_imm; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_csr_imm = _T_41 ? 1'h0 : i0_dp_raw_csr_imm; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_csr_set = i0_dec_io_out_csr_set; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_csr_set = _T_41 ? 1'h0 : i0_dp_raw_csr_set; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_csr_clr = i0_dec_io_out_csr_clr; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_csr_clr = _T_41 ? 1'h0 : i0_dp_raw_csr_clr; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_word = i0_dec_io_out_word; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_word = _T_41 ? 1'h0 : i0_dp_raw_word; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_half = i0_dec_io_out_half; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_half = _T_41 ? 1'h0 : i0_dp_raw_half; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_by = i0_dec_io_out_by; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_by = _T_41 ? 1'h0 : i0_dp_raw_by; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_jal = _T_41 ? 1'h0 : i0_dp_raw_jal; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_blt = i0_dec_io_out_blt; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_raw_bge = i0_dec_io_out_bge; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_raw_bne = i0_dec_io_out_bne; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_raw_beq = i0_dec_io_out_beq; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_condbr = _T_41 ? 1'h0 : i0_dp_raw_condbr; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_unsign = i0_dec_io_out_unsign; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_unsign = _T_41 ? 1'h0 : i0_dp_raw_unsign; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_slt = i0_dec_io_out_slt; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_raw_srl = i0_dec_io_out_srl; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_raw_sra = i0_dec_io_out_sra; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_raw_sll = i0_dec_io_out_sll; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_raw_lxor = i0_dec_io_out_lxor; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_raw_lor = i0_dec_io_out_lor; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_raw_land = i0_dec_io_out_land; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_raw_sub = i0_dec_io_out_sub; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_raw_add = i0_dec_io_out_add; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_raw_lsu = i0_dec_io_out_lsu; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_lsu = _T_41 ? 1'h0 : i0_dp_raw_lsu; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_store = i0_dec_io_out_store; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_store = _T_41 ? 1'h0 : i0_dp_raw_store; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_load = i0_dec_io_out_load; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_load = _T_41 ? 1'h0 : i0_dp_raw_load; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_pc = i0_dec_io_out_pc; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_imm20 = _T_41 ? 1'h0 : i0_dp_raw_imm20; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_shimm5 = i0_dec_io_out_shimm5; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_shimm5 = _T_41 ? 1'h0 : i0_dp_raw_shimm5; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_rd = i0_dec_io_out_rd; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_rd = _T_41 ? 1'h0 : i0_dp_raw_rd; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_imm12 = _T_41 ? 1'h0 : i0_dp_raw_imm12; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_rs2 = i0_dec_io_out_rs2; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_rs2 = _T_41 | i0_dp_raw_rs2; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_rs1 = i0_dec_io_out_rs1; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_rs1 = _T_41 | i0_dp_raw_rs1; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_alu = i0_dec_io_out_alu; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_alu = _T_41 | i0_dp_raw_alu; // @[dec_decode_ctl.scala 230:50] - wire i0_pcall = i0_dp_jal & i0_pcall_case; // @[dec_decode_ctl.scala 382:38] - wire _T_44 = i0_dp_condbr | i0_pcall; // @[dec_decode_ctl.scala 244:40] - wire i0_pja = i0_dp_jal & i0_pja_case; // @[dec_decode_ctl.scala 384:38] - wire _T_45 = _T_44 | i0_pja; // @[dec_decode_ctl.scala 244:51] - wire i0_pret = i0_dp_jal & i0_pret_case; // @[dec_decode_ctl.scala 389:32] - wire i0_predict_br = _T_45 | i0_pret; // @[dec_decode_ctl.scala 244:60] - wire _T_47 = io_dec_i0_brp_bits_hist[1] & i0_brp_valid; // @[dec_decode_ctl.scala 246:55] - wire _T_48 = ~_T_47; // @[dec_decode_ctl.scala 246:26] - wire i0_ap_pc2 = ~io_dec_i0_pc4_d; // @[dec_decode_ctl.scala 248:20] - wire cam_data_reset = io_dctl_busbuff_lsu_nonblock_load_data_valid | io_dctl_busbuff_lsu_nonblock_load_data_error; // @[dec_decode_ctl.scala 281:76] - reg [2:0] cam_raw_0_bits_tag; // @[dec_decode_ctl.scala 317:47] - wire [2:0] _GEN_123 = {{1'd0}, io_dctl_busbuff_lsu_nonblock_load_data_tag}; // @[dec_decode_ctl.scala 292:67] - wire _T_94 = _GEN_123 == cam_raw_0_bits_tag; // @[dec_decode_ctl.scala 292:67] - wire _T_95 = cam_data_reset & _T_94; // @[dec_decode_ctl.scala 292:45] - reg cam_raw_0_valid; // @[dec_decode_ctl.scala 317:47] - wire cam_data_reset_val_0 = _T_95 & cam_raw_0_valid; // @[dec_decode_ctl.scala 292:88] - wire cam_0_valid = cam_data_reset_val_0 ? 1'h0 : cam_raw_0_valid; // @[dec_decode_ctl.scala 296:39] - wire _T_51 = ~cam_0_valid; // @[dec_decode_ctl.scala 273:78] - reg [2:0] cam_raw_1_bits_tag; // @[dec_decode_ctl.scala 317:47] - wire _T_120 = _GEN_123 == cam_raw_1_bits_tag; // @[dec_decode_ctl.scala 292:67] - wire _T_121 = cam_data_reset & _T_120; // @[dec_decode_ctl.scala 292:45] - reg cam_raw_1_valid; // @[dec_decode_ctl.scala 317:47] - wire cam_data_reset_val_1 = _T_121 & cam_raw_1_valid; // @[dec_decode_ctl.scala 292:88] - wire cam_1_valid = cam_data_reset_val_1 ? 1'h0 : cam_raw_1_valid; // @[dec_decode_ctl.scala 296:39] - wire _T_54 = ~cam_1_valid; // @[dec_decode_ctl.scala 273:78] - wire _T_57 = cam_0_valid & _T_54; // @[dec_decode_ctl.scala 273:126] - wire [1:0] _T_59 = {io_dctl_busbuff_lsu_nonblock_load_valid_m, 1'h0}; // @[dec_decode_ctl.scala 273:158] - reg [2:0] cam_raw_2_bits_tag; // @[dec_decode_ctl.scala 317:47] - wire _T_146 = _GEN_123 == cam_raw_2_bits_tag; // @[dec_decode_ctl.scala 292:67] - wire _T_147 = cam_data_reset & _T_146; // @[dec_decode_ctl.scala 292:45] - reg cam_raw_2_valid; // @[dec_decode_ctl.scala 317:47] - wire cam_data_reset_val_2 = _T_147 & cam_raw_2_valid; // @[dec_decode_ctl.scala 292:88] - wire cam_2_valid = cam_data_reset_val_2 ? 1'h0 : cam_raw_2_valid; // @[dec_decode_ctl.scala 296:39] - wire _T_60 = ~cam_2_valid; // @[dec_decode_ctl.scala 273:78] - wire _T_63 = cam_0_valid & cam_1_valid; // @[dec_decode_ctl.scala 273:126] - wire _T_66 = _T_63 & _T_60; // @[dec_decode_ctl.scala 273:126] - wire [2:0] _T_68 = {io_dctl_busbuff_lsu_nonblock_load_valid_m, 2'h0}; // @[dec_decode_ctl.scala 273:158] - reg [2:0] cam_raw_3_bits_tag; // @[dec_decode_ctl.scala 317:47] - wire _T_172 = _GEN_123 == cam_raw_3_bits_tag; // @[dec_decode_ctl.scala 292:67] - wire _T_173 = cam_data_reset & _T_172; // @[dec_decode_ctl.scala 292:45] - reg cam_raw_3_valid; // @[dec_decode_ctl.scala 317:47] - wire cam_data_reset_val_3 = _T_173 & cam_raw_3_valid; // @[dec_decode_ctl.scala 292:88] - wire cam_3_valid = cam_data_reset_val_3 ? 1'h0 : cam_raw_3_valid; // @[dec_decode_ctl.scala 296:39] - wire _T_69 = ~cam_3_valid; // @[dec_decode_ctl.scala 273:78] - wire _T_75 = _T_63 & cam_2_valid; // @[dec_decode_ctl.scala 273:126] - wire _T_78 = _T_75 & _T_69; // @[dec_decode_ctl.scala 273:126] - wire [3:0] _T_80 = {io_dctl_busbuff_lsu_nonblock_load_valid_m, 3'h0}; // @[dec_decode_ctl.scala 273:158] + wire _T_510 = postsync_stall & x_d_valid; // @[dec_decode_ctl.scala 534:96] + wire ps_stall_in = _T_509 | _T_510; // @[dec_decode_ctl.scala 534:77] + wire _T_12 = ps_stall_in ^ postsync_stall; // @[dec_decode_ctl.scala 184:54] + wire _T_13 = _T_11 | _T_12; // @[dec_decode_ctl.scala 183:89] + reg flush_final_r; // @[dec_decode_ctl.scala 580:52] + wire _T_14 = io_exu_flush_final ^ flush_final_r; // @[dec_decode_ctl.scala 185:54] + wire _T_15 = _T_13 | _T_14; // @[dec_decode_ctl.scala 184:89] + wire shift_illegal = io_dec_aln_dec_i0_decode_d & _T_507; // @[dec_decode_ctl.scala 498:55] + reg illegal_lockout; // @[dec_decode_ctl.scala 502:54] + wire _T_469 = shift_illegal | illegal_lockout; // @[dec_decode_ctl.scala 501:40] + wire _T_470 = ~flush_final_r; // @[dec_decode_ctl.scala 501:61] + wire illegal_lockout_in = _T_469 & _T_470; // @[dec_decode_ctl.scala 501:59] + wire _T_16 = illegal_lockout_in ^ illegal_lockout; // @[dec_decode_ctl.scala 186:54] + wire i0_legal_decode_d = io_dec_aln_dec_i0_decode_d & i0_legal; // @[dec_decode_ctl.scala 608:54] + wire _T_33 = i0_br_error & i0_legal_decode_d; // @[dec_decode_ctl.scala 209:72] + wire _T_36 = io_dec_i0_brp_bits_br_start_error & i0_legal_decode_d; // @[dec_decode_ctl.scala 210:94] + wire i0_dp_raw_pm_alu = i0_dec_io_out_pm_alu; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_pm_alu = _T_41 ? 1'h0 : i0_dp_raw_pm_alu; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_fence_i = i0_dec_io_out_fence_i; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_fence_i = _T_41 ? 1'h0 : i0_dp_raw_fence_i; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_fence = i0_dec_io_out_fence; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_fence = _T_41 ? 1'h0 : i0_dp_raw_fence; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_rem = i0_dec_io_out_rem; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_div = i0_dec_io_out_div; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_div = _T_41 ? 1'h0 : i0_dp_raw_div; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_low = i0_dec_io_out_low; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_rs2_sign = i0_dec_io_out_rs2_sign; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_rs1_sign = i0_dec_io_out_rs1_sign; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_mul = i0_dec_io_out_mul; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_mul = _T_41 ? 1'h0 : i0_dp_raw_mul; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_mret = i0_dec_io_out_mret; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_mret = _T_41 ? 1'h0 : i0_dp_raw_mret; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_ecall = i0_dec_io_out_ecall; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_ecall = _T_41 ? 1'h0 : i0_dp_raw_ecall; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_ebreak = i0_dec_io_out_ebreak; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_ebreak = _T_41 ? 1'h0 : i0_dp_raw_ebreak; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_presync = i0_dec_io_out_presync; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_presync = _T_41 ? 1'h0 : i0_dp_raw_presync; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_csr_imm = i0_dec_io_out_csr_imm; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_csr_imm = _T_41 ? 1'h0 : i0_dp_raw_csr_imm; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_csr_set = i0_dec_io_out_csr_set; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_csr_set = _T_41 ? 1'h0 : i0_dp_raw_csr_set; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_csr_clr = i0_dec_io_out_csr_clr; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_csr_clr = _T_41 ? 1'h0 : i0_dp_raw_csr_clr; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_word = i0_dec_io_out_word; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_word = _T_41 ? 1'h0 : i0_dp_raw_word; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_half = i0_dec_io_out_half; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_half = _T_41 ? 1'h0 : i0_dp_raw_half; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_by = i0_dec_io_out_by; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_by = _T_41 ? 1'h0 : i0_dp_raw_by; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_jal = _T_41 ? 1'h0 : i0_dp_raw_jal; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_blt = i0_dec_io_out_blt; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_bge = i0_dec_io_out_bge; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_bne = i0_dec_io_out_bne; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_beq = i0_dec_io_out_beq; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_condbr = _T_41 ? 1'h0 : i0_dp_raw_condbr; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_unsign = i0_dec_io_out_unsign; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_unsign = _T_41 ? 1'h0 : i0_dp_raw_unsign; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_slt = i0_dec_io_out_slt; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_srl = i0_dec_io_out_srl; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_sra = i0_dec_io_out_sra; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_sll = i0_dec_io_out_sll; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_lxor = i0_dec_io_out_lxor; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_lor = i0_dec_io_out_lor; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_land = i0_dec_io_out_land; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_sub = i0_dec_io_out_sub; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_add = i0_dec_io_out_add; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_lsu = i0_dec_io_out_lsu; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_lsu = _T_41 ? 1'h0 : i0_dp_raw_lsu; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_store = i0_dec_io_out_store; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_store = _T_41 ? 1'h0 : i0_dp_raw_store; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_load = i0_dec_io_out_load; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_load = _T_41 ? 1'h0 : i0_dp_raw_load; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_pc = i0_dec_io_out_pc; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_imm20 = _T_41 ? 1'h0 : i0_dp_raw_imm20; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_shimm5 = i0_dec_io_out_shimm5; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_shimm5 = _T_41 ? 1'h0 : i0_dp_raw_shimm5; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_rd = i0_dec_io_out_rd; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_rd = _T_41 ? 1'h0 : i0_dp_raw_rd; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_imm12 = _T_41 ? 1'h0 : i0_dp_raw_imm12; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_rs2 = i0_dec_io_out_rs2; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_rs2 = _T_41 | i0_dp_raw_rs2; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_rs1 = i0_dec_io_out_rs1; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_rs1 = _T_41 | i0_dp_raw_rs1; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_alu = i0_dec_io_out_alu; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_alu = _T_41 | i0_dp_raw_alu; // @[dec_decode_ctl.scala 225:50] + wire i0_pcall = i0_dp_jal & i0_pcall_case; // @[dec_decode_ctl.scala 376:38] + wire _T_44 = i0_dp_condbr | i0_pcall; // @[dec_decode_ctl.scala 239:54] + wire i0_pja = i0_dp_jal & i0_pja_case; // @[dec_decode_ctl.scala 378:38] + wire _T_45 = _T_44 | i0_pja; // @[dec_decode_ctl.scala 239:65] + wire i0_pret = i0_dp_jal & i0_pret_case; // @[dec_decode_ctl.scala 383:32] + wire i0_predict_br = _T_45 | i0_pret; // @[dec_decode_ctl.scala 239:74] + wire _T_47 = io_dec_i0_brp_bits_hist[1] & i0_brp_valid; // @[dec_decode_ctl.scala 240:69] + wire _T_48 = ~_T_47; // @[dec_decode_ctl.scala 240:40] + wire i0_ap_pc2 = ~io_dec_i0_pc4_d; // @[dec_decode_ctl.scala 242:40] + wire cam_data_reset = io_dctl_busbuff_lsu_nonblock_load_data_valid | io_dctl_busbuff_lsu_nonblock_load_data_error; // @[dec_decode_ctl.scala 275:76] + reg [2:0] cam_raw_0_bits_tag; // @[dec_decode_ctl.scala 311:47] + wire [2:0] _GEN_123 = {{1'd0}, io_dctl_busbuff_lsu_nonblock_load_data_tag}; // @[dec_decode_ctl.scala 286:67] + wire _T_94 = _GEN_123 == cam_raw_0_bits_tag; // @[dec_decode_ctl.scala 286:67] + wire _T_95 = cam_data_reset & _T_94; // @[dec_decode_ctl.scala 286:45] + reg cam_raw_0_valid; // @[dec_decode_ctl.scala 311:47] + wire cam_data_reset_val_0 = _T_95 & cam_raw_0_valid; // @[dec_decode_ctl.scala 286:88] + wire cam_0_valid = cam_data_reset_val_0 ? 1'h0 : cam_raw_0_valid; // @[dec_decode_ctl.scala 290:39] + wire _T_51 = ~cam_0_valid; // @[dec_decode_ctl.scala 267:78] + reg [2:0] cam_raw_1_bits_tag; // @[dec_decode_ctl.scala 311:47] + wire _T_120 = _GEN_123 == cam_raw_1_bits_tag; // @[dec_decode_ctl.scala 286:67] + wire _T_121 = cam_data_reset & _T_120; // @[dec_decode_ctl.scala 286:45] + reg cam_raw_1_valid; // @[dec_decode_ctl.scala 311:47] + wire cam_data_reset_val_1 = _T_121 & cam_raw_1_valid; // @[dec_decode_ctl.scala 286:88] + wire cam_1_valid = cam_data_reset_val_1 ? 1'h0 : cam_raw_1_valid; // @[dec_decode_ctl.scala 290:39] + wire _T_54 = ~cam_1_valid; // @[dec_decode_ctl.scala 267:78] + wire _T_57 = cam_0_valid & _T_54; // @[dec_decode_ctl.scala 267:126] + wire [1:0] _T_59 = {io_dctl_busbuff_lsu_nonblock_load_valid_m, 1'h0}; // @[dec_decode_ctl.scala 267:158] + reg [2:0] cam_raw_2_bits_tag; // @[dec_decode_ctl.scala 311:47] + wire _T_146 = _GEN_123 == cam_raw_2_bits_tag; // @[dec_decode_ctl.scala 286:67] + wire _T_147 = cam_data_reset & _T_146; // @[dec_decode_ctl.scala 286:45] + reg cam_raw_2_valid; // @[dec_decode_ctl.scala 311:47] + wire cam_data_reset_val_2 = _T_147 & cam_raw_2_valid; // @[dec_decode_ctl.scala 286:88] + wire cam_2_valid = cam_data_reset_val_2 ? 1'h0 : cam_raw_2_valid; // @[dec_decode_ctl.scala 290:39] + wire _T_60 = ~cam_2_valid; // @[dec_decode_ctl.scala 267:78] + wire _T_63 = cam_0_valid & cam_1_valid; // @[dec_decode_ctl.scala 267:126] + wire _T_66 = _T_63 & _T_60; // @[dec_decode_ctl.scala 267:126] + wire [2:0] _T_68 = {io_dctl_busbuff_lsu_nonblock_load_valid_m, 2'h0}; // @[dec_decode_ctl.scala 267:158] + reg [2:0] cam_raw_3_bits_tag; // @[dec_decode_ctl.scala 311:47] + wire _T_172 = _GEN_123 == cam_raw_3_bits_tag; // @[dec_decode_ctl.scala 286:67] + wire _T_173 = cam_data_reset & _T_172; // @[dec_decode_ctl.scala 286:45] + reg cam_raw_3_valid; // @[dec_decode_ctl.scala 311:47] + wire cam_data_reset_val_3 = _T_173 & cam_raw_3_valid; // @[dec_decode_ctl.scala 286:88] + wire cam_3_valid = cam_data_reset_val_3 ? 1'h0 : cam_raw_3_valid; // @[dec_decode_ctl.scala 290:39] + wire _T_69 = ~cam_3_valid; // @[dec_decode_ctl.scala 267:78] + wire _T_75 = _T_63 & cam_2_valid; // @[dec_decode_ctl.scala 267:126] + wire _T_78 = _T_75 & _T_69; // @[dec_decode_ctl.scala 267:126] + wire [3:0] _T_80 = {io_dctl_busbuff_lsu_nonblock_load_valid_m, 3'h0}; // @[dec_decode_ctl.scala 267:158] wire _T_81 = _T_51 & io_dctl_busbuff_lsu_nonblock_load_valid_m; // @[Mux.scala 27:72] wire [1:0] _T_82 = _T_57 ? _T_59 : 2'h0; // @[Mux.scala 27:72] wire [2:0] _T_83 = _T_66 ? _T_68 : 3'h0; // @[Mux.scala 27:72] @@ -46629,150 +46629,150 @@ module dec_decode_ctl( wire [3:0] cam_wen = _GEN_129 | _T_84; // @[Mux.scala 27:72] reg x_d_bits_i0load; // @[lib.scala 384:16] reg [4:0] x_d_bits_i0rd; // @[lib.scala 384:16] - wire [4:0] nonblock_load_rd = x_d_bits_i0load ? x_d_bits_i0rd : 5'h0; // @[dec_decode_ctl.scala 284:31] - reg [2:0] _T_704; // @[dec_decode_ctl.scala 622:80] - wire [3:0] i0_pipe_en = {io_dec_aln_dec_i0_decode_d,_T_704}; // @[Cat.scala 29:58] - wire _T_710 = |i0_pipe_en[2:1]; // @[dec_decode_ctl.scala 625:49] - wire i0_r_ctl_en = _T_710 | io_clk_override; // @[dec_decode_ctl.scala 625:53] + wire [4:0] nonblock_load_rd = x_d_bits_i0load ? x_d_bits_i0rd : 5'h0; // @[dec_decode_ctl.scala 278:31] + reg [2:0] _T_706; // @[dec_decode_ctl.scala 616:80] + wire [3:0] i0_pipe_en = {io_dec_aln_dec_i0_decode_d,_T_706}; // @[Cat.scala 29:58] + wire _T_712 = |i0_pipe_en[2:1]; // @[dec_decode_ctl.scala 619:49] + wire i0_r_ctl_en = _T_712 | io_clk_override; // @[dec_decode_ctl.scala 619:53] reg nonblock_load_valid_m_delay; // @[Reg.scala 27:20] reg r_d_bits_i0load; // @[lib.scala 384:16] - wire i0_load_kill_wen_r = nonblock_load_valid_m_delay & r_d_bits_i0load; // @[dec_decode_ctl.scala 289:56] - wire [2:0] _GEN_130 = {{1'd0}, io_dctl_busbuff_lsu_nonblock_load_inv_tag_r}; // @[dec_decode_ctl.scala 291:66] - wire _T_91 = _GEN_130 == cam_raw_0_bits_tag; // @[dec_decode_ctl.scala 291:66] - wire _T_92 = io_dctl_busbuff_lsu_nonblock_load_inv_r & _T_91; // @[dec_decode_ctl.scala 291:45] - wire cam_inv_reset_val_0 = _T_92 & cam_0_valid; // @[dec_decode_ctl.scala 291:87] + wire i0_load_kill_wen_r = nonblock_load_valid_m_delay & r_d_bits_i0load; // @[dec_decode_ctl.scala 283:56] + wire [2:0] _GEN_130 = {{1'd0}, io_dctl_busbuff_lsu_nonblock_load_inv_tag_r}; // @[dec_decode_ctl.scala 285:66] + wire _T_91 = _GEN_130 == cam_raw_0_bits_tag; // @[dec_decode_ctl.scala 285:66] + wire _T_92 = io_dctl_busbuff_lsu_nonblock_load_inv_r & _T_91; // @[dec_decode_ctl.scala 285:45] + wire cam_inv_reset_val_0 = _T_92 & cam_0_valid; // @[dec_decode_ctl.scala 285:87] reg r_d_bits_i0v; // @[lib.scala 384:16] - wire _T_746 = ~io_dec_tlu_flush_lower_wb; // @[dec_decode_ctl.scala 657:51] - wire r_d_in_bits_i0v = r_d_bits_i0v & _T_746; // @[dec_decode_ctl.scala 657:49] - wire _T_757 = ~io_dec_tlu_i0_kill_writeb_r; // @[dec_decode_ctl.scala 665:47] - wire i0_wen_r = r_d_in_bits_i0v & _T_757; // @[dec_decode_ctl.scala 665:45] + wire _T_748 = ~io_dec_tlu_flush_lower_wb; // @[dec_decode_ctl.scala 651:51] + wire r_d_in_bits_i0v = r_d_bits_i0v & _T_748; // @[dec_decode_ctl.scala 651:49] + wire _T_759 = ~io_dec_tlu_i0_kill_writeb_r; // @[dec_decode_ctl.scala 659:47] + wire i0_wen_r = r_d_in_bits_i0v & _T_759; // @[dec_decode_ctl.scala 659:45] reg [4:0] r_d_bits_i0rd; // @[lib.scala 384:16] - reg [4:0] cam_raw_0_bits_rd; // @[dec_decode_ctl.scala 317:47] - wire _T_103 = r_d_bits_i0rd == cam_raw_0_bits_rd; // @[dec_decode_ctl.scala 304:85] - wire _T_104 = i0_wen_r & _T_103; // @[dec_decode_ctl.scala 304:64] - reg cam_raw_0_bits_wb; // @[dec_decode_ctl.scala 317:47] - wire _T_106 = _T_104 & cam_raw_0_bits_wb; // @[dec_decode_ctl.scala 304:105] - wire _T_107 = cam_inv_reset_val_0 | _T_106; // @[dec_decode_ctl.scala 304:44] - wire _GEN_52 = _T_107 ? 1'h0 : cam_0_valid; // @[dec_decode_ctl.scala 304:131] - wire _GEN_55 = _T_107 ? 1'h0 : cam_raw_0_bits_wb; // @[dec_decode_ctl.scala 304:131] - wire _GEN_56 = cam_wen[0] | _GEN_52; // @[dec_decode_ctl.scala 299:28] - wire _GEN_57 = cam_wen[0] ? 1'h0 : _GEN_55; // @[dec_decode_ctl.scala 299:28] - wire _T_110 = nonblock_load_valid_m_delay & _T_91; // @[dec_decode_ctl.scala 309:44] - wire _T_112 = _T_110 & cam_0_valid; // @[dec_decode_ctl.scala 309:113] - wire nonblock_load_write_0 = _T_94 & cam_raw_0_valid; // @[dec_decode_ctl.scala 318:71] - wire _T_117 = _GEN_130 == cam_raw_1_bits_tag; // @[dec_decode_ctl.scala 291:66] - wire _T_118 = io_dctl_busbuff_lsu_nonblock_load_inv_r & _T_117; // @[dec_decode_ctl.scala 291:45] - wire cam_inv_reset_val_1 = _T_118 & cam_1_valid; // @[dec_decode_ctl.scala 291:87] - reg [4:0] cam_raw_1_bits_rd; // @[dec_decode_ctl.scala 317:47] - wire _T_129 = r_d_bits_i0rd == cam_raw_1_bits_rd; // @[dec_decode_ctl.scala 304:85] - wire _T_130 = i0_wen_r & _T_129; // @[dec_decode_ctl.scala 304:64] - reg cam_raw_1_bits_wb; // @[dec_decode_ctl.scala 317:47] - wire _T_132 = _T_130 & cam_raw_1_bits_wb; // @[dec_decode_ctl.scala 304:105] - wire _T_133 = cam_inv_reset_val_1 | _T_132; // @[dec_decode_ctl.scala 304:44] - wire _GEN_63 = _T_133 ? 1'h0 : cam_1_valid; // @[dec_decode_ctl.scala 304:131] - wire _GEN_66 = _T_133 ? 1'h0 : cam_raw_1_bits_wb; // @[dec_decode_ctl.scala 304:131] - wire _GEN_67 = cam_wen[1] | _GEN_63; // @[dec_decode_ctl.scala 299:28] - wire _GEN_68 = cam_wen[1] ? 1'h0 : _GEN_66; // @[dec_decode_ctl.scala 299:28] - wire _T_136 = nonblock_load_valid_m_delay & _T_117; // @[dec_decode_ctl.scala 309:44] - wire _T_138 = _T_136 & cam_1_valid; // @[dec_decode_ctl.scala 309:113] - wire nonblock_load_write_1 = _T_120 & cam_raw_1_valid; // @[dec_decode_ctl.scala 318:71] - wire _T_143 = _GEN_130 == cam_raw_2_bits_tag; // @[dec_decode_ctl.scala 291:66] - wire _T_144 = io_dctl_busbuff_lsu_nonblock_load_inv_r & _T_143; // @[dec_decode_ctl.scala 291:45] - wire cam_inv_reset_val_2 = _T_144 & cam_2_valid; // @[dec_decode_ctl.scala 291:87] - reg [4:0] cam_raw_2_bits_rd; // @[dec_decode_ctl.scala 317:47] - wire _T_155 = r_d_bits_i0rd == cam_raw_2_bits_rd; // @[dec_decode_ctl.scala 304:85] - wire _T_156 = i0_wen_r & _T_155; // @[dec_decode_ctl.scala 304:64] - reg cam_raw_2_bits_wb; // @[dec_decode_ctl.scala 317:47] - wire _T_158 = _T_156 & cam_raw_2_bits_wb; // @[dec_decode_ctl.scala 304:105] - wire _T_159 = cam_inv_reset_val_2 | _T_158; // @[dec_decode_ctl.scala 304:44] - wire _GEN_74 = _T_159 ? 1'h0 : cam_2_valid; // @[dec_decode_ctl.scala 304:131] - wire _GEN_77 = _T_159 ? 1'h0 : cam_raw_2_bits_wb; // @[dec_decode_ctl.scala 304:131] - wire _GEN_78 = cam_wen[2] | _GEN_74; // @[dec_decode_ctl.scala 299:28] - wire _GEN_79 = cam_wen[2] ? 1'h0 : _GEN_77; // @[dec_decode_ctl.scala 299:28] - wire _T_162 = nonblock_load_valid_m_delay & _T_143; // @[dec_decode_ctl.scala 309:44] - wire _T_164 = _T_162 & cam_2_valid; // @[dec_decode_ctl.scala 309:113] - wire nonblock_load_write_2 = _T_146 & cam_raw_2_valid; // @[dec_decode_ctl.scala 318:71] - wire _T_169 = _GEN_130 == cam_raw_3_bits_tag; // @[dec_decode_ctl.scala 291:66] - wire _T_170 = io_dctl_busbuff_lsu_nonblock_load_inv_r & _T_169; // @[dec_decode_ctl.scala 291:45] - wire cam_inv_reset_val_3 = _T_170 & cam_3_valid; // @[dec_decode_ctl.scala 291:87] - reg [4:0] cam_raw_3_bits_rd; // @[dec_decode_ctl.scala 317:47] - wire _T_181 = r_d_bits_i0rd == cam_raw_3_bits_rd; // @[dec_decode_ctl.scala 304:85] - wire _T_182 = i0_wen_r & _T_181; // @[dec_decode_ctl.scala 304:64] - reg cam_raw_3_bits_wb; // @[dec_decode_ctl.scala 317:47] - wire _T_184 = _T_182 & cam_raw_3_bits_wb; // @[dec_decode_ctl.scala 304:105] - wire _T_185 = cam_inv_reset_val_3 | _T_184; // @[dec_decode_ctl.scala 304:44] - wire _GEN_85 = _T_185 ? 1'h0 : cam_3_valid; // @[dec_decode_ctl.scala 304:131] - wire _GEN_88 = _T_185 ? 1'h0 : cam_raw_3_bits_wb; // @[dec_decode_ctl.scala 304:131] - wire _GEN_89 = cam_wen[3] | _GEN_85; // @[dec_decode_ctl.scala 299:28] - wire _GEN_90 = cam_wen[3] ? 1'h0 : _GEN_88; // @[dec_decode_ctl.scala 299:28] - wire _T_188 = nonblock_load_valid_m_delay & _T_169; // @[dec_decode_ctl.scala 309:44] - wire _T_190 = _T_188 & cam_3_valid; // @[dec_decode_ctl.scala 309:113] - wire nonblock_load_write_3 = _T_172 & cam_raw_3_valid; // @[dec_decode_ctl.scala 318:71] - wire _T_195 = r_d_bits_i0rd == io_dec_nonblock_load_waddr; // @[dec_decode_ctl.scala 323:49] - wire nonblock_load_cancel = _T_195 & i0_wen_r; // @[dec_decode_ctl.scala 323:81] - wire _T_196 = nonblock_load_write_0 | nonblock_load_write_1; // @[dec_decode_ctl.scala 324:108] - wire _T_197 = _T_196 | nonblock_load_write_2; // @[dec_decode_ctl.scala 324:108] - wire _T_198 = _T_197 | nonblock_load_write_3; // @[dec_decode_ctl.scala 324:108] - wire _T_200 = io_dctl_busbuff_lsu_nonblock_load_data_valid & _T_198; // @[dec_decode_ctl.scala 324:77] - wire _T_201 = ~nonblock_load_cancel; // @[dec_decode_ctl.scala 324:122] - wire _T_203 = nonblock_load_rd == i0r_rs1; // @[dec_decode_ctl.scala 325:54] - wire _T_204 = _T_203 & io_dctl_busbuff_lsu_nonblock_load_valid_m; // @[dec_decode_ctl.scala 325:66] - wire _T_205 = _T_204 & io_decode_exu_dec_i0_rs1_en_d; // @[dec_decode_ctl.scala 325:110] - wire [4:0] i0r_rs2 = io_dec_i0_instr_d[24:20]; // @[dec_decode_ctl.scala 591:16] - wire _T_206 = nonblock_load_rd == i0r_rs2; // @[dec_decode_ctl.scala 325:161] - wire _T_207 = _T_206 & io_dctl_busbuff_lsu_nonblock_load_valid_m; // @[dec_decode_ctl.scala 325:173] - wire _T_208 = _T_207 & io_decode_exu_dec_i0_rs2_en_d; // @[dec_decode_ctl.scala 325:217] - wire i0_nonblock_boundary_stall = _T_205 | _T_208; // @[dec_decode_ctl.scala 325:142] + reg [4:0] cam_raw_0_bits_rd; // @[dec_decode_ctl.scala 311:47] + wire _T_103 = r_d_bits_i0rd == cam_raw_0_bits_rd; // @[dec_decode_ctl.scala 298:85] + wire _T_104 = i0_wen_r & _T_103; // @[dec_decode_ctl.scala 298:64] + reg cam_raw_0_bits_wb; // @[dec_decode_ctl.scala 311:47] + wire _T_106 = _T_104 & cam_raw_0_bits_wb; // @[dec_decode_ctl.scala 298:105] + wire _T_107 = cam_inv_reset_val_0 | _T_106; // @[dec_decode_ctl.scala 298:44] + wire _GEN_52 = _T_107 ? 1'h0 : cam_0_valid; // @[dec_decode_ctl.scala 298:131] + wire _GEN_55 = _T_107 ? 1'h0 : cam_raw_0_bits_wb; // @[dec_decode_ctl.scala 298:131] + wire _GEN_56 = cam_wen[0] | _GEN_52; // @[dec_decode_ctl.scala 293:28] + wire _GEN_57 = cam_wen[0] ? 1'h0 : _GEN_55; // @[dec_decode_ctl.scala 293:28] + wire _T_110 = nonblock_load_valid_m_delay & _T_91; // @[dec_decode_ctl.scala 303:44] + wire _T_112 = _T_110 & cam_0_valid; // @[dec_decode_ctl.scala 303:113] + wire nonblock_load_write_0 = _T_94 & cam_raw_0_valid; // @[dec_decode_ctl.scala 312:71] + wire _T_117 = _GEN_130 == cam_raw_1_bits_tag; // @[dec_decode_ctl.scala 285:66] + wire _T_118 = io_dctl_busbuff_lsu_nonblock_load_inv_r & _T_117; // @[dec_decode_ctl.scala 285:45] + wire cam_inv_reset_val_1 = _T_118 & cam_1_valid; // @[dec_decode_ctl.scala 285:87] + reg [4:0] cam_raw_1_bits_rd; // @[dec_decode_ctl.scala 311:47] + wire _T_129 = r_d_bits_i0rd == cam_raw_1_bits_rd; // @[dec_decode_ctl.scala 298:85] + wire _T_130 = i0_wen_r & _T_129; // @[dec_decode_ctl.scala 298:64] + reg cam_raw_1_bits_wb; // @[dec_decode_ctl.scala 311:47] + wire _T_132 = _T_130 & cam_raw_1_bits_wb; // @[dec_decode_ctl.scala 298:105] + wire _T_133 = cam_inv_reset_val_1 | _T_132; // @[dec_decode_ctl.scala 298:44] + wire _GEN_63 = _T_133 ? 1'h0 : cam_1_valid; // @[dec_decode_ctl.scala 298:131] + wire _GEN_66 = _T_133 ? 1'h0 : cam_raw_1_bits_wb; // @[dec_decode_ctl.scala 298:131] + wire _GEN_67 = cam_wen[1] | _GEN_63; // @[dec_decode_ctl.scala 293:28] + wire _GEN_68 = cam_wen[1] ? 1'h0 : _GEN_66; // @[dec_decode_ctl.scala 293:28] + wire _T_136 = nonblock_load_valid_m_delay & _T_117; // @[dec_decode_ctl.scala 303:44] + wire _T_138 = _T_136 & cam_1_valid; // @[dec_decode_ctl.scala 303:113] + wire nonblock_load_write_1 = _T_120 & cam_raw_1_valid; // @[dec_decode_ctl.scala 312:71] + wire _T_143 = _GEN_130 == cam_raw_2_bits_tag; // @[dec_decode_ctl.scala 285:66] + wire _T_144 = io_dctl_busbuff_lsu_nonblock_load_inv_r & _T_143; // @[dec_decode_ctl.scala 285:45] + wire cam_inv_reset_val_2 = _T_144 & cam_2_valid; // @[dec_decode_ctl.scala 285:87] + reg [4:0] cam_raw_2_bits_rd; // @[dec_decode_ctl.scala 311:47] + wire _T_155 = r_d_bits_i0rd == cam_raw_2_bits_rd; // @[dec_decode_ctl.scala 298:85] + wire _T_156 = i0_wen_r & _T_155; // @[dec_decode_ctl.scala 298:64] + reg cam_raw_2_bits_wb; // @[dec_decode_ctl.scala 311:47] + wire _T_158 = _T_156 & cam_raw_2_bits_wb; // @[dec_decode_ctl.scala 298:105] + wire _T_159 = cam_inv_reset_val_2 | _T_158; // @[dec_decode_ctl.scala 298:44] + wire _GEN_74 = _T_159 ? 1'h0 : cam_2_valid; // @[dec_decode_ctl.scala 298:131] + wire _GEN_77 = _T_159 ? 1'h0 : cam_raw_2_bits_wb; // @[dec_decode_ctl.scala 298:131] + wire _GEN_78 = cam_wen[2] | _GEN_74; // @[dec_decode_ctl.scala 293:28] + wire _GEN_79 = cam_wen[2] ? 1'h0 : _GEN_77; // @[dec_decode_ctl.scala 293:28] + wire _T_162 = nonblock_load_valid_m_delay & _T_143; // @[dec_decode_ctl.scala 303:44] + wire _T_164 = _T_162 & cam_2_valid; // @[dec_decode_ctl.scala 303:113] + wire nonblock_load_write_2 = _T_146 & cam_raw_2_valid; // @[dec_decode_ctl.scala 312:71] + wire _T_169 = _GEN_130 == cam_raw_3_bits_tag; // @[dec_decode_ctl.scala 285:66] + wire _T_170 = io_dctl_busbuff_lsu_nonblock_load_inv_r & _T_169; // @[dec_decode_ctl.scala 285:45] + wire cam_inv_reset_val_3 = _T_170 & cam_3_valid; // @[dec_decode_ctl.scala 285:87] + reg [4:0] cam_raw_3_bits_rd; // @[dec_decode_ctl.scala 311:47] + wire _T_181 = r_d_bits_i0rd == cam_raw_3_bits_rd; // @[dec_decode_ctl.scala 298:85] + wire _T_182 = i0_wen_r & _T_181; // @[dec_decode_ctl.scala 298:64] + reg cam_raw_3_bits_wb; // @[dec_decode_ctl.scala 311:47] + wire _T_184 = _T_182 & cam_raw_3_bits_wb; // @[dec_decode_ctl.scala 298:105] + wire _T_185 = cam_inv_reset_val_3 | _T_184; // @[dec_decode_ctl.scala 298:44] + wire _GEN_85 = _T_185 ? 1'h0 : cam_3_valid; // @[dec_decode_ctl.scala 298:131] + wire _GEN_88 = _T_185 ? 1'h0 : cam_raw_3_bits_wb; // @[dec_decode_ctl.scala 298:131] + wire _GEN_89 = cam_wen[3] | _GEN_85; // @[dec_decode_ctl.scala 293:28] + wire _GEN_90 = cam_wen[3] ? 1'h0 : _GEN_88; // @[dec_decode_ctl.scala 293:28] + wire _T_188 = nonblock_load_valid_m_delay & _T_169; // @[dec_decode_ctl.scala 303:44] + wire _T_190 = _T_188 & cam_3_valid; // @[dec_decode_ctl.scala 303:113] + wire nonblock_load_write_3 = _T_172 & cam_raw_3_valid; // @[dec_decode_ctl.scala 312:71] + wire _T_195 = r_d_bits_i0rd == io_dec_nonblock_load_waddr; // @[dec_decode_ctl.scala 317:49] + wire nonblock_load_cancel = _T_195 & i0_wen_r; // @[dec_decode_ctl.scala 317:81] + wire _T_196 = nonblock_load_write_0 | nonblock_load_write_1; // @[dec_decode_ctl.scala 318:108] + wire _T_197 = _T_196 | nonblock_load_write_2; // @[dec_decode_ctl.scala 318:108] + wire _T_198 = _T_197 | nonblock_load_write_3; // @[dec_decode_ctl.scala 318:108] + wire _T_200 = io_dctl_busbuff_lsu_nonblock_load_data_valid & _T_198; // @[dec_decode_ctl.scala 318:77] + wire _T_201 = ~nonblock_load_cancel; // @[dec_decode_ctl.scala 318:122] + wire _T_203 = nonblock_load_rd == i0r_rs1; // @[dec_decode_ctl.scala 319:54] + wire _T_204 = _T_203 & io_dctl_busbuff_lsu_nonblock_load_valid_m; // @[dec_decode_ctl.scala 319:66] + wire _T_205 = _T_204 & io_decode_exu_dec_i0_rs1_en_d; // @[dec_decode_ctl.scala 319:110] + wire [4:0] i0r_rs2 = io_dec_i0_instr_d[24:20]; // @[dec_decode_ctl.scala 585:16] + wire _T_206 = nonblock_load_rd == i0r_rs2; // @[dec_decode_ctl.scala 319:161] + wire _T_207 = _T_206 & io_dctl_busbuff_lsu_nonblock_load_valid_m; // @[dec_decode_ctl.scala 319:173] + wire _T_208 = _T_207 & io_decode_exu_dec_i0_rs2_en_d; // @[dec_decode_ctl.scala 319:217] + wire i0_nonblock_boundary_stall = _T_205 | _T_208; // @[dec_decode_ctl.scala 319:142] wire [4:0] _T_210 = nonblock_load_write_0 ? 5'h1f : 5'h0; // @[Bitwise.scala 72:12] - wire [4:0] _T_211 = _T_210 & cam_raw_0_bits_rd; // @[dec_decode_ctl.scala 329:88] - wire _T_212 = io_decode_exu_dec_i0_rs1_en_d & cam_0_valid; // @[dec_decode_ctl.scala 329:137] - wire _T_213 = cam_raw_0_bits_rd == i0r_rs1; // @[dec_decode_ctl.scala 329:170] - wire _T_214 = _T_212 & _T_213; // @[dec_decode_ctl.scala 329:152] - wire _T_215 = io_decode_exu_dec_i0_rs2_en_d & cam_0_valid; // @[dec_decode_ctl.scala 329:214] - wire _T_216 = cam_raw_0_bits_rd == i0r_rs2; // @[dec_decode_ctl.scala 329:247] - wire _T_217 = _T_215 & _T_216; // @[dec_decode_ctl.scala 329:229] + wire [4:0] _T_211 = _T_210 & cam_raw_0_bits_rd; // @[dec_decode_ctl.scala 323:88] + wire _T_212 = io_decode_exu_dec_i0_rs1_en_d & cam_0_valid; // @[dec_decode_ctl.scala 323:137] + wire _T_213 = cam_raw_0_bits_rd == i0r_rs1; // @[dec_decode_ctl.scala 323:170] + wire _T_214 = _T_212 & _T_213; // @[dec_decode_ctl.scala 323:152] + wire _T_215 = io_decode_exu_dec_i0_rs2_en_d & cam_0_valid; // @[dec_decode_ctl.scala 323:214] + wire _T_216 = cam_raw_0_bits_rd == i0r_rs2; // @[dec_decode_ctl.scala 323:247] + wire _T_217 = _T_215 & _T_216; // @[dec_decode_ctl.scala 323:229] wire [4:0] _T_219 = nonblock_load_write_1 ? 5'h1f : 5'h0; // @[Bitwise.scala 72:12] - wire [4:0] _T_220 = _T_219 & cam_raw_1_bits_rd; // @[dec_decode_ctl.scala 329:88] - wire _T_221 = io_decode_exu_dec_i0_rs1_en_d & cam_1_valid; // @[dec_decode_ctl.scala 329:137] - wire _T_222 = cam_raw_1_bits_rd == i0r_rs1; // @[dec_decode_ctl.scala 329:170] - wire _T_223 = _T_221 & _T_222; // @[dec_decode_ctl.scala 329:152] - wire _T_224 = io_decode_exu_dec_i0_rs2_en_d & cam_1_valid; // @[dec_decode_ctl.scala 329:214] - wire _T_225 = cam_raw_1_bits_rd == i0r_rs2; // @[dec_decode_ctl.scala 329:247] - wire _T_226 = _T_224 & _T_225; // @[dec_decode_ctl.scala 329:229] + wire [4:0] _T_220 = _T_219 & cam_raw_1_bits_rd; // @[dec_decode_ctl.scala 323:88] + wire _T_221 = io_decode_exu_dec_i0_rs1_en_d & cam_1_valid; // @[dec_decode_ctl.scala 323:137] + wire _T_222 = cam_raw_1_bits_rd == i0r_rs1; // @[dec_decode_ctl.scala 323:170] + wire _T_223 = _T_221 & _T_222; // @[dec_decode_ctl.scala 323:152] + wire _T_224 = io_decode_exu_dec_i0_rs2_en_d & cam_1_valid; // @[dec_decode_ctl.scala 323:214] + wire _T_225 = cam_raw_1_bits_rd == i0r_rs2; // @[dec_decode_ctl.scala 323:247] + wire _T_226 = _T_224 & _T_225; // @[dec_decode_ctl.scala 323:229] wire [4:0] _T_228 = nonblock_load_write_2 ? 5'h1f : 5'h0; // @[Bitwise.scala 72:12] - wire [4:0] _T_229 = _T_228 & cam_raw_2_bits_rd; // @[dec_decode_ctl.scala 329:88] - wire _T_230 = io_decode_exu_dec_i0_rs1_en_d & cam_2_valid; // @[dec_decode_ctl.scala 329:137] - wire _T_231 = cam_raw_2_bits_rd == i0r_rs1; // @[dec_decode_ctl.scala 329:170] - wire _T_232 = _T_230 & _T_231; // @[dec_decode_ctl.scala 329:152] - wire _T_233 = io_decode_exu_dec_i0_rs2_en_d & cam_2_valid; // @[dec_decode_ctl.scala 329:214] - wire _T_234 = cam_raw_2_bits_rd == i0r_rs2; // @[dec_decode_ctl.scala 329:247] - wire _T_235 = _T_233 & _T_234; // @[dec_decode_ctl.scala 329:229] + wire [4:0] _T_229 = _T_228 & cam_raw_2_bits_rd; // @[dec_decode_ctl.scala 323:88] + wire _T_230 = io_decode_exu_dec_i0_rs1_en_d & cam_2_valid; // @[dec_decode_ctl.scala 323:137] + wire _T_231 = cam_raw_2_bits_rd == i0r_rs1; // @[dec_decode_ctl.scala 323:170] + wire _T_232 = _T_230 & _T_231; // @[dec_decode_ctl.scala 323:152] + wire _T_233 = io_decode_exu_dec_i0_rs2_en_d & cam_2_valid; // @[dec_decode_ctl.scala 323:214] + wire _T_234 = cam_raw_2_bits_rd == i0r_rs2; // @[dec_decode_ctl.scala 323:247] + wire _T_235 = _T_233 & _T_234; // @[dec_decode_ctl.scala 323:229] wire [4:0] _T_237 = nonblock_load_write_3 ? 5'h1f : 5'h0; // @[Bitwise.scala 72:12] - wire [4:0] _T_238 = _T_237 & cam_raw_3_bits_rd; // @[dec_decode_ctl.scala 329:88] - wire _T_239 = io_decode_exu_dec_i0_rs1_en_d & cam_3_valid; // @[dec_decode_ctl.scala 329:137] - wire _T_240 = cam_raw_3_bits_rd == i0r_rs1; // @[dec_decode_ctl.scala 329:170] - wire _T_241 = _T_239 & _T_240; // @[dec_decode_ctl.scala 329:152] - wire _T_242 = io_decode_exu_dec_i0_rs2_en_d & cam_3_valid; // @[dec_decode_ctl.scala 329:214] - wire _T_243 = cam_raw_3_bits_rd == i0r_rs2; // @[dec_decode_ctl.scala 329:247] - wire _T_244 = _T_242 & _T_243; // @[dec_decode_ctl.scala 329:229] - wire [4:0] _T_245 = _T_211 | _T_220; // @[dec_decode_ctl.scala 330:69] - wire [4:0] _T_246 = _T_245 | _T_229; // @[dec_decode_ctl.scala 330:69] - wire _T_247 = _T_214 | _T_223; // @[dec_decode_ctl.scala 330:102] - wire _T_248 = _T_247 | _T_232; // @[dec_decode_ctl.scala 330:102] - wire ld_stall_1 = _T_248 | _T_241; // @[dec_decode_ctl.scala 330:102] - wire _T_249 = _T_217 | _T_226; // @[dec_decode_ctl.scala 330:134] - wire _T_250 = _T_249 | _T_235; // @[dec_decode_ctl.scala 330:134] - wire ld_stall_2 = _T_250 | _T_244; // @[dec_decode_ctl.scala 330:134] - wire _T_251 = ld_stall_1 | ld_stall_2; // @[dec_decode_ctl.scala 332:38] - wire i0_nonblock_load_stall = _T_251 | i0_nonblock_boundary_stall; // @[dec_decode_ctl.scala 332:51] - wire _T_253 = ~i0_predict_br; // @[dec_decode_ctl.scala 341:34] + wire [4:0] _T_238 = _T_237 & cam_raw_3_bits_rd; // @[dec_decode_ctl.scala 323:88] + wire _T_239 = io_decode_exu_dec_i0_rs1_en_d & cam_3_valid; // @[dec_decode_ctl.scala 323:137] + wire _T_240 = cam_raw_3_bits_rd == i0r_rs1; // @[dec_decode_ctl.scala 323:170] + wire _T_241 = _T_239 & _T_240; // @[dec_decode_ctl.scala 323:152] + wire _T_242 = io_decode_exu_dec_i0_rs2_en_d & cam_3_valid; // @[dec_decode_ctl.scala 323:214] + wire _T_243 = cam_raw_3_bits_rd == i0r_rs2; // @[dec_decode_ctl.scala 323:247] + wire _T_244 = _T_242 & _T_243; // @[dec_decode_ctl.scala 323:229] + wire [4:0] _T_245 = _T_211 | _T_220; // @[dec_decode_ctl.scala 324:69] + wire [4:0] _T_246 = _T_245 | _T_229; // @[dec_decode_ctl.scala 324:69] + wire _T_247 = _T_214 | _T_223; // @[dec_decode_ctl.scala 324:102] + wire _T_248 = _T_247 | _T_232; // @[dec_decode_ctl.scala 324:102] + wire ld_stall_1 = _T_248 | _T_241; // @[dec_decode_ctl.scala 324:102] + wire _T_249 = _T_217 | _T_226; // @[dec_decode_ctl.scala 324:134] + wire _T_250 = _T_249 | _T_235; // @[dec_decode_ctl.scala 324:134] + wire ld_stall_2 = _T_250 | _T_244; // @[dec_decode_ctl.scala 324:134] + wire _T_251 = ld_stall_1 | ld_stall_2; // @[dec_decode_ctl.scala 326:38] + wire i0_nonblock_load_stall = _T_251 | i0_nonblock_boundary_stall; // @[dec_decode_ctl.scala 326:51] + wire _T_253 = ~i0_predict_br; // @[dec_decode_ctl.scala 335:34] wire [3:0] _T_255 = i0_legal_decode_d ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire csr_read = i0_dp_csr_read & i0_legal_decode_d; // @[dec_decode_ctl.scala 425:36] - wire _T_256 = csr_read & io_dec_csr_wen_unq_d; // @[dec_decode_ctl.scala 353:16] - wire _T_258 = ~csr_read; // @[dec_decode_ctl.scala 354:6] - wire _T_259 = _T_258 & io_dec_csr_wen_unq_d; // @[dec_decode_ctl.scala 354:16] - wire _T_261 = ~io_dec_csr_wen_unq_d; // @[dec_decode_ctl.scala 355:18] - wire _T_262 = csr_read & _T_261; // @[dec_decode_ctl.scala 355:16] + wire csr_read = i0_dp_csr_read & i0_legal_decode_d; // @[dec_decode_ctl.scala 419:36] + wire _T_256 = csr_read & io_dec_csr_wen_unq_d; // @[dec_decode_ctl.scala 347:16] + wire _T_258 = ~csr_read; // @[dec_decode_ctl.scala 348:6] + wire _T_259 = _T_258 & io_dec_csr_wen_unq_d; // @[dec_decode_ctl.scala 348:16] + wire _T_261 = ~io_dec_csr_wen_unq_d; // @[dec_decode_ctl.scala 349:18] + wire _T_262 = csr_read & _T_261; // @[dec_decode_ctl.scala 349:16] wire [3:0] _T_264 = i0_dp_mul ? 4'h1 : 4'h0; // @[Mux.scala 98:16] wire [3:0] _T_265 = i0_dp_load ? 4'h2 : _T_264; // @[Mux.scala 98:16] wire [3:0] _T_266 = i0_dp_store ? 4'h3 : _T_265; // @[Mux.scala 98:16] @@ -46787,143 +46787,143 @@ module dec_decode_ctl( wire [3:0] _T_275 = i0_dp_mret ? 4'hc : _T_274; // @[Mux.scala 98:16] wire [3:0] _T_276 = i0_dp_condbr ? 4'hd : _T_275; // @[Mux.scala 98:16] wire [3:0] _T_277 = i0_dp_jal ? 4'he : _T_276; // @[Mux.scala 98:16] - reg lsu_idle; // @[dec_decode_ctl.scala 366:45] - wire _T_333 = ~i0_pcall_case; // @[dec_decode_ctl.scala 390:35] - wire _T_334 = i0_dp_jal & _T_333; // @[dec_decode_ctl.scala 390:32] - wire _T_335 = ~i0_pja_case; // @[dec_decode_ctl.scala 390:52] - wire _T_336 = _T_334 & _T_335; // @[dec_decode_ctl.scala 390:50] - wire _T_337 = ~i0_pret_case; // @[dec_decode_ctl.scala 390:67] - reg _T_339; // @[dec_decode_ctl.scala 402:69] - wire lsu_decode_d = i0_legal_decode_d & i0_dp_lsu; // @[dec_decode_ctl.scala 544:40] - wire _T_905 = i0_dp_load | i0_dp_store; // @[dec_decode_ctl.scala 758:43] + reg lsu_idle; // @[dec_decode_ctl.scala 360:45] + wire _T_333 = ~i0_pcall_case; // @[dec_decode_ctl.scala 384:35] + wire _T_334 = i0_dp_jal & _T_333; // @[dec_decode_ctl.scala 384:32] + wire _T_335 = ~i0_pja_case; // @[dec_decode_ctl.scala 384:52] + wire _T_336 = _T_334 & _T_335; // @[dec_decode_ctl.scala 384:50] + wire _T_337 = ~i0_pret_case; // @[dec_decode_ctl.scala 384:67] + reg _T_339; // @[dec_decode_ctl.scala 396:69] + wire lsu_decode_d = i0_legal_decode_d & i0_dp_lsu; // @[dec_decode_ctl.scala 538:40] + wire _T_907 = i0_dp_load | i0_dp_store; // @[dec_decode_ctl.scala 752:43] reg x_d_bits_i0v; // @[lib.scala 384:16] - wire _T_879 = io_decode_exu_dec_i0_rs1_en_d & x_d_bits_i0v; // @[dec_decode_ctl.scala 738:59] - wire _T_880 = x_d_bits_i0rd == i0r_rs1; // @[dec_decode_ctl.scala 738:91] - wire i0_rs1_depend_i0_x = _T_879 & _T_880; // @[dec_decode_ctl.scala 738:74] - wire _T_881 = io_decode_exu_dec_i0_rs1_en_d & r_d_bits_i0v; // @[dec_decode_ctl.scala 739:59] - wire _T_882 = r_d_bits_i0rd == i0r_rs1; // @[dec_decode_ctl.scala 739:91] - wire i0_rs1_depend_i0_r = _T_881 & _T_882; // @[dec_decode_ctl.scala 739:74] - wire [1:0] _T_894 = i0_rs1_depend_i0_r ? 2'h2 : 2'h0; // @[dec_decode_ctl.scala 745:63] - wire [1:0] i0_rs1_depth_d = i0_rs1_depend_i0_x ? 2'h1 : _T_894; // @[dec_decode_ctl.scala 745:24] - wire _T_907 = _T_905 & i0_rs1_depth_d[0]; // @[dec_decode_ctl.scala 758:58] - reg i0_x_c_load; // @[Reg.scala 15:16] - reg i0_r_c_load; // @[Reg.scala 15:16] - wire _T_890_load = i0_rs1_depend_i0_r & i0_r_c_load; // @[dec_decode_ctl.scala 744:61] - wire i0_rs1_class_d_load = i0_rs1_depend_i0_x ? i0_x_c_load : _T_890_load; // @[dec_decode_ctl.scala 744:24] - wire load_ldst_bypass_d = _T_907 & i0_rs1_class_d_load; // @[dec_decode_ctl.scala 758:78] - wire _T_883 = io_decode_exu_dec_i0_rs2_en_d & x_d_bits_i0v; // @[dec_decode_ctl.scala 741:59] - wire _T_884 = x_d_bits_i0rd == i0r_rs2; // @[dec_decode_ctl.scala 741:91] - wire i0_rs2_depend_i0_x = _T_883 & _T_884; // @[dec_decode_ctl.scala 741:74] - wire _T_885 = io_decode_exu_dec_i0_rs2_en_d & r_d_bits_i0v; // @[dec_decode_ctl.scala 742:59] - wire _T_886 = r_d_bits_i0rd == i0r_rs2; // @[dec_decode_ctl.scala 742:91] - wire i0_rs2_depend_i0_r = _T_885 & _T_886; // @[dec_decode_ctl.scala 742:74] - wire [1:0] _T_903 = i0_rs2_depend_i0_r ? 2'h2 : 2'h0; // @[dec_decode_ctl.scala 747:63] - wire [1:0] i0_rs2_depth_d = i0_rs2_depend_i0_x ? 2'h1 : _T_903; // @[dec_decode_ctl.scala 747:24] - wire _T_910 = i0_dp_store & i0_rs2_depth_d[0]; // @[dec_decode_ctl.scala 759:43] - wire _T_899_load = i0_rs2_depend_i0_r & i0_r_c_load; // @[dec_decode_ctl.scala 746:61] - wire i0_rs2_class_d_load = i0_rs2_depend_i0_x ? i0_x_c_load : _T_899_load; // @[dec_decode_ctl.scala 746:24] - wire store_data_bypass_d = _T_910 & i0_rs2_class_d_load; // @[dec_decode_ctl.scala 759:63] - wire _T_349 = i0_dp_csr_clr | i0_dp_csr_set; // @[dec_decode_ctl.scala 433:42] + wire _T_881 = io_decode_exu_dec_i0_rs1_en_d & x_d_bits_i0v; // @[dec_decode_ctl.scala 732:59] + wire _T_882 = x_d_bits_i0rd == i0r_rs1; // @[dec_decode_ctl.scala 732:91] + wire i0_rs1_depend_i0_x = _T_881 & _T_882; // @[dec_decode_ctl.scala 732:74] + wire _T_883 = io_decode_exu_dec_i0_rs1_en_d & r_d_bits_i0v; // @[dec_decode_ctl.scala 733:59] + wire _T_884 = r_d_bits_i0rd == i0r_rs1; // @[dec_decode_ctl.scala 733:91] + wire i0_rs1_depend_i0_r = _T_883 & _T_884; // @[dec_decode_ctl.scala 733:74] + wire [1:0] _T_896 = i0_rs1_depend_i0_r ? 2'h2 : 2'h0; // @[dec_decode_ctl.scala 739:63] + wire [1:0] i0_rs1_depth_d = i0_rs1_depend_i0_x ? 2'h1 : _T_896; // @[dec_decode_ctl.scala 739:24] + wire _T_909 = _T_907 & i0_rs1_depth_d[0]; // @[dec_decode_ctl.scala 752:58] + reg i0_x_c_load; // @[Reg.scala 27:20] + reg i0_r_c_load; // @[Reg.scala 27:20] + wire _T_892_load = i0_rs1_depend_i0_r & i0_r_c_load; // @[dec_decode_ctl.scala 738:61] + wire i0_rs1_class_d_load = i0_rs1_depend_i0_x ? i0_x_c_load : _T_892_load; // @[dec_decode_ctl.scala 738:24] + wire load_ldst_bypass_d = _T_909 & i0_rs1_class_d_load; // @[dec_decode_ctl.scala 752:78] + wire _T_885 = io_decode_exu_dec_i0_rs2_en_d & x_d_bits_i0v; // @[dec_decode_ctl.scala 735:59] + wire _T_886 = x_d_bits_i0rd == i0r_rs2; // @[dec_decode_ctl.scala 735:91] + wire i0_rs2_depend_i0_x = _T_885 & _T_886; // @[dec_decode_ctl.scala 735:74] + wire _T_887 = io_decode_exu_dec_i0_rs2_en_d & r_d_bits_i0v; // @[dec_decode_ctl.scala 736:59] + wire _T_888 = r_d_bits_i0rd == i0r_rs2; // @[dec_decode_ctl.scala 736:91] + wire i0_rs2_depend_i0_r = _T_887 & _T_888; // @[dec_decode_ctl.scala 736:74] + wire [1:0] _T_905 = i0_rs2_depend_i0_r ? 2'h2 : 2'h0; // @[dec_decode_ctl.scala 741:63] + wire [1:0] i0_rs2_depth_d = i0_rs2_depend_i0_x ? 2'h1 : _T_905; // @[dec_decode_ctl.scala 741:24] + wire _T_912 = i0_dp_store & i0_rs2_depth_d[0]; // @[dec_decode_ctl.scala 753:43] + wire _T_901_load = i0_rs2_depend_i0_r & i0_r_c_load; // @[dec_decode_ctl.scala 740:61] + wire i0_rs2_class_d_load = i0_rs2_depend_i0_x ? i0_x_c_load : _T_901_load; // @[dec_decode_ctl.scala 740:24] + wire store_data_bypass_d = _T_912 & i0_rs2_class_d_load; // @[dec_decode_ctl.scala 753:63] + wire _T_349 = i0_dp_csr_clr | i0_dp_csr_set; // @[dec_decode_ctl.scala 427:42] reg r_d_bits_csrwen; // @[lib.scala 384:16] reg r_d_valid; // @[lib.scala 384:16] - wire _T_352 = r_d_bits_csrwen & r_d_valid; // @[dec_decode_ctl.scala 441:39] + wire _T_352 = r_d_bits_csrwen & r_d_valid; // @[dec_decode_ctl.scala 435:39] reg [11:0] r_d_bits_csrwaddr; // @[lib.scala 384:16] - wire _T_355 = r_d_bits_csrwaddr == 12'h300; // @[dec_decode_ctl.scala 444:50] - wire _T_356 = r_d_bits_csrwaddr == 12'h304; // @[dec_decode_ctl.scala 444:85] - wire _T_357 = _T_355 | _T_356; // @[dec_decode_ctl.scala 444:64] - wire _T_358 = _T_357 & r_d_bits_csrwen; // @[dec_decode_ctl.scala 444:100] - wire _T_359 = _T_358 & r_d_valid; // @[dec_decode_ctl.scala 444:118] - wire _T_360 = ~io_dec_tlu_i0_kill_writeb_wb; // @[dec_decode_ctl.scala 444:132] - reg csr_read_x; // @[dec_decode_ctl.scala 446:52] - reg csr_clr_x; // @[dec_decode_ctl.scala 447:51] - reg csr_set_x; // @[dec_decode_ctl.scala 448:51] - reg csr_write_x; // @[dec_decode_ctl.scala 449:53] - reg csr_imm_x; // @[dec_decode_ctl.scala 450:51] - wire i0_x_data_en = i0_pipe_en[3] | io_clk_override; // @[dec_decode_ctl.scala 627:50] + wire _T_355 = r_d_bits_csrwaddr == 12'h300; // @[dec_decode_ctl.scala 438:50] + wire _T_356 = r_d_bits_csrwaddr == 12'h304; // @[dec_decode_ctl.scala 438:85] + wire _T_357 = _T_355 | _T_356; // @[dec_decode_ctl.scala 438:64] + wire _T_358 = _T_357 & r_d_bits_csrwen; // @[dec_decode_ctl.scala 438:100] + wire _T_359 = _T_358 & r_d_valid; // @[dec_decode_ctl.scala 438:118] + wire _T_360 = ~io_dec_tlu_i0_kill_writeb_wb; // @[dec_decode_ctl.scala 438:132] + reg csr_read_x; // @[dec_decode_ctl.scala 440:52] + reg csr_clr_x; // @[dec_decode_ctl.scala 441:51] + reg csr_set_x; // @[dec_decode_ctl.scala 442:51] + reg csr_write_x; // @[dec_decode_ctl.scala 443:53] + reg csr_imm_x; // @[dec_decode_ctl.scala 444:51] + wire i0_x_data_en = i0_pipe_en[3] | io_clk_override; // @[dec_decode_ctl.scala 621:50] reg [4:0] csrimm_x; // @[lib.scala 374:16] reg [31:0] csr_rddata_x; // @[lib.scala 374:16] wire [31:0] _T_394 = {27'h0,csrimm_x}; // @[Cat.scala 29:58] - wire _T_396 = ~csr_imm_x; // @[dec_decode_ctl.scala 458:5] + wire _T_396 = ~csr_imm_x; // @[dec_decode_ctl.scala 452:5] wire [31:0] _T_397 = csr_imm_x ? _T_394 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_398 = _T_396 ? io_decode_exu_exu_csr_rs1_x : 32'h0; // @[Mux.scala 27:72] wire [31:0] csr_mask_x = _T_397 | _T_398; // @[Mux.scala 27:72] - wire [31:0] _T_400 = ~csr_mask_x; // @[dec_decode_ctl.scala 461:38] - wire [31:0] _T_401 = csr_rddata_x & _T_400; // @[dec_decode_ctl.scala 461:35] - wire [31:0] _T_402 = csr_rddata_x | csr_mask_x; // @[dec_decode_ctl.scala 462:35] + wire [31:0] _T_400 = ~csr_mask_x; // @[dec_decode_ctl.scala 455:38] + wire [31:0] _T_401 = csr_rddata_x & _T_400; // @[dec_decode_ctl.scala 455:35] + wire [31:0] _T_402 = csr_rddata_x | csr_mask_x; // @[dec_decode_ctl.scala 456:35] wire [31:0] _T_403 = csr_clr_x ? _T_401 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_404 = csr_set_x ? _T_402 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_405 = csr_write_x ? csr_mask_x : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_406 = _T_403 | _T_404; // @[Mux.scala 27:72] wire [31:0] write_csr_data_x = _T_406 | _T_405; // @[Mux.scala 27:72] - wire _T_421 = ~tlu_wr_pause_r1; // @[dec_decode_ctl.scala 472:44] - wire _T_422 = ~tlu_wr_pause_r2; // @[dec_decode_ctl.scala 472:64] - wire _T_423 = _T_421 & _T_422; // @[dec_decode_ctl.scala 472:61] - wire [31:0] _T_426 = write_csr_data - 32'h1; // @[dec_decode_ctl.scala 475:59] - wire _T_428 = csr_clr_x | csr_set_x; // @[dec_decode_ctl.scala 477:34] - wire _T_429 = _T_428 | csr_write_x; // @[dec_decode_ctl.scala 477:46] - wire _T_430 = _T_429 & csr_read_x; // @[dec_decode_ctl.scala 477:61] - wire _T_431 = _T_430 | io_dec_tlu_wr_pause_r; // @[dec_decode_ctl.scala 477:75] + wire _T_421 = ~tlu_wr_pause_r1; // @[dec_decode_ctl.scala 466:44] + wire _T_422 = ~tlu_wr_pause_r2; // @[dec_decode_ctl.scala 466:64] + wire _T_423 = _T_421 & _T_422; // @[dec_decode_ctl.scala 466:61] + wire [31:0] _T_426 = write_csr_data - 32'h1; // @[dec_decode_ctl.scala 469:59] + wire _T_428 = csr_clr_x | csr_set_x; // @[dec_decode_ctl.scala 471:34] + wire _T_429 = _T_428 | csr_write_x; // @[dec_decode_ctl.scala 471:46] + wire _T_430 = _T_429 & csr_read_x; // @[dec_decode_ctl.scala 471:61] + wire _T_431 = _T_430 | io_dec_tlu_wr_pause_r; // @[dec_decode_ctl.scala 471:75] reg r_d_bits_csrwonly; // @[lib.scala 384:16] - wire _T_767 = r_d_bits_i0v & r_d_bits_i0load; // @[dec_decode_ctl.scala 680:42] + wire _T_769 = r_d_bits_i0v & r_d_bits_i0load; // @[dec_decode_ctl.scala 674:42] reg [31:0] i0_result_r_raw; // @[lib.scala 374:16] - wire [31:0] i0_result_corr_r = _T_767 ? io_lsu_result_corr_r : i0_result_r_raw; // @[dec_decode_ctl.scala 680:27] + wire [31:0] i0_result_corr_r = _T_769 ? io_lsu_result_corr_r : i0_result_r_raw; // @[dec_decode_ctl.scala 674:27] reg x_d_bits_csrwonly; // @[lib.scala 384:16] - wire _T_435 = x_d_bits_csrwonly | r_d_bits_csrwonly; // @[dec_decode_ctl.scala 486:43] + wire _T_435 = x_d_bits_csrwonly | r_d_bits_csrwonly; // @[dec_decode_ctl.scala 480:43] reg wbd_bits_csrwonly; // @[lib.scala 384:16] - wire prior_csr_write = _T_435 | wbd_bits_csrwonly; // @[dec_decode_ctl.scala 486:63] - wire debug_fence_raw = io_dec_debug_fence_d & io_dbg_dctl_dbg_cmd_wrdata[1]; // @[dec_decode_ctl.scala 489:48] - wire debug_fence = debug_fence_raw | debug_fence_i; // @[dec_decode_ctl.scala 490:40] - wire _T_439 = i0_dp_presync | io_dec_tlu_presync_d; // @[dec_decode_ctl.scala 493:34] - wire _T_440 = _T_439 | debug_fence_i; // @[dec_decode_ctl.scala 493:57] - wire _T_441 = _T_440 | debug_fence_raw; // @[dec_decode_ctl.scala 493:73] - wire i0_presync = _T_441 | io_dec_tlu_pipelining_disable; // @[dec_decode_ctl.scala 493:91] + wire prior_csr_write = _T_435 | wbd_bits_csrwonly; // @[dec_decode_ctl.scala 480:63] + wire debug_fence_raw = io_dec_debug_fence_d & io_dbg_dctl_dbg_cmd_wrdata[1]; // @[dec_decode_ctl.scala 483:48] + wire debug_fence = debug_fence_raw | debug_fence_i; // @[dec_decode_ctl.scala 484:40] + wire _T_439 = i0_dp_presync | io_dec_tlu_presync_d; // @[dec_decode_ctl.scala 487:34] + wire _T_440 = _T_439 | debug_fence_i; // @[dec_decode_ctl.scala 487:57] + wire _T_441 = _T_440 | debug_fence_raw; // @[dec_decode_ctl.scala 487:73] + wire i0_presync = _T_441 | io_dec_tlu_pipelining_disable; // @[dec_decode_ctl.scala 487:91] wire [31:0] _T_465 = {16'h0,io_dec_aln_ifu_i0_cinst}; // @[Cat.scala 29:58] - wire _T_467 = ~illegal_lockout; // @[dec_decode_ctl.scala 505:44] + wire _T_467 = ~illegal_lockout; // @[dec_decode_ctl.scala 499:44] reg [31:0] _T_468; // @[lib.scala 374:16] - wire i0_div_prior_div_stall = i0_dp_div & io_dec_div_active; // @[dec_decode_ctl.scala 509:42] - wire _T_473 = i0_dp_csr_read & prior_csr_write; // @[dec_decode_ctl.scala 511:40] - wire _T_474 = _T_473 | io_decode_exu_dec_extint_stall; // @[dec_decode_ctl.scala 511:59] - wire _T_475 = _T_474 | pause_stall; // @[dec_decode_ctl.scala 511:92] - wire _T_476 = _T_475 | leak1_i0_stall; // @[dec_decode_ctl.scala 511:106] - wire _T_477 = _T_476 | io_dec_tlu_debug_stall; // @[dec_decode_ctl.scala 512:20] - wire _T_478 = _T_477 | postsync_stall; // @[dec_decode_ctl.scala 512:45] - wire prior_inflight = x_d_valid | r_d_valid; // @[dec_decode_ctl.scala 534:41] - wire prior_inflight_eff = i0_dp_div ? x_d_valid : prior_inflight; // @[dec_decode_ctl.scala 535:31] - wire presync_stall = i0_presync & prior_inflight_eff; // @[dec_decode_ctl.scala 537:37] - wire _T_479 = _T_478 | presync_stall; // @[dec_decode_ctl.scala 512:62] - wire _T_480 = i0_dp_fence | debug_fence; // @[dec_decode_ctl.scala 513:19] - wire _T_481 = ~lsu_idle; // @[dec_decode_ctl.scala 513:36] - wire _T_482 = _T_480 & _T_481; // @[dec_decode_ctl.scala 513:34] - wire _T_483 = _T_479 | _T_482; // @[dec_decode_ctl.scala 512:79] - wire _T_484 = _T_483 | i0_nonblock_load_stall; // @[dec_decode_ctl.scala 513:47] - wire _T_825 = io_decode_exu_dec_i0_rs1_en_d & io_dec_div_active; // @[dec_decode_ctl.scala 708:60] - wire _T_826 = io_div_waddr_wb == i0r_rs1; // @[dec_decode_ctl.scala 708:99] - wire _T_827 = _T_825 & _T_826; // @[dec_decode_ctl.scala 708:80] - wire _T_828 = io_decode_exu_dec_i0_rs2_en_d & io_dec_div_active; // @[dec_decode_ctl.scala 709:36] - wire _T_829 = io_div_waddr_wb == i0r_rs2; // @[dec_decode_ctl.scala 709:75] - wire _T_830 = _T_828 & _T_829; // @[dec_decode_ctl.scala 709:56] - wire i0_nonblock_div_stall = _T_827 | _T_830; // @[dec_decode_ctl.scala 708:113] - wire _T_486 = _T_484 | i0_nonblock_div_stall; // @[dec_decode_ctl.scala 514:21] - wire i0_block_raw_d = _T_486 | i0_div_prior_div_stall; // @[dec_decode_ctl.scala 514:45] - wire _T_487 = io_lsu_store_stall_any | io_dctl_dma_dma_dccm_stall_any; // @[dec_decode_ctl.scala 516:65] - wire i0_store_stall_d = i0_dp_store & _T_487; // @[dec_decode_ctl.scala 516:39] - wire _T_488 = io_lsu_load_stall_any | io_dctl_dma_dma_dccm_stall_any; // @[dec_decode_ctl.scala 517:63] - wire i0_load_stall_d = i0_dp_load & _T_488; // @[dec_decode_ctl.scala 517:38] - wire _T_489 = i0_block_raw_d | i0_store_stall_d; // @[dec_decode_ctl.scala 518:38] - wire i0_block_d = _T_489 | i0_load_stall_d; // @[dec_decode_ctl.scala 518:57] - wire _T_490 = ~i0_block_d; // @[dec_decode_ctl.scala 522:54] - wire _T_491 = io_dec_ib0_valid_d & _T_490; // @[dec_decode_ctl.scala 522:52] - wire _T_493 = _T_491 & _T_280; // @[dec_decode_ctl.scala 522:69] - wire _T_496 = ~i0_block_raw_d; // @[dec_decode_ctl.scala 523:46] - wire _T_497 = io_dec_ib0_valid_d & _T_496; // @[dec_decode_ctl.scala 523:44] - wire _T_499 = _T_497 & _T_280; // @[dec_decode_ctl.scala 523:61] - wire i0_exudecode_d = _T_499 & _T_470; // @[dec_decode_ctl.scala 523:89] - wire i0_exulegal_decode_d = i0_exudecode_d & i0_legal; // @[dec_decode_ctl.scala 524:46] - wire _T_501 = ~io_dec_aln_dec_i0_decode_d; // @[dec_decode_ctl.scala 528:51] - wire _T_520 = i0_dp_fence_i | debug_fence_i; // @[dec_decode_ctl.scala 556:44] + wire i0_div_prior_div_stall = i0_dp_div & io_dec_div_active; // @[dec_decode_ctl.scala 503:42] + wire _T_473 = i0_dp_csr_read & prior_csr_write; // @[dec_decode_ctl.scala 505:40] + wire _T_474 = _T_473 | io_decode_exu_dec_extint_stall; // @[dec_decode_ctl.scala 505:59] + wire _T_475 = _T_474 | pause_stall; // @[dec_decode_ctl.scala 505:92] + wire _T_476 = _T_475 | leak1_i0_stall; // @[dec_decode_ctl.scala 505:106] + wire _T_477 = _T_476 | io_dec_tlu_debug_stall; // @[dec_decode_ctl.scala 506:20] + wire _T_478 = _T_477 | postsync_stall; // @[dec_decode_ctl.scala 506:45] + wire prior_inflight = x_d_valid | r_d_valid; // @[dec_decode_ctl.scala 528:41] + wire prior_inflight_eff = i0_dp_div ? x_d_valid : prior_inflight; // @[dec_decode_ctl.scala 529:31] + wire presync_stall = i0_presync & prior_inflight_eff; // @[dec_decode_ctl.scala 531:37] + wire _T_479 = _T_478 | presync_stall; // @[dec_decode_ctl.scala 506:62] + wire _T_480 = i0_dp_fence | debug_fence; // @[dec_decode_ctl.scala 507:19] + wire _T_481 = ~lsu_idle; // @[dec_decode_ctl.scala 507:36] + wire _T_482 = _T_480 & _T_481; // @[dec_decode_ctl.scala 507:34] + wire _T_483 = _T_479 | _T_482; // @[dec_decode_ctl.scala 506:79] + wire _T_484 = _T_483 | i0_nonblock_load_stall; // @[dec_decode_ctl.scala 507:47] + wire _T_827 = io_decode_exu_dec_i0_rs1_en_d & io_dec_div_active; // @[dec_decode_ctl.scala 702:60] + wire _T_828 = io_div_waddr_wb == i0r_rs1; // @[dec_decode_ctl.scala 702:99] + wire _T_829 = _T_827 & _T_828; // @[dec_decode_ctl.scala 702:80] + wire _T_830 = io_decode_exu_dec_i0_rs2_en_d & io_dec_div_active; // @[dec_decode_ctl.scala 703:36] + wire _T_831 = io_div_waddr_wb == i0r_rs2; // @[dec_decode_ctl.scala 703:75] + wire _T_832 = _T_830 & _T_831; // @[dec_decode_ctl.scala 703:56] + wire i0_nonblock_div_stall = _T_829 | _T_832; // @[dec_decode_ctl.scala 702:113] + wire _T_486 = _T_484 | i0_nonblock_div_stall; // @[dec_decode_ctl.scala 508:21] + wire i0_block_raw_d = _T_486 | i0_div_prior_div_stall; // @[dec_decode_ctl.scala 508:45] + wire _T_487 = io_lsu_store_stall_any | io_dctl_dma_dma_dccm_stall_any; // @[dec_decode_ctl.scala 510:65] + wire i0_store_stall_d = i0_dp_store & _T_487; // @[dec_decode_ctl.scala 510:39] + wire _T_488 = io_lsu_load_stall_any | io_dctl_dma_dma_dccm_stall_any; // @[dec_decode_ctl.scala 511:63] + wire i0_load_stall_d = i0_dp_load & _T_488; // @[dec_decode_ctl.scala 511:38] + wire _T_489 = i0_block_raw_d | i0_store_stall_d; // @[dec_decode_ctl.scala 512:38] + wire i0_block_d = _T_489 | i0_load_stall_d; // @[dec_decode_ctl.scala 512:57] + wire _T_490 = ~i0_block_d; // @[dec_decode_ctl.scala 516:54] + wire _T_491 = io_dec_ib0_valid_d & _T_490; // @[dec_decode_ctl.scala 516:52] + wire _T_493 = _T_491 & _T_280; // @[dec_decode_ctl.scala 516:69] + wire _T_496 = ~i0_block_raw_d; // @[dec_decode_ctl.scala 517:46] + wire _T_497 = io_dec_ib0_valid_d & _T_496; // @[dec_decode_ctl.scala 517:44] + wire _T_499 = _T_497 & _T_280; // @[dec_decode_ctl.scala 517:61] + wire i0_exudecode_d = _T_499 & _T_470; // @[dec_decode_ctl.scala 517:89] + wire i0_exulegal_decode_d = i0_exudecode_d & i0_legal; // @[dec_decode_ctl.scala 518:46] + wire _T_501 = ~io_dec_aln_dec_i0_decode_d; // @[dec_decode_ctl.scala 522:51] + wire _T_520 = i0_dp_fence_i | debug_fence_i; // @[dec_decode_ctl.scala 550:44] wire [3:0] _T_525 = {io_dec_aln_dec_i0_decode_d,io_dec_aln_dec_i0_decode_d,io_dec_aln_dec_i0_decode_d,io_dec_aln_dec_i0_decode_d}; // @[Cat.scala 29:58] - wire _T_707 = |i0_pipe_en[3:2]; // @[dec_decode_ctl.scala 624:49] - wire i0_x_ctl_en = _T_707 | io_clk_override; // @[dec_decode_ctl.scala 624:53] + wire _T_709 = |i0_pipe_en[3:2]; // @[dec_decode_ctl.scala 618:49] + wire i0_x_ctl_en = _T_709 | io_clk_override; // @[dec_decode_ctl.scala 618:53] reg x_t_legal; // @[lib.scala 384:16] reg x_t_icaf; // @[lib.scala 384:16] reg x_t_icaf_f1; // @[lib.scala 384:16] @@ -46933,7 +46933,7 @@ module dec_decode_ctl( reg [3:0] x_t_pmu_i0_itype; // @[lib.scala 384:16] reg x_t_pmu_i0_br_unpred; // @[lib.scala 384:16] wire [3:0] _T_533 = {io_dec_tlu_flush_lower_wb,io_dec_tlu_flush_lower_wb,io_dec_tlu_flush_lower_wb,io_dec_tlu_flush_lower_wb}; // @[Cat.scala 29:58] - wire [3:0] _T_534 = ~_T_533; // @[dec_decode_ctl.scala 569:39] + wire [3:0] _T_534 = ~_T_533; // @[dec_decode_ctl.scala 563:39] reg r_t_legal; // @[lib.scala 384:16] reg r_t_icaf; // @[lib.scala 384:16] reg r_t_icaf_f1; // @[lib.scala 384:16] @@ -46942,22 +46942,22 @@ module dec_decode_ctl( reg [3:0] r_t_i0trigger; // @[lib.scala 384:16] reg [3:0] r_t_pmu_i0_itype; // @[lib.scala 384:16] reg r_t_pmu_i0_br_unpred; // @[lib.scala 384:16] - reg [3:0] lsu_trigger_match_r; // @[dec_decode_ctl.scala 572:36] - reg lsu_pmu_misaligned_r; // @[dec_decode_ctl.scala 573:37] + reg [3:0] lsu_trigger_match_r; // @[dec_decode_ctl.scala 566:36] + reg lsu_pmu_misaligned_r; // @[dec_decode_ctl.scala 567:37] reg r_d_bits_i0store; // @[lib.scala 384:16] - wire _T_539 = r_d_bits_i0load | r_d_bits_i0store; // @[dec_decode_ctl.scala 577:61] + wire _T_539 = r_d_bits_i0load | r_d_bits_i0store; // @[dec_decode_ctl.scala 571:61] wire [3:0] _T_543 = {_T_539,_T_539,_T_539,_T_539}; // @[Cat.scala 29:58] - wire [3:0] _T_544 = _T_543 & lsu_trigger_match_r; // @[dec_decode_ctl.scala 577:82] - wire [3:0] _T_545 = _T_544 | r_t_i0trigger; // @[dec_decode_ctl.scala 577:105] + wire [3:0] _T_544 = _T_543 & lsu_trigger_match_r; // @[dec_decode_ctl.scala 571:82] + wire [3:0] _T_545 = _T_544 | r_t_i0trigger; // @[dec_decode_ctl.scala 571:105] reg r_d_bits_i0div; // @[lib.scala 384:16] - wire _T_548 = r_d_bits_i0div & r_d_valid; // @[dec_decode_ctl.scala 583:58] - wire _T_559 = i0r_rs1 != 5'h0; // @[dec_decode_ctl.scala 594:60] - wire _T_561 = i0r_rs2 != 5'h0; // @[dec_decode_ctl.scala 595:60] - wire _T_563 = i0r_rd != 5'h0; // @[dec_decode_ctl.scala 596:48] - wire i0_rd_en_d = i0_dp_rd & _T_563; // @[dec_decode_ctl.scala 596:37] - wire i0_jalimm20 = i0_dp_jal & i0_dp_imm20; // @[dec_decode_ctl.scala 600:38] - wire _T_564 = ~i0_dp_jal; // @[dec_decode_ctl.scala 601:27] - wire i0_uiimm20 = _T_564 & i0_dp_imm20; // @[dec_decode_ctl.scala 601:38] + wire _T_548 = r_d_bits_i0div & r_d_valid; // @[dec_decode_ctl.scala 577:58] + wire _T_559 = i0r_rs1 != 5'h0; // @[dec_decode_ctl.scala 588:60] + wire _T_561 = i0r_rs2 != 5'h0; // @[dec_decode_ctl.scala 589:60] + wire _T_563 = i0r_rd != 5'h0; // @[dec_decode_ctl.scala 590:48] + wire i0_rd_en_d = i0_dp_rd & _T_563; // @[dec_decode_ctl.scala 590:37] + wire i0_jalimm20 = i0_dp_jal & i0_dp_imm20; // @[dec_decode_ctl.scala 594:38] + wire _T_564 = ~i0_dp_jal; // @[dec_decode_ctl.scala 595:27] + wire i0_uiimm20 = _T_564 & i0_dp_imm20; // @[dec_decode_ctl.scala 595:38] wire [31:0] _T_566 = i0_dp_csr_read ? io_dec_csr_rddata_d : 32'h0; // @[Mux.scala 27:72] wire [9:0] _T_580 = {io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31]}; // @[Cat.scala 29:58] wire [18:0] _T_589 = {_T_580,io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31]}; // @[Cat.scala 29:58] @@ -46972,148 +46972,148 @@ module dec_decode_ctl( wire [31:0] _T_655 = {io_dec_i0_instr_d[31:12],12'h0}; // @[Cat.scala 29:58] wire [31:0] _T_690 = i0_uiimm20 ? _T_655 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_694 = _T_693 | _T_690; // @[Mux.scala 27:72] - wire _T_656 = i0_csr_write_only_d & i0_dp_csr_imm; // @[dec_decode_ctl.scala 612:26] + wire _T_656 = i0_csr_write_only_d & i0_dp_csr_imm; // @[dec_decode_ctl.scala 606:26] wire [31:0] _T_686 = {27'h0,i0r_rs1}; // @[Cat.scala 29:58] wire [31:0] _T_691 = _T_656 ? _T_686 : 32'h0; // @[Mux.scala 27:72] wire [31:0] i0_immed_d = _T_694 | _T_691; // @[Mux.scala 27:72] wire [31:0] _T_567 = _T_347 ? i0_immed_d : 32'h0; // @[Mux.scala 27:72] - wire i0_d_c_mul = i0_dp_mul & i0_legal_decode_d; // @[dec_decode_ctl.scala 616:44] - wire i0_d_c_load = i0_dp_load & i0_legal_decode_d; // @[dec_decode_ctl.scala 617:44] - wire i0_d_c_alu = i0_dp_alu & i0_legal_decode_d; // @[dec_decode_ctl.scala 618:44] - reg i0_x_c_mul; // @[Reg.scala 15:16] - reg i0_x_c_alu; // @[Reg.scala 15:16] - reg i0_r_c_mul; // @[Reg.scala 15:16] - reg i0_r_c_alu; // @[Reg.scala 15:16] - wire _T_713 = |i0_pipe_en[1:0]; // @[dec_decode_ctl.scala 626:49] - wire i0_r_data_en = i0_pipe_en[2] | io_clk_override; // @[dec_decode_ctl.scala 628:50] + wire i0_d_c_mul = i0_dp_mul & i0_legal_decode_d; // @[dec_decode_ctl.scala 610:44] + wire i0_d_c_load = i0_dp_load & i0_legal_decode_d; // @[dec_decode_ctl.scala 611:44] + wire i0_d_c_alu = i0_dp_alu & i0_legal_decode_d; // @[dec_decode_ctl.scala 612:44] + reg i0_x_c_mul; // @[Reg.scala 27:20] + reg i0_x_c_alu; // @[Reg.scala 27:20] + reg i0_r_c_mul; // @[Reg.scala 27:20] + reg i0_r_c_alu; // @[Reg.scala 27:20] + wire _T_715 = |i0_pipe_en[1:0]; // @[dec_decode_ctl.scala 620:49] + wire i0_r_data_en = i0_pipe_en[2] | io_clk_override; // @[dec_decode_ctl.scala 622:50] reg x_d_bits_i0store; // @[lib.scala 384:16] reg x_d_bits_i0div; // @[lib.scala 384:16] reg x_d_bits_csrwen; // @[lib.scala 384:16] reg [11:0] x_d_bits_csrwaddr; // @[lib.scala 384:16] - wire _T_736 = x_d_bits_i0v & _T_746; // @[dec_decode_ctl.scala 650:47] - wire _T_740 = x_d_valid & _T_746; // @[dec_decode_ctl.scala 651:33] - wire _T_759 = ~r_d_bits_i0div; // @[dec_decode_ctl.scala 666:49] - wire _T_760 = i0_wen_r & _T_759; // @[dec_decode_ctl.scala 666:47] - wire _T_761 = ~i0_load_kill_wen_r; // @[dec_decode_ctl.scala 666:70] - wire _T_764 = x_d_bits_i0v & x_d_bits_i0load; // @[dec_decode_ctl.scala 675:47] - wire _T_771 = io_decode_exu_i0_ap_predict_nt & _T_564; // @[dec_decode_ctl.scala 681:71] - wire [11:0] _T_784 = {10'h0,io_dec_i0_pc4_d,i0_ap_pc2}; // @[Cat.scala 29:58] + wire _T_738 = x_d_bits_i0v & _T_748; // @[dec_decode_ctl.scala 644:47] + wire _T_742 = x_d_valid & _T_748; // @[dec_decode_ctl.scala 645:33] + wire _T_761 = ~r_d_bits_i0div; // @[dec_decode_ctl.scala 660:49] + wire _T_762 = i0_wen_r & _T_761; // @[dec_decode_ctl.scala 660:47] + wire _T_763 = ~i0_load_kill_wen_r; // @[dec_decode_ctl.scala 660:70] + wire _T_766 = x_d_bits_i0v & x_d_bits_i0load; // @[dec_decode_ctl.scala 669:47] + wire _T_773 = io_decode_exu_i0_ap_predict_nt & _T_564; // @[dec_decode_ctl.scala 675:71] + wire [11:0] _T_786 = {10'h0,io_dec_i0_pc4_d,i0_ap_pc2}; // @[Cat.scala 29:58] reg [11:0] last_br_immed_x; // @[lib.scala 374:16] - wire _T_802 = x_d_bits_i0div & x_d_valid; // @[dec_decode_ctl.scala 689:45] - wire div_e1_to_r = _T_802 | _T_548; // @[dec_decode_ctl.scala 689:58] - wire _T_805 = x_d_bits_i0rd == 5'h0; // @[dec_decode_ctl.scala 691:77] - wire _T_806 = _T_802 & _T_805; // @[dec_decode_ctl.scala 691:60] - wire _T_808 = _T_802 & io_dec_tlu_flush_lower_r; // @[dec_decode_ctl.scala 692:33] - wire _T_809 = _T_806 | _T_808; // @[dec_decode_ctl.scala 691:94] - wire _T_811 = _T_548 & io_dec_tlu_flush_lower_r; // @[dec_decode_ctl.scala 693:33] - wire _T_812 = _T_811 & io_dec_tlu_i0_kill_writeb_r; // @[dec_decode_ctl.scala 693:60] - wire div_flush = _T_809 | _T_812; // @[dec_decode_ctl.scala 692:62] - wire _T_813 = io_dec_div_active & div_flush; // @[dec_decode_ctl.scala 697:51] - wire _T_814 = ~div_e1_to_r; // @[dec_decode_ctl.scala 698:26] - wire _T_815 = io_dec_div_active & _T_814; // @[dec_decode_ctl.scala 698:24] - wire _T_816 = r_d_bits_i0rd == io_div_waddr_wb; // @[dec_decode_ctl.scala 698:56] - wire _T_817 = _T_815 & _T_816; // @[dec_decode_ctl.scala 698:39] - wire _T_818 = _T_817 & i0_wen_r; // @[dec_decode_ctl.scala 698:77] - wire nonblock_div_cancel = _T_813 | _T_818; // @[dec_decode_ctl.scala 697:65] - wire i0_div_decode_d = i0_legal_decode_d & i0_dp_div; // @[dec_decode_ctl.scala 701:55] - wire _T_820 = ~io_exu_div_wren; // @[dec_decode_ctl.scala 703:62] - wire _T_821 = io_dec_div_active & _T_820; // @[dec_decode_ctl.scala 703:60] - wire _T_822 = ~nonblock_div_cancel; // @[dec_decode_ctl.scala 703:81] - wire _T_823 = _T_821 & _T_822; // @[dec_decode_ctl.scala 703:79] - reg _T_824; // @[dec_decode_ctl.scala 705:54] - reg [4:0] _T_833; // @[Reg.scala 27:20] + wire _T_804 = x_d_bits_i0div & x_d_valid; // @[dec_decode_ctl.scala 683:45] + wire div_e1_to_r = _T_804 | _T_548; // @[dec_decode_ctl.scala 683:58] + wire _T_807 = x_d_bits_i0rd == 5'h0; // @[dec_decode_ctl.scala 685:77] + wire _T_808 = _T_804 & _T_807; // @[dec_decode_ctl.scala 685:60] + wire _T_810 = _T_804 & io_dec_tlu_flush_lower_r; // @[dec_decode_ctl.scala 686:33] + wire _T_811 = _T_808 | _T_810; // @[dec_decode_ctl.scala 685:94] + wire _T_813 = _T_548 & io_dec_tlu_flush_lower_r; // @[dec_decode_ctl.scala 687:33] + wire _T_814 = _T_813 & io_dec_tlu_i0_kill_writeb_r; // @[dec_decode_ctl.scala 687:60] + wire div_flush = _T_811 | _T_814; // @[dec_decode_ctl.scala 686:62] + wire _T_815 = io_dec_div_active & div_flush; // @[dec_decode_ctl.scala 691:51] + wire _T_816 = ~div_e1_to_r; // @[dec_decode_ctl.scala 692:26] + wire _T_817 = io_dec_div_active & _T_816; // @[dec_decode_ctl.scala 692:24] + wire _T_818 = r_d_bits_i0rd == io_div_waddr_wb; // @[dec_decode_ctl.scala 692:56] + wire _T_819 = _T_817 & _T_818; // @[dec_decode_ctl.scala 692:39] + wire _T_820 = _T_819 & i0_wen_r; // @[dec_decode_ctl.scala 692:77] + wire nonblock_div_cancel = _T_815 | _T_820; // @[dec_decode_ctl.scala 691:65] + wire i0_div_decode_d = i0_legal_decode_d & i0_dp_div; // @[dec_decode_ctl.scala 695:55] + wire _T_822 = ~io_exu_div_wren; // @[dec_decode_ctl.scala 697:62] + wire _T_823 = io_dec_div_active & _T_822; // @[dec_decode_ctl.scala 697:60] + wire _T_824 = ~nonblock_div_cancel; // @[dec_decode_ctl.scala 697:81] + wire _T_825 = _T_823 & _T_824; // @[dec_decode_ctl.scala 697:79] + reg _T_826; // @[dec_decode_ctl.scala 699:54] + reg [4:0] _T_835; // @[Reg.scala 27:20] reg [31:0] i0_inst_x; // @[lib.scala 374:16] reg [31:0] i0_inst_r; // @[lib.scala 374:16] reg [31:0] i0_inst_wb; // @[lib.scala 374:16] - reg [31:0] _T_840; // @[lib.scala 374:16] + reg [31:0] _T_842; // @[lib.scala 374:16] reg [30:0] i0_pc_wb; // @[lib.scala 374:16] - reg [30:0] _T_843; // @[lib.scala 374:16] + reg [30:0] _T_845; // @[lib.scala 374:16] reg [30:0] dec_i0_pc_r; // @[lib.scala 374:16] - wire [31:0] _T_845 = {io_dec_alu_exu_i0_pc_x,1'h0}; // @[Cat.scala 29:58] - wire [12:0] _T_846 = {last_br_immed_x,1'h0}; // @[Cat.scala 29:58] - wire [12:0] _T_849 = _T_845[12:1] + _T_846[12:1]; // @[lib.scala 68:31] - wire [18:0] _T_852 = _T_845[31:13] + 19'h1; // @[lib.scala 69:27] - wire [18:0] _T_855 = _T_845[31:13] - 19'h1; // @[lib.scala 70:27] - wire _T_858 = ~_T_849[12]; // @[lib.scala 72:28] - wire _T_859 = _T_846[12] ^ _T_858; // @[lib.scala 72:26] - wire _T_862 = ~_T_846[12]; // @[lib.scala 73:20] - wire _T_864 = _T_862 & _T_849[12]; // @[lib.scala 73:26] - wire _T_868 = _T_846[12] & _T_858; // @[lib.scala 74:26] - wire [18:0] _T_870 = _T_859 ? _T_845[31:13] : 19'h0; // @[Mux.scala 27:72] - wire [18:0] _T_871 = _T_864 ? _T_852 : 19'h0; // @[Mux.scala 27:72] - wire [18:0] _T_872 = _T_868 ? _T_855 : 19'h0; // @[Mux.scala 27:72] - wire [18:0] _T_873 = _T_870 | _T_871; // @[Mux.scala 27:72] - wire [18:0] _T_874 = _T_873 | _T_872; // @[Mux.scala 27:72] - wire [31:0] temp_pred_correct_npc_x = {_T_874,_T_849[11:0],1'h0}; // @[Cat.scala 29:58] - wire _T_890_mul = i0_rs1_depend_i0_r & i0_r_c_mul; // @[dec_decode_ctl.scala 744:61] - wire _T_890_alu = i0_rs1_depend_i0_r & i0_r_c_alu; // @[dec_decode_ctl.scala 744:61] - wire i0_rs1_class_d_mul = i0_rs1_depend_i0_x ? i0_x_c_mul : _T_890_mul; // @[dec_decode_ctl.scala 744:24] - wire i0_rs1_class_d_alu = i0_rs1_depend_i0_x ? i0_x_c_alu : _T_890_alu; // @[dec_decode_ctl.scala 744:24] - wire _T_899_mul = i0_rs2_depend_i0_r & i0_r_c_mul; // @[dec_decode_ctl.scala 746:61] - wire _T_899_alu = i0_rs2_depend_i0_r & i0_r_c_alu; // @[dec_decode_ctl.scala 746:61] - wire i0_rs2_class_d_mul = i0_rs2_depend_i0_x ? i0_x_c_mul : _T_899_mul; // @[dec_decode_ctl.scala 746:24] - wire i0_rs2_class_d_alu = i0_rs2_depend_i0_x ? i0_x_c_alu : _T_899_alu; // @[dec_decode_ctl.scala 746:24] - wire _T_912 = io_decode_exu_dec_i0_rs1_en_d & io_dec_nonblock_load_wen; // @[dec_decode_ctl.scala 764:73] - wire _T_913 = io_dec_nonblock_load_waddr == i0r_rs1; // @[dec_decode_ctl.scala 764:130] - wire i0_rs1_nonblock_load_bypass_en_d = _T_912 & _T_913; // @[dec_decode_ctl.scala 764:100] - wire _T_914 = io_decode_exu_dec_i0_rs2_en_d & io_dec_nonblock_load_wen; // @[dec_decode_ctl.scala 766:73] - wire _T_915 = io_dec_nonblock_load_waddr == i0r_rs2; // @[dec_decode_ctl.scala 766:130] - wire i0_rs2_nonblock_load_bypass_en_d = _T_914 & _T_915; // @[dec_decode_ctl.scala 766:100] - wire _T_917 = i0_rs1_class_d_alu | i0_rs1_class_d_mul; // @[dec_decode_ctl.scala 769:66] - wire _T_918 = i0_rs1_depth_d[0] & _T_917; // @[dec_decode_ctl.scala 769:45] - wire _T_920 = i0_rs1_depth_d[0] & i0_rs1_class_d_load; // @[dec_decode_ctl.scala 769:108] - wire _T_923 = _T_917 | i0_rs1_class_d_load; // @[dec_decode_ctl.scala 769:196] - wire _T_924 = i0_rs1_depth_d[1] & _T_923; // @[dec_decode_ctl.scala 769:153] - wire [2:0] i0_rs1bypass = {_T_918,_T_920,_T_924}; // @[Cat.scala 29:58] - wire _T_928 = i0_rs2_class_d_alu | i0_rs2_class_d_mul; // @[dec_decode_ctl.scala 771:67] - wire _T_929 = i0_rs2_depth_d[0] & _T_928; // @[dec_decode_ctl.scala 771:45] - wire _T_931 = i0_rs2_depth_d[0] & i0_rs2_class_d_load; // @[dec_decode_ctl.scala 771:109] - wire _T_934 = _T_928 | i0_rs2_class_d_load; // @[dec_decode_ctl.scala 771:196] - wire _T_935 = i0_rs2_depth_d[1] & _T_934; // @[dec_decode_ctl.scala 771:153] - wire [2:0] i0_rs2bypass = {_T_929,_T_931,_T_935}; // @[Cat.scala 29:58] - wire _T_941 = i0_rs1bypass[1] | i0_rs1bypass[0]; // @[dec_decode_ctl.scala 773:86] - wire _T_943 = ~i0_rs1bypass[2]; // @[dec_decode_ctl.scala 773:107] - wire _T_944 = _T_943 & i0_rs1_nonblock_load_bypass_en_d; // @[dec_decode_ctl.scala 773:124] - wire _T_945 = _T_941 | _T_944; // @[dec_decode_ctl.scala 773:104] - wire _T_950 = i0_rs2bypass[1] | i0_rs2bypass[0]; // @[dec_decode_ctl.scala 774:86] - wire _T_952 = ~i0_rs2bypass[2]; // @[dec_decode_ctl.scala 774:107] - wire _T_953 = _T_952 & i0_rs2_nonblock_load_bypass_en_d; // @[dec_decode_ctl.scala 774:124] - wire _T_954 = _T_950 | _T_953; // @[dec_decode_ctl.scala 774:104] - wire _T_961 = ~i0_rs1bypass[1]; // @[dec_decode_ctl.scala 780:6] - wire _T_963 = ~i0_rs1bypass[0]; // @[dec_decode_ctl.scala 780:25] - wire _T_964 = _T_961 & _T_963; // @[dec_decode_ctl.scala 780:23] - wire _T_965 = _T_964 & i0_rs1_nonblock_load_bypass_en_d; // @[dec_decode_ctl.scala 780:42] - wire [31:0] _T_967 = i0_rs1bypass[1] ? io_lsu_result_m : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_968 = i0_rs1bypass[0] ? i0_result_r_raw : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_969 = _T_965 ? io_dctl_busbuff_lsu_nonblock_load_data : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_970 = _T_967 | _T_968; // @[Mux.scala 27:72] - wire _T_978 = ~i0_rs2bypass[1]; // @[dec_decode_ctl.scala 785:6] - wire _T_980 = ~i0_rs2bypass[0]; // @[dec_decode_ctl.scala 785:25] - wire _T_981 = _T_978 & _T_980; // @[dec_decode_ctl.scala 785:23] - wire _T_982 = _T_981 & i0_rs2_nonblock_load_bypass_en_d; // @[dec_decode_ctl.scala 785:42] - wire [31:0] _T_984 = i0_rs2bypass[1] ? io_lsu_result_m : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_985 = i0_rs2bypass[0] ? i0_result_r_raw : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_986 = _T_982 ? io_dctl_busbuff_lsu_nonblock_load_data : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_987 = _T_984 | _T_985; // @[Mux.scala 27:72] - wire _T_990 = i0_dp_raw_load | i0_dp_raw_store; // @[dec_decode_ctl.scala 787:68] - wire _T_991 = io_dec_ib0_valid_d & _T_990; // @[dec_decode_ctl.scala 787:50] - wire _T_992 = ~io_dctl_dma_dma_dccm_stall_any; // @[dec_decode_ctl.scala 787:89] - wire _T_993 = _T_991 & _T_992; // @[dec_decode_ctl.scala 787:87] - wire _T_995 = _T_993 & _T_496; // @[dec_decode_ctl.scala 787:121] - wire _T_997 = ~io_decode_exu_dec_extint_stall; // @[dec_decode_ctl.scala 789:6] - wire _T_998 = _T_997 & i0_dp_lsu; // @[dec_decode_ctl.scala 789:38] - wire _T_999 = _T_998 & i0_dp_load; // @[dec_decode_ctl.scala 789:50] - wire _T_1004 = _T_998 & i0_dp_store; // @[dec_decode_ctl.scala 790:50] - wire [11:0] _T_1008 = {io_dec_i0_instr_d[31:25],i0r_rd}; // @[Cat.scala 29:58] - wire [11:0] _T_1009 = _T_999 ? io_dec_i0_instr_d[31:20] : 12'h0; // @[Mux.scala 27:72] - wire [11:0] _T_1010 = _T_1004 ? _T_1008 : 12'h0; // @[Mux.scala 27:72] + wire [31:0] _T_847 = {io_dec_alu_exu_i0_pc_x,1'h0}; // @[Cat.scala 29:58] + wire [12:0] _T_848 = {last_br_immed_x,1'h0}; // @[Cat.scala 29:58] + wire [12:0] _T_851 = _T_847[12:1] + _T_848[12:1]; // @[lib.scala 68:31] + wire [18:0] _T_854 = _T_847[31:13] + 19'h1; // @[lib.scala 69:27] + wire [18:0] _T_857 = _T_847[31:13] - 19'h1; // @[lib.scala 70:27] + wire _T_860 = ~_T_851[12]; // @[lib.scala 72:28] + wire _T_861 = _T_848[12] ^ _T_860; // @[lib.scala 72:26] + wire _T_864 = ~_T_848[12]; // @[lib.scala 73:20] + wire _T_866 = _T_864 & _T_851[12]; // @[lib.scala 73:26] + wire _T_870 = _T_848[12] & _T_860; // @[lib.scala 74:26] + wire [18:0] _T_872 = _T_861 ? _T_847[31:13] : 19'h0; // @[Mux.scala 27:72] + wire [18:0] _T_873 = _T_866 ? _T_854 : 19'h0; // @[Mux.scala 27:72] + wire [18:0] _T_874 = _T_870 ? _T_857 : 19'h0; // @[Mux.scala 27:72] + wire [18:0] _T_875 = _T_872 | _T_873; // @[Mux.scala 27:72] + wire [18:0] _T_876 = _T_875 | _T_874; // @[Mux.scala 27:72] + wire [31:0] temp_pred_correct_npc_x = {_T_876,_T_851[11:0],1'h0}; // @[Cat.scala 29:58] + wire _T_892_mul = i0_rs1_depend_i0_r & i0_r_c_mul; // @[dec_decode_ctl.scala 738:61] + wire _T_892_alu = i0_rs1_depend_i0_r & i0_r_c_alu; // @[dec_decode_ctl.scala 738:61] + wire i0_rs1_class_d_mul = i0_rs1_depend_i0_x ? i0_x_c_mul : _T_892_mul; // @[dec_decode_ctl.scala 738:24] + wire i0_rs1_class_d_alu = i0_rs1_depend_i0_x ? i0_x_c_alu : _T_892_alu; // @[dec_decode_ctl.scala 738:24] + wire _T_901_mul = i0_rs2_depend_i0_r & i0_r_c_mul; // @[dec_decode_ctl.scala 740:61] + wire _T_901_alu = i0_rs2_depend_i0_r & i0_r_c_alu; // @[dec_decode_ctl.scala 740:61] + wire i0_rs2_class_d_mul = i0_rs2_depend_i0_x ? i0_x_c_mul : _T_901_mul; // @[dec_decode_ctl.scala 740:24] + wire i0_rs2_class_d_alu = i0_rs2_depend_i0_x ? i0_x_c_alu : _T_901_alu; // @[dec_decode_ctl.scala 740:24] + wire _T_914 = io_decode_exu_dec_i0_rs1_en_d & io_dec_nonblock_load_wen; // @[dec_decode_ctl.scala 758:73] + wire _T_915 = io_dec_nonblock_load_waddr == i0r_rs1; // @[dec_decode_ctl.scala 758:130] + wire i0_rs1_nonblock_load_bypass_en_d = _T_914 & _T_915; // @[dec_decode_ctl.scala 758:100] + wire _T_916 = io_decode_exu_dec_i0_rs2_en_d & io_dec_nonblock_load_wen; // @[dec_decode_ctl.scala 760:73] + wire _T_917 = io_dec_nonblock_load_waddr == i0r_rs2; // @[dec_decode_ctl.scala 760:130] + wire i0_rs2_nonblock_load_bypass_en_d = _T_916 & _T_917; // @[dec_decode_ctl.scala 760:100] + wire _T_919 = i0_rs1_class_d_alu | i0_rs1_class_d_mul; // @[dec_decode_ctl.scala 763:66] + wire _T_920 = i0_rs1_depth_d[0] & _T_919; // @[dec_decode_ctl.scala 763:45] + wire _T_922 = i0_rs1_depth_d[0] & i0_rs1_class_d_load; // @[dec_decode_ctl.scala 763:108] + wire _T_925 = _T_919 | i0_rs1_class_d_load; // @[dec_decode_ctl.scala 763:196] + wire _T_926 = i0_rs1_depth_d[1] & _T_925; // @[dec_decode_ctl.scala 763:153] + wire [2:0] i0_rs1bypass = {_T_920,_T_922,_T_926}; // @[Cat.scala 29:58] + wire _T_930 = i0_rs2_class_d_alu | i0_rs2_class_d_mul; // @[dec_decode_ctl.scala 765:67] + wire _T_931 = i0_rs2_depth_d[0] & _T_930; // @[dec_decode_ctl.scala 765:45] + wire _T_933 = i0_rs2_depth_d[0] & i0_rs2_class_d_load; // @[dec_decode_ctl.scala 765:109] + wire _T_936 = _T_930 | i0_rs2_class_d_load; // @[dec_decode_ctl.scala 765:196] + wire _T_937 = i0_rs2_depth_d[1] & _T_936; // @[dec_decode_ctl.scala 765:153] + wire [2:0] i0_rs2bypass = {_T_931,_T_933,_T_937}; // @[Cat.scala 29:58] + wire _T_943 = i0_rs1bypass[1] | i0_rs1bypass[0]; // @[dec_decode_ctl.scala 767:86] + wire _T_945 = ~i0_rs1bypass[2]; // @[dec_decode_ctl.scala 767:107] + wire _T_946 = _T_945 & i0_rs1_nonblock_load_bypass_en_d; // @[dec_decode_ctl.scala 767:124] + wire _T_947 = _T_943 | _T_946; // @[dec_decode_ctl.scala 767:104] + wire _T_952 = i0_rs2bypass[1] | i0_rs2bypass[0]; // @[dec_decode_ctl.scala 768:86] + wire _T_954 = ~i0_rs2bypass[2]; // @[dec_decode_ctl.scala 768:107] + wire _T_955 = _T_954 & i0_rs2_nonblock_load_bypass_en_d; // @[dec_decode_ctl.scala 768:124] + wire _T_956 = _T_952 | _T_955; // @[dec_decode_ctl.scala 768:104] + wire _T_963 = ~i0_rs1bypass[1]; // @[dec_decode_ctl.scala 774:6] + wire _T_965 = ~i0_rs1bypass[0]; // @[dec_decode_ctl.scala 774:25] + wire _T_966 = _T_963 & _T_965; // @[dec_decode_ctl.scala 774:23] + wire _T_967 = _T_966 & i0_rs1_nonblock_load_bypass_en_d; // @[dec_decode_ctl.scala 774:42] + wire [31:0] _T_969 = i0_rs1bypass[1] ? io_lsu_result_m : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_970 = i0_rs1bypass[0] ? i0_result_r_raw : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_971 = _T_967 ? io_dctl_busbuff_lsu_nonblock_load_data : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_972 = _T_969 | _T_970; // @[Mux.scala 27:72] + wire _T_980 = ~i0_rs2bypass[1]; // @[dec_decode_ctl.scala 779:6] + wire _T_982 = ~i0_rs2bypass[0]; // @[dec_decode_ctl.scala 779:25] + wire _T_983 = _T_980 & _T_982; // @[dec_decode_ctl.scala 779:23] + wire _T_984 = _T_983 & i0_rs2_nonblock_load_bypass_en_d; // @[dec_decode_ctl.scala 779:42] + wire [31:0] _T_986 = i0_rs2bypass[1] ? io_lsu_result_m : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_987 = i0_rs2bypass[0] ? i0_result_r_raw : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_988 = _T_984 ? io_dctl_busbuff_lsu_nonblock_load_data : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_989 = _T_986 | _T_987; // @[Mux.scala 27:72] + wire _T_992 = i0_dp_raw_load | i0_dp_raw_store; // @[dec_decode_ctl.scala 781:68] + wire _T_993 = io_dec_ib0_valid_d & _T_992; // @[dec_decode_ctl.scala 781:50] + wire _T_994 = ~io_dctl_dma_dma_dccm_stall_any; // @[dec_decode_ctl.scala 781:89] + wire _T_995 = _T_993 & _T_994; // @[dec_decode_ctl.scala 781:87] + wire _T_997 = _T_995 & _T_496; // @[dec_decode_ctl.scala 781:121] + wire _T_999 = ~io_decode_exu_dec_extint_stall; // @[dec_decode_ctl.scala 783:6] + wire _T_1000 = _T_999 & i0_dp_lsu; // @[dec_decode_ctl.scala 783:38] + wire _T_1001 = _T_1000 & i0_dp_load; // @[dec_decode_ctl.scala 783:50] + wire _T_1006 = _T_1000 & i0_dp_store; // @[dec_decode_ctl.scala 784:50] + wire [11:0] _T_1010 = {io_dec_i0_instr_d[31:25],i0r_rd}; // @[Cat.scala 29:58] + wire [11:0] _T_1011 = _T_1001 ? io_dec_i0_instr_d[31:20] : 12'h0; // @[Mux.scala 27:72] + wire [11:0] _T_1012 = _T_1006 ? _T_1010 : 12'h0; // @[Mux.scala 27:72] rvclkhdr rvclkhdr ( // @[lib.scala 343:22] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), .io_en(rvclkhdr_io_en), .io_scan_mode(rvclkhdr_io_scan_mode) ); - dec_dec_ctl i0_dec ( // @[dec_decode_ctl.scala 362:22] + dec_dec_ctl i0_dec ( // @[dec_decode_ctl.scala 356:22] .io_ins(i0_dec_io_ins), .io_out_alu(i0_dec_io_out_alu), .io_out_rs1(i0_dec_io_out_rs1), @@ -47280,116 +47280,116 @@ module dec_decode_ctl( .io_en(rvclkhdr_19_io_en), .io_scan_mode(rvclkhdr_19_io_scan_mode) ); - assign io_decode_exu_dec_data_en = {i0_x_data_en,i0_r_data_en}; // @[dec_decode_ctl.scala 632:38] - assign io_decode_exu_dec_ctl_en = {i0_x_ctl_en,i0_r_ctl_en}; // @[dec_decode_ctl.scala 633:38] - assign io_decode_exu_i0_ap_land = _T_41 ? 1'h0 : i0_dp_raw_land; // @[dec_decode_ctl.scala 255:31] - assign io_decode_exu_i0_ap_lor = _T_41 | i0_dp_raw_lor; // @[dec_decode_ctl.scala 256:31] - assign io_decode_exu_i0_ap_lxor = _T_41 ? 1'h0 : i0_dp_raw_lxor; // @[dec_decode_ctl.scala 257:31] - assign io_decode_exu_i0_ap_sll = _T_41 ? 1'h0 : i0_dp_raw_sll; // @[dec_decode_ctl.scala 258:31] - assign io_decode_exu_i0_ap_srl = _T_41 ? 1'h0 : i0_dp_raw_srl; // @[dec_decode_ctl.scala 259:31] - assign io_decode_exu_i0_ap_sra = _T_41 ? 1'h0 : i0_dp_raw_sra; // @[dec_decode_ctl.scala 260:31] - assign io_decode_exu_i0_ap_beq = _T_41 ? 1'h0 : i0_dp_raw_beq; // @[dec_decode_ctl.scala 263:31] - assign io_decode_exu_i0_ap_bne = _T_41 ? 1'h0 : i0_dp_raw_bne; // @[dec_decode_ctl.scala 264:31] - assign io_decode_exu_i0_ap_blt = _T_41 ? 1'h0 : i0_dp_raw_blt; // @[dec_decode_ctl.scala 265:31] - assign io_decode_exu_i0_ap_bge = _T_41 ? 1'h0 : i0_dp_raw_bge; // @[dec_decode_ctl.scala 266:31] - assign io_decode_exu_i0_ap_add = _T_41 ? 1'h0 : i0_dp_raw_add; // @[dec_decode_ctl.scala 253:31] - assign io_decode_exu_i0_ap_sub = _T_41 ? 1'h0 : i0_dp_raw_sub; // @[dec_decode_ctl.scala 254:31] - assign io_decode_exu_i0_ap_slt = _T_41 ? 1'h0 : i0_dp_raw_slt; // @[dec_decode_ctl.scala 261:31] - assign io_decode_exu_i0_ap_unsign = _T_41 ? 1'h0 : i0_dp_raw_unsign; // @[dec_decode_ctl.scala 262:31] - assign io_decode_exu_i0_ap_jal = _T_336 & _T_337; // @[dec_decode_ctl.scala 269:33] - assign io_decode_exu_i0_ap_predict_t = _T_47 & i0_predict_br; // @[dec_decode_ctl.scala 251:37] - assign io_decode_exu_i0_ap_predict_nt = _T_48 & i0_predict_br; // @[dec_decode_ctl.scala 250:37] - assign io_decode_exu_i0_ap_csr_write = i0_csr_write & _T_347; // @[dec_decode_ctl.scala 267:33] - assign io_decode_exu_i0_ap_csr_imm = _T_41 ? 1'h0 : i0_dp_raw_csr_imm; // @[dec_decode_ctl.scala 268:33] - assign io_decode_exu_dec_i0_predict_p_d_valid = i0_brp_valid & i0_legal_decode_d; // @[dec_decode_ctl.scala 206:49] - assign io_decode_exu_dec_i0_predict_p_d_bits_pc4 = io_dec_i0_pc4_d; // @[dec_decode_ctl.scala 204:54] - assign io_decode_exu_dec_i0_predict_p_d_bits_hist = io_dec_i0_brp_bits_hist; // @[dec_decode_ctl.scala 205:54] - assign io_decode_exu_dec_i0_predict_p_d_bits_toffset = _T_314 ? i0_pcall_imm[11:0] : _T_323; // @[dec_decode_ctl.scala 218:60] - assign io_decode_exu_dec_i0_predict_p_d_bits_br_error = _T_33 & _T_18; // @[dec_decode_ctl.scala 213:67] - assign io_decode_exu_dec_i0_predict_p_d_bits_br_start_error = _T_36 & _T_18; // @[dec_decode_ctl.scala 214:67] - assign io_decode_exu_dec_i0_predict_p_d_bits_prett = io_dec_i0_brp_bits_prett; // @[dec_decode_ctl.scala 203:54] - assign io_decode_exu_dec_i0_predict_p_d_bits_pcall = i0_dp_jal & i0_pcall_case; // @[dec_decode_ctl.scala 200:54] - assign io_decode_exu_dec_i0_predict_p_d_bits_pret = i0_dp_jal & i0_pret_case; // @[dec_decode_ctl.scala 202:54] - assign io_decode_exu_dec_i0_predict_p_d_bits_pja = i0_dp_jal & i0_pja_case; // @[dec_decode_ctl.scala 201:54] - assign io_decode_exu_dec_i0_predict_p_d_bits_way = io_dec_i0_brp_bits_way; // @[dec_decode_ctl.scala 220:67] - assign io_decode_exu_i0_predict_fghr_d = io_dec_i0_bp_fghr; // @[dec_decode_ctl.scala 219:43] - assign io_decode_exu_i0_predict_index_d = io_dec_i0_bp_index; // @[dec_decode_ctl.scala 215:43] - assign io_decode_exu_i0_predict_btag_d = io_dec_i0_bp_btag; // @[dec_decode_ctl.scala 216:43] - assign io_decode_exu_dec_i0_rs1_en_d = i0_dp_rs1 & _T_559; // @[dec_decode_ctl.scala 594:35] - assign io_decode_exu_dec_i0_rs2_en_d = i0_dp_rs2 & _T_561; // @[dec_decode_ctl.scala 595:35] - assign io_decode_exu_dec_i0_immed_d = _T_566 | _T_567; // @[dec_decode_ctl.scala 603:32] - assign io_decode_exu_dec_i0_rs1_bypass_data_d = _T_970 | _T_969; // @[dec_decode_ctl.scala 777:42] - assign io_decode_exu_dec_i0_rs2_bypass_data_d = _T_987 | _T_986; // @[dec_decode_ctl.scala 782:42] - assign io_decode_exu_dec_i0_select_pc_d = _T_41 ? 1'h0 : i0_dp_raw_pc; // @[dec_decode_ctl.scala 241:36] - assign io_decode_exu_dec_i0_rs1_bypass_en_d = {i0_rs1bypass[2],_T_945}; // @[dec_decode_ctl.scala 773:45] - assign io_decode_exu_dec_i0_rs2_bypass_en_d = {i0_rs2bypass[2],_T_954}; // @[dec_decode_ctl.scala 774:45] - assign io_decode_exu_mul_p_valid = i0_exulegal_decode_d & i0_dp_mul; // @[dec_decode_ctl.scala 97:23 dec_decode_ctl.scala 397:32] - assign io_decode_exu_mul_p_bits_rs1_sign = _T_41 ? 1'h0 : i0_dp_raw_rs1_sign; // @[dec_decode_ctl.scala 97:23 dec_decode_ctl.scala 398:37] - assign io_decode_exu_mul_p_bits_rs2_sign = _T_41 ? 1'h0 : i0_dp_raw_rs2_sign; // @[dec_decode_ctl.scala 97:23 dec_decode_ctl.scala 399:37] - assign io_decode_exu_mul_p_bits_low = _T_41 ? 1'h0 : i0_dp_raw_low; // @[dec_decode_ctl.scala 97:23 dec_decode_ctl.scala 400:37] - assign io_decode_exu_pred_correct_npc_x = temp_pred_correct_npc_x[31:1]; // @[dec_decode_ctl.scala 734:36] - assign io_decode_exu_dec_extint_stall = _T_339; // @[dec_decode_ctl.scala 402:34] - assign io_dec_alu_dec_i0_alu_decode_d = i0_exulegal_decode_d & i0_dp_alu; // @[dec_decode_ctl.scala 542:34] - assign io_dec_alu_dec_csr_ren_d = _T_41 ? 1'h0 : i0_dp_raw_csr_read; // @[dec_decode_ctl.scala 424:29] - assign io_dec_alu_dec_i0_br_immed_d = _T_771 ? i0_br_offset : _T_784; // @[dec_decode_ctl.scala 681:32] - assign io_dec_div_div_p_valid = i0_exulegal_decode_d & i0_dp_div; // @[dec_decode_ctl.scala 393:29] - assign io_dec_div_div_p_bits_unsign = _T_41 ? 1'h0 : i0_dp_raw_unsign; // @[dec_decode_ctl.scala 394:34] - assign io_dec_div_div_p_bits_rem = _T_41 ? 1'h0 : i0_dp_raw_rem; // @[dec_decode_ctl.scala 395:34] - assign io_dec_div_dec_div_cancel = _T_813 | _T_818; // @[dec_decode_ctl.scala 700:37] - assign io_dec_i0_inst_wb1 = _T_840; // @[dec_decode_ctl.scala 723:22] - assign io_dec_i0_pc_wb1 = _T_843; // @[dec_decode_ctl.scala 726:20] - assign io_dec_i0_rs1_d = io_dec_i0_instr_d[19:15]; // @[dec_decode_ctl.scala 597:19] - assign io_dec_i0_rs2_d = io_dec_i0_instr_d[24:20]; // @[dec_decode_ctl.scala 598:19] - assign io_dec_i0_waddr_r = r_d_bits_i0rd; // @[dec_decode_ctl.scala 664:27] - assign io_dec_i0_wen_r = _T_760 & _T_761; // @[dec_decode_ctl.scala 666:32] - assign io_dec_i0_wdata_r = _T_767 ? io_lsu_result_corr_r : i0_result_r_raw; // @[dec_decode_ctl.scala 667:26] - assign io_lsu_p_valid = io_decode_exu_dec_extint_stall | lsu_decode_d; // @[dec_decode_ctl.scala 404:12 dec_decode_ctl.scala 409:24 dec_decode_ctl.scala 411:35] - assign io_lsu_p_bits_fast_int = io_decode_exu_dec_extint_stall; // @[dec_decode_ctl.scala 404:12 dec_decode_ctl.scala 408:29] - assign io_lsu_p_bits_by = io_decode_exu_dec_extint_stall ? 1'h0 : i0_dp_by; // @[dec_decode_ctl.scala 404:12 dec_decode_ctl.scala 414:40] - assign io_lsu_p_bits_half = io_decode_exu_dec_extint_stall ? 1'h0 : i0_dp_half; // @[dec_decode_ctl.scala 404:12 dec_decode_ctl.scala 415:40] - assign io_lsu_p_bits_word = io_decode_exu_dec_extint_stall | i0_dp_word; // @[dec_decode_ctl.scala 404:12 dec_decode_ctl.scala 407:29 dec_decode_ctl.scala 416:40] - assign io_lsu_p_bits_load = io_decode_exu_dec_extint_stall | i0_dp_load; // @[dec_decode_ctl.scala 404:12 dec_decode_ctl.scala 406:29 dec_decode_ctl.scala 412:40] - assign io_lsu_p_bits_store = io_decode_exu_dec_extint_stall ? 1'h0 : i0_dp_store; // @[dec_decode_ctl.scala 404:12 dec_decode_ctl.scala 413:40] - assign io_lsu_p_bits_unsign = io_decode_exu_dec_extint_stall ? 1'h0 : i0_dp_unsign; // @[dec_decode_ctl.scala 404:12 dec_decode_ctl.scala 420:40] - assign io_lsu_p_bits_store_data_bypass_d = io_decode_exu_dec_extint_stall ? 1'h0 : store_data_bypass_d; // @[dec_decode_ctl.scala 404:12 dec_decode_ctl.scala 418:40] - assign io_lsu_p_bits_load_ldst_bypass_d = io_decode_exu_dec_extint_stall ? 1'h0 : load_ldst_bypass_d; // @[dec_decode_ctl.scala 404:12 dec_decode_ctl.scala 417:40] - assign io_div_waddr_wb = _T_833; // @[dec_decode_ctl.scala 711:19] - assign io_dec_lsu_valid_raw_d = _T_995 | io_decode_exu_dec_extint_stall; // @[dec_decode_ctl.scala 787:26] - assign io_dec_lsu_offset_d = _T_1009 | _T_1010; // @[dec_decode_ctl.scala 788:23] - assign io_dec_csr_wen_unq_d = _T_349 | i0_csr_write; // @[dec_decode_ctl.scala 433:24] - assign io_dec_csr_any_unq_d = i0_dp_csr_read | i0_csr_write; // @[dec_decode_ctl.scala 499:24] - assign io_dec_csr_rdaddr_d = io_dec_i0_instr_d[31:20]; // @[dec_decode_ctl.scala 436:24] - assign io_dec_csr_wen_r = _T_352 & _T_757; // @[dec_decode_ctl.scala 441:20] - assign io_dec_csr_wraddr_r = r_d_bits_csrwaddr; // @[dec_decode_ctl.scala 437:23] - assign io_dec_csr_wrdata_r = r_d_bits_csrwonly ? i0_result_corr_r : write_csr_data; // @[dec_decode_ctl.scala 484:24] - assign io_dec_csr_stall_int_ff = _T_359 & _T_360; // @[dec_decode_ctl.scala 444:27] - assign io_dec_tlu_i0_valid_r = r_d_valid & _T_746; // @[dec_decode_ctl.scala 548:29] - assign io_dec_tlu_packet_r_legal = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_legal; // @[dec_decode_ctl.scala 582:39] - assign io_dec_tlu_packet_r_icaf = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_icaf; // @[dec_decode_ctl.scala 582:39] - assign io_dec_tlu_packet_r_icaf_f1 = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_icaf_f1; // @[dec_decode_ctl.scala 582:39] - assign io_dec_tlu_packet_r_icaf_type = io_dec_tlu_flush_lower_wb ? 2'h0 : r_t_icaf_type; // @[dec_decode_ctl.scala 582:39] - assign io_dec_tlu_packet_r_fence_i = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_fence_i; // @[dec_decode_ctl.scala 582:39] - assign io_dec_tlu_packet_r_i0trigger = io_dec_tlu_flush_lower_wb ? 4'h0 : _T_545; // @[dec_decode_ctl.scala 582:39] - assign io_dec_tlu_packet_r_pmu_i0_itype = io_dec_tlu_flush_lower_wb ? 4'h0 : r_t_pmu_i0_itype; // @[dec_decode_ctl.scala 582:39] - assign io_dec_tlu_packet_r_pmu_i0_br_unpred = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_pmu_i0_br_unpred; // @[dec_decode_ctl.scala 582:39] - assign io_dec_tlu_packet_r_pmu_divide = r_d_bits_i0div & r_d_valid; // @[dec_decode_ctl.scala 582:39 dec_decode_ctl.scala 583:39] - assign io_dec_tlu_packet_r_pmu_lsu_misaligned = io_dec_tlu_flush_lower_wb ? 1'h0 : lsu_pmu_misaligned_r; // @[dec_decode_ctl.scala 582:39] - assign io_dec_tlu_i0_pc_r = dec_i0_pc_r; // @[dec_decode_ctl.scala 729:27] - assign io_dec_illegal_inst = _T_468; // @[dec_decode_ctl.scala 506:23] - assign io_dec_pmu_instr_decoded = io_dec_aln_dec_i0_decode_d; // @[dec_decode_ctl.scala 527:28] - assign io_dec_pmu_decode_stall = io_dec_ib0_valid_d & _T_501; // @[dec_decode_ctl.scala 528:27] - assign io_dec_pmu_presync_stall = i0_presync & prior_inflight_eff; // @[dec_decode_ctl.scala 530:29] - assign io_dec_pmu_postsync_stall = postsync_stall; // @[dec_decode_ctl.scala 529:29] - assign io_dec_nonblock_load_wen = _T_200 & _T_201; // @[dec_decode_ctl.scala 324:28] - assign io_dec_nonblock_load_waddr = _T_246 | _T_238; // @[dec_decode_ctl.scala 321:29 dec_decode_ctl.scala 331:29] - assign io_dec_pause_state = pause_stall; // @[dec_decode_ctl.scala 468:22] - assign io_dec_pause_state_cg = pause_stall & _T_423; // @[dec_decode_ctl.scala 472:25] - assign io_dec_div_active = _T_824; // @[dec_decode_ctl.scala 705:21] - assign io_dec_aln_dec_i0_decode_d = _T_493 & _T_470; // @[dec_decode_ctl.scala 522:30 dec_decode_ctl.scala 588:30] + assign io_decode_exu_dec_data_en = {i0_x_data_en,i0_r_data_en}; // @[dec_decode_ctl.scala 626:38] + assign io_decode_exu_dec_ctl_en = {i0_x_ctl_en,i0_r_ctl_en}; // @[dec_decode_ctl.scala 627:38] + assign io_decode_exu_i0_ap_land = _T_41 ? 1'h0 : i0_dp_raw_land; // @[dec_decode_ctl.scala 249:37] + assign io_decode_exu_i0_ap_lor = _T_41 | i0_dp_raw_lor; // @[dec_decode_ctl.scala 250:37] + assign io_decode_exu_i0_ap_lxor = _T_41 ? 1'h0 : i0_dp_raw_lxor; // @[dec_decode_ctl.scala 251:37] + assign io_decode_exu_i0_ap_sll = _T_41 ? 1'h0 : i0_dp_raw_sll; // @[dec_decode_ctl.scala 252:37] + assign io_decode_exu_i0_ap_srl = _T_41 ? 1'h0 : i0_dp_raw_srl; // @[dec_decode_ctl.scala 253:37] + assign io_decode_exu_i0_ap_sra = _T_41 ? 1'h0 : i0_dp_raw_sra; // @[dec_decode_ctl.scala 254:37] + assign io_decode_exu_i0_ap_beq = _T_41 ? 1'h0 : i0_dp_raw_beq; // @[dec_decode_ctl.scala 257:37] + assign io_decode_exu_i0_ap_bne = _T_41 ? 1'h0 : i0_dp_raw_bne; // @[dec_decode_ctl.scala 258:37] + assign io_decode_exu_i0_ap_blt = _T_41 ? 1'h0 : i0_dp_raw_blt; // @[dec_decode_ctl.scala 259:37] + assign io_decode_exu_i0_ap_bge = _T_41 ? 1'h0 : i0_dp_raw_bge; // @[dec_decode_ctl.scala 260:37] + assign io_decode_exu_i0_ap_add = _T_41 ? 1'h0 : i0_dp_raw_add; // @[dec_decode_ctl.scala 247:37] + assign io_decode_exu_i0_ap_sub = _T_41 ? 1'h0 : i0_dp_raw_sub; // @[dec_decode_ctl.scala 248:37] + assign io_decode_exu_i0_ap_slt = _T_41 ? 1'h0 : i0_dp_raw_slt; // @[dec_decode_ctl.scala 255:37] + assign io_decode_exu_i0_ap_unsign = _T_41 ? 1'h0 : i0_dp_raw_unsign; // @[dec_decode_ctl.scala 256:37] + assign io_decode_exu_i0_ap_jal = _T_336 & _T_337; // @[dec_decode_ctl.scala 263:37] + assign io_decode_exu_i0_ap_predict_t = _T_47 & i0_predict_br; // @[dec_decode_ctl.scala 245:37] + assign io_decode_exu_i0_ap_predict_nt = _T_48 & i0_predict_br; // @[dec_decode_ctl.scala 244:37] + assign io_decode_exu_i0_ap_csr_write = i0_csr_write & _T_347; // @[dec_decode_ctl.scala 261:37] + assign io_decode_exu_i0_ap_csr_imm = _T_41 ? 1'h0 : i0_dp_raw_csr_imm; // @[dec_decode_ctl.scala 262:37] + assign io_decode_exu_dec_i0_predict_p_d_valid = i0_brp_valid & i0_legal_decode_d; // @[dec_decode_ctl.scala 202:55] + assign io_decode_exu_dec_i0_predict_p_d_bits_pc4 = io_dec_i0_pc4_d; // @[dec_decode_ctl.scala 200:55] + assign io_decode_exu_dec_i0_predict_p_d_bits_hist = io_dec_i0_brp_bits_hist; // @[dec_decode_ctl.scala 201:55] + assign io_decode_exu_dec_i0_predict_p_d_bits_toffset = _T_314 ? i0_pcall_imm[11:0] : _T_323; // @[dec_decode_ctl.scala 214:56] + assign io_decode_exu_dec_i0_predict_p_d_bits_br_error = _T_33 & _T_18; // @[dec_decode_ctl.scala 209:56] + assign io_decode_exu_dec_i0_predict_p_d_bits_br_start_error = _T_36 & _T_18; // @[dec_decode_ctl.scala 210:56] + assign io_decode_exu_dec_i0_predict_p_d_bits_prett = io_dec_i0_brp_bits_prett; // @[dec_decode_ctl.scala 199:55] + assign io_decode_exu_dec_i0_predict_p_d_bits_pcall = i0_dp_jal & i0_pcall_case; // @[dec_decode_ctl.scala 196:55] + assign io_decode_exu_dec_i0_predict_p_d_bits_pret = i0_dp_jal & i0_pret_case; // @[dec_decode_ctl.scala 198:55] + assign io_decode_exu_dec_i0_predict_p_d_bits_pja = i0_dp_jal & i0_pja_case; // @[dec_decode_ctl.scala 197:55] + assign io_decode_exu_dec_i0_predict_p_d_bits_way = io_dec_i0_brp_bits_way; // @[dec_decode_ctl.scala 216:56] + assign io_decode_exu_i0_predict_fghr_d = io_dec_i0_bp_fghr; // @[dec_decode_ctl.scala 215:56] + assign io_decode_exu_i0_predict_index_d = io_dec_i0_bp_index; // @[dec_decode_ctl.scala 211:56] + assign io_decode_exu_i0_predict_btag_d = io_dec_i0_bp_btag; // @[dec_decode_ctl.scala 212:56] + assign io_decode_exu_dec_i0_rs1_en_d = i0_dp_rs1 & _T_559; // @[dec_decode_ctl.scala 588:35] + assign io_decode_exu_dec_i0_rs2_en_d = i0_dp_rs2 & _T_561; // @[dec_decode_ctl.scala 589:35] + assign io_decode_exu_dec_i0_immed_d = _T_566 | _T_567; // @[dec_decode_ctl.scala 597:32] + assign io_decode_exu_dec_i0_rs1_bypass_data_d = _T_972 | _T_971; // @[dec_decode_ctl.scala 771:42] + assign io_decode_exu_dec_i0_rs2_bypass_data_d = _T_989 | _T_988; // @[dec_decode_ctl.scala 776:42] + assign io_decode_exu_dec_i0_select_pc_d = _T_41 ? 1'h0 : i0_dp_raw_pc; // @[dec_decode_ctl.scala 236:36] + assign io_decode_exu_dec_i0_rs1_bypass_en_d = {i0_rs1bypass[2],_T_947}; // @[dec_decode_ctl.scala 767:45] + assign io_decode_exu_dec_i0_rs2_bypass_en_d = {i0_rs2bypass[2],_T_956}; // @[dec_decode_ctl.scala 768:45] + assign io_decode_exu_mul_p_valid = i0_exulegal_decode_d & i0_dp_mul; // @[dec_decode_ctl.scala 95:25 dec_decode_ctl.scala 391:32] + assign io_decode_exu_mul_p_bits_rs1_sign = _T_41 ? 1'h0 : i0_dp_raw_rs1_sign; // @[dec_decode_ctl.scala 95:25 dec_decode_ctl.scala 392:37] + assign io_decode_exu_mul_p_bits_rs2_sign = _T_41 ? 1'h0 : i0_dp_raw_rs2_sign; // @[dec_decode_ctl.scala 95:25 dec_decode_ctl.scala 393:37] + assign io_decode_exu_mul_p_bits_low = _T_41 ? 1'h0 : i0_dp_raw_low; // @[dec_decode_ctl.scala 95:25 dec_decode_ctl.scala 394:37] + assign io_decode_exu_pred_correct_npc_x = temp_pred_correct_npc_x[31:1]; // @[dec_decode_ctl.scala 728:36] + assign io_decode_exu_dec_extint_stall = _T_339; // @[dec_decode_ctl.scala 396:34] + assign io_dec_alu_dec_i0_alu_decode_d = i0_exulegal_decode_d & i0_dp_alu; // @[dec_decode_ctl.scala 536:34] + assign io_dec_alu_dec_csr_ren_d = _T_41 ? 1'h0 : i0_dp_raw_csr_read; // @[dec_decode_ctl.scala 418:29] + assign io_dec_alu_dec_i0_br_immed_d = _T_773 ? i0_br_offset : _T_786; // @[dec_decode_ctl.scala 675:32] + assign io_dec_div_div_p_valid = i0_exulegal_decode_d & i0_dp_div; // @[dec_decode_ctl.scala 387:29] + assign io_dec_div_div_p_bits_unsign = _T_41 ? 1'h0 : i0_dp_raw_unsign; // @[dec_decode_ctl.scala 388:34] + assign io_dec_div_div_p_bits_rem = _T_41 ? 1'h0 : i0_dp_raw_rem; // @[dec_decode_ctl.scala 389:34] + assign io_dec_div_dec_div_cancel = _T_815 | _T_820; // @[dec_decode_ctl.scala 694:37] + assign io_dec_aln_dec_i0_decode_d = _T_493 & _T_470; // @[dec_decode_ctl.scala 516:30 dec_decode_ctl.scala 582:30] + assign io_dec_i0_inst_wb1 = _T_842; // @[dec_decode_ctl.scala 717:22] + assign io_dec_i0_pc_wb1 = _T_845; // @[dec_decode_ctl.scala 720:20] + assign io_dec_i0_rs1_d = io_dec_i0_instr_d[19:15]; // @[dec_decode_ctl.scala 591:19] + assign io_dec_i0_rs2_d = io_dec_i0_instr_d[24:20]; // @[dec_decode_ctl.scala 592:19] + assign io_dec_i0_waddr_r = r_d_bits_i0rd; // @[dec_decode_ctl.scala 658:27] + assign io_dec_i0_wen_r = _T_762 & _T_763; // @[dec_decode_ctl.scala 660:32] + assign io_dec_i0_wdata_r = _T_769 ? io_lsu_result_corr_r : i0_result_r_raw; // @[dec_decode_ctl.scala 661:26] + assign io_lsu_p_valid = io_decode_exu_dec_extint_stall | lsu_decode_d; // @[dec_decode_ctl.scala 398:12 dec_decode_ctl.scala 403:24 dec_decode_ctl.scala 405:35] + assign io_lsu_p_bits_fast_int = io_decode_exu_dec_extint_stall; // @[dec_decode_ctl.scala 398:12 dec_decode_ctl.scala 402:29] + assign io_lsu_p_bits_by = io_decode_exu_dec_extint_stall ? 1'h0 : i0_dp_by; // @[dec_decode_ctl.scala 398:12 dec_decode_ctl.scala 408:40] + assign io_lsu_p_bits_half = io_decode_exu_dec_extint_stall ? 1'h0 : i0_dp_half; // @[dec_decode_ctl.scala 398:12 dec_decode_ctl.scala 409:40] + assign io_lsu_p_bits_word = io_decode_exu_dec_extint_stall | i0_dp_word; // @[dec_decode_ctl.scala 398:12 dec_decode_ctl.scala 401:29 dec_decode_ctl.scala 410:40] + assign io_lsu_p_bits_load = io_decode_exu_dec_extint_stall | i0_dp_load; // @[dec_decode_ctl.scala 398:12 dec_decode_ctl.scala 400:29 dec_decode_ctl.scala 406:40] + assign io_lsu_p_bits_store = io_decode_exu_dec_extint_stall ? 1'h0 : i0_dp_store; // @[dec_decode_ctl.scala 398:12 dec_decode_ctl.scala 407:40] + assign io_lsu_p_bits_unsign = io_decode_exu_dec_extint_stall ? 1'h0 : i0_dp_unsign; // @[dec_decode_ctl.scala 398:12 dec_decode_ctl.scala 414:40] + assign io_lsu_p_bits_store_data_bypass_d = io_decode_exu_dec_extint_stall ? 1'h0 : store_data_bypass_d; // @[dec_decode_ctl.scala 398:12 dec_decode_ctl.scala 412:40] + assign io_lsu_p_bits_load_ldst_bypass_d = io_decode_exu_dec_extint_stall ? 1'h0 : load_ldst_bypass_d; // @[dec_decode_ctl.scala 398:12 dec_decode_ctl.scala 411:40] + assign io_div_waddr_wb = _T_835; // @[dec_decode_ctl.scala 705:19] + assign io_dec_lsu_valid_raw_d = _T_997 | io_decode_exu_dec_extint_stall; // @[dec_decode_ctl.scala 781:26] + assign io_dec_lsu_offset_d = _T_1011 | _T_1012; // @[dec_decode_ctl.scala 782:23] + assign io_dec_csr_wen_unq_d = _T_349 | i0_csr_write; // @[dec_decode_ctl.scala 427:24] + assign io_dec_csr_any_unq_d = i0_dp_csr_read | i0_csr_write; // @[dec_decode_ctl.scala 493:24] + assign io_dec_csr_rdaddr_d = io_dec_i0_instr_d[31:20]; // @[dec_decode_ctl.scala 430:24] + assign io_dec_csr_wen_r = _T_352 & _T_759; // @[dec_decode_ctl.scala 435:20] + assign io_dec_csr_wraddr_r = r_d_bits_csrwaddr; // @[dec_decode_ctl.scala 431:23] + assign io_dec_csr_wrdata_r = r_d_bits_csrwonly ? i0_result_corr_r : write_csr_data; // @[dec_decode_ctl.scala 478:24] + assign io_dec_csr_stall_int_ff = _T_359 & _T_360; // @[dec_decode_ctl.scala 438:27] + assign io_dec_tlu_i0_valid_r = r_d_valid & _T_748; // @[dec_decode_ctl.scala 542:29] + assign io_dec_tlu_packet_r_legal = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_legal; // @[dec_decode_ctl.scala 576:39] + assign io_dec_tlu_packet_r_icaf = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_icaf; // @[dec_decode_ctl.scala 576:39] + assign io_dec_tlu_packet_r_icaf_f1 = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_icaf_f1; // @[dec_decode_ctl.scala 576:39] + assign io_dec_tlu_packet_r_icaf_type = io_dec_tlu_flush_lower_wb ? 2'h0 : r_t_icaf_type; // @[dec_decode_ctl.scala 576:39] + assign io_dec_tlu_packet_r_fence_i = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_fence_i; // @[dec_decode_ctl.scala 576:39] + assign io_dec_tlu_packet_r_i0trigger = io_dec_tlu_flush_lower_wb ? 4'h0 : _T_545; // @[dec_decode_ctl.scala 576:39] + assign io_dec_tlu_packet_r_pmu_i0_itype = io_dec_tlu_flush_lower_wb ? 4'h0 : r_t_pmu_i0_itype; // @[dec_decode_ctl.scala 576:39] + assign io_dec_tlu_packet_r_pmu_i0_br_unpred = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_pmu_i0_br_unpred; // @[dec_decode_ctl.scala 576:39] + assign io_dec_tlu_packet_r_pmu_divide = r_d_bits_i0div & r_d_valid; // @[dec_decode_ctl.scala 576:39 dec_decode_ctl.scala 577:39] + assign io_dec_tlu_packet_r_pmu_lsu_misaligned = io_dec_tlu_flush_lower_wb ? 1'h0 : lsu_pmu_misaligned_r; // @[dec_decode_ctl.scala 576:39] + assign io_dec_tlu_i0_pc_r = dec_i0_pc_r; // @[dec_decode_ctl.scala 723:27] + assign io_dec_illegal_inst = _T_468; // @[dec_decode_ctl.scala 500:23] + assign io_dec_pmu_instr_decoded = io_dec_aln_dec_i0_decode_d; // @[dec_decode_ctl.scala 521:28] + assign io_dec_pmu_decode_stall = io_dec_ib0_valid_d & _T_501; // @[dec_decode_ctl.scala 522:27] + assign io_dec_pmu_presync_stall = i0_presync & prior_inflight_eff; // @[dec_decode_ctl.scala 524:29] + assign io_dec_pmu_postsync_stall = postsync_stall; // @[dec_decode_ctl.scala 523:29] + assign io_dec_nonblock_load_wen = _T_200 & _T_201; // @[dec_decode_ctl.scala 318:28] + assign io_dec_nonblock_load_waddr = _T_246 | _T_238; // @[dec_decode_ctl.scala 315:29 dec_decode_ctl.scala 325:29] + assign io_dec_pause_state = pause_stall; // @[dec_decode_ctl.scala 462:22] + assign io_dec_pause_state_cg = pause_stall & _T_423; // @[dec_decode_ctl.scala 466:25] + assign io_dec_div_active = _T_826; // @[dec_decode_ctl.scala 699:21] assign rvclkhdr_io_clk = clock; // @[lib.scala 344:17] assign rvclkhdr_io_en = _T_15 | _T_16; // @[lib.scala 345:16] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] - assign i0_dec_io_ins = io_dec_i0_instr_d; // @[dec_decode_ctl.scala 363:16] + assign i0_dec_io_ins = io_dec_i0_instr_d; // @[dec_decode_ctl.scala 357:16] assign rvclkhdr_1_io_clk = clock; // @[lib.scala 370:18] assign rvclkhdr_1_io_en = i0_pipe_en[3] | io_clk_override; // @[lib.scala 371:17] assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] @@ -47403,19 +47403,19 @@ module dec_decode_ctl( assign rvclkhdr_4_io_en = shift_illegal & _T_467; // @[lib.scala 371:17] assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] assign rvclkhdr_5_io_clk = clock; // @[lib.scala 380:18] - assign rvclkhdr_5_io_en = _T_707 | io_clk_override; // @[lib.scala 381:17] + assign rvclkhdr_5_io_en = _T_709 | io_clk_override; // @[lib.scala 381:17] assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[lib.scala 382:24] assign rvclkhdr_6_io_clk = clock; // @[lib.scala 380:18] - assign rvclkhdr_6_io_en = _T_707 | io_clk_override; // @[lib.scala 381:17] + assign rvclkhdr_6_io_en = _T_709 | io_clk_override; // @[lib.scala 381:17] assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[lib.scala 382:24] assign rvclkhdr_7_io_clk = clock; // @[lib.scala 380:18] - assign rvclkhdr_7_io_en = _T_707 | io_clk_override; // @[lib.scala 381:17] + assign rvclkhdr_7_io_en = _T_709 | io_clk_override; // @[lib.scala 381:17] assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[lib.scala 382:24] assign rvclkhdr_8_io_clk = clock; // @[lib.scala 380:18] - assign rvclkhdr_8_io_en = _T_710 | io_clk_override; // @[lib.scala 381:17] + assign rvclkhdr_8_io_en = _T_712 | io_clk_override; // @[lib.scala 381:17] assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[lib.scala 382:24] assign rvclkhdr_9_io_clk = clock; // @[lib.scala 380:18] - assign rvclkhdr_9_io_en = _T_713 | io_clk_override; // @[lib.scala 381:17] + assign rvclkhdr_9_io_en = _T_715 | io_clk_override; // @[lib.scala 381:17] assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[lib.scala 382:24] assign rvclkhdr_10_io_clk = clock; // @[lib.scala 370:18] assign rvclkhdr_10_io_en = i0_pipe_en[2] | io_clk_override; // @[lib.scala 371:17] @@ -47523,7 +47523,7 @@ initial begin _RAND_19 = {1{`RANDOM}}; x_d_bits_i0rd = _RAND_19[4:0]; _RAND_20 = {1{`RANDOM}}; - _T_704 = _RAND_20[2:0]; + _T_706 = _RAND_20[2:0]; _RAND_21 = {1{`RANDOM}}; nonblock_load_valid_m_delay = _RAND_21[0:0]; _RAND_22 = {1{`RANDOM}}; @@ -47647,9 +47647,9 @@ initial begin _RAND_81 = {1{`RANDOM}}; last_br_immed_x = _RAND_81[11:0]; _RAND_82 = {1{`RANDOM}}; - _T_824 = _RAND_82[0:0]; + _T_826 = _RAND_82[0:0]; _RAND_83 = {1{`RANDOM}}; - _T_833 = _RAND_83[4:0]; + _T_835 = _RAND_83[4:0]; _RAND_84 = {1{`RANDOM}}; i0_inst_x = _RAND_84[31:0]; _RAND_85 = {1{`RANDOM}}; @@ -47657,11 +47657,11 @@ initial begin _RAND_86 = {1{`RANDOM}}; i0_inst_wb = _RAND_86[31:0]; _RAND_87 = {1{`RANDOM}}; - _T_840 = _RAND_87[31:0]; + _T_842 = _RAND_87[31:0]; _RAND_88 = {1{`RANDOM}}; i0_pc_wb = _RAND_88[30:0]; _RAND_89 = {1{`RANDOM}}; - _T_843 = _RAND_89[30:0]; + _T_845 = _RAND_89[30:0]; _RAND_90 = {1{`RANDOM}}; dec_i0_pc_r = _RAND_90[30:0]; `endif // RANDOMIZE_REG_INIT @@ -47726,7 +47726,7 @@ initial begin x_d_bits_i0rd = 5'h0; end if (reset) begin - _T_704 = 3'h0; + _T_706 = 3'h0; end if (reset) begin nonblock_load_valid_m_delay = 1'h0; @@ -47773,6 +47773,12 @@ initial begin if (reset) begin x_d_bits_i0v = 1'h0; end + if (reset) begin + i0_x_c_load = 1'h0; + end + if (reset) begin + i0_r_c_load = 1'h0; + end if (reset) begin r_d_bits_csrwen = 1'h0; end @@ -47878,6 +47884,18 @@ initial begin if (reset) begin r_d_bits_i0div = 1'h0; end + if (reset) begin + i0_x_c_mul = 1'h0; + end + if (reset) begin + i0_x_c_alu = 1'h0; + end + if (reset) begin + i0_r_c_mul = 1'h0; + end + if (reset) begin + i0_r_c_alu = 1'h0; + end if (reset) begin x_d_bits_i0store = 1'h0; end @@ -47894,10 +47912,10 @@ initial begin last_br_immed_x = 12'h0; end if (reset) begin - _T_824 = 1'h0; + _T_826 = 1'h0; end if (reset) begin - _T_833 = 5'h0; + _T_835 = 5'h0; end if (reset) begin i0_inst_x = 32'h0; @@ -47909,13 +47927,13 @@ initial begin i0_inst_wb = 32'h0; end if (reset) begin - _T_840 = 32'h0; + _T_842 = 32'h0; end if (reset) begin i0_pc_wb = 31'h0; end if (reset) begin - _T_843 = 31'h0; + _T_845 = 31'h0; end if (reset) begin dec_i0_pc_r = 31'h0; @@ -47926,26 +47944,6 @@ end // initial `FIRRTL_AFTER_INITIAL `endif `endif // SYNTHESIS - always @(posedge io_active_clk) begin - if (i0_x_ctl_en) begin - i0_x_c_load <= i0_d_c_load; - end - if (i0_r_ctl_en) begin - i0_r_c_load <= i0_x_c_load; - end - if (i0_x_ctl_en) begin - i0_x_c_mul <= i0_d_c_mul; - end - if (i0_x_ctl_en) begin - i0_x_c_alu <= i0_d_c_alu; - end - if (i0_r_ctl_en) begin - i0_r_c_mul <= i0_x_c_mul; - end - if (i0_r_ctl_en) begin - i0_r_c_alu <= i0_x_c_alu; - end - end always @(posedge rvclkhdr_io_l1clk or posedge reset) begin if (reset) begin tlu_wr_pause_r1 <= 1'h0; @@ -48108,9 +48106,9 @@ end // initial end always @(posedge io_active_clk or posedge reset) begin if (reset) begin - _T_704 <= 3'h0; + _T_706 <= 3'h0; end else begin - _T_704 <= i0_pipe_en[3:1]; + _T_706 <= i0_pipe_en[3:1]; end end always @(posedge io_active_clk or posedge reset) begin @@ -48131,7 +48129,7 @@ end // initial if (reset) begin r_d_bits_i0v <= 1'h0; end else begin - r_d_bits_i0v <= _T_736 & _T_280; + r_d_bits_i0v <= _T_738 & _T_280; end end always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin @@ -48242,6 +48240,20 @@ end // initial x_d_bits_i0v <= i0_rd_en_d & i0_legal_decode_d; end end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + i0_x_c_load <= 1'h0; + end else if (i0_x_ctl_en) begin + i0_x_c_load <= i0_d_c_load; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + i0_r_c_load <= 1'h0; + end else if (i0_r_ctl_en) begin + i0_r_c_load <= i0_x_c_load; + end + end always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin r_d_bits_csrwen <= 1'h0; @@ -48253,7 +48265,7 @@ end // initial if (reset) begin r_d_valid <= 1'h0; end else begin - r_d_valid <= _T_740 & _T_280; + r_d_valid <= _T_742 & _T_280; end end always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin @@ -48324,7 +48336,7 @@ end // initial always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin if (reset) begin i0_result_r_raw <= 32'h0; - end else if (_T_764) begin + end else if (_T_766) begin i0_result_r_raw <= io_lsu_result_m; end else begin i0_result_r_raw <= io_decode_exu_exu_i0_result_x; @@ -48493,6 +48505,34 @@ end // initial r_d_bits_i0div <= x_d_bits_i0div; end end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + i0_x_c_mul <= 1'h0; + end else if (i0_x_ctl_en) begin + i0_x_c_mul <= i0_d_c_mul; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + i0_x_c_alu <= 1'h0; + end else if (i0_x_ctl_en) begin + i0_x_c_alu <= i0_d_c_alu; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + i0_r_c_mul <= 1'h0; + end else if (i0_r_ctl_en) begin + i0_r_c_mul <= i0_x_c_mul; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + i0_r_c_alu <= 1'h0; + end else if (i0_r_ctl_en) begin + i0_r_c_alu <= i0_x_c_alu; + end + end always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin if (reset) begin x_d_bits_i0store <= 1'h0; @@ -48525,7 +48565,7 @@ end // initial if (reset) begin last_br_immed_x <= 12'h0; end else if (io_decode_exu_i0_ap_predict_nt) begin - last_br_immed_x <= _T_784; + last_br_immed_x <= _T_786; end else if (_T_314) begin last_br_immed_x <= i0_pcall_imm[11:0]; end else begin @@ -48534,16 +48574,16 @@ end // initial end always @(posedge io_free_clk or posedge reset) begin if (reset) begin - _T_824 <= 1'h0; + _T_826 <= 1'h0; end else begin - _T_824 <= i0_div_decode_d | _T_823; + _T_826 <= i0_div_decode_d | _T_825; end end always @(posedge clock or posedge reset) begin if (reset) begin - _T_833 <= 5'h0; + _T_835 <= 5'h0; end else if (i0_div_decode_d) begin - _T_833 <= i0r_rd; + _T_835 <= i0r_rd; end end always @(posedge rvclkhdr_13_io_l1clk or posedge reset) begin @@ -48571,9 +48611,9 @@ end // initial end always @(posedge rvclkhdr_16_io_l1clk or posedge reset) begin if (reset) begin - _T_840 <= 32'h0; + _T_842 <= 32'h0; end else begin - _T_840 <= i0_inst_wb; + _T_842 <= i0_inst_wb; end end always @(posedge rvclkhdr_17_io_l1clk or posedge reset) begin @@ -48585,9 +48625,9 @@ end // initial end always @(posedge rvclkhdr_18_io_l1clk or posedge reset) begin if (reset) begin - _T_843 <= 31'h0; + _T_845 <= 31'h0; end else begin - _T_843 <= i0_pc_wb; + _T_845 <= i0_pc_wb; end end always @(posedge rvclkhdr_19_io_l1clk or posedge reset) begin @@ -50886,36 +50926,36 @@ module csr_tlu( wire rvclkhdr_34_io_clk; // @[lib.scala 343:22] wire rvclkhdr_34_io_en; // @[lib.scala 343:22] wire rvclkhdr_34_io_scan_mode; // @[lib.scala 343:22] - wire _T = ~io_i0_trigger_hit_r; // @[dec_tlu_ctl.scala 1450:45] - wire _T_1 = io_dec_csr_wen_r & _T; // @[dec_tlu_ctl.scala 1450:43] - wire _T_2 = ~io_rfpc_i0_r; // @[dec_tlu_ctl.scala 1450:68] - wire _T_5 = io_dec_csr_wraddr_r == 12'h300; // @[dec_tlu_ctl.scala 1451:71] - wire wr_mstatus_r = io_dec_csr_wen_r_mod & _T_5; // @[dec_tlu_ctl.scala 1451:42] - wire _T_498 = io_dec_csr_wraddr_r == 12'h7c6; // @[dec_tlu_ctl.scala 1837:68] - wire wr_mpmc_r = io_dec_csr_wen_r_mod & _T_498; // @[dec_tlu_ctl.scala 1837:39] - wire _T_510 = ~io_dec_csr_wrdata_r[1]; // @[dec_tlu_ctl.scala 1845:37] - reg mpmc_b; // @[dec_tlu_ctl.scala 1847:44] - wire mpmc = ~mpmc_b; // @[dec_tlu_ctl.scala 1850:10] - wire _T_511 = ~mpmc; // @[dec_tlu_ctl.scala 1845:62] - wire mpmc_b_ns = wr_mpmc_r ? _T_510 : _T_511; // @[dec_tlu_ctl.scala 1845:18] - wire _T_6 = ~mpmc_b_ns; // @[dec_tlu_ctl.scala 1454:28] - wire set_mie_pmu_fw_halt = _T_6 & io_fw_halt_req; // @[dec_tlu_ctl.scala 1454:39] - wire _T_7 = ~wr_mstatus_r; // @[dec_tlu_ctl.scala 1457:5] - wire _T_8 = _T_7 & io_exc_or_int_valid_r; // @[dec_tlu_ctl.scala 1457:19] + wire _T = ~io_i0_trigger_hit_r; // @[dec_tlu_ctl.scala 1449:45] + wire _T_1 = io_dec_csr_wen_r & _T; // @[dec_tlu_ctl.scala 1449:43] + wire _T_2 = ~io_rfpc_i0_r; // @[dec_tlu_ctl.scala 1449:68] + wire _T_5 = io_dec_csr_wraddr_r == 12'h300; // @[dec_tlu_ctl.scala 1450:71] + wire wr_mstatus_r = io_dec_csr_wen_r_mod & _T_5; // @[dec_tlu_ctl.scala 1450:42] + wire _T_498 = io_dec_csr_wraddr_r == 12'h7c6; // @[dec_tlu_ctl.scala 1836:68] + wire wr_mpmc_r = io_dec_csr_wen_r_mod & _T_498; // @[dec_tlu_ctl.scala 1836:39] + wire _T_510 = ~io_dec_csr_wrdata_r[1]; // @[dec_tlu_ctl.scala 1844:37] + reg mpmc_b; // @[dec_tlu_ctl.scala 1846:44] + wire mpmc = ~mpmc_b; // @[dec_tlu_ctl.scala 1849:10] + wire _T_511 = ~mpmc; // @[dec_tlu_ctl.scala 1844:62] + wire mpmc_b_ns = wr_mpmc_r ? _T_510 : _T_511; // @[dec_tlu_ctl.scala 1844:18] + wire _T_6 = ~mpmc_b_ns; // @[dec_tlu_ctl.scala 1453:28] + wire set_mie_pmu_fw_halt = _T_6 & io_fw_halt_req; // @[dec_tlu_ctl.scala 1453:39] + wire _T_7 = ~wr_mstatus_r; // @[dec_tlu_ctl.scala 1456:5] + wire _T_8 = _T_7 & io_exc_or_int_valid_r; // @[dec_tlu_ctl.scala 1456:19] wire [1:0] _T_12 = {io_mstatus[0],1'h0}; // @[Cat.scala 29:58] - wire _T_13 = wr_mstatus_r & io_exc_or_int_valid_r; // @[dec_tlu_ctl.scala 1458:18] + wire _T_13 = wr_mstatus_r & io_exc_or_int_valid_r; // @[dec_tlu_ctl.scala 1457:18] wire [1:0] _T_16 = {io_dec_csr_wrdata_r[3],1'h0}; // @[Cat.scala 29:58] - wire _T_17 = ~io_exc_or_int_valid_r; // @[dec_tlu_ctl.scala 1459:17] - wire _T_18 = io_mret_r & _T_17; // @[dec_tlu_ctl.scala 1459:15] + wire _T_17 = ~io_exc_or_int_valid_r; // @[dec_tlu_ctl.scala 1458:17] + wire _T_18 = io_mret_r & _T_17; // @[dec_tlu_ctl.scala 1458:15] wire [1:0] _T_21 = {1'h1,io_mstatus[1]}; // @[Cat.scala 29:58] wire [1:0] _T_24 = {io_mstatus[1],1'h1}; // @[Cat.scala 29:58] - wire _T_26 = wr_mstatus_r & _T_17; // @[dec_tlu_ctl.scala 1461:18] + wire _T_26 = wr_mstatus_r & _T_17; // @[dec_tlu_ctl.scala 1460:18] wire [1:0] _T_30 = {io_dec_csr_wrdata_r[7],io_dec_csr_wrdata_r[3]}; // @[Cat.scala 29:58] - wire _T_33 = _T_7 & _T_17; // @[dec_tlu_ctl.scala 1462:19] - wire _T_34 = ~io_mret_r; // @[dec_tlu_ctl.scala 1462:46] - wire _T_35 = _T_33 & _T_34; // @[dec_tlu_ctl.scala 1462:44] - wire _T_36 = ~set_mie_pmu_fw_halt; // @[dec_tlu_ctl.scala 1462:59] - wire _T_37 = _T_35 & _T_36; // @[dec_tlu_ctl.scala 1462:57] + wire _T_33 = _T_7 & _T_17; // @[dec_tlu_ctl.scala 1461:19] + wire _T_34 = ~io_mret_r; // @[dec_tlu_ctl.scala 1461:46] + wire _T_35 = _T_33 & _T_34; // @[dec_tlu_ctl.scala 1461:44] + wire _T_36 = ~set_mie_pmu_fw_halt; // @[dec_tlu_ctl.scala 1461:59] + wire _T_37 = _T_35 & _T_36; // @[dec_tlu_ctl.scala 1461:57] wire [1:0] _T_39 = _T_8 ? _T_12 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_40 = _T_13 ? _T_16 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_41 = _T_18 ? _T_21 : 2'h0; // @[Mux.scala 27:72] @@ -50926,155 +50966,155 @@ module csr_tlu( wire [1:0] _T_46 = _T_45 | _T_41; // @[Mux.scala 27:72] wire [1:0] _T_47 = _T_46 | _T_42; // @[Mux.scala 27:72] wire [1:0] _T_48 = _T_47 | _T_43; // @[Mux.scala 27:72] - wire _T_52 = ~io_dcsr_single_step_running_f; // @[dec_tlu_ctl.scala 1465:50] - wire _T_54 = _T_52 | io_dcsr[11]; // @[dec_tlu_ctl.scala 1465:81] - reg [1:0] _T_56; // @[dec_tlu_ctl.scala 1467:11] - wire _T_58 = io_dec_csr_wraddr_r == 12'h305; // @[dec_tlu_ctl.scala 1476:69] + wire _T_52 = ~io_dcsr_single_step_running_f; // @[dec_tlu_ctl.scala 1464:50] + wire _T_54 = _T_52 | io_dcsr[11]; // @[dec_tlu_ctl.scala 1464:81] + reg [1:0] _T_56; // @[dec_tlu_ctl.scala 1466:11] + wire _T_58 = io_dec_csr_wraddr_r == 12'h305; // @[dec_tlu_ctl.scala 1475:69] reg [30:0] _T_62; // @[lib.scala 374:16] reg [31:0] mdccmect; // @[lib.scala 374:16] - wire [62:0] _T_574 = 63'hffffffff << mdccmect[31:27]; // @[dec_tlu_ctl.scala 1897:41] + wire [62:0] _T_574 = 63'hffffffff << mdccmect[31:27]; // @[dec_tlu_ctl.scala 1896:41] wire [31:0] _T_576 = {5'h0,mdccmect[26:0]}; // @[Cat.scala 29:58] - wire [62:0] _GEN_9 = {{31'd0}, _T_576}; // @[dec_tlu_ctl.scala 1897:61] - wire [62:0] _T_577 = _T_574 & _GEN_9; // @[dec_tlu_ctl.scala 1897:61] - wire mdccme_ce_req = |_T_577; // @[dec_tlu_ctl.scala 1897:94] + wire [62:0] _GEN_9 = {{31'd0}, _T_576}; // @[dec_tlu_ctl.scala 1896:61] + wire [62:0] _T_577 = _T_574 & _GEN_9; // @[dec_tlu_ctl.scala 1896:61] + wire mdccme_ce_req = |_T_577; // @[dec_tlu_ctl.scala 1896:94] reg [31:0] miccmect; // @[lib.scala 374:16] - wire [62:0] _T_554 = 63'hffffffff << miccmect[31:27]; // @[dec_tlu_ctl.scala 1882:40] + wire [62:0] _T_554 = 63'hffffffff << miccmect[31:27]; // @[dec_tlu_ctl.scala 1881:40] wire [31:0] _T_556 = {5'h0,miccmect[26:0]}; // @[Cat.scala 29:58] - wire [62:0] _GEN_10 = {{31'd0}, _T_556}; // @[dec_tlu_ctl.scala 1882:60] - wire [62:0] _T_557 = _T_554 & _GEN_10; // @[dec_tlu_ctl.scala 1882:60] - wire miccme_ce_req = |_T_557; // @[dec_tlu_ctl.scala 1882:93] - wire _T_63 = mdccme_ce_req | miccme_ce_req; // @[dec_tlu_ctl.scala 1490:30] + wire [62:0] _GEN_10 = {{31'd0}, _T_556}; // @[dec_tlu_ctl.scala 1881:60] + wire [62:0] _T_557 = _T_554 & _GEN_10; // @[dec_tlu_ctl.scala 1881:60] + wire miccme_ce_req = |_T_557; // @[dec_tlu_ctl.scala 1881:93] + wire _T_63 = mdccme_ce_req | miccme_ce_req; // @[dec_tlu_ctl.scala 1489:30] reg [31:0] micect; // @[lib.scala 374:16] - wire [62:0] _T_532 = 63'hffffffff << micect[31:27]; // @[dec_tlu_ctl.scala 1867:39] + wire [62:0] _T_532 = 63'hffffffff << micect[31:27]; // @[dec_tlu_ctl.scala 1866:39] wire [31:0] _T_534 = {5'h0,micect[26:0]}; // @[Cat.scala 29:58] - wire [62:0] _GEN_11 = {{31'd0}, _T_534}; // @[dec_tlu_ctl.scala 1867:57] - wire [62:0] _T_535 = _T_532 & _GEN_11; // @[dec_tlu_ctl.scala 1867:57] - wire mice_ce_req = |_T_535; // @[dec_tlu_ctl.scala 1867:88] - wire ce_int = _T_63 | mice_ce_req; // @[dec_tlu_ctl.scala 1490:46] + wire [62:0] _GEN_11 = {{31'd0}, _T_534}; // @[dec_tlu_ctl.scala 1866:57] + wire [62:0] _T_535 = _T_532 & _GEN_11; // @[dec_tlu_ctl.scala 1866:57] + wire mice_ce_req = |_T_535; // @[dec_tlu_ctl.scala 1866:88] + wire ce_int = _T_63 | mice_ce_req; // @[dec_tlu_ctl.scala 1489:46] wire [2:0] _T_65 = {io_mexintpend,io_timer_int_sync,io_soft_int_sync}; // @[Cat.scala 29:58] wire [2:0] _T_67 = {ce_int,io_dec_timer_t0_pulse,io_dec_timer_t1_pulse}; // @[Cat.scala 29:58] - reg [5:0] _T_68; // @[dec_tlu_ctl.scala 1494:11] - wire _T_70 = io_dec_csr_wraddr_r == 12'h304; // @[dec_tlu_ctl.scala 1506:67] - wire wr_mie_r = io_dec_csr_wen_r_mod & _T_70; // @[dec_tlu_ctl.scala 1506:38] + reg [5:0] _T_68; // @[dec_tlu_ctl.scala 1493:11] + wire _T_70 = io_dec_csr_wraddr_r == 12'h304; // @[dec_tlu_ctl.scala 1505:67] + wire wr_mie_r = io_dec_csr_wen_r_mod & _T_70; // @[dec_tlu_ctl.scala 1505:38] wire [5:0] _T_78 = {io_dec_csr_wrdata_r[30:28],io_dec_csr_wrdata_r[11],io_dec_csr_wrdata_r[7],io_dec_csr_wrdata_r[3]}; // @[Cat.scala 29:58] - reg [5:0] mie; // @[dec_tlu_ctl.scala 1509:11] - wire kill_ebreak_count_r = io_ebreak_to_debug_mode_r & io_dcsr[10]; // @[dec_tlu_ctl.scala 1516:54] - wire _T_83 = io_dec_csr_wraddr_r == 12'hb00; // @[dec_tlu_ctl.scala 1518:71] - wire wr_mcyclel_r = io_dec_csr_wen_r_mod & _T_83; // @[dec_tlu_ctl.scala 1518:42] - wire _T_85 = io_dec_tlu_dbg_halted & io_dcsr[10]; // @[dec_tlu_ctl.scala 1520:71] - wire _T_86 = kill_ebreak_count_r | _T_85; // @[dec_tlu_ctl.scala 1520:46] - wire _T_87 = _T_86 | io_dec_tlu_pmu_fw_halted; // @[dec_tlu_ctl.scala 1520:94] + reg [5:0] mie; // @[dec_tlu_ctl.scala 1508:11] + wire kill_ebreak_count_r = io_ebreak_to_debug_mode_r & io_dcsr[10]; // @[dec_tlu_ctl.scala 1515:54] + wire _T_83 = io_dec_csr_wraddr_r == 12'hb00; // @[dec_tlu_ctl.scala 1517:71] + wire wr_mcyclel_r = io_dec_csr_wen_r_mod & _T_83; // @[dec_tlu_ctl.scala 1517:42] + wire _T_85 = io_dec_tlu_dbg_halted & io_dcsr[10]; // @[dec_tlu_ctl.scala 1519:71] + wire _T_86 = kill_ebreak_count_r | _T_85; // @[dec_tlu_ctl.scala 1519:46] + wire _T_87 = _T_86 | io_dec_tlu_pmu_fw_halted; // @[dec_tlu_ctl.scala 1519:94] reg [4:0] temp_ncount6_2; // @[Reg.scala 27:20] reg temp_ncount0; // @[Reg.scala 27:20] wire [6:0] mcountinhibit = {temp_ncount6_2,1'h0,temp_ncount0}; // @[Cat.scala 29:58] - wire _T_89 = _T_87 | mcountinhibit[0]; // @[dec_tlu_ctl.scala 1520:121] - wire mcyclel_cout_in = ~_T_89; // @[dec_tlu_ctl.scala 1520:24] + wire _T_89 = _T_87 | mcountinhibit[0]; // @[dec_tlu_ctl.scala 1519:121] + wire mcyclel_cout_in = ~_T_89; // @[dec_tlu_ctl.scala 1519:24] wire [31:0] _T_90 = {31'h0,mcyclel_cout_in}; // @[Cat.scala 29:58] reg [31:0] mcyclel; // @[lib.scala 374:16] - wire [32:0] mcyclel_inc = mcyclel + _T_90; // @[dec_tlu_ctl.scala 1524:25] - wire mcyclel_cout = mcyclel_inc[32]; // @[dec_tlu_ctl.scala 1526:32] - wire _T_101 = io_dec_csr_wraddr_r == 12'hb80; // @[dec_tlu_ctl.scala 1534:68] - wire wr_mcycleh_r = io_dec_csr_wen_r_mod & _T_101; // @[dec_tlu_ctl.scala 1534:39] - wire _T_98 = ~wr_mcycleh_r; // @[dec_tlu_ctl.scala 1528:71] - reg mcyclel_cout_f; // @[dec_tlu_ctl.scala 1528:54] + wire [32:0] mcyclel_inc = mcyclel + _T_90; // @[dec_tlu_ctl.scala 1523:25] + wire mcyclel_cout = mcyclel_inc[32]; // @[dec_tlu_ctl.scala 1525:32] + wire _T_101 = io_dec_csr_wraddr_r == 12'hb80; // @[dec_tlu_ctl.scala 1533:68] + wire wr_mcycleh_r = io_dec_csr_wen_r_mod & _T_101; // @[dec_tlu_ctl.scala 1533:39] + wire _T_98 = ~wr_mcycleh_r; // @[dec_tlu_ctl.scala 1527:71] + reg mcyclel_cout_f; // @[dec_tlu_ctl.scala 1527:54] wire [31:0] _T_103 = {31'h0,mcyclel_cout_f}; // @[Cat.scala 29:58] reg [31:0] mcycleh; // @[lib.scala 374:16] - wire [31:0] mcycleh_inc = mcycleh + _T_103; // @[dec_tlu_ctl.scala 1536:28] - wire _T_109 = io_ebreak_r | io_ecall_r; // @[dec_tlu_ctl.scala 1553:72] - wire _T_110 = _T_109 | io_ebreak_to_debug_mode_r; // @[dec_tlu_ctl.scala 1553:85] - wire _T_111 = _T_110 | io_illegal_r; // @[dec_tlu_ctl.scala 1553:113] - wire _T_113 = _T_111 | mcountinhibit[2]; // @[dec_tlu_ctl.scala 1553:128] - wire _T_115 = ~_T_113; // @[dec_tlu_ctl.scala 1553:58] - wire i0_valid_no_ebreak_ecall_r = io_tlu_i0_commit_cmt & _T_115; // @[dec_tlu_ctl.scala 1553:56] - wire _T_117 = io_dec_csr_wraddr_r == 12'hb02; // @[dec_tlu_ctl.scala 1555:73] - wire wr_minstretl_r = io_dec_csr_wen_r_mod & _T_117; // @[dec_tlu_ctl.scala 1555:44] + wire [31:0] mcycleh_inc = mcycleh + _T_103; // @[dec_tlu_ctl.scala 1535:28] + wire _T_109 = io_ebreak_r | io_ecall_r; // @[dec_tlu_ctl.scala 1552:72] + wire _T_110 = _T_109 | io_ebreak_to_debug_mode_r; // @[dec_tlu_ctl.scala 1552:85] + wire _T_111 = _T_110 | io_illegal_r; // @[dec_tlu_ctl.scala 1552:113] + wire _T_113 = _T_111 | mcountinhibit[2]; // @[dec_tlu_ctl.scala 1552:128] + wire _T_115 = ~_T_113; // @[dec_tlu_ctl.scala 1552:58] + wire i0_valid_no_ebreak_ecall_r = io_tlu_i0_commit_cmt & _T_115; // @[dec_tlu_ctl.scala 1552:56] + wire _T_117 = io_dec_csr_wraddr_r == 12'hb02; // @[dec_tlu_ctl.scala 1554:73] + wire wr_minstretl_r = io_dec_csr_wen_r_mod & _T_117; // @[dec_tlu_ctl.scala 1554:44] wire [31:0] _T_118 = {31'h0,i0_valid_no_ebreak_ecall_r}; // @[Cat.scala 29:58] reg [31:0] minstretl; // @[lib.scala 374:16] - wire [32:0] minstretl_inc = minstretl + _T_118; // @[dec_tlu_ctl.scala 1557:29] - wire minstretl_cout = minstretl_inc[32]; // @[dec_tlu_ctl.scala 1558:36] - reg minstret_enable_f; // @[dec_tlu_ctl.scala 1563:56] - wire _T_128 = io_dec_csr_wraddr_r == 12'hb82; // @[dec_tlu_ctl.scala 1572:71] - wire wr_minstreth_r = io_dec_csr_wen_r_mod & _T_128; // @[dec_tlu_ctl.scala 1572:42] - wire _T_125 = ~wr_minstreth_r; // @[dec_tlu_ctl.scala 1564:75] - reg minstretl_cout_f; // @[dec_tlu_ctl.scala 1564:56] + wire [32:0] minstretl_inc = minstretl + _T_118; // @[dec_tlu_ctl.scala 1556:29] + wire minstretl_cout = minstretl_inc[32]; // @[dec_tlu_ctl.scala 1557:36] + reg minstret_enable_f; // @[dec_tlu_ctl.scala 1562:56] + wire _T_128 = io_dec_csr_wraddr_r == 12'hb82; // @[dec_tlu_ctl.scala 1571:71] + wire wr_minstreth_r = io_dec_csr_wen_r_mod & _T_128; // @[dec_tlu_ctl.scala 1571:42] + wire _T_125 = ~wr_minstreth_r; // @[dec_tlu_ctl.scala 1563:75] + reg minstretl_cout_f; // @[dec_tlu_ctl.scala 1563:56] wire [31:0] _T_131 = {31'h0,minstretl_cout_f}; // @[Cat.scala 29:58] reg [31:0] minstreth; // @[lib.scala 374:16] - wire [31:0] minstreth_inc = minstreth + _T_131; // @[dec_tlu_ctl.scala 1575:29] - wire _T_139 = io_dec_csr_wraddr_r == 12'h340; // @[dec_tlu_ctl.scala 1586:72] + wire [31:0] minstreth_inc = minstreth + _T_131; // @[dec_tlu_ctl.scala 1574:29] + wire _T_139 = io_dec_csr_wraddr_r == 12'h340; // @[dec_tlu_ctl.scala 1585:72] reg [31:0] mscratch; // @[lib.scala 374:16] - wire _T_142 = ~io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 1597:22] - wire _T_143 = ~io_tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 1597:47] - wire _T_144 = _T_142 & _T_143; // @[dec_tlu_ctl.scala 1597:45] - wire sel_exu_npc_r = _T_144 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 1597:72] - wire _T_146 = _T_142 & io_tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 1598:47] - wire _T_147 = ~io_dec_tlu_flush_noredir_r_d1; // @[dec_tlu_ctl.scala 1598:75] - wire sel_flush_npc_r = _T_146 & _T_147; // @[dec_tlu_ctl.scala 1598:73] - wire _T_148 = ~sel_exu_npc_r; // @[dec_tlu_ctl.scala 1599:23] - wire _T_149 = ~sel_flush_npc_r; // @[dec_tlu_ctl.scala 1599:40] - wire sel_hold_npc_r = _T_148 & _T_149; // @[dec_tlu_ctl.scala 1599:38] - wire _T_151 = ~io_mpc_reset_run_req; // @[dec_tlu_ctl.scala 1603:13] - wire _T_152 = _T_151 & io_reset_delayed; // @[dec_tlu_ctl.scala 1603:35] + wire _T_142 = ~io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 1596:22] + wire _T_143 = ~io_tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 1596:47] + wire _T_144 = _T_142 & _T_143; // @[dec_tlu_ctl.scala 1596:45] + wire sel_exu_npc_r = _T_144 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 1596:72] + wire _T_146 = _T_142 & io_tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 1597:47] + wire _T_147 = ~io_dec_tlu_flush_noredir_r_d1; // @[dec_tlu_ctl.scala 1597:75] + wire sel_flush_npc_r = _T_146 & _T_147; // @[dec_tlu_ctl.scala 1597:73] + wire _T_148 = ~sel_exu_npc_r; // @[dec_tlu_ctl.scala 1598:23] + wire _T_149 = ~sel_flush_npc_r; // @[dec_tlu_ctl.scala 1598:40] + wire sel_hold_npc_r = _T_148 & _T_149; // @[dec_tlu_ctl.scala 1598:38] + wire _T_151 = ~io_mpc_reset_run_req; // @[dec_tlu_ctl.scala 1602:13] + wire _T_152 = _T_151 & io_reset_delayed; // @[dec_tlu_ctl.scala 1602:35] wire [30:0] _T_156 = sel_exu_npc_r ? io_exu_npc_r : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_157 = _T_152 ? io_rst_vec : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_158 = sel_flush_npc_r ? io_tlu_flush_path_r_d1 : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_159 = sel_hold_npc_r ? io_npc_r_d1 : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_160 = _T_156 | _T_157; // @[Mux.scala 27:72] wire [30:0] _T_161 = _T_160 | _T_158; // @[Mux.scala 27:72] - wire _T_164 = sel_exu_npc_r | sel_flush_npc_r; // @[dec_tlu_ctl.scala 1607:48] + wire _T_164 = sel_exu_npc_r | sel_flush_npc_r; // @[dec_tlu_ctl.scala 1606:48] reg [30:0] _T_167; // @[lib.scala 374:16] - wire pc0_valid_r = _T_142 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 1610:44] - wire _T_170 = ~pc0_valid_r; // @[dec_tlu_ctl.scala 1614:22] + wire pc0_valid_r = _T_142 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 1609:44] + wire _T_170 = ~pc0_valid_r; // @[dec_tlu_ctl.scala 1613:22] wire [30:0] _T_171 = pc0_valid_r ? io_dec_tlu_i0_pc_r : 31'h0; // @[Mux.scala 27:72] reg [30:0] pc_r_d1; // @[lib.scala 374:16] wire [30:0] _T_172 = _T_170 ? pc_r_d1 : 31'h0; // @[Mux.scala 27:72] wire [30:0] pc_r = _T_171 | _T_172; // @[Mux.scala 27:72] - wire _T_176 = io_dec_csr_wraddr_r == 12'h341; // @[dec_tlu_ctl.scala 1618:68] - wire wr_mepc_r = io_dec_csr_wen_r_mod & _T_176; // @[dec_tlu_ctl.scala 1618:39] - wire _T_177 = io_i0_exception_valid_r | io_lsu_exc_valid_r; // @[dec_tlu_ctl.scala 1621:27] - wire _T_178 = _T_177 | io_mepc_trigger_hit_sel_pc_r; // @[dec_tlu_ctl.scala 1621:48] - wire _T_182 = wr_mepc_r & _T_17; // @[dec_tlu_ctl.scala 1623:13] - wire _T_185 = ~wr_mepc_r; // @[dec_tlu_ctl.scala 1624:3] - wire _T_187 = _T_185 & _T_17; // @[dec_tlu_ctl.scala 1624:14] + wire _T_176 = io_dec_csr_wraddr_r == 12'h341; // @[dec_tlu_ctl.scala 1617:68] + wire wr_mepc_r = io_dec_csr_wen_r_mod & _T_176; // @[dec_tlu_ctl.scala 1617:39] + wire _T_177 = io_i0_exception_valid_r | io_lsu_exc_valid_r; // @[dec_tlu_ctl.scala 1620:27] + wire _T_178 = _T_177 | io_mepc_trigger_hit_sel_pc_r; // @[dec_tlu_ctl.scala 1620:48] + wire _T_182 = wr_mepc_r & _T_17; // @[dec_tlu_ctl.scala 1622:13] + wire _T_185 = ~wr_mepc_r; // @[dec_tlu_ctl.scala 1623:3] + wire _T_187 = _T_185 & _T_17; // @[dec_tlu_ctl.scala 1623:14] wire [30:0] _T_189 = _T_178 ? pc_r : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_190 = io_interrupt_valid_r ? io_npc_r : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_191 = _T_182 ? io_dec_csr_wrdata_r[31:1] : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_192 = _T_187 ? io_mepc : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_193 = _T_189 | _T_190; // @[Mux.scala 27:72] wire [30:0] _T_194 = _T_193 | _T_191; // @[Mux.scala 27:72] - reg [30:0] _T_196; // @[dec_tlu_ctl.scala 1626:47] - wire _T_198 = io_dec_csr_wraddr_r == 12'h342; // @[dec_tlu_ctl.scala 1633:72] - wire wr_mcause_r = io_dec_csr_wen_r_mod & _T_198; // @[dec_tlu_ctl.scala 1633:43] - wire _T_199 = io_exc_or_int_valid_r & io_take_nmi; // @[dec_tlu_ctl.scala 1634:53] - wire mcause_sel_nmi_store = _T_199 & io_nmi_lsu_store_type; // @[dec_tlu_ctl.scala 1634:67] - wire mcause_sel_nmi_load = _T_199 & io_nmi_lsu_load_type; // @[dec_tlu_ctl.scala 1635:66] - wire _T_202 = |io_lsu_fir_error; // @[dec_tlu_ctl.scala 1636:84] - wire mcause_sel_nmi_ext = _T_199 & _T_202; // @[dec_tlu_ctl.scala 1636:65] - wire _T_203 = &io_lsu_fir_error; // @[dec_tlu_ctl.scala 1642:53] - wire _T_206 = ~io_lsu_fir_error[0]; // @[dec_tlu_ctl.scala 1642:82] - wire _T_207 = io_lsu_fir_error[1] & _T_206; // @[dec_tlu_ctl.scala 1642:80] + reg [30:0] _T_196; // @[dec_tlu_ctl.scala 1625:47] + wire _T_198 = io_dec_csr_wraddr_r == 12'h342; // @[dec_tlu_ctl.scala 1632:72] + wire wr_mcause_r = io_dec_csr_wen_r_mod & _T_198; // @[dec_tlu_ctl.scala 1632:43] + wire _T_199 = io_exc_or_int_valid_r & io_take_nmi; // @[dec_tlu_ctl.scala 1633:53] + wire mcause_sel_nmi_store = _T_199 & io_nmi_lsu_store_type; // @[dec_tlu_ctl.scala 1633:67] + wire mcause_sel_nmi_load = _T_199 & io_nmi_lsu_load_type; // @[dec_tlu_ctl.scala 1634:66] + wire _T_202 = |io_lsu_fir_error; // @[dec_tlu_ctl.scala 1635:84] + wire mcause_sel_nmi_ext = _T_199 & _T_202; // @[dec_tlu_ctl.scala 1635:65] + wire _T_203 = &io_lsu_fir_error; // @[dec_tlu_ctl.scala 1641:53] + wire _T_206 = ~io_lsu_fir_error[0]; // @[dec_tlu_ctl.scala 1641:82] + wire _T_207 = io_lsu_fir_error[1] & _T_206; // @[dec_tlu_ctl.scala 1641:80] wire [31:0] _T_212 = {30'h3c000400,_T_203,_T_207}; // @[Cat.scala 29:58] - wire _T_213 = ~io_take_nmi; // @[dec_tlu_ctl.scala 1648:56] - wire _T_214 = io_exc_or_int_valid_r & _T_213; // @[dec_tlu_ctl.scala 1648:54] + wire _T_213 = ~io_take_nmi; // @[dec_tlu_ctl.scala 1647:56] + wire _T_214 = io_exc_or_int_valid_r & _T_213; // @[dec_tlu_ctl.scala 1647:54] wire [31:0] _T_217 = {io_interrupt_valid_r,26'h0,io_exc_cause_r}; // @[Cat.scala 29:58] - wire _T_219 = wr_mcause_r & _T_17; // @[dec_tlu_ctl.scala 1649:44] - wire _T_221 = ~wr_mcause_r; // @[dec_tlu_ctl.scala 1650:32] - wire _T_223 = _T_221 & _T_17; // @[dec_tlu_ctl.scala 1650:45] + wire _T_219 = wr_mcause_r & _T_17; // @[dec_tlu_ctl.scala 1648:44] + wire _T_221 = ~wr_mcause_r; // @[dec_tlu_ctl.scala 1649:32] + wire _T_223 = _T_221 & _T_17; // @[dec_tlu_ctl.scala 1649:45] wire [31:0] _T_225 = mcause_sel_nmi_store ? 32'hf0000000 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_226 = mcause_sel_nmi_load ? 32'hf0000001 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_227 = mcause_sel_nmi_ext ? _T_212 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_228 = _T_214 ? _T_217 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_229 = _T_219 ? io_dec_csr_wrdata_r : 32'h0; // @[Mux.scala 27:72] - reg [31:0] mcause; // @[dec_tlu_ctl.scala 1652:49] + reg [31:0] mcause; // @[dec_tlu_ctl.scala 1651:49] wire [31:0] _T_230 = _T_223 ? mcause : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_231 = _T_225 | _T_226; // @[Mux.scala 27:72] wire [31:0] _T_232 = _T_231 | _T_227; // @[Mux.scala 27:72] wire [31:0] _T_233 = _T_232 | _T_228; // @[Mux.scala 27:72] wire [31:0] _T_234 = _T_233 | _T_229; // @[Mux.scala 27:72] - wire _T_238 = io_dec_csr_wraddr_r == 12'h7ff; // @[dec_tlu_ctl.scala 1659:71] - wire wr_mscause_r = io_dec_csr_wen_r_mod & _T_238; // @[dec_tlu_ctl.scala 1659:42] - wire _T_239 = io_dec_tlu_packet_r_icaf_type == 2'h0; // @[dec_tlu_ctl.scala 1661:56] + wire _T_238 = io_dec_csr_wraddr_r == 12'h7ff; // @[dec_tlu_ctl.scala 1658:71] + wire wr_mscause_r = io_dec_csr_wen_r_mod & _T_238; // @[dec_tlu_ctl.scala 1658:42] + wire _T_239 = io_dec_tlu_packet_r_icaf_type == 2'h0; // @[dec_tlu_ctl.scala 1660:56] wire [3:0] _T_240 = {2'h0,io_dec_tlu_packet_r_icaf_type}; // @[Cat.scala 29:58] - wire [3:0] ifu_mscause = _T_239 ? 4'h9 : _T_240; // @[dec_tlu_ctl.scala 1661:24] + wire [3:0] ifu_mscause = _T_239 ? 4'h9 : _T_240; // @[dec_tlu_ctl.scala 1660:24] wire [3:0] _T_245 = io_lsu_i0_exc_r ? io_lsu_error_pkt_r_bits_mscause : 4'h0; // @[Mux.scala 27:72] wire [1:0] _T_247 = io_ebreak_r ? 2'h2 : 2'h0; // @[Mux.scala 27:72] wire [3:0] _T_248 = io_inst_acc_r ? ifu_mscause : 4'h0; // @[Mux.scala 27:72] @@ -51083,193 +51123,193 @@ module csr_tlu( wire [3:0] _GEN_13 = {{2'd0}, _T_247}; // @[Mux.scala 27:72] wire [3:0] _T_250 = _T_249 | _GEN_13; // @[Mux.scala 27:72] wire [3:0] mscause_type = _T_250 | _T_248; // @[Mux.scala 27:72] - wire _T_254 = wr_mscause_r & _T_17; // @[dec_tlu_ctl.scala 1672:38] - wire _T_257 = ~wr_mscause_r; // @[dec_tlu_ctl.scala 1673:25] - wire _T_259 = _T_257 & _T_17; // @[dec_tlu_ctl.scala 1673:39] + wire _T_254 = wr_mscause_r & _T_17; // @[dec_tlu_ctl.scala 1671:38] + wire _T_257 = ~wr_mscause_r; // @[dec_tlu_ctl.scala 1672:25] + wire _T_259 = _T_257 & _T_17; // @[dec_tlu_ctl.scala 1672:39] wire [3:0] _T_261 = io_exc_or_int_valid_r ? mscause_type : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_262 = _T_254 ? io_dec_csr_wrdata_r[3:0] : 4'h0; // @[Mux.scala 27:72] - reg [3:0] mscause; // @[dec_tlu_ctl.scala 1675:47] + reg [3:0] mscause; // @[dec_tlu_ctl.scala 1674:47] wire [3:0] _T_263 = _T_259 ? mscause : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_264 = _T_261 | _T_262; // @[Mux.scala 27:72] - wire _T_268 = io_dec_csr_wraddr_r == 12'h343; // @[dec_tlu_ctl.scala 1682:69] - wire wr_mtval_r = io_dec_csr_wen_r_mod & _T_268; // @[dec_tlu_ctl.scala 1682:40] - wire _T_269 = ~io_inst_acc_second_r; // @[dec_tlu_ctl.scala 1683:83] - wire _T_270 = io_inst_acc_r & _T_269; // @[dec_tlu_ctl.scala 1683:81] - wire _T_271 = io_ebreak_r | _T_270; // @[dec_tlu_ctl.scala 1683:64] - wire _T_272 = _T_271 | io_mepc_trigger_hit_sel_pc_r; // @[dec_tlu_ctl.scala 1683:106] - wire _T_273 = io_exc_or_int_valid_r & _T_272; // @[dec_tlu_ctl.scala 1683:49] - wire mtval_capture_pc_r = _T_273 & _T_213; // @[dec_tlu_ctl.scala 1683:138] - wire _T_275 = io_inst_acc_r & io_inst_acc_second_r; // @[dec_tlu_ctl.scala 1684:72] - wire _T_276 = io_exc_or_int_valid_r & _T_275; // @[dec_tlu_ctl.scala 1684:55] - wire mtval_capture_pc_plus2_r = _T_276 & _T_213; // @[dec_tlu_ctl.scala 1684:96] - wire _T_278 = io_exc_or_int_valid_r & io_illegal_r; // @[dec_tlu_ctl.scala 1685:51] - wire mtval_capture_inst_r = _T_278 & _T_213; // @[dec_tlu_ctl.scala 1685:66] - wire _T_280 = io_exc_or_int_valid_r & io_lsu_exc_valid_r; // @[dec_tlu_ctl.scala 1686:50] - wire mtval_capture_lsu_r = _T_280 & _T_213; // @[dec_tlu_ctl.scala 1686:71] - wire _T_282 = ~mtval_capture_pc_r; // @[dec_tlu_ctl.scala 1687:46] - wire _T_283 = io_exc_or_int_valid_r & _T_282; // @[dec_tlu_ctl.scala 1687:44] - wire _T_284 = ~mtval_capture_inst_r; // @[dec_tlu_ctl.scala 1687:68] - wire _T_285 = _T_283 & _T_284; // @[dec_tlu_ctl.scala 1687:66] - wire _T_286 = ~mtval_capture_lsu_r; // @[dec_tlu_ctl.scala 1687:92] - wire _T_287 = _T_285 & _T_286; // @[dec_tlu_ctl.scala 1687:90] - wire _T_288 = ~io_mepc_trigger_hit_sel_pc_r; // @[dec_tlu_ctl.scala 1687:115] - wire mtval_clear_r = _T_287 & _T_288; // @[dec_tlu_ctl.scala 1687:113] + wire _T_268 = io_dec_csr_wraddr_r == 12'h343; // @[dec_tlu_ctl.scala 1681:69] + wire wr_mtval_r = io_dec_csr_wen_r_mod & _T_268; // @[dec_tlu_ctl.scala 1681:40] + wire _T_269 = ~io_inst_acc_second_r; // @[dec_tlu_ctl.scala 1682:83] + wire _T_270 = io_inst_acc_r & _T_269; // @[dec_tlu_ctl.scala 1682:81] + wire _T_271 = io_ebreak_r | _T_270; // @[dec_tlu_ctl.scala 1682:64] + wire _T_272 = _T_271 | io_mepc_trigger_hit_sel_pc_r; // @[dec_tlu_ctl.scala 1682:106] + wire _T_273 = io_exc_or_int_valid_r & _T_272; // @[dec_tlu_ctl.scala 1682:49] + wire mtval_capture_pc_r = _T_273 & _T_213; // @[dec_tlu_ctl.scala 1682:138] + wire _T_275 = io_inst_acc_r & io_inst_acc_second_r; // @[dec_tlu_ctl.scala 1683:72] + wire _T_276 = io_exc_or_int_valid_r & _T_275; // @[dec_tlu_ctl.scala 1683:55] + wire mtval_capture_pc_plus2_r = _T_276 & _T_213; // @[dec_tlu_ctl.scala 1683:96] + wire _T_278 = io_exc_or_int_valid_r & io_illegal_r; // @[dec_tlu_ctl.scala 1684:51] + wire mtval_capture_inst_r = _T_278 & _T_213; // @[dec_tlu_ctl.scala 1684:66] + wire _T_280 = io_exc_or_int_valid_r & io_lsu_exc_valid_r; // @[dec_tlu_ctl.scala 1685:50] + wire mtval_capture_lsu_r = _T_280 & _T_213; // @[dec_tlu_ctl.scala 1685:71] + wire _T_282 = ~mtval_capture_pc_r; // @[dec_tlu_ctl.scala 1686:46] + wire _T_283 = io_exc_or_int_valid_r & _T_282; // @[dec_tlu_ctl.scala 1686:44] + wire _T_284 = ~mtval_capture_inst_r; // @[dec_tlu_ctl.scala 1686:68] + wire _T_285 = _T_283 & _T_284; // @[dec_tlu_ctl.scala 1686:66] + wire _T_286 = ~mtval_capture_lsu_r; // @[dec_tlu_ctl.scala 1686:92] + wire _T_287 = _T_285 & _T_286; // @[dec_tlu_ctl.scala 1686:90] + wire _T_288 = ~io_mepc_trigger_hit_sel_pc_r; // @[dec_tlu_ctl.scala 1686:115] + wire mtval_clear_r = _T_287 & _T_288; // @[dec_tlu_ctl.scala 1686:113] wire [31:0] _T_290 = {pc_r,1'h0}; // @[Cat.scala 29:58] - wire [30:0] _T_293 = pc_r + 31'h1; // @[dec_tlu_ctl.scala 1692:83] + wire [30:0] _T_293 = pc_r + 31'h1; // @[dec_tlu_ctl.scala 1691:83] wire [31:0] _T_294 = {_T_293,1'h0}; // @[Cat.scala 29:58] - wire _T_297 = ~io_interrupt_valid_r; // @[dec_tlu_ctl.scala 1695:18] - wire _T_298 = wr_mtval_r & _T_297; // @[dec_tlu_ctl.scala 1695:16] - wire _T_301 = ~wr_mtval_r; // @[dec_tlu_ctl.scala 1696:20] - wire _T_302 = _T_213 & _T_301; // @[dec_tlu_ctl.scala 1696:18] - wire _T_304 = _T_302 & _T_282; // @[dec_tlu_ctl.scala 1696:32] - wire _T_306 = _T_304 & _T_284; // @[dec_tlu_ctl.scala 1696:54] - wire _T_307 = ~mtval_clear_r; // @[dec_tlu_ctl.scala 1696:80] - wire _T_308 = _T_306 & _T_307; // @[dec_tlu_ctl.scala 1696:78] - wire _T_310 = _T_308 & _T_286; // @[dec_tlu_ctl.scala 1696:95] + wire _T_297 = ~io_interrupt_valid_r; // @[dec_tlu_ctl.scala 1694:18] + wire _T_298 = wr_mtval_r & _T_297; // @[dec_tlu_ctl.scala 1694:16] + wire _T_301 = ~wr_mtval_r; // @[dec_tlu_ctl.scala 1695:20] + wire _T_302 = _T_213 & _T_301; // @[dec_tlu_ctl.scala 1695:18] + wire _T_304 = _T_302 & _T_282; // @[dec_tlu_ctl.scala 1695:32] + wire _T_306 = _T_304 & _T_284; // @[dec_tlu_ctl.scala 1695:54] + wire _T_307 = ~mtval_clear_r; // @[dec_tlu_ctl.scala 1695:80] + wire _T_308 = _T_306 & _T_307; // @[dec_tlu_ctl.scala 1695:78] + wire _T_310 = _T_308 & _T_286; // @[dec_tlu_ctl.scala 1695:95] wire [31:0] _T_312 = mtval_capture_pc_r ? _T_290 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_313 = mtval_capture_pc_plus2_r ? _T_294 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_314 = mtval_capture_inst_r ? io_dec_illegal_inst : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_315 = mtval_capture_lsu_r ? io_lsu_error_pkt_addr_r : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_316 = _T_298 ? io_dec_csr_wrdata_r : 32'h0; // @[Mux.scala 27:72] - reg [31:0] mtval; // @[dec_tlu_ctl.scala 1698:46] + reg [31:0] mtval; // @[dec_tlu_ctl.scala 1697:46] wire [31:0] _T_317 = _T_310 ? mtval : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_318 = _T_312 | _T_313; // @[Mux.scala 27:72] wire [31:0] _T_319 = _T_318 | _T_314; // @[Mux.scala 27:72] wire [31:0] _T_320 = _T_319 | _T_315; // @[Mux.scala 27:72] wire [31:0] _T_321 = _T_320 | _T_316; // @[Mux.scala 27:72] - wire _T_325 = io_dec_csr_wraddr_r == 12'h7f8; // @[dec_tlu_ctl.scala 1713:68] + wire _T_325 = io_dec_csr_wraddr_r == 12'h7f8; // @[dec_tlu_ctl.scala 1712:68] reg [8:0] mcgc; // @[lib.scala 374:16] - wire _T_337 = io_dec_csr_wraddr_r == 12'h7f9; // @[dec_tlu_ctl.scala 1743:68] + wire _T_337 = io_dec_csr_wraddr_r == 12'h7f9; // @[dec_tlu_ctl.scala 1742:68] reg [14:0] mfdc_int; // @[lib.scala 374:16] - wire [2:0] _T_341 = ~io_dec_csr_wrdata_r[18:16]; // @[dec_tlu_ctl.scala 1752:20] - wire _T_344 = ~io_dec_csr_wrdata_r[6]; // @[dec_tlu_ctl.scala 1752:75] + wire [2:0] _T_341 = ~io_dec_csr_wrdata_r[18:16]; // @[dec_tlu_ctl.scala 1751:20] + wire _T_344 = ~io_dec_csr_wrdata_r[6]; // @[dec_tlu_ctl.scala 1751:75] wire [6:0] _T_346 = {_T_344,io_dec_csr_wrdata_r[5:0]}; // @[Cat.scala 29:58] wire [7:0] _T_347 = {_T_341,io_dec_csr_wrdata_r[11:7]}; // @[Cat.scala 29:58] - wire [2:0] _T_350 = ~mfdc_int[14:12]; // @[dec_tlu_ctl.scala 1753:20] - wire _T_353 = ~mfdc_int[6]; // @[dec_tlu_ctl.scala 1753:63] + wire [2:0] _T_350 = ~mfdc_int[14:12]; // @[dec_tlu_ctl.scala 1752:20] + wire _T_353 = ~mfdc_int[6]; // @[dec_tlu_ctl.scala 1752:63] wire [18:0] mfdc = {_T_350,4'h0,mfdc_int[11:7],_T_353,mfdc_int[5:0]}; // @[Cat.scala 29:58] - wire _T_367 = io_dec_csr_wraddr_r == 12'h7c2; // @[dec_tlu_ctl.scala 1776:77] - wire _T_368 = io_dec_csr_wen_r_mod & _T_367; // @[dec_tlu_ctl.scala 1776:48] - wire _T_370 = _T_368 & _T_297; // @[dec_tlu_ctl.scala 1776:87] - wire _T_371 = ~io_take_ext_int_start; // @[dec_tlu_ctl.scala 1776:113] - wire _T_374 = io_dec_csr_wraddr_r == 12'h7c0; // @[dec_tlu_ctl.scala 1783:68] - wire _T_378 = ~io_dec_csr_wrdata_r[31]; // @[dec_tlu_ctl.scala 1786:71] - wire _T_379 = io_dec_csr_wrdata_r[30] & _T_378; // @[dec_tlu_ctl.scala 1786:69] - wire _T_383 = ~io_dec_csr_wrdata_r[29]; // @[dec_tlu_ctl.scala 1787:73] - wire _T_384 = io_dec_csr_wrdata_r[28] & _T_383; // @[dec_tlu_ctl.scala 1787:71] - wire _T_388 = ~io_dec_csr_wrdata_r[27]; // @[dec_tlu_ctl.scala 1788:73] - wire _T_389 = io_dec_csr_wrdata_r[26] & _T_388; // @[dec_tlu_ctl.scala 1788:71] - wire _T_393 = ~io_dec_csr_wrdata_r[25]; // @[dec_tlu_ctl.scala 1789:73] - wire _T_394 = io_dec_csr_wrdata_r[24] & _T_393; // @[dec_tlu_ctl.scala 1789:71] - wire _T_398 = ~io_dec_csr_wrdata_r[23]; // @[dec_tlu_ctl.scala 1790:73] - wire _T_399 = io_dec_csr_wrdata_r[22] & _T_398; // @[dec_tlu_ctl.scala 1790:71] - wire _T_403 = ~io_dec_csr_wrdata_r[21]; // @[dec_tlu_ctl.scala 1791:73] - wire _T_404 = io_dec_csr_wrdata_r[20] & _T_403; // @[dec_tlu_ctl.scala 1791:71] - wire _T_408 = ~io_dec_csr_wrdata_r[19]; // @[dec_tlu_ctl.scala 1792:73] - wire _T_409 = io_dec_csr_wrdata_r[18] & _T_408; // @[dec_tlu_ctl.scala 1792:71] - wire _T_413 = ~io_dec_csr_wrdata_r[17]; // @[dec_tlu_ctl.scala 1793:73] - wire _T_414 = io_dec_csr_wrdata_r[16] & _T_413; // @[dec_tlu_ctl.scala 1793:71] - wire _T_418 = ~io_dec_csr_wrdata_r[15]; // @[dec_tlu_ctl.scala 1794:73] - wire _T_419 = io_dec_csr_wrdata_r[14] & _T_418; // @[dec_tlu_ctl.scala 1794:71] - wire _T_423 = ~io_dec_csr_wrdata_r[13]; // @[dec_tlu_ctl.scala 1795:73] - wire _T_424 = io_dec_csr_wrdata_r[12] & _T_423; // @[dec_tlu_ctl.scala 1795:71] - wire _T_428 = ~io_dec_csr_wrdata_r[11]; // @[dec_tlu_ctl.scala 1796:73] - wire _T_429 = io_dec_csr_wrdata_r[10] & _T_428; // @[dec_tlu_ctl.scala 1796:71] - wire _T_433 = ~io_dec_csr_wrdata_r[9]; // @[dec_tlu_ctl.scala 1797:73] - wire _T_434 = io_dec_csr_wrdata_r[8] & _T_433; // @[dec_tlu_ctl.scala 1797:70] - wire _T_438 = ~io_dec_csr_wrdata_r[7]; // @[dec_tlu_ctl.scala 1798:73] - wire _T_439 = io_dec_csr_wrdata_r[6] & _T_438; // @[dec_tlu_ctl.scala 1798:70] - wire _T_443 = ~io_dec_csr_wrdata_r[5]; // @[dec_tlu_ctl.scala 1799:73] - wire _T_444 = io_dec_csr_wrdata_r[4] & _T_443; // @[dec_tlu_ctl.scala 1799:70] - wire _T_448 = ~io_dec_csr_wrdata_r[3]; // @[dec_tlu_ctl.scala 1800:73] - wire _T_449 = io_dec_csr_wrdata_r[2] & _T_448; // @[dec_tlu_ctl.scala 1800:70] - wire _T_454 = io_dec_csr_wrdata_r[0] & _T_510; // @[dec_tlu_ctl.scala 1801:70] + wire _T_367 = io_dec_csr_wraddr_r == 12'h7c2; // @[dec_tlu_ctl.scala 1775:77] + wire _T_368 = io_dec_csr_wen_r_mod & _T_367; // @[dec_tlu_ctl.scala 1775:48] + wire _T_370 = _T_368 & _T_297; // @[dec_tlu_ctl.scala 1775:87] + wire _T_371 = ~io_take_ext_int_start; // @[dec_tlu_ctl.scala 1775:113] + wire _T_374 = io_dec_csr_wraddr_r == 12'h7c0; // @[dec_tlu_ctl.scala 1782:68] + wire _T_378 = ~io_dec_csr_wrdata_r[31]; // @[dec_tlu_ctl.scala 1785:71] + wire _T_379 = io_dec_csr_wrdata_r[30] & _T_378; // @[dec_tlu_ctl.scala 1785:69] + wire _T_383 = ~io_dec_csr_wrdata_r[29]; // @[dec_tlu_ctl.scala 1786:73] + wire _T_384 = io_dec_csr_wrdata_r[28] & _T_383; // @[dec_tlu_ctl.scala 1786:71] + wire _T_388 = ~io_dec_csr_wrdata_r[27]; // @[dec_tlu_ctl.scala 1787:73] + wire _T_389 = io_dec_csr_wrdata_r[26] & _T_388; // @[dec_tlu_ctl.scala 1787:71] + wire _T_393 = ~io_dec_csr_wrdata_r[25]; // @[dec_tlu_ctl.scala 1788:73] + wire _T_394 = io_dec_csr_wrdata_r[24] & _T_393; // @[dec_tlu_ctl.scala 1788:71] + wire _T_398 = ~io_dec_csr_wrdata_r[23]; // @[dec_tlu_ctl.scala 1789:73] + wire _T_399 = io_dec_csr_wrdata_r[22] & _T_398; // @[dec_tlu_ctl.scala 1789:71] + wire _T_403 = ~io_dec_csr_wrdata_r[21]; // @[dec_tlu_ctl.scala 1790:73] + wire _T_404 = io_dec_csr_wrdata_r[20] & _T_403; // @[dec_tlu_ctl.scala 1790:71] + wire _T_408 = ~io_dec_csr_wrdata_r[19]; // @[dec_tlu_ctl.scala 1791:73] + wire _T_409 = io_dec_csr_wrdata_r[18] & _T_408; // @[dec_tlu_ctl.scala 1791:71] + wire _T_413 = ~io_dec_csr_wrdata_r[17]; // @[dec_tlu_ctl.scala 1792:73] + wire _T_414 = io_dec_csr_wrdata_r[16] & _T_413; // @[dec_tlu_ctl.scala 1792:71] + wire _T_418 = ~io_dec_csr_wrdata_r[15]; // @[dec_tlu_ctl.scala 1793:73] + wire _T_419 = io_dec_csr_wrdata_r[14] & _T_418; // @[dec_tlu_ctl.scala 1793:71] + wire _T_423 = ~io_dec_csr_wrdata_r[13]; // @[dec_tlu_ctl.scala 1794:73] + wire _T_424 = io_dec_csr_wrdata_r[12] & _T_423; // @[dec_tlu_ctl.scala 1794:71] + wire _T_428 = ~io_dec_csr_wrdata_r[11]; // @[dec_tlu_ctl.scala 1795:73] + wire _T_429 = io_dec_csr_wrdata_r[10] & _T_428; // @[dec_tlu_ctl.scala 1795:71] + wire _T_433 = ~io_dec_csr_wrdata_r[9]; // @[dec_tlu_ctl.scala 1796:73] + wire _T_434 = io_dec_csr_wrdata_r[8] & _T_433; // @[dec_tlu_ctl.scala 1796:70] + wire _T_438 = ~io_dec_csr_wrdata_r[7]; // @[dec_tlu_ctl.scala 1797:73] + wire _T_439 = io_dec_csr_wrdata_r[6] & _T_438; // @[dec_tlu_ctl.scala 1797:70] + wire _T_443 = ~io_dec_csr_wrdata_r[5]; // @[dec_tlu_ctl.scala 1798:73] + wire _T_444 = io_dec_csr_wrdata_r[4] & _T_443; // @[dec_tlu_ctl.scala 1798:70] + wire _T_448 = ~io_dec_csr_wrdata_r[3]; // @[dec_tlu_ctl.scala 1799:73] + wire _T_449 = io_dec_csr_wrdata_r[2] & _T_448; // @[dec_tlu_ctl.scala 1799:70] + wire _T_454 = io_dec_csr_wrdata_r[0] & _T_510; // @[dec_tlu_ctl.scala 1800:70] wire [7:0] _T_461 = {io_dec_csr_wrdata_r[7],_T_439,io_dec_csr_wrdata_r[5],_T_444,io_dec_csr_wrdata_r[3],_T_449,io_dec_csr_wrdata_r[1],_T_454}; // @[Cat.scala 29:58] wire [15:0] _T_469 = {io_dec_csr_wrdata_r[15],_T_419,io_dec_csr_wrdata_r[13],_T_424,io_dec_csr_wrdata_r[11],_T_429,io_dec_csr_wrdata_r[9],_T_434,_T_461}; // @[Cat.scala 29:58] wire [7:0] _T_476 = {io_dec_csr_wrdata_r[23],_T_399,io_dec_csr_wrdata_r[21],_T_404,io_dec_csr_wrdata_r[19],_T_409,io_dec_csr_wrdata_r[17],_T_414}; // @[Cat.scala 29:58] wire [15:0] _T_484 = {io_dec_csr_wrdata_r[31],_T_379,io_dec_csr_wrdata_r[29],_T_384,io_dec_csr_wrdata_r[27],_T_389,io_dec_csr_wrdata_r[25],_T_394,_T_476}; // @[Cat.scala 29:58] reg [31:0] mrac; // @[lib.scala 374:16] - wire _T_487 = io_dec_csr_wraddr_r == 12'hbc0; // @[dec_tlu_ctl.scala 1814:69] - wire wr_mdeau_r = io_dec_csr_wen_r_mod & _T_487; // @[dec_tlu_ctl.scala 1814:40] - wire _T_488 = ~wr_mdeau_r; // @[dec_tlu_ctl.scala 1824:59] - wire _T_489 = io_mdseac_locked_f & _T_488; // @[dec_tlu_ctl.scala 1824:57] - wire _T_491 = io_lsu_imprecise_error_store_any | io_lsu_imprecise_error_load_any; // @[dec_tlu_ctl.scala 1826:49] - wire _T_492 = ~io_nmi_int_detected_f; // @[dec_tlu_ctl.scala 1826:86] - wire _T_493 = _T_491 & _T_492; // @[dec_tlu_ctl.scala 1826:84] - wire _T_494 = ~io_mdseac_locked_f; // @[dec_tlu_ctl.scala 1826:111] - wire mdseac_en = _T_493 & _T_494; // @[dec_tlu_ctl.scala 1826:109] + wire _T_487 = io_dec_csr_wraddr_r == 12'hbc0; // @[dec_tlu_ctl.scala 1813:69] + wire wr_mdeau_r = io_dec_csr_wen_r_mod & _T_487; // @[dec_tlu_ctl.scala 1813:40] + wire _T_488 = ~wr_mdeau_r; // @[dec_tlu_ctl.scala 1823:59] + wire _T_489 = io_mdseac_locked_f & _T_488; // @[dec_tlu_ctl.scala 1823:57] + wire _T_491 = io_lsu_imprecise_error_store_any | io_lsu_imprecise_error_load_any; // @[dec_tlu_ctl.scala 1825:49] + wire _T_492 = ~io_nmi_int_detected_f; // @[dec_tlu_ctl.scala 1825:86] + wire _T_493 = _T_491 & _T_492; // @[dec_tlu_ctl.scala 1825:84] + wire _T_494 = ~io_mdseac_locked_f; // @[dec_tlu_ctl.scala 1825:111] + wire mdseac_en = _T_493 & _T_494; // @[dec_tlu_ctl.scala 1825:109] reg [31:0] mdseac; // @[lib.scala 374:16] - wire _T_500 = wr_mpmc_r & io_dec_csr_wrdata_r[0]; // @[dec_tlu_ctl.scala 1841:30] - wire _T_501 = ~io_internal_dbg_halt_mode_f2; // @[dec_tlu_ctl.scala 1841:57] - wire _T_502 = _T_500 & _T_501; // @[dec_tlu_ctl.scala 1841:55] - wire _T_503 = ~io_ext_int_freeze_d1; // @[dec_tlu_ctl.scala 1841:89] - wire _T_516 = io_dec_csr_wrdata_r[31:27] > 5'h1a; // @[dec_tlu_ctl.scala 1859:48] - wire [4:0] csr_sat = _T_516 ? 5'h1a : io_dec_csr_wrdata_r[31:27]; // @[dec_tlu_ctl.scala 1859:19] - wire _T_519 = io_dec_csr_wraddr_r == 12'h7f0; // @[dec_tlu_ctl.scala 1861:70] - wire wr_micect_r = io_dec_csr_wen_r_mod & _T_519; // @[dec_tlu_ctl.scala 1861:41] + wire _T_500 = wr_mpmc_r & io_dec_csr_wrdata_r[0]; // @[dec_tlu_ctl.scala 1840:30] + wire _T_501 = ~io_internal_dbg_halt_mode_f2; // @[dec_tlu_ctl.scala 1840:57] + wire _T_502 = _T_500 & _T_501; // @[dec_tlu_ctl.scala 1840:55] + wire _T_503 = ~io_ext_int_freeze_d1; // @[dec_tlu_ctl.scala 1840:89] + wire _T_516 = io_dec_csr_wrdata_r[31:27] > 5'h1a; // @[dec_tlu_ctl.scala 1858:48] + wire [4:0] csr_sat = _T_516 ? 5'h1a : io_dec_csr_wrdata_r[31:27]; // @[dec_tlu_ctl.scala 1858:19] + wire _T_519 = io_dec_csr_wraddr_r == 12'h7f0; // @[dec_tlu_ctl.scala 1860:70] + wire wr_micect_r = io_dec_csr_wen_r_mod & _T_519; // @[dec_tlu_ctl.scala 1860:41] wire [26:0] _T_520 = {26'h0,io_ic_perr_r_d1}; // @[Cat.scala 29:58] - wire [31:0] _GEN_14 = {{5'd0}, _T_520}; // @[dec_tlu_ctl.scala 1862:23] - wire [31:0] _T_522 = micect + _GEN_14; // @[dec_tlu_ctl.scala 1862:23] + wire [31:0] _GEN_14 = {{5'd0}, _T_520}; // @[dec_tlu_ctl.scala 1861:23] + wire [31:0] _T_522 = micect + _GEN_14; // @[dec_tlu_ctl.scala 1861:23] wire [31:0] _T_525 = {csr_sat,io_dec_csr_wrdata_r[26:0]}; // @[Cat.scala 29:58] - wire [26:0] micect_inc = _T_522[26:0]; // @[dec_tlu_ctl.scala 1862:13] + wire [26:0] micect_inc = _T_522[26:0]; // @[dec_tlu_ctl.scala 1861:13] wire [31:0] _T_527 = {micect[31:27],micect_inc}; // @[Cat.scala 29:58] - wire _T_538 = io_dec_csr_wraddr_r == 12'h7f1; // @[dec_tlu_ctl.scala 1876:76] - wire wr_miccmect_r = io_dec_csr_wen_r_mod & _T_538; // @[dec_tlu_ctl.scala 1876:47] - wire _T_540 = io_iccm_sbecc_r_d1 | io_iccm_dma_sb_error; // @[dec_tlu_ctl.scala 1877:70] + wire _T_538 = io_dec_csr_wraddr_r == 12'h7f1; // @[dec_tlu_ctl.scala 1875:76] + wire wr_miccmect_r = io_dec_csr_wen_r_mod & _T_538; // @[dec_tlu_ctl.scala 1875:47] + wire _T_540 = io_iccm_sbecc_r_d1 | io_iccm_dma_sb_error; // @[dec_tlu_ctl.scala 1876:70] wire [26:0] _T_541 = {26'h0,_T_540}; // @[Cat.scala 29:58] - wire [26:0] miccmect_inc = miccmect[26:0] + _T_541; // @[dec_tlu_ctl.scala 1877:33] + wire [26:0] miccmect_inc = miccmect[26:0] + _T_541; // @[dec_tlu_ctl.scala 1876:33] wire [31:0] _T_548 = {miccmect[31:27],miccmect_inc}; // @[Cat.scala 29:58] - wire _T_549 = wr_miccmect_r | io_iccm_sbecc_r_d1; // @[dec_tlu_ctl.scala 1880:48] - wire _T_560 = io_dec_csr_wraddr_r == 12'h7f2; // @[dec_tlu_ctl.scala 1891:76] - wire wr_mdccmect_r = io_dec_csr_wen_r_mod & _T_560; // @[dec_tlu_ctl.scala 1891:47] + wire _T_549 = wr_miccmect_r | io_iccm_sbecc_r_d1; // @[dec_tlu_ctl.scala 1879:48] + wire _T_560 = io_dec_csr_wraddr_r == 12'h7f2; // @[dec_tlu_ctl.scala 1890:76] + wire wr_mdccmect_r = io_dec_csr_wen_r_mod & _T_560; // @[dec_tlu_ctl.scala 1890:47] wire [26:0] _T_562 = {26'h0,io_lsu_single_ecc_error_r_d1}; // @[Cat.scala 29:58] - wire [26:0] mdccmect_inc = mdccmect[26:0] + _T_562; // @[dec_tlu_ctl.scala 1892:33] + wire [26:0] mdccmect_inc = mdccmect[26:0] + _T_562; // @[dec_tlu_ctl.scala 1891:33] wire [31:0] _T_569 = {mdccmect[31:27],mdccmect_inc}; // @[Cat.scala 29:58] - wire _T_580 = io_dec_csr_wraddr_r == 12'h7ce; // @[dec_tlu_ctl.scala 1907:69] - wire wr_mfdht_r = io_dec_csr_wen_r_mod & _T_580; // @[dec_tlu_ctl.scala 1907:40] - reg [5:0] mfdht; // @[dec_tlu_ctl.scala 1911:43] - wire _T_585 = io_dec_csr_wraddr_r == 12'h7cf; // @[dec_tlu_ctl.scala 1920:69] - wire wr_mfdhs_r = io_dec_csr_wen_r_mod & _T_585; // @[dec_tlu_ctl.scala 1920:40] - wire _T_588 = ~io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 1923:43] - wire _T_589 = io_dbg_tlu_halted & _T_588; // @[dec_tlu_ctl.scala 1923:41] - wire _T_591 = ~io_lsu_idle_any_f; // @[dec_tlu_ctl.scala 1923:78] - wire _T_592 = ~io_ifu_miss_state_idle_f; // @[dec_tlu_ctl.scala 1923:98] + wire _T_580 = io_dec_csr_wraddr_r == 12'h7ce; // @[dec_tlu_ctl.scala 1906:69] + wire wr_mfdht_r = io_dec_csr_wen_r_mod & _T_580; // @[dec_tlu_ctl.scala 1906:40] + reg [5:0] mfdht; // @[dec_tlu_ctl.scala 1910:43] + wire _T_585 = io_dec_csr_wraddr_r == 12'h7cf; // @[dec_tlu_ctl.scala 1919:69] + wire wr_mfdhs_r = io_dec_csr_wen_r_mod & _T_585; // @[dec_tlu_ctl.scala 1919:40] + wire _T_588 = ~io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 1922:43] + wire _T_589 = io_dbg_tlu_halted & _T_588; // @[dec_tlu_ctl.scala 1922:41] + wire _T_591 = ~io_lsu_idle_any_f; // @[dec_tlu_ctl.scala 1922:78] + wire _T_592 = ~io_ifu_miss_state_idle_f; // @[dec_tlu_ctl.scala 1922:98] wire [1:0] _T_593 = {_T_591,_T_592}; // @[Cat.scala 29:58] reg [1:0] mfdhs; // @[Reg.scala 27:20] - wire _T_595 = wr_mfdhs_r | io_dbg_tlu_halted; // @[dec_tlu_ctl.scala 1925:71] + wire _T_595 = wr_mfdhs_r | io_dbg_tlu_halted; // @[dec_tlu_ctl.scala 1924:71] reg [31:0] force_halt_ctr_f; // @[Reg.scala 27:20] - wire [31:0] _T_600 = force_halt_ctr_f + 32'h1; // @[dec_tlu_ctl.scala 1927:74] - wire [62:0] _T_607 = 63'hffffffff << mfdht[5:1]; // @[dec_tlu_ctl.scala 1932:71] - wire [62:0] _GEN_15 = {{31'd0}, force_halt_ctr_f}; // @[dec_tlu_ctl.scala 1932:48] - wire [62:0] _T_608 = _GEN_15 & _T_607; // @[dec_tlu_ctl.scala 1932:48] - wire _T_609 = |_T_608; // @[dec_tlu_ctl.scala 1932:87] - wire _T_612 = io_dec_csr_wraddr_r == 12'hbc8; // @[dec_tlu_ctl.scala 1940:69] + wire [31:0] _T_600 = force_halt_ctr_f + 32'h1; // @[dec_tlu_ctl.scala 1926:74] + wire [62:0] _T_607 = 63'hffffffff << mfdht[5:1]; // @[dec_tlu_ctl.scala 1931:71] + wire [62:0] _GEN_15 = {{31'd0}, force_halt_ctr_f}; // @[dec_tlu_ctl.scala 1931:48] + wire [62:0] _T_608 = _GEN_15 & _T_607; // @[dec_tlu_ctl.scala 1931:48] + wire _T_609 = |_T_608; // @[dec_tlu_ctl.scala 1931:87] + wire _T_612 = io_dec_csr_wraddr_r == 12'hbc8; // @[dec_tlu_ctl.scala 1939:69] reg [21:0] meivt; // @[lib.scala 374:16] - wire _T_631 = io_dec_csr_wraddr_r == 12'hbca; // @[dec_tlu_ctl.scala 1991:69] - wire _T_632 = io_dec_csr_wen_r_mod & _T_631; // @[dec_tlu_ctl.scala 1991:40] - wire wr_meicpct_r = _T_632 | io_take_ext_int_start; // @[dec_tlu_ctl.scala 1991:83] + wire _T_631 = io_dec_csr_wraddr_r == 12'hbca; // @[dec_tlu_ctl.scala 1990:69] + wire _T_632 = io_dec_csr_wen_r_mod & _T_631; // @[dec_tlu_ctl.scala 1990:40] + wire wr_meicpct_r = _T_632 | io_take_ext_int_start; // @[dec_tlu_ctl.scala 1990:83] reg [7:0] meihap; // @[lib.scala 374:16] - wire _T_618 = io_dec_csr_wraddr_r == 12'hbcc; // @[dec_tlu_ctl.scala 1964:72] - wire wr_meicurpl_r = io_dec_csr_wen_r_mod & _T_618; // @[dec_tlu_ctl.scala 1964:43] - reg [3:0] meicurpl; // @[dec_tlu_ctl.scala 1967:46] - wire _T_623 = io_dec_csr_wraddr_r == 12'hbcb; // @[dec_tlu_ctl.scala 1979:73] - wire _T_624 = io_dec_csr_wen_r_mod & _T_623; // @[dec_tlu_ctl.scala 1979:44] - wire wr_meicidpl_r = _T_624 | io_take_ext_int_start; // @[dec_tlu_ctl.scala 1979:88] - reg [3:0] meicidpl; // @[dec_tlu_ctl.scala 1984:44] - wire _T_635 = io_dec_csr_wraddr_r == 12'hbc9; // @[dec_tlu_ctl.scala 2000:69] - wire wr_meipt_r = io_dec_csr_wen_r_mod & _T_635; // @[dec_tlu_ctl.scala 2000:40] - reg [3:0] meipt; // @[dec_tlu_ctl.scala 2003:43] - wire _T_639 = io_trigger_hit_r_d1 & io_dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 2031:89] - wire trigger_hit_for_dscr_cause_r_d1 = io_trigger_hit_dmode_r_d1 | _T_639; // @[dec_tlu_ctl.scala 2031:66] - wire _T_640 = ~io_ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 2034:31] - wire _T_641 = io_dcsr_single_step_done_f & _T_640; // @[dec_tlu_ctl.scala 2034:29] - wire _T_642 = ~trigger_hit_for_dscr_cause_r_d1; // @[dec_tlu_ctl.scala 2034:63] - wire _T_643 = _T_641 & _T_642; // @[dec_tlu_ctl.scala 2034:61] - wire _T_644 = ~io_debug_halt_req; // @[dec_tlu_ctl.scala 2034:98] - wire _T_645 = _T_643 & _T_644; // @[dec_tlu_ctl.scala 2034:96] - wire _T_648 = io_debug_halt_req & _T_640; // @[dec_tlu_ctl.scala 2035:46] - wire _T_650 = _T_648 & _T_642; // @[dec_tlu_ctl.scala 2035:78] - wire _T_653 = io_ebreak_to_debug_mode_r_d1 & _T_642; // @[dec_tlu_ctl.scala 2036:75] + wire _T_618 = io_dec_csr_wraddr_r == 12'hbcc; // @[dec_tlu_ctl.scala 1963:72] + wire wr_meicurpl_r = io_dec_csr_wen_r_mod & _T_618; // @[dec_tlu_ctl.scala 1963:43] + reg [3:0] meicurpl; // @[dec_tlu_ctl.scala 1966:46] + wire _T_623 = io_dec_csr_wraddr_r == 12'hbcb; // @[dec_tlu_ctl.scala 1978:73] + wire _T_624 = io_dec_csr_wen_r_mod & _T_623; // @[dec_tlu_ctl.scala 1978:44] + wire wr_meicidpl_r = _T_624 | io_take_ext_int_start; // @[dec_tlu_ctl.scala 1978:88] + reg [3:0] meicidpl; // @[dec_tlu_ctl.scala 1983:44] + wire _T_635 = io_dec_csr_wraddr_r == 12'hbc9; // @[dec_tlu_ctl.scala 1999:69] + wire wr_meipt_r = io_dec_csr_wen_r_mod & _T_635; // @[dec_tlu_ctl.scala 1999:40] + reg [3:0] meipt; // @[dec_tlu_ctl.scala 2002:43] + wire _T_639 = io_trigger_hit_r_d1 & io_dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 2030:89] + wire trigger_hit_for_dscr_cause_r_d1 = io_trigger_hit_dmode_r_d1 | _T_639; // @[dec_tlu_ctl.scala 2030:66] + wire _T_640 = ~io_ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 2033:31] + wire _T_641 = io_dcsr_single_step_done_f & _T_640; // @[dec_tlu_ctl.scala 2033:29] + wire _T_642 = ~trigger_hit_for_dscr_cause_r_d1; // @[dec_tlu_ctl.scala 2033:63] + wire _T_643 = _T_641 & _T_642; // @[dec_tlu_ctl.scala 2033:61] + wire _T_644 = ~io_debug_halt_req; // @[dec_tlu_ctl.scala 2033:98] + wire _T_645 = _T_643 & _T_644; // @[dec_tlu_ctl.scala 2033:96] + wire _T_648 = io_debug_halt_req & _T_640; // @[dec_tlu_ctl.scala 2034:46] + wire _T_650 = _T_648 & _T_642; // @[dec_tlu_ctl.scala 2034:78] + wire _T_653 = io_ebreak_to_debug_mode_r_d1 & _T_642; // @[dec_tlu_ctl.scala 2035:75] wire [2:0] _T_656 = _T_645 ? 3'h4 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_657 = _T_650 ? 3'h3 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_658 = _T_653 ? 3'h1 : 3'h0; // @[Mux.scala 27:72] @@ -51277,48 +51317,49 @@ module csr_tlu( wire [2:0] _T_660 = _T_656 | _T_657; // @[Mux.scala 27:72] wire [2:0] _T_661 = _T_660 | _T_658; // @[Mux.scala 27:72] wire [2:0] dcsr_cause = _T_661 | _T_659; // @[Mux.scala 27:72] - wire _T_663 = io_allow_dbg_halt_csr_write & io_dec_csr_wen_r_mod; // @[dec_tlu_ctl.scala 2039:46] - wire _T_665 = io_dec_csr_wraddr_r == 12'h7b0; // @[dec_tlu_ctl.scala 2039:98] - wire wr_dcsr_r = _T_663 & _T_665; // @[dec_tlu_ctl.scala 2039:69] - wire _T_667 = io_dcsr[8:6] == 3'h3; // @[dec_tlu_ctl.scala 2045:75] - wire dcsr_cause_upgradeable = io_internal_dbg_halt_mode_f & _T_667; // @[dec_tlu_ctl.scala 2045:59] - wire _T_668 = ~io_dbg_tlu_halted; // @[dec_tlu_ctl.scala 2046:59] - wire _T_669 = _T_668 | dcsr_cause_upgradeable; // @[dec_tlu_ctl.scala 2046:78] - wire enter_debug_halt_req_le = io_enter_debug_halt_req & _T_669; // @[dec_tlu_ctl.scala 2046:56] - wire nmi_in_debug_mode = io_nmi_int_detected_f & io_internal_dbg_halt_mode_f; // @[dec_tlu_ctl.scala 2048:48] + wire _T_663 = io_allow_dbg_halt_csr_write & io_dec_csr_wen_r_mod; // @[dec_tlu_ctl.scala 2038:46] + wire _T_665 = io_dec_csr_wraddr_r == 12'h7b0; // @[dec_tlu_ctl.scala 2038:98] + wire wr_dcsr_r = _T_663 & _T_665; // @[dec_tlu_ctl.scala 2038:69] + wire _T_667 = io_dcsr[8:6] == 3'h3; // @[dec_tlu_ctl.scala 2044:75] + wire dcsr_cause_upgradeable = io_internal_dbg_halt_mode_f & _T_667; // @[dec_tlu_ctl.scala 2044:59] + wire _T_668 = ~io_dbg_tlu_halted; // @[dec_tlu_ctl.scala 2045:59] + wire _T_669 = _T_668 | dcsr_cause_upgradeable; // @[dec_tlu_ctl.scala 2045:78] + wire enter_debug_halt_req_le = io_enter_debug_halt_req & _T_669; // @[dec_tlu_ctl.scala 2045:56] + wire nmi_in_debug_mode = io_nmi_int_detected_f & io_internal_dbg_halt_mode_f; // @[dec_tlu_ctl.scala 2047:48] wire [15:0] _T_675 = {io_dcsr[15:9],dcsr_cause,io_dcsr[5:2],2'h3}; // @[Cat.scala 29:58] - wire _T_681 = nmi_in_debug_mode | io_dcsr[3]; // @[dec_tlu_ctl.scala 2050:145] + wire _T_681 = nmi_in_debug_mode | io_dcsr[3]; // @[dec_tlu_ctl.scala 2049:145] wire [15:0] _T_690 = {io_dec_csr_wrdata_r[15],3'h0,io_dec_csr_wrdata_r[11:10],1'h0,io_dcsr[8:6],2'h0,_T_681,io_dec_csr_wrdata_r[2],2'h3}; // @[Cat.scala 29:58] wire [15:0] _T_695 = {io_dcsr[15:4],nmi_in_debug_mode,io_dcsr[2],2'h3}; // @[Cat.scala 29:58] - wire _T_697 = enter_debug_halt_req_le | wr_dcsr_r; // @[dec_tlu_ctl.scala 2052:54] - wire _T_698 = _T_697 | io_internal_dbg_halt_mode; // @[dec_tlu_ctl.scala 2052:66] + wire _T_697 = enter_debug_halt_req_le | wr_dcsr_r; // @[dec_tlu_ctl.scala 2051:54] + wire _T_698 = _T_697 | io_internal_dbg_halt_mode; // @[dec_tlu_ctl.scala 2051:66] reg [15:0] _T_701; // @[lib.scala 374:16] - wire _T_704 = io_dec_csr_wraddr_r == 12'h7b1; // @[dec_tlu_ctl.scala 2060:97] - wire wr_dpc_r = _T_663 & _T_704; // @[dec_tlu_ctl.scala 2060:68] - wire _T_707 = ~io_request_debug_mode_done; // @[dec_tlu_ctl.scala 2061:67] - wire dpc_capture_npc = _T_589 & _T_707; // @[dec_tlu_ctl.scala 2061:65] - wire _T_708 = ~io_request_debug_mode_r; // @[dec_tlu_ctl.scala 2065:21] - wire _T_709 = ~dpc_capture_npc; // @[dec_tlu_ctl.scala 2065:39] - wire _T_710 = _T_708 & _T_709; // @[dec_tlu_ctl.scala 2065:37] - wire _T_711 = _T_710 & wr_dpc_r; // @[dec_tlu_ctl.scala 2065:56] - wire _T_716 = _T_708 & dpc_capture_npc; // @[dec_tlu_ctl.scala 2067:49] + wire _T_704 = io_dec_csr_wraddr_r == 12'h7b1; // @[dec_tlu_ctl.scala 2059:97] + wire wr_dpc_r = _T_663 & _T_704; // @[dec_tlu_ctl.scala 2059:68] + wire _T_707 = ~io_request_debug_mode_done; // @[dec_tlu_ctl.scala 2060:67] + wire dpc_capture_npc = _T_589 & _T_707; // @[dec_tlu_ctl.scala 2060:65] + wire _T_708 = ~io_request_debug_mode_r; // @[dec_tlu_ctl.scala 2064:21] + wire _T_709 = ~dpc_capture_npc; // @[dec_tlu_ctl.scala 2064:39] + wire _T_710 = _T_708 & _T_709; // @[dec_tlu_ctl.scala 2064:37] + wire _T_711 = _T_710 & wr_dpc_r; // @[dec_tlu_ctl.scala 2064:56] + wire _T_716 = _T_708 & dpc_capture_npc; // @[dec_tlu_ctl.scala 2066:49] wire [30:0] _T_718 = _T_711 ? io_dec_csr_wrdata_r[31:1] : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_719 = io_request_debug_mode_r ? pc_r : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_720 = _T_716 ? io_npc_r : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_721 = _T_718 | _T_719; // @[Mux.scala 27:72] - wire _T_723 = wr_dpc_r | io_request_debug_mode_r; // @[dec_tlu_ctl.scala 2069:36] + wire _T_723 = wr_dpc_r | io_request_debug_mode_r; // @[dec_tlu_ctl.scala 2068:36] reg [30:0] _T_726; // @[lib.scala 374:16] wire [2:0] _T_730 = {io_dec_csr_wrdata_r[24],io_dec_csr_wrdata_r[21:20]}; // @[Cat.scala 29:58] - wire _T_733 = io_dec_csr_wraddr_r == 12'h7c8; // @[dec_tlu_ctl.scala 2084:102] + wire _T_733 = io_dec_csr_wraddr_r == 12'h7c8; // @[dec_tlu_ctl.scala 2083:102] reg [16:0] dicawics; // @[lib.scala 374:16] - wire _T_737 = io_dec_csr_wraddr_r == 12'h7c9; // @[dec_tlu_ctl.scala 2102:100] - wire wr_dicad0_r = _T_663 & _T_737; // @[dec_tlu_ctl.scala 2102:71] + wire _T_737 = io_dec_csr_wraddr_r == 12'h7c9; // @[dec_tlu_ctl.scala 2101:100] + wire wr_dicad0_r = _T_663 & _T_737; // @[dec_tlu_ctl.scala 2101:71] reg [70:0] dicad0; // @[lib.scala 374:16] - wire _T_743 = io_dec_csr_wraddr_r == 12'h7cc; // @[dec_tlu_ctl.scala 2115:101] - wire wr_dicad0h_r = _T_663 & _T_743; // @[dec_tlu_ctl.scala 2115:72] + wire _T_743 = io_dec_csr_wraddr_r == 12'h7cc; // @[dec_tlu_ctl.scala 2114:101] + wire wr_dicad0h_r = _T_663 & _T_743; // @[dec_tlu_ctl.scala 2114:72] reg [31:0] dicad0h; // @[lib.scala 374:16] - wire _T_751 = io_dec_csr_wraddr_r == 12'h7ca; // @[dec_tlu_ctl.scala 2127:100] - wire _T_752 = _T_663 & _T_751; // @[dec_tlu_ctl.scala 2127:71] + wire _T_751 = io_dec_csr_wraddr_r == 12'h7ca; // @[dec_tlu_ctl.scala 2126:100] + wire _T_752 = _T_663 & _T_751; // @[dec_tlu_ctl.scala 2126:71] + wire [31:0] _T_755 = _T_752 ? io_dec_csr_wrdata_r : {{25'd0}, io_ifu_ic_debug_rd_data[70:64]}; // @[dec_tlu_ctl.scala 2128:21] wire _T_756 = _T_752 | io_ifu_ic_debug_rd_data_valid; // @[dec_tlu_ctl.scala 2131:78] reg [31:0] _T_758; // @[Reg.scala 27:20] wire [31:0] dicad1 = {25'h0,_T_758[6:0]}; // @[Cat.scala 29:58] @@ -52249,7 +52290,7 @@ module csr_tlu( wire [31:0] _T_2504 = io_csr_pkt_csr_misa ? 32'h40001104 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2505 = io_csr_pkt_csr_mvendorid ? 32'h45 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2506 = io_csr_pkt_csr_marchid ? 32'h10 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2507 = io_csr_pkt_csr_mimpid ? 32'h2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2507 = io_csr_pkt_csr_mimpid ? 32'h1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2508 = io_csr_pkt_csr_mhartid ? _T_2343 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2509 = io_csr_pkt_csr_mstatus ? _T_2352 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2510 = io_csr_pkt_csr_mtvec ? _T_2357 : 32'h0; // @[Mux.scala 27:72] @@ -52566,7 +52607,7 @@ module csr_tlu( .io_en(rvclkhdr_34_io_en), .io_scan_mode(rvclkhdr_34_io_scan_mode) ); - assign io_dec_tlu_ic_diag_pkt_icache_wrdata = {_T_763,dicad0[31:0]}; // @[dec_tlu_ctl.scala 2154:61] + assign io_dec_tlu_ic_diag_pkt_icache_wrdata = {_T_763,dicad0[31:0]}; // @[dec_tlu_ctl.scala 2154:56] assign io_dec_tlu_ic_diag_pkt_icache_dicawics = dicawics; // @[dec_tlu_ctl.scala 2157:41] assign io_dec_tlu_ic_diag_pkt_icache_rd_valid = icache_rd_valid_f; // @[dec_tlu_ctl.scala 2165:41] assign io_dec_tlu_ic_diag_pkt_icache_wr_valid = icache_wr_valid_f; // @[dec_tlu_ctl.scala 2166:41] @@ -52607,39 +52648,39 @@ module csr_tlu( assign io_dec_tlu_perfcnt1 = mhpmc_inc_r_d1_1 & _T_2187; // @[dec_tlu_ctl.scala 2345:22] assign io_dec_tlu_perfcnt2 = mhpmc_inc_r_d1_2 & _T_2192; // @[dec_tlu_ctl.scala 2346:22] assign io_dec_tlu_perfcnt3 = mhpmc_inc_r_d1_3 & _T_2197; // @[dec_tlu_ctl.scala 2347:22] - assign io_dec_tlu_misc_clk_override = mcgc[8]; // @[dec_tlu_ctl.scala 1717:31] - assign io_dec_tlu_dec_clk_override = mcgc[7]; // @[dec_tlu_ctl.scala 1718:31] - assign io_dec_tlu_lsu_clk_override = mcgc[4]; // @[dec_tlu_ctl.scala 1720:31] - assign io_dec_tlu_pic_clk_override = mcgc[2]; // @[dec_tlu_ctl.scala 1722:31] - assign io_dec_tlu_dccm_clk_override = mcgc[1]; // @[dec_tlu_ctl.scala 1723:31] - assign io_dec_tlu_icm_clk_override = mcgc[0]; // @[dec_tlu_ctl.scala 1724:31] + assign io_dec_tlu_misc_clk_override = mcgc[8]; // @[dec_tlu_ctl.scala 1716:31] + assign io_dec_tlu_dec_clk_override = mcgc[7]; // @[dec_tlu_ctl.scala 1717:31] + assign io_dec_tlu_lsu_clk_override = mcgc[4]; // @[dec_tlu_ctl.scala 1719:31] + assign io_dec_tlu_pic_clk_override = mcgc[2]; // @[dec_tlu_ctl.scala 1721:31] + assign io_dec_tlu_dccm_clk_override = mcgc[1]; // @[dec_tlu_ctl.scala 1722:31] + assign io_dec_tlu_icm_clk_override = mcgc[0]; // @[dec_tlu_ctl.scala 1723:31] assign io_dec_csr_rddata_d = _T_2613 | _T_2559; // @[dec_tlu_ctl.scala 2497:21] - assign io_dec_tlu_pipelining_disable = mfdc[0]; // @[dec_tlu_ctl.scala 1767:39] - assign io_dec_tlu_wr_pause_r = _T_370 & _T_371; // @[dec_tlu_ctl.scala 1776:24] - assign io_dec_tlu_meipt = meipt; // @[dec_tlu_ctl.scala 2005:19] - assign io_dec_tlu_meicurpl = meicurpl; // @[dec_tlu_ctl.scala 1969:22] - assign io_dec_tlu_meihap = {meivt,meihap}; // @[dec_tlu_ctl.scala 1955:20] - assign io_dec_tlu_mrac_ff = mrac; // @[dec_tlu_ctl.scala 1806:21] - assign io_dec_tlu_wb_coalescing_disable = mfdc[2]; // @[dec_tlu_ctl.scala 1766:39] - assign io_dec_tlu_bpred_disable = mfdc[3]; // @[dec_tlu_ctl.scala 1765:39] - assign io_dec_tlu_sideeffect_posted_disable = mfdc[6]; // @[dec_tlu_ctl.scala 1764:39] - assign io_dec_tlu_core_ecc_disable = mfdc[8]; // @[dec_tlu_ctl.scala 1763:39] - assign io_dec_tlu_external_ldfwd_disable = mfdc[11]; // @[dec_tlu_ctl.scala 1762:39] - assign io_dec_tlu_dma_qos_prty = mfdc[18:16]; // @[dec_tlu_ctl.scala 1761:39] - assign io_dec_csr_wen_r_mod = _T_1 & _T_2; // @[dec_tlu_ctl.scala 1450:23] - assign io_fw_halt_req = _T_502 & _T_503; // @[dec_tlu_ctl.scala 1841:17] - assign io_mstatus = _T_56; // @[dec_tlu_ctl.scala 1466:13] - assign io_mstatus_mie_ns = io_mstatus[0] & _T_54; // @[dec_tlu_ctl.scala 1465:20] - assign io_dcsr = _T_701; // @[dec_tlu_ctl.scala 2052:10] - assign io_mtvec = _T_62; // @[dec_tlu_ctl.scala 1478:11] - assign io_mip = _T_68; // @[dec_tlu_ctl.scala 1493:9] - assign io_mie_ns = wr_mie_r ? _T_78 : mie; // @[dec_tlu_ctl.scala 1507:12] - assign io_npc_r = _T_161 | _T_159; // @[dec_tlu_ctl.scala 1601:11] - assign io_npc_r_d1 = _T_167; // @[dec_tlu_ctl.scala 1607:14] - assign io_mepc = _T_196; // @[dec_tlu_ctl.scala 1626:10] - assign io_mdseac_locked_ns = mdseac_en | _T_489; // @[dec_tlu_ctl.scala 1824:22] - assign io_force_halt = mfdht[0] & _T_609; // @[dec_tlu_ctl.scala 1932:16] - assign io_dpc = _T_726; // @[dec_tlu_ctl.scala 2069:9] + assign io_dec_tlu_pipelining_disable = mfdc[0]; // @[dec_tlu_ctl.scala 1766:39] + assign io_dec_tlu_wr_pause_r = _T_370 & _T_371; // @[dec_tlu_ctl.scala 1775:24] + assign io_dec_tlu_meipt = meipt; // @[dec_tlu_ctl.scala 2004:19] + assign io_dec_tlu_meicurpl = meicurpl; // @[dec_tlu_ctl.scala 1968:22] + assign io_dec_tlu_meihap = {meivt,meihap}; // @[dec_tlu_ctl.scala 1954:20] + assign io_dec_tlu_mrac_ff = mrac; // @[dec_tlu_ctl.scala 1805:21] + assign io_dec_tlu_wb_coalescing_disable = mfdc[2]; // @[dec_tlu_ctl.scala 1765:39] + assign io_dec_tlu_bpred_disable = mfdc[3]; // @[dec_tlu_ctl.scala 1764:39] + assign io_dec_tlu_sideeffect_posted_disable = mfdc[6]; // @[dec_tlu_ctl.scala 1763:39] + assign io_dec_tlu_core_ecc_disable = mfdc[8]; // @[dec_tlu_ctl.scala 1762:39] + assign io_dec_tlu_external_ldfwd_disable = mfdc[11]; // @[dec_tlu_ctl.scala 1761:39] + assign io_dec_tlu_dma_qos_prty = mfdc[18:16]; // @[dec_tlu_ctl.scala 1760:39] + assign io_dec_csr_wen_r_mod = _T_1 & _T_2; // @[dec_tlu_ctl.scala 1449:23] + assign io_fw_halt_req = _T_502 & _T_503; // @[dec_tlu_ctl.scala 1840:17] + assign io_mstatus = _T_56; // @[dec_tlu_ctl.scala 1465:13] + assign io_mstatus_mie_ns = io_mstatus[0] & _T_54; // @[dec_tlu_ctl.scala 1464:20] + assign io_dcsr = _T_701; // @[dec_tlu_ctl.scala 2051:10] + assign io_mtvec = _T_62; // @[dec_tlu_ctl.scala 1477:11] + assign io_mip = _T_68; // @[dec_tlu_ctl.scala 1492:9] + assign io_mie_ns = wr_mie_r ? _T_78 : mie; // @[dec_tlu_ctl.scala 1506:12] + assign io_npc_r = _T_161 | _T_159; // @[dec_tlu_ctl.scala 1600:11] + assign io_npc_r_d1 = _T_167; // @[dec_tlu_ctl.scala 1606:14] + assign io_mepc = _T_196; // @[dec_tlu_ctl.scala 1625:10] + assign io_mdseac_locked_ns = mdseac_en | _T_489; // @[dec_tlu_ctl.scala 1823:22] + assign io_force_halt = mfdht[0] & _T_609; // @[dec_tlu_ctl.scala 1931:16] + assign io_dpc = _T_726; // @[dec_tlu_ctl.scala 2068:9] assign io_mtdata1_t_0 = _T_872; // @[dec_tlu_ctl.scala 2225:39] assign io_mtdata1_t_1 = _T_873; // @[dec_tlu_ctl.scala 2225:39] assign io_mtdata1_t_2 = _T_874; // @[dec_tlu_ctl.scala 2225:39] @@ -54341,6 +54382,7 @@ module dec_tlu_ctl( output io_dec_tlu_pic_clk_override, output io_dec_tlu_dccm_clk_override, output io_dec_tlu_icm_clk_override, + output io_dec_tlu_flush_lower_wb, input io_ifu_pmu_instr_aligned, output io_tlu_bp_dec_tlu_br0_r_pkt_valid, output [1:0] io_tlu_bp_dec_tlu_br0_r_pkt_bits_hist, @@ -54348,7 +54390,6 @@ module dec_tlu_ctl( output io_tlu_bp_dec_tlu_br0_r_pkt_bits_br_start_error, output io_tlu_bp_dec_tlu_br0_r_pkt_bits_way, output io_tlu_bp_dec_tlu_br0_r_pkt_bits_middle, - output io_tlu_bp_dec_tlu_flush_lower_wb, output io_tlu_bp_dec_tlu_flush_leak_one_wb, output io_tlu_bp_dec_tlu_bpred_disable, output io_tlu_ifc_dec_tlu_flush_noredir_wb, @@ -54469,26 +54510,26 @@ module dec_tlu_ctl( reg [31:0] _RAND_73; reg [31:0] _RAND_74; `endif // RANDOMIZE_REG_INIT - wire int_timers_clock; // @[dec_tlu_ctl.scala 274:30] - wire int_timers_reset; // @[dec_tlu_ctl.scala 274:30] - wire int_timers_io_free_clk; // @[dec_tlu_ctl.scala 274:30] - wire int_timers_io_scan_mode; // @[dec_tlu_ctl.scala 274:30] - wire int_timers_io_dec_csr_wen_r_mod; // @[dec_tlu_ctl.scala 274:30] - wire [11:0] int_timers_io_dec_csr_wraddr_r; // @[dec_tlu_ctl.scala 274:30] - wire [31:0] int_timers_io_dec_csr_wrdata_r; // @[dec_tlu_ctl.scala 274:30] - wire int_timers_io_csr_mitctl0; // @[dec_tlu_ctl.scala 274:30] - wire int_timers_io_csr_mitctl1; // @[dec_tlu_ctl.scala 274:30] - wire int_timers_io_csr_mitb0; // @[dec_tlu_ctl.scala 274:30] - wire int_timers_io_csr_mitb1; // @[dec_tlu_ctl.scala 274:30] - wire int_timers_io_csr_mitcnt0; // @[dec_tlu_ctl.scala 274:30] - wire int_timers_io_csr_mitcnt1; // @[dec_tlu_ctl.scala 274:30] - wire int_timers_io_dec_pause_state; // @[dec_tlu_ctl.scala 274:30] - wire int_timers_io_dec_tlu_pmu_fw_halted; // @[dec_tlu_ctl.scala 274:30] - wire int_timers_io_internal_dbg_halt_timers; // @[dec_tlu_ctl.scala 274:30] - wire [31:0] int_timers_io_dec_timer_rddata_d; // @[dec_tlu_ctl.scala 274:30] - wire int_timers_io_dec_timer_read_d; // @[dec_tlu_ctl.scala 274:30] - wire int_timers_io_dec_timer_t0_pulse; // @[dec_tlu_ctl.scala 274:30] - wire int_timers_io_dec_timer_t1_pulse; // @[dec_tlu_ctl.scala 274:30] + wire int_timers_clock; // @[dec_tlu_ctl.scala 275:30] + wire int_timers_reset; // @[dec_tlu_ctl.scala 275:30] + wire int_timers_io_free_clk; // @[dec_tlu_ctl.scala 275:30] + wire int_timers_io_scan_mode; // @[dec_tlu_ctl.scala 275:30] + wire int_timers_io_dec_csr_wen_r_mod; // @[dec_tlu_ctl.scala 275:30] + wire [11:0] int_timers_io_dec_csr_wraddr_r; // @[dec_tlu_ctl.scala 275:30] + wire [31:0] int_timers_io_dec_csr_wrdata_r; // @[dec_tlu_ctl.scala 275:30] + wire int_timers_io_csr_mitctl0; // @[dec_tlu_ctl.scala 275:30] + wire int_timers_io_csr_mitctl1; // @[dec_tlu_ctl.scala 275:30] + wire int_timers_io_csr_mitb0; // @[dec_tlu_ctl.scala 275:30] + wire int_timers_io_csr_mitb1; // @[dec_tlu_ctl.scala 275:30] + wire int_timers_io_csr_mitcnt0; // @[dec_tlu_ctl.scala 275:30] + wire int_timers_io_csr_mitcnt1; // @[dec_tlu_ctl.scala 275:30] + wire int_timers_io_dec_pause_state; // @[dec_tlu_ctl.scala 275:30] + wire int_timers_io_dec_tlu_pmu_fw_halted; // @[dec_tlu_ctl.scala 275:30] + wire int_timers_io_internal_dbg_halt_timers; // @[dec_tlu_ctl.scala 275:30] + wire [31:0] int_timers_io_dec_timer_rddata_d; // @[dec_tlu_ctl.scala 275:30] + wire int_timers_io_dec_timer_read_d; // @[dec_tlu_ctl.scala 275:30] + wire int_timers_io_dec_timer_t0_pulse; // @[dec_tlu_ctl.scala 275:30] + wire int_timers_io_dec_timer_t1_pulse; // @[dec_tlu_ctl.scala 275:30] wire rvclkhdr_io_l1clk; // @[lib.scala 343:22] wire rvclkhdr_io_clk; // @[lib.scala 343:22] wire rvclkhdr_io_en; // @[lib.scala 343:22] @@ -54505,905 +54546,905 @@ module dec_tlu_ctl( wire rvclkhdr_3_io_clk; // @[lib.scala 343:22] wire rvclkhdr_3_io_en; // @[lib.scala 343:22] wire rvclkhdr_3_io_scan_mode; // @[lib.scala 343:22] - wire csr_clock; // @[dec_tlu_ctl.scala 817:15] - wire csr_reset; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_free_clk; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_active_clk; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_scan_mode; // @[dec_tlu_ctl.scala 817:15] - wire [31:0] csr_io_dec_csr_wrdata_r; // @[dec_tlu_ctl.scala 817:15] - wire [11:0] csr_io_dec_csr_wraddr_r; // @[dec_tlu_ctl.scala 817:15] - wire [11:0] csr_io_dec_csr_rdaddr_d; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_csr_wen_unq_d; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_i0_decode_d; // @[dec_tlu_ctl.scala 817:15] - wire [70:0] csr_io_dec_tlu_ic_diag_pkt_icache_wrdata; // @[dec_tlu_ctl.scala 817:15] - wire [16:0] csr_io_dec_tlu_ic_diag_pkt_icache_dicawics; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_ifu_ic_debug_rd_data_valid; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_0_select; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_0_match_pkt; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_0_store; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_0_load; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_0_execute; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_0_m; // @[dec_tlu_ctl.scala 817:15] - wire [31:0] csr_io_trigger_pkt_any_0_tdata2; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_1_select; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_1_match_pkt; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_1_store; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_1_load; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_1_execute; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_1_m; // @[dec_tlu_ctl.scala 817:15] - wire [31:0] csr_io_trigger_pkt_any_1_tdata2; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_2_select; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_2_match_pkt; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_2_store; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_2_load; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_2_execute; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_2_m; // @[dec_tlu_ctl.scala 817:15] - wire [31:0] csr_io_trigger_pkt_any_2_tdata2; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_3_select; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_3_match_pkt; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_3_store; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_3_load; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_3_execute; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_3_m; // @[dec_tlu_ctl.scala 817:15] - wire [31:0] csr_io_trigger_pkt_any_3_tdata2; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_ifu_pmu_bus_trxn; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dma_iccm_stall_any; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dma_dccm_stall_any; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_lsu_store_stall_any; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_pmu_presync_stall; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_pmu_postsync_stall; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_pmu_decode_stall; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_ifu_pmu_fetch_stall; // @[dec_tlu_ctl.scala 817:15] - wire [1:0] csr_io_dec_tlu_packet_r_icaf_type; // @[dec_tlu_ctl.scala 817:15] - wire [3:0] csr_io_dec_tlu_packet_r_pmu_i0_itype; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_packet_r_pmu_i0_br_unpred; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_packet_r_pmu_divide; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_exu_pmu_i0_br_ataken; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_exu_pmu_i0_br_misp; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_pmu_instr_decoded; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_ifu_pmu_instr_aligned; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_exu_pmu_i0_pc4; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_ifu_pmu_ic_miss; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_ifu_pmu_ic_hit; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_int_valid_wb1; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_i0_exc_valid_wb1; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_i0_valid_wb1; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_csr_wen_r; // @[dec_tlu_ctl.scala 817:15] - wire [31:0] csr_io_dec_tlu_mtval_wb1; // @[dec_tlu_ctl.scala 817:15] - wire [4:0] csr_io_dec_tlu_exc_cause_wb1; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_perfcnt0; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_perfcnt1; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_perfcnt2; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_perfcnt3; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dma_pmu_dccm_write; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dma_pmu_dccm_read; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dma_pmu_any_write; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dma_pmu_any_read; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_lsu_pmu_bus_busy; // @[dec_tlu_ctl.scala 817:15] - wire [30:0] csr_io_dec_tlu_i0_pc_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_csr_any_unq_d; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_misc_clk_override; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_dec_clk_override; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_lsu_clk_override; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_pic_clk_override; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_dccm_clk_override; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_icm_clk_override; // @[dec_tlu_ctl.scala 817:15] - wire [31:0] csr_io_dec_csr_rddata_d; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_pipelining_disable; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_wr_pause_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_ifu_pmu_bus_busy; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_lsu_pmu_bus_error; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_ifu_pmu_bus_error; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_lsu_pmu_bus_misaligned; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_lsu_pmu_bus_trxn; // @[dec_tlu_ctl.scala 817:15] - wire [70:0] csr_io_ifu_ic_debug_rd_data; // @[dec_tlu_ctl.scala 817:15] - wire [3:0] csr_io_dec_tlu_meipt; // @[dec_tlu_ctl.scala 817:15] - wire [3:0] csr_io_pic_pl; // @[dec_tlu_ctl.scala 817:15] - wire [3:0] csr_io_dec_tlu_meicurpl; // @[dec_tlu_ctl.scala 817:15] - wire [29:0] csr_io_dec_tlu_meihap; // @[dec_tlu_ctl.scala 817:15] - wire [7:0] csr_io_pic_claimid; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_iccm_dma_sb_error; // @[dec_tlu_ctl.scala 817:15] - wire [31:0] csr_io_lsu_imprecise_error_addr_any; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_lsu_imprecise_error_load_any; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_lsu_imprecise_error_store_any; // @[dec_tlu_ctl.scala 817:15] - wire [31:0] csr_io_dec_tlu_mrac_ff; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_wb_coalescing_disable; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_bpred_disable; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_sideeffect_posted_disable; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_core_ecc_disable; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_external_ldfwd_disable; // @[dec_tlu_ctl.scala 817:15] - wire [2:0] csr_io_dec_tlu_dma_qos_prty; // @[dec_tlu_ctl.scala 817:15] - wire [31:0] csr_io_dec_illegal_inst; // @[dec_tlu_ctl.scala 817:15] - wire [3:0] csr_io_lsu_error_pkt_r_bits_mscause; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_mexintpend; // @[dec_tlu_ctl.scala 817:15] - wire [30:0] csr_io_exu_npc_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_mpc_reset_run_req; // @[dec_tlu_ctl.scala 817:15] - wire [30:0] csr_io_rst_vec; // @[dec_tlu_ctl.scala 817:15] - wire [27:0] csr_io_core_id; // @[dec_tlu_ctl.scala 817:15] - wire [31:0] csr_io_dec_timer_rddata_d; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_timer_read_d; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_csr_wen_r_mod; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_rfpc_i0_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_i0_trigger_hit_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_fw_halt_req; // @[dec_tlu_ctl.scala 817:15] - wire [1:0] csr_io_mstatus; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_exc_or_int_valid_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_mret_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_mstatus_mie_ns; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dcsr_single_step_running_f; // @[dec_tlu_ctl.scala 817:15] - wire [15:0] csr_io_dcsr; // @[dec_tlu_ctl.scala 817:15] - wire [30:0] csr_io_mtvec; // @[dec_tlu_ctl.scala 817:15] - wire [5:0] csr_io_mip; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_timer_t0_pulse; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_timer_t1_pulse; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_timer_int_sync; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_soft_int_sync; // @[dec_tlu_ctl.scala 817:15] - wire [5:0] csr_io_mie_ns; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_wr_clk; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_ebreak_to_debug_mode_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_pmu_fw_halted; // @[dec_tlu_ctl.scala 817:15] - wire [1:0] csr_io_lsu_fir_error; // @[dec_tlu_ctl.scala 817:15] - wire [30:0] csr_io_npc_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_flush_noredir_r_d1; // @[dec_tlu_ctl.scala 817:15] - wire [30:0] csr_io_tlu_flush_path_r_d1; // @[dec_tlu_ctl.scala 817:15] - wire [30:0] csr_io_npc_r_d1; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_reset_delayed; // @[dec_tlu_ctl.scala 817:15] - wire [30:0] csr_io_mepc; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_interrupt_valid_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_i0_exception_valid_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_lsu_exc_valid_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_mepc_trigger_hit_sel_pc_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_e4e5_int_clk; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_lsu_i0_exc_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_inst_acc_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_inst_acc_second_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_take_nmi; // @[dec_tlu_ctl.scala 817:15] - wire [31:0] csr_io_lsu_error_pkt_addr_r; // @[dec_tlu_ctl.scala 817:15] - wire [4:0] csr_io_exc_cause_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_i0_valid_wb; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_exc_or_int_valid_r_d1; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_interrupt_valid_r_d1; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_clk_override; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_i0_exception_valid_r_d1; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_lsu_i0_exc_r_d1; // @[dec_tlu_ctl.scala 817:15] - wire [4:0] csr_io_exc_cause_wb; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_nmi_lsu_store_type; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_nmi_lsu_load_type; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_tlu_i0_commit_cmt; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_ebreak_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_ecall_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_illegal_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_mdseac_locked_ns; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_mdseac_locked_f; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_nmi_int_detected_f; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_internal_dbg_halt_mode_f2; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_ext_int_freeze_d1; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_ic_perr_r_d1; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_iccm_sbecc_r_d1; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_lsu_single_ecc_error_r_d1; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_ifu_miss_state_idle_f; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_lsu_idle_any_f; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dbg_tlu_halted; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_debug_halt_req_f; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_force_halt; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_take_ext_int_start; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_hit_r_d1; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_debug_halt_req; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_allow_dbg_halt_csr_write; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_internal_dbg_halt_mode_f; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_enter_debug_halt_req; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_internal_dbg_halt_mode; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_request_debug_mode_done; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_request_debug_mode_r; // @[dec_tlu_ctl.scala 817:15] - wire [30:0] csr_io_dpc; // @[dec_tlu_ctl.scala 817:15] - wire [3:0] csr_io_update_hit_bit_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_take_timer_int; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_take_int_timer0_int; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_take_int_timer1_int; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_take_ext_int; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_tlu_flush_lower_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_br0_error_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_br0_start_error_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_lsu_pmu_load_external_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_lsu_pmu_store_external_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_misa; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mvendorid; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_marchid; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mimpid; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mhartid; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mstatus; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mtvec; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mip; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mie; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mcyclel; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mcycleh; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_minstretl; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_minstreth; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mscratch; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mepc; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mcause; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mscause; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mtval; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mrac; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mdseac; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_meihap; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_meivt; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_meipt; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_meicurpl; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_meicidpl; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_dcsr; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mcgc; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mfdc; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_dpc; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mtsel; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mtdata1; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mtdata2; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mhpmc3; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mhpmc4; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mhpmc5; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mhpmc6; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mhpmc3h; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mhpmc4h; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mhpmc5h; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mhpmc6h; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mhpme3; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mhpme4; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mhpme5; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mhpme6; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mcountinhibit; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mpmc; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_micect; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_miccmect; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mdccmect; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mfdht; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mfdhs; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_dicawics; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_dicad0h; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_dicad0; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_dicad1; // @[dec_tlu_ctl.scala 817:15] - wire [9:0] csr_io_mtdata1_t_0; // @[dec_tlu_ctl.scala 817:15] - wire [9:0] csr_io_mtdata1_t_1; // @[dec_tlu_ctl.scala 817:15] - wire [9:0] csr_io_mtdata1_t_2; // @[dec_tlu_ctl.scala 817:15] - wire [9:0] csr_io_mtdata1_t_3; // @[dec_tlu_ctl.scala 817:15] - wire [11:0] csr_read_io_dec_csr_rdaddr_d; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_misa; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mvendorid; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_marchid; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mimpid; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mhartid; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mstatus; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mtvec; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mip; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mie; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mcyclel; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mcycleh; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_minstretl; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_minstreth; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mscratch; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mepc; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mcause; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mscause; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mtval; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mrac; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_dmst; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mdseac; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_meihap; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_meivt; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_meipt; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_meicurpl; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_meicidpl; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_dcsr; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mcgc; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mfdc; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_dpc; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mtsel; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mtdata1; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mtdata2; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mhpmc3; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mhpmc4; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mhpmc5; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mhpmc6; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mhpmc3h; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mhpmc4h; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mhpmc5h; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mhpmc6h; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mhpme3; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mhpme4; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mhpme5; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mhpme6; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mcountinhibit; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mitctl0; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mitctl1; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mitb0; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mitb1; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mitcnt0; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mitcnt1; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mpmc; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_meicpct; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_micect; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_miccmect; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mdccmect; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mfdht; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mfdhs; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_dicawics; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_dicad0h; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_dicad0; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_dicad1; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_dicago; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_presync; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_postsync; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_legal; // @[dec_tlu_ctl.scala 1010:22] - reg dbg_halt_state_f; // @[dec_tlu_ctl.scala 366:89] - wire _T = ~dbg_halt_state_f; // @[dec_tlu_ctl.scala 273:39] - reg mpc_halt_state_f; // @[dec_tlu_ctl.scala 361:89] + wire csr_clock; // @[dec_tlu_ctl.scala 816:15] + wire csr_reset; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_free_clk; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_active_clk; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_scan_mode; // @[dec_tlu_ctl.scala 816:15] + wire [31:0] csr_io_dec_csr_wrdata_r; // @[dec_tlu_ctl.scala 816:15] + wire [11:0] csr_io_dec_csr_wraddr_r; // @[dec_tlu_ctl.scala 816:15] + wire [11:0] csr_io_dec_csr_rdaddr_d; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_csr_wen_unq_d; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_i0_decode_d; // @[dec_tlu_ctl.scala 816:15] + wire [70:0] csr_io_dec_tlu_ic_diag_pkt_icache_wrdata; // @[dec_tlu_ctl.scala 816:15] + wire [16:0] csr_io_dec_tlu_ic_diag_pkt_icache_dicawics; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ifu_ic_debug_rd_data_valid; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_0_select; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_0_match_pkt; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_0_store; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_0_load; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_0_execute; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_0_m; // @[dec_tlu_ctl.scala 816:15] + wire [31:0] csr_io_trigger_pkt_any_0_tdata2; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_1_select; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_1_match_pkt; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_1_store; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_1_load; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_1_execute; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_1_m; // @[dec_tlu_ctl.scala 816:15] + wire [31:0] csr_io_trigger_pkt_any_1_tdata2; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_2_select; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_2_match_pkt; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_2_store; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_2_load; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_2_execute; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_2_m; // @[dec_tlu_ctl.scala 816:15] + wire [31:0] csr_io_trigger_pkt_any_2_tdata2; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_3_select; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_3_match_pkt; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_3_store; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_3_load; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_3_execute; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_3_m; // @[dec_tlu_ctl.scala 816:15] + wire [31:0] csr_io_trigger_pkt_any_3_tdata2; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ifu_pmu_bus_trxn; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dma_iccm_stall_any; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dma_dccm_stall_any; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_lsu_store_stall_any; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_pmu_presync_stall; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_pmu_postsync_stall; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_pmu_decode_stall; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ifu_pmu_fetch_stall; // @[dec_tlu_ctl.scala 816:15] + wire [1:0] csr_io_dec_tlu_packet_r_icaf_type; // @[dec_tlu_ctl.scala 816:15] + wire [3:0] csr_io_dec_tlu_packet_r_pmu_i0_itype; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_packet_r_pmu_i0_br_unpred; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_packet_r_pmu_divide; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_exu_pmu_i0_br_ataken; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_exu_pmu_i0_br_misp; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_pmu_instr_decoded; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ifu_pmu_instr_aligned; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_exu_pmu_i0_pc4; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ifu_pmu_ic_miss; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ifu_pmu_ic_hit; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_int_valid_wb1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_i0_exc_valid_wb1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_i0_valid_wb1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_csr_wen_r; // @[dec_tlu_ctl.scala 816:15] + wire [31:0] csr_io_dec_tlu_mtval_wb1; // @[dec_tlu_ctl.scala 816:15] + wire [4:0] csr_io_dec_tlu_exc_cause_wb1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_perfcnt0; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_perfcnt1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_perfcnt2; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_perfcnt3; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dma_pmu_dccm_write; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dma_pmu_dccm_read; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dma_pmu_any_write; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dma_pmu_any_read; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_lsu_pmu_bus_busy; // @[dec_tlu_ctl.scala 816:15] + wire [30:0] csr_io_dec_tlu_i0_pc_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_csr_any_unq_d; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_misc_clk_override; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_dec_clk_override; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_lsu_clk_override; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_pic_clk_override; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_dccm_clk_override; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_icm_clk_override; // @[dec_tlu_ctl.scala 816:15] + wire [31:0] csr_io_dec_csr_rddata_d; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_pipelining_disable; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_wr_pause_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ifu_pmu_bus_busy; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_lsu_pmu_bus_error; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ifu_pmu_bus_error; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_lsu_pmu_bus_misaligned; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_lsu_pmu_bus_trxn; // @[dec_tlu_ctl.scala 816:15] + wire [70:0] csr_io_ifu_ic_debug_rd_data; // @[dec_tlu_ctl.scala 816:15] + wire [3:0] csr_io_dec_tlu_meipt; // @[dec_tlu_ctl.scala 816:15] + wire [3:0] csr_io_pic_pl; // @[dec_tlu_ctl.scala 816:15] + wire [3:0] csr_io_dec_tlu_meicurpl; // @[dec_tlu_ctl.scala 816:15] + wire [29:0] csr_io_dec_tlu_meihap; // @[dec_tlu_ctl.scala 816:15] + wire [7:0] csr_io_pic_claimid; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_iccm_dma_sb_error; // @[dec_tlu_ctl.scala 816:15] + wire [31:0] csr_io_lsu_imprecise_error_addr_any; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_lsu_imprecise_error_load_any; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_lsu_imprecise_error_store_any; // @[dec_tlu_ctl.scala 816:15] + wire [31:0] csr_io_dec_tlu_mrac_ff; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_wb_coalescing_disable; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_bpred_disable; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_sideeffect_posted_disable; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_core_ecc_disable; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_external_ldfwd_disable; // @[dec_tlu_ctl.scala 816:15] + wire [2:0] csr_io_dec_tlu_dma_qos_prty; // @[dec_tlu_ctl.scala 816:15] + wire [31:0] csr_io_dec_illegal_inst; // @[dec_tlu_ctl.scala 816:15] + wire [3:0] csr_io_lsu_error_pkt_r_bits_mscause; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_mexintpend; // @[dec_tlu_ctl.scala 816:15] + wire [30:0] csr_io_exu_npc_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_mpc_reset_run_req; // @[dec_tlu_ctl.scala 816:15] + wire [30:0] csr_io_rst_vec; // @[dec_tlu_ctl.scala 816:15] + wire [27:0] csr_io_core_id; // @[dec_tlu_ctl.scala 816:15] + wire [31:0] csr_io_dec_timer_rddata_d; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_timer_read_d; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_csr_wen_r_mod; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_rfpc_i0_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_i0_trigger_hit_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_fw_halt_req; // @[dec_tlu_ctl.scala 816:15] + wire [1:0] csr_io_mstatus; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_exc_or_int_valid_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_mret_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_mstatus_mie_ns; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dcsr_single_step_running_f; // @[dec_tlu_ctl.scala 816:15] + wire [15:0] csr_io_dcsr; // @[dec_tlu_ctl.scala 816:15] + wire [30:0] csr_io_mtvec; // @[dec_tlu_ctl.scala 816:15] + wire [5:0] csr_io_mip; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_timer_t0_pulse; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_timer_t1_pulse; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_timer_int_sync; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_soft_int_sync; // @[dec_tlu_ctl.scala 816:15] + wire [5:0] csr_io_mie_ns; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_wr_clk; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ebreak_to_debug_mode_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_pmu_fw_halted; // @[dec_tlu_ctl.scala 816:15] + wire [1:0] csr_io_lsu_fir_error; // @[dec_tlu_ctl.scala 816:15] + wire [30:0] csr_io_npc_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_flush_noredir_r_d1; // @[dec_tlu_ctl.scala 816:15] + wire [30:0] csr_io_tlu_flush_path_r_d1; // @[dec_tlu_ctl.scala 816:15] + wire [30:0] csr_io_npc_r_d1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_reset_delayed; // @[dec_tlu_ctl.scala 816:15] + wire [30:0] csr_io_mepc; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_interrupt_valid_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_i0_exception_valid_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_lsu_exc_valid_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_mepc_trigger_hit_sel_pc_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_e4e5_int_clk; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_lsu_i0_exc_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_inst_acc_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_inst_acc_second_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_take_nmi; // @[dec_tlu_ctl.scala 816:15] + wire [31:0] csr_io_lsu_error_pkt_addr_r; // @[dec_tlu_ctl.scala 816:15] + wire [4:0] csr_io_exc_cause_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_i0_valid_wb; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_exc_or_int_valid_r_d1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_interrupt_valid_r_d1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_clk_override; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_i0_exception_valid_r_d1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_lsu_i0_exc_r_d1; // @[dec_tlu_ctl.scala 816:15] + wire [4:0] csr_io_exc_cause_wb; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_nmi_lsu_store_type; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_nmi_lsu_load_type; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_tlu_i0_commit_cmt; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ebreak_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ecall_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_illegal_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_mdseac_locked_ns; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_mdseac_locked_f; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_nmi_int_detected_f; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_internal_dbg_halt_mode_f2; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ext_int_freeze_d1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ic_perr_r_d1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_iccm_sbecc_r_d1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_lsu_single_ecc_error_r_d1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ifu_miss_state_idle_f; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_lsu_idle_any_f; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dbg_tlu_halted; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_debug_halt_req_f; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_force_halt; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_take_ext_int_start; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_hit_r_d1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_debug_halt_req; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_allow_dbg_halt_csr_write; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_internal_dbg_halt_mode_f; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_enter_debug_halt_req; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_internal_dbg_halt_mode; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_request_debug_mode_done; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_request_debug_mode_r; // @[dec_tlu_ctl.scala 816:15] + wire [30:0] csr_io_dpc; // @[dec_tlu_ctl.scala 816:15] + wire [3:0] csr_io_update_hit_bit_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_take_timer_int; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_take_int_timer0_int; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_take_int_timer1_int; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_take_ext_int; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_tlu_flush_lower_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_br0_error_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_br0_start_error_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_lsu_pmu_load_external_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_lsu_pmu_store_external_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_misa; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mvendorid; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_marchid; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mimpid; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mhartid; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mstatus; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mtvec; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mip; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mie; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mcyclel; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mcycleh; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_minstretl; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_minstreth; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mscratch; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mepc; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mcause; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mscause; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mtval; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mrac; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mdseac; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_meihap; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_meivt; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_meipt; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_meicurpl; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_meicidpl; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_dcsr; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mcgc; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mfdc; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_dpc; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mtsel; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mtdata1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mtdata2; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mhpmc3; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mhpmc4; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mhpmc5; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mhpmc6; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mhpmc3h; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mhpmc4h; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mhpmc5h; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mhpmc6h; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mhpme3; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mhpme4; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mhpme5; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mhpme6; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mcountinhibit; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mpmc; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_micect; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_miccmect; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mdccmect; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mfdht; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mfdhs; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_dicawics; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_dicad0h; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_dicad0; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_dicad1; // @[dec_tlu_ctl.scala 816:15] + wire [9:0] csr_io_mtdata1_t_0; // @[dec_tlu_ctl.scala 816:15] + wire [9:0] csr_io_mtdata1_t_1; // @[dec_tlu_ctl.scala 816:15] + wire [9:0] csr_io_mtdata1_t_2; // @[dec_tlu_ctl.scala 816:15] + wire [9:0] csr_io_mtdata1_t_3; // @[dec_tlu_ctl.scala 816:15] + wire [11:0] csr_read_io_dec_csr_rdaddr_d; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_misa; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mvendorid; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_marchid; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mimpid; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mhartid; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mstatus; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mtvec; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mip; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mie; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mcyclel; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mcycleh; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_minstretl; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_minstreth; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mscratch; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mepc; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mcause; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mscause; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mtval; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mrac; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_dmst; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mdseac; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_meihap; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_meivt; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_meipt; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_meicurpl; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_meicidpl; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_dcsr; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mcgc; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mfdc; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_dpc; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mtsel; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mtdata1; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mtdata2; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mhpmc3; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mhpmc4; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mhpmc5; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mhpmc6; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mhpmc3h; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mhpmc4h; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mhpmc5h; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mhpmc6h; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mhpme3; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mhpme4; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mhpme5; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mhpme6; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mcountinhibit; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mitctl0; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mitctl1; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mitb0; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mitb1; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mitcnt0; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mitcnt1; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mpmc; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_meicpct; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_micect; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_miccmect; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mdccmect; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mfdht; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mfdhs; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_dicawics; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_dicad0h; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_dicad0; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_dicad1; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_dicago; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_presync; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_postsync; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_legal; // @[dec_tlu_ctl.scala 1009:22] + reg dbg_halt_state_f; // @[dec_tlu_ctl.scala 365:89] + wire _T = ~dbg_halt_state_f; // @[dec_tlu_ctl.scala 274:39] + reg mpc_halt_state_f; // @[dec_tlu_ctl.scala 360:89] wire [2:0] _T_3 = {io_i_cpu_run_req,io_mpc_debug_halt_req,io_mpc_debug_run_req}; // @[Cat.scala 29:58] wire [3:0] _T_6 = {io_nmi_int,io_timer_int,io_soft_int,io_i_cpu_halt_req}; // @[Cat.scala 29:58] reg [6:0] _T_8; // @[lib.scala 37:81] reg [6:0] syncro_ff; // @[lib.scala 37:58] - wire nmi_int_sync = syncro_ff[6]; // @[dec_tlu_ctl.scala 301:67] - wire i_cpu_halt_req_sync = syncro_ff[3]; // @[dec_tlu_ctl.scala 304:59] - wire i_cpu_run_req_sync = syncro_ff[2]; // @[dec_tlu_ctl.scala 305:59] - wire mpc_debug_halt_req_sync_raw = syncro_ff[1]; // @[dec_tlu_ctl.scala 306:51] - wire mpc_debug_run_req_sync = syncro_ff[0]; // @[dec_tlu_ctl.scala 307:51] - wire dec_csr_wen_r_mod = csr_io_dec_csr_wen_r_mod; // @[dec_tlu_ctl.scala 1003:31] - reg lsu_exc_valid_r_d1; // @[dec_tlu_ctl.scala 612:74] - wire _T_11 = io_lsu_error_pkt_r_valid | lsu_exc_valid_r_d1; // @[dec_tlu_ctl.scala 311:67] - reg e5_valid; // @[dec_tlu_ctl.scala 323:97] - wire e4e5_valid = io_dec_tlu_i0_valid_r | e5_valid; // @[dec_tlu_ctl.scala 314:30] - reg debug_mode_status; // @[dec_tlu_ctl.scala 324:81] - reg i_cpu_run_req_d1_raw; // @[dec_tlu_ctl.scala 572:80] - reg nmi_int_delayed; // @[dec_tlu_ctl.scala 339:72] - wire _T_37 = ~nmi_int_delayed; // @[dec_tlu_ctl.scala 348:45] - wire _T_38 = nmi_int_sync & _T_37; // @[dec_tlu_ctl.scala 348:43] - reg mdseac_locked_f; // @[dec_tlu_ctl.scala 605:89] - wire _T_35 = ~mdseac_locked_f; // @[dec_tlu_ctl.scala 346:32] - wire _T_36 = io_tlu_busbuff_lsu_imprecise_error_load_any | io_tlu_busbuff_lsu_imprecise_error_store_any; // @[dec_tlu_ctl.scala 346:96] - wire nmi_lsu_detected = _T_35 & _T_36; // @[dec_tlu_ctl.scala 346:49] - wire _T_39 = _T_38 | nmi_lsu_detected; // @[dec_tlu_ctl.scala 348:63] - reg nmi_int_detected_f; // @[dec_tlu_ctl.scala 340:72] - reg take_nmi_r_d1; // @[dec_tlu_ctl.scala 814:98] - wire _T_40 = ~take_nmi_r_d1; // @[dec_tlu_ctl.scala 348:106] - wire _T_41 = nmi_int_detected_f & _T_40; // @[dec_tlu_ctl.scala 348:104] - wire _T_42 = _T_39 | _T_41; // @[dec_tlu_ctl.scala 348:82] - reg take_ext_int_start_d3; // @[dec_tlu_ctl.scala 745:62] - wire _T_43 = |io_lsu_fir_error; // @[dec_tlu_ctl.scala 348:165] - wire _T_44 = take_ext_int_start_d3 & _T_43; // @[dec_tlu_ctl.scala 348:146] - wire nmi_int_detected = _T_42 | _T_44; // @[dec_tlu_ctl.scala 348:122] - wire _T_631 = ~io_dec_csr_stall_int_ff; // @[dec_tlu_ctl.scala 722:23] - wire mstatus_mie_ns = csr_io_mstatus_mie_ns; // @[dec_tlu_ctl.scala 1002:31] - wire _T_632 = _T_631 & mstatus_mie_ns; // @[dec_tlu_ctl.scala 722:48] - wire [5:0] mip = csr_io_mip; // @[dec_tlu_ctl.scala 1008:31] - wire _T_634 = _T_632 & mip[1]; // @[dec_tlu_ctl.scala 722:65] - wire [5:0] mie_ns = csr_io_mie_ns; // @[dec_tlu_ctl.scala 997:31] - wire timer_int_ready = _T_634 & mie_ns[1]; // @[dec_tlu_ctl.scala 722:83] - wire _T_391 = nmi_int_detected | timer_int_ready; // @[dec_tlu_ctl.scala 599:66] - wire _T_628 = _T_632 & mip[0]; // @[dec_tlu_ctl.scala 721:65] - wire soft_int_ready = _T_628 & mie_ns[0]; // @[dec_tlu_ctl.scala 721:83] - wire _T_392 = _T_391 | soft_int_ready; // @[dec_tlu_ctl.scala 599:84] - reg int_timer0_int_hold_f; // @[dec_tlu_ctl.scala 579:73] - wire _T_393 = _T_392 | int_timer0_int_hold_f; // @[dec_tlu_ctl.scala 599:101] - reg int_timer1_int_hold_f; // @[dec_tlu_ctl.scala 580:73] - wire _T_394 = _T_393 | int_timer1_int_hold_f; // @[dec_tlu_ctl.scala 599:125] - wire _T_608 = _T_632 & mip[2]; // @[dec_tlu_ctl.scala 718:66] - wire mhwakeup_ready = _T_608 & mie_ns[2]; // @[dec_tlu_ctl.scala 718:84] - wire _T_395 = io_dec_pic_mhwakeup & mhwakeup_ready; // @[dec_tlu_ctl.scala 599:172] - wire _T_396 = _T_394 | _T_395; // @[dec_tlu_ctl.scala 599:149] - wire _T_397 = _T_396 & io_o_cpu_halt_status; // @[dec_tlu_ctl.scala 599:191] - reg i_cpu_halt_req_d1; // @[dec_tlu_ctl.scala 571:80] - wire _T_398 = ~i_cpu_halt_req_d1; // @[dec_tlu_ctl.scala 599:216] - wire _T_399 = _T_397 & _T_398; // @[dec_tlu_ctl.scala 599:214] - wire i_cpu_run_req_d1 = i_cpu_run_req_d1_raw | _T_399; // @[dec_tlu_ctl.scala 599:45] - wire _T_14 = debug_mode_status | i_cpu_run_req_d1; // @[dec_tlu_ctl.scala 315:50] - wire _T_685 = ~_T_43; // @[dec_tlu_ctl.scala 750:49] - wire take_ext_int = take_ext_int_start_d3 & _T_685; // @[dec_tlu_ctl.scala 750:47] - wire _T_698 = ~soft_int_ready; // @[dec_tlu_ctl.scala 767:40] - wire _T_699 = timer_int_ready & _T_698; // @[dec_tlu_ctl.scala 767:38] - wire _T_617 = ~io_lsu_fastint_stall_any; // @[dec_tlu_ctl.scala 719:104] - wire ext_int_ready = mhwakeup_ready & _T_617; // @[dec_tlu_ctl.scala 719:102] - wire _T_700 = ~ext_int_ready; // @[dec_tlu_ctl.scala 767:58] - wire _T_701 = _T_699 & _T_700; // @[dec_tlu_ctl.scala 767:56] - wire _T_622 = _T_632 & mip[5]; // @[dec_tlu_ctl.scala 720:65] - wire ce_int_ready = _T_622 & mie_ns[5]; // @[dec_tlu_ctl.scala 720:83] - wire _T_702 = ~ce_int_ready; // @[dec_tlu_ctl.scala 767:75] - wire _T_703 = _T_701 & _T_702; // @[dec_tlu_ctl.scala 767:73] - wire _T_152 = ~debug_mode_status; // @[dec_tlu_ctl.scala 422:37] - reg dbg_halt_req_held; // @[dec_tlu_ctl.scala 465:81] - wire _T_106 = io_dbg_halt_req | dbg_halt_req_held; // @[dec_tlu_ctl.scala 399:48] - reg ext_int_freeze_d1; // @[dec_tlu_ctl.scala 746:66] - wire _T_107 = ~ext_int_freeze_d1; // @[dec_tlu_ctl.scala 399:71] - wire dbg_halt_req_final = _T_106 & _T_107; // @[dec_tlu_ctl.scala 399:69] - wire mpc_debug_halt_req_sync = mpc_debug_halt_req_sync_raw & _T_107; // @[dec_tlu_ctl.scala 358:67] - wire _T_109 = dbg_halt_req_final | mpc_debug_halt_req_sync; // @[dec_tlu_ctl.scala 402:50] - reg reset_detect; // @[dec_tlu_ctl.scala 335:88] - reg reset_detected; // @[dec_tlu_ctl.scala 336:88] - wire reset_delayed = reset_detect ^ reset_detected; // @[dec_tlu_ctl.scala 337:64] - wire _T_110 = ~io_mpc_reset_run_req; // @[dec_tlu_ctl.scala 402:95] - wire _T_111 = reset_delayed & _T_110; // @[dec_tlu_ctl.scala 402:93] - wire _T_112 = _T_109 | _T_111; // @[dec_tlu_ctl.scala 402:76] - wire _T_114 = _T_112 & _T_152; // @[dec_tlu_ctl.scala 402:119] - wire debug_halt_req = _T_114 & _T_107; // @[dec_tlu_ctl.scala 402:147] - wire _T_153 = _T_152 & debug_halt_req; // @[dec_tlu_ctl.scala 422:63] - reg dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 457:81] - wire _T_154 = _T_153 | dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 422:81] - reg trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 456:81] - wire _T_155 = _T_154 | trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 422:107] - reg ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 671:64] - wire enter_debug_halt_req = _T_155 | ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 422:132] - reg debug_halt_req_f; // @[dec_tlu_ctl.scala 454:89] - wire force_halt = csr_io_force_halt; // @[dec_tlu_ctl.scala 1000:31] - reg lsu_idle_any_f; // @[dec_tlu_ctl.scala 450:89] - wire _T_142 = io_lsu_idle_any & lsu_idle_any_f; // @[dec_tlu_ctl.scala 416:53] - wire _T_143 = _T_142 & io_tlu_mem_ifu_miss_state_idle; // @[dec_tlu_ctl.scala 416:70] - reg ifu_miss_state_idle_f; // @[dec_tlu_ctl.scala 451:81] - wire _T_144 = _T_143 & ifu_miss_state_idle_f; // @[dec_tlu_ctl.scala 416:103] - wire _T_145 = ~debug_halt_req; // @[dec_tlu_ctl.scala 416:129] - wire _T_146 = _T_144 & _T_145; // @[dec_tlu_ctl.scala 416:127] - reg debug_halt_req_d1; // @[dec_tlu_ctl.scala 458:89] - wire _T_147 = ~debug_halt_req_d1; // @[dec_tlu_ctl.scala 416:147] - wire _T_148 = _T_146 & _T_147; // @[dec_tlu_ctl.scala 416:145] - wire _T_149 = ~io_dec_div_active; // @[dec_tlu_ctl.scala 416:168] - wire _T_150 = _T_148 & _T_149; // @[dec_tlu_ctl.scala 416:166] - wire core_empty = force_halt | _T_150; // @[dec_tlu_ctl.scala 416:34] - wire _T_163 = debug_halt_req_f & core_empty; // @[dec_tlu_ctl.scala 432:48] - reg dec_tlu_flush_noredir_r_d1; // @[dec_tlu_ctl.scala 448:81] - reg dec_tlu_flush_pause_r_d1; // @[dec_tlu_ctl.scala 464:73] - wire _T_132 = ~dec_tlu_flush_pause_r_d1; // @[dec_tlu_ctl.scala 412:56] - wire _T_133 = dec_tlu_flush_noredir_r_d1 & _T_132; // @[dec_tlu_ctl.scala 412:54] - reg take_ext_int_start_d1; // @[dec_tlu_ctl.scala 743:62] - wire _T_134 = ~take_ext_int_start_d1; // @[dec_tlu_ctl.scala 412:84] - wire _T_135 = _T_133 & _T_134; // @[dec_tlu_ctl.scala 412:82] - reg halt_taken_f; // @[dec_tlu_ctl.scala 449:89] - reg dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 452:89] - wire _T_136 = ~dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 412:126] - wire _T_137 = halt_taken_f & _T_136; // @[dec_tlu_ctl.scala 412:124] - reg pmu_fw_tlu_halted_f; // @[dec_tlu_ctl.scala 578:73] - wire _T_138 = ~pmu_fw_tlu_halted_f; // @[dec_tlu_ctl.scala 412:146] - wire _T_139 = _T_137 & _T_138; // @[dec_tlu_ctl.scala 412:144] - reg interrupt_valid_r_d1; // @[dec_tlu_ctl.scala 808:90] - wire _T_140 = ~interrupt_valid_r_d1; // @[dec_tlu_ctl.scala 412:169] - wire _T_141 = _T_139 & _T_140; // @[dec_tlu_ctl.scala 412:167] - wire halt_taken = _T_135 | _T_141; // @[dec_tlu_ctl.scala 412:108] - wire _T_164 = _T_163 & halt_taken; // @[dec_tlu_ctl.scala 432:61] - reg debug_resume_req_f; // @[dec_tlu_ctl.scala 455:89] - wire _T_165 = ~debug_resume_req_f; // @[dec_tlu_ctl.scala 432:97] - wire _T_166 = dbg_tlu_halted_f & _T_165; // @[dec_tlu_ctl.scala 432:95] - wire dbg_tlu_halted = _T_164 | _T_166; // @[dec_tlu_ctl.scala 432:75] - wire _T_167 = ~dbg_tlu_halted; // @[dec_tlu_ctl.scala 433:73] - wire _T_168 = debug_halt_req_f & _T_167; // @[dec_tlu_ctl.scala 433:71] - wire debug_halt_req_ns = enter_debug_halt_req | _T_168; // @[dec_tlu_ctl.scala 433:51] - wire [15:0] dcsr = csr_io_dcsr; // @[dec_tlu_ctl.scala 1006:31] - wire _T_157 = ~dcsr[2]; // @[dec_tlu_ctl.scala 425:106] - wire _T_158 = debug_resume_req_f & _T_157; // @[dec_tlu_ctl.scala 425:104] - wire _T_159 = ~_T_158; // @[dec_tlu_ctl.scala 425:83] - wire _T_160 = debug_mode_status & _T_159; // @[dec_tlu_ctl.scala 425:81] - wire internal_dbg_halt_mode = debug_halt_req_ns | _T_160; // @[dec_tlu_ctl.scala 425:53] - wire _T_177 = debug_resume_req_f & dcsr[2]; // @[dec_tlu_ctl.scala 438:60] - reg dcsr_single_step_running_f; // @[dec_tlu_ctl.scala 463:73] - wire _T_178 = ~dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 438:111] - wire _T_179 = dcsr_single_step_running_f & _T_178; // @[dec_tlu_ctl.scala 438:109] - wire dcsr_single_step_running = _T_177 | _T_179; // @[dec_tlu_ctl.scala 438:79] - wire _T_665 = ~dcsr_single_step_running; // @[dec_tlu_ctl.scala 739:55] - wire _T_666 = _T_665 | io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 739:81] - wire _T_667 = internal_dbg_halt_mode & _T_666; // @[dec_tlu_ctl.scala 739:52] - wire _T_346 = ~io_dec_tlu_debug_mode; // @[dec_tlu_ctl.scala 568:62] - wire _T_347 = i_cpu_halt_req_sync & _T_346; // @[dec_tlu_ctl.scala 568:60] - wire i_cpu_halt_req_sync_qual = _T_347 & _T_107; // @[dec_tlu_ctl.scala 568:85] - wire ext_halt_pulse = i_cpu_halt_req_sync_qual & _T_398; // @[dec_tlu_ctl.scala 584:50] - wire fw_halt_req = csr_io_fw_halt_req; // @[dec_tlu_ctl.scala 1004:31] - wire enter_pmu_fw_halt_req = ext_halt_pulse | fw_halt_req; // @[dec_tlu_ctl.scala 585:48] - reg pmu_fw_halt_req_f; // @[dec_tlu_ctl.scala 577:73] - wire _T_371 = pmu_fw_halt_req_f & core_empty; // @[dec_tlu_ctl.scala 590:45] - wire _T_372 = _T_371 & halt_taken; // @[dec_tlu_ctl.scala 590:58] - wire _T_373 = ~enter_debug_halt_req; // @[dec_tlu_ctl.scala 590:73] - wire _T_374 = _T_372 & _T_373; // @[dec_tlu_ctl.scala 590:71] - wire _T_375 = ~i_cpu_run_req_d1; // @[dec_tlu_ctl.scala 590:121] - wire _T_376 = pmu_fw_tlu_halted_f & _T_375; // @[dec_tlu_ctl.scala 590:119] - wire _T_377 = _T_374 | _T_376; // @[dec_tlu_ctl.scala 590:96] - wire _T_378 = ~debug_halt_req_f; // @[dec_tlu_ctl.scala 590:143] - wire pmu_fw_tlu_halted = _T_377 & _T_378; // @[dec_tlu_ctl.scala 590:141] - wire _T_361 = ~pmu_fw_tlu_halted; // @[dec_tlu_ctl.scala 586:72] - wire _T_362 = pmu_fw_halt_req_f & _T_361; // @[dec_tlu_ctl.scala 586:70] - wire _T_363 = enter_pmu_fw_halt_req | _T_362; // @[dec_tlu_ctl.scala 586:49] - wire pmu_fw_halt_req_ns = _T_363 & _T_378; // @[dec_tlu_ctl.scala 586:93] - reg internal_pmu_fw_halt_mode_f; // @[dec_tlu_ctl.scala 576:68] - wire _T_367 = internal_pmu_fw_halt_mode_f & _T_375; // @[dec_tlu_ctl.scala 587:83] - wire _T_369 = _T_367 & _T_378; // @[dec_tlu_ctl.scala 587:103] - wire internal_pmu_fw_halt_mode = pmu_fw_halt_req_ns | _T_369; // @[dec_tlu_ctl.scala 587:52] - wire _T_668 = _T_667 | internal_pmu_fw_halt_mode; // @[dec_tlu_ctl.scala 739:107] - wire _T_669 = _T_668 | i_cpu_halt_req_d1; // @[dec_tlu_ctl.scala 739:135] - wire _T_738 = ~internal_pmu_fw_halt_mode; // @[dec_tlu_ctl.scala 771:35] - wire _T_739 = nmi_int_detected & _T_738; // @[dec_tlu_ctl.scala 771:33] - wire _T_740 = ~internal_dbg_halt_mode; // @[dec_tlu_ctl.scala 771:65] - wire _T_742 = dcsr_single_step_running_f & dcsr[11]; // @[dec_tlu_ctl.scala 771:119] - wire _T_743 = ~io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 771:141] - wire _T_744 = _T_742 & _T_743; // @[dec_tlu_ctl.scala 771:139] - wire _T_746 = _T_744 & _T_178; // @[dec_tlu_ctl.scala 771:164] - wire _T_747 = _T_740 | _T_746; // @[dec_tlu_ctl.scala 771:89] - wire _T_748 = _T_739 & _T_747; // @[dec_tlu_ctl.scala 771:62] - wire _T_463 = io_dec_tlu_packet_r_pmu_i0_itype == 4'h8; // @[dec_tlu_ctl.scala 657:51] - wire _T_464 = _T_463 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 657:64] - wire _T_297 = io_tlu_bp_dec_tlu_flush_lower_wb | io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 519:65] + wire nmi_int_sync = syncro_ff[6]; // @[dec_tlu_ctl.scala 302:67] + wire i_cpu_halt_req_sync = syncro_ff[3]; // @[dec_tlu_ctl.scala 305:59] + wire i_cpu_run_req_sync = syncro_ff[2]; // @[dec_tlu_ctl.scala 306:59] + wire mpc_debug_halt_req_sync_raw = syncro_ff[1]; // @[dec_tlu_ctl.scala 307:51] + wire mpc_debug_run_req_sync = syncro_ff[0]; // @[dec_tlu_ctl.scala 308:51] + wire dec_csr_wen_r_mod = csr_io_dec_csr_wen_r_mod; // @[dec_tlu_ctl.scala 1002:31] + reg lsu_exc_valid_r_d1; // @[dec_tlu_ctl.scala 611:74] + wire _T_11 = io_lsu_error_pkt_r_valid | lsu_exc_valid_r_d1; // @[dec_tlu_ctl.scala 312:67] + reg e5_valid; // @[dec_tlu_ctl.scala 324:97] + wire e4e5_valid = io_dec_tlu_i0_valid_r | e5_valid; // @[dec_tlu_ctl.scala 315:30] + reg debug_mode_status; // @[dec_tlu_ctl.scala 325:81] + reg i_cpu_run_req_d1_raw; // @[dec_tlu_ctl.scala 571:80] + reg nmi_int_delayed; // @[dec_tlu_ctl.scala 338:72] + wire _T_37 = ~nmi_int_delayed; // @[dec_tlu_ctl.scala 347:45] + wire _T_38 = nmi_int_sync & _T_37; // @[dec_tlu_ctl.scala 347:43] + reg mdseac_locked_f; // @[dec_tlu_ctl.scala 604:89] + wire _T_35 = ~mdseac_locked_f; // @[dec_tlu_ctl.scala 345:32] + wire _T_36 = io_tlu_busbuff_lsu_imprecise_error_load_any | io_tlu_busbuff_lsu_imprecise_error_store_any; // @[dec_tlu_ctl.scala 345:96] + wire nmi_lsu_detected = _T_35 & _T_36; // @[dec_tlu_ctl.scala 345:49] + wire _T_39 = _T_38 | nmi_lsu_detected; // @[dec_tlu_ctl.scala 347:63] + reg nmi_int_detected_f; // @[dec_tlu_ctl.scala 339:72] + reg take_nmi_r_d1; // @[dec_tlu_ctl.scala 813:98] + wire _T_40 = ~take_nmi_r_d1; // @[dec_tlu_ctl.scala 347:106] + wire _T_41 = nmi_int_detected_f & _T_40; // @[dec_tlu_ctl.scala 347:104] + wire _T_42 = _T_39 | _T_41; // @[dec_tlu_ctl.scala 347:82] + reg take_ext_int_start_d3; // @[dec_tlu_ctl.scala 744:62] + wire _T_43 = |io_lsu_fir_error; // @[dec_tlu_ctl.scala 347:165] + wire _T_44 = take_ext_int_start_d3 & _T_43; // @[dec_tlu_ctl.scala 347:146] + wire nmi_int_detected = _T_42 | _T_44; // @[dec_tlu_ctl.scala 347:122] + wire _T_631 = ~io_dec_csr_stall_int_ff; // @[dec_tlu_ctl.scala 721:23] + wire mstatus_mie_ns = csr_io_mstatus_mie_ns; // @[dec_tlu_ctl.scala 1001:31] + wire _T_632 = _T_631 & mstatus_mie_ns; // @[dec_tlu_ctl.scala 721:48] + wire [5:0] mip = csr_io_mip; // @[dec_tlu_ctl.scala 1007:31] + wire _T_634 = _T_632 & mip[1]; // @[dec_tlu_ctl.scala 721:65] + wire [5:0] mie_ns = csr_io_mie_ns; // @[dec_tlu_ctl.scala 996:31] + wire timer_int_ready = _T_634 & mie_ns[1]; // @[dec_tlu_ctl.scala 721:83] + wire _T_391 = nmi_int_detected | timer_int_ready; // @[dec_tlu_ctl.scala 598:66] + wire _T_628 = _T_632 & mip[0]; // @[dec_tlu_ctl.scala 720:65] + wire soft_int_ready = _T_628 & mie_ns[0]; // @[dec_tlu_ctl.scala 720:83] + wire _T_392 = _T_391 | soft_int_ready; // @[dec_tlu_ctl.scala 598:84] + reg int_timer0_int_hold_f; // @[dec_tlu_ctl.scala 578:73] + wire _T_393 = _T_392 | int_timer0_int_hold_f; // @[dec_tlu_ctl.scala 598:101] + reg int_timer1_int_hold_f; // @[dec_tlu_ctl.scala 579:73] + wire _T_394 = _T_393 | int_timer1_int_hold_f; // @[dec_tlu_ctl.scala 598:125] + wire _T_608 = _T_632 & mip[2]; // @[dec_tlu_ctl.scala 717:66] + wire mhwakeup_ready = _T_608 & mie_ns[2]; // @[dec_tlu_ctl.scala 717:84] + wire _T_395 = io_dec_pic_mhwakeup & mhwakeup_ready; // @[dec_tlu_ctl.scala 598:172] + wire _T_396 = _T_394 | _T_395; // @[dec_tlu_ctl.scala 598:149] + wire _T_397 = _T_396 & io_o_cpu_halt_status; // @[dec_tlu_ctl.scala 598:191] + reg i_cpu_halt_req_d1; // @[dec_tlu_ctl.scala 570:80] + wire _T_398 = ~i_cpu_halt_req_d1; // @[dec_tlu_ctl.scala 598:216] + wire _T_399 = _T_397 & _T_398; // @[dec_tlu_ctl.scala 598:214] + wire i_cpu_run_req_d1 = i_cpu_run_req_d1_raw | _T_399; // @[dec_tlu_ctl.scala 598:45] + wire _T_14 = debug_mode_status | i_cpu_run_req_d1; // @[dec_tlu_ctl.scala 316:50] + wire _T_685 = ~_T_43; // @[dec_tlu_ctl.scala 749:49] + wire take_ext_int = take_ext_int_start_d3 & _T_685; // @[dec_tlu_ctl.scala 749:47] + wire _T_698 = ~soft_int_ready; // @[dec_tlu_ctl.scala 766:40] + wire _T_699 = timer_int_ready & _T_698; // @[dec_tlu_ctl.scala 766:38] + wire _T_617 = ~io_lsu_fastint_stall_any; // @[dec_tlu_ctl.scala 718:104] + wire ext_int_ready = mhwakeup_ready & _T_617; // @[dec_tlu_ctl.scala 718:102] + wire _T_700 = ~ext_int_ready; // @[dec_tlu_ctl.scala 766:58] + wire _T_701 = _T_699 & _T_700; // @[dec_tlu_ctl.scala 766:56] + wire _T_622 = _T_632 & mip[5]; // @[dec_tlu_ctl.scala 719:65] + wire ce_int_ready = _T_622 & mie_ns[5]; // @[dec_tlu_ctl.scala 719:83] + wire _T_702 = ~ce_int_ready; // @[dec_tlu_ctl.scala 766:75] + wire _T_703 = _T_701 & _T_702; // @[dec_tlu_ctl.scala 766:73] + wire _T_152 = ~debug_mode_status; // @[dec_tlu_ctl.scala 421:37] + reg dbg_halt_req_held; // @[dec_tlu_ctl.scala 464:81] + wire _T_106 = io_dbg_halt_req | dbg_halt_req_held; // @[dec_tlu_ctl.scala 398:48] + reg ext_int_freeze_d1; // @[dec_tlu_ctl.scala 745:66] + wire _T_107 = ~ext_int_freeze_d1; // @[dec_tlu_ctl.scala 398:71] + wire dbg_halt_req_final = _T_106 & _T_107; // @[dec_tlu_ctl.scala 398:69] + wire mpc_debug_halt_req_sync = mpc_debug_halt_req_sync_raw & _T_107; // @[dec_tlu_ctl.scala 357:67] + wire _T_109 = dbg_halt_req_final | mpc_debug_halt_req_sync; // @[dec_tlu_ctl.scala 401:50] + reg reset_detect; // @[dec_tlu_ctl.scala 334:88] + reg reset_detected; // @[dec_tlu_ctl.scala 335:88] + wire reset_delayed = reset_detect ^ reset_detected; // @[dec_tlu_ctl.scala 336:64] + wire _T_110 = ~io_mpc_reset_run_req; // @[dec_tlu_ctl.scala 401:95] + wire _T_111 = reset_delayed & _T_110; // @[dec_tlu_ctl.scala 401:93] + wire _T_112 = _T_109 | _T_111; // @[dec_tlu_ctl.scala 401:76] + wire _T_114 = _T_112 & _T_152; // @[dec_tlu_ctl.scala 401:119] + wire debug_halt_req = _T_114 & _T_107; // @[dec_tlu_ctl.scala 401:147] + wire _T_153 = _T_152 & debug_halt_req; // @[dec_tlu_ctl.scala 421:63] + reg dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 456:81] + wire _T_154 = _T_153 | dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 421:81] + reg trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 455:81] + wire _T_155 = _T_154 | trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 421:107] + reg ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 670:64] + wire enter_debug_halt_req = _T_155 | ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 421:132] + reg debug_halt_req_f; // @[dec_tlu_ctl.scala 453:89] + wire force_halt = csr_io_force_halt; // @[dec_tlu_ctl.scala 999:31] + reg lsu_idle_any_f; // @[dec_tlu_ctl.scala 449:89] + wire _T_142 = io_lsu_idle_any & lsu_idle_any_f; // @[dec_tlu_ctl.scala 415:53] + wire _T_143 = _T_142 & io_tlu_mem_ifu_miss_state_idle; // @[dec_tlu_ctl.scala 415:70] + reg ifu_miss_state_idle_f; // @[dec_tlu_ctl.scala 450:81] + wire _T_144 = _T_143 & ifu_miss_state_idle_f; // @[dec_tlu_ctl.scala 415:103] + wire _T_145 = ~debug_halt_req; // @[dec_tlu_ctl.scala 415:129] + wire _T_146 = _T_144 & _T_145; // @[dec_tlu_ctl.scala 415:127] + reg debug_halt_req_d1; // @[dec_tlu_ctl.scala 457:89] + wire _T_147 = ~debug_halt_req_d1; // @[dec_tlu_ctl.scala 415:147] + wire _T_148 = _T_146 & _T_147; // @[dec_tlu_ctl.scala 415:145] + wire _T_149 = ~io_dec_div_active; // @[dec_tlu_ctl.scala 415:168] + wire _T_150 = _T_148 & _T_149; // @[dec_tlu_ctl.scala 415:166] + wire core_empty = force_halt | _T_150; // @[dec_tlu_ctl.scala 415:34] + wire _T_163 = debug_halt_req_f & core_empty; // @[dec_tlu_ctl.scala 431:48] + reg dec_tlu_flush_noredir_r_d1; // @[dec_tlu_ctl.scala 447:81] + reg dec_tlu_flush_pause_r_d1; // @[dec_tlu_ctl.scala 463:73] + wire _T_132 = ~dec_tlu_flush_pause_r_d1; // @[dec_tlu_ctl.scala 411:56] + wire _T_133 = dec_tlu_flush_noredir_r_d1 & _T_132; // @[dec_tlu_ctl.scala 411:54] + reg take_ext_int_start_d1; // @[dec_tlu_ctl.scala 742:62] + wire _T_134 = ~take_ext_int_start_d1; // @[dec_tlu_ctl.scala 411:84] + wire _T_135 = _T_133 & _T_134; // @[dec_tlu_ctl.scala 411:82] + reg halt_taken_f; // @[dec_tlu_ctl.scala 448:89] + reg dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 451:89] + wire _T_136 = ~dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 411:126] + wire _T_137 = halt_taken_f & _T_136; // @[dec_tlu_ctl.scala 411:124] + reg pmu_fw_tlu_halted_f; // @[dec_tlu_ctl.scala 577:73] + wire _T_138 = ~pmu_fw_tlu_halted_f; // @[dec_tlu_ctl.scala 411:146] + wire _T_139 = _T_137 & _T_138; // @[dec_tlu_ctl.scala 411:144] + reg interrupt_valid_r_d1; // @[dec_tlu_ctl.scala 807:90] + wire _T_140 = ~interrupt_valid_r_d1; // @[dec_tlu_ctl.scala 411:169] + wire _T_141 = _T_139 & _T_140; // @[dec_tlu_ctl.scala 411:167] + wire halt_taken = _T_135 | _T_141; // @[dec_tlu_ctl.scala 411:108] + wire _T_164 = _T_163 & halt_taken; // @[dec_tlu_ctl.scala 431:61] + reg debug_resume_req_f; // @[dec_tlu_ctl.scala 454:89] + wire _T_165 = ~debug_resume_req_f; // @[dec_tlu_ctl.scala 431:97] + wire _T_166 = dbg_tlu_halted_f & _T_165; // @[dec_tlu_ctl.scala 431:95] + wire dbg_tlu_halted = _T_164 | _T_166; // @[dec_tlu_ctl.scala 431:75] + wire _T_167 = ~dbg_tlu_halted; // @[dec_tlu_ctl.scala 432:73] + wire _T_168 = debug_halt_req_f & _T_167; // @[dec_tlu_ctl.scala 432:71] + wire debug_halt_req_ns = enter_debug_halt_req | _T_168; // @[dec_tlu_ctl.scala 432:51] + wire [15:0] dcsr = csr_io_dcsr; // @[dec_tlu_ctl.scala 1005:31] + wire _T_157 = ~dcsr[2]; // @[dec_tlu_ctl.scala 424:106] + wire _T_158 = debug_resume_req_f & _T_157; // @[dec_tlu_ctl.scala 424:104] + wire _T_159 = ~_T_158; // @[dec_tlu_ctl.scala 424:83] + wire _T_160 = debug_mode_status & _T_159; // @[dec_tlu_ctl.scala 424:81] + wire internal_dbg_halt_mode = debug_halt_req_ns | _T_160; // @[dec_tlu_ctl.scala 424:53] + wire _T_177 = debug_resume_req_f & dcsr[2]; // @[dec_tlu_ctl.scala 437:60] + reg dcsr_single_step_running_f; // @[dec_tlu_ctl.scala 462:73] + wire _T_178 = ~dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 437:111] + wire _T_179 = dcsr_single_step_running_f & _T_178; // @[dec_tlu_ctl.scala 437:109] + wire dcsr_single_step_running = _T_177 | _T_179; // @[dec_tlu_ctl.scala 437:79] + wire _T_665 = ~dcsr_single_step_running; // @[dec_tlu_ctl.scala 738:55] + wire _T_666 = _T_665 | io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 738:81] + wire _T_667 = internal_dbg_halt_mode & _T_666; // @[dec_tlu_ctl.scala 738:52] + wire _T_346 = ~io_dec_tlu_debug_mode; // @[dec_tlu_ctl.scala 567:62] + wire _T_347 = i_cpu_halt_req_sync & _T_346; // @[dec_tlu_ctl.scala 567:60] + wire i_cpu_halt_req_sync_qual = _T_347 & _T_107; // @[dec_tlu_ctl.scala 567:85] + wire ext_halt_pulse = i_cpu_halt_req_sync_qual & _T_398; // @[dec_tlu_ctl.scala 583:50] + wire fw_halt_req = csr_io_fw_halt_req; // @[dec_tlu_ctl.scala 1003:31] + wire enter_pmu_fw_halt_req = ext_halt_pulse | fw_halt_req; // @[dec_tlu_ctl.scala 584:48] + reg pmu_fw_halt_req_f; // @[dec_tlu_ctl.scala 576:73] + wire _T_371 = pmu_fw_halt_req_f & core_empty; // @[dec_tlu_ctl.scala 589:45] + wire _T_372 = _T_371 & halt_taken; // @[dec_tlu_ctl.scala 589:58] + wire _T_373 = ~enter_debug_halt_req; // @[dec_tlu_ctl.scala 589:73] + wire _T_374 = _T_372 & _T_373; // @[dec_tlu_ctl.scala 589:71] + wire _T_375 = ~i_cpu_run_req_d1; // @[dec_tlu_ctl.scala 589:121] + wire _T_376 = pmu_fw_tlu_halted_f & _T_375; // @[dec_tlu_ctl.scala 589:119] + wire _T_377 = _T_374 | _T_376; // @[dec_tlu_ctl.scala 589:96] + wire _T_378 = ~debug_halt_req_f; // @[dec_tlu_ctl.scala 589:143] + wire pmu_fw_tlu_halted = _T_377 & _T_378; // @[dec_tlu_ctl.scala 589:141] + wire _T_361 = ~pmu_fw_tlu_halted; // @[dec_tlu_ctl.scala 585:72] + wire _T_362 = pmu_fw_halt_req_f & _T_361; // @[dec_tlu_ctl.scala 585:70] + wire _T_363 = enter_pmu_fw_halt_req | _T_362; // @[dec_tlu_ctl.scala 585:49] + wire pmu_fw_halt_req_ns = _T_363 & _T_378; // @[dec_tlu_ctl.scala 585:93] + reg internal_pmu_fw_halt_mode_f; // @[dec_tlu_ctl.scala 575:68] + wire _T_367 = internal_pmu_fw_halt_mode_f & _T_375; // @[dec_tlu_ctl.scala 586:83] + wire _T_369 = _T_367 & _T_378; // @[dec_tlu_ctl.scala 586:103] + wire internal_pmu_fw_halt_mode = pmu_fw_halt_req_ns | _T_369; // @[dec_tlu_ctl.scala 586:52] + wire _T_668 = _T_667 | internal_pmu_fw_halt_mode; // @[dec_tlu_ctl.scala 738:107] + wire _T_669 = _T_668 | i_cpu_halt_req_d1; // @[dec_tlu_ctl.scala 738:135] + wire _T_738 = ~internal_pmu_fw_halt_mode; // @[dec_tlu_ctl.scala 770:35] + wire _T_739 = nmi_int_detected & _T_738; // @[dec_tlu_ctl.scala 770:33] + wire _T_740 = ~internal_dbg_halt_mode; // @[dec_tlu_ctl.scala 770:65] + wire _T_742 = dcsr_single_step_running_f & dcsr[11]; // @[dec_tlu_ctl.scala 770:119] + wire _T_743 = ~io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 770:141] + wire _T_744 = _T_742 & _T_743; // @[dec_tlu_ctl.scala 770:139] + wire _T_746 = _T_744 & _T_178; // @[dec_tlu_ctl.scala 770:164] + wire _T_747 = _T_740 | _T_746; // @[dec_tlu_ctl.scala 770:89] + wire _T_748 = _T_739 & _T_747; // @[dec_tlu_ctl.scala 770:62] + wire _T_463 = io_dec_tlu_packet_r_pmu_i0_itype == 4'h8; // @[dec_tlu_ctl.scala 656:51] + wire _T_464 = _T_463 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 656:64] + wire _T_297 = io_dec_tlu_flush_lower_wb | io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 518:58] wire [3:0] _T_299 = _T_297 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_300 = ~_T_299; // @[dec_tlu_ctl.scala 519:23] + wire [3:0] _T_300 = ~_T_299; // @[dec_tlu_ctl.scala 518:23] wire [3:0] _T_292 = io_dec_tlu_i0_valid_r ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_294 = _T_292 & io_dec_tlu_packet_r_i0trigger; // @[dec_tlu_ctl.scala 517:53] - wire [9:0] mtdata1_t_3 = csr_io_mtdata1_t_3; // @[dec_tlu_ctl.scala 155:67 dec_tlu_ctl.scala 1009:33] - wire [9:0] mtdata1_t_2 = csr_io_mtdata1_t_2; // @[dec_tlu_ctl.scala 155:67 dec_tlu_ctl.scala 1009:33] - wire [9:0] mtdata1_t_1 = csr_io_mtdata1_t_1; // @[dec_tlu_ctl.scala 155:67 dec_tlu_ctl.scala 1009:33] - wire [9:0] mtdata1_t_0 = csr_io_mtdata1_t_0; // @[dec_tlu_ctl.scala 155:67 dec_tlu_ctl.scala 1009:33] + wire [3:0] _T_294 = _T_292 & io_dec_tlu_packet_r_i0trigger; // @[dec_tlu_ctl.scala 516:53] + wire [9:0] mtdata1_t_3 = csr_io_mtdata1_t_3; // @[dec_tlu_ctl.scala 156:67 dec_tlu_ctl.scala 1008:33] + wire [9:0] mtdata1_t_2 = csr_io_mtdata1_t_2; // @[dec_tlu_ctl.scala 156:67 dec_tlu_ctl.scala 1008:33] + wire [9:0] mtdata1_t_1 = csr_io_mtdata1_t_1; // @[dec_tlu_ctl.scala 156:67 dec_tlu_ctl.scala 1008:33] + wire [9:0] mtdata1_t_0 = csr_io_mtdata1_t_0; // @[dec_tlu_ctl.scala 156:67 dec_tlu_ctl.scala 1008:33] wire [3:0] trigger_execute = {mtdata1_t_3[2],mtdata1_t_2[2],mtdata1_t_1[2],mtdata1_t_0[2]}; // @[Cat.scala 29:58] wire [3:0] trigger_data = {mtdata1_t_3[7],mtdata1_t_2[7],mtdata1_t_1[7],mtdata1_t_0[7]}; // @[Cat.scala 29:58] - wire [3:0] _T_279 = trigger_execute & trigger_data; // @[dec_tlu_ctl.scala 509:57] - wire inst_acc_r_raw = io_dec_tlu_packet_r_icaf & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 665:49] + wire [3:0] _T_279 = trigger_execute & trigger_data; // @[dec_tlu_ctl.scala 508:57] + wire inst_acc_r_raw = io_dec_tlu_packet_r_icaf & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 664:49] wire [3:0] _T_281 = inst_acc_r_raw ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_282 = _T_279 & _T_281; // @[dec_tlu_ctl.scala 509:72] - wire _T_283 = io_tlu_exu_exu_i0_br_error_r | io_tlu_exu_exu_i0_br_start_error_r; // @[dec_tlu_ctl.scala 509:137] + wire [3:0] _T_282 = _T_279 & _T_281; // @[dec_tlu_ctl.scala 508:72] + wire _T_283 = io_tlu_exu_exu_i0_br_error_r | io_tlu_exu_exu_i0_br_start_error_r; // @[dec_tlu_ctl.scala 508:137] wire [3:0] _T_285 = _T_283 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_286 = _T_282 | _T_285; // @[dec_tlu_ctl.scala 509:98] - wire [3:0] i0_iside_trigger_has_pri_r = ~_T_286; // @[dec_tlu_ctl.scala 509:38] - wire [3:0] _T_295 = _T_294 & i0_iside_trigger_has_pri_r; // @[dec_tlu_ctl.scala 517:90] + wire [3:0] _T_286 = _T_282 | _T_285; // @[dec_tlu_ctl.scala 508:98] + wire [3:0] i0_iside_trigger_has_pri_r = ~_T_286; // @[dec_tlu_ctl.scala 508:38] + wire [3:0] _T_295 = _T_294 & i0_iside_trigger_has_pri_r; // @[dec_tlu_ctl.scala 516:90] wire [3:0] trigger_store = {mtdata1_t_3[1],mtdata1_t_2[1],mtdata1_t_1[1],mtdata1_t_0[1]}; // @[Cat.scala 29:58] - wire [3:0] _T_287 = trigger_store & trigger_data; // @[dec_tlu_ctl.scala 512:51] + wire [3:0] _T_287 = trigger_store & trigger_data; // @[dec_tlu_ctl.scala 511:51] wire [3:0] _T_289 = io_lsu_error_pkt_r_valid ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_290 = _T_287 & _T_289; // @[dec_tlu_ctl.scala 512:66] - wire [3:0] i0_lsu_trigger_has_pri_r = ~_T_290; // @[dec_tlu_ctl.scala 512:35] - wire [3:0] _T_296 = _T_295 & i0_lsu_trigger_has_pri_r; // @[dec_tlu_ctl.scala 517:119] - wire [1:0] mstatus = csr_io_mstatus; // @[dec_tlu_ctl.scala 1005:31] - wire _T_259 = mtdata1_t_3[6] | mstatus[0]; // @[dec_tlu_ctl.scala 506:62] - wire _T_261 = _T_259 & mtdata1_t_3[3]; // @[dec_tlu_ctl.scala 506:86] - wire _T_264 = mtdata1_t_2[6] | mstatus[0]; // @[dec_tlu_ctl.scala 506:150] - wire _T_266 = _T_264 & mtdata1_t_2[3]; // @[dec_tlu_ctl.scala 506:174] - wire _T_269 = mtdata1_t_1[6] | mstatus[0]; // @[dec_tlu_ctl.scala 506:239] - wire _T_271 = _T_269 & mtdata1_t_1[3]; // @[dec_tlu_ctl.scala 506:263] - wire _T_274 = mtdata1_t_0[6] | mstatus[0]; // @[dec_tlu_ctl.scala 506:328] - wire _T_276 = _T_274 & mtdata1_t_0[3]; // @[dec_tlu_ctl.scala 506:352] + wire [3:0] _T_290 = _T_287 & _T_289; // @[dec_tlu_ctl.scala 511:66] + wire [3:0] i0_lsu_trigger_has_pri_r = ~_T_290; // @[dec_tlu_ctl.scala 511:35] + wire [3:0] _T_296 = _T_295 & i0_lsu_trigger_has_pri_r; // @[dec_tlu_ctl.scala 516:119] + wire [1:0] mstatus = csr_io_mstatus; // @[dec_tlu_ctl.scala 1004:31] + wire _T_259 = mtdata1_t_3[6] | mstatus[0]; // @[dec_tlu_ctl.scala 505:62] + wire _T_261 = _T_259 & mtdata1_t_3[3]; // @[dec_tlu_ctl.scala 505:86] + wire _T_264 = mtdata1_t_2[6] | mstatus[0]; // @[dec_tlu_ctl.scala 505:150] + wire _T_266 = _T_264 & mtdata1_t_2[3]; // @[dec_tlu_ctl.scala 505:174] + wire _T_269 = mtdata1_t_1[6] | mstatus[0]; // @[dec_tlu_ctl.scala 505:239] + wire _T_271 = _T_269 & mtdata1_t_1[3]; // @[dec_tlu_ctl.scala 505:263] + wire _T_274 = mtdata1_t_0[6] | mstatus[0]; // @[dec_tlu_ctl.scala 505:328] + wire _T_276 = _T_274 & mtdata1_t_0[3]; // @[dec_tlu_ctl.scala 505:352] wire [3:0] trigger_enabled = {_T_261,_T_266,_T_271,_T_276}; // @[Cat.scala 29:58] - wire [3:0] i0trigger_qual_r = _T_296 & trigger_enabled; // @[dec_tlu_ctl.scala 517:146] - wire [3:0] i0_trigger_r = _T_300 & i0trigger_qual_r; // @[dec_tlu_ctl.scala 519:91] - wire _T_303 = ~mtdata1_t_2[5]; // @[dec_tlu_ctl.scala 522:60] - wire _T_305 = _T_303 | i0_trigger_r[2]; // @[dec_tlu_ctl.scala 522:89] - wire _T_306 = i0_trigger_r[3] & _T_305; // @[dec_tlu_ctl.scala 522:57] - wire _T_311 = _T_303 | i0_trigger_r[3]; // @[dec_tlu_ctl.scala 522:157] - wire _T_312 = i0_trigger_r[2] & _T_311; // @[dec_tlu_ctl.scala 522:125] - wire _T_315 = ~mtdata1_t_0[5]; // @[dec_tlu_ctl.scala 522:196] - wire _T_317 = _T_315 | i0_trigger_r[0]; // @[dec_tlu_ctl.scala 522:225] - wire _T_318 = i0_trigger_r[1] & _T_317; // @[dec_tlu_ctl.scala 522:193] - wire _T_323 = _T_315 | i0_trigger_r[1]; // @[dec_tlu_ctl.scala 522:293] - wire _T_324 = i0_trigger_r[0] & _T_323; // @[dec_tlu_ctl.scala 522:261] + wire [3:0] i0trigger_qual_r = _T_296 & trigger_enabled; // @[dec_tlu_ctl.scala 516:146] + wire [3:0] i0_trigger_r = _T_300 & i0trigger_qual_r; // @[dec_tlu_ctl.scala 518:84] + wire _T_303 = ~mtdata1_t_2[5]; // @[dec_tlu_ctl.scala 521:60] + wire _T_305 = _T_303 | i0_trigger_r[2]; // @[dec_tlu_ctl.scala 521:89] + wire _T_306 = i0_trigger_r[3] & _T_305; // @[dec_tlu_ctl.scala 521:57] + wire _T_311 = _T_303 | i0_trigger_r[3]; // @[dec_tlu_ctl.scala 521:157] + wire _T_312 = i0_trigger_r[2] & _T_311; // @[dec_tlu_ctl.scala 521:125] + wire _T_315 = ~mtdata1_t_0[5]; // @[dec_tlu_ctl.scala 521:196] + wire _T_317 = _T_315 | i0_trigger_r[0]; // @[dec_tlu_ctl.scala 521:225] + wire _T_318 = i0_trigger_r[1] & _T_317; // @[dec_tlu_ctl.scala 521:193] + wire _T_323 = _T_315 | i0_trigger_r[1]; // @[dec_tlu_ctl.scala 521:293] + wire _T_324 = i0_trigger_r[0] & _T_323; // @[dec_tlu_ctl.scala 521:261] wire [3:0] i0_trigger_chain_masked_r = {_T_306,_T_312,_T_318,_T_324}; // @[Cat.scala 29:58] - wire i0_trigger_hit_raw_r = |i0_trigger_chain_masked_r; // @[dec_tlu_ctl.scala 525:57] - wire _T_465 = ~i0_trigger_hit_raw_r; // @[dec_tlu_ctl.scala 657:90] - wire _T_466 = _T_464 & _T_465; // @[dec_tlu_ctl.scala 657:88] - wire _T_468 = ~dcsr[15]; // @[dec_tlu_ctl.scala 657:110] - wire _T_469 = _T_466 & _T_468; // @[dec_tlu_ctl.scala 657:108] - reg tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 327:80] - wire _T_429 = ~tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 632:44] - wire _T_430 = io_dec_tlu_i0_valid_r & _T_429; // @[dec_tlu_ctl.scala 632:42] - wire _T_432 = _T_430 & _T_283; // @[dec_tlu_ctl.scala 632:66] - reg ic_perr_r_d1; // @[dec_tlu_ctl.scala 321:89] - reg iccm_sbecc_r_d1; // @[dec_tlu_ctl.scala 322:89] - wire _T_433 = ic_perr_r_d1 | iccm_sbecc_r_d1; // @[dec_tlu_ctl.scala 632:154] - wire _T_435 = _T_433 & _T_107; // @[dec_tlu_ctl.scala 632:173] - wire _T_436 = _T_432 | _T_435; // @[dec_tlu_ctl.scala 632:137] - wire _T_438 = _T_436 & _T_465; // @[dec_tlu_ctl.scala 632:196] - wire _T_410 = io_dec_tlu_i0_valid_r & _T_465; // @[dec_tlu_ctl.scala 620:47] - wire _T_411 = ~io_lsu_error_pkt_r_bits_inst_type; // @[dec_tlu_ctl.scala 620:70] - wire _T_412 = _T_411 & io_lsu_error_pkt_r_bits_single_ecc_error; // @[dec_tlu_ctl.scala 620:105] - wire lsu_i0_rfnpc_r = _T_410 & _T_412; // @[dec_tlu_ctl.scala 620:67] - wire _T_439 = ~lsu_i0_rfnpc_r; // @[dec_tlu_ctl.scala 632:220] - wire rfpc_i0_r = _T_438 & _T_439; // @[dec_tlu_ctl.scala 632:217] - wire _T_470 = ~rfpc_i0_r; // @[dec_tlu_ctl.scala 657:132] - wire ebreak_r = _T_469 & _T_470; // @[dec_tlu_ctl.scala 657:130] - wire _T_472 = io_dec_tlu_packet_r_pmu_i0_itype == 4'h9; // @[dec_tlu_ctl.scala 658:51] - wire _T_473 = _T_472 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 658:64] - wire _T_475 = _T_473 & _T_465; // @[dec_tlu_ctl.scala 658:88] - wire ecall_r = _T_475 & _T_470; // @[dec_tlu_ctl.scala 658:108] - wire _T_523 = ebreak_r | ecall_r; // @[dec_tlu_ctl.scala 685:41] - wire _T_478 = ~io_dec_tlu_packet_r_legal; // @[dec_tlu_ctl.scala 659:17] - wire _T_479 = _T_478 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 659:46] - wire _T_481 = _T_479 & _T_465; // @[dec_tlu_ctl.scala 659:70] - wire illegal_r = _T_481 & _T_470; // @[dec_tlu_ctl.scala 659:90] - wire _T_524 = _T_523 | illegal_r; // @[dec_tlu_ctl.scala 685:51] - wire _T_511 = inst_acc_r_raw & _T_470; // @[dec_tlu_ctl.scala 666:33] - wire inst_acc_r = _T_511 & _T_465; // @[dec_tlu_ctl.scala 666:46] - wire _T_525 = _T_524 | inst_acc_r; // @[dec_tlu_ctl.scala 685:63] - wire _T_527 = _T_525 & _T_470; // @[dec_tlu_ctl.scala 685:77] - wire _T_528 = ~io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 685:92] - wire i0_exception_valid_r = _T_527 & _T_528; // @[dec_tlu_ctl.scala 685:90] - wire _T_789 = i0_exception_valid_r | rfpc_i0_r; // @[dec_tlu_ctl.scala 784:49] - wire _T_402 = ~io_tlu_bp_dec_tlu_flush_lower_wb; // @[dec_tlu_ctl.scala 608:57] - wire lsu_exc_valid_r_raw = io_lsu_error_pkt_r_valid & _T_402; // @[dec_tlu_ctl.scala 608:55] - wire _T_403 = io_lsu_error_pkt_r_valid & lsu_exc_valid_r_raw; // @[dec_tlu_ctl.scala 610:40] - wire _T_405 = _T_403 & _T_465; // @[dec_tlu_ctl.scala 610:62] - wire lsu_exc_valid_r = _T_405 & _T_470; // @[dec_tlu_ctl.scala 610:82] - wire _T_790 = _T_789 | lsu_exc_valid_r; // @[dec_tlu_ctl.scala 784:61] - wire _T_490 = io_dec_tlu_packet_r_fence_i & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 662:50] - wire _T_492 = _T_490 & _T_465; // @[dec_tlu_ctl.scala 662:74] - wire fence_i_r = _T_492 & _T_470; // @[dec_tlu_ctl.scala 662:95] - wire _T_791 = _T_790 | fence_i_r; // @[dec_tlu_ctl.scala 784:79] - wire _T_792 = _T_791 | lsu_i0_rfnpc_r; // @[dec_tlu_ctl.scala 784:91] - wire _T_414 = io_dec_tlu_i0_valid_r & _T_470; // @[dec_tlu_ctl.scala 623:50] - wire _T_415 = ~lsu_exc_valid_r; // @[dec_tlu_ctl.scala 623:65] - wire _T_416 = _T_414 & _T_415; // @[dec_tlu_ctl.scala 623:63] - wire _T_417 = ~inst_acc_r; // @[dec_tlu_ctl.scala 623:82] - wire _T_418 = _T_416 & _T_417; // @[dec_tlu_ctl.scala 623:79] - wire _T_420 = _T_418 & _T_528; // @[dec_tlu_ctl.scala 623:94] - reg request_debug_mode_r_d1; // @[dec_tlu_ctl.scala 461:81] - wire _T_421 = ~request_debug_mode_r_d1; // @[dec_tlu_ctl.scala 623:121] - wire _T_422 = _T_420 & _T_421; // @[dec_tlu_ctl.scala 623:119] - wire tlu_i0_commit_cmt = _T_422 & _T_465; // @[dec_tlu_ctl.scala 623:146] - reg iccm_repair_state_d1; // @[dec_tlu_ctl.scala 320:80] - wire _T_444 = tlu_i0_commit_cmt & iccm_repair_state_d1; // @[dec_tlu_ctl.scala 641:52] - wire _T_484 = io_dec_tlu_packet_r_pmu_i0_itype == 4'hc; // @[dec_tlu_ctl.scala 660:51] - wire _T_485 = _T_484 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 660:64] - wire _T_487 = _T_485 & _T_465; // @[dec_tlu_ctl.scala 660:88] - wire mret_r = _T_487 & _T_470; // @[dec_tlu_ctl.scala 660:108] - wire _T_446 = _T_523 | mret_r; // @[dec_tlu_ctl.scala 641:98] - wire take_reset = reset_delayed & io_mpc_reset_run_req; // @[dec_tlu_ctl.scala 770:32] - wire _T_447 = _T_446 | take_reset; // @[dec_tlu_ctl.scala 641:107] - wire _T_448 = _T_447 | illegal_r; // @[dec_tlu_ctl.scala 641:120] - wire _T_449 = io_dec_csr_wraddr_r == 12'h7c2; // @[dec_tlu_ctl.scala 641:176] - wire _T_450 = dec_csr_wen_r_mod & _T_449; // @[dec_tlu_ctl.scala 641:153] - wire _T_451 = _T_448 | _T_450; // @[dec_tlu_ctl.scala 641:132] - wire _T_452 = ~_T_451; // @[dec_tlu_ctl.scala 641:77] - wire iccm_repair_state_rfnpc = _T_444 & _T_452; // @[dec_tlu_ctl.scala 641:75] - wire _T_793 = _T_792 | iccm_repair_state_rfnpc; // @[dec_tlu_ctl.scala 784:108] - wire _T_794 = _T_793 | debug_resume_req_f; // @[dec_tlu_ctl.scala 784:135] - wire _T_786 = i_cpu_run_req_d1 & pmu_fw_tlu_halted_f; // @[dec_tlu_ctl.scala 782:43] - wire _T_211 = ~io_dec_pause_state; // @[dec_tlu_ctl.scala 481:28] - reg dec_pause_state_f; // @[dec_tlu_ctl.scala 460:81] - wire _T_212 = _T_211 & dec_pause_state_f; // @[dec_tlu_ctl.scala 481:48] - wire _T_213 = ext_int_ready | ce_int_ready; // @[dec_tlu_ctl.scala 481:86] - wire _T_214 = _T_213 | timer_int_ready; // @[dec_tlu_ctl.scala 481:101] - wire _T_215 = _T_214 | soft_int_ready; // @[dec_tlu_ctl.scala 481:119] - wire _T_216 = _T_215 | int_timer0_int_hold_f; // @[dec_tlu_ctl.scala 481:136] - wire _T_217 = _T_216 | int_timer1_int_hold_f; // @[dec_tlu_ctl.scala 481:160] - wire _T_218 = _T_217 | nmi_int_detected; // @[dec_tlu_ctl.scala 481:184] - wire _T_219 = _T_218 | ext_int_freeze_d1; // @[dec_tlu_ctl.scala 481:203] - wire _T_220 = ~_T_219; // @[dec_tlu_ctl.scala 481:70] - wire _T_221 = _T_212 & _T_220; // @[dec_tlu_ctl.scala 481:68] - wire _T_223 = _T_221 & _T_140; // @[dec_tlu_ctl.scala 481:224] - wire _T_225 = _T_223 & _T_378; // @[dec_tlu_ctl.scala 481:248] - wire _T_226 = ~pmu_fw_halt_req_f; // @[dec_tlu_ctl.scala 481:270] - wire _T_227 = _T_225 & _T_226; // @[dec_tlu_ctl.scala 481:268] - wire _T_228 = ~halt_taken_f; // @[dec_tlu_ctl.scala 481:291] - wire pause_expired_r = _T_227 & _T_228; // @[dec_tlu_ctl.scala 481:289] - wire sel_npc_resume = _T_786 | pause_expired_r; // @[dec_tlu_ctl.scala 782:66] - wire _T_795 = _T_794 | sel_npc_resume; // @[dec_tlu_ctl.scala 784:157] - reg dec_tlu_wr_pause_r_d1; // @[dec_tlu_ctl.scala 459:81] - wire _T_796 = _T_795 | dec_tlu_wr_pause_r_d1; // @[dec_tlu_ctl.scala 784:175] - wire synchronous_flush_r = _T_796 | i0_trigger_hit_raw_r; // @[dec_tlu_ctl.scala 784:201] - wire _T_749 = ~synchronous_flush_r; // @[dec_tlu_ctl.scala 771:195] - wire _T_750 = _T_748 & _T_749; // @[dec_tlu_ctl.scala 771:193] - wire _T_751 = ~mret_r; // @[dec_tlu_ctl.scala 771:218] - wire _T_752 = _T_750 & _T_751; // @[dec_tlu_ctl.scala 771:216] - wire _T_753 = ~take_reset; // @[dec_tlu_ctl.scala 771:228] - wire _T_754 = _T_752 & _T_753; // @[dec_tlu_ctl.scala 771:226] - wire _T_519 = _T_466 & dcsr[15]; // @[dec_tlu_ctl.scala 669:121] - wire ebreak_to_debug_mode_r = _T_519 & _T_470; // @[dec_tlu_ctl.scala 669:142] - wire _T_755 = ~ebreak_to_debug_mode_r; // @[dec_tlu_ctl.scala 771:242] - wire _T_756 = _T_754 & _T_755; // @[dec_tlu_ctl.scala 771:240] - wire _T_760 = _T_107 | _T_44; // @[dec_tlu_ctl.scala 771:288] - wire take_nmi = _T_756 & _T_760; // @[dec_tlu_ctl.scala 771:266] - wire _T_670 = _T_669 | take_nmi; // @[dec_tlu_ctl.scala 739:155] - wire _T_671 = _T_670 | ebreak_to_debug_mode_r; // @[dec_tlu_ctl.scala 739:166] - wire _T_672 = _T_671 | synchronous_flush_r; // @[dec_tlu_ctl.scala 739:191] - reg exc_or_int_valid_r_d1; // @[dec_tlu_ctl.scala 810:90] - wire _T_673 = _T_672 | exc_or_int_valid_r_d1; // @[dec_tlu_ctl.scala 739:214] - wire _T_674 = _T_673 | mret_r; // @[dec_tlu_ctl.scala 739:238] - wire block_interrupts = _T_674 | ext_int_freeze_d1; // @[dec_tlu_ctl.scala 739:247] - wire _T_704 = ~block_interrupts; // @[dec_tlu_ctl.scala 767:91] - wire take_timer_int = _T_703 & _T_704; // @[dec_tlu_ctl.scala 767:89] - wire _T_762 = take_ext_int | take_timer_int; // @[dec_tlu_ctl.scala 774:38] - wire _T_693 = soft_int_ready & _T_700; // @[dec_tlu_ctl.scala 766:36] - wire _T_695 = _T_693 & _T_702; // @[dec_tlu_ctl.scala 766:53] - wire take_soft_int = _T_695 & _T_704; // @[dec_tlu_ctl.scala 766:69] - wire _T_763 = _T_762 | take_soft_int; // @[dec_tlu_ctl.scala 774:55] - wire _T_764 = _T_763 | take_nmi; // @[dec_tlu_ctl.scala 774:71] - wire _T_689 = ce_int_ready & _T_700; // @[dec_tlu_ctl.scala 765:33] - wire take_ce_int = _T_689 & _T_704; // @[dec_tlu_ctl.scala 765:50] - wire _T_765 = _T_764 | take_ce_int; // @[dec_tlu_ctl.scala 774:82] - wire int_timer0_int_possible = mstatus_mie_ns & mie_ns[4]; // @[dec_tlu_ctl.scala 725:49] - wire int_timer0_int_ready = mip[4] & int_timer0_int_possible; // @[dec_tlu_ctl.scala 726:47] - wire _T_706 = int_timer0_int_ready | int_timer0_int_hold_f; // @[dec_tlu_ctl.scala 768:49] - wire _T_707 = _T_706 & int_timer0_int_possible; // @[dec_tlu_ctl.scala 768:74] - wire _T_709 = _T_707 & _T_631; // @[dec_tlu_ctl.scala 768:100] - wire _T_710 = ~timer_int_ready; // @[dec_tlu_ctl.scala 768:129] - wire _T_711 = _T_709 & _T_710; // @[dec_tlu_ctl.scala 768:127] - wire _T_713 = _T_711 & _T_698; // @[dec_tlu_ctl.scala 768:146] - wire _T_715 = _T_713 & _T_700; // @[dec_tlu_ctl.scala 768:164] - wire _T_717 = _T_715 & _T_702; // @[dec_tlu_ctl.scala 768:181] - wire take_int_timer0_int = _T_717 & _T_704; // @[dec_tlu_ctl.scala 768:197] - wire _T_766 = _T_765 | take_int_timer0_int; // @[dec_tlu_ctl.scala 774:96] - wire int_timer1_int_possible = mstatus_mie_ns & mie_ns[3]; // @[dec_tlu_ctl.scala 727:49] - wire int_timer1_int_ready = mip[3] & int_timer1_int_possible; // @[dec_tlu_ctl.scala 728:47] - wire _T_720 = int_timer1_int_ready | int_timer1_int_hold_f; // @[dec_tlu_ctl.scala 769:49] - wire _T_721 = _T_720 & int_timer1_int_possible; // @[dec_tlu_ctl.scala 769:74] - wire _T_723 = _T_721 & _T_631; // @[dec_tlu_ctl.scala 769:100] - wire _T_725 = ~_T_706; // @[dec_tlu_ctl.scala 769:129] - wire _T_726 = _T_723 & _T_725; // @[dec_tlu_ctl.scala 769:127] - wire _T_728 = _T_726 & _T_710; // @[dec_tlu_ctl.scala 769:177] - wire _T_730 = _T_728 & _T_698; // @[dec_tlu_ctl.scala 769:196] - wire _T_732 = _T_730 & _T_700; // @[dec_tlu_ctl.scala 769:214] - wire _T_734 = _T_732 & _T_702; // @[dec_tlu_ctl.scala 769:231] - wire take_int_timer1_int = _T_734 & _T_704; // @[dec_tlu_ctl.scala 769:247] - wire interrupt_valid_r = _T_766 | take_int_timer1_int; // @[dec_tlu_ctl.scala 774:118] - wire _T_15 = _T_14 | interrupt_valid_r; // @[dec_tlu_ctl.scala 315:69] - wire _T_16 = _T_15 | interrupt_valid_r_d1; // @[dec_tlu_ctl.scala 315:89] - wire _T_17 = _T_16 | reset_delayed; // @[dec_tlu_ctl.scala 315:112] - wire _T_18 = _T_17 | pause_expired_r; // @[dec_tlu_ctl.scala 315:128] - reg pause_expired_wb; // @[dec_tlu_ctl.scala 815:90] - wire _T_19 = _T_18 | pause_expired_wb; // @[dec_tlu_ctl.scala 315:146] - wire _T_496 = io_tlu_mem_ifu_ic_error_start & _T_107; // @[dec_tlu_ctl.scala 663:51] - wire _T_498 = _T_152 | dcsr_single_step_running; // @[dec_tlu_ctl.scala 663:101] - wire _T_499 = _T_496 & _T_498; // @[dec_tlu_ctl.scala 663:72] - wire _T_500 = ~internal_pmu_fw_halt_mode_f; // @[dec_tlu_ctl.scala 663:131] - wire ic_perr_r = _T_499 & _T_500; // @[dec_tlu_ctl.scala 663:129] - wire _T_20 = _T_19 | ic_perr_r; // @[dec_tlu_ctl.scala 315:165] - wire _T_21 = _T_20 | ic_perr_r_d1; // @[dec_tlu_ctl.scala 315:177] - wire _T_503 = io_tlu_mem_ifu_iccm_rd_ecc_single_err & _T_107; // @[dec_tlu_ctl.scala 664:59] - wire _T_506 = _T_503 & _T_498; // @[dec_tlu_ctl.scala 664:80] - wire iccm_sbecc_r = _T_506 & _T_500; // @[dec_tlu_ctl.scala 664:137] - wire _T_22 = _T_21 | iccm_sbecc_r; // @[dec_tlu_ctl.scala 315:192] - wire _T_23 = _T_22 | iccm_sbecc_r_d1; // @[dec_tlu_ctl.scala 315:207] - wire flush_clkvalid = _T_23 | io_dec_tlu_dec_clk_override; // @[dec_tlu_ctl.scala 315:225] - reg lsu_pmu_load_external_r; // @[dec_tlu_ctl.scala 325:80] - reg lsu_pmu_store_external_r; // @[dec_tlu_ctl.scala 326:72] - reg _T_32; // @[dec_tlu_ctl.scala 328:73] - reg internal_dbg_halt_mode_f2; // @[dec_tlu_ctl.scala 329:72] - reg _T_33; // @[dec_tlu_ctl.scala 330:89] - reg nmi_lsu_load_type_f; // @[dec_tlu_ctl.scala 341:72] - reg nmi_lsu_store_type_f; // @[dec_tlu_ctl.scala 342:72] - wire _T_46 = nmi_lsu_detected & io_tlu_busbuff_lsu_imprecise_error_load_any; // @[dec_tlu_ctl.scala 350:48] - wire _T_49 = ~_T_41; // @[dec_tlu_ctl.scala 350:96] - wire _T_50 = _T_46 & _T_49; // @[dec_tlu_ctl.scala 350:94] - wire _T_52 = nmi_lsu_load_type_f & _T_40; // @[dec_tlu_ctl.scala 350:159] - wire _T_54 = nmi_lsu_detected & io_tlu_busbuff_lsu_imprecise_error_store_any; // @[dec_tlu_ctl.scala 351:49] - wire _T_58 = _T_54 & _T_49; // @[dec_tlu_ctl.scala 351:96] - wire _T_60 = nmi_lsu_store_type_f & _T_40; // @[dec_tlu_ctl.scala 351:162] - reg mpc_debug_halt_req_sync_f; // @[dec_tlu_ctl.scala 359:72] - reg mpc_debug_run_req_sync_f; // @[dec_tlu_ctl.scala 360:72] - reg mpc_run_state_f; // @[dec_tlu_ctl.scala 362:88] - reg debug_brkpt_status_f; // @[dec_tlu_ctl.scala 363:80] - reg mpc_debug_halt_ack_f; // @[dec_tlu_ctl.scala 364:80] - reg mpc_debug_run_ack_f; // @[dec_tlu_ctl.scala 365:80] - reg dbg_run_state_f; // @[dec_tlu_ctl.scala 367:88] - reg _T_65; // @[dec_tlu_ctl.scala 368:81] - wire _T_66 = ~mpc_debug_halt_req_sync_f; // @[dec_tlu_ctl.scala 372:71] - wire mpc_debug_halt_req_sync_pulse = mpc_debug_halt_req_sync & _T_66; // @[dec_tlu_ctl.scala 372:69] - wire _T_67 = ~mpc_debug_run_req_sync_f; // @[dec_tlu_ctl.scala 373:70] - wire mpc_debug_run_req_sync_pulse = mpc_debug_run_req_sync & _T_67; // @[dec_tlu_ctl.scala 373:68] - wire _T_68 = mpc_halt_state_f | mpc_debug_halt_req_sync_pulse; // @[dec_tlu_ctl.scala 375:48] - wire _T_71 = _T_68 | _T_111; // @[dec_tlu_ctl.scala 375:80] - wire _T_72 = ~mpc_debug_run_req_sync; // @[dec_tlu_ctl.scala 375:125] - wire mpc_halt_state_ns = _T_71 & _T_72; // @[dec_tlu_ctl.scala 375:123] - wire _T_74 = ~mpc_debug_run_ack_f; // @[dec_tlu_ctl.scala 376:80] - wire _T_75 = mpc_debug_run_req_sync_pulse & _T_74; // @[dec_tlu_ctl.scala 376:78] - wire _T_76 = mpc_run_state_f | _T_75; // @[dec_tlu_ctl.scala 376:46] - wire _T_77 = ~dcsr_single_step_running_f; // @[dec_tlu_ctl.scala 376:133] - wire _T_78 = debug_mode_status & _T_77; // @[dec_tlu_ctl.scala 376:131] - wire mpc_run_state_ns = _T_76 & _T_78; // @[dec_tlu_ctl.scala 376:103] - wire _T_80 = dbg_halt_req_final | dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 378:70] - wire _T_81 = _T_80 | trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 378:96] - wire _T_82 = _T_81 | ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 378:121] - wire _T_83 = dbg_halt_state_f | _T_82; // @[dec_tlu_ctl.scala 378:48] - wire _T_84 = ~io_dbg_resume_req; // @[dec_tlu_ctl.scala 378:153] - wire dbg_halt_state_ns = _T_83 & _T_84; // @[dec_tlu_ctl.scala 378:151] - wire _T_86 = dbg_run_state_f | io_dbg_resume_req; // @[dec_tlu_ctl.scala 379:46] - wire dbg_run_state_ns = _T_86 & _T_78; // @[dec_tlu_ctl.scala 379:67] - wire debug_brkpt_valid = ebreak_to_debug_mode_r_d1 | trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 385:59] - wire _T_92 = debug_brkpt_valid | debug_brkpt_status_f; // @[dec_tlu_ctl.scala 386:53] - wire _T_94 = internal_dbg_halt_mode & _T_77; // @[dec_tlu_ctl.scala 386:103] - wire _T_96 = mpc_halt_state_f & debug_mode_status; // @[dec_tlu_ctl.scala 389:51] - wire _T_97 = _T_96 & mpc_debug_halt_req_sync; // @[dec_tlu_ctl.scala 389:78] - wire _T_99 = ~dbg_halt_state_ns; // @[dec_tlu_ctl.scala 390:59] - wire _T_100 = mpc_debug_run_req_sync & _T_99; // @[dec_tlu_ctl.scala 390:57] - wire _T_101 = ~mpc_debug_halt_req_sync; // @[dec_tlu_ctl.scala 390:80] - wire _T_102 = _T_100 & _T_101; // @[dec_tlu_ctl.scala 390:78] - wire _T_103 = mpc_debug_run_ack_f & mpc_debug_run_req_sync; // @[dec_tlu_ctl.scala 390:129] - wire _T_118 = mpc_run_state_ns & _T_99; // @[dec_tlu_ctl.scala 404:73] - wire _T_119 = ~mpc_halt_state_ns; // @[dec_tlu_ctl.scala 404:117] - wire _T_120 = dbg_run_state_ns & _T_119; // @[dec_tlu_ctl.scala 404:115] - wire _T_121 = _T_118 | _T_120; // @[dec_tlu_ctl.scala 404:95] - wire _T_122 = debug_halt_req_f | pmu_fw_halt_req_f; // @[dec_tlu_ctl.scala 409:43] - wire _T_124 = _T_122 & _T_749; // @[dec_tlu_ctl.scala 409:64] - wire _T_126 = _T_124 & _T_751; // @[dec_tlu_ctl.scala 409:87] - wire _T_128 = _T_126 & _T_228; // @[dec_tlu_ctl.scala 409:97] - wire _T_129 = ~dec_tlu_flush_noredir_r_d1; // @[dec_tlu_ctl.scala 409:115] - wire _T_130 = _T_128 & _T_129; // @[dec_tlu_ctl.scala 409:113] - wire take_halt = _T_130 & _T_753; // @[dec_tlu_ctl.scala 409:143] - wire _T_170 = debug_resume_req_f & dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 434:49] - wire _T_172 = io_dec_tlu_i0_valid_r & _T_528; // @[dec_tlu_ctl.scala 436:59] - wire _T_174 = _T_172 & dcsr[2]; // @[dec_tlu_ctl.scala 436:84] - wire _T_329 = mtdata1_t_3[6] & mtdata1_t_3[9]; // @[dec_tlu_ctl.scala 531:61] - wire _T_332 = mtdata1_t_2[6] & mtdata1_t_2[9]; // @[dec_tlu_ctl.scala 531:121] - wire _T_335 = mtdata1_t_1[6] & mtdata1_t_1[9]; // @[dec_tlu_ctl.scala 531:181] - wire _T_338 = mtdata1_t_0[6] & mtdata1_t_0[9]; // @[dec_tlu_ctl.scala 531:241] + wire i0_trigger_hit_raw_r = |i0_trigger_chain_masked_r; // @[dec_tlu_ctl.scala 524:57] + wire _T_465 = ~i0_trigger_hit_raw_r; // @[dec_tlu_ctl.scala 656:90] + wire _T_466 = _T_464 & _T_465; // @[dec_tlu_ctl.scala 656:88] + wire _T_468 = ~dcsr[15]; // @[dec_tlu_ctl.scala 656:110] + wire _T_469 = _T_466 & _T_468; // @[dec_tlu_ctl.scala 656:108] + reg tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 328:80] + wire _T_429 = ~tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 631:44] + wire _T_430 = io_dec_tlu_i0_valid_r & _T_429; // @[dec_tlu_ctl.scala 631:42] + wire _T_432 = _T_430 & _T_283; // @[dec_tlu_ctl.scala 631:66] + reg ic_perr_r_d1; // @[dec_tlu_ctl.scala 322:89] + reg iccm_sbecc_r_d1; // @[dec_tlu_ctl.scala 323:89] + wire _T_433 = ic_perr_r_d1 | iccm_sbecc_r_d1; // @[dec_tlu_ctl.scala 631:154] + wire _T_435 = _T_433 & _T_107; // @[dec_tlu_ctl.scala 631:173] + wire _T_436 = _T_432 | _T_435; // @[dec_tlu_ctl.scala 631:137] + wire _T_438 = _T_436 & _T_465; // @[dec_tlu_ctl.scala 631:196] + wire _T_410 = io_dec_tlu_i0_valid_r & _T_465; // @[dec_tlu_ctl.scala 619:47] + wire _T_411 = ~io_lsu_error_pkt_r_bits_inst_type; // @[dec_tlu_ctl.scala 619:70] + wire _T_412 = _T_411 & io_lsu_error_pkt_r_bits_single_ecc_error; // @[dec_tlu_ctl.scala 619:105] + wire lsu_i0_rfnpc_r = _T_410 & _T_412; // @[dec_tlu_ctl.scala 619:67] + wire _T_439 = ~lsu_i0_rfnpc_r; // @[dec_tlu_ctl.scala 631:220] + wire rfpc_i0_r = _T_438 & _T_439; // @[dec_tlu_ctl.scala 631:217] + wire _T_470 = ~rfpc_i0_r; // @[dec_tlu_ctl.scala 656:132] + wire ebreak_r = _T_469 & _T_470; // @[dec_tlu_ctl.scala 656:130] + wire _T_472 = io_dec_tlu_packet_r_pmu_i0_itype == 4'h9; // @[dec_tlu_ctl.scala 657:51] + wire _T_473 = _T_472 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 657:64] + wire _T_475 = _T_473 & _T_465; // @[dec_tlu_ctl.scala 657:88] + wire ecall_r = _T_475 & _T_470; // @[dec_tlu_ctl.scala 657:108] + wire _T_523 = ebreak_r | ecall_r; // @[dec_tlu_ctl.scala 684:41] + wire _T_478 = ~io_dec_tlu_packet_r_legal; // @[dec_tlu_ctl.scala 658:17] + wire _T_479 = _T_478 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 658:46] + wire _T_481 = _T_479 & _T_465; // @[dec_tlu_ctl.scala 658:70] + wire illegal_r = _T_481 & _T_470; // @[dec_tlu_ctl.scala 658:90] + wire _T_524 = _T_523 | illegal_r; // @[dec_tlu_ctl.scala 684:51] + wire _T_511 = inst_acc_r_raw & _T_470; // @[dec_tlu_ctl.scala 665:33] + wire inst_acc_r = _T_511 & _T_465; // @[dec_tlu_ctl.scala 665:46] + wire _T_525 = _T_524 | inst_acc_r; // @[dec_tlu_ctl.scala 684:63] + wire _T_527 = _T_525 & _T_470; // @[dec_tlu_ctl.scala 684:77] + wire _T_528 = ~io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 684:92] + wire i0_exception_valid_r = _T_527 & _T_528; // @[dec_tlu_ctl.scala 684:90] + wire _T_789 = i0_exception_valid_r | rfpc_i0_r; // @[dec_tlu_ctl.scala 783:49] + wire _T_402 = ~io_dec_tlu_flush_lower_wb; // @[dec_tlu_ctl.scala 607:57] + wire lsu_exc_valid_r_raw = io_lsu_error_pkt_r_valid & _T_402; // @[dec_tlu_ctl.scala 607:55] + wire _T_403 = io_lsu_error_pkt_r_valid & lsu_exc_valid_r_raw; // @[dec_tlu_ctl.scala 609:40] + wire _T_405 = _T_403 & _T_465; // @[dec_tlu_ctl.scala 609:62] + wire lsu_exc_valid_r = _T_405 & _T_470; // @[dec_tlu_ctl.scala 609:82] + wire _T_790 = _T_789 | lsu_exc_valid_r; // @[dec_tlu_ctl.scala 783:61] + wire _T_490 = io_dec_tlu_packet_r_fence_i & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 661:50] + wire _T_492 = _T_490 & _T_465; // @[dec_tlu_ctl.scala 661:74] + wire fence_i_r = _T_492 & _T_470; // @[dec_tlu_ctl.scala 661:95] + wire _T_791 = _T_790 | fence_i_r; // @[dec_tlu_ctl.scala 783:79] + wire _T_792 = _T_791 | lsu_i0_rfnpc_r; // @[dec_tlu_ctl.scala 783:91] + wire _T_414 = io_dec_tlu_i0_valid_r & _T_470; // @[dec_tlu_ctl.scala 622:50] + wire _T_415 = ~lsu_exc_valid_r; // @[dec_tlu_ctl.scala 622:65] + wire _T_416 = _T_414 & _T_415; // @[dec_tlu_ctl.scala 622:63] + wire _T_417 = ~inst_acc_r; // @[dec_tlu_ctl.scala 622:82] + wire _T_418 = _T_416 & _T_417; // @[dec_tlu_ctl.scala 622:79] + wire _T_420 = _T_418 & _T_528; // @[dec_tlu_ctl.scala 622:94] + reg request_debug_mode_r_d1; // @[dec_tlu_ctl.scala 460:81] + wire _T_421 = ~request_debug_mode_r_d1; // @[dec_tlu_ctl.scala 622:121] + wire _T_422 = _T_420 & _T_421; // @[dec_tlu_ctl.scala 622:119] + wire tlu_i0_commit_cmt = _T_422 & _T_465; // @[dec_tlu_ctl.scala 622:146] + reg iccm_repair_state_d1; // @[dec_tlu_ctl.scala 321:80] + wire _T_444 = tlu_i0_commit_cmt & iccm_repair_state_d1; // @[dec_tlu_ctl.scala 640:52] + wire _T_484 = io_dec_tlu_packet_r_pmu_i0_itype == 4'hc; // @[dec_tlu_ctl.scala 659:51] + wire _T_485 = _T_484 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 659:64] + wire _T_487 = _T_485 & _T_465; // @[dec_tlu_ctl.scala 659:88] + wire mret_r = _T_487 & _T_470; // @[dec_tlu_ctl.scala 659:108] + wire _T_446 = _T_523 | mret_r; // @[dec_tlu_ctl.scala 640:98] + wire take_reset = reset_delayed & io_mpc_reset_run_req; // @[dec_tlu_ctl.scala 769:32] + wire _T_447 = _T_446 | take_reset; // @[dec_tlu_ctl.scala 640:107] + wire _T_448 = _T_447 | illegal_r; // @[dec_tlu_ctl.scala 640:120] + wire _T_449 = io_dec_csr_wraddr_r == 12'h7c2; // @[dec_tlu_ctl.scala 640:176] + wire _T_450 = dec_csr_wen_r_mod & _T_449; // @[dec_tlu_ctl.scala 640:153] + wire _T_451 = _T_448 | _T_450; // @[dec_tlu_ctl.scala 640:132] + wire _T_452 = ~_T_451; // @[dec_tlu_ctl.scala 640:77] + wire iccm_repair_state_rfnpc = _T_444 & _T_452; // @[dec_tlu_ctl.scala 640:75] + wire _T_793 = _T_792 | iccm_repair_state_rfnpc; // @[dec_tlu_ctl.scala 783:108] + wire _T_794 = _T_793 | debug_resume_req_f; // @[dec_tlu_ctl.scala 783:135] + wire _T_786 = i_cpu_run_req_d1 & pmu_fw_tlu_halted_f; // @[dec_tlu_ctl.scala 781:43] + wire _T_211 = ~io_dec_pause_state; // @[dec_tlu_ctl.scala 480:28] + reg dec_pause_state_f; // @[dec_tlu_ctl.scala 459:81] + wire _T_212 = _T_211 & dec_pause_state_f; // @[dec_tlu_ctl.scala 480:48] + wire _T_213 = ext_int_ready | ce_int_ready; // @[dec_tlu_ctl.scala 480:86] + wire _T_214 = _T_213 | timer_int_ready; // @[dec_tlu_ctl.scala 480:101] + wire _T_215 = _T_214 | soft_int_ready; // @[dec_tlu_ctl.scala 480:119] + wire _T_216 = _T_215 | int_timer0_int_hold_f; // @[dec_tlu_ctl.scala 480:136] + wire _T_217 = _T_216 | int_timer1_int_hold_f; // @[dec_tlu_ctl.scala 480:160] + wire _T_218 = _T_217 | nmi_int_detected; // @[dec_tlu_ctl.scala 480:184] + wire _T_219 = _T_218 | ext_int_freeze_d1; // @[dec_tlu_ctl.scala 480:203] + wire _T_220 = ~_T_219; // @[dec_tlu_ctl.scala 480:70] + wire _T_221 = _T_212 & _T_220; // @[dec_tlu_ctl.scala 480:68] + wire _T_223 = _T_221 & _T_140; // @[dec_tlu_ctl.scala 480:224] + wire _T_225 = _T_223 & _T_378; // @[dec_tlu_ctl.scala 480:248] + wire _T_226 = ~pmu_fw_halt_req_f; // @[dec_tlu_ctl.scala 480:270] + wire _T_227 = _T_225 & _T_226; // @[dec_tlu_ctl.scala 480:268] + wire _T_228 = ~halt_taken_f; // @[dec_tlu_ctl.scala 480:291] + wire pause_expired_r = _T_227 & _T_228; // @[dec_tlu_ctl.scala 480:289] + wire sel_npc_resume = _T_786 | pause_expired_r; // @[dec_tlu_ctl.scala 781:66] + wire _T_795 = _T_794 | sel_npc_resume; // @[dec_tlu_ctl.scala 783:157] + reg dec_tlu_wr_pause_r_d1; // @[dec_tlu_ctl.scala 458:81] + wire _T_796 = _T_795 | dec_tlu_wr_pause_r_d1; // @[dec_tlu_ctl.scala 783:175] + wire synchronous_flush_r = _T_796 | i0_trigger_hit_raw_r; // @[dec_tlu_ctl.scala 783:201] + wire _T_749 = ~synchronous_flush_r; // @[dec_tlu_ctl.scala 770:195] + wire _T_750 = _T_748 & _T_749; // @[dec_tlu_ctl.scala 770:193] + wire _T_751 = ~mret_r; // @[dec_tlu_ctl.scala 770:218] + wire _T_752 = _T_750 & _T_751; // @[dec_tlu_ctl.scala 770:216] + wire _T_753 = ~take_reset; // @[dec_tlu_ctl.scala 770:228] + wire _T_754 = _T_752 & _T_753; // @[dec_tlu_ctl.scala 770:226] + wire _T_519 = _T_466 & dcsr[15]; // @[dec_tlu_ctl.scala 668:121] + wire ebreak_to_debug_mode_r = _T_519 & _T_470; // @[dec_tlu_ctl.scala 668:142] + wire _T_755 = ~ebreak_to_debug_mode_r; // @[dec_tlu_ctl.scala 770:242] + wire _T_756 = _T_754 & _T_755; // @[dec_tlu_ctl.scala 770:240] + wire _T_760 = _T_107 | _T_44; // @[dec_tlu_ctl.scala 770:288] + wire take_nmi = _T_756 & _T_760; // @[dec_tlu_ctl.scala 770:266] + wire _T_670 = _T_669 | take_nmi; // @[dec_tlu_ctl.scala 738:155] + wire _T_671 = _T_670 | ebreak_to_debug_mode_r; // @[dec_tlu_ctl.scala 738:166] + wire _T_672 = _T_671 | synchronous_flush_r; // @[dec_tlu_ctl.scala 738:191] + reg exc_or_int_valid_r_d1; // @[dec_tlu_ctl.scala 809:90] + wire _T_673 = _T_672 | exc_or_int_valid_r_d1; // @[dec_tlu_ctl.scala 738:214] + wire _T_674 = _T_673 | mret_r; // @[dec_tlu_ctl.scala 738:238] + wire block_interrupts = _T_674 | ext_int_freeze_d1; // @[dec_tlu_ctl.scala 738:247] + wire _T_704 = ~block_interrupts; // @[dec_tlu_ctl.scala 766:91] + wire take_timer_int = _T_703 & _T_704; // @[dec_tlu_ctl.scala 766:89] + wire _T_762 = take_ext_int | take_timer_int; // @[dec_tlu_ctl.scala 773:38] + wire _T_693 = soft_int_ready & _T_700; // @[dec_tlu_ctl.scala 765:36] + wire _T_695 = _T_693 & _T_702; // @[dec_tlu_ctl.scala 765:53] + wire take_soft_int = _T_695 & _T_704; // @[dec_tlu_ctl.scala 765:69] + wire _T_763 = _T_762 | take_soft_int; // @[dec_tlu_ctl.scala 773:55] + wire _T_764 = _T_763 | take_nmi; // @[dec_tlu_ctl.scala 773:71] + wire _T_689 = ce_int_ready & _T_700; // @[dec_tlu_ctl.scala 764:33] + wire take_ce_int = _T_689 & _T_704; // @[dec_tlu_ctl.scala 764:50] + wire _T_765 = _T_764 | take_ce_int; // @[dec_tlu_ctl.scala 773:82] + wire int_timer0_int_possible = mstatus_mie_ns & mie_ns[4]; // @[dec_tlu_ctl.scala 724:49] + wire int_timer0_int_ready = mip[4] & int_timer0_int_possible; // @[dec_tlu_ctl.scala 725:47] + wire _T_706 = int_timer0_int_ready | int_timer0_int_hold_f; // @[dec_tlu_ctl.scala 767:49] + wire _T_707 = _T_706 & int_timer0_int_possible; // @[dec_tlu_ctl.scala 767:74] + wire _T_709 = _T_707 & _T_631; // @[dec_tlu_ctl.scala 767:100] + wire _T_710 = ~timer_int_ready; // @[dec_tlu_ctl.scala 767:129] + wire _T_711 = _T_709 & _T_710; // @[dec_tlu_ctl.scala 767:127] + wire _T_713 = _T_711 & _T_698; // @[dec_tlu_ctl.scala 767:146] + wire _T_715 = _T_713 & _T_700; // @[dec_tlu_ctl.scala 767:164] + wire _T_717 = _T_715 & _T_702; // @[dec_tlu_ctl.scala 767:181] + wire take_int_timer0_int = _T_717 & _T_704; // @[dec_tlu_ctl.scala 767:197] + wire _T_766 = _T_765 | take_int_timer0_int; // @[dec_tlu_ctl.scala 773:96] + wire int_timer1_int_possible = mstatus_mie_ns & mie_ns[3]; // @[dec_tlu_ctl.scala 726:49] + wire int_timer1_int_ready = mip[3] & int_timer1_int_possible; // @[dec_tlu_ctl.scala 727:47] + wire _T_720 = int_timer1_int_ready | int_timer1_int_hold_f; // @[dec_tlu_ctl.scala 768:49] + wire _T_721 = _T_720 & int_timer1_int_possible; // @[dec_tlu_ctl.scala 768:74] + wire _T_723 = _T_721 & _T_631; // @[dec_tlu_ctl.scala 768:100] + wire _T_725 = ~_T_706; // @[dec_tlu_ctl.scala 768:129] + wire _T_726 = _T_723 & _T_725; // @[dec_tlu_ctl.scala 768:127] + wire _T_728 = _T_726 & _T_710; // @[dec_tlu_ctl.scala 768:177] + wire _T_730 = _T_728 & _T_698; // @[dec_tlu_ctl.scala 768:196] + wire _T_732 = _T_730 & _T_700; // @[dec_tlu_ctl.scala 768:214] + wire _T_734 = _T_732 & _T_702; // @[dec_tlu_ctl.scala 768:231] + wire take_int_timer1_int = _T_734 & _T_704; // @[dec_tlu_ctl.scala 768:247] + wire interrupt_valid_r = _T_766 | take_int_timer1_int; // @[dec_tlu_ctl.scala 773:118] + wire _T_15 = _T_14 | interrupt_valid_r; // @[dec_tlu_ctl.scala 316:69] + wire _T_16 = _T_15 | interrupt_valid_r_d1; // @[dec_tlu_ctl.scala 316:89] + wire _T_17 = _T_16 | reset_delayed; // @[dec_tlu_ctl.scala 316:112] + wire _T_18 = _T_17 | pause_expired_r; // @[dec_tlu_ctl.scala 316:128] + reg pause_expired_wb; // @[dec_tlu_ctl.scala 814:90] + wire _T_19 = _T_18 | pause_expired_wb; // @[dec_tlu_ctl.scala 316:146] + wire _T_496 = io_tlu_mem_ifu_ic_error_start & _T_107; // @[dec_tlu_ctl.scala 662:51] + wire _T_498 = _T_152 | dcsr_single_step_running; // @[dec_tlu_ctl.scala 662:101] + wire _T_499 = _T_496 & _T_498; // @[dec_tlu_ctl.scala 662:72] + wire _T_500 = ~internal_pmu_fw_halt_mode_f; // @[dec_tlu_ctl.scala 662:131] + wire ic_perr_r = _T_499 & _T_500; // @[dec_tlu_ctl.scala 662:129] + wire _T_20 = _T_19 | ic_perr_r; // @[dec_tlu_ctl.scala 316:165] + wire _T_21 = _T_20 | ic_perr_r_d1; // @[dec_tlu_ctl.scala 316:177] + wire _T_503 = io_tlu_mem_ifu_iccm_rd_ecc_single_err & _T_107; // @[dec_tlu_ctl.scala 663:59] + wire _T_506 = _T_503 & _T_498; // @[dec_tlu_ctl.scala 663:80] + wire iccm_sbecc_r = _T_506 & _T_500; // @[dec_tlu_ctl.scala 663:137] + wire _T_22 = _T_21 | iccm_sbecc_r; // @[dec_tlu_ctl.scala 316:192] + wire _T_23 = _T_22 | iccm_sbecc_r_d1; // @[dec_tlu_ctl.scala 316:207] + wire flush_clkvalid = _T_23 | io_dec_tlu_dec_clk_override; // @[dec_tlu_ctl.scala 316:225] + reg lsu_pmu_load_external_r; // @[dec_tlu_ctl.scala 326:80] + reg lsu_pmu_store_external_r; // @[dec_tlu_ctl.scala 327:72] + reg _T_32; // @[dec_tlu_ctl.scala 329:73] + reg internal_dbg_halt_mode_f2; // @[dec_tlu_ctl.scala 330:72] + reg _T_33; // @[dec_tlu_ctl.scala 331:89] + reg nmi_lsu_load_type_f; // @[dec_tlu_ctl.scala 340:72] + reg nmi_lsu_store_type_f; // @[dec_tlu_ctl.scala 341:72] + wire _T_46 = nmi_lsu_detected & io_tlu_busbuff_lsu_imprecise_error_load_any; // @[dec_tlu_ctl.scala 349:48] + wire _T_49 = ~_T_41; // @[dec_tlu_ctl.scala 349:96] + wire _T_50 = _T_46 & _T_49; // @[dec_tlu_ctl.scala 349:94] + wire _T_52 = nmi_lsu_load_type_f & _T_40; // @[dec_tlu_ctl.scala 349:159] + wire _T_54 = nmi_lsu_detected & io_tlu_busbuff_lsu_imprecise_error_store_any; // @[dec_tlu_ctl.scala 350:49] + wire _T_58 = _T_54 & _T_49; // @[dec_tlu_ctl.scala 350:96] + wire _T_60 = nmi_lsu_store_type_f & _T_40; // @[dec_tlu_ctl.scala 350:162] + reg mpc_debug_halt_req_sync_f; // @[dec_tlu_ctl.scala 358:72] + reg mpc_debug_run_req_sync_f; // @[dec_tlu_ctl.scala 359:72] + reg mpc_run_state_f; // @[dec_tlu_ctl.scala 361:88] + reg debug_brkpt_status_f; // @[dec_tlu_ctl.scala 362:80] + reg mpc_debug_halt_ack_f; // @[dec_tlu_ctl.scala 363:80] + reg mpc_debug_run_ack_f; // @[dec_tlu_ctl.scala 364:80] + reg dbg_run_state_f; // @[dec_tlu_ctl.scala 366:88] + reg _T_65; // @[dec_tlu_ctl.scala 367:81] + wire _T_66 = ~mpc_debug_halt_req_sync_f; // @[dec_tlu_ctl.scala 371:71] + wire mpc_debug_halt_req_sync_pulse = mpc_debug_halt_req_sync & _T_66; // @[dec_tlu_ctl.scala 371:69] + wire _T_67 = ~mpc_debug_run_req_sync_f; // @[dec_tlu_ctl.scala 372:70] + wire mpc_debug_run_req_sync_pulse = mpc_debug_run_req_sync & _T_67; // @[dec_tlu_ctl.scala 372:68] + wire _T_68 = mpc_halt_state_f | mpc_debug_halt_req_sync_pulse; // @[dec_tlu_ctl.scala 374:48] + wire _T_71 = _T_68 | _T_111; // @[dec_tlu_ctl.scala 374:80] + wire _T_72 = ~mpc_debug_run_req_sync; // @[dec_tlu_ctl.scala 374:125] + wire mpc_halt_state_ns = _T_71 & _T_72; // @[dec_tlu_ctl.scala 374:123] + wire _T_74 = ~mpc_debug_run_ack_f; // @[dec_tlu_ctl.scala 375:80] + wire _T_75 = mpc_debug_run_req_sync_pulse & _T_74; // @[dec_tlu_ctl.scala 375:78] + wire _T_76 = mpc_run_state_f | _T_75; // @[dec_tlu_ctl.scala 375:46] + wire _T_77 = ~dcsr_single_step_running_f; // @[dec_tlu_ctl.scala 375:133] + wire _T_78 = debug_mode_status & _T_77; // @[dec_tlu_ctl.scala 375:131] + wire mpc_run_state_ns = _T_76 & _T_78; // @[dec_tlu_ctl.scala 375:103] + wire _T_80 = dbg_halt_req_final | dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 377:70] + wire _T_81 = _T_80 | trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 377:96] + wire _T_82 = _T_81 | ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 377:121] + wire _T_83 = dbg_halt_state_f | _T_82; // @[dec_tlu_ctl.scala 377:48] + wire _T_84 = ~io_dbg_resume_req; // @[dec_tlu_ctl.scala 377:153] + wire dbg_halt_state_ns = _T_83 & _T_84; // @[dec_tlu_ctl.scala 377:151] + wire _T_86 = dbg_run_state_f | io_dbg_resume_req; // @[dec_tlu_ctl.scala 378:46] + wire dbg_run_state_ns = _T_86 & _T_78; // @[dec_tlu_ctl.scala 378:67] + wire debug_brkpt_valid = ebreak_to_debug_mode_r_d1 | trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 384:59] + wire _T_92 = debug_brkpt_valid | debug_brkpt_status_f; // @[dec_tlu_ctl.scala 385:53] + wire _T_94 = internal_dbg_halt_mode & _T_77; // @[dec_tlu_ctl.scala 385:103] + wire _T_96 = mpc_halt_state_f & debug_mode_status; // @[dec_tlu_ctl.scala 388:51] + wire _T_97 = _T_96 & mpc_debug_halt_req_sync; // @[dec_tlu_ctl.scala 388:78] + wire _T_99 = ~dbg_halt_state_ns; // @[dec_tlu_ctl.scala 389:59] + wire _T_100 = mpc_debug_run_req_sync & _T_99; // @[dec_tlu_ctl.scala 389:57] + wire _T_101 = ~mpc_debug_halt_req_sync; // @[dec_tlu_ctl.scala 389:80] + wire _T_102 = _T_100 & _T_101; // @[dec_tlu_ctl.scala 389:78] + wire _T_103 = mpc_debug_run_ack_f & mpc_debug_run_req_sync; // @[dec_tlu_ctl.scala 389:129] + wire _T_118 = mpc_run_state_ns & _T_99; // @[dec_tlu_ctl.scala 403:73] + wire _T_119 = ~mpc_halt_state_ns; // @[dec_tlu_ctl.scala 403:117] + wire _T_120 = dbg_run_state_ns & _T_119; // @[dec_tlu_ctl.scala 403:115] + wire _T_121 = _T_118 | _T_120; // @[dec_tlu_ctl.scala 403:95] + wire _T_122 = debug_halt_req_f | pmu_fw_halt_req_f; // @[dec_tlu_ctl.scala 408:43] + wire _T_124 = _T_122 & _T_749; // @[dec_tlu_ctl.scala 408:64] + wire _T_126 = _T_124 & _T_751; // @[dec_tlu_ctl.scala 408:87] + wire _T_128 = _T_126 & _T_228; // @[dec_tlu_ctl.scala 408:97] + wire _T_129 = ~dec_tlu_flush_noredir_r_d1; // @[dec_tlu_ctl.scala 408:115] + wire _T_130 = _T_128 & _T_129; // @[dec_tlu_ctl.scala 408:113] + wire take_halt = _T_130 & _T_753; // @[dec_tlu_ctl.scala 408:143] + wire _T_170 = debug_resume_req_f & dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 433:49] + wire _T_172 = io_dec_tlu_i0_valid_r & _T_528; // @[dec_tlu_ctl.scala 435:59] + wire _T_174 = _T_172 & dcsr[2]; // @[dec_tlu_ctl.scala 435:84] + wire _T_329 = mtdata1_t_3[6] & mtdata1_t_3[9]; // @[dec_tlu_ctl.scala 530:61] + wire _T_332 = mtdata1_t_2[6] & mtdata1_t_2[9]; // @[dec_tlu_ctl.scala 530:121] + wire _T_335 = mtdata1_t_1[6] & mtdata1_t_1[9]; // @[dec_tlu_ctl.scala 530:181] + wire _T_338 = mtdata1_t_0[6] & mtdata1_t_0[9]; // @[dec_tlu_ctl.scala 530:241] wire [3:0] trigger_action = {_T_329,_T_332,_T_335,_T_338}; // @[Cat.scala 29:58] - wire [3:0] _T_343 = i0_trigger_chain_masked_r & trigger_action; // @[dec_tlu_ctl.scala 537:57] - wire i0_trigger_action_r = |_T_343; // @[dec_tlu_ctl.scala 537:75] - wire trigger_hit_dmode_r = i0_trigger_hit_raw_r & i0_trigger_action_r; // @[dec_tlu_ctl.scala 539:45] - wire _T_180 = trigger_hit_dmode_r | ebreak_to_debug_mode_r; // @[dec_tlu_ctl.scala 443:57] - wire _T_182 = request_debug_mode_r_d1 & _T_402; // @[dec_tlu_ctl.scala 443:110] - reg request_debug_mode_done_f; // @[dec_tlu_ctl.scala 462:73] - wire _T_183 = request_debug_mode_r_d1 | request_debug_mode_done_f; // @[dec_tlu_ctl.scala 445:64] - reg _T_190; // @[dec_tlu_ctl.scala 453:81] - wire _T_201 = fence_i_r & internal_dbg_halt_mode; // @[dec_tlu_ctl.scala 474:71] - wire _T_202 = take_halt | _T_201; // @[dec_tlu_ctl.scala 474:58] - wire _T_203 = _T_202 | io_dec_tlu_flush_pause_r; // @[dec_tlu_ctl.scala 474:97] - wire _T_204 = i0_trigger_hit_raw_r & trigger_hit_dmode_r; // @[dec_tlu_ctl.scala 474:144] - wire _T_205 = _T_203 | _T_204; // @[dec_tlu_ctl.scala 474:124] - wire take_ext_int_start = ext_int_ready & _T_704; // @[dec_tlu_ctl.scala 747:45] - wire _T_207 = ~interrupt_valid_r; // @[dec_tlu_ctl.scala 479:61] - wire _T_208 = dec_tlu_wr_pause_r_d1 & _T_207; // @[dec_tlu_ctl.scala 479:59] - wire _T_209 = ~take_ext_int_start; // @[dec_tlu_ctl.scala 479:82] - wire _T_231 = io_tlu_exu_dec_tlu_flush_lower_r & dcsr[2]; // @[dec_tlu_ctl.scala 483:82] - wire _T_232 = io_dec_tlu_resume_ack | dcsr_single_step_running; // @[dec_tlu_ctl.scala 483:125] - wire _T_233 = _T_231 & _T_232; // @[dec_tlu_ctl.scala 483:100] - wire _T_234 = ~io_tlu_ifc_dec_tlu_flush_noredir_wb; // @[dec_tlu_ctl.scala 483:155] + wire [3:0] _T_343 = i0_trigger_chain_masked_r & trigger_action; // @[dec_tlu_ctl.scala 536:57] + wire i0_trigger_action_r = |_T_343; // @[dec_tlu_ctl.scala 536:75] + wire trigger_hit_dmode_r = i0_trigger_hit_raw_r & i0_trigger_action_r; // @[dec_tlu_ctl.scala 538:45] + wire _T_180 = trigger_hit_dmode_r | ebreak_to_debug_mode_r; // @[dec_tlu_ctl.scala 442:57] + wire _T_182 = request_debug_mode_r_d1 & _T_402; // @[dec_tlu_ctl.scala 442:110] + reg request_debug_mode_done_f; // @[dec_tlu_ctl.scala 461:73] + wire _T_183 = request_debug_mode_r_d1 | request_debug_mode_done_f; // @[dec_tlu_ctl.scala 444:64] + reg _T_190; // @[dec_tlu_ctl.scala 452:81] + wire _T_201 = fence_i_r & internal_dbg_halt_mode; // @[dec_tlu_ctl.scala 473:71] + wire _T_202 = take_halt | _T_201; // @[dec_tlu_ctl.scala 473:58] + wire _T_203 = _T_202 | io_dec_tlu_flush_pause_r; // @[dec_tlu_ctl.scala 473:97] + wire _T_204 = i0_trigger_hit_raw_r & trigger_hit_dmode_r; // @[dec_tlu_ctl.scala 473:144] + wire _T_205 = _T_203 | _T_204; // @[dec_tlu_ctl.scala 473:124] + wire take_ext_int_start = ext_int_ready & _T_704; // @[dec_tlu_ctl.scala 746:45] + wire _T_207 = ~interrupt_valid_r; // @[dec_tlu_ctl.scala 478:61] + wire _T_208 = dec_tlu_wr_pause_r_d1 & _T_207; // @[dec_tlu_ctl.scala 478:59] + wire _T_209 = ~take_ext_int_start; // @[dec_tlu_ctl.scala 478:82] + wire _T_231 = io_tlu_exu_dec_tlu_flush_lower_r & dcsr[2]; // @[dec_tlu_ctl.scala 482:82] + wire _T_232 = io_dec_tlu_resume_ack | dcsr_single_step_running; // @[dec_tlu_ctl.scala 482:125] + wire _T_233 = _T_231 & _T_232; // @[dec_tlu_ctl.scala 482:100] + wire _T_234 = ~io_tlu_ifc_dec_tlu_flush_noredir_wb; // @[dec_tlu_ctl.scala 482:155] wire [3:0] _T_342 = i0_trigger_hit_raw_r ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire _T_345 = ~trigger_hit_dmode_r; // @[dec_tlu_ctl.scala 541:55] - wire mepc_trigger_hit_sel_pc_r = i0_trigger_hit_raw_r & _T_345; // @[dec_tlu_ctl.scala 541:53] - wire _T_350 = i_cpu_run_req_sync & _T_346; // @[dec_tlu_ctl.scala 569:58] - wire _T_351 = _T_350 & pmu_fw_tlu_halted_f; // @[dec_tlu_ctl.scala 569:83] - wire i_cpu_run_req_sync_qual = _T_351 & _T_107; // @[dec_tlu_ctl.scala 569:105] - reg _T_353; // @[dec_tlu_ctl.scala 573:81] - reg _T_354; // @[dec_tlu_ctl.scala 574:81] - reg _T_355; // @[dec_tlu_ctl.scala 575:81] - wire _T_384 = io_o_cpu_halt_status & _T_375; // @[dec_tlu_ctl.scala 593:89] - wire _T_386 = _T_384 & _T_152; // @[dec_tlu_ctl.scala 593:109] - wire _T_388 = io_o_cpu_halt_status & i_cpu_run_req_sync_qual; // @[dec_tlu_ctl.scala 594:41] - wire _T_389 = io_o_cpu_run_ack & i_cpu_run_req_sync_qual; // @[dec_tlu_ctl.scala 594:88] - reg lsu_single_ecc_error_r_d1; // @[dec_tlu_ctl.scala 606:72] - reg lsu_i0_exc_r_d1; // @[dec_tlu_ctl.scala 613:73] - wire _T_408 = ~io_lsu_error_pkt_r_bits_exc_type; // @[dec_tlu_ctl.scala 614:40] - wire lsu_exc_ma_r = lsu_exc_valid_r & _T_408; // @[dec_tlu_ctl.scala 614:38] - wire lsu_exc_acc_r = lsu_exc_valid_r & io_lsu_error_pkt_r_bits_exc_type; // @[dec_tlu_ctl.scala 615:38] - wire lsu_exc_st_r = lsu_exc_valid_r & io_lsu_error_pkt_r_bits_inst_type; // @[dec_tlu_ctl.scala 616:38] - wire _T_424 = rfpc_i0_r | lsu_exc_valid_r; // @[dec_tlu_ctl.scala 626:38] - wire _T_425 = _T_424 | inst_acc_r; // @[dec_tlu_ctl.scala 626:53] - wire _T_426 = illegal_r & io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 626:79] - wire _T_427 = _T_425 | _T_426; // @[dec_tlu_ctl.scala 626:66] - wire _T_441 = ~io_tlu_exu_dec_tlu_flush_lower_r; // @[dec_tlu_ctl.scala 635:70] - wire _T_442 = iccm_repair_state_d1 & _T_441; // @[dec_tlu_ctl.scala 635:68] - wire _T_453 = io_tlu_exu_exu_i0_br_error_r & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 644:59] - wire _T_455 = io_tlu_exu_exu_i0_br_start_error_r & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 645:71] - wire _T_457 = io_tlu_exu_exu_i0_br_valid_r & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 646:55] - wire _T_459 = _T_457 & _T_429; // @[dec_tlu_ctl.scala 646:79] - wire _T_460 = ~io_tlu_exu_exu_i0_br_mp_r; // @[dec_tlu_ctl.scala 646:106] - wire _T_461 = ~io_tlu_exu_exu_pmu_i0_br_ataken; // @[dec_tlu_ctl.scala 646:135] - wire _T_462 = _T_460 | _T_461; // @[dec_tlu_ctl.scala 646:133] - wire _T_529 = ~take_nmi; // @[dec_tlu_ctl.scala 694:33] - wire _T_530 = take_ext_int & _T_529; // @[dec_tlu_ctl.scala 694:31] - wire _T_533 = take_timer_int & _T_529; // @[dec_tlu_ctl.scala 695:25] - wire _T_536 = take_soft_int & _T_529; // @[dec_tlu_ctl.scala 696:24] - wire _T_539 = take_int_timer0_int & _T_529; // @[dec_tlu_ctl.scala 697:30] - wire _T_542 = take_int_timer1_int & _T_529; // @[dec_tlu_ctl.scala 698:30] - wire _T_545 = take_ce_int & _T_529; // @[dec_tlu_ctl.scala 699:22] - wire _T_548 = illegal_r & _T_529; // @[dec_tlu_ctl.scala 700:20] - wire _T_551 = ecall_r & _T_529; // @[dec_tlu_ctl.scala 701:19] - wire _T_554 = inst_acc_r & _T_529; // @[dec_tlu_ctl.scala 702:22] - wire _T_556 = ebreak_r | i0_trigger_hit_raw_r; // @[dec_tlu_ctl.scala 703:20] - wire _T_558 = _T_556 & _T_529; // @[dec_tlu_ctl.scala 703:40] - wire _T_560 = ~lsu_exc_st_r; // @[dec_tlu_ctl.scala 704:25] - wire _T_561 = lsu_exc_ma_r & _T_560; // @[dec_tlu_ctl.scala 704:23] - wire _T_563 = _T_561 & _T_529; // @[dec_tlu_ctl.scala 704:39] - wire _T_566 = lsu_exc_acc_r & _T_560; // @[dec_tlu_ctl.scala 705:24] - wire _T_568 = _T_566 & _T_529; // @[dec_tlu_ctl.scala 705:40] - wire _T_570 = lsu_exc_ma_r & lsu_exc_st_r; // @[dec_tlu_ctl.scala 706:23] - wire _T_572 = _T_570 & _T_529; // @[dec_tlu_ctl.scala 706:38] - wire _T_574 = lsu_exc_acc_r & lsu_exc_st_r; // @[dec_tlu_ctl.scala 707:24] - wire _T_576 = _T_574 & _T_529; // @[dec_tlu_ctl.scala 707:39] + wire _T_345 = ~trigger_hit_dmode_r; // @[dec_tlu_ctl.scala 540:55] + wire mepc_trigger_hit_sel_pc_r = i0_trigger_hit_raw_r & _T_345; // @[dec_tlu_ctl.scala 540:53] + wire _T_350 = i_cpu_run_req_sync & _T_346; // @[dec_tlu_ctl.scala 568:58] + wire _T_351 = _T_350 & pmu_fw_tlu_halted_f; // @[dec_tlu_ctl.scala 568:83] + wire i_cpu_run_req_sync_qual = _T_351 & _T_107; // @[dec_tlu_ctl.scala 568:105] + reg _T_353; // @[dec_tlu_ctl.scala 572:81] + reg _T_354; // @[dec_tlu_ctl.scala 573:81] + reg _T_355; // @[dec_tlu_ctl.scala 574:81] + wire _T_384 = io_o_cpu_halt_status & _T_375; // @[dec_tlu_ctl.scala 592:89] + wire _T_386 = _T_384 & _T_152; // @[dec_tlu_ctl.scala 592:109] + wire _T_388 = io_o_cpu_halt_status & i_cpu_run_req_sync_qual; // @[dec_tlu_ctl.scala 593:41] + wire _T_389 = io_o_cpu_run_ack & i_cpu_run_req_sync_qual; // @[dec_tlu_ctl.scala 593:88] + reg lsu_single_ecc_error_r_d1; // @[dec_tlu_ctl.scala 605:72] + reg lsu_i0_exc_r_d1; // @[dec_tlu_ctl.scala 612:73] + wire _T_408 = ~io_lsu_error_pkt_r_bits_exc_type; // @[dec_tlu_ctl.scala 613:40] + wire lsu_exc_ma_r = lsu_exc_valid_r & _T_408; // @[dec_tlu_ctl.scala 613:38] + wire lsu_exc_acc_r = lsu_exc_valid_r & io_lsu_error_pkt_r_bits_exc_type; // @[dec_tlu_ctl.scala 614:38] + wire lsu_exc_st_r = lsu_exc_valid_r & io_lsu_error_pkt_r_bits_inst_type; // @[dec_tlu_ctl.scala 615:38] + wire _T_424 = rfpc_i0_r | lsu_exc_valid_r; // @[dec_tlu_ctl.scala 625:38] + wire _T_425 = _T_424 | inst_acc_r; // @[dec_tlu_ctl.scala 625:53] + wire _T_426 = illegal_r & io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 625:79] + wire _T_427 = _T_425 | _T_426; // @[dec_tlu_ctl.scala 625:66] + wire _T_441 = ~io_tlu_exu_dec_tlu_flush_lower_r; // @[dec_tlu_ctl.scala 634:70] + wire _T_442 = iccm_repair_state_d1 & _T_441; // @[dec_tlu_ctl.scala 634:68] + wire _T_453 = io_tlu_exu_exu_i0_br_error_r & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 643:59] + wire _T_455 = io_tlu_exu_exu_i0_br_start_error_r & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 644:71] + wire _T_457 = io_tlu_exu_exu_i0_br_valid_r & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 645:55] + wire _T_459 = _T_457 & _T_429; // @[dec_tlu_ctl.scala 645:79] + wire _T_460 = ~io_tlu_exu_exu_i0_br_mp_r; // @[dec_tlu_ctl.scala 645:106] + wire _T_461 = ~io_tlu_exu_exu_pmu_i0_br_ataken; // @[dec_tlu_ctl.scala 645:135] + wire _T_462 = _T_460 | _T_461; // @[dec_tlu_ctl.scala 645:133] + wire _T_529 = ~take_nmi; // @[dec_tlu_ctl.scala 693:33] + wire _T_530 = take_ext_int & _T_529; // @[dec_tlu_ctl.scala 693:31] + wire _T_533 = take_timer_int & _T_529; // @[dec_tlu_ctl.scala 694:25] + wire _T_536 = take_soft_int & _T_529; // @[dec_tlu_ctl.scala 695:24] + wire _T_539 = take_int_timer0_int & _T_529; // @[dec_tlu_ctl.scala 696:30] + wire _T_542 = take_int_timer1_int & _T_529; // @[dec_tlu_ctl.scala 697:30] + wire _T_545 = take_ce_int & _T_529; // @[dec_tlu_ctl.scala 698:22] + wire _T_548 = illegal_r & _T_529; // @[dec_tlu_ctl.scala 699:20] + wire _T_551 = ecall_r & _T_529; // @[dec_tlu_ctl.scala 700:19] + wire _T_554 = inst_acc_r & _T_529; // @[dec_tlu_ctl.scala 701:22] + wire _T_556 = ebreak_r | i0_trigger_hit_raw_r; // @[dec_tlu_ctl.scala 702:20] + wire _T_558 = _T_556 & _T_529; // @[dec_tlu_ctl.scala 702:40] + wire _T_560 = ~lsu_exc_st_r; // @[dec_tlu_ctl.scala 703:25] + wire _T_561 = lsu_exc_ma_r & _T_560; // @[dec_tlu_ctl.scala 703:23] + wire _T_563 = _T_561 & _T_529; // @[dec_tlu_ctl.scala 703:39] + wire _T_566 = lsu_exc_acc_r & _T_560; // @[dec_tlu_ctl.scala 704:24] + wire _T_568 = _T_566 & _T_529; // @[dec_tlu_ctl.scala 704:40] + wire _T_570 = lsu_exc_ma_r & lsu_exc_st_r; // @[dec_tlu_ctl.scala 705:23] + wire _T_572 = _T_570 & _T_529; // @[dec_tlu_ctl.scala 705:38] + wire _T_574 = lsu_exc_acc_r & lsu_exc_st_r; // @[dec_tlu_ctl.scala 706:24] + wire _T_576 = _T_574 & _T_529; // @[dec_tlu_ctl.scala 706:39] wire [4:0] _T_578 = _T_530 ? 5'hb : 5'h0; // @[Mux.scala 27:72] wire [4:0] _T_579 = _T_533 ? 5'h7 : 5'h0; // @[Mux.scala 27:72] wire [4:0] _T_580 = _T_536 ? 5'h3 : 5'h0; // @[Mux.scala 27:72] @@ -55431,66 +55472,66 @@ module dec_tlu_ctl( wire [4:0] _T_602 = _T_601 | _T_589; // @[Mux.scala 27:72] wire [4:0] _T_603 = _T_602 | _T_590; // @[Mux.scala 27:72] wire [4:0] exc_cause_r = _T_603 | _T_591; // @[Mux.scala 27:72] - wire _T_641 = io_dec_csr_stall_int_ff | synchronous_flush_r; // @[dec_tlu_ctl.scala 732:52] - wire _T_642 = _T_641 | exc_or_int_valid_r_d1; // @[dec_tlu_ctl.scala 732:74] - wire int_timer_stalled = _T_642 | mret_r; // @[dec_tlu_ctl.scala 732:98] - wire _T_643 = pmu_fw_tlu_halted_f | int_timer_stalled; // @[dec_tlu_ctl.scala 734:72] - wire _T_644 = int_timer0_int_ready & _T_643; // @[dec_tlu_ctl.scala 734:49] - wire _T_645 = int_timer0_int_possible & int_timer0_int_hold_f; // @[dec_tlu_ctl.scala 734:121] - wire _T_647 = _T_645 & _T_207; // @[dec_tlu_ctl.scala 734:145] - wire _T_649 = _T_647 & _T_209; // @[dec_tlu_ctl.scala 734:166] - wire _T_651 = _T_649 & _T_152; // @[dec_tlu_ctl.scala 734:188] - wire _T_654 = int_timer1_int_ready & _T_643; // @[dec_tlu_ctl.scala 735:49] - wire _T_655 = int_timer1_int_possible & int_timer1_int_hold_f; // @[dec_tlu_ctl.scala 735:121] - wire _T_657 = _T_655 & _T_207; // @[dec_tlu_ctl.scala 735:145] - wire _T_659 = _T_657 & _T_209; // @[dec_tlu_ctl.scala 735:166] - wire _T_661 = _T_659 & _T_152; // @[dec_tlu_ctl.scala 735:188] - reg take_ext_int_start_d2; // @[dec_tlu_ctl.scala 744:62] - wire _T_681 = take_ext_int_start | take_ext_int_start_d1; // @[dec_tlu_ctl.scala 749:46] - wire _T_682 = _T_681 | take_ext_int_start_d2; // @[dec_tlu_ctl.scala 749:70] - wire csr_pkt_csr_meicpct = csr_read_io_csr_pkt_csr_meicpct; // @[dec_tlu_ctl.scala 270:41 dec_tlu_ctl.scala 1012:16] - wire fast_int_meicpct = csr_pkt_csr_meicpct & io_dec_csr_any_unq_d; // @[dec_tlu_ctl.scala 751:49] - wire [30:0] mtvec = csr_io_mtvec; // @[dec_tlu_ctl.scala 1007:31] + wire _T_641 = io_dec_csr_stall_int_ff | synchronous_flush_r; // @[dec_tlu_ctl.scala 731:52] + wire _T_642 = _T_641 | exc_or_int_valid_r_d1; // @[dec_tlu_ctl.scala 731:74] + wire int_timer_stalled = _T_642 | mret_r; // @[dec_tlu_ctl.scala 731:98] + wire _T_643 = pmu_fw_tlu_halted_f | int_timer_stalled; // @[dec_tlu_ctl.scala 733:72] + wire _T_644 = int_timer0_int_ready & _T_643; // @[dec_tlu_ctl.scala 733:49] + wire _T_645 = int_timer0_int_possible & int_timer0_int_hold_f; // @[dec_tlu_ctl.scala 733:121] + wire _T_647 = _T_645 & _T_207; // @[dec_tlu_ctl.scala 733:145] + wire _T_649 = _T_647 & _T_209; // @[dec_tlu_ctl.scala 733:166] + wire _T_651 = _T_649 & _T_152; // @[dec_tlu_ctl.scala 733:188] + wire _T_654 = int_timer1_int_ready & _T_643; // @[dec_tlu_ctl.scala 734:49] + wire _T_655 = int_timer1_int_possible & int_timer1_int_hold_f; // @[dec_tlu_ctl.scala 734:121] + wire _T_657 = _T_655 & _T_207; // @[dec_tlu_ctl.scala 734:145] + wire _T_659 = _T_657 & _T_209; // @[dec_tlu_ctl.scala 734:166] + wire _T_661 = _T_659 & _T_152; // @[dec_tlu_ctl.scala 734:188] + reg take_ext_int_start_d2; // @[dec_tlu_ctl.scala 743:62] + wire _T_681 = take_ext_int_start | take_ext_int_start_d1; // @[dec_tlu_ctl.scala 748:46] + wire _T_682 = _T_681 | take_ext_int_start_d2; // @[dec_tlu_ctl.scala 748:70] + wire csr_pkt_csr_meicpct = csr_read_io_csr_pkt_csr_meicpct; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire fast_int_meicpct = csr_pkt_csr_meicpct & io_dec_csr_any_unq_d; // @[dec_tlu_ctl.scala 750:49] + wire [30:0] mtvec = csr_io_mtvec; // @[dec_tlu_ctl.scala 1006:31] wire [30:0] _T_769 = {mtvec[30:1],1'h0}; // @[Cat.scala 29:58] wire [30:0] _T_771 = {25'h0,exc_cause_r,1'h0}; // @[Cat.scala 29:58] - wire [30:0] vectored_path = _T_769 + _T_771; // @[dec_tlu_ctl.scala 779:51] - wire [30:0] _T_778 = mtvec[0] ? vectored_path : _T_769; // @[dec_tlu_ctl.scala 780:61] - wire [30:0] interrupt_path = take_nmi ? io_nmi_vec : _T_778; // @[dec_tlu_ctl.scala 780:28] - wire _T_779 = lsu_i0_rfnpc_r | fence_i_r; // @[dec_tlu_ctl.scala 781:36] - wire _T_780 = _T_779 | iccm_repair_state_rfnpc; // @[dec_tlu_ctl.scala 781:48] - wire _T_782 = i_cpu_run_req_d1 & _T_207; // @[dec_tlu_ctl.scala 781:94] - wire _T_783 = _T_780 | _T_782; // @[dec_tlu_ctl.scala 781:74] - wire _T_785 = rfpc_i0_r & _T_743; // @[dec_tlu_ctl.scala 781:129] - wire sel_npc_r = _T_783 | _T_785; // @[dec_tlu_ctl.scala 781:116] - wire _T_798 = interrupt_valid_r | mret_r; // @[dec_tlu_ctl.scala 785:43] - wire _T_799 = _T_798 | synchronous_flush_r; // @[dec_tlu_ctl.scala 785:52] - wire _T_800 = _T_799 | take_halt; // @[dec_tlu_ctl.scala 785:74] - wire _T_801 = _T_800 | take_reset; // @[dec_tlu_ctl.scala 785:86] - wire _T_807 = _T_529 & sel_npc_r; // @[dec_tlu_ctl.scala 789:73] - wire _T_810 = _T_529 & rfpc_i0_r; // @[dec_tlu_ctl.scala 790:73] - wire _T_812 = _T_810 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 790:91] - wire _T_813 = ~sel_npc_r; // @[dec_tlu_ctl.scala 790:132] - wire _T_814 = _T_812 & _T_813; // @[dec_tlu_ctl.scala 790:121] - wire _T_816 = ~take_ext_int; // @[dec_tlu_ctl.scala 791:96] - wire _T_817 = interrupt_valid_r & _T_816; // @[dec_tlu_ctl.scala 791:82] - wire _T_818 = i0_exception_valid_r | lsu_exc_valid_r; // @[dec_tlu_ctl.scala 792:80] - wire _T_821 = _T_818 | mepc_trigger_hit_sel_pc_r; // @[dec_tlu_ctl.scala 792:98] - wire _T_823 = _T_821 & _T_207; // @[dec_tlu_ctl.scala 792:143] - wire _T_825 = _T_823 & _T_816; // @[dec_tlu_ctl.scala 792:164] - wire _T_830 = _T_529 & mret_r; // @[dec_tlu_ctl.scala 793:68] - wire _T_833 = _T_529 & debug_resume_req_f; // @[dec_tlu_ctl.scala 794:68] - wire _T_836 = _T_529 & sel_npc_resume; // @[dec_tlu_ctl.scala 795:68] + wire [30:0] vectored_path = _T_769 + _T_771; // @[dec_tlu_ctl.scala 778:51] + wire [30:0] _T_778 = mtvec[0] ? vectored_path : _T_769; // @[dec_tlu_ctl.scala 779:61] + wire [30:0] interrupt_path = take_nmi ? io_nmi_vec : _T_778; // @[dec_tlu_ctl.scala 779:28] + wire _T_779 = lsu_i0_rfnpc_r | fence_i_r; // @[dec_tlu_ctl.scala 780:36] + wire _T_780 = _T_779 | iccm_repair_state_rfnpc; // @[dec_tlu_ctl.scala 780:48] + wire _T_782 = i_cpu_run_req_d1 & _T_207; // @[dec_tlu_ctl.scala 780:94] + wire _T_783 = _T_780 | _T_782; // @[dec_tlu_ctl.scala 780:74] + wire _T_785 = rfpc_i0_r & _T_743; // @[dec_tlu_ctl.scala 780:129] + wire sel_npc_r = _T_783 | _T_785; // @[dec_tlu_ctl.scala 780:116] + wire _T_798 = interrupt_valid_r | mret_r; // @[dec_tlu_ctl.scala 784:43] + wire _T_799 = _T_798 | synchronous_flush_r; // @[dec_tlu_ctl.scala 784:52] + wire _T_800 = _T_799 | take_halt; // @[dec_tlu_ctl.scala 784:74] + wire _T_801 = _T_800 | take_reset; // @[dec_tlu_ctl.scala 784:86] + wire _T_807 = _T_529 & sel_npc_r; // @[dec_tlu_ctl.scala 788:73] + wire _T_810 = _T_529 & rfpc_i0_r; // @[dec_tlu_ctl.scala 789:73] + wire _T_812 = _T_810 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 789:91] + wire _T_813 = ~sel_npc_r; // @[dec_tlu_ctl.scala 789:132] + wire _T_814 = _T_812 & _T_813; // @[dec_tlu_ctl.scala 789:121] + wire _T_816 = ~take_ext_int; // @[dec_tlu_ctl.scala 790:96] + wire _T_817 = interrupt_valid_r & _T_816; // @[dec_tlu_ctl.scala 790:82] + wire _T_818 = i0_exception_valid_r | lsu_exc_valid_r; // @[dec_tlu_ctl.scala 791:80] + wire _T_821 = _T_818 | mepc_trigger_hit_sel_pc_r; // @[dec_tlu_ctl.scala 791:98] + wire _T_823 = _T_821 & _T_207; // @[dec_tlu_ctl.scala 791:143] + wire _T_825 = _T_823 & _T_816; // @[dec_tlu_ctl.scala 791:164] + wire _T_830 = _T_529 & mret_r; // @[dec_tlu_ctl.scala 792:68] + wire _T_833 = _T_529 & debug_resume_req_f; // @[dec_tlu_ctl.scala 793:68] + wire _T_836 = _T_529 & sel_npc_resume; // @[dec_tlu_ctl.scala 794:68] wire [30:0] _T_838 = take_ext_int ? io_lsu_fir_addr : 31'h0; // @[Mux.scala 27:72] - wire [30:0] npc_r = csr_io_npc_r; // @[dec_tlu_ctl.scala 995:31] + wire [30:0] npc_r = csr_io_npc_r; // @[dec_tlu_ctl.scala 994:31] wire [30:0] _T_839 = _T_807 ? npc_r : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_840 = _T_814 ? io_dec_tlu_i0_pc_r : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_841 = _T_817 ? interrupt_path : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_842 = _T_825 ? _T_769 : 31'h0; // @[Mux.scala 27:72] - wire [30:0] mepc = csr_io_mepc; // @[dec_tlu_ctl.scala 998:31] + wire [30:0] mepc = csr_io_mepc; // @[dec_tlu_ctl.scala 997:31] wire [30:0] _T_843 = _T_830 ? mepc : 31'h0; // @[Mux.scala 27:72] - wire [30:0] dpc = csr_io_dpc; // @[dec_tlu_ctl.scala 1001:31] + wire [30:0] dpc = csr_io_dpc; // @[dec_tlu_ctl.scala 1000:31] wire [30:0] _T_844 = _T_833 ? dpc : 31'h0; // @[Mux.scala 27:72] - wire [30:0] npc_r_d1 = csr_io_npc_r_d1; // @[dec_tlu_ctl.scala 996:31] + wire [30:0] npc_r_d1 = csr_io_npc_r_d1; // @[dec_tlu_ctl.scala 995:31] wire [30:0] _T_845 = _T_836 ? npc_r_d1 : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_846 = _T_838 | _T_839; // @[Mux.scala 27:72] wire [30:0] _T_847 = _T_846 | _T_840; // @[Mux.scala 27:72] @@ -55499,54 +55540,54 @@ module dec_tlu_ctl( wire [30:0] _T_850 = _T_849 | _T_843; // @[Mux.scala 27:72] wire [30:0] _T_851 = _T_850 | _T_844; // @[Mux.scala 27:72] wire [30:0] _T_852 = _T_851 | _T_845; // @[Mux.scala 27:72] - reg [30:0] tlu_flush_path_r_d1; // @[dec_tlu_ctl.scala 798:64] - wire _T_854 = lsu_exc_valid_r | i0_exception_valid_r; // @[dec_tlu_ctl.scala 806:45] - wire _T_855 = _T_854 | interrupt_valid_r; // @[dec_tlu_ctl.scala 806:68] - reg i0_exception_valid_r_d1; // @[dec_tlu_ctl.scala 809:89] - reg [4:0] exc_cause_wb; // @[dec_tlu_ctl.scala 811:89] - wire _T_860 = ~illegal_r; // @[dec_tlu_ctl.scala 812:119] - reg i0_valid_wb; // @[dec_tlu_ctl.scala 812:97] - reg trigger_hit_r_d1; // @[dec_tlu_ctl.scala 813:89] - wire csr_pkt_presync = csr_read_io_csr_pkt_presync; // @[dec_tlu_ctl.scala 270:41 dec_tlu_ctl.scala 1012:16] - wire _T_864 = csr_pkt_presync & io_dec_csr_any_unq_d; // @[dec_tlu_ctl.scala 1014:42] - wire _T_865 = ~io_dec_csr_wen_unq_d; // @[dec_tlu_ctl.scala 1014:67] - wire csr_pkt_postsync = csr_read_io_csr_pkt_postsync; // @[dec_tlu_ctl.scala 270:41 dec_tlu_ctl.scala 1012:16] - wire csr_pkt_csr_dcsr = csr_read_io_csr_pkt_csr_dcsr; // @[dec_tlu_ctl.scala 270:41 dec_tlu_ctl.scala 1012:16] - wire csr_pkt_csr_dpc = csr_read_io_csr_pkt_csr_dpc; // @[dec_tlu_ctl.scala 270:41 dec_tlu_ctl.scala 1012:16] - wire _T_874 = csr_pkt_csr_dcsr | csr_pkt_csr_dpc; // @[dec_tlu_ctl.scala 1019:55] - wire csr_pkt_csr_dmst = csr_read_io_csr_pkt_csr_dmst; // @[dec_tlu_ctl.scala 270:41 dec_tlu_ctl.scala 1012:16] - wire _T_875 = _T_874 | csr_pkt_csr_dmst; // @[dec_tlu_ctl.scala 1019:73] - wire csr_pkt_csr_dicawics = csr_read_io_csr_pkt_csr_dicawics; // @[dec_tlu_ctl.scala 270:41 dec_tlu_ctl.scala 1012:16] - wire _T_876 = _T_875 | csr_pkt_csr_dicawics; // @[dec_tlu_ctl.scala 1019:92] - wire csr_pkt_csr_dicad0 = csr_read_io_csr_pkt_csr_dicad0; // @[dec_tlu_ctl.scala 270:41 dec_tlu_ctl.scala 1012:16] - wire _T_877 = _T_876 | csr_pkt_csr_dicad0; // @[dec_tlu_ctl.scala 1019:115] - wire csr_pkt_csr_dicad0h = csr_read_io_csr_pkt_csr_dicad0h; // @[dec_tlu_ctl.scala 270:41 dec_tlu_ctl.scala 1012:16] - wire _T_878 = _T_877 | csr_pkt_csr_dicad0h; // @[dec_tlu_ctl.scala 1019:136] - wire csr_pkt_csr_dicad1 = csr_read_io_csr_pkt_csr_dicad1; // @[dec_tlu_ctl.scala 270:41 dec_tlu_ctl.scala 1012:16] - wire _T_879 = _T_878 | csr_pkt_csr_dicad1; // @[dec_tlu_ctl.scala 1019:158] - wire csr_pkt_csr_dicago = csr_read_io_csr_pkt_csr_dicago; // @[dec_tlu_ctl.scala 270:41 dec_tlu_ctl.scala 1012:16] - wire _T_880 = _T_879 | csr_pkt_csr_dicago; // @[dec_tlu_ctl.scala 1019:179] - wire _T_881 = ~_T_880; // @[dec_tlu_ctl.scala 1019:36] - wire _T_882 = _T_881 | dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 1019:201] - wire csr_pkt_legal = csr_read_io_csr_pkt_legal; // @[dec_tlu_ctl.scala 270:41 dec_tlu_ctl.scala 1012:16] - wire _T_883 = csr_pkt_legal & _T_882; // @[dec_tlu_ctl.scala 1019:33] - wire _T_884 = ~fast_int_meicpct; // @[dec_tlu_ctl.scala 1019:223] - wire valid_csr = _T_883 & _T_884; // @[dec_tlu_ctl.scala 1019:221] - wire _T_887 = io_dec_csr_any_unq_d & valid_csr; // @[dec_tlu_ctl.scala 1021:46] - wire csr_pkt_csr_mvendorid = csr_read_io_csr_pkt_csr_mvendorid; // @[dec_tlu_ctl.scala 270:41 dec_tlu_ctl.scala 1012:16] - wire csr_pkt_csr_marchid = csr_read_io_csr_pkt_csr_marchid; // @[dec_tlu_ctl.scala 270:41 dec_tlu_ctl.scala 1012:16] - wire _T_888 = csr_pkt_csr_mvendorid | csr_pkt_csr_marchid; // @[dec_tlu_ctl.scala 1021:107] - wire csr_pkt_csr_mimpid = csr_read_io_csr_pkt_csr_mimpid; // @[dec_tlu_ctl.scala 270:41 dec_tlu_ctl.scala 1012:16] - wire _T_889 = _T_888 | csr_pkt_csr_mimpid; // @[dec_tlu_ctl.scala 1021:129] - wire csr_pkt_csr_mhartid = csr_read_io_csr_pkt_csr_mhartid; // @[dec_tlu_ctl.scala 270:41 dec_tlu_ctl.scala 1012:16] - wire _T_890 = _T_889 | csr_pkt_csr_mhartid; // @[dec_tlu_ctl.scala 1021:150] - wire csr_pkt_csr_mdseac = csr_read_io_csr_pkt_csr_mdseac; // @[dec_tlu_ctl.scala 270:41 dec_tlu_ctl.scala 1012:16] - wire _T_891 = _T_890 | csr_pkt_csr_mdseac; // @[dec_tlu_ctl.scala 1021:172] - wire csr_pkt_csr_meihap = csr_read_io_csr_pkt_csr_meihap; // @[dec_tlu_ctl.scala 270:41 dec_tlu_ctl.scala 1012:16] - wire _T_892 = _T_891 | csr_pkt_csr_meihap; // @[dec_tlu_ctl.scala 1021:193] - wire _T_893 = io_dec_csr_wen_unq_d & _T_892; // @[dec_tlu_ctl.scala 1021:82] - wire _T_894 = ~_T_893; // @[dec_tlu_ctl.scala 1021:59] - dec_timer_ctl int_timers ( // @[dec_tlu_ctl.scala 274:30] + reg [30:0] tlu_flush_path_r_d1; // @[dec_tlu_ctl.scala 797:64] + wire _T_854 = lsu_exc_valid_r | i0_exception_valid_r; // @[dec_tlu_ctl.scala 805:45] + wire _T_855 = _T_854 | interrupt_valid_r; // @[dec_tlu_ctl.scala 805:68] + reg i0_exception_valid_r_d1; // @[dec_tlu_ctl.scala 808:89] + reg [4:0] exc_cause_wb; // @[dec_tlu_ctl.scala 810:89] + wire _T_860 = ~illegal_r; // @[dec_tlu_ctl.scala 811:119] + reg i0_valid_wb; // @[dec_tlu_ctl.scala 811:97] + reg trigger_hit_r_d1; // @[dec_tlu_ctl.scala 812:89] + wire csr_pkt_presync = csr_read_io_csr_pkt_presync; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire _T_864 = csr_pkt_presync & io_dec_csr_any_unq_d; // @[dec_tlu_ctl.scala 1013:42] + wire _T_865 = ~io_dec_csr_wen_unq_d; // @[dec_tlu_ctl.scala 1013:67] + wire csr_pkt_postsync = csr_read_io_csr_pkt_postsync; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire csr_pkt_csr_dcsr = csr_read_io_csr_pkt_csr_dcsr; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire csr_pkt_csr_dpc = csr_read_io_csr_pkt_csr_dpc; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire _T_874 = csr_pkt_csr_dcsr | csr_pkt_csr_dpc; // @[dec_tlu_ctl.scala 1018:55] + wire csr_pkt_csr_dmst = csr_read_io_csr_pkt_csr_dmst; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire _T_875 = _T_874 | csr_pkt_csr_dmst; // @[dec_tlu_ctl.scala 1018:73] + wire csr_pkt_csr_dicawics = csr_read_io_csr_pkt_csr_dicawics; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire _T_876 = _T_875 | csr_pkt_csr_dicawics; // @[dec_tlu_ctl.scala 1018:92] + wire csr_pkt_csr_dicad0 = csr_read_io_csr_pkt_csr_dicad0; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire _T_877 = _T_876 | csr_pkt_csr_dicad0; // @[dec_tlu_ctl.scala 1018:115] + wire csr_pkt_csr_dicad0h = csr_read_io_csr_pkt_csr_dicad0h; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire _T_878 = _T_877 | csr_pkt_csr_dicad0h; // @[dec_tlu_ctl.scala 1018:136] + wire csr_pkt_csr_dicad1 = csr_read_io_csr_pkt_csr_dicad1; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire _T_879 = _T_878 | csr_pkt_csr_dicad1; // @[dec_tlu_ctl.scala 1018:158] + wire csr_pkt_csr_dicago = csr_read_io_csr_pkt_csr_dicago; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire _T_880 = _T_879 | csr_pkt_csr_dicago; // @[dec_tlu_ctl.scala 1018:179] + wire _T_881 = ~_T_880; // @[dec_tlu_ctl.scala 1018:36] + wire _T_882 = _T_881 | dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 1018:201] + wire csr_pkt_legal = csr_read_io_csr_pkt_legal; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire _T_883 = csr_pkt_legal & _T_882; // @[dec_tlu_ctl.scala 1018:33] + wire _T_884 = ~fast_int_meicpct; // @[dec_tlu_ctl.scala 1018:223] + wire valid_csr = _T_883 & _T_884; // @[dec_tlu_ctl.scala 1018:221] + wire _T_887 = io_dec_csr_any_unq_d & valid_csr; // @[dec_tlu_ctl.scala 1020:46] + wire csr_pkt_csr_mvendorid = csr_read_io_csr_pkt_csr_mvendorid; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire csr_pkt_csr_marchid = csr_read_io_csr_pkt_csr_marchid; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire _T_888 = csr_pkt_csr_mvendorid | csr_pkt_csr_marchid; // @[dec_tlu_ctl.scala 1020:107] + wire csr_pkt_csr_mimpid = csr_read_io_csr_pkt_csr_mimpid; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire _T_889 = _T_888 | csr_pkt_csr_mimpid; // @[dec_tlu_ctl.scala 1020:129] + wire csr_pkt_csr_mhartid = csr_read_io_csr_pkt_csr_mhartid; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire _T_890 = _T_889 | csr_pkt_csr_mhartid; // @[dec_tlu_ctl.scala 1020:150] + wire csr_pkt_csr_mdseac = csr_read_io_csr_pkt_csr_mdseac; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire _T_891 = _T_890 | csr_pkt_csr_mdseac; // @[dec_tlu_ctl.scala 1020:172] + wire csr_pkt_csr_meihap = csr_read_io_csr_pkt_csr_meihap; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire _T_892 = _T_891 | csr_pkt_csr_meihap; // @[dec_tlu_ctl.scala 1020:193] + wire _T_893 = io_dec_csr_wen_unq_d & _T_892; // @[dec_tlu_ctl.scala 1020:82] + wire _T_894 = ~_T_893; // @[dec_tlu_ctl.scala 1020:59] + dec_timer_ctl int_timers ( // @[dec_tlu_ctl.scala 275:30] .clock(int_timers_clock), .reset(int_timers_reset), .io_free_clk(int_timers_io_free_clk), @@ -55592,7 +55633,7 @@ module dec_tlu_ctl( .io_en(rvclkhdr_3_io_en), .io_scan_mode(rvclkhdr_3_io_scan_mode) ); - csr_tlu csr ( // @[dec_tlu_ctl.scala 817:15] + csr_tlu csr ( // @[dec_tlu_ctl.scala 816:15] .clock(csr_clock), .reset(csr_reset), .io_free_clk(csr_io_free_clk), @@ -55864,7 +55905,7 @@ module dec_tlu_ctl( .io_mtdata1_t_2(csr_io_mtdata1_t_2), .io_mtdata1_t_3(csr_io_mtdata1_t_3) ); - dec_decode_csr_read csr_read ( // @[dec_tlu_ctl.scala 1010:22] + dec_decode_csr_read csr_read ( // @[dec_tlu_ctl.scala 1009:22] .io_dec_csr_rdaddr_d(csr_read_io_dec_csr_rdaddr_d), .io_csr_pkt_csr_misa(csr_read_io_csr_pkt_csr_misa), .io_csr_pkt_csr_mvendorid(csr_read_io_csr_pkt_csr_mvendorid), @@ -55934,118 +55975,118 @@ module dec_tlu_ctl( .io_csr_pkt_postsync(csr_read_io_csr_pkt_postsync), .io_csr_pkt_legal(csr_read_io_csr_pkt_legal) ); - assign io_tlu_exu_dec_tlu_meihap = csr_io_dec_tlu_meihap; // @[dec_tlu_ctl.scala 876:52] - assign io_tlu_exu_dec_tlu_flush_lower_r = _T_801 | take_ext_int_start; // @[dec_tlu_ctl.scala 802:49] - assign io_tlu_exu_dec_tlu_flush_path_r = take_reset ? io_rst_vec : _T_852; // @[dec_tlu_ctl.scala 803:49] - assign io_tlu_dma_dec_tlu_dma_qos_prty = csr_io_dec_tlu_dma_qos_prty; // @[dec_tlu_ctl.scala 906:48] - assign io_dec_dbg_cmd_done = io_dec_tlu_i0_valid_r & io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 487:29] - assign io_dec_dbg_cmd_fail = illegal_r & io_dec_dbg_cmd_done; // @[dec_tlu_ctl.scala 488:29] - assign io_dec_tlu_dbg_halted = dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 469:41] - assign io_dec_tlu_debug_mode = debug_mode_status; // @[dec_tlu_ctl.scala 470:41] - assign io_dec_tlu_resume_ack = _T_190; // @[dec_tlu_ctl.scala 453:49] - assign io_dec_tlu_debug_stall = debug_halt_req_f; // @[dec_tlu_ctl.scala 468:41] - assign io_dec_tlu_mpc_halted_only = _T_65; // @[dec_tlu_ctl.scala 368:49] - assign io_dec_tlu_flush_extint = ext_int_ready & _T_704; // @[dec_tlu_ctl.scala 476:33] - assign io_trigger_pkt_any_0_select = csr_io_trigger_pkt_any_0_select; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_0_match_pkt = csr_io_trigger_pkt_any_0_match_pkt; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_0_store = csr_io_trigger_pkt_any_0_store; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_0_load = csr_io_trigger_pkt_any_0_load; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_0_execute = csr_io_trigger_pkt_any_0_execute; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_0_m = csr_io_trigger_pkt_any_0_m; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_0_tdata2 = csr_io_trigger_pkt_any_0_tdata2; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_1_select = csr_io_trigger_pkt_any_1_select; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_1_match_pkt = csr_io_trigger_pkt_any_1_match_pkt; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_1_store = csr_io_trigger_pkt_any_1_store; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_1_load = csr_io_trigger_pkt_any_1_load; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_1_execute = csr_io_trigger_pkt_any_1_execute; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_1_m = csr_io_trigger_pkt_any_1_m; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_1_tdata2 = csr_io_trigger_pkt_any_1_tdata2; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_2_select = csr_io_trigger_pkt_any_2_select; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_2_match_pkt = csr_io_trigger_pkt_any_2_match_pkt; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_2_store = csr_io_trigger_pkt_any_2_store; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_2_load = csr_io_trigger_pkt_any_2_load; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_2_execute = csr_io_trigger_pkt_any_2_execute; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_2_m = csr_io_trigger_pkt_any_2_m; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_2_tdata2 = csr_io_trigger_pkt_any_2_tdata2; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_3_select = csr_io_trigger_pkt_any_3_select; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_3_match_pkt = csr_io_trigger_pkt_any_3_match_pkt; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_3_store = csr_io_trigger_pkt_any_3_store; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_3_load = csr_io_trigger_pkt_any_3_load; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_3_execute = csr_io_trigger_pkt_any_3_execute; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_3_m = csr_io_trigger_pkt_any_3_m; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_3_tdata2 = csr_io_trigger_pkt_any_3_tdata2; // @[dec_tlu_ctl.scala 882:40] - assign io_o_cpu_halt_status = _T_353; // @[dec_tlu_ctl.scala 573:49] - assign io_o_cpu_halt_ack = _T_354; // @[dec_tlu_ctl.scala 574:49] - assign io_o_cpu_run_ack = _T_355; // @[dec_tlu_ctl.scala 575:49] - assign io_o_debug_mode_status = debug_mode_status; // @[dec_tlu_ctl.scala 596:27] - assign io_mpc_debug_halt_ack = mpc_debug_halt_ack_f; // @[dec_tlu_ctl.scala 393:31] - assign io_mpc_debug_run_ack = mpc_debug_run_ack_f; // @[dec_tlu_ctl.scala 394:31] - assign io_debug_brkpt_status = debug_brkpt_status_f; // @[dec_tlu_ctl.scala 395:31] - assign io_dec_csr_rddata_d = csr_io_dec_csr_rddata_d; // @[dec_tlu_ctl.scala 897:40] - assign io_dec_csr_legal_d = _T_887 & _T_894; // @[dec_tlu_ctl.scala 1021:20] - assign io_dec_tlu_i0_kill_writeb_wb = _T_32; // @[dec_tlu_ctl.scala 328:41] - assign io_dec_tlu_i0_kill_writeb_r = _T_427 | i0_trigger_hit_raw_r; // @[dec_tlu_ctl.scala 334:41] - assign io_dec_tlu_wr_pause_r = csr_io_dec_tlu_wr_pause_r; // @[dec_tlu_ctl.scala 899:40] - assign io_dec_tlu_flush_pause_r = _T_208 & _T_209; // @[dec_tlu_ctl.scala 479:34] - assign io_dec_tlu_presync_d = _T_864 & _T_865; // @[dec_tlu_ctl.scala 1014:23] - assign io_dec_tlu_postsync_d = csr_pkt_postsync & io_dec_csr_any_unq_d; // @[dec_tlu_ctl.scala 1015:23] - assign io_dec_tlu_perfcnt0 = csr_io_dec_tlu_perfcnt0; // @[dec_tlu_ctl.scala 885:40] - assign io_dec_tlu_perfcnt1 = csr_io_dec_tlu_perfcnt1; // @[dec_tlu_ctl.scala 886:40] - assign io_dec_tlu_perfcnt2 = csr_io_dec_tlu_perfcnt2; // @[dec_tlu_ctl.scala 887:40] - assign io_dec_tlu_perfcnt3 = csr_io_dec_tlu_perfcnt3; // @[dec_tlu_ctl.scala 888:40] - assign io_dec_tlu_i0_exc_valid_wb1 = csr_io_dec_tlu_i0_exc_valid_wb1; // @[dec_tlu_ctl.scala 879:44] - assign io_dec_tlu_i0_valid_wb1 = csr_io_dec_tlu_i0_valid_wb1; // @[dec_tlu_ctl.scala 880:44] - assign io_dec_tlu_int_valid_wb1 = csr_io_dec_tlu_int_valid_wb1; // @[dec_tlu_ctl.scala 878:44] - assign io_dec_tlu_exc_cause_wb1 = csr_io_dec_tlu_exc_cause_wb1; // @[dec_tlu_ctl.scala 884:40] - assign io_dec_tlu_mtval_wb1 = csr_io_dec_tlu_mtval_wb1; // @[dec_tlu_ctl.scala 883:40] - assign io_dec_tlu_pipelining_disable = csr_io_dec_tlu_pipelining_disable; // @[dec_tlu_ctl.scala 898:40] - assign io_dec_tlu_misc_clk_override = csr_io_dec_tlu_misc_clk_override; // @[dec_tlu_ctl.scala 889:40] - assign io_dec_tlu_dec_clk_override = csr_io_dec_tlu_dec_clk_override; // @[dec_tlu_ctl.scala 890:40] - assign io_dec_tlu_lsu_clk_override = csr_io_dec_tlu_lsu_clk_override; // @[dec_tlu_ctl.scala 892:40] - assign io_dec_tlu_pic_clk_override = csr_io_dec_tlu_pic_clk_override; // @[dec_tlu_ctl.scala 894:40] - assign io_dec_tlu_dccm_clk_override = csr_io_dec_tlu_dccm_clk_override; // @[dec_tlu_ctl.scala 895:40] - assign io_dec_tlu_icm_clk_override = csr_io_dec_tlu_icm_clk_override; // @[dec_tlu_ctl.scala 896:40] - assign io_tlu_bp_dec_tlu_br0_r_pkt_valid = _T_459 & _T_462; // @[dec_tlu_ctl.scala 652:57] - assign io_tlu_bp_dec_tlu_br0_r_pkt_bits_hist = io_tlu_exu_exu_i0_br_hist_r; // @[dec_tlu_ctl.scala 649:65] - assign io_tlu_bp_dec_tlu_br0_r_pkt_bits_br_error = _T_453 & _T_429; // @[dec_tlu_ctl.scala 650:57] - assign io_tlu_bp_dec_tlu_br0_r_pkt_bits_br_start_error = _T_455 & _T_429; // @[dec_tlu_ctl.scala 651:57] - assign io_tlu_bp_dec_tlu_br0_r_pkt_bits_way = io_exu_i0_br_way_r; // @[dec_tlu_ctl.scala 653:65] - assign io_tlu_bp_dec_tlu_br0_r_pkt_bits_middle = io_tlu_exu_exu_i0_br_middle_r; // @[dec_tlu_ctl.scala 654:65] - assign io_tlu_bp_dec_tlu_flush_lower_wb = tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 800:49] - assign io_tlu_bp_dec_tlu_flush_leak_one_wb = _T_233 & _T_234; // @[dec_tlu_ctl.scala 483:45] - assign io_tlu_bp_dec_tlu_bpred_disable = csr_io_dec_tlu_bpred_disable; // @[dec_tlu_ctl.scala 902:47] - assign io_tlu_ifc_dec_tlu_flush_noredir_wb = _T_205 | take_ext_int_start; // @[dec_tlu_ctl.scala 474:45] - assign io_tlu_ifc_dec_tlu_mrac_ff = csr_io_dec_tlu_mrac_ff; // @[dec_tlu_ctl.scala 900:48] - assign io_tlu_mem_dec_tlu_flush_err_wb = io_tlu_exu_dec_tlu_flush_lower_r & _T_433; // @[dec_tlu_ctl.scala 484:41] - assign io_tlu_mem_dec_tlu_i0_commit_cmt = _T_422 & _T_465; // @[dec_tlu_ctl.scala 627:37] - assign io_tlu_mem_dec_tlu_force_halt = _T_33; // @[dec_tlu_ctl.scala 330:57] - assign io_tlu_mem_dec_tlu_fence_i_wb = _T_492 & _T_470; // @[dec_tlu_ctl.scala 672:39] - assign io_tlu_mem_dec_tlu_ic_diag_pkt_icache_wrdata = csr_io_dec_tlu_ic_diag_pkt_icache_wrdata; // @[dec_tlu_ctl.scala 881:52] - assign io_tlu_mem_dec_tlu_ic_diag_pkt_icache_dicawics = csr_io_dec_tlu_ic_diag_pkt_icache_dicawics; // @[dec_tlu_ctl.scala 881:52] - assign io_tlu_mem_dec_tlu_ic_diag_pkt_icache_rd_valid = csr_io_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[dec_tlu_ctl.scala 881:52] - assign io_tlu_mem_dec_tlu_ic_diag_pkt_icache_wr_valid = csr_io_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[dec_tlu_ctl.scala 881:52] - assign io_tlu_mem_dec_tlu_core_ecc_disable = csr_io_dec_tlu_core_ecc_disable; // @[dec_tlu_ctl.scala 904:48] - assign io_tlu_busbuff_dec_tlu_external_ldfwd_disable = csr_io_dec_tlu_external_ldfwd_disable; // @[dec_tlu_ctl.scala 905:52] - assign io_tlu_busbuff_dec_tlu_wb_coalescing_disable = csr_io_dec_tlu_wb_coalescing_disable; // @[dec_tlu_ctl.scala 901:52] - assign io_tlu_busbuff_dec_tlu_sideeffect_posted_disable = csr_io_dec_tlu_sideeffect_posted_disable; // @[dec_tlu_ctl.scala 903:52] - assign io_dec_pic_dec_tlu_meicurpl = csr_io_dec_tlu_meicurpl; // @[dec_tlu_ctl.scala 875:52] - assign io_dec_pic_dec_tlu_meipt = csr_io_dec_tlu_meipt; // @[dec_tlu_ctl.scala 877:52] + assign io_tlu_exu_dec_tlu_meihap = csr_io_dec_tlu_meihap; // @[dec_tlu_ctl.scala 875:52] + assign io_tlu_exu_dec_tlu_flush_lower_r = _T_801 | take_ext_int_start; // @[dec_tlu_ctl.scala 801:49] + assign io_tlu_exu_dec_tlu_flush_path_r = take_reset ? io_rst_vec : _T_852; // @[dec_tlu_ctl.scala 802:49] + assign io_tlu_dma_dec_tlu_dma_qos_prty = csr_io_dec_tlu_dma_qos_prty; // @[dec_tlu_ctl.scala 905:48] + assign io_dec_dbg_cmd_done = io_dec_tlu_i0_valid_r & io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 486:29] + assign io_dec_dbg_cmd_fail = illegal_r & io_dec_dbg_cmd_done; // @[dec_tlu_ctl.scala 487:29] + assign io_dec_tlu_dbg_halted = dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 468:41] + assign io_dec_tlu_debug_mode = debug_mode_status; // @[dec_tlu_ctl.scala 469:41] + assign io_dec_tlu_resume_ack = _T_190; // @[dec_tlu_ctl.scala 452:49] + assign io_dec_tlu_debug_stall = debug_halt_req_f; // @[dec_tlu_ctl.scala 467:41] + assign io_dec_tlu_mpc_halted_only = _T_65; // @[dec_tlu_ctl.scala 367:49] + assign io_dec_tlu_flush_extint = ext_int_ready & _T_704; // @[dec_tlu_ctl.scala 475:33] + assign io_trigger_pkt_any_0_select = csr_io_trigger_pkt_any_0_select; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_0_match_pkt = csr_io_trigger_pkt_any_0_match_pkt; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_0_store = csr_io_trigger_pkt_any_0_store; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_0_load = csr_io_trigger_pkt_any_0_load; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_0_execute = csr_io_trigger_pkt_any_0_execute; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_0_m = csr_io_trigger_pkt_any_0_m; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_0_tdata2 = csr_io_trigger_pkt_any_0_tdata2; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_1_select = csr_io_trigger_pkt_any_1_select; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_1_match_pkt = csr_io_trigger_pkt_any_1_match_pkt; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_1_store = csr_io_trigger_pkt_any_1_store; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_1_load = csr_io_trigger_pkt_any_1_load; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_1_execute = csr_io_trigger_pkt_any_1_execute; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_1_m = csr_io_trigger_pkt_any_1_m; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_1_tdata2 = csr_io_trigger_pkt_any_1_tdata2; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_2_select = csr_io_trigger_pkt_any_2_select; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_2_match_pkt = csr_io_trigger_pkt_any_2_match_pkt; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_2_store = csr_io_trigger_pkt_any_2_store; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_2_load = csr_io_trigger_pkt_any_2_load; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_2_execute = csr_io_trigger_pkt_any_2_execute; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_2_m = csr_io_trigger_pkt_any_2_m; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_2_tdata2 = csr_io_trigger_pkt_any_2_tdata2; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_3_select = csr_io_trigger_pkt_any_3_select; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_3_match_pkt = csr_io_trigger_pkt_any_3_match_pkt; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_3_store = csr_io_trigger_pkt_any_3_store; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_3_load = csr_io_trigger_pkt_any_3_load; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_3_execute = csr_io_trigger_pkt_any_3_execute; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_3_m = csr_io_trigger_pkt_any_3_m; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_3_tdata2 = csr_io_trigger_pkt_any_3_tdata2; // @[dec_tlu_ctl.scala 881:40] + assign io_o_cpu_halt_status = _T_353; // @[dec_tlu_ctl.scala 572:49] + assign io_o_cpu_halt_ack = _T_354; // @[dec_tlu_ctl.scala 573:49] + assign io_o_cpu_run_ack = _T_355; // @[dec_tlu_ctl.scala 574:49] + assign io_o_debug_mode_status = debug_mode_status; // @[dec_tlu_ctl.scala 595:27] + assign io_mpc_debug_halt_ack = mpc_debug_halt_ack_f; // @[dec_tlu_ctl.scala 392:31] + assign io_mpc_debug_run_ack = mpc_debug_run_ack_f; // @[dec_tlu_ctl.scala 393:31] + assign io_debug_brkpt_status = debug_brkpt_status_f; // @[dec_tlu_ctl.scala 394:31] + assign io_dec_csr_rddata_d = csr_io_dec_csr_rddata_d; // @[dec_tlu_ctl.scala 896:40] + assign io_dec_csr_legal_d = _T_887 & _T_894; // @[dec_tlu_ctl.scala 1020:20] + assign io_dec_tlu_i0_kill_writeb_wb = _T_32; // @[dec_tlu_ctl.scala 329:41] + assign io_dec_tlu_i0_kill_writeb_r = _T_427 | i0_trigger_hit_raw_r; // @[dec_tlu_ctl.scala 333:41] + assign io_dec_tlu_wr_pause_r = csr_io_dec_tlu_wr_pause_r; // @[dec_tlu_ctl.scala 898:40] + assign io_dec_tlu_flush_pause_r = _T_208 & _T_209; // @[dec_tlu_ctl.scala 478:34] + assign io_dec_tlu_presync_d = _T_864 & _T_865; // @[dec_tlu_ctl.scala 1013:23] + assign io_dec_tlu_postsync_d = csr_pkt_postsync & io_dec_csr_any_unq_d; // @[dec_tlu_ctl.scala 1014:23] + assign io_dec_tlu_perfcnt0 = csr_io_dec_tlu_perfcnt0; // @[dec_tlu_ctl.scala 884:40] + assign io_dec_tlu_perfcnt1 = csr_io_dec_tlu_perfcnt1; // @[dec_tlu_ctl.scala 885:40] + assign io_dec_tlu_perfcnt2 = csr_io_dec_tlu_perfcnt2; // @[dec_tlu_ctl.scala 886:40] + assign io_dec_tlu_perfcnt3 = csr_io_dec_tlu_perfcnt3; // @[dec_tlu_ctl.scala 887:40] + assign io_dec_tlu_i0_exc_valid_wb1 = csr_io_dec_tlu_i0_exc_valid_wb1; // @[dec_tlu_ctl.scala 878:44] + assign io_dec_tlu_i0_valid_wb1 = csr_io_dec_tlu_i0_valid_wb1; // @[dec_tlu_ctl.scala 879:44] + assign io_dec_tlu_int_valid_wb1 = csr_io_dec_tlu_int_valid_wb1; // @[dec_tlu_ctl.scala 877:44] + assign io_dec_tlu_exc_cause_wb1 = csr_io_dec_tlu_exc_cause_wb1; // @[dec_tlu_ctl.scala 883:40] + assign io_dec_tlu_mtval_wb1 = csr_io_dec_tlu_mtval_wb1; // @[dec_tlu_ctl.scala 882:40] + assign io_dec_tlu_pipelining_disable = csr_io_dec_tlu_pipelining_disable; // @[dec_tlu_ctl.scala 897:40] + assign io_dec_tlu_misc_clk_override = csr_io_dec_tlu_misc_clk_override; // @[dec_tlu_ctl.scala 888:40] + assign io_dec_tlu_dec_clk_override = csr_io_dec_tlu_dec_clk_override; // @[dec_tlu_ctl.scala 889:40] + assign io_dec_tlu_lsu_clk_override = csr_io_dec_tlu_lsu_clk_override; // @[dec_tlu_ctl.scala 891:40] + assign io_dec_tlu_pic_clk_override = csr_io_dec_tlu_pic_clk_override; // @[dec_tlu_ctl.scala 893:40] + assign io_dec_tlu_dccm_clk_override = csr_io_dec_tlu_dccm_clk_override; // @[dec_tlu_ctl.scala 894:40] + assign io_dec_tlu_icm_clk_override = csr_io_dec_tlu_icm_clk_override; // @[dec_tlu_ctl.scala 895:40] + assign io_dec_tlu_flush_lower_wb = tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 799:41] + assign io_tlu_bp_dec_tlu_br0_r_pkt_valid = _T_459 & _T_462; // @[dec_tlu_ctl.scala 651:57] + assign io_tlu_bp_dec_tlu_br0_r_pkt_bits_hist = io_tlu_exu_exu_i0_br_hist_r; // @[dec_tlu_ctl.scala 648:65] + assign io_tlu_bp_dec_tlu_br0_r_pkt_bits_br_error = _T_453 & _T_429; // @[dec_tlu_ctl.scala 649:57] + assign io_tlu_bp_dec_tlu_br0_r_pkt_bits_br_start_error = _T_455 & _T_429; // @[dec_tlu_ctl.scala 650:57] + assign io_tlu_bp_dec_tlu_br0_r_pkt_bits_way = io_exu_i0_br_way_r; // @[dec_tlu_ctl.scala 652:65] + assign io_tlu_bp_dec_tlu_br0_r_pkt_bits_middle = io_tlu_exu_exu_i0_br_middle_r; // @[dec_tlu_ctl.scala 653:65] + assign io_tlu_bp_dec_tlu_flush_leak_one_wb = _T_233 & _T_234; // @[dec_tlu_ctl.scala 482:45] + assign io_tlu_bp_dec_tlu_bpred_disable = csr_io_dec_tlu_bpred_disable; // @[dec_tlu_ctl.scala 901:47] + assign io_tlu_ifc_dec_tlu_flush_noredir_wb = _T_205 | take_ext_int_start; // @[dec_tlu_ctl.scala 473:45] + assign io_tlu_ifc_dec_tlu_mrac_ff = csr_io_dec_tlu_mrac_ff; // @[dec_tlu_ctl.scala 899:48] + assign io_tlu_mem_dec_tlu_flush_err_wb = io_tlu_exu_dec_tlu_flush_lower_r & _T_433; // @[dec_tlu_ctl.scala 483:41] + assign io_tlu_mem_dec_tlu_i0_commit_cmt = _T_422 & _T_465; // @[dec_tlu_ctl.scala 626:37] + assign io_tlu_mem_dec_tlu_force_halt = _T_33; // @[dec_tlu_ctl.scala 331:57] + assign io_tlu_mem_dec_tlu_fence_i_wb = _T_492 & _T_470; // @[dec_tlu_ctl.scala 671:39] + assign io_tlu_mem_dec_tlu_ic_diag_pkt_icache_wrdata = csr_io_dec_tlu_ic_diag_pkt_icache_wrdata; // @[dec_tlu_ctl.scala 880:52] + assign io_tlu_mem_dec_tlu_ic_diag_pkt_icache_dicawics = csr_io_dec_tlu_ic_diag_pkt_icache_dicawics; // @[dec_tlu_ctl.scala 880:52] + assign io_tlu_mem_dec_tlu_ic_diag_pkt_icache_rd_valid = csr_io_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[dec_tlu_ctl.scala 880:52] + assign io_tlu_mem_dec_tlu_ic_diag_pkt_icache_wr_valid = csr_io_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[dec_tlu_ctl.scala 880:52] + assign io_tlu_mem_dec_tlu_core_ecc_disable = csr_io_dec_tlu_core_ecc_disable; // @[dec_tlu_ctl.scala 903:48] + assign io_tlu_busbuff_dec_tlu_external_ldfwd_disable = csr_io_dec_tlu_external_ldfwd_disable; // @[dec_tlu_ctl.scala 904:52] + assign io_tlu_busbuff_dec_tlu_wb_coalescing_disable = csr_io_dec_tlu_wb_coalescing_disable; // @[dec_tlu_ctl.scala 900:52] + assign io_tlu_busbuff_dec_tlu_sideeffect_posted_disable = csr_io_dec_tlu_sideeffect_posted_disable; // @[dec_tlu_ctl.scala 902:52] + assign io_dec_pic_dec_tlu_meicurpl = csr_io_dec_tlu_meicurpl; // @[dec_tlu_ctl.scala 874:52] + assign io_dec_pic_dec_tlu_meipt = csr_io_dec_tlu_meipt; // @[dec_tlu_ctl.scala 876:52] assign int_timers_clock = clock; assign int_timers_reset = reset; - assign int_timers_io_free_clk = io_free_clk; // @[dec_tlu_ctl.scala 275:57] - assign int_timers_io_scan_mode = io_scan_mode; // @[dec_tlu_ctl.scala 276:57] - assign int_timers_io_dec_csr_wen_r_mod = csr_io_dec_csr_wen_r_mod; // @[dec_tlu_ctl.scala 277:49] - assign int_timers_io_dec_csr_wraddr_r = io_dec_csr_wraddr_r; // @[dec_tlu_ctl.scala 279:49] - assign int_timers_io_dec_csr_wrdata_r = io_dec_csr_wrdata_r; // @[dec_tlu_ctl.scala 280:49] - assign int_timers_io_csr_mitctl0 = csr_read_io_csr_pkt_csr_mitctl0; // @[dec_tlu_ctl.scala 281:57] - assign int_timers_io_csr_mitctl1 = csr_read_io_csr_pkt_csr_mitctl1; // @[dec_tlu_ctl.scala 282:57] - assign int_timers_io_csr_mitb0 = csr_read_io_csr_pkt_csr_mitb0; // @[dec_tlu_ctl.scala 283:57] - assign int_timers_io_csr_mitb1 = csr_read_io_csr_pkt_csr_mitb1; // @[dec_tlu_ctl.scala 284:57] - assign int_timers_io_csr_mitcnt0 = csr_read_io_csr_pkt_csr_mitcnt0; // @[dec_tlu_ctl.scala 285:57] - assign int_timers_io_csr_mitcnt1 = csr_read_io_csr_pkt_csr_mitcnt1; // @[dec_tlu_ctl.scala 286:57] - assign int_timers_io_dec_pause_state = io_dec_pause_state; // @[dec_tlu_ctl.scala 287:49] - assign int_timers_io_dec_tlu_pmu_fw_halted = pmu_fw_tlu_halted_f; // @[dec_tlu_ctl.scala 288:49] - assign int_timers_io_internal_dbg_halt_timers = debug_mode_status & _T_665; // @[dec_tlu_ctl.scala 289:47] + assign int_timers_io_free_clk = io_free_clk; // @[dec_tlu_ctl.scala 276:57] + assign int_timers_io_scan_mode = io_scan_mode; // @[dec_tlu_ctl.scala 277:57] + assign int_timers_io_dec_csr_wen_r_mod = csr_io_dec_csr_wen_r_mod; // @[dec_tlu_ctl.scala 278:49] + assign int_timers_io_dec_csr_wraddr_r = io_dec_csr_wraddr_r; // @[dec_tlu_ctl.scala 280:49] + assign int_timers_io_dec_csr_wrdata_r = io_dec_csr_wrdata_r; // @[dec_tlu_ctl.scala 281:49] + assign int_timers_io_csr_mitctl0 = csr_read_io_csr_pkt_csr_mitctl0; // @[dec_tlu_ctl.scala 282:57] + assign int_timers_io_csr_mitctl1 = csr_read_io_csr_pkt_csr_mitctl1; // @[dec_tlu_ctl.scala 283:57] + assign int_timers_io_csr_mitb0 = csr_read_io_csr_pkt_csr_mitb0; // @[dec_tlu_ctl.scala 284:57] + assign int_timers_io_csr_mitb1 = csr_read_io_csr_pkt_csr_mitb1; // @[dec_tlu_ctl.scala 285:57] + assign int_timers_io_csr_mitcnt0 = csr_read_io_csr_pkt_csr_mitcnt0; // @[dec_tlu_ctl.scala 286:57] + assign int_timers_io_csr_mitcnt1 = csr_read_io_csr_pkt_csr_mitcnt1; // @[dec_tlu_ctl.scala 287:57] + assign int_timers_io_dec_pause_state = io_dec_pause_state; // @[dec_tlu_ctl.scala 288:49] + assign int_timers_io_dec_tlu_pmu_fw_halted = pmu_fw_tlu_halted_f; // @[dec_tlu_ctl.scala 289:49] + assign int_timers_io_internal_dbg_halt_timers = debug_mode_status & _T_665; // @[dec_tlu_ctl.scala 290:47] assign rvclkhdr_io_clk = clock; // @[lib.scala 344:17] assign rvclkhdr_io_en = dec_csr_wen_r_mod | io_dec_tlu_dec_clk_override; // @[lib.scala 345:16] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] @@ -56060,197 +56101,197 @@ module dec_tlu_ctl( assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] assign csr_clock = clock; assign csr_reset = reset; - assign csr_io_free_clk = io_free_clk; // @[dec_tlu_ctl.scala 818:44] - assign csr_io_active_clk = io_active_clk; // @[dec_tlu_ctl.scala 819:44] - assign csr_io_scan_mode = io_scan_mode; // @[dec_tlu_ctl.scala 820:44] - assign csr_io_dec_csr_wrdata_r = io_dec_csr_wrdata_r; // @[dec_tlu_ctl.scala 821:44] - assign csr_io_dec_csr_wraddr_r = io_dec_csr_wraddr_r; // @[dec_tlu_ctl.scala 822:44] - assign csr_io_dec_csr_rdaddr_d = io_dec_csr_rdaddr_d; // @[dec_tlu_ctl.scala 823:44] - assign csr_io_dec_csr_wen_unq_d = io_dec_csr_wen_unq_d; // @[dec_tlu_ctl.scala 824:44] - assign csr_io_dec_i0_decode_d = io_dec_i0_decode_d; // @[dec_tlu_ctl.scala 825:44] - assign csr_io_ifu_ic_debug_rd_data_valid = io_tlu_mem_ifu_ic_debug_rd_data_valid; // @[dec_tlu_ctl.scala 826:44] - assign csr_io_ifu_pmu_bus_trxn = io_tlu_mem_ifu_pmu_bus_trxn; // @[dec_tlu_ctl.scala 827:44] - assign csr_io_dma_iccm_stall_any = io_tlu_dma_dma_iccm_stall_any; // @[dec_tlu_ctl.scala 828:44] - assign csr_io_dma_dccm_stall_any = io_tlu_dma_dma_dccm_stall_any; // @[dec_tlu_ctl.scala 829:44] - assign csr_io_lsu_store_stall_any = io_lsu_store_stall_any; // @[dec_tlu_ctl.scala 830:44] - assign csr_io_dec_pmu_presync_stall = io_dec_pmu_presync_stall; // @[dec_tlu_ctl.scala 831:44] - assign csr_io_dec_pmu_postsync_stall = io_dec_pmu_postsync_stall; // @[dec_tlu_ctl.scala 832:44] - assign csr_io_dec_pmu_decode_stall = io_dec_pmu_decode_stall; // @[dec_tlu_ctl.scala 833:44] - assign csr_io_ifu_pmu_fetch_stall = io_tlu_ifc_ifu_pmu_fetch_stall; // @[dec_tlu_ctl.scala 834:44] - assign csr_io_dec_tlu_packet_r_icaf_type = io_dec_tlu_packet_r_icaf_type; // @[dec_tlu_ctl.scala 835:44] - assign csr_io_dec_tlu_packet_r_pmu_i0_itype = io_dec_tlu_packet_r_pmu_i0_itype; // @[dec_tlu_ctl.scala 835:44] - assign csr_io_dec_tlu_packet_r_pmu_i0_br_unpred = io_dec_tlu_packet_r_pmu_i0_br_unpred; // @[dec_tlu_ctl.scala 835:44] - assign csr_io_dec_tlu_packet_r_pmu_divide = io_dec_tlu_packet_r_pmu_divide; // @[dec_tlu_ctl.scala 835:44] - assign csr_io_dec_tlu_packet_r_pmu_lsu_misaligned = io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[dec_tlu_ctl.scala 835:44] - assign csr_io_exu_pmu_i0_br_ataken = io_tlu_exu_exu_pmu_i0_br_ataken; // @[dec_tlu_ctl.scala 836:44] - assign csr_io_exu_pmu_i0_br_misp = io_tlu_exu_exu_pmu_i0_br_misp; // @[dec_tlu_ctl.scala 837:44] - assign csr_io_dec_pmu_instr_decoded = io_dec_pmu_instr_decoded; // @[dec_tlu_ctl.scala 838:44] - assign csr_io_ifu_pmu_instr_aligned = io_ifu_pmu_instr_aligned; // @[dec_tlu_ctl.scala 839:44] - assign csr_io_exu_pmu_i0_pc4 = io_tlu_exu_exu_pmu_i0_pc4; // @[dec_tlu_ctl.scala 840:44] - assign csr_io_ifu_pmu_ic_miss = io_tlu_mem_ifu_pmu_ic_miss; // @[dec_tlu_ctl.scala 841:44] - assign csr_io_ifu_pmu_ic_hit = io_tlu_mem_ifu_pmu_ic_hit; // @[dec_tlu_ctl.scala 842:44] - assign csr_io_dec_csr_wen_r = io_dec_csr_wen_r; // @[dec_tlu_ctl.scala 843:44] - assign csr_io_dec_tlu_dbg_halted = io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 844:44] - assign csr_io_dma_pmu_dccm_write = io_tlu_dma_dma_pmu_dccm_write; // @[dec_tlu_ctl.scala 845:44] - assign csr_io_dma_pmu_dccm_read = io_tlu_dma_dma_pmu_dccm_read; // @[dec_tlu_ctl.scala 846:44] - assign csr_io_dma_pmu_any_write = io_tlu_dma_dma_pmu_any_write; // @[dec_tlu_ctl.scala 847:44] - assign csr_io_dma_pmu_any_read = io_tlu_dma_dma_pmu_any_read; // @[dec_tlu_ctl.scala 848:44] - assign csr_io_lsu_pmu_bus_busy = io_tlu_busbuff_lsu_pmu_bus_busy; // @[dec_tlu_ctl.scala 849:44] - assign csr_io_dec_tlu_i0_pc_r = io_dec_tlu_i0_pc_r; // @[dec_tlu_ctl.scala 850:44] - assign csr_io_dec_tlu_i0_valid_r = io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 851:44] - assign csr_io_dec_csr_any_unq_d = io_dec_csr_any_unq_d; // @[dec_tlu_ctl.scala 853:44] - assign csr_io_ifu_pmu_bus_busy = io_tlu_mem_ifu_pmu_bus_busy; // @[dec_tlu_ctl.scala 854:44] - assign csr_io_lsu_pmu_bus_error = io_tlu_busbuff_lsu_pmu_bus_error; // @[dec_tlu_ctl.scala 855:44] - assign csr_io_ifu_pmu_bus_error = io_tlu_mem_ifu_pmu_bus_error; // @[dec_tlu_ctl.scala 856:44] - assign csr_io_lsu_pmu_bus_misaligned = io_tlu_busbuff_lsu_pmu_bus_misaligned; // @[dec_tlu_ctl.scala 857:44] - assign csr_io_lsu_pmu_bus_trxn = io_tlu_busbuff_lsu_pmu_bus_trxn; // @[dec_tlu_ctl.scala 858:44] - assign csr_io_ifu_ic_debug_rd_data = io_tlu_mem_ifu_ic_debug_rd_data; // @[dec_tlu_ctl.scala 859:44] - assign csr_io_pic_pl = io_dec_pic_pic_pl; // @[dec_tlu_ctl.scala 860:44] - assign csr_io_pic_claimid = io_dec_pic_pic_claimid; // @[dec_tlu_ctl.scala 861:44] - assign csr_io_iccm_dma_sb_error = io_iccm_dma_sb_error; // @[dec_tlu_ctl.scala 862:44] - assign csr_io_lsu_imprecise_error_addr_any = io_tlu_busbuff_lsu_imprecise_error_addr_any; // @[dec_tlu_ctl.scala 863:44] - assign csr_io_lsu_imprecise_error_load_any = io_tlu_busbuff_lsu_imprecise_error_load_any; // @[dec_tlu_ctl.scala 864:44] - assign csr_io_lsu_imprecise_error_store_any = io_tlu_busbuff_lsu_imprecise_error_store_any; // @[dec_tlu_ctl.scala 865:44] - assign csr_io_dec_illegal_inst = io_dec_illegal_inst; // @[dec_tlu_ctl.scala 866:44 dec_tlu_ctl.scala 907:44] - assign csr_io_lsu_error_pkt_r_bits_mscause = io_lsu_error_pkt_r_bits_mscause; // @[dec_tlu_ctl.scala 867:44 dec_tlu_ctl.scala 908:44] - assign csr_io_mexintpend = io_dec_pic_mexintpend; // @[dec_tlu_ctl.scala 868:44 dec_tlu_ctl.scala 909:44] - assign csr_io_exu_npc_r = io_tlu_exu_exu_npc_r; // @[dec_tlu_ctl.scala 869:44 dec_tlu_ctl.scala 910:44] - assign csr_io_mpc_reset_run_req = io_mpc_reset_run_req; // @[dec_tlu_ctl.scala 870:44 dec_tlu_ctl.scala 911:44] - assign csr_io_rst_vec = io_rst_vec; // @[dec_tlu_ctl.scala 871:44 dec_tlu_ctl.scala 912:44] - assign csr_io_core_id = io_core_id; // @[dec_tlu_ctl.scala 872:44 dec_tlu_ctl.scala 913:44] - assign csr_io_dec_timer_rddata_d = int_timers_io_dec_timer_rddata_d; // @[dec_tlu_ctl.scala 873:44 dec_tlu_ctl.scala 914:44] - assign csr_io_dec_timer_read_d = int_timers_io_dec_timer_read_d; // @[dec_tlu_ctl.scala 874:44 dec_tlu_ctl.scala 915:44] - assign csr_io_rfpc_i0_r = _T_438 & _T_439; // @[dec_tlu_ctl.scala 918:39] - assign csr_io_i0_trigger_hit_r = |i0_trigger_chain_masked_r; // @[dec_tlu_ctl.scala 919:39] - assign csr_io_exc_or_int_valid_r = _T_855 | mepc_trigger_hit_sel_pc_r; // @[dec_tlu_ctl.scala 920:39] - assign csr_io_mret_r = _T_487 & _T_470; // @[dec_tlu_ctl.scala 921:39] - assign csr_io_dcsr_single_step_running_f = dcsr_single_step_running_f; // @[dec_tlu_ctl.scala 922:39] - assign csr_io_dec_timer_t0_pulse = int_timers_io_dec_timer_t0_pulse; // @[dec_tlu_ctl.scala 923:39] - assign csr_io_dec_timer_t1_pulse = int_timers_io_dec_timer_t1_pulse; // @[dec_tlu_ctl.scala 924:39] - assign csr_io_timer_int_sync = syncro_ff[5]; // @[dec_tlu_ctl.scala 925:39] - assign csr_io_soft_int_sync = syncro_ff[4]; // @[dec_tlu_ctl.scala 926:39] - assign csr_io_csr_wr_clk = rvclkhdr_io_l1clk; // @[dec_tlu_ctl.scala 927:39] - assign csr_io_ebreak_to_debug_mode_r = _T_519 & _T_470; // @[dec_tlu_ctl.scala 928:39] - assign csr_io_dec_tlu_pmu_fw_halted = pmu_fw_tlu_halted_f; // @[dec_tlu_ctl.scala 929:39] - assign csr_io_lsu_fir_error = io_lsu_fir_error; // @[dec_tlu_ctl.scala 930:39] - assign csr_io_tlu_flush_lower_r_d1 = tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 931:39] - assign csr_io_dec_tlu_flush_noredir_r_d1 = dec_tlu_flush_noredir_r_d1; // @[dec_tlu_ctl.scala 932:39] - assign csr_io_tlu_flush_path_r_d1 = tlu_flush_path_r_d1; // @[dec_tlu_ctl.scala 933:39] - assign csr_io_reset_delayed = reset_detect ^ reset_detected; // @[dec_tlu_ctl.scala 934:39] - assign csr_io_interrupt_valid_r = _T_766 | take_int_timer1_int; // @[dec_tlu_ctl.scala 935:39] - assign csr_io_i0_exception_valid_r = _T_527 & _T_528; // @[dec_tlu_ctl.scala 936:39] - assign csr_io_lsu_exc_valid_r = _T_405 & _T_470; // @[dec_tlu_ctl.scala 937:39] - assign csr_io_mepc_trigger_hit_sel_pc_r = i0_trigger_hit_raw_r & _T_345; // @[dec_tlu_ctl.scala 938:39] - assign csr_io_e4e5_int_clk = rvclkhdr_3_io_l1clk; // @[dec_tlu_ctl.scala 939:39] - assign csr_io_lsu_i0_exc_r = _T_405 & _T_470; // @[dec_tlu_ctl.scala 940:39] - assign csr_io_inst_acc_r = _T_511 & _T_465; // @[dec_tlu_ctl.scala 941:39] - assign csr_io_inst_acc_second_r = io_dec_tlu_packet_r_icaf_f1; // @[dec_tlu_ctl.scala 942:39] - assign csr_io_take_nmi = _T_756 & _T_760; // @[dec_tlu_ctl.scala 943:39] - assign csr_io_lsu_error_pkt_addr_r = io_lsu_error_pkt_r_bits_addr; // @[dec_tlu_ctl.scala 944:39] - assign csr_io_exc_cause_r = _T_603 | _T_591; // @[dec_tlu_ctl.scala 945:39] - assign csr_io_i0_valid_wb = i0_valid_wb; // @[dec_tlu_ctl.scala 946:39] - assign csr_io_exc_or_int_valid_r_d1 = exc_or_int_valid_r_d1; // @[dec_tlu_ctl.scala 947:39] - assign csr_io_interrupt_valid_r_d1 = interrupt_valid_r_d1; // @[dec_tlu_ctl.scala 948:39] - assign csr_io_clk_override = io_dec_tlu_dec_clk_override; // @[dec_tlu_ctl.scala 949:39] - assign csr_io_i0_exception_valid_r_d1 = i0_exception_valid_r_d1; // @[dec_tlu_ctl.scala 950:39] - assign csr_io_lsu_i0_exc_r_d1 = lsu_i0_exc_r_d1; // @[dec_tlu_ctl.scala 951:39] - assign csr_io_exc_cause_wb = exc_cause_wb; // @[dec_tlu_ctl.scala 952:39] - assign csr_io_nmi_lsu_store_type = _T_58 | _T_60; // @[dec_tlu_ctl.scala 953:39] - assign csr_io_nmi_lsu_load_type = _T_50 | _T_52; // @[dec_tlu_ctl.scala 954:39] - assign csr_io_tlu_i0_commit_cmt = _T_422 & _T_465; // @[dec_tlu_ctl.scala 955:39] - assign csr_io_ebreak_r = _T_469 & _T_470; // @[dec_tlu_ctl.scala 956:39] - assign csr_io_ecall_r = _T_475 & _T_470; // @[dec_tlu_ctl.scala 957:39] - assign csr_io_illegal_r = _T_481 & _T_470; // @[dec_tlu_ctl.scala 958:39] - assign csr_io_mdseac_locked_f = mdseac_locked_f; // @[dec_tlu_ctl.scala 959:39] - assign csr_io_nmi_int_detected_f = nmi_int_detected_f; // @[dec_tlu_ctl.scala 960:39] - assign csr_io_internal_dbg_halt_mode_f2 = internal_dbg_halt_mode_f2; // @[dec_tlu_ctl.scala 961:39] - assign csr_io_ext_int_freeze_d1 = ext_int_freeze_d1; // @[dec_tlu_ctl.scala 962:39] - assign csr_io_ic_perr_r_d1 = ic_perr_r_d1; // @[dec_tlu_ctl.scala 963:39] - assign csr_io_iccm_sbecc_r_d1 = iccm_sbecc_r_d1; // @[dec_tlu_ctl.scala 964:39] - assign csr_io_lsu_single_ecc_error_r_d1 = lsu_single_ecc_error_r_d1; // @[dec_tlu_ctl.scala 965:39] - assign csr_io_ifu_miss_state_idle_f = ifu_miss_state_idle_f; // @[dec_tlu_ctl.scala 966:39] - assign csr_io_lsu_idle_any_f = lsu_idle_any_f; // @[dec_tlu_ctl.scala 967:39] - assign csr_io_dbg_tlu_halted_f = dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 968:39] - assign csr_io_dbg_tlu_halted = _T_164 | _T_166; // @[dec_tlu_ctl.scala 969:39] - assign csr_io_debug_halt_req_f = debug_halt_req_f; // @[dec_tlu_ctl.scala 970:51] - assign csr_io_take_ext_int_start = ext_int_ready & _T_704; // @[dec_tlu_ctl.scala 971:47] - assign csr_io_trigger_hit_dmode_r_d1 = trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 972:43] - assign csr_io_trigger_hit_r_d1 = trigger_hit_r_d1; // @[dec_tlu_ctl.scala 973:43] - assign csr_io_dcsr_single_step_done_f = dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 974:43] - assign csr_io_ebreak_to_debug_mode_r_d1 = ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 975:39] - assign csr_io_debug_halt_req = _T_114 & _T_107; // @[dec_tlu_ctl.scala 976:51] - assign csr_io_allow_dbg_halt_csr_write = debug_mode_status & _T_77; // @[dec_tlu_ctl.scala 977:39] - assign csr_io_internal_dbg_halt_mode_f = debug_mode_status; // @[dec_tlu_ctl.scala 978:39] - assign csr_io_enter_debug_halt_req = _T_155 | ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 979:39] - assign csr_io_internal_dbg_halt_mode = debug_halt_req_ns | _T_160; // @[dec_tlu_ctl.scala 980:39] - assign csr_io_request_debug_mode_done = _T_183 & _T_136; // @[dec_tlu_ctl.scala 981:39] - assign csr_io_request_debug_mode_r = _T_180 | _T_182; // @[dec_tlu_ctl.scala 982:39] - assign csr_io_update_hit_bit_r = _T_342 & i0_trigger_chain_masked_r; // @[dec_tlu_ctl.scala 983:39] - assign csr_io_take_timer_int = _T_703 & _T_704; // @[dec_tlu_ctl.scala 984:39] - assign csr_io_take_int_timer0_int = _T_717 & _T_704; // @[dec_tlu_ctl.scala 985:39] - assign csr_io_take_int_timer1_int = _T_734 & _T_704; // @[dec_tlu_ctl.scala 986:39] - assign csr_io_take_ext_int = take_ext_int_start_d3 & _T_685; // @[dec_tlu_ctl.scala 987:39] - assign csr_io_tlu_flush_lower_r = _T_801 | take_ext_int_start; // @[dec_tlu_ctl.scala 988:39] - assign csr_io_dec_tlu_br0_error_r = _T_453 & _T_429; // @[dec_tlu_ctl.scala 989:39] - assign csr_io_dec_tlu_br0_start_error_r = _T_455 & _T_429; // @[dec_tlu_ctl.scala 990:39] - assign csr_io_lsu_pmu_load_external_r = lsu_pmu_load_external_r; // @[dec_tlu_ctl.scala 991:39] - assign csr_io_lsu_pmu_store_external_r = lsu_pmu_store_external_r; // @[dec_tlu_ctl.scala 992:39] - assign csr_io_csr_pkt_csr_misa = csr_read_io_csr_pkt_csr_misa; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mvendorid = csr_read_io_csr_pkt_csr_mvendorid; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_marchid = csr_read_io_csr_pkt_csr_marchid; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mimpid = csr_read_io_csr_pkt_csr_mimpid; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mhartid = csr_read_io_csr_pkt_csr_mhartid; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mstatus = csr_read_io_csr_pkt_csr_mstatus; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mtvec = csr_read_io_csr_pkt_csr_mtvec; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mip = csr_read_io_csr_pkt_csr_mip; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mie = csr_read_io_csr_pkt_csr_mie; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mcyclel = csr_read_io_csr_pkt_csr_mcyclel; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mcycleh = csr_read_io_csr_pkt_csr_mcycleh; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_minstretl = csr_read_io_csr_pkt_csr_minstretl; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_minstreth = csr_read_io_csr_pkt_csr_minstreth; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mscratch = csr_read_io_csr_pkt_csr_mscratch; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mepc = csr_read_io_csr_pkt_csr_mepc; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mcause = csr_read_io_csr_pkt_csr_mcause; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mscause = csr_read_io_csr_pkt_csr_mscause; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mtval = csr_read_io_csr_pkt_csr_mtval; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mrac = csr_read_io_csr_pkt_csr_mrac; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mdseac = csr_read_io_csr_pkt_csr_mdseac; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_meihap = csr_read_io_csr_pkt_csr_meihap; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_meivt = csr_read_io_csr_pkt_csr_meivt; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_meipt = csr_read_io_csr_pkt_csr_meipt; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_meicurpl = csr_read_io_csr_pkt_csr_meicurpl; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_meicidpl = csr_read_io_csr_pkt_csr_meicidpl; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_dcsr = csr_read_io_csr_pkt_csr_dcsr; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mcgc = csr_read_io_csr_pkt_csr_mcgc; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mfdc = csr_read_io_csr_pkt_csr_mfdc; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_dpc = csr_read_io_csr_pkt_csr_dpc; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mtsel = csr_read_io_csr_pkt_csr_mtsel; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mtdata1 = csr_read_io_csr_pkt_csr_mtdata1; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mtdata2 = csr_read_io_csr_pkt_csr_mtdata2; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mhpmc3 = csr_read_io_csr_pkt_csr_mhpmc3; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mhpmc4 = csr_read_io_csr_pkt_csr_mhpmc4; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mhpmc5 = csr_read_io_csr_pkt_csr_mhpmc5; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mhpmc6 = csr_read_io_csr_pkt_csr_mhpmc6; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mhpmc3h = csr_read_io_csr_pkt_csr_mhpmc3h; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mhpmc4h = csr_read_io_csr_pkt_csr_mhpmc4h; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mhpmc5h = csr_read_io_csr_pkt_csr_mhpmc5h; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mhpmc6h = csr_read_io_csr_pkt_csr_mhpmc6h; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mhpme3 = csr_read_io_csr_pkt_csr_mhpme3; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mhpme4 = csr_read_io_csr_pkt_csr_mhpme4; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mhpme5 = csr_read_io_csr_pkt_csr_mhpme5; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mhpme6 = csr_read_io_csr_pkt_csr_mhpme6; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mcountinhibit = csr_read_io_csr_pkt_csr_mcountinhibit; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mpmc = csr_read_io_csr_pkt_csr_mpmc; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_micect = csr_read_io_csr_pkt_csr_micect; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_miccmect = csr_read_io_csr_pkt_csr_miccmect; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mdccmect = csr_read_io_csr_pkt_csr_mdccmect; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mfdht = csr_read_io_csr_pkt_csr_mfdht; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mfdhs = csr_read_io_csr_pkt_csr_mfdhs; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_dicawics = csr_read_io_csr_pkt_csr_dicawics; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_dicad0h = csr_read_io_csr_pkt_csr_dicad0h; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_dicad0 = csr_read_io_csr_pkt_csr_dicad0; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_dicad1 = csr_read_io_csr_pkt_csr_dicad1; // @[dec_tlu_ctl.scala 993:39] - assign csr_read_io_dec_csr_rdaddr_d = io_dec_csr_rdaddr_d; // @[dec_tlu_ctl.scala 1011:37] + assign csr_io_free_clk = io_free_clk; // @[dec_tlu_ctl.scala 817:44] + assign csr_io_active_clk = io_active_clk; // @[dec_tlu_ctl.scala 818:44] + assign csr_io_scan_mode = io_scan_mode; // @[dec_tlu_ctl.scala 819:44] + assign csr_io_dec_csr_wrdata_r = io_dec_csr_wrdata_r; // @[dec_tlu_ctl.scala 820:44] + assign csr_io_dec_csr_wraddr_r = io_dec_csr_wraddr_r; // @[dec_tlu_ctl.scala 821:44] + assign csr_io_dec_csr_rdaddr_d = io_dec_csr_rdaddr_d; // @[dec_tlu_ctl.scala 822:44] + assign csr_io_dec_csr_wen_unq_d = io_dec_csr_wen_unq_d; // @[dec_tlu_ctl.scala 823:44] + assign csr_io_dec_i0_decode_d = io_dec_i0_decode_d; // @[dec_tlu_ctl.scala 824:44] + assign csr_io_ifu_ic_debug_rd_data_valid = io_tlu_mem_ifu_ic_debug_rd_data_valid; // @[dec_tlu_ctl.scala 825:44] + assign csr_io_ifu_pmu_bus_trxn = io_tlu_mem_ifu_pmu_bus_trxn; // @[dec_tlu_ctl.scala 826:44] + assign csr_io_dma_iccm_stall_any = io_tlu_dma_dma_iccm_stall_any; // @[dec_tlu_ctl.scala 827:44] + assign csr_io_dma_dccm_stall_any = io_tlu_dma_dma_dccm_stall_any; // @[dec_tlu_ctl.scala 828:44] + assign csr_io_lsu_store_stall_any = io_lsu_store_stall_any; // @[dec_tlu_ctl.scala 829:44] + assign csr_io_dec_pmu_presync_stall = io_dec_pmu_presync_stall; // @[dec_tlu_ctl.scala 830:44] + assign csr_io_dec_pmu_postsync_stall = io_dec_pmu_postsync_stall; // @[dec_tlu_ctl.scala 831:44] + assign csr_io_dec_pmu_decode_stall = io_dec_pmu_decode_stall; // @[dec_tlu_ctl.scala 832:44] + assign csr_io_ifu_pmu_fetch_stall = io_tlu_ifc_ifu_pmu_fetch_stall; // @[dec_tlu_ctl.scala 833:44] + assign csr_io_dec_tlu_packet_r_icaf_type = io_dec_tlu_packet_r_icaf_type; // @[dec_tlu_ctl.scala 834:44] + assign csr_io_dec_tlu_packet_r_pmu_i0_itype = io_dec_tlu_packet_r_pmu_i0_itype; // @[dec_tlu_ctl.scala 834:44] + assign csr_io_dec_tlu_packet_r_pmu_i0_br_unpred = io_dec_tlu_packet_r_pmu_i0_br_unpred; // @[dec_tlu_ctl.scala 834:44] + assign csr_io_dec_tlu_packet_r_pmu_divide = io_dec_tlu_packet_r_pmu_divide; // @[dec_tlu_ctl.scala 834:44] + assign csr_io_dec_tlu_packet_r_pmu_lsu_misaligned = io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[dec_tlu_ctl.scala 834:44] + assign csr_io_exu_pmu_i0_br_ataken = io_tlu_exu_exu_pmu_i0_br_ataken; // @[dec_tlu_ctl.scala 835:44] + assign csr_io_exu_pmu_i0_br_misp = io_tlu_exu_exu_pmu_i0_br_misp; // @[dec_tlu_ctl.scala 836:44] + assign csr_io_dec_pmu_instr_decoded = io_dec_pmu_instr_decoded; // @[dec_tlu_ctl.scala 837:44] + assign csr_io_ifu_pmu_instr_aligned = io_ifu_pmu_instr_aligned; // @[dec_tlu_ctl.scala 838:44] + assign csr_io_exu_pmu_i0_pc4 = io_tlu_exu_exu_pmu_i0_pc4; // @[dec_tlu_ctl.scala 839:44] + assign csr_io_ifu_pmu_ic_miss = io_tlu_mem_ifu_pmu_ic_miss; // @[dec_tlu_ctl.scala 840:44] + assign csr_io_ifu_pmu_ic_hit = io_tlu_mem_ifu_pmu_ic_hit; // @[dec_tlu_ctl.scala 841:44] + assign csr_io_dec_csr_wen_r = io_dec_csr_wen_r; // @[dec_tlu_ctl.scala 842:44] + assign csr_io_dec_tlu_dbg_halted = io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 843:44] + assign csr_io_dma_pmu_dccm_write = io_tlu_dma_dma_pmu_dccm_write; // @[dec_tlu_ctl.scala 844:44] + assign csr_io_dma_pmu_dccm_read = io_tlu_dma_dma_pmu_dccm_read; // @[dec_tlu_ctl.scala 845:44] + assign csr_io_dma_pmu_any_write = io_tlu_dma_dma_pmu_any_write; // @[dec_tlu_ctl.scala 846:44] + assign csr_io_dma_pmu_any_read = io_tlu_dma_dma_pmu_any_read; // @[dec_tlu_ctl.scala 847:44] + assign csr_io_lsu_pmu_bus_busy = io_tlu_busbuff_lsu_pmu_bus_busy; // @[dec_tlu_ctl.scala 848:44] + assign csr_io_dec_tlu_i0_pc_r = io_dec_tlu_i0_pc_r; // @[dec_tlu_ctl.scala 849:44] + assign csr_io_dec_tlu_i0_valid_r = io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 850:44] + assign csr_io_dec_csr_any_unq_d = io_dec_csr_any_unq_d; // @[dec_tlu_ctl.scala 852:44] + assign csr_io_ifu_pmu_bus_busy = io_tlu_mem_ifu_pmu_bus_busy; // @[dec_tlu_ctl.scala 853:44] + assign csr_io_lsu_pmu_bus_error = io_tlu_busbuff_lsu_pmu_bus_error; // @[dec_tlu_ctl.scala 854:44] + assign csr_io_ifu_pmu_bus_error = io_tlu_mem_ifu_pmu_bus_error; // @[dec_tlu_ctl.scala 855:44] + assign csr_io_lsu_pmu_bus_misaligned = io_tlu_busbuff_lsu_pmu_bus_misaligned; // @[dec_tlu_ctl.scala 856:44] + assign csr_io_lsu_pmu_bus_trxn = io_tlu_busbuff_lsu_pmu_bus_trxn; // @[dec_tlu_ctl.scala 857:44] + assign csr_io_ifu_ic_debug_rd_data = io_tlu_mem_ifu_ic_debug_rd_data; // @[dec_tlu_ctl.scala 858:44] + assign csr_io_pic_pl = io_dec_pic_pic_pl; // @[dec_tlu_ctl.scala 859:44] + assign csr_io_pic_claimid = io_dec_pic_pic_claimid; // @[dec_tlu_ctl.scala 860:44] + assign csr_io_iccm_dma_sb_error = io_iccm_dma_sb_error; // @[dec_tlu_ctl.scala 861:44] + assign csr_io_lsu_imprecise_error_addr_any = io_tlu_busbuff_lsu_imprecise_error_addr_any; // @[dec_tlu_ctl.scala 862:44] + assign csr_io_lsu_imprecise_error_load_any = io_tlu_busbuff_lsu_imprecise_error_load_any; // @[dec_tlu_ctl.scala 863:44] + assign csr_io_lsu_imprecise_error_store_any = io_tlu_busbuff_lsu_imprecise_error_store_any; // @[dec_tlu_ctl.scala 864:44] + assign csr_io_dec_illegal_inst = io_dec_illegal_inst; // @[dec_tlu_ctl.scala 865:44 dec_tlu_ctl.scala 906:44] + assign csr_io_lsu_error_pkt_r_bits_mscause = io_lsu_error_pkt_r_bits_mscause; // @[dec_tlu_ctl.scala 866:44 dec_tlu_ctl.scala 907:44] + assign csr_io_mexintpend = io_dec_pic_mexintpend; // @[dec_tlu_ctl.scala 867:44 dec_tlu_ctl.scala 908:44] + assign csr_io_exu_npc_r = io_tlu_exu_exu_npc_r; // @[dec_tlu_ctl.scala 868:44 dec_tlu_ctl.scala 909:44] + assign csr_io_mpc_reset_run_req = io_mpc_reset_run_req; // @[dec_tlu_ctl.scala 869:44 dec_tlu_ctl.scala 910:44] + assign csr_io_rst_vec = io_rst_vec; // @[dec_tlu_ctl.scala 870:44 dec_tlu_ctl.scala 911:44] + assign csr_io_core_id = io_core_id; // @[dec_tlu_ctl.scala 871:44 dec_tlu_ctl.scala 912:44] + assign csr_io_dec_timer_rddata_d = int_timers_io_dec_timer_rddata_d; // @[dec_tlu_ctl.scala 872:44 dec_tlu_ctl.scala 913:44] + assign csr_io_dec_timer_read_d = int_timers_io_dec_timer_read_d; // @[dec_tlu_ctl.scala 873:44 dec_tlu_ctl.scala 914:44] + assign csr_io_rfpc_i0_r = _T_438 & _T_439; // @[dec_tlu_ctl.scala 917:39] + assign csr_io_i0_trigger_hit_r = |i0_trigger_chain_masked_r; // @[dec_tlu_ctl.scala 918:39] + assign csr_io_exc_or_int_valid_r = _T_855 | mepc_trigger_hit_sel_pc_r; // @[dec_tlu_ctl.scala 919:39] + assign csr_io_mret_r = _T_487 & _T_470; // @[dec_tlu_ctl.scala 920:39] + assign csr_io_dcsr_single_step_running_f = dcsr_single_step_running_f; // @[dec_tlu_ctl.scala 921:39] + assign csr_io_dec_timer_t0_pulse = int_timers_io_dec_timer_t0_pulse; // @[dec_tlu_ctl.scala 922:39] + assign csr_io_dec_timer_t1_pulse = int_timers_io_dec_timer_t1_pulse; // @[dec_tlu_ctl.scala 923:39] + assign csr_io_timer_int_sync = syncro_ff[5]; // @[dec_tlu_ctl.scala 924:39] + assign csr_io_soft_int_sync = syncro_ff[4]; // @[dec_tlu_ctl.scala 925:39] + assign csr_io_csr_wr_clk = rvclkhdr_io_l1clk; // @[dec_tlu_ctl.scala 926:39] + assign csr_io_ebreak_to_debug_mode_r = _T_519 & _T_470; // @[dec_tlu_ctl.scala 927:39] + assign csr_io_dec_tlu_pmu_fw_halted = pmu_fw_tlu_halted_f; // @[dec_tlu_ctl.scala 928:39] + assign csr_io_lsu_fir_error = io_lsu_fir_error; // @[dec_tlu_ctl.scala 929:39] + assign csr_io_tlu_flush_lower_r_d1 = tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 930:39] + assign csr_io_dec_tlu_flush_noredir_r_d1 = dec_tlu_flush_noredir_r_d1; // @[dec_tlu_ctl.scala 931:39] + assign csr_io_tlu_flush_path_r_d1 = tlu_flush_path_r_d1; // @[dec_tlu_ctl.scala 932:39] + assign csr_io_reset_delayed = reset_detect ^ reset_detected; // @[dec_tlu_ctl.scala 933:39] + assign csr_io_interrupt_valid_r = _T_766 | take_int_timer1_int; // @[dec_tlu_ctl.scala 934:39] + assign csr_io_i0_exception_valid_r = _T_527 & _T_528; // @[dec_tlu_ctl.scala 935:39] + assign csr_io_lsu_exc_valid_r = _T_405 & _T_470; // @[dec_tlu_ctl.scala 936:39] + assign csr_io_mepc_trigger_hit_sel_pc_r = i0_trigger_hit_raw_r & _T_345; // @[dec_tlu_ctl.scala 937:39] + assign csr_io_e4e5_int_clk = rvclkhdr_3_io_l1clk; // @[dec_tlu_ctl.scala 938:39] + assign csr_io_lsu_i0_exc_r = _T_405 & _T_470; // @[dec_tlu_ctl.scala 939:39] + assign csr_io_inst_acc_r = _T_511 & _T_465; // @[dec_tlu_ctl.scala 940:39] + assign csr_io_inst_acc_second_r = io_dec_tlu_packet_r_icaf_f1; // @[dec_tlu_ctl.scala 941:39] + assign csr_io_take_nmi = _T_756 & _T_760; // @[dec_tlu_ctl.scala 942:39] + assign csr_io_lsu_error_pkt_addr_r = io_lsu_error_pkt_r_bits_addr; // @[dec_tlu_ctl.scala 943:39] + assign csr_io_exc_cause_r = _T_603 | _T_591; // @[dec_tlu_ctl.scala 944:39] + assign csr_io_i0_valid_wb = i0_valid_wb; // @[dec_tlu_ctl.scala 945:39] + assign csr_io_exc_or_int_valid_r_d1 = exc_or_int_valid_r_d1; // @[dec_tlu_ctl.scala 946:39] + assign csr_io_interrupt_valid_r_d1 = interrupt_valid_r_d1; // @[dec_tlu_ctl.scala 947:39] + assign csr_io_clk_override = io_dec_tlu_dec_clk_override; // @[dec_tlu_ctl.scala 948:39] + assign csr_io_i0_exception_valid_r_d1 = i0_exception_valid_r_d1; // @[dec_tlu_ctl.scala 949:39] + assign csr_io_lsu_i0_exc_r_d1 = lsu_i0_exc_r_d1; // @[dec_tlu_ctl.scala 950:39] + assign csr_io_exc_cause_wb = exc_cause_wb; // @[dec_tlu_ctl.scala 951:39] + assign csr_io_nmi_lsu_store_type = _T_58 | _T_60; // @[dec_tlu_ctl.scala 952:39] + assign csr_io_nmi_lsu_load_type = _T_50 | _T_52; // @[dec_tlu_ctl.scala 953:39] + assign csr_io_tlu_i0_commit_cmt = _T_422 & _T_465; // @[dec_tlu_ctl.scala 954:39] + assign csr_io_ebreak_r = _T_469 & _T_470; // @[dec_tlu_ctl.scala 955:39] + assign csr_io_ecall_r = _T_475 & _T_470; // @[dec_tlu_ctl.scala 956:39] + assign csr_io_illegal_r = _T_481 & _T_470; // @[dec_tlu_ctl.scala 957:39] + assign csr_io_mdseac_locked_f = mdseac_locked_f; // @[dec_tlu_ctl.scala 958:39] + assign csr_io_nmi_int_detected_f = nmi_int_detected_f; // @[dec_tlu_ctl.scala 959:39] + assign csr_io_internal_dbg_halt_mode_f2 = internal_dbg_halt_mode_f2; // @[dec_tlu_ctl.scala 960:39] + assign csr_io_ext_int_freeze_d1 = ext_int_freeze_d1; // @[dec_tlu_ctl.scala 961:39] + assign csr_io_ic_perr_r_d1 = ic_perr_r_d1; // @[dec_tlu_ctl.scala 962:39] + assign csr_io_iccm_sbecc_r_d1 = iccm_sbecc_r_d1; // @[dec_tlu_ctl.scala 963:39] + assign csr_io_lsu_single_ecc_error_r_d1 = lsu_single_ecc_error_r_d1; // @[dec_tlu_ctl.scala 964:39] + assign csr_io_ifu_miss_state_idle_f = ifu_miss_state_idle_f; // @[dec_tlu_ctl.scala 965:39] + assign csr_io_lsu_idle_any_f = lsu_idle_any_f; // @[dec_tlu_ctl.scala 966:39] + assign csr_io_dbg_tlu_halted_f = dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 967:39] + assign csr_io_dbg_tlu_halted = _T_164 | _T_166; // @[dec_tlu_ctl.scala 968:39] + assign csr_io_debug_halt_req_f = debug_halt_req_f; // @[dec_tlu_ctl.scala 969:51] + assign csr_io_take_ext_int_start = ext_int_ready & _T_704; // @[dec_tlu_ctl.scala 970:47] + assign csr_io_trigger_hit_dmode_r_d1 = trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 971:43] + assign csr_io_trigger_hit_r_d1 = trigger_hit_r_d1; // @[dec_tlu_ctl.scala 972:43] + assign csr_io_dcsr_single_step_done_f = dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 973:43] + assign csr_io_ebreak_to_debug_mode_r_d1 = ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 974:39] + assign csr_io_debug_halt_req = _T_114 & _T_107; // @[dec_tlu_ctl.scala 975:51] + assign csr_io_allow_dbg_halt_csr_write = debug_mode_status & _T_77; // @[dec_tlu_ctl.scala 976:39] + assign csr_io_internal_dbg_halt_mode_f = debug_mode_status; // @[dec_tlu_ctl.scala 977:39] + assign csr_io_enter_debug_halt_req = _T_155 | ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 978:39] + assign csr_io_internal_dbg_halt_mode = debug_halt_req_ns | _T_160; // @[dec_tlu_ctl.scala 979:39] + assign csr_io_request_debug_mode_done = _T_183 & _T_136; // @[dec_tlu_ctl.scala 980:39] + assign csr_io_request_debug_mode_r = _T_180 | _T_182; // @[dec_tlu_ctl.scala 981:39] + assign csr_io_update_hit_bit_r = _T_342 & i0_trigger_chain_masked_r; // @[dec_tlu_ctl.scala 982:39] + assign csr_io_take_timer_int = _T_703 & _T_704; // @[dec_tlu_ctl.scala 983:39] + assign csr_io_take_int_timer0_int = _T_717 & _T_704; // @[dec_tlu_ctl.scala 984:39] + assign csr_io_take_int_timer1_int = _T_734 & _T_704; // @[dec_tlu_ctl.scala 985:39] + assign csr_io_take_ext_int = take_ext_int_start_d3 & _T_685; // @[dec_tlu_ctl.scala 986:39] + assign csr_io_tlu_flush_lower_r = _T_801 | take_ext_int_start; // @[dec_tlu_ctl.scala 987:39] + assign csr_io_dec_tlu_br0_error_r = _T_453 & _T_429; // @[dec_tlu_ctl.scala 988:39] + assign csr_io_dec_tlu_br0_start_error_r = _T_455 & _T_429; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_lsu_pmu_load_external_r = lsu_pmu_load_external_r; // @[dec_tlu_ctl.scala 990:39] + assign csr_io_lsu_pmu_store_external_r = lsu_pmu_store_external_r; // @[dec_tlu_ctl.scala 991:39] + assign csr_io_csr_pkt_csr_misa = csr_read_io_csr_pkt_csr_misa; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mvendorid = csr_read_io_csr_pkt_csr_mvendorid; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_marchid = csr_read_io_csr_pkt_csr_marchid; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mimpid = csr_read_io_csr_pkt_csr_mimpid; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mhartid = csr_read_io_csr_pkt_csr_mhartid; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mstatus = csr_read_io_csr_pkt_csr_mstatus; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mtvec = csr_read_io_csr_pkt_csr_mtvec; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mip = csr_read_io_csr_pkt_csr_mip; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mie = csr_read_io_csr_pkt_csr_mie; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mcyclel = csr_read_io_csr_pkt_csr_mcyclel; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mcycleh = csr_read_io_csr_pkt_csr_mcycleh; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_minstretl = csr_read_io_csr_pkt_csr_minstretl; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_minstreth = csr_read_io_csr_pkt_csr_minstreth; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mscratch = csr_read_io_csr_pkt_csr_mscratch; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mepc = csr_read_io_csr_pkt_csr_mepc; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mcause = csr_read_io_csr_pkt_csr_mcause; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mscause = csr_read_io_csr_pkt_csr_mscause; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mtval = csr_read_io_csr_pkt_csr_mtval; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mrac = csr_read_io_csr_pkt_csr_mrac; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mdseac = csr_read_io_csr_pkt_csr_mdseac; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_meihap = csr_read_io_csr_pkt_csr_meihap; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_meivt = csr_read_io_csr_pkt_csr_meivt; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_meipt = csr_read_io_csr_pkt_csr_meipt; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_meicurpl = csr_read_io_csr_pkt_csr_meicurpl; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_meicidpl = csr_read_io_csr_pkt_csr_meicidpl; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_dcsr = csr_read_io_csr_pkt_csr_dcsr; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mcgc = csr_read_io_csr_pkt_csr_mcgc; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mfdc = csr_read_io_csr_pkt_csr_mfdc; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_dpc = csr_read_io_csr_pkt_csr_dpc; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mtsel = csr_read_io_csr_pkt_csr_mtsel; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mtdata1 = csr_read_io_csr_pkt_csr_mtdata1; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mtdata2 = csr_read_io_csr_pkt_csr_mtdata2; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mhpmc3 = csr_read_io_csr_pkt_csr_mhpmc3; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mhpmc4 = csr_read_io_csr_pkt_csr_mhpmc4; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mhpmc5 = csr_read_io_csr_pkt_csr_mhpmc5; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mhpmc6 = csr_read_io_csr_pkt_csr_mhpmc6; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mhpmc3h = csr_read_io_csr_pkt_csr_mhpmc3h; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mhpmc4h = csr_read_io_csr_pkt_csr_mhpmc4h; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mhpmc5h = csr_read_io_csr_pkt_csr_mhpmc5h; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mhpmc6h = csr_read_io_csr_pkt_csr_mhpmc6h; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mhpme3 = csr_read_io_csr_pkt_csr_mhpme3; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mhpme4 = csr_read_io_csr_pkt_csr_mhpme4; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mhpme5 = csr_read_io_csr_pkt_csr_mhpme5; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mhpme6 = csr_read_io_csr_pkt_csr_mhpme6; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mcountinhibit = csr_read_io_csr_pkt_csr_mcountinhibit; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mpmc = csr_read_io_csr_pkt_csr_mpmc; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_micect = csr_read_io_csr_pkt_csr_micect; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_miccmect = csr_read_io_csr_pkt_csr_miccmect; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mdccmect = csr_read_io_csr_pkt_csr_mdccmect; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mfdht = csr_read_io_csr_pkt_csr_mfdht; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mfdhs = csr_read_io_csr_pkt_csr_mfdhs; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_dicawics = csr_read_io_csr_pkt_csr_dicawics; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_dicad0h = csr_read_io_csr_pkt_csr_dicad0h; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_dicad0 = csr_read_io_csr_pkt_csr_dicad0; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_dicad1 = csr_read_io_csr_pkt_csr_dicad1; // @[dec_tlu_ctl.scala 992:39] + assign csr_read_io_dec_csr_rdaddr_d = io_dec_csr_rdaddr_d; // @[dec_tlu_ctl.scala 1010:37] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE `endif @@ -58056,7 +58097,7 @@ module dec( input io_dec_dbg_dbg_ib_dbg_cmd_write, input [1:0] io_dec_dbg_dbg_ib_dbg_cmd_type, input [31:0] io_dec_dbg_dbg_ib_dbg_cmd_addr, - input [1:0] io_dec_dbg_dbg_dctl_dbg_cmd_wrdata, + input [31:0] io_dec_dbg_dbg_dctl_dbg_cmd_wrdata, input io_dec_dma_dctl_dma_dma_dccm_stall_any, input io_dec_dma_tlu_dma_dma_pmu_dccm_read, input io_dec_dma_tlu_dma_dma_pmu_dccm_write, @@ -58186,6 +58227,9 @@ module dec( wire [1:0] decode_io_dctl_busbuff_lsu_nonblock_load_data_tag; // @[dec.scala 118:22] wire [31:0] decode_io_dctl_busbuff_lsu_nonblock_load_data; // @[dec.scala 118:22] wire decode_io_dctl_dma_dma_dccm_stall_any; // @[dec.scala 118:22] + wire decode_io_dec_aln_dec_i0_decode_d; // @[dec.scala 118:22] + wire [15:0] decode_io_dec_aln_ifu_i0_cinst; // @[dec.scala 118:22] + wire [31:0] decode_io_dbg_dctl_dbg_cmd_wrdata; // @[dec.scala 118:22] wire decode_io_dec_tlu_flush_extint; // @[dec.scala 118:22] wire decode_io_dec_tlu_force_halt; // @[dec.scala 118:22] wire [31:0] decode_io_dec_i0_inst_wb1; // @[dec.scala 118:22] @@ -58283,9 +58327,6 @@ module dec( wire decode_io_dec_pause_state_cg; // @[dec.scala 118:22] wire decode_io_dec_div_active; // @[dec.scala 118:22] wire decode_io_scan_mode; // @[dec.scala 118:22] - wire decode_io_dec_aln_dec_i0_decode_d; // @[dec.scala 118:22] - wire [15:0] decode_io_dec_aln_ifu_i0_cinst; // @[dec.scala 118:22] - wire [1:0] decode_io_dbg_dctl_dbg_cmd_wrdata; // @[dec.scala 118:22] wire gpr_clock; // @[dec.scala 119:19] wire gpr_reset; // @[dec.scala 119:19] wire [4:0] gpr_io_raddr0; // @[dec.scala 119:19] @@ -58448,6 +58489,7 @@ module dec( wire tlu_io_dec_tlu_pic_clk_override; // @[dec.scala 120:19] wire tlu_io_dec_tlu_dccm_clk_override; // @[dec.scala 120:19] wire tlu_io_dec_tlu_icm_clk_override; // @[dec.scala 120:19] + wire tlu_io_dec_tlu_flush_lower_wb; // @[dec.scala 120:19] wire tlu_io_ifu_pmu_instr_aligned; // @[dec.scala 120:19] wire tlu_io_tlu_bp_dec_tlu_br0_r_pkt_valid; // @[dec.scala 120:19] wire [1:0] tlu_io_tlu_bp_dec_tlu_br0_r_pkt_bits_hist; // @[dec.scala 120:19] @@ -58455,7 +58497,6 @@ module dec( wire tlu_io_tlu_bp_dec_tlu_br0_r_pkt_bits_br_start_error; // @[dec.scala 120:19] wire tlu_io_tlu_bp_dec_tlu_br0_r_pkt_bits_way; // @[dec.scala 120:19] wire tlu_io_tlu_bp_dec_tlu_br0_r_pkt_bits_middle; // @[dec.scala 120:19] - wire tlu_io_tlu_bp_dec_tlu_flush_lower_wb; // @[dec.scala 120:19] wire tlu_io_tlu_bp_dec_tlu_flush_leak_one_wb; // @[dec.scala 120:19] wire tlu_io_tlu_bp_dec_tlu_bpred_disable; // @[dec.scala 120:19] wire tlu_io_tlu_ifc_dec_tlu_flush_noredir_wb; // @[dec.scala 120:19] @@ -58638,6 +58679,9 @@ module dec( .io_dctl_busbuff_lsu_nonblock_load_data_tag(decode_io_dctl_busbuff_lsu_nonblock_load_data_tag), .io_dctl_busbuff_lsu_nonblock_load_data(decode_io_dctl_busbuff_lsu_nonblock_load_data), .io_dctl_dma_dma_dccm_stall_any(decode_io_dctl_dma_dma_dccm_stall_any), + .io_dec_aln_dec_i0_decode_d(decode_io_dec_aln_dec_i0_decode_d), + .io_dec_aln_ifu_i0_cinst(decode_io_dec_aln_ifu_i0_cinst), + .io_dbg_dctl_dbg_cmd_wrdata(decode_io_dbg_dctl_dbg_cmd_wrdata), .io_dec_tlu_flush_extint(decode_io_dec_tlu_flush_extint), .io_dec_tlu_force_halt(decode_io_dec_tlu_force_halt), .io_dec_i0_inst_wb1(decode_io_dec_i0_inst_wb1), @@ -58734,10 +58778,7 @@ module dec( .io_dec_pause_state(decode_io_dec_pause_state), .io_dec_pause_state_cg(decode_io_dec_pause_state_cg), .io_dec_div_active(decode_io_dec_div_active), - .io_scan_mode(decode_io_scan_mode), - .io_dec_aln_dec_i0_decode_d(decode_io_dec_aln_dec_i0_decode_d), - .io_dec_aln_ifu_i0_cinst(decode_io_dec_aln_ifu_i0_cinst), - .io_dbg_dctl_dbg_cmd_wrdata(decode_io_dbg_dctl_dbg_cmd_wrdata) + .io_scan_mode(decode_io_scan_mode) ); dec_gpr_ctl gpr ( // @[dec.scala 119:19] .clock(gpr_clock), @@ -58904,6 +58945,7 @@ module dec( .io_dec_tlu_pic_clk_override(tlu_io_dec_tlu_pic_clk_override), .io_dec_tlu_dccm_clk_override(tlu_io_dec_tlu_dccm_clk_override), .io_dec_tlu_icm_clk_override(tlu_io_dec_tlu_icm_clk_override), + .io_dec_tlu_flush_lower_wb(tlu_io_dec_tlu_flush_lower_wb), .io_ifu_pmu_instr_aligned(tlu_io_ifu_pmu_instr_aligned), .io_tlu_bp_dec_tlu_br0_r_pkt_valid(tlu_io_tlu_bp_dec_tlu_br0_r_pkt_valid), .io_tlu_bp_dec_tlu_br0_r_pkt_bits_hist(tlu_io_tlu_bp_dec_tlu_br0_r_pkt_bits_hist), @@ -58911,7 +58953,6 @@ module dec( .io_tlu_bp_dec_tlu_br0_r_pkt_bits_br_start_error(tlu_io_tlu_bp_dec_tlu_br0_r_pkt_bits_br_start_error), .io_tlu_bp_dec_tlu_br0_r_pkt_bits_way(tlu_io_tlu_bp_dec_tlu_br0_r_pkt_bits_way), .io_tlu_bp_dec_tlu_br0_r_pkt_bits_middle(tlu_io_tlu_bp_dec_tlu_br0_r_pkt_bits_middle), - .io_tlu_bp_dec_tlu_flush_lower_wb(tlu_io_tlu_bp_dec_tlu_flush_lower_wb), .io_tlu_bp_dec_tlu_flush_leak_one_wb(tlu_io_tlu_bp_dec_tlu_flush_leak_one_wb), .io_tlu_bp_dec_tlu_bpred_disable(tlu_io_tlu_bp_dec_tlu_bpred_disable), .io_tlu_ifc_dec_tlu_flush_noredir_wb(tlu_io_tlu_ifc_dec_tlu_flush_noredir_wb), @@ -59169,6 +59210,8 @@ module dec( assign decode_io_dctl_busbuff_lsu_nonblock_load_data_tag = io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag; // @[dec.scala 141:26] assign decode_io_dctl_busbuff_lsu_nonblock_load_data = io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data; // @[dec.scala 141:26] assign decode_io_dctl_dma_dma_dccm_stall_any = io_dec_dma_dctl_dma_dma_dccm_stall_any; // @[dec.scala 138:22] + assign decode_io_dec_aln_ifu_i0_cinst = io_ifu_dec_dec_aln_aln_dec_ifu_i0_cinst; // @[dec.scala 133:21] + assign decode_io_dbg_dctl_dbg_cmd_wrdata = io_dec_dbg_dbg_dctl_dbg_cmd_wrdata; // @[dec.scala 150:22] assign decode_io_dec_tlu_flush_extint = tlu_io_dec_tlu_flush_extint; // @[dec.scala 139:48] assign decode_io_dec_tlu_force_halt = tlu_io_tlu_mem_dec_tlu_force_halt; // @[dec.scala 140:48] assign decode_io_dec_i0_trigger_match_d = dec_trigger_io_dec_i0_trigger_match_d; // @[dec.scala 142:48] @@ -59199,7 +59242,7 @@ module dec( assign decode_io_lsu_store_stall_any = io_lsu_store_stall_any; // @[dec.scala 162:48] assign decode_io_exu_div_wren = io_exu_div_wren; // @[dec.scala 163:48] assign decode_io_dec_tlu_i0_kill_writeb_wb = tlu_io_dec_tlu_i0_kill_writeb_wb; // @[dec.scala 164:48] - assign decode_io_dec_tlu_flush_lower_wb = tlu_io_tlu_bp_dec_tlu_flush_lower_wb; // @[dec.scala 165:48] + assign decode_io_dec_tlu_flush_lower_wb = tlu_io_dec_tlu_flush_lower_wb; // @[dec.scala 165:48] assign decode_io_dec_tlu_i0_kill_writeb_r = tlu_io_dec_tlu_i0_kill_writeb_r; // @[dec.scala 166:48] assign decode_io_dec_tlu_flush_lower_r = tlu_io_tlu_exu_dec_tlu_flush_lower_r; // @[dec.scala 167:48] assign decode_io_dec_tlu_flush_pause_r = tlu_io_dec_tlu_flush_pause_r; // @[dec.scala 168:48] @@ -59217,8 +59260,6 @@ module dec( assign decode_io_active_clk = io_active_clk; // @[dec.scala 180:48] assign decode_io_clk_override = tlu_io_dec_tlu_dec_clk_override; // @[dec.scala 181:48] assign decode_io_scan_mode = io_scan_mode; // @[dec.scala 182:48] - assign decode_io_dec_aln_ifu_i0_cinst = io_ifu_dec_dec_aln_aln_dec_ifu_i0_cinst; // @[dec.scala 133:21] - assign decode_io_dbg_dctl_dbg_cmd_wrdata = io_dec_dbg_dbg_dctl_dbg_cmd_wrdata; // @[dec.scala 150:22] assign gpr_clock = clock; assign gpr_reset = reset; assign gpr_io_raddr0 = decode_io_dec_i0_rs1_d; // @[dec.scala 189:23] @@ -59373,6 +59414,7 @@ module dbg( input [6:0] io_dmi_reg_addr, input io_dmi_reg_wr_en, input [31:0] io_dmi_reg_wdata, + output [31:0] io_dmi_reg_rdata, input io_sb_axi_aw_ready, output io_sb_axi_aw_valid, output [31:0] io_sb_axi_aw_bits_addr, @@ -59398,12 +59440,12 @@ module dbg( output io_dbg_dec_dbg_ib_dbg_cmd_write, output [1:0] io_dbg_dec_dbg_ib_dbg_cmd_type, output [31:0] io_dbg_dec_dbg_ib_dbg_cmd_addr, - output [1:0] io_dbg_dec_dbg_dctl_dbg_cmd_wrdata, + output [31:0] io_dbg_dec_dbg_dctl_dbg_cmd_wrdata, output io_dbg_dma_dbg_ib_dbg_cmd_valid, output io_dbg_dma_dbg_ib_dbg_cmd_write, output [1:0] io_dbg_dma_dbg_ib_dbg_cmd_type, output [31:0] io_dbg_dma_dbg_ib_dbg_cmd_addr, - output [1:0] io_dbg_dma_dbg_dctl_dbg_cmd_wrdata, + output [31:0] io_dbg_dma_dbg_dctl_dbg_cmd_wrdata, output io_dbg_dma_io_dbg_dma_bubble, input io_dbg_dma_io_dma_dbg_ready, input io_dbg_bus_clk_en, @@ -59433,6 +59475,7 @@ module dbg( reg [31:0] _RAND_18; reg [31:0] _RAND_19; reg [31:0] _RAND_20; + reg [31:0] _RAND_21; `endif // RANDOMIZE_REG_INIT wire [2:0] dbg_state; wire dbg_state_en; @@ -59601,6 +59644,7 @@ module dbg( wire _T_187 = dmstatus_havereset_wren | dmstatus_havereset; // @[dbg.scala 207:16] wire _T_188 = ~dmstatus_havereset_rst; // @[dbg.scala 207:72] reg _T_190; // @[dbg.scala 207:12] + wire [31:0] haltsum0_reg = {31'h0,dmstatus_halted}; // @[Cat.scala 29:58] wire [31:0] abstractcs_reg; wire _T_192 = abstractcs_reg[12] & io_dmi_reg_en; // @[dbg.scala 213:50] wire _T_193 = io_dmi_reg_addr == 7'h16; // @[dbg.scala 213:106] @@ -59760,7 +59804,42 @@ module dbg( wire _GEN_35 = _T_316 ? _T_326 : _GEN_32; // @[Conditional.scala 39:67] wire _GEN_36 = _T_316 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] wire _GEN_38 = _T_316 ? 1'h0 : _GEN_31; // @[Conditional.scala 39:67] + wire [31:0] _T_415 = _T_197 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_416 = _T_415 & data0_reg; // @[dbg.scala 313:71] + wire [31:0] _T_419 = _T_292 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_420 = _T_419 & data1_reg; // @[dbg.scala 313:122] + wire [31:0] _T_421 = _T_416 | _T_420; // @[dbg.scala 313:83] + wire [31:0] _T_424 = _T_128 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_425 = _T_424 & dmcontrol_reg; // @[dbg.scala 314:43] + wire [31:0] _T_426 = _T_421 | _T_425; // @[dbg.scala 313:134] + wire _T_427 = io_dmi_reg_addr == 7'h11; // @[dbg.scala 314:86] + wire [31:0] _T_429 = _T_427 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_430 = _T_429 & dmstatus_reg; // @[dbg.scala 314:99] + wire [31:0] _T_431 = _T_426 | _T_430; // @[dbg.scala 314:59] + wire [31:0] _T_434 = _T_193 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_435 = _T_434 & abstractcs_reg; // @[dbg.scala 315:43] + wire [31:0] _T_436 = _T_431 | _T_435; // @[dbg.scala 314:114] + wire [31:0] _T_439 = _T_194 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_440 = _T_439 & command_reg; // @[dbg.scala 315:100] + wire [31:0] _T_441 = _T_436 | _T_440; // @[dbg.scala 315:60] + wire _T_442 = io_dmi_reg_addr == 7'h40; // @[dbg.scala 316:30] + wire [31:0] _T_444 = _T_442 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_445 = _T_444 & haltsum0_reg; // @[dbg.scala 316:43] + wire [31:0] _T_446 = _T_441 | _T_445; // @[dbg.scala 315:114] + wire [31:0] _T_449 = _T_17 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_450 = _T_449 & sbcs_reg; // @[dbg.scala 316:98] + wire [31:0] _T_451 = _T_446 | _T_450; // @[dbg.scala 316:58] + wire [31:0] _T_454 = _T_25 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_455 = _T_454 & sbaddress0_reg; // @[dbg.scala 317:43] + wire [31:0] _T_456 = _T_451 | _T_455; // @[dbg.scala 316:109] + wire [31:0] _T_459 = _T_26 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_460 = _T_459 & sbdata0_reg; // @[dbg.scala 317:100] + wire [31:0] _T_461 = _T_456 | _T_460; // @[dbg.scala 317:60] + wire [31:0] _T_464 = _T_28 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_465 = _T_464 & sbdata1_reg; // @[dbg.scala 318:43] + wire [31:0] dmi_reg_rdata_din = _T_461 | _T_465; // @[dbg.scala 317:114] reg [2:0] _T_466; // @[Reg.scala 27:20] + reg [31:0] _T_467; // @[Reg.scala 27:20] wire _T_469 = command_reg[31:24] == 8'h2; // @[dbg.scala 329:62] wire [31:0] _T_471 = {data1_reg[31:2],2'h0}; // @[Cat.scala 29:58] wire [31:0] _T_473 = {20'h0,command_reg[11:0]}; // @[Cat.scala 29:58] @@ -59941,6 +60020,7 @@ module dbg( assign io_dbg_core_rst_l = ~dmcontrol_reg[1]; // @[dbg.scala 105:21] assign io_dbg_halt_req = _T_298 ? _T_314 : _GEN_35; // @[dbg.scala 266:19 dbg.scala 272:23 dbg.scala 277:23 dbg.scala 288:23 dbg.scala 293:23 dbg.scala 298:23 dbg.scala 305:23 dbg.scala 310:23] assign io_dbg_resume_req = _T_298 ? 1'h0 : _GEN_38; // @[dbg.scala 267:21 dbg.scala 287:25] + assign io_dmi_reg_rdata = _T_467; // @[dbg.scala 325:20] assign io_sb_axi_aw_valid = _T_558 | _T_559; // @[dbg.scala 412:22] assign io_sb_axi_aw_bits_addr = sbaddress0_reg; // @[dbg.scala 413:26] assign io_sb_axi_aw_bits_region = sbaddress0_reg[31:28]; // @[dbg.scala 418:28] @@ -59958,7 +60038,7 @@ module dbg( assign io_dbg_dec_dbg_ib_dbg_cmd_write = command_reg[16]; // @[dbg.scala 332:35] assign io_dbg_dec_dbg_ib_dbg_cmd_type = _T_469 ? 2'h2 : _T_489; // @[dbg.scala 333:34] assign io_dbg_dec_dbg_ib_dbg_cmd_addr = _T_469 ? _T_471 : _T_473; // @[dbg.scala 329:34] - assign io_dbg_dec_dbg_dctl_dbg_cmd_wrdata = data0_reg[1:0]; // @[dbg.scala 330:38] + assign io_dbg_dec_dbg_dctl_dbg_cmd_wrdata = data0_reg; // @[dbg.scala 330:38] assign io_dbg_dma_dbg_ib_dbg_cmd_valid = io_dbg_dec_dbg_ib_dbg_cmd_valid; // @[dbg.scala 454:39] assign io_dbg_dma_dbg_ib_dbg_cmd_write = io_dbg_dec_dbg_ib_dbg_cmd_write; // @[dbg.scala 455:39] assign io_dbg_dma_dbg_ib_dbg_cmd_type = io_dbg_dec_dbg_ib_dbg_cmd_type; // @[dbg.scala 456:39] @@ -60093,7 +60173,9 @@ initial begin _RAND_19 = {1{`RANDOM}}; _T_466 = _RAND_19[2:0]; _RAND_20 = {1{`RANDOM}}; - _T_545 = _RAND_20[3:0]; + _T_467 = _RAND_20[31:0]; + _RAND_21 = {1{`RANDOM}}; + _T_545 = _RAND_21[3:0]; `endif // RANDOMIZE_REG_INIT if (dbg_dm_rst_l) begin temp_sbcs_22 = 1'h0; @@ -60155,6 +60237,9 @@ initial begin if (rst_temp) begin _T_466 = 3'h0; end + if (dbg_dm_rst_l) begin + _T_467 = 32'h0; + end if (dbg_dm_rst_l) begin _T_545 = 4'h0; end @@ -60384,6 +60469,13 @@ end // initial end end end + always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + _T_467 <= 32'h0; + end else if (io_dmi_reg_en) begin + _T_467 <= dmi_reg_rdata_din; + end + end always @(posedge rvclkhdr_1_io_l1clk or posedge dbg_dm_rst_l) begin if (dbg_dm_rst_l) begin _T_545 <= 4'h0; @@ -61807,6 +61899,7 @@ module exu( input io_dec_exu_ib_exu_dec_debug_wdata_rs1_d, input [31:0] io_dec_exu_gpr_exu_gpr_i0_rs1_d, input [31:0] io_dec_exu_gpr_exu_gpr_i0_rs2_d, + output [7:0] io_exu_bp_exu_i0_br_index_r, output [7:0] io_exu_bp_exu_i0_br_fghr_r, output io_exu_bp_exu_i0_br_way_r, output io_exu_bp_exu_mp_pkt_bits_misp, @@ -62348,6 +62441,7 @@ module exu( assign io_dec_exu_tlu_exu_exu_pmu_i0_br_ataken = i0_pp_r_bits_ataken; // @[exu.scala 183:47] assign io_dec_exu_tlu_exu_exu_pmu_i0_pc4 = i0_pp_r_bits_pc4; // @[exu.scala 184:47] assign io_dec_exu_tlu_exu_exu_npc_r = _T_188[30:0]; // @[exu.scala 233:66] + assign io_exu_bp_exu_i0_br_index_r = io_dec_exu_tlu_exu_exu_i0_br_index_r; // @[exu.scala 211:31] assign io_exu_bp_exu_i0_br_fghr_r = predpipe_r[20:13]; // @[exu.scala 209:58] assign io_exu_bp_exu_i0_br_way_r = i0_pp_r_bits_way; // @[exu.scala 204:43] assign io_exu_bp_exu_mp_pkt_bits_misp = i0_flush_upper_x & i0_predict_p_x_bits_misp; // @[exu.scala 219:48] @@ -78424,7 +78518,7 @@ module dma_ctrl( input io_dbg_dma_dbg_ib_dbg_cmd_write, input [1:0] io_dbg_dma_dbg_ib_dbg_cmd_type, input [31:0] io_dbg_dma_dbg_ib_dbg_cmd_addr, - input [1:0] io_dbg_dma_dbg_dctl_dbg_cmd_wrdata, + input [31:0] io_dbg_dma_dbg_dctl_dbg_cmd_wrdata, input io_dbg_dma_io_dbg_dma_bubble, output io_dbg_dma_io_dma_dbg_ready, output io_dec_dma_dctl_dma_dma_dccm_stall_any, @@ -78605,18 +78699,18 @@ module dma_ctrl( wire rvclkhdr_9_io_clk; // @[lib.scala 368:23] wire rvclkhdr_9_io_en; // @[lib.scala 368:23] wire rvclkhdr_9_io_scan_mode; // @[lib.scala 368:23] - wire dma_buffer_c1cgc_io_l1clk; // @[dma_ctrl.scala 392:32] - wire dma_buffer_c1cgc_io_clk; // @[dma_ctrl.scala 392:32] - wire dma_buffer_c1cgc_io_en; // @[dma_ctrl.scala 392:32] - wire dma_buffer_c1cgc_io_scan_mode; // @[dma_ctrl.scala 392:32] - wire dma_free_cgc_io_l1clk; // @[dma_ctrl.scala 398:28] - wire dma_free_cgc_io_clk; // @[dma_ctrl.scala 398:28] - wire dma_free_cgc_io_en; // @[dma_ctrl.scala 398:28] - wire dma_free_cgc_io_scan_mode; // @[dma_ctrl.scala 398:28] - wire dma_bus_cgc_io_l1clk; // @[dma_ctrl.scala 404:27] - wire dma_bus_cgc_io_clk; // @[dma_ctrl.scala 404:27] - wire dma_bus_cgc_io_en; // @[dma_ctrl.scala 404:27] - wire dma_bus_cgc_io_scan_mode; // @[dma_ctrl.scala 404:27] + wire dma_buffer_c1cgc_io_l1clk; // @[dma_ctrl.scala 389:32] + wire dma_buffer_c1cgc_io_clk; // @[dma_ctrl.scala 389:32] + wire dma_buffer_c1cgc_io_en; // @[dma_ctrl.scala 389:32] + wire dma_buffer_c1cgc_io_scan_mode; // @[dma_ctrl.scala 389:32] + wire dma_free_cgc_io_l1clk; // @[dma_ctrl.scala 395:28] + wire dma_free_cgc_io_clk; // @[dma_ctrl.scala 395:28] + wire dma_free_cgc_io_en; // @[dma_ctrl.scala 395:28] + wire dma_free_cgc_io_scan_mode; // @[dma_ctrl.scala 395:28] + wire dma_bus_cgc_io_l1clk; // @[dma_ctrl.scala 401:27] + wire dma_bus_cgc_io_clk; // @[dma_ctrl.scala 401:27] + wire dma_bus_cgc_io_en; // @[dma_ctrl.scala 401:27] + wire dma_bus_cgc_io_scan_mode; // @[dma_ctrl.scala 401:27] wire rvclkhdr_10_io_l1clk; // @[lib.scala 368:23] wire rvclkhdr_10_io_clk; // @[lib.scala 368:23] wire rvclkhdr_10_io_en; // @[lib.scala 368:23] @@ -78629,395 +78723,410 @@ module dma_ctrl( wire rvclkhdr_12_io_clk; // @[lib.scala 368:23] wire rvclkhdr_12_io_en; // @[lib.scala 368:23] wire rvclkhdr_12_io_scan_mode; // @[lib.scala 368:23] - wire dma_free_clk = dma_free_cgc_io_l1clk; // @[dma_ctrl.scala 175:26 dma_ctrl.scala 402:29] + wire dma_free_clk = dma_free_cgc_io_l1clk; // @[dma_ctrl.scala 168:26 dma_ctrl.scala 399:29] reg [2:0] RdPtr; // @[Reg.scala 27:20] reg [31:0] fifo_addr_4; // @[lib.scala 374:16] reg [31:0] fifo_addr_3; // @[lib.scala 374:16] reg [31:0] fifo_addr_2; // @[lib.scala 374:16] reg [31:0] fifo_addr_1; // @[lib.scala 374:16] reg [31:0] fifo_addr_0; // @[lib.scala 374:16] - wire [31:0] _GEN_60 = 3'h1 == RdPtr ? fifo_addr_1 : fifo_addr_0; // @[dma_ctrl.scala 358:20] - wire [31:0] _GEN_61 = 3'h2 == RdPtr ? fifo_addr_2 : _GEN_60; // @[dma_ctrl.scala 358:20] - wire [31:0] _GEN_62 = 3'h3 == RdPtr ? fifo_addr_3 : _GEN_61; // @[dma_ctrl.scala 358:20] - wire [31:0] dma_mem_addr_int = 3'h4 == RdPtr ? fifo_addr_4 : _GEN_62; // @[dma_ctrl.scala 358:20] + wire [31:0] _GEN_60 = 3'h1 == RdPtr ? fifo_addr_1 : fifo_addr_0; // @[dma_ctrl.scala 355:20] + wire [31:0] _GEN_61 = 3'h2 == RdPtr ? fifo_addr_2 : _GEN_60; // @[dma_ctrl.scala 355:20] + wire [31:0] _GEN_62 = 3'h3 == RdPtr ? fifo_addr_3 : _GEN_61; // @[dma_ctrl.scala 355:20] + wire [31:0] dma_mem_addr_int = 3'h4 == RdPtr ? fifo_addr_4 : _GEN_62; // @[dma_ctrl.scala 355:20] wire dma_mem_addr_in_dccm = dma_mem_addr_int[31:16] == 16'hf004; // @[lib.scala 361:39] wire dma_mem_addr_in_pic = dma_mem_addr_int[31:15] == 17'h1e018; // @[lib.scala 361:39] wire dma_mem_addr_in_iccm = dma_mem_addr_int[31:16] == 16'hee00; // @[lib.scala 361:39] - wire dma_bus_clk = dma_bus_cgc_io_l1clk; // @[dma_ctrl.scala 177:25 dma_ctrl.scala 408:28] - reg wrbuf_vld; // @[dma_ctrl.scala 418:59] - reg wrbuf_data_vld; // @[dma_ctrl.scala 420:59] - wire _T_1240 = wrbuf_vld & wrbuf_data_vld; // @[dma_ctrl.scala 476:43] - reg rdbuf_vld; // @[dma_ctrl.scala 444:47] - wire _T_1241 = _T_1240 & rdbuf_vld; // @[dma_ctrl.scala 476:60] + wire dma_bus_clk = dma_bus_cgc_io_l1clk; // @[dma_ctrl.scala 170:25 dma_ctrl.scala 405:28] + reg wrbuf_vld; // @[dma_ctrl.scala 415:59] + reg wrbuf_data_vld; // @[dma_ctrl.scala 417:59] + wire _T_1260 = wrbuf_vld & wrbuf_data_vld; // @[dma_ctrl.scala 473:43] + reg rdbuf_vld; // @[dma_ctrl.scala 441:47] + wire _T_1261 = _T_1260 & rdbuf_vld; // @[dma_ctrl.scala 473:60] reg axi_mstr_priority; // @[Reg.scala 27:20] - wire axi_mstr_sel = _T_1241 ? axi_mstr_priority : _T_1240; // @[dma_ctrl.scala 476:31] + wire axi_mstr_sel = _T_1261 ? axi_mstr_priority : _T_1260; // @[dma_ctrl.scala 473:31] reg [31:0] wrbuf_addr; // @[lib.scala 374:16] reg [31:0] rdbuf_addr; // @[lib.scala 374:16] - wire [31:0] bus_cmd_addr = axi_mstr_sel ? wrbuf_addr : rdbuf_addr; // @[dma_ctrl.scala 466:43] - wire [2:0] _GEN_90 = {{2'd0}, io_dbg_dma_dbg_ib_dbg_cmd_addr[2]}; // @[dma_ctrl.scala 202:91] - wire [3:0] _T_17 = 3'h4 * _GEN_90; // @[dma_ctrl.scala 202:91] - wire [18:0] _T_18 = 19'hf << _T_17; // @[dma_ctrl.scala 202:83] + wire [31:0] bus_cmd_addr = axi_mstr_sel ? wrbuf_addr : rdbuf_addr; // @[dma_ctrl.scala 463:43] + wire [2:0] _GEN_90 = {{2'd0}, io_dbg_dma_dbg_ib_dbg_cmd_addr[2]}; // @[dma_ctrl.scala 195:91] + wire [3:0] _T_17 = 3'h4 * _GEN_90; // @[dma_ctrl.scala 195:91] + wire [18:0] _T_18 = 19'hf << _T_17; // @[dma_ctrl.scala 195:83] reg [7:0] wrbuf_byteen; // @[Reg.scala 27:20] - wire [18:0] _T_20 = io_dbg_dma_dbg_ib_dbg_cmd_valid ? _T_18 : {{11'd0}, wrbuf_byteen}; // @[dma_ctrl.scala 202:34] + wire [18:0] _T_20 = io_dbg_dma_dbg_ib_dbg_cmd_valid ? _T_18 : {{11'd0}, wrbuf_byteen}; // @[dma_ctrl.scala 195:34] wire [2:0] _T_23 = {1'h0,io_dbg_cmd_size}; // @[Cat.scala 29:58] reg [2:0] wrbuf_sz; // @[Reg.scala 27:20] reg [2:0] rdbuf_sz; // @[Reg.scala 27:20] - wire [2:0] bus_cmd_sz = axi_mstr_sel ? wrbuf_sz : rdbuf_sz; // @[dma_ctrl.scala 467:45] - wire [2:0] fifo_sz_in = io_dbg_dma_dbg_ib_dbg_cmd_valid ? _T_23 : bus_cmd_sz; // @[dma_ctrl.scala 204:33] - wire fifo_write_in = io_dbg_dma_dbg_ib_dbg_cmd_valid ? io_dbg_dma_dbg_ib_dbg_cmd_write : axi_mstr_sel; // @[dma_ctrl.scala 206:33] - wire bus_cmd_valid = _T_1240 | rdbuf_vld; // @[dma_ctrl.scala 462:69] - reg fifo_full; // @[dma_ctrl.scala 376:12] - reg dbg_dma_bubble_bus; // @[dma_ctrl.scala 380:12] - wire _T_989 = fifo_full | dbg_dma_bubble_bus; // @[dma_ctrl.scala 306:39] - wire dma_fifo_ready = ~_T_989; // @[dma_ctrl.scala 306:27] - wire axi_mstr_prty_en = bus_cmd_valid & dma_fifo_ready; // @[dma_ctrl.scala 463:54] - wire _T_28 = axi_mstr_prty_en & io_dma_bus_clk_en; // @[dma_ctrl.scala 213:80] - wire _T_31 = io_dbg_dma_dbg_ib_dbg_cmd_valid & io_dbg_dma_dbg_ib_dbg_cmd_type[1]; // @[dma_ctrl.scala 213:136] - wire _T_32 = _T_28 | _T_31; // @[dma_ctrl.scala 213:101] + wire [2:0] bus_cmd_sz = axi_mstr_sel ? wrbuf_sz : rdbuf_sz; // @[dma_ctrl.scala 464:45] + wire [2:0] fifo_sz_in = io_dbg_dma_dbg_ib_dbg_cmd_valid ? _T_23 : bus_cmd_sz; // @[dma_ctrl.scala 197:33] + wire fifo_write_in = io_dbg_dma_dbg_ib_dbg_cmd_valid ? io_dbg_dma_dbg_ib_dbg_cmd_write : axi_mstr_sel; // @[dma_ctrl.scala 199:33] + wire bus_cmd_valid = _T_1260 | rdbuf_vld; // @[dma_ctrl.scala 459:69] + reg fifo_full; // @[dma_ctrl.scala 373:12] + reg dbg_dma_bubble_bus; // @[dma_ctrl.scala 377:12] + wire _T_989 = fifo_full | dbg_dma_bubble_bus; // @[dma_ctrl.scala 299:39] + wire dma_fifo_ready = ~_T_989; // @[dma_ctrl.scala 299:27] + wire axi_mstr_prty_en = bus_cmd_valid & dma_fifo_ready; // @[dma_ctrl.scala 460:54] + wire _T_28 = axi_mstr_prty_en & io_dma_bus_clk_en; // @[dma_ctrl.scala 206:80] + wire _T_31 = io_dbg_dma_dbg_ib_dbg_cmd_valid & io_dbg_dma_dbg_ib_dbg_cmd_type[1]; // @[dma_ctrl.scala 206:136] + wire _T_32 = _T_28 | _T_31; // @[dma_ctrl.scala 206:101] reg [2:0] WrPtr; // @[Reg.scala 27:20] - wire _T_33 = 3'h0 == WrPtr; // @[dma_ctrl.scala 213:188] - wire _T_34 = _T_32 & _T_33; // @[dma_ctrl.scala 213:181] - wire _T_41 = 3'h1 == WrPtr; // @[dma_ctrl.scala 213:188] - wire _T_42 = _T_32 & _T_41; // @[dma_ctrl.scala 213:181] - wire _T_49 = 3'h2 == WrPtr; // @[dma_ctrl.scala 213:188] - wire _T_50 = _T_32 & _T_49; // @[dma_ctrl.scala 213:181] - wire _T_57 = 3'h3 == WrPtr; // @[dma_ctrl.scala 213:188] - wire _T_58 = _T_32 & _T_57; // @[dma_ctrl.scala 213:181] - wire _T_65 = 3'h4 == WrPtr; // @[dma_ctrl.scala 213:188] - wire _T_66 = _T_32 & _T_65; // @[dma_ctrl.scala 213:181] + wire _T_33 = 3'h0 == WrPtr; // @[dma_ctrl.scala 206:188] + wire _T_34 = _T_32 & _T_33; // @[dma_ctrl.scala 206:181] + wire _T_41 = 3'h1 == WrPtr; // @[dma_ctrl.scala 206:188] + wire _T_42 = _T_32 & _T_41; // @[dma_ctrl.scala 206:181] + wire _T_49 = 3'h2 == WrPtr; // @[dma_ctrl.scala 206:188] + wire _T_50 = _T_32 & _T_49; // @[dma_ctrl.scala 206:181] + wire _T_57 = 3'h3 == WrPtr; // @[dma_ctrl.scala 206:188] + wire _T_58 = _T_32 & _T_57; // @[dma_ctrl.scala 206:181] + wire _T_65 = 3'h4 == WrPtr; // @[dma_ctrl.scala 206:188] + wire _T_66 = _T_32 & _T_65; // @[dma_ctrl.scala 206:181] wire [4:0] fifo_cmd_en = {_T_66,_T_58,_T_50,_T_42,_T_34}; // @[Cat.scala 29:58] - wire _T_71 = axi_mstr_prty_en & fifo_write_in; // @[dma_ctrl.scala 215:73] - wire _T_72 = _T_71 & io_dma_bus_clk_en; // @[dma_ctrl.scala 215:89] - wire _T_75 = _T_31 & io_dbg_dma_dbg_ib_dbg_cmd_write; // @[dma_ctrl.scala 215:181] - wire _T_76 = _T_72 | _T_75; // @[dma_ctrl.scala 215:110] - wire _T_78 = _T_76 & _T_33; // @[dma_ctrl.scala 215:217] - reg _T_598; // @[dma_ctrl.scala 233:82] - reg _T_591; // @[dma_ctrl.scala 233:82] - reg _T_584; // @[dma_ctrl.scala 233:82] - reg _T_577; // @[dma_ctrl.scala 233:82] - reg _T_570; // @[dma_ctrl.scala 233:82] + wire _T_71 = axi_mstr_prty_en & fifo_write_in; // @[dma_ctrl.scala 208:73] + wire _T_72 = _T_71 & io_dma_bus_clk_en; // @[dma_ctrl.scala 208:89] + wire _T_75 = _T_31 & io_dbg_dma_dbg_ib_dbg_cmd_write; // @[dma_ctrl.scala 208:181] + wire _T_76 = _T_72 | _T_75; // @[dma_ctrl.scala 208:110] + wire _T_78 = _T_76 & _T_33; // @[dma_ctrl.scala 208:217] + reg _T_598; // @[dma_ctrl.scala 226:82] + reg _T_591; // @[dma_ctrl.scala 226:82] + reg _T_584; // @[dma_ctrl.scala 226:82] + reg _T_577; // @[dma_ctrl.scala 226:82] + reg _T_570; // @[dma_ctrl.scala 226:82] wire [4:0] fifo_valid = {_T_598,_T_591,_T_584,_T_577,_T_570}; // @[Cat.scala 29:58] - wire [4:0] _T_990 = fifo_valid >> RdPtr; // @[dma_ctrl.scala 310:38] - reg _T_760; // @[dma_ctrl.scala 241:89] - reg _T_753; // @[dma_ctrl.scala 241:89] - reg _T_746; // @[dma_ctrl.scala 241:89] - reg _T_739; // @[dma_ctrl.scala 241:89] - reg _T_732; // @[dma_ctrl.scala 241:89] + wire [4:0] _T_990 = fifo_valid >> RdPtr; // @[dma_ctrl.scala 303:38] + reg _T_760; // @[dma_ctrl.scala 234:89] + reg _T_753; // @[dma_ctrl.scala 234:89] + reg _T_746; // @[dma_ctrl.scala 234:89] + reg _T_739; // @[dma_ctrl.scala 234:89] + reg _T_732; // @[dma_ctrl.scala 234:89] wire [4:0] fifo_done = {_T_760,_T_753,_T_746,_T_739,_T_732}; // @[Cat.scala 29:58] - wire [4:0] _T_992 = fifo_done >> RdPtr; // @[dma_ctrl.scala 310:58] - wire _T_994 = ~_T_992[0]; // @[dma_ctrl.scala 310:48] - wire _T_995 = _T_990[0] & _T_994; // @[dma_ctrl.scala 310:46] - wire dma_buffer_c1_clk = dma_buffer_c1cgc_io_l1clk; // @[dma_ctrl.scala 179:31 dma_ctrl.scala 396:33] + wire [4:0] _T_992 = fifo_done >> RdPtr; // @[dma_ctrl.scala 303:58] + wire _T_994 = ~_T_992[0]; // @[dma_ctrl.scala 303:48] + wire _T_995 = _T_990[0] & _T_994; // @[dma_ctrl.scala 303:46] + wire dma_buffer_c1_clk = dma_buffer_c1cgc_io_l1clk; // @[dma_ctrl.scala 172:31 dma_ctrl.scala 393:33] reg _T_886; // @[Reg.scala 27:20] reg _T_884; // @[Reg.scala 27:20] reg _T_882; // @[Reg.scala 27:20] reg _T_880; // @[Reg.scala 27:20] reg _T_878; // @[Reg.scala 27:20] wire [4:0] fifo_dbg = {_T_886,_T_884,_T_882,_T_880,_T_878}; // @[Cat.scala 29:58] - wire [4:0] _T_996 = fifo_dbg >> RdPtr; // @[dma_ctrl.scala 310:77] - wire _T_998 = ~_T_996[0]; // @[dma_ctrl.scala 310:68] - wire _T_999 = _T_995 & _T_998; // @[dma_ctrl.scala 310:66] - wire _T_1000 = dma_mem_addr_in_dccm | dma_mem_addr_in_iccm; // @[dma_ctrl.scala 310:111] - wire _T_1001 = ~_T_1000; // @[dma_ctrl.scala 310:88] - wire dma_address_error = _T_999 & _T_1001; // @[dma_ctrl.scala 310:85] - wire _T_1009 = ~dma_address_error; // @[dma_ctrl.scala 311:68] - wire _T_1010 = _T_995 & _T_1009; // @[dma_ctrl.scala 311:66] + wire [4:0] _T_996 = fifo_dbg >> RdPtr; // @[dma_ctrl.scala 303:77] + wire _T_998 = ~_T_996[0]; // @[dma_ctrl.scala 303:68] + wire _T_999 = _T_995 & _T_998; // @[dma_ctrl.scala 303:66] + wire _T_1000 = dma_mem_addr_in_dccm | dma_mem_addr_in_iccm; // @[dma_ctrl.scala 303:111] + wire _T_1001 = ~_T_1000; // @[dma_ctrl.scala 303:88] + wire dma_address_error = _T_999 & _T_1001; // @[dma_ctrl.scala 303:85] + wire _T_1009 = ~dma_address_error; // @[dma_ctrl.scala 304:68] + wire _T_1010 = _T_995 & _T_1009; // @[dma_ctrl.scala 304:66] reg [2:0] fifo_sz_4; // @[Reg.scala 27:20] reg [2:0] fifo_sz_3; // @[Reg.scala 27:20] reg [2:0] fifo_sz_2; // @[Reg.scala 27:20] reg [2:0] fifo_sz_1; // @[Reg.scala 27:20] reg [2:0] fifo_sz_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_65 = 3'h1 == RdPtr ? fifo_sz_1 : fifo_sz_0; // @[dma_ctrl.scala 359:20] - wire [2:0] _GEN_66 = 3'h2 == RdPtr ? fifo_sz_2 : _GEN_65; // @[dma_ctrl.scala 359:20] - wire [2:0] _GEN_67 = 3'h3 == RdPtr ? fifo_sz_3 : _GEN_66; // @[dma_ctrl.scala 359:20] - wire [2:0] dma_mem_sz_int = 3'h4 == RdPtr ? fifo_sz_4 : _GEN_67; // @[dma_ctrl.scala 359:20] - wire _T_1012 = dma_mem_sz_int == 3'h1; // @[dma_ctrl.scala 312:28] - wire _T_1014 = _T_1012 & dma_mem_addr_int[0]; // @[dma_ctrl.scala 312:37] - wire _T_1016 = dma_mem_sz_int == 3'h2; // @[dma_ctrl.scala 313:29] - wire _T_1018 = |dma_mem_addr_int[1:0]; // @[dma_ctrl.scala 313:64] - wire _T_1019 = _T_1016 & _T_1018; // @[dma_ctrl.scala 313:38] - wire _T_1020 = _T_1014 | _T_1019; // @[dma_ctrl.scala 312:60] - wire _T_1022 = dma_mem_sz_int == 3'h3; // @[dma_ctrl.scala 314:29] - wire _T_1024 = |dma_mem_addr_int[2:0]; // @[dma_ctrl.scala 314:64] - wire _T_1025 = _T_1022 & _T_1024; // @[dma_ctrl.scala 314:38] - wire _T_1026 = _T_1020 | _T_1025; // @[dma_ctrl.scala 313:70] - wire _T_1028 = dma_mem_sz_int[1:0] == 2'h2; // @[dma_ctrl.scala 315:55] - wire _T_1030 = dma_mem_sz_int[1:0] == 2'h3; // @[dma_ctrl.scala 315:88] - wire _T_1031 = _T_1028 | _T_1030; // @[dma_ctrl.scala 315:64] - wire _T_1032 = ~_T_1031; // @[dma_ctrl.scala 315:31] - wire _T_1033 = dma_mem_addr_in_iccm & _T_1032; // @[dma_ctrl.scala 315:29] - wire _T_1034 = _T_1026 | _T_1033; // @[dma_ctrl.scala 314:70] - wire _T_1035 = dma_mem_addr_in_dccm & io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[dma_ctrl.scala 316:29] - wire _T_1042 = _T_1035 & _T_1032; // @[dma_ctrl.scala 316:68] - wire _T_1043 = _T_1034 | _T_1042; // @[dma_ctrl.scala 315:108] - wire _T_1046 = io_lsu_dma_dma_lsc_ctl_dma_mem_write & _T_1016; // @[dma_ctrl.scala 317:45] - wire _T_1048 = dma_mem_addr_int[2:0] == 3'h0; // @[dma_ctrl.scala 317:114] + wire [2:0] _GEN_65 = 3'h1 == RdPtr ? fifo_sz_1 : fifo_sz_0; // @[dma_ctrl.scala 356:20] + wire [2:0] _GEN_66 = 3'h2 == RdPtr ? fifo_sz_2 : _GEN_65; // @[dma_ctrl.scala 356:20] + wire [2:0] _GEN_67 = 3'h3 == RdPtr ? fifo_sz_3 : _GEN_66; // @[dma_ctrl.scala 356:20] + wire [2:0] dma_mem_sz_int = 3'h4 == RdPtr ? fifo_sz_4 : _GEN_67; // @[dma_ctrl.scala 356:20] + wire _T_1012 = dma_mem_sz_int == 3'h1; // @[dma_ctrl.scala 305:28] + wire _T_1014 = _T_1012 & dma_mem_addr_int[0]; // @[dma_ctrl.scala 305:37] + wire _T_1016 = dma_mem_sz_int == 3'h2; // @[dma_ctrl.scala 306:29] + wire _T_1018 = |dma_mem_addr_int[1:0]; // @[dma_ctrl.scala 306:64] + wire _T_1019 = _T_1016 & _T_1018; // @[dma_ctrl.scala 306:38] + wire _T_1020 = _T_1014 | _T_1019; // @[dma_ctrl.scala 305:60] + wire _T_1022 = dma_mem_sz_int == 3'h3; // @[dma_ctrl.scala 307:29] + wire _T_1024 = |dma_mem_addr_int[2:0]; // @[dma_ctrl.scala 307:64] + wire _T_1025 = _T_1022 & _T_1024; // @[dma_ctrl.scala 307:38] + wire _T_1026 = _T_1020 | _T_1025; // @[dma_ctrl.scala 306:70] + wire _T_1028 = dma_mem_sz_int[1:0] == 2'h2; // @[dma_ctrl.scala 308:55] + wire _T_1030 = dma_mem_sz_int[1:0] == 2'h3; // @[dma_ctrl.scala 308:88] + wire _T_1031 = _T_1028 | _T_1030; // @[dma_ctrl.scala 308:64] + wire _T_1032 = ~_T_1031; // @[dma_ctrl.scala 308:31] + wire _T_1033 = dma_mem_addr_in_iccm & _T_1032; // @[dma_ctrl.scala 308:29] + wire _T_1034 = _T_1026 | _T_1033; // @[dma_ctrl.scala 307:70] + wire _T_1035 = dma_mem_addr_in_dccm & io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[dma_ctrl.scala 309:29] + wire _T_1042 = _T_1035 & _T_1032; // @[dma_ctrl.scala 309:68] + wire _T_1043 = _T_1034 | _T_1042; // @[dma_ctrl.scala 308:108] + wire _T_1046 = io_lsu_dma_dma_lsc_ctl_dma_mem_write & _T_1016; // @[dma_ctrl.scala 310:45] + wire _T_1048 = dma_mem_addr_int[2:0] == 3'h0; // @[dma_ctrl.scala 310:114] reg [7:0] fifo_byteen_4; // @[Reg.scala 27:20] reg [7:0] fifo_byteen_3; // @[Reg.scala 27:20] reg [7:0] fifo_byteen_2; // @[Reg.scala 27:20] reg [7:0] fifo_byteen_1; // @[Reg.scala 27:20] reg [7:0] fifo_byteen_0; // @[Reg.scala 27:20] - wire [7:0] _GEN_70 = 3'h1 == RdPtr ? fifo_byteen_1 : fifo_byteen_0; // @[dma_ctrl.scala 362:20] - wire [7:0] _GEN_71 = 3'h2 == RdPtr ? fifo_byteen_2 : _GEN_70; // @[dma_ctrl.scala 362:20] - wire [7:0] _GEN_72 = 3'h3 == RdPtr ? fifo_byteen_3 : _GEN_71; // @[dma_ctrl.scala 362:20] - wire [7:0] dma_mem_byteen = 3'h4 == RdPtr ? fifo_byteen_4 : _GEN_72; // @[dma_ctrl.scala 362:20] - wire [3:0] _T_1059 = _T_1048 ? dma_mem_byteen[3:0] : 4'h0; // @[Mux.scala 27:72] - wire _T_1051 = dma_mem_addr_int[2:0] == 3'h1; // @[dma_ctrl.scala 318:32] - wire [3:0] _T_1060 = _T_1051 ? dma_mem_byteen[4:1] : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_1063 = _T_1059 | _T_1060; // @[Mux.scala 27:72] - wire _T_1054 = dma_mem_addr_int[2:0] == 3'h2; // @[dma_ctrl.scala 319:32] - wire [3:0] _T_1061 = _T_1054 ? dma_mem_byteen[5:2] : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_1064 = _T_1063 | _T_1061; // @[Mux.scala 27:72] - wire _T_1057 = dma_mem_addr_int[2:0] == 3'h3; // @[dma_ctrl.scala 320:32] - wire [3:0] _T_1062 = _T_1057 ? dma_mem_byteen[6:3] : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_1065 = _T_1064 | _T_1062; // @[Mux.scala 27:72] - wire _T_1067 = _T_1065 != 4'hf; // @[dma_ctrl.scala 320:68] - wire _T_1068 = _T_1046 & _T_1067; // @[dma_ctrl.scala 317:78] - wire _T_1069 = _T_1043 | _T_1068; // @[dma_ctrl.scala 316:145] - wire _T_1072 = io_lsu_dma_dma_lsc_ctl_dma_mem_write & _T_1022; // @[dma_ctrl.scala 321:45] - wire _T_1074 = dma_mem_byteen == 8'hf; // @[dma_ctrl.scala 321:103] - wire _T_1076 = dma_mem_byteen == 8'hf0; // @[dma_ctrl.scala 321:139] - wire _T_1077 = _T_1074 | _T_1076; // @[dma_ctrl.scala 321:116] - wire _T_1079 = dma_mem_byteen == 8'hff; // @[dma_ctrl.scala 321:175] - wire _T_1080 = _T_1077 | _T_1079; // @[dma_ctrl.scala 321:152] - wire _T_1081 = ~_T_1080; // @[dma_ctrl.scala 321:80] - wire _T_1082 = _T_1072 & _T_1081; // @[dma_ctrl.scala 321:78] - wire _T_1083 = _T_1069 | _T_1082; // @[dma_ctrl.scala 320:79] - wire dma_alignment_error = _T_1010 & _T_1083; // @[dma_ctrl.scala 311:87] - wire _T_79 = dma_address_error | dma_alignment_error; // @[dma_ctrl.scala 215:258] - wire _T_80 = 3'h0 == RdPtr; // @[dma_ctrl.scala 215:288] - wire _T_81 = _T_79 & _T_80; // @[dma_ctrl.scala 215:281] - wire _T_82 = _T_78 | _T_81; // @[dma_ctrl.scala 215:236] - wire _T_83 = 3'h0 == io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag; // @[dma_ctrl.scala 215:350] - wire _T_84 = io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid & _T_83; // @[dma_ctrl.scala 215:343] - wire _T_85 = _T_82 | _T_84; // @[dma_ctrl.scala 215:300] - wire _T_86 = 3'h0 == io_iccm_dma_rtag; // @[dma_ctrl.scala 215:423] - wire _T_87 = io_iccm_dma_rvalid & _T_86; // @[dma_ctrl.scala 215:416] - wire _T_88 = _T_85 | _T_87; // @[dma_ctrl.scala 215:394] - wire _T_96 = _T_76 & _T_41; // @[dma_ctrl.scala 215:217] - wire _T_98 = 3'h1 == RdPtr; // @[dma_ctrl.scala 215:288] - wire _T_99 = _T_79 & _T_98; // @[dma_ctrl.scala 215:281] - wire _T_100 = _T_96 | _T_99; // @[dma_ctrl.scala 215:236] - wire _T_101 = 3'h1 == io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag; // @[dma_ctrl.scala 215:350] - wire _T_102 = io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid & _T_101; // @[dma_ctrl.scala 215:343] - wire _T_103 = _T_100 | _T_102; // @[dma_ctrl.scala 215:300] - wire _T_104 = 3'h1 == io_iccm_dma_rtag; // @[dma_ctrl.scala 215:423] - wire _T_105 = io_iccm_dma_rvalid & _T_104; // @[dma_ctrl.scala 215:416] - wire _T_106 = _T_103 | _T_105; // @[dma_ctrl.scala 215:394] - wire _T_114 = _T_76 & _T_49; // @[dma_ctrl.scala 215:217] - wire _T_116 = 3'h2 == RdPtr; // @[dma_ctrl.scala 215:288] - wire _T_117 = _T_79 & _T_116; // @[dma_ctrl.scala 215:281] - wire _T_118 = _T_114 | _T_117; // @[dma_ctrl.scala 215:236] - wire _T_119 = 3'h2 == io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag; // @[dma_ctrl.scala 215:350] - wire _T_120 = io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid & _T_119; // @[dma_ctrl.scala 215:343] - wire _T_121 = _T_118 | _T_120; // @[dma_ctrl.scala 215:300] - wire _T_122 = 3'h2 == io_iccm_dma_rtag; // @[dma_ctrl.scala 215:423] - wire _T_123 = io_iccm_dma_rvalid & _T_122; // @[dma_ctrl.scala 215:416] - wire _T_124 = _T_121 | _T_123; // @[dma_ctrl.scala 215:394] - wire _T_132 = _T_76 & _T_57; // @[dma_ctrl.scala 215:217] - wire _T_134 = 3'h3 == RdPtr; // @[dma_ctrl.scala 215:288] - wire _T_135 = _T_79 & _T_134; // @[dma_ctrl.scala 215:281] - wire _T_136 = _T_132 | _T_135; // @[dma_ctrl.scala 215:236] - wire _T_137 = 3'h3 == io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag; // @[dma_ctrl.scala 215:350] - wire _T_138 = io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid & _T_137; // @[dma_ctrl.scala 215:343] - wire _T_139 = _T_136 | _T_138; // @[dma_ctrl.scala 215:300] - wire _T_140 = 3'h3 == io_iccm_dma_rtag; // @[dma_ctrl.scala 215:423] - wire _T_141 = io_iccm_dma_rvalid & _T_140; // @[dma_ctrl.scala 215:416] - wire _T_142 = _T_139 | _T_141; // @[dma_ctrl.scala 215:394] - wire _T_150 = _T_76 & _T_65; // @[dma_ctrl.scala 215:217] - wire _T_152 = 3'h4 == RdPtr; // @[dma_ctrl.scala 215:288] - wire _T_153 = _T_79 & _T_152; // @[dma_ctrl.scala 215:281] - wire _T_154 = _T_150 | _T_153; // @[dma_ctrl.scala 215:236] - wire _T_155 = 3'h4 == io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag; // @[dma_ctrl.scala 215:350] - wire _T_156 = io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid & _T_155; // @[dma_ctrl.scala 215:343] - wire _T_157 = _T_154 | _T_156; // @[dma_ctrl.scala 215:300] - wire _T_158 = 3'h4 == io_iccm_dma_rtag; // @[dma_ctrl.scala 215:423] - wire _T_159 = io_iccm_dma_rvalid & _T_158; // @[dma_ctrl.scala 215:416] - wire _T_160 = _T_157 | _T_159; // @[dma_ctrl.scala 215:394] + wire [7:0] _GEN_70 = 3'h1 == RdPtr ? fifo_byteen_1 : fifo_byteen_0; // @[dma_ctrl.scala 359:20] + wire [7:0] _GEN_71 = 3'h2 == RdPtr ? fifo_byteen_2 : _GEN_70; // @[dma_ctrl.scala 359:20] + wire [7:0] _GEN_72 = 3'h3 == RdPtr ? fifo_byteen_3 : _GEN_71; // @[dma_ctrl.scala 359:20] + wire [7:0] dma_mem_byteen = 3'h4 == RdPtr ? fifo_byteen_4 : _GEN_72; // @[dma_ctrl.scala 359:20] + wire [3:0] _T_1071 = _T_1048 ? dma_mem_byteen[3:0] : 4'h0; // @[Mux.scala 27:72] + wire _T_1051 = dma_mem_addr_int[2:0] == 3'h1; // @[dma_ctrl.scala 311:32] + wire [3:0] _T_1072 = _T_1051 ? dma_mem_byteen[4:1] : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1079 = _T_1071 | _T_1072; // @[Mux.scala 27:72] + wire _T_1054 = dma_mem_addr_int[2:0] == 3'h2; // @[dma_ctrl.scala 312:32] + wire [3:0] _T_1073 = _T_1054 ? dma_mem_byteen[5:2] : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1080 = _T_1079 | _T_1073; // @[Mux.scala 27:72] + wire _T_1057 = dma_mem_addr_int[2:0] == 3'h3; // @[dma_ctrl.scala 313:32] + wire [3:0] _T_1074 = _T_1057 ? dma_mem_byteen[6:3] : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1081 = _T_1080 | _T_1074; // @[Mux.scala 27:72] + wire _T_1060 = dma_mem_addr_int[2:0] == 3'h4; // @[dma_ctrl.scala 314:32] + wire [3:0] _T_1075 = _T_1060 ? dma_mem_byteen[7:4] : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1082 = _T_1081 | _T_1075; // @[Mux.scala 27:72] + wire _T_1063 = dma_mem_addr_int[2:0] == 3'h5; // @[dma_ctrl.scala 315:32] + wire [2:0] _T_1076 = _T_1063 ? dma_mem_byteen[7:5] : 3'h0; // @[Mux.scala 27:72] + wire [3:0] _GEN_91 = {{1'd0}, _T_1076}; // @[Mux.scala 27:72] + wire [3:0] _T_1083 = _T_1082 | _GEN_91; // @[Mux.scala 27:72] + wire _T_1066 = dma_mem_addr_int[2:0] == 3'h6; // @[dma_ctrl.scala 316:32] + wire [1:0] _T_1077 = _T_1066 ? dma_mem_byteen[7:6] : 2'h0; // @[Mux.scala 27:72] + wire [3:0] _GEN_92 = {{2'd0}, _T_1077}; // @[Mux.scala 27:72] + wire [3:0] _T_1084 = _T_1083 | _GEN_92; // @[Mux.scala 27:72] + wire _T_1069 = dma_mem_addr_int[2:0] == 3'h7; // @[dma_ctrl.scala 317:32] + wire _T_1078 = _T_1069 & dma_mem_byteen[7]; // @[Mux.scala 27:72] + wire [3:0] _GEN_93 = {{3'd0}, _T_1078}; // @[Mux.scala 27:72] + wire [3:0] _T_1085 = _T_1084 | _GEN_93; // @[Mux.scala 27:72] + wire _T_1087 = _T_1085 != 4'hf; // @[dma_ctrl.scala 317:66] + wire _T_1088 = _T_1046 & _T_1087; // @[dma_ctrl.scala 310:78] + wire _T_1089 = _T_1043 | _T_1088; // @[dma_ctrl.scala 309:145] + wire _T_1092 = io_lsu_dma_dma_lsc_ctl_dma_mem_write & _T_1022; // @[dma_ctrl.scala 318:45] + wire _T_1094 = dma_mem_byteen == 8'hf; // @[dma_ctrl.scala 318:103] + wire _T_1096 = dma_mem_byteen == 8'hf0; // @[dma_ctrl.scala 318:139] + wire _T_1097 = _T_1094 | _T_1096; // @[dma_ctrl.scala 318:116] + wire _T_1099 = dma_mem_byteen == 8'hff; // @[dma_ctrl.scala 318:175] + wire _T_1100 = _T_1097 | _T_1099; // @[dma_ctrl.scala 318:152] + wire _T_1101 = ~_T_1100; // @[dma_ctrl.scala 318:80] + wire _T_1102 = _T_1092 & _T_1101; // @[dma_ctrl.scala 318:78] + wire _T_1103 = _T_1089 | _T_1102; // @[dma_ctrl.scala 317:79] + wire dma_alignment_error = _T_1010 & _T_1103; // @[dma_ctrl.scala 304:87] + wire _T_79 = dma_address_error | dma_alignment_error; // @[dma_ctrl.scala 208:258] + wire _T_80 = 3'h0 == RdPtr; // @[dma_ctrl.scala 208:288] + wire _T_81 = _T_79 & _T_80; // @[dma_ctrl.scala 208:281] + wire _T_82 = _T_78 | _T_81; // @[dma_ctrl.scala 208:236] + wire _T_83 = 3'h0 == io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag; // @[dma_ctrl.scala 208:350] + wire _T_84 = io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid & _T_83; // @[dma_ctrl.scala 208:343] + wire _T_85 = _T_82 | _T_84; // @[dma_ctrl.scala 208:300] + wire _T_86 = 3'h0 == io_iccm_dma_rtag; // @[dma_ctrl.scala 208:423] + wire _T_87 = io_iccm_dma_rvalid & _T_86; // @[dma_ctrl.scala 208:416] + wire _T_88 = _T_85 | _T_87; // @[dma_ctrl.scala 208:394] + wire _T_96 = _T_76 & _T_41; // @[dma_ctrl.scala 208:217] + wire _T_98 = 3'h1 == RdPtr; // @[dma_ctrl.scala 208:288] + wire _T_99 = _T_79 & _T_98; // @[dma_ctrl.scala 208:281] + wire _T_100 = _T_96 | _T_99; // @[dma_ctrl.scala 208:236] + wire _T_101 = 3'h1 == io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag; // @[dma_ctrl.scala 208:350] + wire _T_102 = io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid & _T_101; // @[dma_ctrl.scala 208:343] + wire _T_103 = _T_100 | _T_102; // @[dma_ctrl.scala 208:300] + wire _T_104 = 3'h1 == io_iccm_dma_rtag; // @[dma_ctrl.scala 208:423] + wire _T_105 = io_iccm_dma_rvalid & _T_104; // @[dma_ctrl.scala 208:416] + wire _T_106 = _T_103 | _T_105; // @[dma_ctrl.scala 208:394] + wire _T_114 = _T_76 & _T_49; // @[dma_ctrl.scala 208:217] + wire _T_116 = 3'h2 == RdPtr; // @[dma_ctrl.scala 208:288] + wire _T_117 = _T_79 & _T_116; // @[dma_ctrl.scala 208:281] + wire _T_118 = _T_114 | _T_117; // @[dma_ctrl.scala 208:236] + wire _T_119 = 3'h2 == io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag; // @[dma_ctrl.scala 208:350] + wire _T_120 = io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid & _T_119; // @[dma_ctrl.scala 208:343] + wire _T_121 = _T_118 | _T_120; // @[dma_ctrl.scala 208:300] + wire _T_122 = 3'h2 == io_iccm_dma_rtag; // @[dma_ctrl.scala 208:423] + wire _T_123 = io_iccm_dma_rvalid & _T_122; // @[dma_ctrl.scala 208:416] + wire _T_124 = _T_121 | _T_123; // @[dma_ctrl.scala 208:394] + wire _T_132 = _T_76 & _T_57; // @[dma_ctrl.scala 208:217] + wire _T_134 = 3'h3 == RdPtr; // @[dma_ctrl.scala 208:288] + wire _T_135 = _T_79 & _T_134; // @[dma_ctrl.scala 208:281] + wire _T_136 = _T_132 | _T_135; // @[dma_ctrl.scala 208:236] + wire _T_137 = 3'h3 == io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag; // @[dma_ctrl.scala 208:350] + wire _T_138 = io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid & _T_137; // @[dma_ctrl.scala 208:343] + wire _T_139 = _T_136 | _T_138; // @[dma_ctrl.scala 208:300] + wire _T_140 = 3'h3 == io_iccm_dma_rtag; // @[dma_ctrl.scala 208:423] + wire _T_141 = io_iccm_dma_rvalid & _T_140; // @[dma_ctrl.scala 208:416] + wire _T_142 = _T_139 | _T_141; // @[dma_ctrl.scala 208:394] + wire _T_150 = _T_76 & _T_65; // @[dma_ctrl.scala 208:217] + wire _T_152 = 3'h4 == RdPtr; // @[dma_ctrl.scala 208:288] + wire _T_153 = _T_79 & _T_152; // @[dma_ctrl.scala 208:281] + wire _T_154 = _T_150 | _T_153; // @[dma_ctrl.scala 208:236] + wire _T_155 = 3'h4 == io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag; // @[dma_ctrl.scala 208:350] + wire _T_156 = io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid & _T_155; // @[dma_ctrl.scala 208:343] + wire _T_157 = _T_154 | _T_156; // @[dma_ctrl.scala 208:300] + wire _T_158 = 3'h4 == io_iccm_dma_rtag; // @[dma_ctrl.scala 208:423] + wire _T_159 = io_iccm_dma_rvalid & _T_158; // @[dma_ctrl.scala 208:416] + wire _T_160 = _T_157 | _T_159; // @[dma_ctrl.scala 208:394] wire [4:0] fifo_data_en = {_T_160,_T_142,_T_124,_T_106,_T_88}; // @[Cat.scala 29:58] - wire _T_165 = io_lsu_dma_dma_lsc_ctl_dma_dccm_req | io_ifu_dma_dma_mem_ctl_dma_iccm_req; // @[dma_ctrl.scala 217:95] - wire _T_166 = ~io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[dma_ctrl.scala 217:136] - wire _T_167 = _T_165 & _T_166; // @[dma_ctrl.scala 217:134] - wire _T_169 = _T_167 & _T_80; // @[dma_ctrl.scala 217:174] - wire _T_174 = _T_167 & _T_98; // @[dma_ctrl.scala 217:174] - wire _T_179 = _T_167 & _T_116; // @[dma_ctrl.scala 217:174] - wire _T_184 = _T_167 & _T_134; // @[dma_ctrl.scala 217:174] - wire _T_189 = _T_167 & _T_152; // @[dma_ctrl.scala 217:174] + wire _T_165 = io_lsu_dma_dma_lsc_ctl_dma_dccm_req | io_ifu_dma_dma_mem_ctl_dma_iccm_req; // @[dma_ctrl.scala 210:95] + wire _T_166 = ~io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[dma_ctrl.scala 210:136] + wire _T_167 = _T_165 & _T_166; // @[dma_ctrl.scala 210:134] + wire _T_169 = _T_167 & _T_80; // @[dma_ctrl.scala 210:174] + wire _T_174 = _T_167 & _T_98; // @[dma_ctrl.scala 210:174] + wire _T_179 = _T_167 & _T_116; // @[dma_ctrl.scala 210:174] + wire _T_184 = _T_167 & _T_134; // @[dma_ctrl.scala 210:174] + wire _T_189 = _T_167 & _T_152; // @[dma_ctrl.scala 210:174] wire [4:0] fifo_pend_en = {_T_189,_T_184,_T_179,_T_174,_T_169}; // @[Cat.scala 29:58] - wire _T_1107 = _T_995 & _T_996[0]; // @[dma_ctrl.scala 331:66] - wire _T_1109 = _T_1000 | dma_mem_addr_in_pic; // @[dma_ctrl.scala 331:134] - wire _T_1110 = ~_T_1109; // @[dma_ctrl.scala 331:88] - wire _T_1113 = dma_mem_sz_int[1:0] != 2'h2; // @[dma_ctrl.scala 331:191] - wire _T_1114 = _T_1110 | _T_1113; // @[dma_ctrl.scala 331:167] - wire dma_dbg_cmd_error = _T_1107 & _T_1114; // @[dma_ctrl.scala 331:84] - wire _T_197 = _T_79 | dma_dbg_cmd_error; // @[dma_ctrl.scala 219:114] - wire _T_199 = _T_197 & _T_80; // @[dma_ctrl.scala 219:135] - wire _T_200 = io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid & io_lsu_dma_dma_dccm_ctl_dccm_dma_ecc_error; // @[dma_ctrl.scala 219:198] - wire _T_202 = _T_200 & _T_83; // @[dma_ctrl.scala 219:244] - wire _T_203 = _T_199 | _T_202; // @[dma_ctrl.scala 219:154] - wire _T_204 = io_iccm_dma_rvalid & io_iccm_dma_ecc_error; // @[dma_ctrl.scala 219:318] - wire _T_206 = _T_204 & _T_86; // @[dma_ctrl.scala 219:343] - wire _T_207 = _T_203 | _T_206; // @[dma_ctrl.scala 219:295] - wire _T_213 = _T_197 & _T_98; // @[dma_ctrl.scala 219:135] - wire _T_216 = _T_200 & _T_101; // @[dma_ctrl.scala 219:244] - wire _T_217 = _T_213 | _T_216; // @[dma_ctrl.scala 219:154] - wire _T_220 = _T_204 & _T_104; // @[dma_ctrl.scala 219:343] - wire _T_221 = _T_217 | _T_220; // @[dma_ctrl.scala 219:295] - wire _T_227 = _T_197 & _T_116; // @[dma_ctrl.scala 219:135] - wire _T_230 = _T_200 & _T_119; // @[dma_ctrl.scala 219:244] - wire _T_231 = _T_227 | _T_230; // @[dma_ctrl.scala 219:154] - wire _T_234 = _T_204 & _T_122; // @[dma_ctrl.scala 219:343] - wire _T_235 = _T_231 | _T_234; // @[dma_ctrl.scala 219:295] - wire _T_241 = _T_197 & _T_134; // @[dma_ctrl.scala 219:135] - wire _T_244 = _T_200 & _T_137; // @[dma_ctrl.scala 219:244] - wire _T_245 = _T_241 | _T_244; // @[dma_ctrl.scala 219:154] - wire _T_248 = _T_204 & _T_140; // @[dma_ctrl.scala 219:343] - wire _T_249 = _T_245 | _T_248; // @[dma_ctrl.scala 219:295] - wire _T_255 = _T_197 & _T_152; // @[dma_ctrl.scala 219:135] - wire _T_258 = _T_200 & _T_155; // @[dma_ctrl.scala 219:244] - wire _T_259 = _T_255 | _T_258; // @[dma_ctrl.scala 219:154] - wire _T_262 = _T_204 & _T_158; // @[dma_ctrl.scala 219:343] - wire _T_263 = _T_259 | _T_262; // @[dma_ctrl.scala 219:295] + wire _T_1127 = _T_995 & _T_996[0]; // @[dma_ctrl.scala 328:66] + wire _T_1129 = _T_1000 | dma_mem_addr_in_pic; // @[dma_ctrl.scala 328:134] + wire _T_1130 = ~_T_1129; // @[dma_ctrl.scala 328:88] + wire _T_1133 = dma_mem_sz_int[1:0] != 2'h2; // @[dma_ctrl.scala 328:191] + wire _T_1134 = _T_1130 | _T_1133; // @[dma_ctrl.scala 328:167] + wire dma_dbg_cmd_error = _T_1127 & _T_1134; // @[dma_ctrl.scala 328:84] + wire _T_197 = _T_79 | dma_dbg_cmd_error; // @[dma_ctrl.scala 212:114] + wire _T_199 = _T_197 & _T_80; // @[dma_ctrl.scala 212:135] + wire _T_200 = io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid & io_lsu_dma_dma_dccm_ctl_dccm_dma_ecc_error; // @[dma_ctrl.scala 212:198] + wire _T_202 = _T_200 & _T_83; // @[dma_ctrl.scala 212:244] + wire _T_203 = _T_199 | _T_202; // @[dma_ctrl.scala 212:154] + wire _T_204 = io_iccm_dma_rvalid & io_iccm_dma_ecc_error; // @[dma_ctrl.scala 212:318] + wire _T_206 = _T_204 & _T_86; // @[dma_ctrl.scala 212:343] + wire _T_207 = _T_203 | _T_206; // @[dma_ctrl.scala 212:295] + wire _T_213 = _T_197 & _T_98; // @[dma_ctrl.scala 212:135] + wire _T_216 = _T_200 & _T_101; // @[dma_ctrl.scala 212:244] + wire _T_217 = _T_213 | _T_216; // @[dma_ctrl.scala 212:154] + wire _T_220 = _T_204 & _T_104; // @[dma_ctrl.scala 212:343] + wire _T_221 = _T_217 | _T_220; // @[dma_ctrl.scala 212:295] + wire _T_227 = _T_197 & _T_116; // @[dma_ctrl.scala 212:135] + wire _T_230 = _T_200 & _T_119; // @[dma_ctrl.scala 212:244] + wire _T_231 = _T_227 | _T_230; // @[dma_ctrl.scala 212:154] + wire _T_234 = _T_204 & _T_122; // @[dma_ctrl.scala 212:343] + wire _T_235 = _T_231 | _T_234; // @[dma_ctrl.scala 212:295] + wire _T_241 = _T_197 & _T_134; // @[dma_ctrl.scala 212:135] + wire _T_244 = _T_200 & _T_137; // @[dma_ctrl.scala 212:244] + wire _T_245 = _T_241 | _T_244; // @[dma_ctrl.scala 212:154] + wire _T_248 = _T_204 & _T_140; // @[dma_ctrl.scala 212:343] + wire _T_249 = _T_245 | _T_248; // @[dma_ctrl.scala 212:295] + wire _T_255 = _T_197 & _T_152; // @[dma_ctrl.scala 212:135] + wire _T_258 = _T_200 & _T_155; // @[dma_ctrl.scala 212:244] + wire _T_259 = _T_255 | _T_258; // @[dma_ctrl.scala 212:154] + wire _T_262 = _T_204 & _T_158; // @[dma_ctrl.scala 212:343] + wire _T_263 = _T_259 | _T_262; // @[dma_ctrl.scala 212:295] wire [4:0] fifo_error_en = {_T_263,_T_249,_T_235,_T_221,_T_207}; // @[Cat.scala 29:58] wire [1:0] _T_436 = {1'h0,io_lsu_dma_dma_dccm_ctl_dccm_dma_ecc_error}; // @[Cat.scala 29:58] wire [1:0] _T_439 = {1'h0,io_iccm_dma_ecc_error}; // @[Cat.scala 29:58] wire [1:0] _T_442 = {_T_197,dma_alignment_error}; // @[Cat.scala 29:58] - wire [1:0] _T_443 = _T_87 ? _T_439 : _T_442; // @[dma_ctrl.scala 229:209] - wire [1:0] fifo_error_in_0 = _T_84 ? _T_436 : _T_443; // @[dma_ctrl.scala 229:60] - wire _T_269 = |fifo_error_in_0; // @[dma_ctrl.scala 221:83] - reg [1:0] fifo_error_0; // @[dma_ctrl.scala 235:85] - wire _T_272 = |fifo_error_0; // @[dma_ctrl.scala 221:125] - wire [1:0] _T_454 = _T_105 ? _T_439 : _T_442; // @[dma_ctrl.scala 229:209] - wire [1:0] fifo_error_in_1 = _T_102 ? _T_436 : _T_454; // @[dma_ctrl.scala 229:60] - wire _T_276 = |fifo_error_in_1; // @[dma_ctrl.scala 221:83] - reg [1:0] fifo_error_1; // @[dma_ctrl.scala 235:85] - wire _T_279 = |fifo_error_1; // @[dma_ctrl.scala 221:125] - wire [1:0] _T_465 = _T_123 ? _T_439 : _T_442; // @[dma_ctrl.scala 229:209] - wire [1:0] fifo_error_in_2 = _T_120 ? _T_436 : _T_465; // @[dma_ctrl.scala 229:60] - wire _T_283 = |fifo_error_in_2; // @[dma_ctrl.scala 221:83] - reg [1:0] fifo_error_2; // @[dma_ctrl.scala 235:85] - wire _T_286 = |fifo_error_2; // @[dma_ctrl.scala 221:125] - wire [1:0] _T_476 = _T_141 ? _T_439 : _T_442; // @[dma_ctrl.scala 229:209] - wire [1:0] fifo_error_in_3 = _T_138 ? _T_436 : _T_476; // @[dma_ctrl.scala 229:60] - wire _T_290 = |fifo_error_in_3; // @[dma_ctrl.scala 221:83] - reg [1:0] fifo_error_3; // @[dma_ctrl.scala 235:85] - wire _T_293 = |fifo_error_3; // @[dma_ctrl.scala 221:125] - wire [1:0] _T_487 = _T_159 ? _T_439 : _T_442; // @[dma_ctrl.scala 229:209] - wire [1:0] fifo_error_in_4 = _T_156 ? _T_436 : _T_487; // @[dma_ctrl.scala 229:60] - wire _T_297 = |fifo_error_in_4; // @[dma_ctrl.scala 221:83] - reg [1:0] fifo_error_4; // @[dma_ctrl.scala 235:85] - wire _T_300 = |fifo_error_4; // @[dma_ctrl.scala 221:125] - wire _T_309 = _T_272 | fifo_error_en[0]; // @[dma_ctrl.scala 223:78] - wire _T_311 = _T_165 & io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[dma_ctrl.scala 223:176] - wire _T_312 = _T_309 | _T_311; // @[dma_ctrl.scala 223:97] - wire _T_314 = _T_312 & _T_80; // @[dma_ctrl.scala 223:217] - wire _T_317 = _T_314 | _T_84; // @[dma_ctrl.scala 223:236] - wire _T_320 = _T_317 | _T_87; // @[dma_ctrl.scala 223:330] - wire _T_323 = _T_279 | fifo_error_en[1]; // @[dma_ctrl.scala 223:78] - wire _T_326 = _T_323 | _T_311; // @[dma_ctrl.scala 223:97] - wire _T_328 = _T_326 & _T_98; // @[dma_ctrl.scala 223:217] - wire _T_331 = _T_328 | _T_102; // @[dma_ctrl.scala 223:236] - wire _T_334 = _T_331 | _T_105; // @[dma_ctrl.scala 223:330] - wire _T_337 = _T_286 | fifo_error_en[2]; // @[dma_ctrl.scala 223:78] - wire _T_340 = _T_337 | _T_311; // @[dma_ctrl.scala 223:97] - wire _T_342 = _T_340 & _T_116; // @[dma_ctrl.scala 223:217] - wire _T_345 = _T_342 | _T_120; // @[dma_ctrl.scala 223:236] - wire _T_348 = _T_345 | _T_123; // @[dma_ctrl.scala 223:330] - wire _T_351 = _T_293 | fifo_error_en[3]; // @[dma_ctrl.scala 223:78] - wire _T_354 = _T_351 | _T_311; // @[dma_ctrl.scala 223:97] - wire _T_356 = _T_354 & _T_134; // @[dma_ctrl.scala 223:217] - wire _T_359 = _T_356 | _T_138; // @[dma_ctrl.scala 223:236] - wire _T_362 = _T_359 | _T_141; // @[dma_ctrl.scala 223:330] - wire _T_365 = _T_300 | fifo_error_en[4]; // @[dma_ctrl.scala 223:78] - wire _T_368 = _T_365 | _T_311; // @[dma_ctrl.scala 223:97] - wire _T_370 = _T_368 & _T_152; // @[dma_ctrl.scala 223:217] - wire _T_373 = _T_370 | _T_156; // @[dma_ctrl.scala 223:236] - wire _T_376 = _T_373 | _T_159; // @[dma_ctrl.scala 223:330] + wire [1:0] _T_443 = _T_87 ? _T_439 : _T_442; // @[dma_ctrl.scala 222:209] + wire [1:0] fifo_error_in_0 = _T_84 ? _T_436 : _T_443; // @[dma_ctrl.scala 222:60] + wire _T_269 = |fifo_error_in_0; // @[dma_ctrl.scala 214:83] + reg [1:0] fifo_error_0; // @[dma_ctrl.scala 228:85] + wire _T_272 = |fifo_error_0; // @[dma_ctrl.scala 214:125] + wire [1:0] _T_454 = _T_105 ? _T_439 : _T_442; // @[dma_ctrl.scala 222:209] + wire [1:0] fifo_error_in_1 = _T_102 ? _T_436 : _T_454; // @[dma_ctrl.scala 222:60] + wire _T_276 = |fifo_error_in_1; // @[dma_ctrl.scala 214:83] + reg [1:0] fifo_error_1; // @[dma_ctrl.scala 228:85] + wire _T_279 = |fifo_error_1; // @[dma_ctrl.scala 214:125] + wire [1:0] _T_465 = _T_123 ? _T_439 : _T_442; // @[dma_ctrl.scala 222:209] + wire [1:0] fifo_error_in_2 = _T_120 ? _T_436 : _T_465; // @[dma_ctrl.scala 222:60] + wire _T_283 = |fifo_error_in_2; // @[dma_ctrl.scala 214:83] + reg [1:0] fifo_error_2; // @[dma_ctrl.scala 228:85] + wire _T_286 = |fifo_error_2; // @[dma_ctrl.scala 214:125] + wire [1:0] _T_476 = _T_141 ? _T_439 : _T_442; // @[dma_ctrl.scala 222:209] + wire [1:0] fifo_error_in_3 = _T_138 ? _T_436 : _T_476; // @[dma_ctrl.scala 222:60] + wire _T_290 = |fifo_error_in_3; // @[dma_ctrl.scala 214:83] + reg [1:0] fifo_error_3; // @[dma_ctrl.scala 228:85] + wire _T_293 = |fifo_error_3; // @[dma_ctrl.scala 214:125] + wire [1:0] _T_487 = _T_159 ? _T_439 : _T_442; // @[dma_ctrl.scala 222:209] + wire [1:0] fifo_error_in_4 = _T_156 ? _T_436 : _T_487; // @[dma_ctrl.scala 222:60] + wire _T_297 = |fifo_error_in_4; // @[dma_ctrl.scala 214:83] + reg [1:0] fifo_error_4; // @[dma_ctrl.scala 228:85] + wire _T_300 = |fifo_error_4; // @[dma_ctrl.scala 214:125] + wire _T_309 = _T_272 | fifo_error_en[0]; // @[dma_ctrl.scala 216:78] + wire _T_311 = _T_165 & io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[dma_ctrl.scala 216:176] + wire _T_312 = _T_309 | _T_311; // @[dma_ctrl.scala 216:97] + wire _T_314 = _T_312 & _T_80; // @[dma_ctrl.scala 216:217] + wire _T_317 = _T_314 | _T_84; // @[dma_ctrl.scala 216:236] + wire _T_320 = _T_317 | _T_87; // @[dma_ctrl.scala 216:330] + wire _T_323 = _T_279 | fifo_error_en[1]; // @[dma_ctrl.scala 216:78] + wire _T_326 = _T_323 | _T_311; // @[dma_ctrl.scala 216:97] + wire _T_328 = _T_326 & _T_98; // @[dma_ctrl.scala 216:217] + wire _T_331 = _T_328 | _T_102; // @[dma_ctrl.scala 216:236] + wire _T_334 = _T_331 | _T_105; // @[dma_ctrl.scala 216:330] + wire _T_337 = _T_286 | fifo_error_en[2]; // @[dma_ctrl.scala 216:78] + wire _T_340 = _T_337 | _T_311; // @[dma_ctrl.scala 216:97] + wire _T_342 = _T_340 & _T_116; // @[dma_ctrl.scala 216:217] + wire _T_345 = _T_342 | _T_120; // @[dma_ctrl.scala 216:236] + wire _T_348 = _T_345 | _T_123; // @[dma_ctrl.scala 216:330] + wire _T_351 = _T_293 | fifo_error_en[3]; // @[dma_ctrl.scala 216:78] + wire _T_354 = _T_351 | _T_311; // @[dma_ctrl.scala 216:97] + wire _T_356 = _T_354 & _T_134; // @[dma_ctrl.scala 216:217] + wire _T_359 = _T_356 | _T_138; // @[dma_ctrl.scala 216:236] + wire _T_362 = _T_359 | _T_141; // @[dma_ctrl.scala 216:330] + wire _T_365 = _T_300 | fifo_error_en[4]; // @[dma_ctrl.scala 216:78] + wire _T_368 = _T_365 | _T_311; // @[dma_ctrl.scala 216:97] + wire _T_370 = _T_368 & _T_152; // @[dma_ctrl.scala 216:217] + wire _T_373 = _T_370 | _T_156; // @[dma_ctrl.scala 216:236] + wire _T_376 = _T_373 | _T_159; // @[dma_ctrl.scala 216:330] wire [4:0] fifo_done_en = {_T_376,_T_362,_T_348,_T_334,_T_320}; // @[Cat.scala 29:58] - wire _T_383 = fifo_done_en[0] | fifo_done[0]; // @[dma_ctrl.scala 225:75] - wire _T_384 = _T_383 & io_dma_bus_clk_en; // @[dma_ctrl.scala 225:91] - wire _T_387 = fifo_done_en[1] | fifo_done[1]; // @[dma_ctrl.scala 225:75] - wire _T_388 = _T_387 & io_dma_bus_clk_en; // @[dma_ctrl.scala 225:91] - wire _T_391 = fifo_done_en[2] | fifo_done[2]; // @[dma_ctrl.scala 225:75] - wire _T_392 = _T_391 & io_dma_bus_clk_en; // @[dma_ctrl.scala 225:91] - wire _T_395 = fifo_done_en[3] | fifo_done[3]; // @[dma_ctrl.scala 225:75] - wire _T_396 = _T_395 & io_dma_bus_clk_en; // @[dma_ctrl.scala 225:91] - wire _T_399 = fifo_done_en[4] | fifo_done[4]; // @[dma_ctrl.scala 225:75] - wire _T_400 = _T_399 & io_dma_bus_clk_en; // @[dma_ctrl.scala 225:91] + wire _T_383 = fifo_done_en[0] | fifo_done[0]; // @[dma_ctrl.scala 218:75] + wire _T_384 = _T_383 & io_dma_bus_clk_en; // @[dma_ctrl.scala 218:91] + wire _T_387 = fifo_done_en[1] | fifo_done[1]; // @[dma_ctrl.scala 218:75] + wire _T_388 = _T_387 & io_dma_bus_clk_en; // @[dma_ctrl.scala 218:91] + wire _T_391 = fifo_done_en[2] | fifo_done[2]; // @[dma_ctrl.scala 218:75] + wire _T_392 = _T_391 & io_dma_bus_clk_en; // @[dma_ctrl.scala 218:91] + wire _T_395 = fifo_done_en[3] | fifo_done[3]; // @[dma_ctrl.scala 218:75] + wire _T_396 = _T_395 & io_dma_bus_clk_en; // @[dma_ctrl.scala 218:91] + wire _T_399 = fifo_done_en[4] | fifo_done[4]; // @[dma_ctrl.scala 218:75] + wire _T_400 = _T_399 & io_dma_bus_clk_en; // @[dma_ctrl.scala 218:91] wire [4:0] fifo_done_bus_en = {_T_400,_T_396,_T_392,_T_388,_T_384}; // @[Cat.scala 29:58] - wire _T_1265 = io_dma_axi_b_valid & io_dma_axi_b_ready; // @[dma_ctrl.scala 505:61] - wire _T_1266 = io_dma_axi_r_valid & io_dma_axi_r_ready; // @[dma_ctrl.scala 505:105] - wire bus_rsp_sent = _T_1265 | _T_1266; // @[dma_ctrl.scala 505:83] - wire _T_406 = bus_rsp_sent & io_dma_bus_clk_en; // @[dma_ctrl.scala 227:99] - wire _T_407 = _T_406 | io_dma_dbg_cmd_done; // @[dma_ctrl.scala 227:120] + wire _T_1285 = io_dma_axi_b_valid & io_dma_axi_b_ready; // @[dma_ctrl.scala 502:61] + wire _T_1286 = io_dma_axi_r_valid & io_dma_axi_r_ready; // @[dma_ctrl.scala 502:105] + wire bus_rsp_sent = _T_1285 | _T_1286; // @[dma_ctrl.scala 502:83] + wire _T_406 = bus_rsp_sent & io_dma_bus_clk_en; // @[dma_ctrl.scala 220:99] + wire _T_407 = _T_406 | io_dma_dbg_cmd_done; // @[dma_ctrl.scala 220:120] reg [2:0] RspPtr; // @[Reg.scala 27:20] - wire _T_408 = 3'h0 == RspPtr; // @[dma_ctrl.scala 227:150] - wire _T_409 = _T_407 & _T_408; // @[dma_ctrl.scala 227:143] - wire _T_413 = 3'h1 == RspPtr; // @[dma_ctrl.scala 227:150] - wire _T_414 = _T_407 & _T_413; // @[dma_ctrl.scala 227:143] - wire _T_418 = 3'h2 == RspPtr; // @[dma_ctrl.scala 227:150] - wire _T_419 = _T_407 & _T_418; // @[dma_ctrl.scala 227:143] - wire _T_423 = 3'h3 == RspPtr; // @[dma_ctrl.scala 227:150] - wire _T_424 = _T_407 & _T_423; // @[dma_ctrl.scala 227:143] - wire _T_428 = 3'h4 == RspPtr; // @[dma_ctrl.scala 227:150] - wire _T_429 = _T_407 & _T_428; // @[dma_ctrl.scala 227:143] + wire _T_408 = 3'h0 == RspPtr; // @[dma_ctrl.scala 220:150] + wire _T_409 = _T_407 & _T_408; // @[dma_ctrl.scala 220:143] + wire _T_413 = 3'h1 == RspPtr; // @[dma_ctrl.scala 220:150] + wire _T_414 = _T_407 & _T_413; // @[dma_ctrl.scala 220:143] + wire _T_418 = 3'h2 == RspPtr; // @[dma_ctrl.scala 220:150] + wire _T_419 = _T_407 & _T_418; // @[dma_ctrl.scala 220:143] + wire _T_423 = 3'h3 == RspPtr; // @[dma_ctrl.scala 220:150] + wire _T_424 = _T_407 & _T_423; // @[dma_ctrl.scala 220:143] + wire _T_428 = 3'h4 == RspPtr; // @[dma_ctrl.scala 220:150] + wire _T_429 = _T_407 & _T_428; // @[dma_ctrl.scala 220:143] wire [4:0] fifo_reset = {_T_429,_T_424,_T_419,_T_414,_T_409}; // @[Cat.scala 29:58] - wire _T_491 = fifo_error_en[0] & _T_269; // @[dma_ctrl.scala 231:77] + wire _T_491 = fifo_error_en[0] & _T_269; // @[dma_ctrl.scala 224:77] wire [63:0] _T_493 = {32'h0,fifo_addr_0}; // @[Cat.scala 29:58] - wire [3:0] _T_498 = {io_dbg_dma_dbg_dctl_dbg_cmd_wrdata,io_dbg_dma_dbg_dctl_dbg_cmd_wrdata}; // @[Cat.scala 29:58] + wire [63:0] _T_498 = {io_dbg_dma_dbg_dctl_dbg_cmd_wrdata,io_dbg_dma_dbg_dctl_dbg_cmd_wrdata}; // @[Cat.scala 29:58] reg [63:0] wrbuf_data; // @[lib.scala 374:16] - wire [63:0] _T_500 = io_dbg_dma_dbg_ib_dbg_cmd_valid ? {{60'd0}, _T_498} : wrbuf_data; // @[dma_ctrl.scala 231:347] - wire _T_506 = fifo_error_en[1] & _T_276; // @[dma_ctrl.scala 231:77] + wire [63:0] _T_500 = io_dbg_dma_dbg_ib_dbg_cmd_valid ? _T_498 : wrbuf_data; // @[dma_ctrl.scala 224:347] + wire _T_506 = fifo_error_en[1] & _T_276; // @[dma_ctrl.scala 224:77] wire [63:0] _T_508 = {32'h0,fifo_addr_1}; // @[Cat.scala 29:58] - wire _T_521 = fifo_error_en[2] & _T_283; // @[dma_ctrl.scala 231:77] + wire _T_521 = fifo_error_en[2] & _T_283; // @[dma_ctrl.scala 224:77] wire [63:0] _T_523 = {32'h0,fifo_addr_2}; // @[Cat.scala 29:58] - wire _T_536 = fifo_error_en[3] & _T_290; // @[dma_ctrl.scala 231:77] + wire _T_536 = fifo_error_en[3] & _T_290; // @[dma_ctrl.scala 224:77] wire [63:0] _T_538 = {32'h0,fifo_addr_3}; // @[Cat.scala 29:58] - wire _T_551 = fifo_error_en[4] & _T_297; // @[dma_ctrl.scala 231:77] + wire _T_551 = fifo_error_en[4] & _T_297; // @[dma_ctrl.scala 224:77] wire [63:0] _T_553 = {32'h0,fifo_addr_4}; // @[Cat.scala 29:58] - wire _T_566 = fifo_cmd_en[0] | fifo_valid[0]; // @[dma_ctrl.scala 233:86] - wire _T_568 = ~fifo_reset[0]; // @[dma_ctrl.scala 233:125] - wire _T_573 = fifo_cmd_en[1] | fifo_valid[1]; // @[dma_ctrl.scala 233:86] - wire _T_575 = ~fifo_reset[1]; // @[dma_ctrl.scala 233:125] - wire _T_580 = fifo_cmd_en[2] | fifo_valid[2]; // @[dma_ctrl.scala 233:86] - wire _T_582 = ~fifo_reset[2]; // @[dma_ctrl.scala 233:125] - wire _T_587 = fifo_cmd_en[3] | fifo_valid[3]; // @[dma_ctrl.scala 233:86] - wire _T_589 = ~fifo_reset[3]; // @[dma_ctrl.scala 233:125] - wire _T_594 = fifo_cmd_en[4] | fifo_valid[4]; // @[dma_ctrl.scala 233:86] - wire _T_596 = ~fifo_reset[4]; // @[dma_ctrl.scala 233:125] - wire [1:0] _T_605 = fifo_error_en[0] ? fifo_error_in_0 : fifo_error_0; // @[dma_ctrl.scala 235:89] + wire _T_566 = fifo_cmd_en[0] | fifo_valid[0]; // @[dma_ctrl.scala 226:86] + wire _T_568 = ~fifo_reset[0]; // @[dma_ctrl.scala 226:125] + wire _T_573 = fifo_cmd_en[1] | fifo_valid[1]; // @[dma_ctrl.scala 226:86] + wire _T_575 = ~fifo_reset[1]; // @[dma_ctrl.scala 226:125] + wire _T_580 = fifo_cmd_en[2] | fifo_valid[2]; // @[dma_ctrl.scala 226:86] + wire _T_582 = ~fifo_reset[2]; // @[dma_ctrl.scala 226:125] + wire _T_587 = fifo_cmd_en[3] | fifo_valid[3]; // @[dma_ctrl.scala 226:86] + wire _T_589 = ~fifo_reset[3]; // @[dma_ctrl.scala 226:125] + wire _T_594 = fifo_cmd_en[4] | fifo_valid[4]; // @[dma_ctrl.scala 226:86] + wire _T_596 = ~fifo_reset[4]; // @[dma_ctrl.scala 226:125] + wire [1:0] _T_605 = fifo_error_en[0] ? fifo_error_in_0 : fifo_error_0; // @[dma_ctrl.scala 228:89] wire [1:0] _T_609 = _T_568 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_614 = fifo_error_en[1] ? fifo_error_in_1 : fifo_error_1; // @[dma_ctrl.scala 235:89] + wire [1:0] _T_614 = fifo_error_en[1] ? fifo_error_in_1 : fifo_error_1; // @[dma_ctrl.scala 228:89] wire [1:0] _T_618 = _T_575 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_623 = fifo_error_en[2] ? fifo_error_in_2 : fifo_error_2; // @[dma_ctrl.scala 235:89] + wire [1:0] _T_623 = fifo_error_en[2] ? fifo_error_in_2 : fifo_error_2; // @[dma_ctrl.scala 228:89] wire [1:0] _T_627 = _T_582 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_632 = fifo_error_en[3] ? fifo_error_in_3 : fifo_error_3; // @[dma_ctrl.scala 235:89] + wire [1:0] _T_632 = fifo_error_en[3] ? fifo_error_in_3 : fifo_error_3; // @[dma_ctrl.scala 228:89] wire [1:0] _T_636 = _T_589 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_641 = fifo_error_en[4] ? fifo_error_in_4 : fifo_error_4; // @[dma_ctrl.scala 235:89] + wire [1:0] _T_641 = fifo_error_en[4] ? fifo_error_in_4 : fifo_error_4; // @[dma_ctrl.scala 228:89] wire [1:0] _T_645 = _T_596 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - reg _T_721; // @[dma_ctrl.scala 239:89] - reg _T_714; // @[dma_ctrl.scala 239:89] - reg _T_707; // @[dma_ctrl.scala 239:89] - reg _T_700; // @[dma_ctrl.scala 239:89] - reg _T_693; // @[dma_ctrl.scala 239:89] + reg _T_721; // @[dma_ctrl.scala 232:89] + reg _T_714; // @[dma_ctrl.scala 232:89] + reg _T_707; // @[dma_ctrl.scala 232:89] + reg _T_700; // @[dma_ctrl.scala 232:89] + reg _T_693; // @[dma_ctrl.scala 232:89] wire [4:0] fifo_rpend = {_T_721,_T_714,_T_707,_T_700,_T_693}; // @[Cat.scala 29:58] - wire _T_689 = fifo_pend_en[0] | fifo_rpend[0]; // @[dma_ctrl.scala 239:93] - wire _T_696 = fifo_pend_en[1] | fifo_rpend[1]; // @[dma_ctrl.scala 239:93] - wire _T_703 = fifo_pend_en[2] | fifo_rpend[2]; // @[dma_ctrl.scala 239:93] - wire _T_710 = fifo_pend_en[3] | fifo_rpend[3]; // @[dma_ctrl.scala 239:93] - wire _T_717 = fifo_pend_en[4] | fifo_rpend[4]; // @[dma_ctrl.scala 239:93] - reg _T_799; // @[dma_ctrl.scala 243:89] - reg _T_792; // @[dma_ctrl.scala 243:89] - reg _T_785; // @[dma_ctrl.scala 243:89] - reg _T_778; // @[dma_ctrl.scala 243:89] - reg _T_771; // @[dma_ctrl.scala 243:89] + wire _T_689 = fifo_pend_en[0] | fifo_rpend[0]; // @[dma_ctrl.scala 232:93] + wire _T_696 = fifo_pend_en[1] | fifo_rpend[1]; // @[dma_ctrl.scala 232:93] + wire _T_703 = fifo_pend_en[2] | fifo_rpend[2]; // @[dma_ctrl.scala 232:93] + wire _T_710 = fifo_pend_en[3] | fifo_rpend[3]; // @[dma_ctrl.scala 232:93] + wire _T_717 = fifo_pend_en[4] | fifo_rpend[4]; // @[dma_ctrl.scala 232:93] + reg _T_799; // @[dma_ctrl.scala 236:89] + reg _T_792; // @[dma_ctrl.scala 236:89] + reg _T_785; // @[dma_ctrl.scala 236:89] + reg _T_778; // @[dma_ctrl.scala 236:89] + reg _T_771; // @[dma_ctrl.scala 236:89] wire [4:0] fifo_done_bus = {_T_799,_T_792,_T_785,_T_778,_T_771}; // @[Cat.scala 29:58] - wire _T_767 = fifo_done_bus_en[0] | fifo_done_bus[0]; // @[dma_ctrl.scala 243:93] - wire _T_774 = fifo_done_bus_en[1] | fifo_done_bus[1]; // @[dma_ctrl.scala 243:93] - wire _T_781 = fifo_done_bus_en[2] | fifo_done_bus[2]; // @[dma_ctrl.scala 243:93] - wire _T_788 = fifo_done_bus_en[3] | fifo_done_bus[3]; // @[dma_ctrl.scala 243:93] - wire _T_795 = fifo_done_bus_en[4] | fifo_done_bus[4]; // @[dma_ctrl.scala 243:93] - wire [7:0] fifo_byteen_in = _T_20[7:0]; // @[dma_ctrl.scala 202:28] + wire _T_767 = fifo_done_bus_en[0] | fifo_done_bus[0]; // @[dma_ctrl.scala 236:93] + wire _T_774 = fifo_done_bus_en[1] | fifo_done_bus[1]; // @[dma_ctrl.scala 236:93] + wire _T_781 = fifo_done_bus_en[2] | fifo_done_bus[2]; // @[dma_ctrl.scala 236:93] + wire _T_788 = fifo_done_bus_en[3] | fifo_done_bus[3]; // @[dma_ctrl.scala 236:93] + wire _T_795 = fifo_done_bus_en[4] | fifo_done_bus[4]; // @[dma_ctrl.scala 236:93] + wire [7:0] fifo_byteen_in = _T_20[7:0]; // @[dma_ctrl.scala 195:28] reg _T_850; // @[Reg.scala 27:20] reg _T_852; // @[Reg.scala 27:20] reg _T_854; // @[Reg.scala 27:20] @@ -79032,114 +79141,118 @@ module dma_ctrl( reg fifo_tag_0; // @[Reg.scala 27:20] reg wrbuf_tag; // @[Reg.scala 27:20] reg rdbuf_tag; // @[Reg.scala 27:20] - wire bus_cmd_tag = axi_mstr_sel ? wrbuf_tag : rdbuf_tag; // @[dma_ctrl.scala 470:43] + wire bus_cmd_tag = axi_mstr_sel ? wrbuf_tag : rdbuf_tag; // @[dma_ctrl.scala 467:43] reg fifo_tag_1; // @[Reg.scala 27:20] reg fifo_tag_2; // @[Reg.scala 27:20] reg fifo_tag_3; // @[Reg.scala 27:20] reg fifo_tag_4; // @[Reg.scala 27:20] - wire _T_931 = WrPtr == 3'h4; // @[dma_ctrl.scala 267:30] - wire [2:0] _T_934 = WrPtr + 3'h1; // @[dma_ctrl.scala 267:76] - wire _T_936 = RdPtr == 3'h4; // @[dma_ctrl.scala 269:30] - wire [2:0] _T_939 = RdPtr + 3'h1; // @[dma_ctrl.scala 269:76] - wire _T_941 = RspPtr == 3'h4; // @[dma_ctrl.scala 271:31] - wire [2:0] _T_944 = RspPtr + 3'h1; // @[dma_ctrl.scala 271:78] - wire WrPtrEn = |fifo_cmd_en; // @[dma_ctrl.scala 273:30] - wire RdPtrEn = _T_165 | _T_197; // @[dma_ctrl.scala 275:93] - wire RspPtrEn = io_dma_dbg_cmd_done | _T_406; // @[dma_ctrl.scala 277:39] + wire _T_931 = WrPtr == 3'h4; // @[dma_ctrl.scala 260:30] + wire [2:0] _T_934 = WrPtr + 3'h1; // @[dma_ctrl.scala 260:76] + wire _T_936 = RdPtr == 3'h4; // @[dma_ctrl.scala 262:30] + wire [2:0] _T_939 = RdPtr + 3'h1; // @[dma_ctrl.scala 262:76] + wire _T_941 = RspPtr == 3'h4; // @[dma_ctrl.scala 264:31] + wire [2:0] _T_944 = RspPtr + 3'h1; // @[dma_ctrl.scala 264:78] + wire WrPtrEn = |fifo_cmd_en; // @[dma_ctrl.scala 266:30] + wire RdPtrEn = _T_165 | _T_197; // @[dma_ctrl.scala 268:93] + wire RspPtrEn = io_dma_dbg_cmd_done | _T_406; // @[dma_ctrl.scala 270:39] + wire [3:0] _T_959 = {3'h0,axi_mstr_prty_en}; // @[Cat.scala 29:58] + wire [3:0] _T_961 = {3'h0,bus_rsp_sent}; // @[Cat.scala 29:58] + wire [3:0] num_fifo_vld_tmp = _T_959 - _T_961; // @[dma_ctrl.scala 291:62] wire [3:0] _T_966 = {3'h0,fifo_valid[0]}; // @[Cat.scala 29:58] wire [3:0] _T_969 = {3'h0,fifo_valid[1]}; // @[Cat.scala 29:58] wire [3:0] _T_972 = {3'h0,fifo_valid[2]}; // @[Cat.scala 29:58] wire [3:0] _T_975 = {3'h0,fifo_valid[3]}; // @[Cat.scala 29:58] wire [3:0] _T_978 = {3'h0,fifo_valid[4]}; // @[Cat.scala 29:58] - wire [3:0] _T_980 = _T_966 + _T_969; // @[dma_ctrl.scala 300:102] - wire [3:0] _T_982 = _T_980 + _T_972; // @[dma_ctrl.scala 300:102] - wire [3:0] _T_984 = _T_982 + _T_975; // @[dma_ctrl.scala 300:102] - wire [3:0] num_fifo_vld_tmp2 = _T_984 + _T_978; // @[dma_ctrl.scala 300:102] - wire _T_1123 = |fifo_valid; // @[dma_ctrl.scala 341:30] - wire fifo_empty = ~_T_1123; // @[dma_ctrl.scala 341:17] - wire [4:0] _T_1086 = fifo_valid >> RspPtr; // @[dma_ctrl.scala 327:39] - wire [4:0] _T_1088 = fifo_dbg >> RspPtr; // @[dma_ctrl.scala 327:58] - wire _T_1090 = _T_1086[0] & _T_1088[0]; // @[dma_ctrl.scala 327:48] - wire [4:0] _T_1091 = fifo_done >> RspPtr; // @[dma_ctrl.scala 327:78] - wire [31:0] _GEN_44 = 3'h1 == RspPtr ? fifo_addr_1 : fifo_addr_0; // @[dma_ctrl.scala 328:49] - wire [31:0] _GEN_45 = 3'h2 == RspPtr ? fifo_addr_2 : _GEN_44; // @[dma_ctrl.scala 328:49] - wire [31:0] _GEN_46 = 3'h3 == RspPtr ? fifo_addr_3 : _GEN_45; // @[dma_ctrl.scala 328:49] - wire [31:0] _GEN_47 = 3'h4 == RspPtr ? fifo_addr_4 : _GEN_46; // @[dma_ctrl.scala 328:49] - wire [63:0] _GEN_49 = 3'h1 == RspPtr ? fifo_data_1 : fifo_data_0; // @[dma_ctrl.scala 328:71] - wire [63:0] _GEN_50 = 3'h2 == RspPtr ? fifo_data_2 : _GEN_49; // @[dma_ctrl.scala 328:71] - wire [63:0] _GEN_51 = 3'h3 == RspPtr ? fifo_data_3 : _GEN_50; // @[dma_ctrl.scala 328:71] - wire [63:0] _GEN_52 = 3'h4 == RspPtr ? fifo_data_4 : _GEN_51; // @[dma_ctrl.scala 328:71] - wire [1:0] _GEN_54 = 3'h1 == RspPtr ? fifo_error_1 : fifo_error_0; // @[dma_ctrl.scala 329:47] - wire [1:0] _GEN_55 = 3'h2 == RspPtr ? fifo_error_2 : _GEN_54; // @[dma_ctrl.scala 329:47] - wire [1:0] _GEN_56 = 3'h3 == RspPtr ? fifo_error_3 : _GEN_55; // @[dma_ctrl.scala 329:47] - wire [1:0] _GEN_57 = 3'h4 == RspPtr ? fifo_error_4 : _GEN_56; // @[dma_ctrl.scala 329:47] - wire _T_1116 = dma_mem_addr_in_dccm | dma_mem_addr_in_pic; // @[dma_ctrl.scala 335:80] - wire [4:0] _T_1145 = fifo_rpend >> RdPtr; // @[dma_ctrl.scala 354:54] - wire _T_1147 = ~_T_1145[0]; // @[dma_ctrl.scala 354:43] - wire _T_1148 = _T_990[0] & _T_1147; // @[dma_ctrl.scala 354:41] - wire _T_1152 = _T_1148 & _T_994; // @[dma_ctrl.scala 354:62] - wire _T_1155 = ~_T_197; // @[dma_ctrl.scala 354:84] - wire dma_mem_req = _T_1152 & _T_1155; // @[dma_ctrl.scala 354:82] - wire _T_1117 = dma_mem_req & _T_1116; // @[dma_ctrl.scala 335:56] + wire [3:0] _T_980 = _T_966 + _T_969; // @[dma_ctrl.scala 293:102] + wire [3:0] _T_982 = _T_980 + _T_972; // @[dma_ctrl.scala 293:102] + wire [3:0] _T_984 = _T_982 + _T_975; // @[dma_ctrl.scala 293:102] + wire [3:0] num_fifo_vld_tmp2 = _T_984 + _T_978; // @[dma_ctrl.scala 293:102] + wire [3:0] num_fifo_vld = num_fifo_vld_tmp + num_fifo_vld_tmp2; // @[dma_ctrl.scala 295:45] + wire _T_1143 = |fifo_valid; // @[dma_ctrl.scala 338:30] + wire fifo_empty = ~_T_1143; // @[dma_ctrl.scala 338:17] + wire [4:0] _T_1106 = fifo_valid >> RspPtr; // @[dma_ctrl.scala 324:39] + wire [4:0] _T_1108 = fifo_dbg >> RspPtr; // @[dma_ctrl.scala 324:58] + wire _T_1110 = _T_1106[0] & _T_1108[0]; // @[dma_ctrl.scala 324:48] + wire [4:0] _T_1111 = fifo_done >> RspPtr; // @[dma_ctrl.scala 324:78] + wire [31:0] _GEN_44 = 3'h1 == RspPtr ? fifo_addr_1 : fifo_addr_0; // @[dma_ctrl.scala 325:49] + wire [31:0] _GEN_45 = 3'h2 == RspPtr ? fifo_addr_2 : _GEN_44; // @[dma_ctrl.scala 325:49] + wire [31:0] _GEN_46 = 3'h3 == RspPtr ? fifo_addr_3 : _GEN_45; // @[dma_ctrl.scala 325:49] + wire [31:0] _GEN_47 = 3'h4 == RspPtr ? fifo_addr_4 : _GEN_46; // @[dma_ctrl.scala 325:49] + wire [63:0] _GEN_49 = 3'h1 == RspPtr ? fifo_data_1 : fifo_data_0; // @[dma_ctrl.scala 325:71] + wire [63:0] _GEN_50 = 3'h2 == RspPtr ? fifo_data_2 : _GEN_49; // @[dma_ctrl.scala 325:71] + wire [63:0] _GEN_51 = 3'h3 == RspPtr ? fifo_data_3 : _GEN_50; // @[dma_ctrl.scala 325:71] + wire [63:0] _GEN_52 = 3'h4 == RspPtr ? fifo_data_4 : _GEN_51; // @[dma_ctrl.scala 325:71] + wire [1:0] _GEN_54 = 3'h1 == RspPtr ? fifo_error_1 : fifo_error_0; // @[dma_ctrl.scala 326:47] + wire [1:0] _GEN_55 = 3'h2 == RspPtr ? fifo_error_2 : _GEN_54; // @[dma_ctrl.scala 326:47] + wire [1:0] _GEN_56 = 3'h3 == RspPtr ? fifo_error_3 : _GEN_55; // @[dma_ctrl.scala 326:47] + wire [1:0] _GEN_57 = 3'h4 == RspPtr ? fifo_error_4 : _GEN_56; // @[dma_ctrl.scala 326:47] + wire _T_1136 = dma_mem_addr_in_dccm | dma_mem_addr_in_pic; // @[dma_ctrl.scala 332:80] + wire [4:0] _T_1165 = fifo_rpend >> RdPtr; // @[dma_ctrl.scala 351:54] + wire _T_1167 = ~_T_1165[0]; // @[dma_ctrl.scala 351:43] + wire _T_1168 = _T_990[0] & _T_1167; // @[dma_ctrl.scala 351:41] + wire _T_1172 = _T_1168 & _T_994; // @[dma_ctrl.scala 351:62] + wire _T_1175 = ~_T_197; // @[dma_ctrl.scala 351:84] + wire dma_mem_req = _T_1172 & _T_1175; // @[dma_ctrl.scala 351:82] + wire _T_1137 = dma_mem_req & _T_1136; // @[dma_ctrl.scala 332:56] reg [2:0] dma_nack_count; // @[Reg.scala 27:20] - wire _T_1118 = dma_nack_count >= io_dec_dma_tlu_dma_dec_tlu_dma_qos_prty; // @[dma_ctrl.scala 335:121] - wire _T_1120 = dma_mem_req & dma_mem_addr_in_iccm; // @[dma_ctrl.scala 336:56] - wire _T_1127 = ~_T_165; // @[dma_ctrl.scala 346:77] - wire [2:0] _T_1129 = _T_1127 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_1131 = _T_1129 & dma_nack_count; // @[dma_ctrl.scala 346:155] - wire _T_1135 = dma_mem_req & _T_1127; // @[dma_ctrl.scala 346:203] - wire [2:0] _T_1138 = dma_nack_count + 3'h1; // @[dma_ctrl.scala 346:304] - wire _T_1164 = io_lsu_dma_dma_lsc_ctl_dma_mem_write & _T_1076; // @[dma_ctrl.scala 360:84] - wire [31:0] _T_1168 = {dma_mem_addr_int[31:3],1'h1,dma_mem_addr_int[1:0]}; // @[Cat.scala 29:58] - wire _T_1176 = io_lsu_dma_dma_lsc_ctl_dma_mem_write & _T_1077; // @[dma_ctrl.scala 361:84] - wire [4:0] _T_1179 = fifo_write >> RdPtr; // @[dma_ctrl.scala 363:53] - wire [63:0] _GEN_75 = 3'h1 == RdPtr ? fifo_data_1 : fifo_data_0; // @[dma_ctrl.scala 364:40] - wire [63:0] _GEN_76 = 3'h2 == RdPtr ? fifo_data_2 : _GEN_75; // @[dma_ctrl.scala 364:40] - wire [63:0] _GEN_77 = 3'h3 == RdPtr ? fifo_data_3 : _GEN_76; // @[dma_ctrl.scala 364:40] - reg dma_dbg_cmd_done_q; // @[dma_ctrl.scala 384:12] - wire _T_1192 = bus_cmd_valid & io_dma_bus_clk_en; // @[dma_ctrl.scala 389:44] - wire _T_1193 = _T_1192 | io_dbg_dma_dbg_ib_dbg_cmd_valid; // @[dma_ctrl.scala 389:65] - wire bus_rsp_valid = io_dma_axi_b_valid | io_dma_axi_r_valid; // @[dma_ctrl.scala 504:60] - wire _T_1194 = bus_cmd_valid | bus_rsp_valid; // @[dma_ctrl.scala 390:44] - wire _T_1195 = _T_1194 | io_dbg_dma_dbg_ib_dbg_cmd_valid; // @[dma_ctrl.scala 390:60] - wire _T_1196 = _T_1195 | io_dma_dbg_cmd_done; // @[dma_ctrl.scala 390:94] - wire _T_1197 = _T_1196 | dma_dbg_cmd_done_q; // @[dma_ctrl.scala 390:116] - wire _T_1199 = _T_1197 | _T_1123; // @[dma_ctrl.scala 390:137] - wire wrbuf_en = io_dma_axi_aw_valid & io_dma_axi_aw_ready; // @[dma_ctrl.scala 412:47] - wire wrbuf_data_en = io_dma_axi_w_valid & io_dma_axi_w_ready; // @[dma_ctrl.scala 413:46] - wire wrbuf_cmd_sent = axi_mstr_prty_en & axi_mstr_sel; // @[dma_ctrl.scala 414:40] - wire _T_1201 = ~wrbuf_en; // @[dma_ctrl.scala 415:51] - wire wrbuf_rst = wrbuf_cmd_sent & _T_1201; // @[dma_ctrl.scala 415:49] - wire _T_1203 = ~wrbuf_data_en; // @[dma_ctrl.scala 416:51] - wire wrbuf_data_rst = wrbuf_cmd_sent & _T_1203; // @[dma_ctrl.scala 416:49] - wire _T_1204 = wrbuf_en | wrbuf_vld; // @[dma_ctrl.scala 418:63] - wire _T_1205 = ~wrbuf_rst; // @[dma_ctrl.scala 418:92] - wire _T_1208 = wrbuf_data_en | wrbuf_data_vld; // @[dma_ctrl.scala 420:63] - wire _T_1209 = ~wrbuf_data_rst; // @[dma_ctrl.scala 420:102] - wire rdbuf_en = io_dma_axi_ar_valid & io_dma_axi_ar_ready; // @[dma_ctrl.scala 440:59] - wire _T_1214 = ~axi_mstr_sel; // @[dma_ctrl.scala 441:44] - wire rdbuf_cmd_sent = axi_mstr_prty_en & _T_1214; // @[dma_ctrl.scala 441:42] - wire _T_1216 = ~rdbuf_en; // @[dma_ctrl.scala 442:63] - wire rdbuf_rst = rdbuf_cmd_sent & _T_1216; // @[dma_ctrl.scala 442:61] - wire _T_1217 = rdbuf_en | rdbuf_vld; // @[dma_ctrl.scala 444:51] - wire _T_1218 = ~rdbuf_rst; // @[dma_ctrl.scala 444:80] - wire _T_1222 = ~wrbuf_cmd_sent; // @[dma_ctrl.scala 456:44] - wire _T_1223 = wrbuf_vld & _T_1222; // @[dma_ctrl.scala 456:42] - wire _T_1226 = wrbuf_data_vld & _T_1222; // @[dma_ctrl.scala 457:47] - wire _T_1228 = ~rdbuf_cmd_sent; // @[dma_ctrl.scala 458:44] - wire _T_1229 = rdbuf_vld & _T_1228; // @[dma_ctrl.scala 458:42] - wire axi_mstr_prty_in = ~axi_mstr_priority; // @[dma_ctrl.scala 477:27] - wire _T_1251 = ~_T_1088[0]; // @[dma_ctrl.scala 484:50] - wire _T_1252 = _T_1086[0] & _T_1251; // @[dma_ctrl.scala 484:48] - wire [4:0] _T_1253 = fifo_done_bus >> RspPtr; // @[dma_ctrl.scala 484:83] - wire axi_rsp_valid = _T_1252 & _T_1253[0]; // @[dma_ctrl.scala 484:68] - wire [4:0] _T_1255 = fifo_write >> RspPtr; // @[dma_ctrl.scala 486:39] - wire axi_rsp_write = _T_1255[0]; // @[dma_ctrl.scala 486:39] - wire [1:0] _T_1258 = _GEN_57[1] ? 2'h3 : 2'h0; // @[dma_ctrl.scala 487:64] - wire _GEN_86 = 3'h1 == RspPtr ? fifo_tag_1 : fifo_tag_0; // @[dma_ctrl.scala 495:33] - wire _GEN_87 = 3'h2 == RspPtr ? fifo_tag_2 : _GEN_86; // @[dma_ctrl.scala 495:33] - wire _GEN_88 = 3'h3 == RspPtr ? fifo_tag_3 : _GEN_87; // @[dma_ctrl.scala 495:33] - wire _T_1261 = ~axi_rsp_write; // @[dma_ctrl.scala 497:46] + wire _T_1138 = dma_nack_count >= io_dec_dma_tlu_dma_dec_tlu_dma_qos_prty; // @[dma_ctrl.scala 332:121] + wire _T_1140 = dma_mem_req & dma_mem_addr_in_iccm; // @[dma_ctrl.scala 333:56] + wire _T_1147 = ~_T_165; // @[dma_ctrl.scala 343:77] + wire [2:0] _T_1149 = _T_1147 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_1151 = _T_1149 & dma_nack_count; // @[dma_ctrl.scala 343:155] + wire _T_1155 = dma_mem_req & _T_1147; // @[dma_ctrl.scala 343:203] + wire [2:0] _T_1158 = dma_nack_count + 3'h1; // @[dma_ctrl.scala 343:304] + wire _T_1184 = io_lsu_dma_dma_lsc_ctl_dma_mem_write & _T_1096; // @[dma_ctrl.scala 357:84] + wire [31:0] _T_1188 = {dma_mem_addr_int[31:3],1'h1,dma_mem_addr_int[1:0]}; // @[Cat.scala 29:58] + wire _T_1196 = io_lsu_dma_dma_lsc_ctl_dma_mem_write & _T_1097; // @[dma_ctrl.scala 358:84] + wire [4:0] _T_1199 = fifo_write >> RdPtr; // @[dma_ctrl.scala 360:53] + wire [63:0] _GEN_75 = 3'h1 == RdPtr ? fifo_data_1 : fifo_data_0; // @[dma_ctrl.scala 361:40] + wire [63:0] _GEN_76 = 3'h2 == RdPtr ? fifo_data_2 : _GEN_75; // @[dma_ctrl.scala 361:40] + wire [63:0] _GEN_77 = 3'h3 == RdPtr ? fifo_data_3 : _GEN_76; // @[dma_ctrl.scala 361:40] + reg dma_dbg_cmd_done_q; // @[dma_ctrl.scala 381:12] + wire _T_1212 = bus_cmd_valid & io_dma_bus_clk_en; // @[dma_ctrl.scala 386:44] + wire _T_1213 = _T_1212 | io_dbg_dma_dbg_ib_dbg_cmd_valid; // @[dma_ctrl.scala 386:65] + wire bus_rsp_valid = io_dma_axi_b_valid | io_dma_axi_r_valid; // @[dma_ctrl.scala 501:60] + wire _T_1214 = bus_cmd_valid | bus_rsp_valid; // @[dma_ctrl.scala 387:44] + wire _T_1215 = _T_1214 | io_dbg_dma_dbg_ib_dbg_cmd_valid; // @[dma_ctrl.scala 387:60] + wire _T_1216 = _T_1215 | io_dma_dbg_cmd_done; // @[dma_ctrl.scala 387:94] + wire _T_1217 = _T_1216 | dma_dbg_cmd_done_q; // @[dma_ctrl.scala 387:116] + wire _T_1219 = _T_1217 | _T_1143; // @[dma_ctrl.scala 387:137] + wire wrbuf_en = io_dma_axi_aw_valid & io_dma_axi_aw_ready; // @[dma_ctrl.scala 409:47] + wire wrbuf_data_en = io_dma_axi_w_valid & io_dma_axi_w_ready; // @[dma_ctrl.scala 410:46] + wire wrbuf_cmd_sent = axi_mstr_prty_en & axi_mstr_sel; // @[dma_ctrl.scala 411:40] + wire _T_1221 = ~wrbuf_en; // @[dma_ctrl.scala 412:51] + wire wrbuf_rst = wrbuf_cmd_sent & _T_1221; // @[dma_ctrl.scala 412:49] + wire _T_1223 = ~wrbuf_data_en; // @[dma_ctrl.scala 413:51] + wire wrbuf_data_rst = wrbuf_cmd_sent & _T_1223; // @[dma_ctrl.scala 413:49] + wire _T_1224 = wrbuf_en | wrbuf_vld; // @[dma_ctrl.scala 415:63] + wire _T_1225 = ~wrbuf_rst; // @[dma_ctrl.scala 415:92] + wire _T_1228 = wrbuf_data_en | wrbuf_data_vld; // @[dma_ctrl.scala 417:63] + wire _T_1229 = ~wrbuf_data_rst; // @[dma_ctrl.scala 417:102] + wire rdbuf_en = io_dma_axi_ar_valid & io_dma_axi_ar_ready; // @[dma_ctrl.scala 437:59] + wire _T_1234 = ~axi_mstr_sel; // @[dma_ctrl.scala 438:44] + wire rdbuf_cmd_sent = axi_mstr_prty_en & _T_1234; // @[dma_ctrl.scala 438:42] + wire _T_1236 = ~rdbuf_en; // @[dma_ctrl.scala 439:63] + wire rdbuf_rst = rdbuf_cmd_sent & _T_1236; // @[dma_ctrl.scala 439:61] + wire _T_1237 = rdbuf_en | rdbuf_vld; // @[dma_ctrl.scala 441:51] + wire _T_1238 = ~rdbuf_rst; // @[dma_ctrl.scala 441:80] + wire _T_1242 = ~wrbuf_cmd_sent; // @[dma_ctrl.scala 453:44] + wire _T_1243 = wrbuf_vld & _T_1242; // @[dma_ctrl.scala 453:42] + wire _T_1246 = wrbuf_data_vld & _T_1242; // @[dma_ctrl.scala 454:47] + wire _T_1248 = ~rdbuf_cmd_sent; // @[dma_ctrl.scala 455:44] + wire _T_1249 = rdbuf_vld & _T_1248; // @[dma_ctrl.scala 455:42] + wire axi_mstr_prty_in = ~axi_mstr_priority; // @[dma_ctrl.scala 474:27] + wire _T_1271 = ~_T_1108[0]; // @[dma_ctrl.scala 481:50] + wire _T_1272 = _T_1106[0] & _T_1271; // @[dma_ctrl.scala 481:48] + wire [4:0] _T_1273 = fifo_done_bus >> RspPtr; // @[dma_ctrl.scala 481:83] + wire axi_rsp_valid = _T_1272 & _T_1273[0]; // @[dma_ctrl.scala 481:68] + wire [4:0] _T_1275 = fifo_write >> RspPtr; // @[dma_ctrl.scala 483:39] + wire axi_rsp_write = _T_1275[0]; // @[dma_ctrl.scala 483:39] + wire [1:0] _T_1278 = _GEN_57[1] ? 2'h3 : 2'h0; // @[dma_ctrl.scala 484:64] + wire _GEN_86 = 3'h1 == RspPtr ? fifo_tag_1 : fifo_tag_0; // @[dma_ctrl.scala 492:33] + wire _GEN_87 = 3'h2 == RspPtr ? fifo_tag_2 : _GEN_86; // @[dma_ctrl.scala 492:33] + wire _GEN_88 = 3'h3 == RspPtr ? fifo_tag_3 : _GEN_87; // @[dma_ctrl.scala 492:33] + wire _T_1281 = ~axi_rsp_write; // @[dma_ctrl.scala 494:46] rvclkhdr rvclkhdr ( // @[lib.scala 368:23] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -79200,19 +79313,19 @@ module dma_ctrl( .io_en(rvclkhdr_9_io_en), .io_scan_mode(rvclkhdr_9_io_scan_mode) ); - rvclkhdr dma_buffer_c1cgc ( // @[dma_ctrl.scala 392:32] + rvclkhdr dma_buffer_c1cgc ( // @[dma_ctrl.scala 389:32] .io_l1clk(dma_buffer_c1cgc_io_l1clk), .io_clk(dma_buffer_c1cgc_io_clk), .io_en(dma_buffer_c1cgc_io_en), .io_scan_mode(dma_buffer_c1cgc_io_scan_mode) ); - rvclkhdr dma_free_cgc ( // @[dma_ctrl.scala 398:28] + rvclkhdr dma_free_cgc ( // @[dma_ctrl.scala 395:28] .io_l1clk(dma_free_cgc_io_l1clk), .io_clk(dma_free_cgc_io_clk), .io_en(dma_free_cgc_io_en), .io_scan_mode(dma_free_cgc_io_scan_mode) ); - rvclkhdr dma_bus_cgc ( // @[dma_ctrl.scala 404:27] + rvclkhdr dma_bus_cgc ( // @[dma_ctrl.scala 401:27] .io_l1clk(dma_bus_cgc_io_l1clk), .io_clk(dma_bus_cgc_io_clk), .io_en(dma_bus_cgc_io_en), @@ -79236,42 +79349,42 @@ module dma_ctrl( .io_en(rvclkhdr_12_io_en), .io_scan_mode(rvclkhdr_12_io_scan_mode) ); - assign io_dma_dbg_rddata = _GEN_47[2] ? _GEN_52[63:32] : _GEN_52[31:0]; // @[dma_ctrl.scala 328:25] - assign io_dma_dbg_cmd_done = _T_1090 & _T_1091[0]; // @[dma_ctrl.scala 327:25] - assign io_dma_dbg_cmd_fail = |_GEN_57; // @[dma_ctrl.scala 329:25] - assign io_dbg_dma_io_dma_dbg_ready = fifo_empty & dbg_dma_bubble_bus; // @[dma_ctrl.scala 326:36] - assign io_dec_dma_dctl_dma_dma_dccm_stall_any = io_dec_dma_tlu_dma_dma_dccm_stall_any; // @[dma_ctrl.scala 338:42] - assign io_dec_dma_tlu_dma_dma_pmu_dccm_read = io_lsu_dma_dma_lsc_ctl_dma_dccm_req & _T_166; // @[dma_ctrl.scala 368:42] - assign io_dec_dma_tlu_dma_dma_pmu_dccm_write = io_lsu_dma_dma_lsc_ctl_dma_dccm_req & io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[dma_ctrl.scala 369:42] - assign io_dec_dma_tlu_dma_dma_pmu_any_read = _T_165 & _T_166; // @[dma_ctrl.scala 370:42] - assign io_dec_dma_tlu_dma_dma_pmu_any_write = _T_165 & io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[dma_ctrl.scala 371:42] - assign io_dec_dma_tlu_dma_dma_dccm_stall_any = _T_1117 & _T_1118; // @[dma_ctrl.scala 335:41] - assign io_dec_dma_tlu_dma_dma_iccm_stall_any = io_ifu_dma_dma_ifc_dma_iccm_stall_any; // @[dma_ctrl.scala 337:41] - assign io_dma_axi_aw_ready = ~_T_1223; // @[dma_ctrl.scala 456:27] - assign io_dma_axi_w_ready = ~_T_1226; // @[dma_ctrl.scala 457:27] - assign io_dma_axi_b_valid = axi_rsp_valid & axi_rsp_write; // @[dma_ctrl.scala 493:27] - assign io_dma_axi_b_bits_resp = _GEN_57[0] ? 2'h2 : _T_1258; // @[dma_ctrl.scala 494:41] - assign io_dma_axi_b_bits_id = 3'h4 == RspPtr ? fifo_tag_4 : _GEN_88; // @[dma_ctrl.scala 495:33] - assign io_dma_axi_ar_ready = ~_T_1229; // @[dma_ctrl.scala 458:27] - assign io_dma_axi_r_valid = axi_rsp_valid & _T_1261; // @[dma_ctrl.scala 497:27] - assign io_dma_axi_r_bits_id = 3'h4 == RspPtr ? fifo_tag_4 : _GEN_88; // @[dma_ctrl.scala 501:37] - assign io_dma_axi_r_bits_data = 3'h4 == RspPtr ? fifo_data_4 : _GEN_51; // @[dma_ctrl.scala 499:43] - assign io_dma_axi_r_bits_resp = _GEN_57[0] ? 2'h2 : _T_1258; // @[dma_ctrl.scala 498:41] - assign io_lsu_dma_dma_lsc_ctl_dma_dccm_req = _T_1117 & io_lsu_dma_dccm_ready; // @[dma_ctrl.scala 355:40] - assign io_lsu_dma_dma_lsc_ctl_dma_mem_addr = _T_1164 ? _T_1168 : dma_mem_addr_int; // @[dma_ctrl.scala 360:40] - assign io_lsu_dma_dma_lsc_ctl_dma_mem_sz = _T_1176 ? 3'h2 : dma_mem_sz_int; // @[dma_ctrl.scala 361:40] - assign io_lsu_dma_dma_lsc_ctl_dma_mem_write = _T_1179[0]; // @[dma_ctrl.scala 363:40] - assign io_lsu_dma_dma_lsc_ctl_dma_mem_wdata = 3'h4 == RdPtr ? fifo_data_4 : _GEN_77; // @[dma_ctrl.scala 364:40] - assign io_lsu_dma_dma_dccm_ctl_dma_mem_addr = io_lsu_dma_dma_lsc_ctl_dma_mem_addr; // @[dma_ctrl.scala 506:40] - assign io_lsu_dma_dma_dccm_ctl_dma_mem_wdata = io_lsu_dma_dma_lsc_ctl_dma_mem_wdata; // @[dma_ctrl.scala 507:41] - assign io_lsu_dma_dma_mem_tag = RdPtr; // @[dma_ctrl.scala 357:28] - assign io_ifu_dma_dma_ifc_dma_iccm_stall_any = _T_1120 & _T_1118; // @[dma_ctrl.scala 336:41] - assign io_ifu_dma_dma_mem_ctl_dma_iccm_req = _T_1120 & io_iccm_ready; // @[dma_ctrl.scala 356:40] - assign io_ifu_dma_dma_mem_ctl_dma_mem_addr = io_lsu_dma_dma_lsc_ctl_dma_mem_addr; // @[dma_ctrl.scala 509:39] - assign io_ifu_dma_dma_mem_ctl_dma_mem_sz = io_lsu_dma_dma_lsc_ctl_dma_mem_sz; // @[dma_ctrl.scala 508:37] - assign io_ifu_dma_dma_mem_ctl_dma_mem_write = io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[dma_ctrl.scala 511:40] - assign io_ifu_dma_dma_mem_ctl_dma_mem_wdata = io_lsu_dma_dma_lsc_ctl_dma_mem_wdata; // @[dma_ctrl.scala 510:40] - assign io_ifu_dma_dma_mem_ctl_dma_mem_tag = io_lsu_dma_dma_mem_tag; // @[dma_ctrl.scala 512:38] + assign io_dma_dbg_rddata = _GEN_47[2] ? _GEN_52[63:32] : _GEN_52[31:0]; // @[dma_ctrl.scala 325:25] + assign io_dma_dbg_cmd_done = _T_1110 & _T_1111[0]; // @[dma_ctrl.scala 324:25] + assign io_dma_dbg_cmd_fail = |_GEN_57; // @[dma_ctrl.scala 326:25] + assign io_dbg_dma_io_dma_dbg_ready = fifo_empty & dbg_dma_bubble_bus; // @[dma_ctrl.scala 323:36] + assign io_dec_dma_dctl_dma_dma_dccm_stall_any = io_dec_dma_tlu_dma_dma_dccm_stall_any; // @[dma_ctrl.scala 335:42] + assign io_dec_dma_tlu_dma_dma_pmu_dccm_read = io_lsu_dma_dma_lsc_ctl_dma_dccm_req & _T_166; // @[dma_ctrl.scala 365:42] + assign io_dec_dma_tlu_dma_dma_pmu_dccm_write = io_lsu_dma_dma_lsc_ctl_dma_dccm_req & io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[dma_ctrl.scala 366:42] + assign io_dec_dma_tlu_dma_dma_pmu_any_read = _T_165 & _T_166; // @[dma_ctrl.scala 367:42] + assign io_dec_dma_tlu_dma_dma_pmu_any_write = _T_165 & io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[dma_ctrl.scala 368:42] + assign io_dec_dma_tlu_dma_dma_dccm_stall_any = _T_1137 & _T_1138; // @[dma_ctrl.scala 332:41] + assign io_dec_dma_tlu_dma_dma_iccm_stall_any = io_ifu_dma_dma_ifc_dma_iccm_stall_any; // @[dma_ctrl.scala 334:41] + assign io_dma_axi_aw_ready = ~_T_1243; // @[dma_ctrl.scala 453:27] + assign io_dma_axi_w_ready = ~_T_1246; // @[dma_ctrl.scala 454:27] + assign io_dma_axi_b_valid = axi_rsp_valid & axi_rsp_write; // @[dma_ctrl.scala 490:27] + assign io_dma_axi_b_bits_resp = _GEN_57[0] ? 2'h2 : _T_1278; // @[dma_ctrl.scala 491:41] + assign io_dma_axi_b_bits_id = 3'h4 == RspPtr ? fifo_tag_4 : _GEN_88; // @[dma_ctrl.scala 492:33] + assign io_dma_axi_ar_ready = ~_T_1249; // @[dma_ctrl.scala 455:27] + assign io_dma_axi_r_valid = axi_rsp_valid & _T_1281; // @[dma_ctrl.scala 494:27] + assign io_dma_axi_r_bits_id = 3'h4 == RspPtr ? fifo_tag_4 : _GEN_88; // @[dma_ctrl.scala 498:37] + assign io_dma_axi_r_bits_data = 3'h4 == RspPtr ? fifo_data_4 : _GEN_51; // @[dma_ctrl.scala 496:43] + assign io_dma_axi_r_bits_resp = _GEN_57[0] ? 2'h2 : _T_1278; // @[dma_ctrl.scala 495:41] + assign io_lsu_dma_dma_lsc_ctl_dma_dccm_req = _T_1137 & io_lsu_dma_dccm_ready; // @[dma_ctrl.scala 352:40] + assign io_lsu_dma_dma_lsc_ctl_dma_mem_addr = _T_1184 ? _T_1188 : dma_mem_addr_int; // @[dma_ctrl.scala 357:40] + assign io_lsu_dma_dma_lsc_ctl_dma_mem_sz = _T_1196 ? 3'h2 : dma_mem_sz_int; // @[dma_ctrl.scala 358:40] + assign io_lsu_dma_dma_lsc_ctl_dma_mem_write = _T_1199[0]; // @[dma_ctrl.scala 360:40] + assign io_lsu_dma_dma_lsc_ctl_dma_mem_wdata = 3'h4 == RdPtr ? fifo_data_4 : _GEN_77; // @[dma_ctrl.scala 361:40] + assign io_lsu_dma_dma_dccm_ctl_dma_mem_addr = io_lsu_dma_dma_lsc_ctl_dma_mem_addr; // @[dma_ctrl.scala 503:40] + assign io_lsu_dma_dma_dccm_ctl_dma_mem_wdata = io_lsu_dma_dma_lsc_ctl_dma_mem_wdata; // @[dma_ctrl.scala 504:41] + assign io_lsu_dma_dma_mem_tag = RdPtr; // @[dma_ctrl.scala 354:28] + assign io_ifu_dma_dma_ifc_dma_iccm_stall_any = _T_1140 & _T_1138; // @[dma_ctrl.scala 333:41] + assign io_ifu_dma_dma_mem_ctl_dma_iccm_req = _T_1140 & io_iccm_ready; // @[dma_ctrl.scala 353:40] + assign io_ifu_dma_dma_mem_ctl_dma_mem_addr = io_lsu_dma_dma_lsc_ctl_dma_mem_addr; // @[dma_ctrl.scala 506:39] + assign io_ifu_dma_dma_mem_ctl_dma_mem_sz = io_lsu_dma_dma_lsc_ctl_dma_mem_sz; // @[dma_ctrl.scala 505:37] + assign io_ifu_dma_dma_mem_ctl_dma_mem_write = io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[dma_ctrl.scala 508:40] + assign io_ifu_dma_dma_mem_ctl_dma_mem_wdata = io_lsu_dma_dma_lsc_ctl_dma_mem_wdata; // @[dma_ctrl.scala 507:40] + assign io_ifu_dma_dma_mem_ctl_dma_mem_tag = io_lsu_dma_dma_mem_tag; // @[dma_ctrl.scala 509:38] assign rvclkhdr_io_clk = clock; // @[lib.scala 370:18] assign rvclkhdr_io_en = fifo_cmd_en[0]; // @[lib.scala 371:17] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] @@ -79302,15 +79415,15 @@ module dma_ctrl( assign rvclkhdr_9_io_clk = clock; // @[lib.scala 370:18] assign rvclkhdr_9_io_en = fifo_data_en[4]; // @[lib.scala 371:17] assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] - assign dma_buffer_c1cgc_io_clk = clock; // @[dma_ctrl.scala 395:33] - assign dma_buffer_c1cgc_io_en = _T_1193 | io_clk_override; // @[dma_ctrl.scala 393:33] - assign dma_buffer_c1cgc_io_scan_mode = io_scan_mode; // @[dma_ctrl.scala 394:33] - assign dma_free_cgc_io_clk = clock; // @[dma_ctrl.scala 401:29] - assign dma_free_cgc_io_en = _T_1199 | io_clk_override; // @[dma_ctrl.scala 399:29] - assign dma_free_cgc_io_scan_mode = io_scan_mode; // @[dma_ctrl.scala 400:29] - assign dma_bus_cgc_io_clk = clock; // @[dma_ctrl.scala 407:28] - assign dma_bus_cgc_io_en = io_dma_bus_clk_en; // @[dma_ctrl.scala 405:28] - assign dma_bus_cgc_io_scan_mode = io_scan_mode; // @[dma_ctrl.scala 406:28] + assign dma_buffer_c1cgc_io_clk = clock; // @[dma_ctrl.scala 392:33] + assign dma_buffer_c1cgc_io_en = _T_1213 | io_clk_override; // @[dma_ctrl.scala 390:33] + assign dma_buffer_c1cgc_io_scan_mode = io_scan_mode; // @[dma_ctrl.scala 391:33] + assign dma_free_cgc_io_clk = clock; // @[dma_ctrl.scala 398:29] + assign dma_free_cgc_io_en = _T_1219 | io_clk_override; // @[dma_ctrl.scala 396:29] + assign dma_free_cgc_io_scan_mode = io_scan_mode; // @[dma_ctrl.scala 397:29] + assign dma_bus_cgc_io_clk = clock; // @[dma_ctrl.scala 404:28] + assign dma_bus_cgc_io_en = io_dma_bus_clk_en; // @[dma_ctrl.scala 402:28] + assign dma_bus_cgc_io_scan_mode = io_scan_mode; // @[dma_ctrl.scala 403:28] assign rvclkhdr_10_io_clk = clock; // @[lib.scala 370:18] assign rvclkhdr_10_io_en = wrbuf_en & io_dma_bus_clk_en; // @[lib.scala 371:17] assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] @@ -79825,21 +79938,21 @@ end // initial if (reset) begin wrbuf_vld <= 1'h0; end else begin - wrbuf_vld <= _T_1204 & _T_1205; + wrbuf_vld <= _T_1224 & _T_1225; end end always @(posedge dma_bus_clk or posedge reset) begin if (reset) begin wrbuf_data_vld <= 1'h0; end else begin - wrbuf_data_vld <= _T_1208 & _T_1209; + wrbuf_data_vld <= _T_1228 & _T_1229; end end always @(posedge dma_bus_clk or posedge reset) begin if (reset) begin rdbuf_vld <= 1'h0; end else begin - rdbuf_vld <= _T_1217 & _T_1218; + rdbuf_vld <= _T_1237 & _T_1238; end end always @(posedge dma_bus_clk or posedge reset) begin @@ -79888,7 +80001,7 @@ end // initial if (reset) begin fifo_full <= 1'h0; end else begin - fifo_full <= num_fifo_vld_tmp2 >= 4'h5; + fifo_full <= num_fifo_vld >= 4'h5; end end always @(posedge dma_bus_clk or posedge reset) begin @@ -80237,10 +80350,10 @@ end // initial end else if (fifo_cmd_en[0]) begin if (io_dbg_dma_dbg_ib_dbg_cmd_valid) begin _T_850 <= io_dbg_dma_dbg_ib_dbg_cmd_write; - end else if (_T_1241) begin + end else if (_T_1261) begin _T_850 <= axi_mstr_priority; end else begin - _T_850 <= _T_1240; + _T_850 <= _T_1260; end end end @@ -80250,10 +80363,10 @@ end // initial end else if (fifo_cmd_en[1]) begin if (io_dbg_dma_dbg_ib_dbg_cmd_valid) begin _T_852 <= io_dbg_dma_dbg_ib_dbg_cmd_write; - end else if (_T_1241) begin + end else if (_T_1261) begin _T_852 <= axi_mstr_priority; end else begin - _T_852 <= _T_1240; + _T_852 <= _T_1260; end end end @@ -80263,10 +80376,10 @@ end // initial end else if (fifo_cmd_en[2]) begin if (io_dbg_dma_dbg_ib_dbg_cmd_valid) begin _T_854 <= io_dbg_dma_dbg_ib_dbg_cmd_write; - end else if (_T_1241) begin + end else if (_T_1261) begin _T_854 <= axi_mstr_priority; end else begin - _T_854 <= _T_1240; + _T_854 <= _T_1260; end end end @@ -80276,10 +80389,10 @@ end // initial end else if (fifo_cmd_en[3]) begin if (io_dbg_dma_dbg_ib_dbg_cmd_valid) begin _T_856 <= io_dbg_dma_dbg_ib_dbg_cmd_write; - end else if (_T_1241) begin + end else if (_T_1261) begin _T_856 <= axi_mstr_priority; end else begin - _T_856 <= _T_1240; + _T_856 <= _T_1260; end end end @@ -80300,7 +80413,7 @@ end // initial end else if (_T_87) begin fifo_data_0 <= io_iccm_dma_rdata; end else if (io_dbg_dma_dbg_ib_dbg_cmd_valid) begin - fifo_data_0 <= {{60'd0}, _T_498}; + fifo_data_0 <= _T_498; end else begin fifo_data_0 <= wrbuf_data; end @@ -80315,7 +80428,7 @@ end // initial end else if (_T_105) begin fifo_data_1 <= io_iccm_dma_rdata; end else if (io_dbg_dma_dbg_ib_dbg_cmd_valid) begin - fifo_data_1 <= {{60'd0}, _T_498}; + fifo_data_1 <= _T_498; end else begin fifo_data_1 <= wrbuf_data; end @@ -80330,7 +80443,7 @@ end // initial end else if (_T_123) begin fifo_data_2 <= io_iccm_dma_rdata; end else if (io_dbg_dma_dbg_ib_dbg_cmd_valid) begin - fifo_data_2 <= {{60'd0}, _T_498}; + fifo_data_2 <= _T_498; end else begin fifo_data_2 <= wrbuf_data; end @@ -80345,7 +80458,7 @@ end // initial end else if (_T_141) begin fifo_data_3 <= io_iccm_dma_rdata; end else if (io_dbg_dma_dbg_ib_dbg_cmd_valid) begin - fifo_data_3 <= {{60'd0}, _T_498}; + fifo_data_3 <= _T_498; end else begin fifo_data_3 <= wrbuf_data; end @@ -80432,10 +80545,10 @@ end // initial if (reset) begin dma_nack_count <= 3'h0; end else if (dma_mem_req) begin - if (_T_1118) begin - dma_nack_count <= _T_1131; - end else if (_T_1135) begin - dma_nack_count <= _T_1138; + if (_T_1138) begin + dma_nack_count <= _T_1151; + end else if (_T_1155) begin + dma_nack_count <= _T_1158; end else begin dma_nack_count <= 3'h0; end @@ -80608,18 +80721,64 @@ module quasar( output [63:0] io_dma_axi_r_bits_data, output [1:0] io_dma_axi_r_bits_resp, output io_dma_axi_r_bits_last, + input [63:0] io_lsu_ahb_in_hrdata, + input io_lsu_ahb_in_hready, + input io_lsu_ahb_in_hresp, + output [31:0] io_lsu_ahb_out_haddr, + output [2:0] io_lsu_ahb_out_hburst, + output io_lsu_ahb_out_hmastlock, + output [3:0] io_lsu_ahb_out_hprot, + output [2:0] io_lsu_ahb_out_hsize, + output [1:0] io_lsu_ahb_out_htrans, + output io_lsu_ahb_out_hwrite, + output [63:0] io_lsu_ahb_out_hwdata, + input [63:0] io_ifu_ahb_in_hrdata, + input io_ifu_ahb_in_hready, + input io_ifu_ahb_in_hresp, + output [31:0] io_ifu_ahb_out_haddr, + output [2:0] io_ifu_ahb_out_hburst, + output io_ifu_ahb_out_hmastlock, + output [3:0] io_ifu_ahb_out_hprot, + output [2:0] io_ifu_ahb_out_hsize, + output [1:0] io_ifu_ahb_out_htrans, + output io_ifu_ahb_out_hwrite, + output [63:0] io_ifu_ahb_out_hwdata, + input [63:0] io_sb_ahb_in_hrdata, + input io_sb_ahb_in_hready, + input io_sb_ahb_in_hresp, + output [31:0] io_sb_ahb_out_haddr, + output [2:0] io_sb_ahb_out_hburst, + output io_sb_ahb_out_hmastlock, + output [3:0] io_sb_ahb_out_hprot, + output [2:0] io_sb_ahb_out_hsize, + output [1:0] io_sb_ahb_out_htrans, + output io_sb_ahb_out_hwrite, + output [63:0] io_sb_ahb_out_hwdata, + output [63:0] io_dma_ahb_sig_in_hrdata, + output io_dma_ahb_sig_in_hready, + output io_dma_ahb_sig_in_hresp, + input [31:0] io_dma_ahb_sig_out_haddr, + input [2:0] io_dma_ahb_sig_out_hburst, + input io_dma_ahb_sig_out_hmastlock, + input [3:0] io_dma_ahb_sig_out_hprot, + input [2:0] io_dma_ahb_sig_out_hsize, + input [1:0] io_dma_ahb_sig_out_htrans, + input io_dma_ahb_sig_out_hwrite, + input [63:0] io_dma_ahb_sig_out_hwdata, + input io_dma_ahb_hsel, + input io_dma_ahb_hreadyin, input io_dbg_rst_l, input [30:0] io_rst_vec, input io_nmi_int, input [30:0] io_nmi_vec, output io_core_rst_l, - output [1:0] io_trace_rv_i_valid_ip, - output [31:0] io_trace_rv_i_insn_ip, - output [31:0] io_trace_rv_i_address_ip, - output [1:0] io_trace_rv_i_exception_ip, - output [4:0] io_trace_rv_i_ecause_ip, - output [1:0] io_trace_rv_i_interrupt_ip, - output [31:0] io_trace_rv_i_tval_ip, + output [1:0] io_rv_trace_pkt_rv_i_valid_ip, + output [31:0] io_rv_trace_pkt_rv_i_insn_ip, + output [31:0] io_rv_trace_pkt_rv_i_address_ip, + output [1:0] io_rv_trace_pkt_rv_i_exception_ip, + output [4:0] io_rv_trace_pkt_rv_i_ecause_ip, + output [1:0] io_rv_trace_pkt_rv_i_interrupt_ip, + output [31:0] io_rv_trace_pkt_rv_i_tval_ip, output io_dccm_clk_override, output io_icm_clk_override, output io_dec_tlu_core_ecc_disable, @@ -80640,16 +80799,16 @@ module quasar( output io_dec_tlu_perfcnt1, output io_dec_tlu_perfcnt2, output io_dec_tlu_perfcnt3, - output io_swerv_mem_wren, - output io_swerv_mem_rden, - output [15:0] io_swerv_mem_wr_addr_lo, - output [15:0] io_swerv_mem_wr_addr_hi, - output [15:0] io_swerv_mem_rd_addr_lo, - output [15:0] io_swerv_mem_rd_addr_hi, - output [38:0] io_swerv_mem_wr_data_lo, - output [38:0] io_swerv_mem_wr_data_hi, - input [38:0] io_swerv_mem_rd_data_lo, - input [38:0] io_swerv_mem_rd_data_hi, + output io_dccm_wren, + output io_dccm_rden, + output [15:0] io_dccm_wr_addr_lo, + output [15:0] io_dccm_wr_addr_hi, + output [15:0] io_dccm_rd_addr_lo, + output [15:0] io_dccm_rd_addr_hi, + output [38:0] io_dccm_wr_data_lo, + output [38:0] io_dccm_wr_data_hi, + input [38:0] io_dccm_rd_data_lo, + input [38:0] io_dccm_rd_data_hi, output [30:0] io_ic_rw_addr, output [1:0] io_ic_tag_valid, output [1:0] io_ic_wr_en, @@ -80680,51 +80839,6 @@ module quasar( output [77:0] io_iccm_wr_data, input [63:0] io_iccm_rd_data, input [77:0] io_iccm_rd_data_ecc, - output [31:0] io_haddr, - output [2:0] io_hburst, - output io_hmastlock, - output [3:0] io_hprot, - output [2:0] io_hsize, - output [1:0] io_htrans, - output io_hwrite, - input [63:0] io_hrdata, - input io_hready, - input io_hresp, - output [31:0] io_lsu_haddr, - output [2:0] io_lsu_hburst, - output io_lsu_hmastlock, - output [3:0] io_lsu_hprot, - output [2:0] io_lsu_hsize, - output [1:0] io_lsu_htrans, - output io_lsu_hwrite, - output [63:0] io_lsu_hwdata, - input [63:0] io_lsu_hrdata, - input io_lsu_hready, - input io_lsu_hresp, - output [31:0] io_sb_haddr, - output [2:0] io_sb_hburst, - output io_sb_hmastlock, - output [3:0] io_sb_hprot, - output [2:0] io_sb_hsize, - output [1:0] io_sb_htrans, - output io_sb_hwrite, - output [63:0] io_sb_hwdata, - input [63:0] io_sb_hrdata, - input io_sb_hready, - input io_sb_hresp, - input io_dma_hsel, - input [31:0] io_dma_haddr, - input [2:0] io_dma_hburst, - input io_dma_hmastlock, - input [3:0] io_dma_hprot, - input [2:0] io_dma_hsize, - input [1:0] io_dma_htrans, - input io_dma_hwrite, - input [63:0] io_dma_hwdata, - input io_dma_hreadyin, - output [63:0] io_dma_hrdata, - output io_dma_hreadyout, - output io_dma_hresp, input io_lsu_bus_clk_en, input io_ifu_bus_clk_en, input io_dbg_bus_clk_en, @@ -80740,792 +80854,794 @@ module quasar( input io_soft_int, input io_scan_mode ); - wire ifu_clock; // @[quasar.scala 158:19] - wire ifu_reset; // @[quasar.scala 158:19] - wire ifu_io_exu_flush_final; // @[quasar.scala 158:19] - wire [30:0] ifu_io_exu_flush_path_final; // @[quasar.scala 158:19] - wire ifu_io_free_clk; // @[quasar.scala 158:19] - wire ifu_io_active_clk; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_aln_aln_dec_dec_i0_decode_d; // @[quasar.scala 158:19] - wire [15:0] ifu_io_ifu_dec_dec_aln_aln_dec_ifu_i0_cinst; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf; // @[quasar.scala 158:19] - wire [1:0] ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_type; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_f1; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc; // @[quasar.scala 158:19] - wire [7:0] ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_index; // @[quasar.scala 158:19] - wire [7:0] ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_fghr; // @[quasar.scala 158:19] - wire [4:0] ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_btag; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_valid; // @[quasar.scala 158:19] - wire [31:0] ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr; // @[quasar.scala 158:19] - wire [30:0] ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc4; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_valid; // @[quasar.scala 158:19] - wire [11:0] ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset; // @[quasar.scala 158:19] - wire [1:0] ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error; // @[quasar.scala 158:19] - wire [30:0] ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_prett; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_way; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_aln_ifu_pmu_instr_aligned; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_flush_err_wb; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_i0_commit_cmt; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_force_halt; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_fence_i_wb; // @[quasar.scala 158:19] - wire [70:0] ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wrdata; // @[quasar.scala 158:19] - wire [16:0] ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_dicawics; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_core_ecc_disable; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_miss; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_hit; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_error; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_busy; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_trxn; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_mem_ctrl_ifu_ic_error_start; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_mem_ctrl_ifu_iccm_rd_ecc_single_err; // @[quasar.scala 158:19] - wire [70:0] ifu_io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data_valid; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_mem_ctrl_ifu_miss_state_idle; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_ifc_dec_tlu_flush_noredir_wb; // @[quasar.scala 158:19] - wire [31:0] ifu_io_ifu_dec_dec_ifc_dec_tlu_mrac_ff; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_ifc_ifu_pmu_fetch_stall; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_valid; // @[quasar.scala 158:19] - wire [1:0] ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_hist; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_error; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_way; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_middle; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_bp_dec_tlu_flush_leak_one_wb; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_bp_dec_tlu_bpred_disable; // @[quasar.scala 158:19] - wire [7:0] ifu_io_exu_ifu_exu_bp_exu_i0_br_index_r; // @[quasar.scala 158:19] - wire [7:0] ifu_io_exu_ifu_exu_bp_exu_i0_br_fghr_r; // @[quasar.scala 158:19] - wire ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_misp; // @[quasar.scala 158:19] - wire ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_ataken; // @[quasar.scala 158:19] - wire ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_boffset; // @[quasar.scala 158:19] - wire ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_pc4; // @[quasar.scala 158:19] - wire [1:0] ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_hist; // @[quasar.scala 158:19] - wire [11:0] ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_toffset; // @[quasar.scala 158:19] - wire ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_pcall; // @[quasar.scala 158:19] - wire ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_pret; // @[quasar.scala 158:19] - wire ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_pja; // @[quasar.scala 158:19] - wire ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_way; // @[quasar.scala 158:19] - wire [7:0] ifu_io_exu_ifu_exu_bp_exu_mp_eghr; // @[quasar.scala 158:19] - wire [7:0] ifu_io_exu_ifu_exu_bp_exu_mp_fghr; // @[quasar.scala 158:19] - wire [7:0] ifu_io_exu_ifu_exu_bp_exu_mp_index; // @[quasar.scala 158:19] - wire [4:0] ifu_io_exu_ifu_exu_bp_exu_mp_btag; // @[quasar.scala 158:19] - wire [14:0] ifu_io_iccm_rw_addr; // @[quasar.scala 158:19] - wire ifu_io_iccm_buf_correct_ecc; // @[quasar.scala 158:19] - wire ifu_io_iccm_correction_state; // @[quasar.scala 158:19] - wire ifu_io_iccm_wren; // @[quasar.scala 158:19] - wire ifu_io_iccm_rden; // @[quasar.scala 158:19] - wire [2:0] ifu_io_iccm_wr_size; // @[quasar.scala 158:19] - wire [77:0] ifu_io_iccm_wr_data; // @[quasar.scala 158:19] - wire [63:0] ifu_io_iccm_rd_data; // @[quasar.scala 158:19] - wire [77:0] ifu_io_iccm_rd_data_ecc; // @[quasar.scala 158:19] - wire [30:0] ifu_io_ic_rw_addr; // @[quasar.scala 158:19] - wire [1:0] ifu_io_ic_tag_valid; // @[quasar.scala 158:19] - wire [1:0] ifu_io_ic_wr_en; // @[quasar.scala 158:19] - wire ifu_io_ic_rd_en; // @[quasar.scala 158:19] - wire [70:0] ifu_io_ic_wr_data_0; // @[quasar.scala 158:19] - wire [70:0] ifu_io_ic_wr_data_1; // @[quasar.scala 158:19] - wire [70:0] ifu_io_ic_debug_wr_data; // @[quasar.scala 158:19] - wire [9:0] ifu_io_ic_debug_addr; // @[quasar.scala 158:19] - wire [63:0] ifu_io_ic_rd_data; // @[quasar.scala 158:19] - wire [70:0] ifu_io_ic_debug_rd_data; // @[quasar.scala 158:19] - wire [25:0] ifu_io_ic_tag_debug_rd_data; // @[quasar.scala 158:19] - wire [1:0] ifu_io_ic_eccerr; // @[quasar.scala 158:19] - wire [1:0] ifu_io_ic_rd_hit; // @[quasar.scala 158:19] - wire ifu_io_ic_tag_perr; // @[quasar.scala 158:19] - wire ifu_io_ic_debug_rd_en; // @[quasar.scala 158:19] - wire ifu_io_ic_debug_wr_en; // @[quasar.scala 158:19] - wire ifu_io_ic_debug_tag_array; // @[quasar.scala 158:19] - wire [1:0] ifu_io_ic_debug_way; // @[quasar.scala 158:19] - wire [63:0] ifu_io_ic_premux_data; // @[quasar.scala 158:19] - wire ifu_io_ic_sel_premux_data; // @[quasar.scala 158:19] - wire ifu_io_ifu_ar_ready; // @[quasar.scala 158:19] - wire ifu_io_ifu_ar_valid; // @[quasar.scala 158:19] - wire [2:0] ifu_io_ifu_ar_bits_id; // @[quasar.scala 158:19] - wire [31:0] ifu_io_ifu_ar_bits_addr; // @[quasar.scala 158:19] - wire [3:0] ifu_io_ifu_ar_bits_region; // @[quasar.scala 158:19] - wire ifu_io_ifu_r_valid; // @[quasar.scala 158:19] - wire [2:0] ifu_io_ifu_r_bits_id; // @[quasar.scala 158:19] - wire [63:0] ifu_io_ifu_r_bits_data; // @[quasar.scala 158:19] - wire [1:0] ifu_io_ifu_r_bits_resp; // @[quasar.scala 158:19] - wire ifu_io_ifu_bus_clk_en; // @[quasar.scala 158:19] - wire ifu_io_ifu_dma_dma_ifc_dma_iccm_stall_any; // @[quasar.scala 158:19] - wire ifu_io_ifu_dma_dma_mem_ctl_dma_iccm_req; // @[quasar.scala 158:19] - wire [31:0] ifu_io_ifu_dma_dma_mem_ctl_dma_mem_addr; // @[quasar.scala 158:19] - wire [2:0] ifu_io_ifu_dma_dma_mem_ctl_dma_mem_sz; // @[quasar.scala 158:19] - wire ifu_io_ifu_dma_dma_mem_ctl_dma_mem_write; // @[quasar.scala 158:19] - wire [63:0] ifu_io_ifu_dma_dma_mem_ctl_dma_mem_wdata; // @[quasar.scala 158:19] - wire [2:0] ifu_io_ifu_dma_dma_mem_ctl_dma_mem_tag; // @[quasar.scala 158:19] - wire ifu_io_iccm_dma_ecc_error; // @[quasar.scala 158:19] - wire ifu_io_iccm_dma_rvalid; // @[quasar.scala 158:19] - wire [63:0] ifu_io_iccm_dma_rdata; // @[quasar.scala 158:19] - wire [2:0] ifu_io_iccm_dma_rtag; // @[quasar.scala 158:19] - wire ifu_io_iccm_ready; // @[quasar.scala 158:19] - wire ifu_io_iccm_dma_sb_error; // @[quasar.scala 158:19] - wire ifu_io_dec_tlu_flush_lower_wb; // @[quasar.scala 158:19] - wire ifu_io_scan_mode; // @[quasar.scala 158:19] - wire dec_clock; // @[quasar.scala 159:19] - wire dec_reset; // @[quasar.scala 159:19] - wire dec_io_free_clk; // @[quasar.scala 159:19] - wire dec_io_active_clk; // @[quasar.scala 159:19] - wire dec_io_lsu_fastint_stall_any; // @[quasar.scala 159:19] - wire dec_io_dec_pause_state_cg; // @[quasar.scala 159:19] - wire [30:0] dec_io_rst_vec; // @[quasar.scala 159:19] - wire dec_io_nmi_int; // @[quasar.scala 159:19] - wire [30:0] dec_io_nmi_vec; // @[quasar.scala 159:19] - wire dec_io_i_cpu_halt_req; // @[quasar.scala 159:19] - wire dec_io_i_cpu_run_req; // @[quasar.scala 159:19] - wire dec_io_o_cpu_halt_status; // @[quasar.scala 159:19] - wire dec_io_o_cpu_halt_ack; // @[quasar.scala 159:19] - wire dec_io_o_cpu_run_ack; // @[quasar.scala 159:19] - wire dec_io_o_debug_mode_status; // @[quasar.scala 159:19] - wire [27:0] dec_io_core_id; // @[quasar.scala 159:19] - wire dec_io_mpc_debug_halt_req; // @[quasar.scala 159:19] - wire dec_io_mpc_debug_run_req; // @[quasar.scala 159:19] - wire dec_io_mpc_reset_run_req; // @[quasar.scala 159:19] - wire dec_io_mpc_debug_halt_ack; // @[quasar.scala 159:19] - wire dec_io_mpc_debug_run_ack; // @[quasar.scala 159:19] - wire dec_io_debug_brkpt_status; // @[quasar.scala 159:19] - wire dec_io_lsu_pmu_misaligned_m; // @[quasar.scala 159:19] - wire [30:0] dec_io_lsu_fir_addr; // @[quasar.scala 159:19] - wire [1:0] dec_io_lsu_fir_error; // @[quasar.scala 159:19] - wire [3:0] dec_io_lsu_trigger_match_m; // @[quasar.scala 159:19] - wire dec_io_lsu_idle_any; // @[quasar.scala 159:19] - wire dec_io_lsu_error_pkt_r_valid; // @[quasar.scala 159:19] - wire dec_io_lsu_error_pkt_r_bits_single_ecc_error; // @[quasar.scala 159:19] - wire dec_io_lsu_error_pkt_r_bits_inst_type; // @[quasar.scala 159:19] - wire dec_io_lsu_error_pkt_r_bits_exc_type; // @[quasar.scala 159:19] - wire [3:0] dec_io_lsu_error_pkt_r_bits_mscause; // @[quasar.scala 159:19] - wire [31:0] dec_io_lsu_error_pkt_r_bits_addr; // @[quasar.scala 159:19] - wire dec_io_lsu_single_ecc_error_incr; // @[quasar.scala 159:19] - wire [31:0] dec_io_exu_div_result; // @[quasar.scala 159:19] - wire dec_io_exu_div_wren; // @[quasar.scala 159:19] - wire [31:0] dec_io_lsu_result_m; // @[quasar.scala 159:19] - wire [31:0] dec_io_lsu_result_corr_r; // @[quasar.scala 159:19] - wire dec_io_lsu_load_stall_any; // @[quasar.scala 159:19] - wire dec_io_lsu_store_stall_any; // @[quasar.scala 159:19] - wire dec_io_iccm_dma_sb_error; // @[quasar.scala 159:19] - wire dec_io_exu_flush_final; // @[quasar.scala 159:19] - wire dec_io_timer_int; // @[quasar.scala 159:19] - wire dec_io_soft_int; // @[quasar.scala 159:19] - wire dec_io_dbg_halt_req; // @[quasar.scala 159:19] - wire dec_io_dbg_resume_req; // @[quasar.scala 159:19] - wire dec_io_dec_tlu_dbg_halted; // @[quasar.scala 159:19] - wire dec_io_dec_tlu_debug_mode; // @[quasar.scala 159:19] - wire dec_io_dec_tlu_resume_ack; // @[quasar.scala 159:19] - wire dec_io_dec_tlu_mpc_halted_only; // @[quasar.scala 159:19] - wire [31:0] dec_io_dec_dbg_rddata; // @[quasar.scala 159:19] - wire dec_io_dec_dbg_cmd_done; // @[quasar.scala 159:19] - wire dec_io_dec_dbg_cmd_fail; // @[quasar.scala 159:19] - wire dec_io_trigger_pkt_any_0_select; // @[quasar.scala 159:19] - wire dec_io_trigger_pkt_any_0_match_pkt; // @[quasar.scala 159:19] - wire dec_io_trigger_pkt_any_0_store; // @[quasar.scala 159:19] - wire dec_io_trigger_pkt_any_0_load; // @[quasar.scala 159:19] - wire [31:0] dec_io_trigger_pkt_any_0_tdata2; // @[quasar.scala 159:19] - wire dec_io_trigger_pkt_any_1_select; // @[quasar.scala 159:19] - wire dec_io_trigger_pkt_any_1_match_pkt; // @[quasar.scala 159:19] - wire dec_io_trigger_pkt_any_1_store; // @[quasar.scala 159:19] - wire dec_io_trigger_pkt_any_1_load; // @[quasar.scala 159:19] - wire [31:0] dec_io_trigger_pkt_any_1_tdata2; // @[quasar.scala 159:19] - wire dec_io_trigger_pkt_any_2_select; // @[quasar.scala 159:19] - wire dec_io_trigger_pkt_any_2_match_pkt; // @[quasar.scala 159:19] - wire dec_io_trigger_pkt_any_2_store; // @[quasar.scala 159:19] - wire dec_io_trigger_pkt_any_2_load; // @[quasar.scala 159:19] - wire [31:0] dec_io_trigger_pkt_any_2_tdata2; // @[quasar.scala 159:19] - wire dec_io_trigger_pkt_any_3_select; // @[quasar.scala 159:19] - wire dec_io_trigger_pkt_any_3_match_pkt; // @[quasar.scala 159:19] - wire dec_io_trigger_pkt_any_3_store; // @[quasar.scala 159:19] - wire dec_io_trigger_pkt_any_3_load; // @[quasar.scala 159:19] - wire [31:0] dec_io_trigger_pkt_any_3_tdata2; // @[quasar.scala 159:19] - wire dec_io_exu_i0_br_way_r; // @[quasar.scala 159:19] - wire dec_io_lsu_p_valid; // @[quasar.scala 159:19] - wire dec_io_lsu_p_bits_fast_int; // @[quasar.scala 159:19] - wire dec_io_lsu_p_bits_by; // @[quasar.scala 159:19] - wire dec_io_lsu_p_bits_half; // @[quasar.scala 159:19] - wire dec_io_lsu_p_bits_word; // @[quasar.scala 159:19] - wire dec_io_lsu_p_bits_load; // @[quasar.scala 159:19] - wire dec_io_lsu_p_bits_store; // @[quasar.scala 159:19] - wire dec_io_lsu_p_bits_unsign; // @[quasar.scala 159:19] - wire dec_io_lsu_p_bits_store_data_bypass_d; // @[quasar.scala 159:19] - wire dec_io_lsu_p_bits_load_ldst_bypass_d; // @[quasar.scala 159:19] - wire [11:0] dec_io_dec_lsu_offset_d; // @[quasar.scala 159:19] - wire dec_io_dec_tlu_i0_kill_writeb_r; // @[quasar.scala 159:19] - wire dec_io_dec_tlu_perfcnt0; // @[quasar.scala 159:19] - wire dec_io_dec_tlu_perfcnt1; // @[quasar.scala 159:19] - wire dec_io_dec_tlu_perfcnt2; // @[quasar.scala 159:19] - wire dec_io_dec_tlu_perfcnt3; // @[quasar.scala 159:19] - wire dec_io_dec_lsu_valid_raw_d; // @[quasar.scala 159:19] - wire [1:0] dec_io_rv_trace_pkt_rv_i_valid_ip; // @[quasar.scala 159:19] - wire [31:0] dec_io_rv_trace_pkt_rv_i_insn_ip; // @[quasar.scala 159:19] - wire [31:0] dec_io_rv_trace_pkt_rv_i_address_ip; // @[quasar.scala 159:19] - wire [1:0] dec_io_rv_trace_pkt_rv_i_exception_ip; // @[quasar.scala 159:19] - wire [4:0] dec_io_rv_trace_pkt_rv_i_ecause_ip; // @[quasar.scala 159:19] - wire [1:0] dec_io_rv_trace_pkt_rv_i_interrupt_ip; // @[quasar.scala 159:19] - wire [31:0] dec_io_rv_trace_pkt_rv_i_tval_ip; // @[quasar.scala 159:19] - wire dec_io_dec_tlu_misc_clk_override; // @[quasar.scala 159:19] - wire dec_io_dec_tlu_lsu_clk_override; // @[quasar.scala 159:19] - wire dec_io_dec_tlu_pic_clk_override; // @[quasar.scala 159:19] - wire dec_io_dec_tlu_dccm_clk_override; // @[quasar.scala 159:19] - wire dec_io_dec_tlu_icm_clk_override; // @[quasar.scala 159:19] - wire dec_io_scan_mode; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_aln_aln_dec_dec_i0_decode_d; // @[quasar.scala 159:19] - wire [15:0] dec_io_ifu_dec_dec_aln_aln_dec_ifu_i0_cinst; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf; // @[quasar.scala 159:19] - wire [1:0] dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_type; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_f1; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc; // @[quasar.scala 159:19] - wire [7:0] dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_index; // @[quasar.scala 159:19] - wire [7:0] dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_fghr; // @[quasar.scala 159:19] - wire [4:0] dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_btag; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_valid; // @[quasar.scala 159:19] - wire [31:0] dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr; // @[quasar.scala 159:19] - wire [30:0] dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc4; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_valid; // @[quasar.scala 159:19] - wire [11:0] dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset; // @[quasar.scala 159:19] - wire [1:0] dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error; // @[quasar.scala 159:19] - wire [30:0] dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_prett; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_way; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_aln_ifu_pmu_instr_aligned; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_flush_err_wb; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_i0_commit_cmt; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_force_halt; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_fence_i_wb; // @[quasar.scala 159:19] - wire [70:0] dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wrdata; // @[quasar.scala 159:19] - wire [16:0] dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_dicawics; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_core_ecc_disable; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_miss; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_hit; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_error; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_busy; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_trxn; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_mem_ctrl_ifu_ic_error_start; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_mem_ctrl_ifu_iccm_rd_ecc_single_err; // @[quasar.scala 159:19] - wire [70:0] dec_io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data_valid; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_mem_ctrl_ifu_miss_state_idle; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_ifc_dec_tlu_flush_noredir_wb; // @[quasar.scala 159:19] - wire [31:0] dec_io_ifu_dec_dec_ifc_dec_tlu_mrac_ff; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_ifc_ifu_pmu_fetch_stall; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_valid; // @[quasar.scala 159:19] - wire [1:0] dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_hist; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_error; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_way; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_middle; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_bp_dec_tlu_flush_leak_one_wb; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_bp_dec_tlu_bpred_disable; // @[quasar.scala 159:19] - wire dec_io_dec_exu_dec_alu_dec_i0_alu_decode_d; // @[quasar.scala 159:19] - wire dec_io_dec_exu_dec_alu_dec_csr_ren_d; // @[quasar.scala 159:19] - wire [11:0] dec_io_dec_exu_dec_alu_dec_i0_br_immed_d; // @[quasar.scala 159:19] - wire [30:0] dec_io_dec_exu_dec_alu_exu_i0_pc_x; // @[quasar.scala 159:19] - wire dec_io_dec_exu_dec_div_div_p_valid; // @[quasar.scala 159:19] - wire dec_io_dec_exu_dec_div_div_p_bits_unsign; // @[quasar.scala 159:19] - wire dec_io_dec_exu_dec_div_div_p_bits_rem; // @[quasar.scala 159:19] - wire dec_io_dec_exu_dec_div_dec_div_cancel; // @[quasar.scala 159:19] - wire [1:0] dec_io_dec_exu_decode_exu_dec_data_en; // @[quasar.scala 159:19] - wire [1:0] dec_io_dec_exu_decode_exu_dec_ctl_en; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_i0_ap_land; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_i0_ap_lor; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_i0_ap_lxor; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_i0_ap_sll; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_i0_ap_srl; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_i0_ap_sra; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_i0_ap_beq; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_i0_ap_bne; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_i0_ap_blt; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_i0_ap_bge; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_i0_ap_add; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_i0_ap_sub; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_i0_ap_slt; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_i0_ap_unsign; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_i0_ap_jal; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_i0_ap_predict_t; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_i0_ap_predict_nt; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_i0_ap_csr_write; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_i0_ap_csr_imm; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_valid; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pc4; // @[quasar.scala 159:19] - wire [1:0] dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_hist; // @[quasar.scala 159:19] - wire [11:0] dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_toffset; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_error; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_start_error; // @[quasar.scala 159:19] - wire [30:0] dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_prett; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pcall; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pret; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pja; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_way; // @[quasar.scala 159:19] - wire [7:0] dec_io_dec_exu_decode_exu_i0_predict_fghr_d; // @[quasar.scala 159:19] - wire [7:0] dec_io_dec_exu_decode_exu_i0_predict_index_d; // @[quasar.scala 159:19] - wire [4:0] dec_io_dec_exu_decode_exu_i0_predict_btag_d; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_dec_i0_rs1_en_d; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_dec_i0_rs2_en_d; // @[quasar.scala 159:19] - wire [31:0] dec_io_dec_exu_decode_exu_dec_i0_immed_d; // @[quasar.scala 159:19] - wire [31:0] dec_io_dec_exu_decode_exu_dec_i0_rs1_bypass_data_d; // @[quasar.scala 159:19] - wire [31:0] dec_io_dec_exu_decode_exu_dec_i0_rs2_bypass_data_d; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_dec_i0_select_pc_d; // @[quasar.scala 159:19] - wire [1:0] dec_io_dec_exu_decode_exu_dec_i0_rs1_bypass_en_d; // @[quasar.scala 159:19] - wire [1:0] dec_io_dec_exu_decode_exu_dec_i0_rs2_bypass_en_d; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_mul_p_valid; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_mul_p_bits_rs1_sign; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_mul_p_bits_rs2_sign; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_mul_p_bits_low; // @[quasar.scala 159:19] - wire [30:0] dec_io_dec_exu_decode_exu_pred_correct_npc_x; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_dec_extint_stall; // @[quasar.scala 159:19] - wire [31:0] dec_io_dec_exu_decode_exu_exu_i0_result_x; // @[quasar.scala 159:19] - wire [31:0] dec_io_dec_exu_decode_exu_exu_csr_rs1_x; // @[quasar.scala 159:19] - wire [29:0] dec_io_dec_exu_tlu_exu_dec_tlu_meihap; // @[quasar.scala 159:19] - wire dec_io_dec_exu_tlu_exu_dec_tlu_flush_lower_r; // @[quasar.scala 159:19] - wire [30:0] dec_io_dec_exu_tlu_exu_dec_tlu_flush_path_r; // @[quasar.scala 159:19] - wire [1:0] dec_io_dec_exu_tlu_exu_exu_i0_br_hist_r; // @[quasar.scala 159:19] - wire dec_io_dec_exu_tlu_exu_exu_i0_br_error_r; // @[quasar.scala 159:19] - wire dec_io_dec_exu_tlu_exu_exu_i0_br_start_error_r; // @[quasar.scala 159:19] - wire dec_io_dec_exu_tlu_exu_exu_i0_br_valid_r; // @[quasar.scala 159:19] - wire dec_io_dec_exu_tlu_exu_exu_i0_br_mp_r; // @[quasar.scala 159:19] - wire dec_io_dec_exu_tlu_exu_exu_i0_br_middle_r; // @[quasar.scala 159:19] - wire dec_io_dec_exu_tlu_exu_exu_pmu_i0_br_misp; // @[quasar.scala 159:19] - wire dec_io_dec_exu_tlu_exu_exu_pmu_i0_br_ataken; // @[quasar.scala 159:19] - wire dec_io_dec_exu_tlu_exu_exu_pmu_i0_pc4; // @[quasar.scala 159:19] - wire [30:0] dec_io_dec_exu_tlu_exu_exu_npc_r; // @[quasar.scala 159:19] - wire [30:0] dec_io_dec_exu_ib_exu_dec_i0_pc_d; // @[quasar.scala 159:19] - wire dec_io_dec_exu_ib_exu_dec_debug_wdata_rs1_d; // @[quasar.scala 159:19] - wire [31:0] dec_io_dec_exu_gpr_exu_gpr_i0_rs1_d; // @[quasar.scala 159:19] - wire [31:0] dec_io_dec_exu_gpr_exu_gpr_i0_rs2_d; // @[quasar.scala 159:19] - wire dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_trxn; // @[quasar.scala 159:19] - wire dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_misaligned; // @[quasar.scala 159:19] - wire dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_error; // @[quasar.scala 159:19] - wire dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy; // @[quasar.scala 159:19] - wire dec_io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[quasar.scala 159:19] - wire dec_io_lsu_dec_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[quasar.scala 159:19] - wire dec_io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[quasar.scala 159:19] - wire dec_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any; // @[quasar.scala 159:19] - wire dec_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any; // @[quasar.scala 159:19] - wire [31:0] dec_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_addr_any; // @[quasar.scala 159:19] - wire dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_valid_m; // @[quasar.scala 159:19] - wire [1:0] dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_tag_m; // @[quasar.scala 159:19] - wire dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_r; // @[quasar.scala 159:19] - wire [1:0] dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_tag_r; // @[quasar.scala 159:19] - wire dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_valid; // @[quasar.scala 159:19] - wire dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_error; // @[quasar.scala 159:19] - wire [1:0] dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag; // @[quasar.scala 159:19] - wire [31:0] dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data; // @[quasar.scala 159:19] - wire dec_io_lsu_tlu_lsu_pmu_load_external_m; // @[quasar.scala 159:19] - wire dec_io_lsu_tlu_lsu_pmu_store_external_m; // @[quasar.scala 159:19] - wire dec_io_dec_dbg_dbg_ib_dbg_cmd_valid; // @[quasar.scala 159:19] - wire dec_io_dec_dbg_dbg_ib_dbg_cmd_write; // @[quasar.scala 159:19] - wire [1:0] dec_io_dec_dbg_dbg_ib_dbg_cmd_type; // @[quasar.scala 159:19] - wire [31:0] dec_io_dec_dbg_dbg_ib_dbg_cmd_addr; // @[quasar.scala 159:19] - wire [1:0] dec_io_dec_dbg_dbg_dctl_dbg_cmd_wrdata; // @[quasar.scala 159:19] - wire dec_io_dec_dma_dctl_dma_dma_dccm_stall_any; // @[quasar.scala 159:19] - wire dec_io_dec_dma_tlu_dma_dma_pmu_dccm_read; // @[quasar.scala 159:19] - wire dec_io_dec_dma_tlu_dma_dma_pmu_dccm_write; // @[quasar.scala 159:19] - wire dec_io_dec_dma_tlu_dma_dma_pmu_any_read; // @[quasar.scala 159:19] - wire dec_io_dec_dma_tlu_dma_dma_pmu_any_write; // @[quasar.scala 159:19] - wire [2:0] dec_io_dec_dma_tlu_dma_dec_tlu_dma_qos_prty; // @[quasar.scala 159:19] - wire dec_io_dec_dma_tlu_dma_dma_dccm_stall_any; // @[quasar.scala 159:19] - wire dec_io_dec_dma_tlu_dma_dma_iccm_stall_any; // @[quasar.scala 159:19] - wire [7:0] dec_io_dec_pic_pic_claimid; // @[quasar.scala 159:19] - wire [3:0] dec_io_dec_pic_pic_pl; // @[quasar.scala 159:19] - wire dec_io_dec_pic_mhwakeup; // @[quasar.scala 159:19] - wire [3:0] dec_io_dec_pic_dec_tlu_meicurpl; // @[quasar.scala 159:19] - wire [3:0] dec_io_dec_pic_dec_tlu_meipt; // @[quasar.scala 159:19] - wire dec_io_dec_pic_mexintpend; // @[quasar.scala 159:19] - wire dbg_clock; // @[quasar.scala 160:19] - wire dbg_reset; // @[quasar.scala 160:19] - wire [1:0] dbg_io_dbg_cmd_size; // @[quasar.scala 160:19] - wire dbg_io_dbg_core_rst_l; // @[quasar.scala 160:19] - wire [31:0] dbg_io_core_dbg_rddata; // @[quasar.scala 160:19] - wire dbg_io_core_dbg_cmd_done; // @[quasar.scala 160:19] - wire dbg_io_core_dbg_cmd_fail; // @[quasar.scala 160:19] - wire dbg_io_dbg_halt_req; // @[quasar.scala 160:19] - wire dbg_io_dbg_resume_req; // @[quasar.scala 160:19] - wire dbg_io_dec_tlu_debug_mode; // @[quasar.scala 160:19] - wire dbg_io_dec_tlu_dbg_halted; // @[quasar.scala 160:19] - wire dbg_io_dec_tlu_mpc_halted_only; // @[quasar.scala 160:19] - wire dbg_io_dec_tlu_resume_ack; // @[quasar.scala 160:19] - wire dbg_io_dmi_reg_en; // @[quasar.scala 160:19] - wire [6:0] dbg_io_dmi_reg_addr; // @[quasar.scala 160:19] - wire dbg_io_dmi_reg_wr_en; // @[quasar.scala 160:19] - wire [31:0] dbg_io_dmi_reg_wdata; // @[quasar.scala 160:19] - wire dbg_io_sb_axi_aw_ready; // @[quasar.scala 160:19] - wire dbg_io_sb_axi_aw_valid; // @[quasar.scala 160:19] - wire [31:0] dbg_io_sb_axi_aw_bits_addr; // @[quasar.scala 160:19] - wire [3:0] dbg_io_sb_axi_aw_bits_region; // @[quasar.scala 160:19] - wire [2:0] dbg_io_sb_axi_aw_bits_size; // @[quasar.scala 160:19] - wire dbg_io_sb_axi_w_ready; // @[quasar.scala 160:19] - wire dbg_io_sb_axi_w_valid; // @[quasar.scala 160:19] - wire [63:0] dbg_io_sb_axi_w_bits_data; // @[quasar.scala 160:19] - wire [7:0] dbg_io_sb_axi_w_bits_strb; // @[quasar.scala 160:19] - wire dbg_io_sb_axi_b_ready; // @[quasar.scala 160:19] - wire dbg_io_sb_axi_b_valid; // @[quasar.scala 160:19] - wire [1:0] dbg_io_sb_axi_b_bits_resp; // @[quasar.scala 160:19] - wire dbg_io_sb_axi_ar_ready; // @[quasar.scala 160:19] - wire dbg_io_sb_axi_ar_valid; // @[quasar.scala 160:19] - wire [31:0] dbg_io_sb_axi_ar_bits_addr; // @[quasar.scala 160:19] - wire [3:0] dbg_io_sb_axi_ar_bits_region; // @[quasar.scala 160:19] - wire [2:0] dbg_io_sb_axi_ar_bits_size; // @[quasar.scala 160:19] - wire dbg_io_sb_axi_r_ready; // @[quasar.scala 160:19] - wire dbg_io_sb_axi_r_valid; // @[quasar.scala 160:19] - wire [63:0] dbg_io_sb_axi_r_bits_data; // @[quasar.scala 160:19] - wire [1:0] dbg_io_sb_axi_r_bits_resp; // @[quasar.scala 160:19] - wire dbg_io_dbg_dec_dbg_ib_dbg_cmd_valid; // @[quasar.scala 160:19] - wire dbg_io_dbg_dec_dbg_ib_dbg_cmd_write; // @[quasar.scala 160:19] - wire [1:0] dbg_io_dbg_dec_dbg_ib_dbg_cmd_type; // @[quasar.scala 160:19] - wire [31:0] dbg_io_dbg_dec_dbg_ib_dbg_cmd_addr; // @[quasar.scala 160:19] - wire [1:0] dbg_io_dbg_dec_dbg_dctl_dbg_cmd_wrdata; // @[quasar.scala 160:19] - wire dbg_io_dbg_dma_dbg_ib_dbg_cmd_valid; // @[quasar.scala 160:19] - wire dbg_io_dbg_dma_dbg_ib_dbg_cmd_write; // @[quasar.scala 160:19] - wire [1:0] dbg_io_dbg_dma_dbg_ib_dbg_cmd_type; // @[quasar.scala 160:19] - wire [31:0] dbg_io_dbg_dma_dbg_ib_dbg_cmd_addr; // @[quasar.scala 160:19] - wire [1:0] dbg_io_dbg_dma_dbg_dctl_dbg_cmd_wrdata; // @[quasar.scala 160:19] - wire dbg_io_dbg_dma_io_dbg_dma_bubble; // @[quasar.scala 160:19] - wire dbg_io_dbg_dma_io_dma_dbg_ready; // @[quasar.scala 160:19] - wire dbg_io_dbg_bus_clk_en; // @[quasar.scala 160:19] - wire dbg_io_dbg_rst_l; // @[quasar.scala 160:19] - wire dbg_io_clk_override; // @[quasar.scala 160:19] - wire dbg_io_scan_mode; // @[quasar.scala 160:19] - wire exu_clock; // @[quasar.scala 161:19] - wire exu_reset; // @[quasar.scala 161:19] - wire exu_io_scan_mode; // @[quasar.scala 161:19] - wire exu_io_dec_exu_dec_alu_dec_i0_alu_decode_d; // @[quasar.scala 161:19] - wire exu_io_dec_exu_dec_alu_dec_csr_ren_d; // @[quasar.scala 161:19] - wire [11:0] exu_io_dec_exu_dec_alu_dec_i0_br_immed_d; // @[quasar.scala 161:19] - wire [30:0] exu_io_dec_exu_dec_alu_exu_i0_pc_x; // @[quasar.scala 161:19] - wire exu_io_dec_exu_dec_div_div_p_valid; // @[quasar.scala 161:19] - wire exu_io_dec_exu_dec_div_div_p_bits_unsign; // @[quasar.scala 161:19] - wire exu_io_dec_exu_dec_div_div_p_bits_rem; // @[quasar.scala 161:19] - wire exu_io_dec_exu_dec_div_dec_div_cancel; // @[quasar.scala 161:19] - wire [1:0] exu_io_dec_exu_decode_exu_dec_data_en; // @[quasar.scala 161:19] - wire [1:0] exu_io_dec_exu_decode_exu_dec_ctl_en; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_i0_ap_land; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_i0_ap_lor; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_i0_ap_lxor; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_i0_ap_sll; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_i0_ap_srl; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_i0_ap_sra; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_i0_ap_beq; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_i0_ap_bne; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_i0_ap_blt; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_i0_ap_bge; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_i0_ap_add; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_i0_ap_sub; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_i0_ap_slt; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_i0_ap_unsign; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_i0_ap_jal; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_i0_ap_predict_t; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_i0_ap_predict_nt; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_i0_ap_csr_write; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_i0_ap_csr_imm; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_valid; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pc4; // @[quasar.scala 161:19] - wire [1:0] exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_hist; // @[quasar.scala 161:19] - wire [11:0] exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_toffset; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_error; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_start_error; // @[quasar.scala 161:19] - wire [30:0] exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_prett; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pcall; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pret; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pja; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_way; // @[quasar.scala 161:19] - wire [7:0] exu_io_dec_exu_decode_exu_i0_predict_fghr_d; // @[quasar.scala 161:19] - wire [7:0] exu_io_dec_exu_decode_exu_i0_predict_index_d; // @[quasar.scala 161:19] - wire [4:0] exu_io_dec_exu_decode_exu_i0_predict_btag_d; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_dec_i0_rs1_en_d; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_dec_i0_rs2_en_d; // @[quasar.scala 161:19] - wire [31:0] exu_io_dec_exu_decode_exu_dec_i0_immed_d; // @[quasar.scala 161:19] - wire [31:0] exu_io_dec_exu_decode_exu_dec_i0_rs1_bypass_data_d; // @[quasar.scala 161:19] - wire [31:0] exu_io_dec_exu_decode_exu_dec_i0_rs2_bypass_data_d; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_dec_i0_select_pc_d; // @[quasar.scala 161:19] - wire [1:0] exu_io_dec_exu_decode_exu_dec_i0_rs1_bypass_en_d; // @[quasar.scala 161:19] - wire [1:0] exu_io_dec_exu_decode_exu_dec_i0_rs2_bypass_en_d; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_mul_p_valid; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_mul_p_bits_rs1_sign; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_mul_p_bits_rs2_sign; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_mul_p_bits_low; // @[quasar.scala 161:19] - wire [30:0] exu_io_dec_exu_decode_exu_pred_correct_npc_x; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_dec_extint_stall; // @[quasar.scala 161:19] - wire [31:0] exu_io_dec_exu_decode_exu_exu_i0_result_x; // @[quasar.scala 161:19] - wire [31:0] exu_io_dec_exu_decode_exu_exu_csr_rs1_x; // @[quasar.scala 161:19] - wire [29:0] exu_io_dec_exu_tlu_exu_dec_tlu_meihap; // @[quasar.scala 161:19] - wire exu_io_dec_exu_tlu_exu_dec_tlu_flush_lower_r; // @[quasar.scala 161:19] - wire [30:0] exu_io_dec_exu_tlu_exu_dec_tlu_flush_path_r; // @[quasar.scala 161:19] - wire [1:0] exu_io_dec_exu_tlu_exu_exu_i0_br_hist_r; // @[quasar.scala 161:19] - wire exu_io_dec_exu_tlu_exu_exu_i0_br_error_r; // @[quasar.scala 161:19] - wire exu_io_dec_exu_tlu_exu_exu_i0_br_start_error_r; // @[quasar.scala 161:19] - wire [7:0] exu_io_dec_exu_tlu_exu_exu_i0_br_index_r; // @[quasar.scala 161:19] - wire exu_io_dec_exu_tlu_exu_exu_i0_br_valid_r; // @[quasar.scala 161:19] - wire exu_io_dec_exu_tlu_exu_exu_i0_br_mp_r; // @[quasar.scala 161:19] - wire exu_io_dec_exu_tlu_exu_exu_i0_br_middle_r; // @[quasar.scala 161:19] - wire exu_io_dec_exu_tlu_exu_exu_pmu_i0_br_misp; // @[quasar.scala 161:19] - wire exu_io_dec_exu_tlu_exu_exu_pmu_i0_br_ataken; // @[quasar.scala 161:19] - wire exu_io_dec_exu_tlu_exu_exu_pmu_i0_pc4; // @[quasar.scala 161:19] - wire [30:0] exu_io_dec_exu_tlu_exu_exu_npc_r; // @[quasar.scala 161:19] - wire [30:0] exu_io_dec_exu_ib_exu_dec_i0_pc_d; // @[quasar.scala 161:19] - wire exu_io_dec_exu_ib_exu_dec_debug_wdata_rs1_d; // @[quasar.scala 161:19] - wire [31:0] exu_io_dec_exu_gpr_exu_gpr_i0_rs1_d; // @[quasar.scala 161:19] - wire [31:0] exu_io_dec_exu_gpr_exu_gpr_i0_rs2_d; // @[quasar.scala 161:19] - wire [7:0] exu_io_exu_bp_exu_i0_br_fghr_r; // @[quasar.scala 161:19] - wire exu_io_exu_bp_exu_i0_br_way_r; // @[quasar.scala 161:19] - wire exu_io_exu_bp_exu_mp_pkt_bits_misp; // @[quasar.scala 161:19] - wire exu_io_exu_bp_exu_mp_pkt_bits_ataken; // @[quasar.scala 161:19] - wire exu_io_exu_bp_exu_mp_pkt_bits_boffset; // @[quasar.scala 161:19] - wire exu_io_exu_bp_exu_mp_pkt_bits_pc4; // @[quasar.scala 161:19] - wire [1:0] exu_io_exu_bp_exu_mp_pkt_bits_hist; // @[quasar.scala 161:19] - wire [11:0] exu_io_exu_bp_exu_mp_pkt_bits_toffset; // @[quasar.scala 161:19] - wire exu_io_exu_bp_exu_mp_pkt_bits_pcall; // @[quasar.scala 161:19] - wire exu_io_exu_bp_exu_mp_pkt_bits_pret; // @[quasar.scala 161:19] - wire exu_io_exu_bp_exu_mp_pkt_bits_pja; // @[quasar.scala 161:19] - wire exu_io_exu_bp_exu_mp_pkt_bits_way; // @[quasar.scala 161:19] - wire [7:0] exu_io_exu_bp_exu_mp_eghr; // @[quasar.scala 161:19] - wire [7:0] exu_io_exu_bp_exu_mp_fghr; // @[quasar.scala 161:19] - wire [7:0] exu_io_exu_bp_exu_mp_index; // @[quasar.scala 161:19] - wire [4:0] exu_io_exu_bp_exu_mp_btag; // @[quasar.scala 161:19] - wire exu_io_exu_flush_final; // @[quasar.scala 161:19] - wire [31:0] exu_io_exu_div_result; // @[quasar.scala 161:19] - wire exu_io_exu_div_wren; // @[quasar.scala 161:19] - wire [31:0] exu_io_dbg_cmd_wrdata; // @[quasar.scala 161:19] - wire [31:0] exu_io_lsu_exu_exu_lsu_rs1_d; // @[quasar.scala 161:19] - wire [31:0] exu_io_lsu_exu_exu_lsu_rs2_d; // @[quasar.scala 161:19] - wire [30:0] exu_io_exu_flush_path_final; // @[quasar.scala 161:19] - wire lsu_clock; // @[quasar.scala 162:19] - wire lsu_reset; // @[quasar.scala 162:19] - wire lsu_io_clk_override; // @[quasar.scala 162:19] - wire lsu_io_lsu_dma_dma_lsc_ctl_dma_dccm_req; // @[quasar.scala 162:19] - wire [31:0] lsu_io_lsu_dma_dma_lsc_ctl_dma_mem_addr; // @[quasar.scala 162:19] - wire [2:0] lsu_io_lsu_dma_dma_lsc_ctl_dma_mem_sz; // @[quasar.scala 162:19] - wire lsu_io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[quasar.scala 162:19] - wire [63:0] lsu_io_lsu_dma_dma_lsc_ctl_dma_mem_wdata; // @[quasar.scala 162:19] - wire [31:0] lsu_io_lsu_dma_dma_dccm_ctl_dma_mem_addr; // @[quasar.scala 162:19] - wire [63:0] lsu_io_lsu_dma_dma_dccm_ctl_dma_mem_wdata; // @[quasar.scala 162:19] - wire lsu_io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid; // @[quasar.scala 162:19] - wire lsu_io_lsu_dma_dma_dccm_ctl_dccm_dma_ecc_error; // @[quasar.scala 162:19] - wire [2:0] lsu_io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag; // @[quasar.scala 162:19] - wire [63:0] lsu_io_lsu_dma_dma_dccm_ctl_dccm_dma_rdata; // @[quasar.scala 162:19] - wire lsu_io_lsu_dma_dccm_ready; // @[quasar.scala 162:19] - wire [2:0] lsu_io_lsu_dma_dma_mem_tag; // @[quasar.scala 162:19] - wire lsu_io_lsu_pic_picm_wren; // @[quasar.scala 162:19] - wire lsu_io_lsu_pic_picm_rden; // @[quasar.scala 162:19] - wire lsu_io_lsu_pic_picm_mken; // @[quasar.scala 162:19] - wire [31:0] lsu_io_lsu_pic_picm_rdaddr; // @[quasar.scala 162:19] - wire [31:0] lsu_io_lsu_pic_picm_wraddr; // @[quasar.scala 162:19] - wire [31:0] lsu_io_lsu_pic_picm_wr_data; // @[quasar.scala 162:19] - wire [31:0] lsu_io_lsu_pic_picm_rd_data; // @[quasar.scala 162:19] - wire [31:0] lsu_io_lsu_exu_exu_lsu_rs1_d; // @[quasar.scala 162:19] - wire [31:0] lsu_io_lsu_exu_exu_lsu_rs2_d; // @[quasar.scala 162:19] - wire lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_trxn; // @[quasar.scala 162:19] - wire lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_misaligned; // @[quasar.scala 162:19] - wire lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_error; // @[quasar.scala 162:19] - wire lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy; // @[quasar.scala 162:19] - wire lsu_io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[quasar.scala 162:19] - wire lsu_io_lsu_dec_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[quasar.scala 162:19] - wire lsu_io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[quasar.scala 162:19] - wire lsu_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any; // @[quasar.scala 162:19] - wire lsu_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any; // @[quasar.scala 162:19] - wire [31:0] lsu_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_addr_any; // @[quasar.scala 162:19] - wire lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_valid_m; // @[quasar.scala 162:19] - wire [1:0] lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_tag_m; // @[quasar.scala 162:19] - wire lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_r; // @[quasar.scala 162:19] - wire [1:0] lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_tag_r; // @[quasar.scala 162:19] - wire lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_valid; // @[quasar.scala 162:19] - wire lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_error; // @[quasar.scala 162:19] - wire [1:0] lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag; // @[quasar.scala 162:19] - wire [31:0] lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data; // @[quasar.scala 162:19] - wire lsu_io_dccm_wren; // @[quasar.scala 162:19] - wire lsu_io_dccm_rden; // @[quasar.scala 162:19] - wire [15:0] lsu_io_dccm_wr_addr_lo; // @[quasar.scala 162:19] - wire [15:0] lsu_io_dccm_wr_addr_hi; // @[quasar.scala 162:19] - wire [15:0] lsu_io_dccm_rd_addr_lo; // @[quasar.scala 162:19] - wire [15:0] lsu_io_dccm_rd_addr_hi; // @[quasar.scala 162:19] - wire [38:0] lsu_io_dccm_wr_data_lo; // @[quasar.scala 162:19] - wire [38:0] lsu_io_dccm_wr_data_hi; // @[quasar.scala 162:19] - wire [38:0] lsu_io_dccm_rd_data_lo; // @[quasar.scala 162:19] - wire [38:0] lsu_io_dccm_rd_data_hi; // @[quasar.scala 162:19] - wire lsu_io_lsu_tlu_lsu_pmu_load_external_m; // @[quasar.scala 162:19] - wire lsu_io_lsu_tlu_lsu_pmu_store_external_m; // @[quasar.scala 162:19] - wire lsu_io_axi_aw_ready; // @[quasar.scala 162:19] - wire lsu_io_axi_aw_valid; // @[quasar.scala 162:19] - wire [2:0] lsu_io_axi_aw_bits_id; // @[quasar.scala 162:19] - wire [31:0] lsu_io_axi_aw_bits_addr; // @[quasar.scala 162:19] - wire [3:0] lsu_io_axi_aw_bits_region; // @[quasar.scala 162:19] - wire [2:0] lsu_io_axi_aw_bits_size; // @[quasar.scala 162:19] - wire [3:0] lsu_io_axi_aw_bits_cache; // @[quasar.scala 162:19] - wire lsu_io_axi_w_ready; // @[quasar.scala 162:19] - wire lsu_io_axi_w_valid; // @[quasar.scala 162:19] - wire [63:0] lsu_io_axi_w_bits_data; // @[quasar.scala 162:19] - wire [7:0] lsu_io_axi_w_bits_strb; // @[quasar.scala 162:19] - wire lsu_io_axi_b_valid; // @[quasar.scala 162:19] - wire [1:0] lsu_io_axi_b_bits_resp; // @[quasar.scala 162:19] - wire [2:0] lsu_io_axi_b_bits_id; // @[quasar.scala 162:19] - wire lsu_io_axi_ar_ready; // @[quasar.scala 162:19] - wire lsu_io_axi_ar_valid; // @[quasar.scala 162:19] - wire [2:0] lsu_io_axi_ar_bits_id; // @[quasar.scala 162:19] - wire [31:0] lsu_io_axi_ar_bits_addr; // @[quasar.scala 162:19] - wire [3:0] lsu_io_axi_ar_bits_region; // @[quasar.scala 162:19] - wire [2:0] lsu_io_axi_ar_bits_size; // @[quasar.scala 162:19] - wire [3:0] lsu_io_axi_ar_bits_cache; // @[quasar.scala 162:19] - wire lsu_io_axi_r_valid; // @[quasar.scala 162:19] - wire [2:0] lsu_io_axi_r_bits_id; // @[quasar.scala 162:19] - wire [63:0] lsu_io_axi_r_bits_data; // @[quasar.scala 162:19] - wire [1:0] lsu_io_axi_r_bits_resp; // @[quasar.scala 162:19] - wire lsu_io_dec_tlu_flush_lower_r; // @[quasar.scala 162:19] - wire lsu_io_dec_tlu_i0_kill_writeb_r; // @[quasar.scala 162:19] - wire lsu_io_dec_tlu_force_halt; // @[quasar.scala 162:19] - wire lsu_io_dec_tlu_core_ecc_disable; // @[quasar.scala 162:19] - wire [11:0] lsu_io_dec_lsu_offset_d; // @[quasar.scala 162:19] - wire lsu_io_lsu_p_valid; // @[quasar.scala 162:19] - wire lsu_io_lsu_p_bits_fast_int; // @[quasar.scala 162:19] - wire lsu_io_lsu_p_bits_by; // @[quasar.scala 162:19] - wire lsu_io_lsu_p_bits_half; // @[quasar.scala 162:19] - wire lsu_io_lsu_p_bits_word; // @[quasar.scala 162:19] - wire lsu_io_lsu_p_bits_load; // @[quasar.scala 162:19] - wire lsu_io_lsu_p_bits_store; // @[quasar.scala 162:19] - wire lsu_io_lsu_p_bits_unsign; // @[quasar.scala 162:19] - wire lsu_io_lsu_p_bits_store_data_bypass_d; // @[quasar.scala 162:19] - wire lsu_io_lsu_p_bits_load_ldst_bypass_d; // @[quasar.scala 162:19] - wire lsu_io_trigger_pkt_any_0_select; // @[quasar.scala 162:19] - wire lsu_io_trigger_pkt_any_0_match_pkt; // @[quasar.scala 162:19] - wire lsu_io_trigger_pkt_any_0_store; // @[quasar.scala 162:19] - wire lsu_io_trigger_pkt_any_0_load; // @[quasar.scala 162:19] - wire [31:0] lsu_io_trigger_pkt_any_0_tdata2; // @[quasar.scala 162:19] - wire lsu_io_trigger_pkt_any_1_select; // @[quasar.scala 162:19] - wire lsu_io_trigger_pkt_any_1_match_pkt; // @[quasar.scala 162:19] - wire lsu_io_trigger_pkt_any_1_store; // @[quasar.scala 162:19] - wire lsu_io_trigger_pkt_any_1_load; // @[quasar.scala 162:19] - wire [31:0] lsu_io_trigger_pkt_any_1_tdata2; // @[quasar.scala 162:19] - wire lsu_io_trigger_pkt_any_2_select; // @[quasar.scala 162:19] - wire lsu_io_trigger_pkt_any_2_match_pkt; // @[quasar.scala 162:19] - wire lsu_io_trigger_pkt_any_2_store; // @[quasar.scala 162:19] - wire lsu_io_trigger_pkt_any_2_load; // @[quasar.scala 162:19] - wire [31:0] lsu_io_trigger_pkt_any_2_tdata2; // @[quasar.scala 162:19] - wire lsu_io_trigger_pkt_any_3_select; // @[quasar.scala 162:19] - wire lsu_io_trigger_pkt_any_3_match_pkt; // @[quasar.scala 162:19] - wire lsu_io_trigger_pkt_any_3_store; // @[quasar.scala 162:19] - wire lsu_io_trigger_pkt_any_3_load; // @[quasar.scala 162:19] - wire [31:0] lsu_io_trigger_pkt_any_3_tdata2; // @[quasar.scala 162:19] - wire lsu_io_dec_lsu_valid_raw_d; // @[quasar.scala 162:19] - wire [31:0] lsu_io_dec_tlu_mrac_ff; // @[quasar.scala 162:19] - wire [31:0] lsu_io_lsu_result_m; // @[quasar.scala 162:19] - wire [31:0] lsu_io_lsu_result_corr_r; // @[quasar.scala 162:19] - wire lsu_io_lsu_load_stall_any; // @[quasar.scala 162:19] - wire lsu_io_lsu_store_stall_any; // @[quasar.scala 162:19] - wire lsu_io_lsu_fastint_stall_any; // @[quasar.scala 162:19] - wire lsu_io_lsu_idle_any; // @[quasar.scala 162:19] - wire [30:0] lsu_io_lsu_fir_addr; // @[quasar.scala 162:19] - wire [1:0] lsu_io_lsu_fir_error; // @[quasar.scala 162:19] - wire lsu_io_lsu_single_ecc_error_incr; // @[quasar.scala 162:19] - wire lsu_io_lsu_error_pkt_r_valid; // @[quasar.scala 162:19] - wire lsu_io_lsu_error_pkt_r_bits_single_ecc_error; // @[quasar.scala 162:19] - wire lsu_io_lsu_error_pkt_r_bits_inst_type; // @[quasar.scala 162:19] - wire lsu_io_lsu_error_pkt_r_bits_exc_type; // @[quasar.scala 162:19] - wire [3:0] lsu_io_lsu_error_pkt_r_bits_mscause; // @[quasar.scala 162:19] - wire [31:0] lsu_io_lsu_error_pkt_r_bits_addr; // @[quasar.scala 162:19] - wire lsu_io_lsu_pmu_misaligned_m; // @[quasar.scala 162:19] - wire [3:0] lsu_io_lsu_trigger_match_m; // @[quasar.scala 162:19] - wire lsu_io_lsu_bus_clk_en; // @[quasar.scala 162:19] - wire lsu_io_scan_mode; // @[quasar.scala 162:19] - wire lsu_io_free_clk; // @[quasar.scala 162:19] - wire pic_ctrl_inst_clock; // @[quasar.scala 163:29] - wire pic_ctrl_inst_reset; // @[quasar.scala 163:29] - wire pic_ctrl_inst_io_scan_mode; // @[quasar.scala 163:29] - wire pic_ctrl_inst_io_free_clk; // @[quasar.scala 163:29] - wire pic_ctrl_inst_io_active_clk; // @[quasar.scala 163:29] - wire pic_ctrl_inst_io_clk_override; // @[quasar.scala 163:29] - wire [31:0] pic_ctrl_inst_io_extintsrc_req; // @[quasar.scala 163:29] - wire pic_ctrl_inst_io_lsu_pic_picm_wren; // @[quasar.scala 163:29] - wire pic_ctrl_inst_io_lsu_pic_picm_rden; // @[quasar.scala 163:29] - wire pic_ctrl_inst_io_lsu_pic_picm_mken; // @[quasar.scala 163:29] - wire [31:0] pic_ctrl_inst_io_lsu_pic_picm_rdaddr; // @[quasar.scala 163:29] - wire [31:0] pic_ctrl_inst_io_lsu_pic_picm_wraddr; // @[quasar.scala 163:29] - wire [31:0] pic_ctrl_inst_io_lsu_pic_picm_wr_data; // @[quasar.scala 163:29] - wire [31:0] pic_ctrl_inst_io_lsu_pic_picm_rd_data; // @[quasar.scala 163:29] - wire [7:0] pic_ctrl_inst_io_dec_pic_pic_claimid; // @[quasar.scala 163:29] - wire [3:0] pic_ctrl_inst_io_dec_pic_pic_pl; // @[quasar.scala 163:29] - wire pic_ctrl_inst_io_dec_pic_mhwakeup; // @[quasar.scala 163:29] - wire [3:0] pic_ctrl_inst_io_dec_pic_dec_tlu_meicurpl; // @[quasar.scala 163:29] - wire [3:0] pic_ctrl_inst_io_dec_pic_dec_tlu_meipt; // @[quasar.scala 163:29] - wire pic_ctrl_inst_io_dec_pic_mexintpend; // @[quasar.scala 163:29] - wire dma_ctrl_clock; // @[quasar.scala 164:24] - wire dma_ctrl_reset; // @[quasar.scala 164:24] - wire dma_ctrl_io_free_clk; // @[quasar.scala 164:24] - wire dma_ctrl_io_dma_bus_clk_en; // @[quasar.scala 164:24] - wire dma_ctrl_io_clk_override; // @[quasar.scala 164:24] - wire dma_ctrl_io_scan_mode; // @[quasar.scala 164:24] - wire [1:0] dma_ctrl_io_dbg_cmd_size; // @[quasar.scala 164:24] - wire [31:0] dma_ctrl_io_dma_dbg_rddata; // @[quasar.scala 164:24] - wire dma_ctrl_io_dma_dbg_cmd_done; // @[quasar.scala 164:24] - wire dma_ctrl_io_dma_dbg_cmd_fail; // @[quasar.scala 164:24] - wire dma_ctrl_io_dbg_dma_dbg_ib_dbg_cmd_valid; // @[quasar.scala 164:24] - wire dma_ctrl_io_dbg_dma_dbg_ib_dbg_cmd_write; // @[quasar.scala 164:24] - wire [1:0] dma_ctrl_io_dbg_dma_dbg_ib_dbg_cmd_type; // @[quasar.scala 164:24] - wire [31:0] dma_ctrl_io_dbg_dma_dbg_ib_dbg_cmd_addr; // @[quasar.scala 164:24] - wire [1:0] dma_ctrl_io_dbg_dma_dbg_dctl_dbg_cmd_wrdata; // @[quasar.scala 164:24] - wire dma_ctrl_io_dbg_dma_io_dbg_dma_bubble; // @[quasar.scala 164:24] - wire dma_ctrl_io_dbg_dma_io_dma_dbg_ready; // @[quasar.scala 164:24] - wire dma_ctrl_io_dec_dma_dctl_dma_dma_dccm_stall_any; // @[quasar.scala 164:24] - wire dma_ctrl_io_dec_dma_tlu_dma_dma_pmu_dccm_read; // @[quasar.scala 164:24] - wire dma_ctrl_io_dec_dma_tlu_dma_dma_pmu_dccm_write; // @[quasar.scala 164:24] - wire dma_ctrl_io_dec_dma_tlu_dma_dma_pmu_any_read; // @[quasar.scala 164:24] - wire dma_ctrl_io_dec_dma_tlu_dma_dma_pmu_any_write; // @[quasar.scala 164:24] - wire [2:0] dma_ctrl_io_dec_dma_tlu_dma_dec_tlu_dma_qos_prty; // @[quasar.scala 164:24] - wire dma_ctrl_io_dec_dma_tlu_dma_dma_dccm_stall_any; // @[quasar.scala 164:24] - wire dma_ctrl_io_dec_dma_tlu_dma_dma_iccm_stall_any; // @[quasar.scala 164:24] - wire dma_ctrl_io_iccm_dma_rvalid; // @[quasar.scala 164:24] - wire dma_ctrl_io_iccm_dma_ecc_error; // @[quasar.scala 164:24] - wire [2:0] dma_ctrl_io_iccm_dma_rtag; // @[quasar.scala 164:24] - wire [63:0] dma_ctrl_io_iccm_dma_rdata; // @[quasar.scala 164:24] - wire dma_ctrl_io_iccm_ready; // @[quasar.scala 164:24] - wire dma_ctrl_io_dma_axi_aw_ready; // @[quasar.scala 164:24] - wire dma_ctrl_io_dma_axi_aw_valid; // @[quasar.scala 164:24] - wire dma_ctrl_io_dma_axi_aw_bits_id; // @[quasar.scala 164:24] - wire [31:0] dma_ctrl_io_dma_axi_aw_bits_addr; // @[quasar.scala 164:24] - wire [2:0] dma_ctrl_io_dma_axi_aw_bits_size; // @[quasar.scala 164:24] - wire dma_ctrl_io_dma_axi_w_ready; // @[quasar.scala 164:24] - wire dma_ctrl_io_dma_axi_w_valid; // @[quasar.scala 164:24] - wire [63:0] dma_ctrl_io_dma_axi_w_bits_data; // @[quasar.scala 164:24] - wire [7:0] dma_ctrl_io_dma_axi_w_bits_strb; // @[quasar.scala 164:24] - wire dma_ctrl_io_dma_axi_b_ready; // @[quasar.scala 164:24] - wire dma_ctrl_io_dma_axi_b_valid; // @[quasar.scala 164:24] - wire [1:0] dma_ctrl_io_dma_axi_b_bits_resp; // @[quasar.scala 164:24] - wire dma_ctrl_io_dma_axi_b_bits_id; // @[quasar.scala 164:24] - wire dma_ctrl_io_dma_axi_ar_ready; // @[quasar.scala 164:24] - wire dma_ctrl_io_dma_axi_ar_valid; // @[quasar.scala 164:24] - wire dma_ctrl_io_dma_axi_ar_bits_id; // @[quasar.scala 164:24] - wire [31:0] dma_ctrl_io_dma_axi_ar_bits_addr; // @[quasar.scala 164:24] - wire [2:0] dma_ctrl_io_dma_axi_ar_bits_size; // @[quasar.scala 164:24] - wire dma_ctrl_io_dma_axi_r_ready; // @[quasar.scala 164:24] - wire dma_ctrl_io_dma_axi_r_valid; // @[quasar.scala 164:24] - wire dma_ctrl_io_dma_axi_r_bits_id; // @[quasar.scala 164:24] - wire [63:0] dma_ctrl_io_dma_axi_r_bits_data; // @[quasar.scala 164:24] - wire [1:0] dma_ctrl_io_dma_axi_r_bits_resp; // @[quasar.scala 164:24] - wire dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_dccm_req; // @[quasar.scala 164:24] - wire [31:0] dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_mem_addr; // @[quasar.scala 164:24] - wire [2:0] dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_mem_sz; // @[quasar.scala 164:24] - wire dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[quasar.scala 164:24] - wire [63:0] dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_mem_wdata; // @[quasar.scala 164:24] - wire [31:0] dma_ctrl_io_lsu_dma_dma_dccm_ctl_dma_mem_addr; // @[quasar.scala 164:24] - wire [63:0] dma_ctrl_io_lsu_dma_dma_dccm_ctl_dma_mem_wdata; // @[quasar.scala 164:24] - wire dma_ctrl_io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid; // @[quasar.scala 164:24] - wire dma_ctrl_io_lsu_dma_dma_dccm_ctl_dccm_dma_ecc_error; // @[quasar.scala 164:24] - wire [2:0] dma_ctrl_io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag; // @[quasar.scala 164:24] - wire [63:0] dma_ctrl_io_lsu_dma_dma_dccm_ctl_dccm_dma_rdata; // @[quasar.scala 164:24] - wire dma_ctrl_io_lsu_dma_dccm_ready; // @[quasar.scala 164:24] - wire [2:0] dma_ctrl_io_lsu_dma_dma_mem_tag; // @[quasar.scala 164:24] - wire dma_ctrl_io_ifu_dma_dma_ifc_dma_iccm_stall_any; // @[quasar.scala 164:24] - wire dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_iccm_req; // @[quasar.scala 164:24] - wire [31:0] dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_addr; // @[quasar.scala 164:24] - wire [2:0] dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_sz; // @[quasar.scala 164:24] - wire dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_write; // @[quasar.scala 164:24] - wire [63:0] dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_wdata; // @[quasar.scala 164:24] - wire [2:0] dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_tag; // @[quasar.scala 164:24] + wire ifu_clock; // @[quasar.scala 74:19] + wire ifu_reset; // @[quasar.scala 74:19] + wire ifu_io_exu_flush_final; // @[quasar.scala 74:19] + wire [30:0] ifu_io_exu_flush_path_final; // @[quasar.scala 74:19] + wire ifu_io_free_clk; // @[quasar.scala 74:19] + wire ifu_io_active_clk; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_aln_aln_dec_dec_i0_decode_d; // @[quasar.scala 74:19] + wire [15:0] ifu_io_ifu_dec_dec_aln_aln_dec_ifu_i0_cinst; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf; // @[quasar.scala 74:19] + wire [1:0] ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_type; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_f1; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc; // @[quasar.scala 74:19] + wire [7:0] ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_index; // @[quasar.scala 74:19] + wire [7:0] ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_fghr; // @[quasar.scala 74:19] + wire [4:0] ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_btag; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_valid; // @[quasar.scala 74:19] + wire [31:0] ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr; // @[quasar.scala 74:19] + wire [30:0] ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc4; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_valid; // @[quasar.scala 74:19] + wire [11:0] ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset; // @[quasar.scala 74:19] + wire [1:0] ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error; // @[quasar.scala 74:19] + wire [30:0] ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_prett; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_way; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_aln_ifu_pmu_instr_aligned; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_flush_err_wb; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_i0_commit_cmt; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_force_halt; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_fence_i_wb; // @[quasar.scala 74:19] + wire [70:0] ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wrdata; // @[quasar.scala 74:19] + wire [16:0] ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_dicawics; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_core_ecc_disable; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_miss; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_hit; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_error; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_busy; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_trxn; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_mem_ctrl_ifu_ic_error_start; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_mem_ctrl_ifu_iccm_rd_ecc_single_err; // @[quasar.scala 74:19] + wire [70:0] ifu_io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data_valid; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_mem_ctrl_ifu_miss_state_idle; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_ifc_dec_tlu_flush_noredir_wb; // @[quasar.scala 74:19] + wire [31:0] ifu_io_ifu_dec_dec_ifc_dec_tlu_mrac_ff; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_ifc_ifu_pmu_fetch_stall; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_valid; // @[quasar.scala 74:19] + wire [1:0] ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_hist; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_error; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_way; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_middle; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_bp_dec_tlu_flush_leak_one_wb; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_bp_dec_tlu_bpred_disable; // @[quasar.scala 74:19] + wire [7:0] ifu_io_exu_ifu_exu_bp_exu_i0_br_index_r; // @[quasar.scala 74:19] + wire [7:0] ifu_io_exu_ifu_exu_bp_exu_i0_br_fghr_r; // @[quasar.scala 74:19] + wire ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_misp; // @[quasar.scala 74:19] + wire ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_ataken; // @[quasar.scala 74:19] + wire ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_boffset; // @[quasar.scala 74:19] + wire ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_pc4; // @[quasar.scala 74:19] + wire [1:0] ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_hist; // @[quasar.scala 74:19] + wire [11:0] ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_toffset; // @[quasar.scala 74:19] + wire ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_pcall; // @[quasar.scala 74:19] + wire ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_pret; // @[quasar.scala 74:19] + wire ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_pja; // @[quasar.scala 74:19] + wire ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_way; // @[quasar.scala 74:19] + wire [7:0] ifu_io_exu_ifu_exu_bp_exu_mp_eghr; // @[quasar.scala 74:19] + wire [7:0] ifu_io_exu_ifu_exu_bp_exu_mp_fghr; // @[quasar.scala 74:19] + wire [7:0] ifu_io_exu_ifu_exu_bp_exu_mp_index; // @[quasar.scala 74:19] + wire [4:0] ifu_io_exu_ifu_exu_bp_exu_mp_btag; // @[quasar.scala 74:19] + wire [14:0] ifu_io_iccm_rw_addr; // @[quasar.scala 74:19] + wire ifu_io_iccm_buf_correct_ecc; // @[quasar.scala 74:19] + wire ifu_io_iccm_correction_state; // @[quasar.scala 74:19] + wire ifu_io_iccm_wren; // @[quasar.scala 74:19] + wire ifu_io_iccm_rden; // @[quasar.scala 74:19] + wire [2:0] ifu_io_iccm_wr_size; // @[quasar.scala 74:19] + wire [77:0] ifu_io_iccm_wr_data; // @[quasar.scala 74:19] + wire [63:0] ifu_io_iccm_rd_data; // @[quasar.scala 74:19] + wire [77:0] ifu_io_iccm_rd_data_ecc; // @[quasar.scala 74:19] + wire [30:0] ifu_io_ic_rw_addr; // @[quasar.scala 74:19] + wire [1:0] ifu_io_ic_tag_valid; // @[quasar.scala 74:19] + wire [1:0] ifu_io_ic_wr_en; // @[quasar.scala 74:19] + wire ifu_io_ic_rd_en; // @[quasar.scala 74:19] + wire [70:0] ifu_io_ic_wr_data_0; // @[quasar.scala 74:19] + wire [70:0] ifu_io_ic_wr_data_1; // @[quasar.scala 74:19] + wire [70:0] ifu_io_ic_debug_wr_data; // @[quasar.scala 74:19] + wire [9:0] ifu_io_ic_debug_addr; // @[quasar.scala 74:19] + wire [63:0] ifu_io_ic_rd_data; // @[quasar.scala 74:19] + wire [70:0] ifu_io_ic_debug_rd_data; // @[quasar.scala 74:19] + wire [25:0] ifu_io_ic_tag_debug_rd_data; // @[quasar.scala 74:19] + wire [1:0] ifu_io_ic_eccerr; // @[quasar.scala 74:19] + wire [1:0] ifu_io_ic_rd_hit; // @[quasar.scala 74:19] + wire ifu_io_ic_tag_perr; // @[quasar.scala 74:19] + wire ifu_io_ic_debug_rd_en; // @[quasar.scala 74:19] + wire ifu_io_ic_debug_wr_en; // @[quasar.scala 74:19] + wire ifu_io_ic_debug_tag_array; // @[quasar.scala 74:19] + wire [1:0] ifu_io_ic_debug_way; // @[quasar.scala 74:19] + wire [63:0] ifu_io_ic_premux_data; // @[quasar.scala 74:19] + wire ifu_io_ic_sel_premux_data; // @[quasar.scala 74:19] + wire ifu_io_ifu_ar_ready; // @[quasar.scala 74:19] + wire ifu_io_ifu_ar_valid; // @[quasar.scala 74:19] + wire [2:0] ifu_io_ifu_ar_bits_id; // @[quasar.scala 74:19] + wire [31:0] ifu_io_ifu_ar_bits_addr; // @[quasar.scala 74:19] + wire [3:0] ifu_io_ifu_ar_bits_region; // @[quasar.scala 74:19] + wire ifu_io_ifu_r_valid; // @[quasar.scala 74:19] + wire [2:0] ifu_io_ifu_r_bits_id; // @[quasar.scala 74:19] + wire [63:0] ifu_io_ifu_r_bits_data; // @[quasar.scala 74:19] + wire [1:0] ifu_io_ifu_r_bits_resp; // @[quasar.scala 74:19] + wire ifu_io_ifu_bus_clk_en; // @[quasar.scala 74:19] + wire ifu_io_ifu_dma_dma_ifc_dma_iccm_stall_any; // @[quasar.scala 74:19] + wire ifu_io_ifu_dma_dma_mem_ctl_dma_iccm_req; // @[quasar.scala 74:19] + wire [31:0] ifu_io_ifu_dma_dma_mem_ctl_dma_mem_addr; // @[quasar.scala 74:19] + wire [2:0] ifu_io_ifu_dma_dma_mem_ctl_dma_mem_sz; // @[quasar.scala 74:19] + wire ifu_io_ifu_dma_dma_mem_ctl_dma_mem_write; // @[quasar.scala 74:19] + wire [63:0] ifu_io_ifu_dma_dma_mem_ctl_dma_mem_wdata; // @[quasar.scala 74:19] + wire [2:0] ifu_io_ifu_dma_dma_mem_ctl_dma_mem_tag; // @[quasar.scala 74:19] + wire ifu_io_iccm_dma_ecc_error; // @[quasar.scala 74:19] + wire ifu_io_iccm_dma_rvalid; // @[quasar.scala 74:19] + wire [63:0] ifu_io_iccm_dma_rdata; // @[quasar.scala 74:19] + wire [2:0] ifu_io_iccm_dma_rtag; // @[quasar.scala 74:19] + wire ifu_io_iccm_ready; // @[quasar.scala 74:19] + wire ifu_io_iccm_dma_sb_error; // @[quasar.scala 74:19] + wire ifu_io_dec_tlu_flush_lower_wb; // @[quasar.scala 74:19] + wire ifu_io_scan_mode; // @[quasar.scala 74:19] + wire dec_clock; // @[quasar.scala 75:19] + wire dec_reset; // @[quasar.scala 75:19] + wire dec_io_free_clk; // @[quasar.scala 75:19] + wire dec_io_active_clk; // @[quasar.scala 75:19] + wire dec_io_lsu_fastint_stall_any; // @[quasar.scala 75:19] + wire dec_io_dec_pause_state_cg; // @[quasar.scala 75:19] + wire [30:0] dec_io_rst_vec; // @[quasar.scala 75:19] + wire dec_io_nmi_int; // @[quasar.scala 75:19] + wire [30:0] dec_io_nmi_vec; // @[quasar.scala 75:19] + wire dec_io_i_cpu_halt_req; // @[quasar.scala 75:19] + wire dec_io_i_cpu_run_req; // @[quasar.scala 75:19] + wire dec_io_o_cpu_halt_status; // @[quasar.scala 75:19] + wire dec_io_o_cpu_halt_ack; // @[quasar.scala 75:19] + wire dec_io_o_cpu_run_ack; // @[quasar.scala 75:19] + wire dec_io_o_debug_mode_status; // @[quasar.scala 75:19] + wire [27:0] dec_io_core_id; // @[quasar.scala 75:19] + wire dec_io_mpc_debug_halt_req; // @[quasar.scala 75:19] + wire dec_io_mpc_debug_run_req; // @[quasar.scala 75:19] + wire dec_io_mpc_reset_run_req; // @[quasar.scala 75:19] + wire dec_io_mpc_debug_halt_ack; // @[quasar.scala 75:19] + wire dec_io_mpc_debug_run_ack; // @[quasar.scala 75:19] + wire dec_io_debug_brkpt_status; // @[quasar.scala 75:19] + wire dec_io_lsu_pmu_misaligned_m; // @[quasar.scala 75:19] + wire [30:0] dec_io_lsu_fir_addr; // @[quasar.scala 75:19] + wire [1:0] dec_io_lsu_fir_error; // @[quasar.scala 75:19] + wire [3:0] dec_io_lsu_trigger_match_m; // @[quasar.scala 75:19] + wire dec_io_lsu_idle_any; // @[quasar.scala 75:19] + wire dec_io_lsu_error_pkt_r_valid; // @[quasar.scala 75:19] + wire dec_io_lsu_error_pkt_r_bits_single_ecc_error; // @[quasar.scala 75:19] + wire dec_io_lsu_error_pkt_r_bits_inst_type; // @[quasar.scala 75:19] + wire dec_io_lsu_error_pkt_r_bits_exc_type; // @[quasar.scala 75:19] + wire [3:0] dec_io_lsu_error_pkt_r_bits_mscause; // @[quasar.scala 75:19] + wire [31:0] dec_io_lsu_error_pkt_r_bits_addr; // @[quasar.scala 75:19] + wire dec_io_lsu_single_ecc_error_incr; // @[quasar.scala 75:19] + wire [31:0] dec_io_exu_div_result; // @[quasar.scala 75:19] + wire dec_io_exu_div_wren; // @[quasar.scala 75:19] + wire [31:0] dec_io_lsu_result_m; // @[quasar.scala 75:19] + wire [31:0] dec_io_lsu_result_corr_r; // @[quasar.scala 75:19] + wire dec_io_lsu_load_stall_any; // @[quasar.scala 75:19] + wire dec_io_lsu_store_stall_any; // @[quasar.scala 75:19] + wire dec_io_iccm_dma_sb_error; // @[quasar.scala 75:19] + wire dec_io_exu_flush_final; // @[quasar.scala 75:19] + wire dec_io_timer_int; // @[quasar.scala 75:19] + wire dec_io_soft_int; // @[quasar.scala 75:19] + wire dec_io_dbg_halt_req; // @[quasar.scala 75:19] + wire dec_io_dbg_resume_req; // @[quasar.scala 75:19] + wire dec_io_dec_tlu_dbg_halted; // @[quasar.scala 75:19] + wire dec_io_dec_tlu_debug_mode; // @[quasar.scala 75:19] + wire dec_io_dec_tlu_resume_ack; // @[quasar.scala 75:19] + wire dec_io_dec_tlu_mpc_halted_only; // @[quasar.scala 75:19] + wire [31:0] dec_io_dec_dbg_rddata; // @[quasar.scala 75:19] + wire dec_io_dec_dbg_cmd_done; // @[quasar.scala 75:19] + wire dec_io_dec_dbg_cmd_fail; // @[quasar.scala 75:19] + wire dec_io_trigger_pkt_any_0_select; // @[quasar.scala 75:19] + wire dec_io_trigger_pkt_any_0_match_pkt; // @[quasar.scala 75:19] + wire dec_io_trigger_pkt_any_0_store; // @[quasar.scala 75:19] + wire dec_io_trigger_pkt_any_0_load; // @[quasar.scala 75:19] + wire [31:0] dec_io_trigger_pkt_any_0_tdata2; // @[quasar.scala 75:19] + wire dec_io_trigger_pkt_any_1_select; // @[quasar.scala 75:19] + wire dec_io_trigger_pkt_any_1_match_pkt; // @[quasar.scala 75:19] + wire dec_io_trigger_pkt_any_1_store; // @[quasar.scala 75:19] + wire dec_io_trigger_pkt_any_1_load; // @[quasar.scala 75:19] + wire [31:0] dec_io_trigger_pkt_any_1_tdata2; // @[quasar.scala 75:19] + wire dec_io_trigger_pkt_any_2_select; // @[quasar.scala 75:19] + wire dec_io_trigger_pkt_any_2_match_pkt; // @[quasar.scala 75:19] + wire dec_io_trigger_pkt_any_2_store; // @[quasar.scala 75:19] + wire dec_io_trigger_pkt_any_2_load; // @[quasar.scala 75:19] + wire [31:0] dec_io_trigger_pkt_any_2_tdata2; // @[quasar.scala 75:19] + wire dec_io_trigger_pkt_any_3_select; // @[quasar.scala 75:19] + wire dec_io_trigger_pkt_any_3_match_pkt; // @[quasar.scala 75:19] + wire dec_io_trigger_pkt_any_3_store; // @[quasar.scala 75:19] + wire dec_io_trigger_pkt_any_3_load; // @[quasar.scala 75:19] + wire [31:0] dec_io_trigger_pkt_any_3_tdata2; // @[quasar.scala 75:19] + wire dec_io_exu_i0_br_way_r; // @[quasar.scala 75:19] + wire dec_io_lsu_p_valid; // @[quasar.scala 75:19] + wire dec_io_lsu_p_bits_fast_int; // @[quasar.scala 75:19] + wire dec_io_lsu_p_bits_by; // @[quasar.scala 75:19] + wire dec_io_lsu_p_bits_half; // @[quasar.scala 75:19] + wire dec_io_lsu_p_bits_word; // @[quasar.scala 75:19] + wire dec_io_lsu_p_bits_load; // @[quasar.scala 75:19] + wire dec_io_lsu_p_bits_store; // @[quasar.scala 75:19] + wire dec_io_lsu_p_bits_unsign; // @[quasar.scala 75:19] + wire dec_io_lsu_p_bits_store_data_bypass_d; // @[quasar.scala 75:19] + wire dec_io_lsu_p_bits_load_ldst_bypass_d; // @[quasar.scala 75:19] + wire [11:0] dec_io_dec_lsu_offset_d; // @[quasar.scala 75:19] + wire dec_io_dec_tlu_i0_kill_writeb_r; // @[quasar.scala 75:19] + wire dec_io_dec_tlu_perfcnt0; // @[quasar.scala 75:19] + wire dec_io_dec_tlu_perfcnt1; // @[quasar.scala 75:19] + wire dec_io_dec_tlu_perfcnt2; // @[quasar.scala 75:19] + wire dec_io_dec_tlu_perfcnt3; // @[quasar.scala 75:19] + wire dec_io_dec_lsu_valid_raw_d; // @[quasar.scala 75:19] + wire [1:0] dec_io_rv_trace_pkt_rv_i_valid_ip; // @[quasar.scala 75:19] + wire [31:0] dec_io_rv_trace_pkt_rv_i_insn_ip; // @[quasar.scala 75:19] + wire [31:0] dec_io_rv_trace_pkt_rv_i_address_ip; // @[quasar.scala 75:19] + wire [1:0] dec_io_rv_trace_pkt_rv_i_exception_ip; // @[quasar.scala 75:19] + wire [4:0] dec_io_rv_trace_pkt_rv_i_ecause_ip; // @[quasar.scala 75:19] + wire [1:0] dec_io_rv_trace_pkt_rv_i_interrupt_ip; // @[quasar.scala 75:19] + wire [31:0] dec_io_rv_trace_pkt_rv_i_tval_ip; // @[quasar.scala 75:19] + wire dec_io_dec_tlu_misc_clk_override; // @[quasar.scala 75:19] + wire dec_io_dec_tlu_lsu_clk_override; // @[quasar.scala 75:19] + wire dec_io_dec_tlu_pic_clk_override; // @[quasar.scala 75:19] + wire dec_io_dec_tlu_dccm_clk_override; // @[quasar.scala 75:19] + wire dec_io_dec_tlu_icm_clk_override; // @[quasar.scala 75:19] + wire dec_io_scan_mode; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_aln_aln_dec_dec_i0_decode_d; // @[quasar.scala 75:19] + wire [15:0] dec_io_ifu_dec_dec_aln_aln_dec_ifu_i0_cinst; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf; // @[quasar.scala 75:19] + wire [1:0] dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_type; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_f1; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc; // @[quasar.scala 75:19] + wire [7:0] dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_index; // @[quasar.scala 75:19] + wire [7:0] dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_fghr; // @[quasar.scala 75:19] + wire [4:0] dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_btag; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_valid; // @[quasar.scala 75:19] + wire [31:0] dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr; // @[quasar.scala 75:19] + wire [30:0] dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc4; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_valid; // @[quasar.scala 75:19] + wire [11:0] dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset; // @[quasar.scala 75:19] + wire [1:0] dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error; // @[quasar.scala 75:19] + wire [30:0] dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_prett; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_way; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_aln_ifu_pmu_instr_aligned; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_flush_err_wb; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_i0_commit_cmt; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_force_halt; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_fence_i_wb; // @[quasar.scala 75:19] + wire [70:0] dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wrdata; // @[quasar.scala 75:19] + wire [16:0] dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_dicawics; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_core_ecc_disable; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_miss; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_hit; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_error; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_busy; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_trxn; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_mem_ctrl_ifu_ic_error_start; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_mem_ctrl_ifu_iccm_rd_ecc_single_err; // @[quasar.scala 75:19] + wire [70:0] dec_io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data_valid; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_mem_ctrl_ifu_miss_state_idle; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_ifc_dec_tlu_flush_noredir_wb; // @[quasar.scala 75:19] + wire [31:0] dec_io_ifu_dec_dec_ifc_dec_tlu_mrac_ff; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_ifc_ifu_pmu_fetch_stall; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_valid; // @[quasar.scala 75:19] + wire [1:0] dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_hist; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_error; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_way; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_middle; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_bp_dec_tlu_flush_leak_one_wb; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_bp_dec_tlu_bpred_disable; // @[quasar.scala 75:19] + wire dec_io_dec_exu_dec_alu_dec_i0_alu_decode_d; // @[quasar.scala 75:19] + wire dec_io_dec_exu_dec_alu_dec_csr_ren_d; // @[quasar.scala 75:19] + wire [11:0] dec_io_dec_exu_dec_alu_dec_i0_br_immed_d; // @[quasar.scala 75:19] + wire [30:0] dec_io_dec_exu_dec_alu_exu_i0_pc_x; // @[quasar.scala 75:19] + wire dec_io_dec_exu_dec_div_div_p_valid; // @[quasar.scala 75:19] + wire dec_io_dec_exu_dec_div_div_p_bits_unsign; // @[quasar.scala 75:19] + wire dec_io_dec_exu_dec_div_div_p_bits_rem; // @[quasar.scala 75:19] + wire dec_io_dec_exu_dec_div_dec_div_cancel; // @[quasar.scala 75:19] + wire [1:0] dec_io_dec_exu_decode_exu_dec_data_en; // @[quasar.scala 75:19] + wire [1:0] dec_io_dec_exu_decode_exu_dec_ctl_en; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_i0_ap_land; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_i0_ap_lor; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_i0_ap_lxor; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_i0_ap_sll; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_i0_ap_srl; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_i0_ap_sra; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_i0_ap_beq; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_i0_ap_bne; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_i0_ap_blt; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_i0_ap_bge; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_i0_ap_add; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_i0_ap_sub; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_i0_ap_slt; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_i0_ap_unsign; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_i0_ap_jal; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_i0_ap_predict_t; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_i0_ap_predict_nt; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_i0_ap_csr_write; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_i0_ap_csr_imm; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_valid; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pc4; // @[quasar.scala 75:19] + wire [1:0] dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_hist; // @[quasar.scala 75:19] + wire [11:0] dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_toffset; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_error; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_start_error; // @[quasar.scala 75:19] + wire [30:0] dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_prett; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pcall; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pret; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pja; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_way; // @[quasar.scala 75:19] + wire [7:0] dec_io_dec_exu_decode_exu_i0_predict_fghr_d; // @[quasar.scala 75:19] + wire [7:0] dec_io_dec_exu_decode_exu_i0_predict_index_d; // @[quasar.scala 75:19] + wire [4:0] dec_io_dec_exu_decode_exu_i0_predict_btag_d; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_dec_i0_rs1_en_d; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_dec_i0_rs2_en_d; // @[quasar.scala 75:19] + wire [31:0] dec_io_dec_exu_decode_exu_dec_i0_immed_d; // @[quasar.scala 75:19] + wire [31:0] dec_io_dec_exu_decode_exu_dec_i0_rs1_bypass_data_d; // @[quasar.scala 75:19] + wire [31:0] dec_io_dec_exu_decode_exu_dec_i0_rs2_bypass_data_d; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_dec_i0_select_pc_d; // @[quasar.scala 75:19] + wire [1:0] dec_io_dec_exu_decode_exu_dec_i0_rs1_bypass_en_d; // @[quasar.scala 75:19] + wire [1:0] dec_io_dec_exu_decode_exu_dec_i0_rs2_bypass_en_d; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_mul_p_valid; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_mul_p_bits_rs1_sign; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_mul_p_bits_rs2_sign; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_mul_p_bits_low; // @[quasar.scala 75:19] + wire [30:0] dec_io_dec_exu_decode_exu_pred_correct_npc_x; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_dec_extint_stall; // @[quasar.scala 75:19] + wire [31:0] dec_io_dec_exu_decode_exu_exu_i0_result_x; // @[quasar.scala 75:19] + wire [31:0] dec_io_dec_exu_decode_exu_exu_csr_rs1_x; // @[quasar.scala 75:19] + wire [29:0] dec_io_dec_exu_tlu_exu_dec_tlu_meihap; // @[quasar.scala 75:19] + wire dec_io_dec_exu_tlu_exu_dec_tlu_flush_lower_r; // @[quasar.scala 75:19] + wire [30:0] dec_io_dec_exu_tlu_exu_dec_tlu_flush_path_r; // @[quasar.scala 75:19] + wire [1:0] dec_io_dec_exu_tlu_exu_exu_i0_br_hist_r; // @[quasar.scala 75:19] + wire dec_io_dec_exu_tlu_exu_exu_i0_br_error_r; // @[quasar.scala 75:19] + wire dec_io_dec_exu_tlu_exu_exu_i0_br_start_error_r; // @[quasar.scala 75:19] + wire dec_io_dec_exu_tlu_exu_exu_i0_br_valid_r; // @[quasar.scala 75:19] + wire dec_io_dec_exu_tlu_exu_exu_i0_br_mp_r; // @[quasar.scala 75:19] + wire dec_io_dec_exu_tlu_exu_exu_i0_br_middle_r; // @[quasar.scala 75:19] + wire dec_io_dec_exu_tlu_exu_exu_pmu_i0_br_misp; // @[quasar.scala 75:19] + wire dec_io_dec_exu_tlu_exu_exu_pmu_i0_br_ataken; // @[quasar.scala 75:19] + wire dec_io_dec_exu_tlu_exu_exu_pmu_i0_pc4; // @[quasar.scala 75:19] + wire [30:0] dec_io_dec_exu_tlu_exu_exu_npc_r; // @[quasar.scala 75:19] + wire [30:0] dec_io_dec_exu_ib_exu_dec_i0_pc_d; // @[quasar.scala 75:19] + wire dec_io_dec_exu_ib_exu_dec_debug_wdata_rs1_d; // @[quasar.scala 75:19] + wire [31:0] dec_io_dec_exu_gpr_exu_gpr_i0_rs1_d; // @[quasar.scala 75:19] + wire [31:0] dec_io_dec_exu_gpr_exu_gpr_i0_rs2_d; // @[quasar.scala 75:19] + wire dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_trxn; // @[quasar.scala 75:19] + wire dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_misaligned; // @[quasar.scala 75:19] + wire dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_error; // @[quasar.scala 75:19] + wire dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy; // @[quasar.scala 75:19] + wire dec_io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[quasar.scala 75:19] + wire dec_io_lsu_dec_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[quasar.scala 75:19] + wire dec_io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[quasar.scala 75:19] + wire dec_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any; // @[quasar.scala 75:19] + wire dec_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any; // @[quasar.scala 75:19] + wire [31:0] dec_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_addr_any; // @[quasar.scala 75:19] + wire dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_valid_m; // @[quasar.scala 75:19] + wire [1:0] dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_tag_m; // @[quasar.scala 75:19] + wire dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_r; // @[quasar.scala 75:19] + wire [1:0] dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_tag_r; // @[quasar.scala 75:19] + wire dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_valid; // @[quasar.scala 75:19] + wire dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_error; // @[quasar.scala 75:19] + wire [1:0] dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag; // @[quasar.scala 75:19] + wire [31:0] dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data; // @[quasar.scala 75:19] + wire dec_io_lsu_tlu_lsu_pmu_load_external_m; // @[quasar.scala 75:19] + wire dec_io_lsu_tlu_lsu_pmu_store_external_m; // @[quasar.scala 75:19] + wire dec_io_dec_dbg_dbg_ib_dbg_cmd_valid; // @[quasar.scala 75:19] + wire dec_io_dec_dbg_dbg_ib_dbg_cmd_write; // @[quasar.scala 75:19] + wire [1:0] dec_io_dec_dbg_dbg_ib_dbg_cmd_type; // @[quasar.scala 75:19] + wire [31:0] dec_io_dec_dbg_dbg_ib_dbg_cmd_addr; // @[quasar.scala 75:19] + wire [31:0] dec_io_dec_dbg_dbg_dctl_dbg_cmd_wrdata; // @[quasar.scala 75:19] + wire dec_io_dec_dma_dctl_dma_dma_dccm_stall_any; // @[quasar.scala 75:19] + wire dec_io_dec_dma_tlu_dma_dma_pmu_dccm_read; // @[quasar.scala 75:19] + wire dec_io_dec_dma_tlu_dma_dma_pmu_dccm_write; // @[quasar.scala 75:19] + wire dec_io_dec_dma_tlu_dma_dma_pmu_any_read; // @[quasar.scala 75:19] + wire dec_io_dec_dma_tlu_dma_dma_pmu_any_write; // @[quasar.scala 75:19] + wire [2:0] dec_io_dec_dma_tlu_dma_dec_tlu_dma_qos_prty; // @[quasar.scala 75:19] + wire dec_io_dec_dma_tlu_dma_dma_dccm_stall_any; // @[quasar.scala 75:19] + wire dec_io_dec_dma_tlu_dma_dma_iccm_stall_any; // @[quasar.scala 75:19] + wire [7:0] dec_io_dec_pic_pic_claimid; // @[quasar.scala 75:19] + wire [3:0] dec_io_dec_pic_pic_pl; // @[quasar.scala 75:19] + wire dec_io_dec_pic_mhwakeup; // @[quasar.scala 75:19] + wire [3:0] dec_io_dec_pic_dec_tlu_meicurpl; // @[quasar.scala 75:19] + wire [3:0] dec_io_dec_pic_dec_tlu_meipt; // @[quasar.scala 75:19] + wire dec_io_dec_pic_mexintpend; // @[quasar.scala 75:19] + wire dbg_clock; // @[quasar.scala 76:19] + wire dbg_reset; // @[quasar.scala 76:19] + wire [1:0] dbg_io_dbg_cmd_size; // @[quasar.scala 76:19] + wire dbg_io_dbg_core_rst_l; // @[quasar.scala 76:19] + wire [31:0] dbg_io_core_dbg_rddata; // @[quasar.scala 76:19] + wire dbg_io_core_dbg_cmd_done; // @[quasar.scala 76:19] + wire dbg_io_core_dbg_cmd_fail; // @[quasar.scala 76:19] + wire dbg_io_dbg_halt_req; // @[quasar.scala 76:19] + wire dbg_io_dbg_resume_req; // @[quasar.scala 76:19] + wire dbg_io_dec_tlu_debug_mode; // @[quasar.scala 76:19] + wire dbg_io_dec_tlu_dbg_halted; // @[quasar.scala 76:19] + wire dbg_io_dec_tlu_mpc_halted_only; // @[quasar.scala 76:19] + wire dbg_io_dec_tlu_resume_ack; // @[quasar.scala 76:19] + wire dbg_io_dmi_reg_en; // @[quasar.scala 76:19] + wire [6:0] dbg_io_dmi_reg_addr; // @[quasar.scala 76:19] + wire dbg_io_dmi_reg_wr_en; // @[quasar.scala 76:19] + wire [31:0] dbg_io_dmi_reg_wdata; // @[quasar.scala 76:19] + wire [31:0] dbg_io_dmi_reg_rdata; // @[quasar.scala 76:19] + wire dbg_io_sb_axi_aw_ready; // @[quasar.scala 76:19] + wire dbg_io_sb_axi_aw_valid; // @[quasar.scala 76:19] + wire [31:0] dbg_io_sb_axi_aw_bits_addr; // @[quasar.scala 76:19] + wire [3:0] dbg_io_sb_axi_aw_bits_region; // @[quasar.scala 76:19] + wire [2:0] dbg_io_sb_axi_aw_bits_size; // @[quasar.scala 76:19] + wire dbg_io_sb_axi_w_ready; // @[quasar.scala 76:19] + wire dbg_io_sb_axi_w_valid; // @[quasar.scala 76:19] + wire [63:0] dbg_io_sb_axi_w_bits_data; // @[quasar.scala 76:19] + wire [7:0] dbg_io_sb_axi_w_bits_strb; // @[quasar.scala 76:19] + wire dbg_io_sb_axi_b_ready; // @[quasar.scala 76:19] + wire dbg_io_sb_axi_b_valid; // @[quasar.scala 76:19] + wire [1:0] dbg_io_sb_axi_b_bits_resp; // @[quasar.scala 76:19] + wire dbg_io_sb_axi_ar_ready; // @[quasar.scala 76:19] + wire dbg_io_sb_axi_ar_valid; // @[quasar.scala 76:19] + wire [31:0] dbg_io_sb_axi_ar_bits_addr; // @[quasar.scala 76:19] + wire [3:0] dbg_io_sb_axi_ar_bits_region; // @[quasar.scala 76:19] + wire [2:0] dbg_io_sb_axi_ar_bits_size; // @[quasar.scala 76:19] + wire dbg_io_sb_axi_r_ready; // @[quasar.scala 76:19] + wire dbg_io_sb_axi_r_valid; // @[quasar.scala 76:19] + wire [63:0] dbg_io_sb_axi_r_bits_data; // @[quasar.scala 76:19] + wire [1:0] dbg_io_sb_axi_r_bits_resp; // @[quasar.scala 76:19] + wire dbg_io_dbg_dec_dbg_ib_dbg_cmd_valid; // @[quasar.scala 76:19] + wire dbg_io_dbg_dec_dbg_ib_dbg_cmd_write; // @[quasar.scala 76:19] + wire [1:0] dbg_io_dbg_dec_dbg_ib_dbg_cmd_type; // @[quasar.scala 76:19] + wire [31:0] dbg_io_dbg_dec_dbg_ib_dbg_cmd_addr; // @[quasar.scala 76:19] + wire [31:0] dbg_io_dbg_dec_dbg_dctl_dbg_cmd_wrdata; // @[quasar.scala 76:19] + wire dbg_io_dbg_dma_dbg_ib_dbg_cmd_valid; // @[quasar.scala 76:19] + wire dbg_io_dbg_dma_dbg_ib_dbg_cmd_write; // @[quasar.scala 76:19] + wire [1:0] dbg_io_dbg_dma_dbg_ib_dbg_cmd_type; // @[quasar.scala 76:19] + wire [31:0] dbg_io_dbg_dma_dbg_ib_dbg_cmd_addr; // @[quasar.scala 76:19] + wire [31:0] dbg_io_dbg_dma_dbg_dctl_dbg_cmd_wrdata; // @[quasar.scala 76:19] + wire dbg_io_dbg_dma_io_dbg_dma_bubble; // @[quasar.scala 76:19] + wire dbg_io_dbg_dma_io_dma_dbg_ready; // @[quasar.scala 76:19] + wire dbg_io_dbg_bus_clk_en; // @[quasar.scala 76:19] + wire dbg_io_dbg_rst_l; // @[quasar.scala 76:19] + wire dbg_io_clk_override; // @[quasar.scala 76:19] + wire dbg_io_scan_mode; // @[quasar.scala 76:19] + wire exu_clock; // @[quasar.scala 77:19] + wire exu_reset; // @[quasar.scala 77:19] + wire exu_io_scan_mode; // @[quasar.scala 77:19] + wire exu_io_dec_exu_dec_alu_dec_i0_alu_decode_d; // @[quasar.scala 77:19] + wire exu_io_dec_exu_dec_alu_dec_csr_ren_d; // @[quasar.scala 77:19] + wire [11:0] exu_io_dec_exu_dec_alu_dec_i0_br_immed_d; // @[quasar.scala 77:19] + wire [30:0] exu_io_dec_exu_dec_alu_exu_i0_pc_x; // @[quasar.scala 77:19] + wire exu_io_dec_exu_dec_div_div_p_valid; // @[quasar.scala 77:19] + wire exu_io_dec_exu_dec_div_div_p_bits_unsign; // @[quasar.scala 77:19] + wire exu_io_dec_exu_dec_div_div_p_bits_rem; // @[quasar.scala 77:19] + wire exu_io_dec_exu_dec_div_dec_div_cancel; // @[quasar.scala 77:19] + wire [1:0] exu_io_dec_exu_decode_exu_dec_data_en; // @[quasar.scala 77:19] + wire [1:0] exu_io_dec_exu_decode_exu_dec_ctl_en; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_i0_ap_land; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_i0_ap_lor; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_i0_ap_lxor; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_i0_ap_sll; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_i0_ap_srl; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_i0_ap_sra; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_i0_ap_beq; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_i0_ap_bne; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_i0_ap_blt; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_i0_ap_bge; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_i0_ap_add; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_i0_ap_sub; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_i0_ap_slt; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_i0_ap_unsign; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_i0_ap_jal; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_i0_ap_predict_t; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_i0_ap_predict_nt; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_i0_ap_csr_write; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_i0_ap_csr_imm; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_valid; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pc4; // @[quasar.scala 77:19] + wire [1:0] exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_hist; // @[quasar.scala 77:19] + wire [11:0] exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_toffset; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_error; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_start_error; // @[quasar.scala 77:19] + wire [30:0] exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_prett; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pcall; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pret; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pja; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_way; // @[quasar.scala 77:19] + wire [7:0] exu_io_dec_exu_decode_exu_i0_predict_fghr_d; // @[quasar.scala 77:19] + wire [7:0] exu_io_dec_exu_decode_exu_i0_predict_index_d; // @[quasar.scala 77:19] + wire [4:0] exu_io_dec_exu_decode_exu_i0_predict_btag_d; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_dec_i0_rs1_en_d; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_dec_i0_rs2_en_d; // @[quasar.scala 77:19] + wire [31:0] exu_io_dec_exu_decode_exu_dec_i0_immed_d; // @[quasar.scala 77:19] + wire [31:0] exu_io_dec_exu_decode_exu_dec_i0_rs1_bypass_data_d; // @[quasar.scala 77:19] + wire [31:0] exu_io_dec_exu_decode_exu_dec_i0_rs2_bypass_data_d; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_dec_i0_select_pc_d; // @[quasar.scala 77:19] + wire [1:0] exu_io_dec_exu_decode_exu_dec_i0_rs1_bypass_en_d; // @[quasar.scala 77:19] + wire [1:0] exu_io_dec_exu_decode_exu_dec_i0_rs2_bypass_en_d; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_mul_p_valid; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_mul_p_bits_rs1_sign; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_mul_p_bits_rs2_sign; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_mul_p_bits_low; // @[quasar.scala 77:19] + wire [30:0] exu_io_dec_exu_decode_exu_pred_correct_npc_x; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_dec_extint_stall; // @[quasar.scala 77:19] + wire [31:0] exu_io_dec_exu_decode_exu_exu_i0_result_x; // @[quasar.scala 77:19] + wire [31:0] exu_io_dec_exu_decode_exu_exu_csr_rs1_x; // @[quasar.scala 77:19] + wire [29:0] exu_io_dec_exu_tlu_exu_dec_tlu_meihap; // @[quasar.scala 77:19] + wire exu_io_dec_exu_tlu_exu_dec_tlu_flush_lower_r; // @[quasar.scala 77:19] + wire [30:0] exu_io_dec_exu_tlu_exu_dec_tlu_flush_path_r; // @[quasar.scala 77:19] + wire [1:0] exu_io_dec_exu_tlu_exu_exu_i0_br_hist_r; // @[quasar.scala 77:19] + wire exu_io_dec_exu_tlu_exu_exu_i0_br_error_r; // @[quasar.scala 77:19] + wire exu_io_dec_exu_tlu_exu_exu_i0_br_start_error_r; // @[quasar.scala 77:19] + wire [7:0] exu_io_dec_exu_tlu_exu_exu_i0_br_index_r; // @[quasar.scala 77:19] + wire exu_io_dec_exu_tlu_exu_exu_i0_br_valid_r; // @[quasar.scala 77:19] + wire exu_io_dec_exu_tlu_exu_exu_i0_br_mp_r; // @[quasar.scala 77:19] + wire exu_io_dec_exu_tlu_exu_exu_i0_br_middle_r; // @[quasar.scala 77:19] + wire exu_io_dec_exu_tlu_exu_exu_pmu_i0_br_misp; // @[quasar.scala 77:19] + wire exu_io_dec_exu_tlu_exu_exu_pmu_i0_br_ataken; // @[quasar.scala 77:19] + wire exu_io_dec_exu_tlu_exu_exu_pmu_i0_pc4; // @[quasar.scala 77:19] + wire [30:0] exu_io_dec_exu_tlu_exu_exu_npc_r; // @[quasar.scala 77:19] + wire [30:0] exu_io_dec_exu_ib_exu_dec_i0_pc_d; // @[quasar.scala 77:19] + wire exu_io_dec_exu_ib_exu_dec_debug_wdata_rs1_d; // @[quasar.scala 77:19] + wire [31:0] exu_io_dec_exu_gpr_exu_gpr_i0_rs1_d; // @[quasar.scala 77:19] + wire [31:0] exu_io_dec_exu_gpr_exu_gpr_i0_rs2_d; // @[quasar.scala 77:19] + wire [7:0] exu_io_exu_bp_exu_i0_br_index_r; // @[quasar.scala 77:19] + wire [7:0] exu_io_exu_bp_exu_i0_br_fghr_r; // @[quasar.scala 77:19] + wire exu_io_exu_bp_exu_i0_br_way_r; // @[quasar.scala 77:19] + wire exu_io_exu_bp_exu_mp_pkt_bits_misp; // @[quasar.scala 77:19] + wire exu_io_exu_bp_exu_mp_pkt_bits_ataken; // @[quasar.scala 77:19] + wire exu_io_exu_bp_exu_mp_pkt_bits_boffset; // @[quasar.scala 77:19] + wire exu_io_exu_bp_exu_mp_pkt_bits_pc4; // @[quasar.scala 77:19] + wire [1:0] exu_io_exu_bp_exu_mp_pkt_bits_hist; // @[quasar.scala 77:19] + wire [11:0] exu_io_exu_bp_exu_mp_pkt_bits_toffset; // @[quasar.scala 77:19] + wire exu_io_exu_bp_exu_mp_pkt_bits_pcall; // @[quasar.scala 77:19] + wire exu_io_exu_bp_exu_mp_pkt_bits_pret; // @[quasar.scala 77:19] + wire exu_io_exu_bp_exu_mp_pkt_bits_pja; // @[quasar.scala 77:19] + wire exu_io_exu_bp_exu_mp_pkt_bits_way; // @[quasar.scala 77:19] + wire [7:0] exu_io_exu_bp_exu_mp_eghr; // @[quasar.scala 77:19] + wire [7:0] exu_io_exu_bp_exu_mp_fghr; // @[quasar.scala 77:19] + wire [7:0] exu_io_exu_bp_exu_mp_index; // @[quasar.scala 77:19] + wire [4:0] exu_io_exu_bp_exu_mp_btag; // @[quasar.scala 77:19] + wire exu_io_exu_flush_final; // @[quasar.scala 77:19] + wire [31:0] exu_io_exu_div_result; // @[quasar.scala 77:19] + wire exu_io_exu_div_wren; // @[quasar.scala 77:19] + wire [31:0] exu_io_dbg_cmd_wrdata; // @[quasar.scala 77:19] + wire [31:0] exu_io_lsu_exu_exu_lsu_rs1_d; // @[quasar.scala 77:19] + wire [31:0] exu_io_lsu_exu_exu_lsu_rs2_d; // @[quasar.scala 77:19] + wire [30:0] exu_io_exu_flush_path_final; // @[quasar.scala 77:19] + wire lsu_clock; // @[quasar.scala 78:19] + wire lsu_reset; // @[quasar.scala 78:19] + wire lsu_io_clk_override; // @[quasar.scala 78:19] + wire lsu_io_lsu_dma_dma_lsc_ctl_dma_dccm_req; // @[quasar.scala 78:19] + wire [31:0] lsu_io_lsu_dma_dma_lsc_ctl_dma_mem_addr; // @[quasar.scala 78:19] + wire [2:0] lsu_io_lsu_dma_dma_lsc_ctl_dma_mem_sz; // @[quasar.scala 78:19] + wire lsu_io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[quasar.scala 78:19] + wire [63:0] lsu_io_lsu_dma_dma_lsc_ctl_dma_mem_wdata; // @[quasar.scala 78:19] + wire [31:0] lsu_io_lsu_dma_dma_dccm_ctl_dma_mem_addr; // @[quasar.scala 78:19] + wire [63:0] lsu_io_lsu_dma_dma_dccm_ctl_dma_mem_wdata; // @[quasar.scala 78:19] + wire lsu_io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid; // @[quasar.scala 78:19] + wire lsu_io_lsu_dma_dma_dccm_ctl_dccm_dma_ecc_error; // @[quasar.scala 78:19] + wire [2:0] lsu_io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag; // @[quasar.scala 78:19] + wire [63:0] lsu_io_lsu_dma_dma_dccm_ctl_dccm_dma_rdata; // @[quasar.scala 78:19] + wire lsu_io_lsu_dma_dccm_ready; // @[quasar.scala 78:19] + wire [2:0] lsu_io_lsu_dma_dma_mem_tag; // @[quasar.scala 78:19] + wire lsu_io_lsu_pic_picm_wren; // @[quasar.scala 78:19] + wire lsu_io_lsu_pic_picm_rden; // @[quasar.scala 78:19] + wire lsu_io_lsu_pic_picm_mken; // @[quasar.scala 78:19] + wire [31:0] lsu_io_lsu_pic_picm_rdaddr; // @[quasar.scala 78:19] + wire [31:0] lsu_io_lsu_pic_picm_wraddr; // @[quasar.scala 78:19] + wire [31:0] lsu_io_lsu_pic_picm_wr_data; // @[quasar.scala 78:19] + wire [31:0] lsu_io_lsu_pic_picm_rd_data; // @[quasar.scala 78:19] + wire [31:0] lsu_io_lsu_exu_exu_lsu_rs1_d; // @[quasar.scala 78:19] + wire [31:0] lsu_io_lsu_exu_exu_lsu_rs2_d; // @[quasar.scala 78:19] + wire lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_trxn; // @[quasar.scala 78:19] + wire lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_misaligned; // @[quasar.scala 78:19] + wire lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_error; // @[quasar.scala 78:19] + wire lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy; // @[quasar.scala 78:19] + wire lsu_io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[quasar.scala 78:19] + wire lsu_io_lsu_dec_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[quasar.scala 78:19] + wire lsu_io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[quasar.scala 78:19] + wire lsu_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any; // @[quasar.scala 78:19] + wire lsu_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any; // @[quasar.scala 78:19] + wire [31:0] lsu_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_addr_any; // @[quasar.scala 78:19] + wire lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_valid_m; // @[quasar.scala 78:19] + wire [1:0] lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_tag_m; // @[quasar.scala 78:19] + wire lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_r; // @[quasar.scala 78:19] + wire [1:0] lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_tag_r; // @[quasar.scala 78:19] + wire lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_valid; // @[quasar.scala 78:19] + wire lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_error; // @[quasar.scala 78:19] + wire [1:0] lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag; // @[quasar.scala 78:19] + wire [31:0] lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data; // @[quasar.scala 78:19] + wire lsu_io_dccm_wren; // @[quasar.scala 78:19] + wire lsu_io_dccm_rden; // @[quasar.scala 78:19] + wire [15:0] lsu_io_dccm_wr_addr_lo; // @[quasar.scala 78:19] + wire [15:0] lsu_io_dccm_wr_addr_hi; // @[quasar.scala 78:19] + wire [15:0] lsu_io_dccm_rd_addr_lo; // @[quasar.scala 78:19] + wire [15:0] lsu_io_dccm_rd_addr_hi; // @[quasar.scala 78:19] + wire [38:0] lsu_io_dccm_wr_data_lo; // @[quasar.scala 78:19] + wire [38:0] lsu_io_dccm_wr_data_hi; // @[quasar.scala 78:19] + wire [38:0] lsu_io_dccm_rd_data_lo; // @[quasar.scala 78:19] + wire [38:0] lsu_io_dccm_rd_data_hi; // @[quasar.scala 78:19] + wire lsu_io_lsu_tlu_lsu_pmu_load_external_m; // @[quasar.scala 78:19] + wire lsu_io_lsu_tlu_lsu_pmu_store_external_m; // @[quasar.scala 78:19] + wire lsu_io_axi_aw_ready; // @[quasar.scala 78:19] + wire lsu_io_axi_aw_valid; // @[quasar.scala 78:19] + wire [2:0] lsu_io_axi_aw_bits_id; // @[quasar.scala 78:19] + wire [31:0] lsu_io_axi_aw_bits_addr; // @[quasar.scala 78:19] + wire [3:0] lsu_io_axi_aw_bits_region; // @[quasar.scala 78:19] + wire [2:0] lsu_io_axi_aw_bits_size; // @[quasar.scala 78:19] + wire [3:0] lsu_io_axi_aw_bits_cache; // @[quasar.scala 78:19] + wire lsu_io_axi_w_ready; // @[quasar.scala 78:19] + wire lsu_io_axi_w_valid; // @[quasar.scala 78:19] + wire [63:0] lsu_io_axi_w_bits_data; // @[quasar.scala 78:19] + wire [7:0] lsu_io_axi_w_bits_strb; // @[quasar.scala 78:19] + wire lsu_io_axi_b_valid; // @[quasar.scala 78:19] + wire [1:0] lsu_io_axi_b_bits_resp; // @[quasar.scala 78:19] + wire [2:0] lsu_io_axi_b_bits_id; // @[quasar.scala 78:19] + wire lsu_io_axi_ar_ready; // @[quasar.scala 78:19] + wire lsu_io_axi_ar_valid; // @[quasar.scala 78:19] + wire [2:0] lsu_io_axi_ar_bits_id; // @[quasar.scala 78:19] + wire [31:0] lsu_io_axi_ar_bits_addr; // @[quasar.scala 78:19] + wire [3:0] lsu_io_axi_ar_bits_region; // @[quasar.scala 78:19] + wire [2:0] lsu_io_axi_ar_bits_size; // @[quasar.scala 78:19] + wire [3:0] lsu_io_axi_ar_bits_cache; // @[quasar.scala 78:19] + wire lsu_io_axi_r_valid; // @[quasar.scala 78:19] + wire [2:0] lsu_io_axi_r_bits_id; // @[quasar.scala 78:19] + wire [63:0] lsu_io_axi_r_bits_data; // @[quasar.scala 78:19] + wire [1:0] lsu_io_axi_r_bits_resp; // @[quasar.scala 78:19] + wire lsu_io_dec_tlu_flush_lower_r; // @[quasar.scala 78:19] + wire lsu_io_dec_tlu_i0_kill_writeb_r; // @[quasar.scala 78:19] + wire lsu_io_dec_tlu_force_halt; // @[quasar.scala 78:19] + wire lsu_io_dec_tlu_core_ecc_disable; // @[quasar.scala 78:19] + wire [11:0] lsu_io_dec_lsu_offset_d; // @[quasar.scala 78:19] + wire lsu_io_lsu_p_valid; // @[quasar.scala 78:19] + wire lsu_io_lsu_p_bits_fast_int; // @[quasar.scala 78:19] + wire lsu_io_lsu_p_bits_by; // @[quasar.scala 78:19] + wire lsu_io_lsu_p_bits_half; // @[quasar.scala 78:19] + wire lsu_io_lsu_p_bits_word; // @[quasar.scala 78:19] + wire lsu_io_lsu_p_bits_load; // @[quasar.scala 78:19] + wire lsu_io_lsu_p_bits_store; // @[quasar.scala 78:19] + wire lsu_io_lsu_p_bits_unsign; // @[quasar.scala 78:19] + wire lsu_io_lsu_p_bits_store_data_bypass_d; // @[quasar.scala 78:19] + wire lsu_io_lsu_p_bits_load_ldst_bypass_d; // @[quasar.scala 78:19] + wire lsu_io_trigger_pkt_any_0_select; // @[quasar.scala 78:19] + wire lsu_io_trigger_pkt_any_0_match_pkt; // @[quasar.scala 78:19] + wire lsu_io_trigger_pkt_any_0_store; // @[quasar.scala 78:19] + wire lsu_io_trigger_pkt_any_0_load; // @[quasar.scala 78:19] + wire [31:0] lsu_io_trigger_pkt_any_0_tdata2; // @[quasar.scala 78:19] + wire lsu_io_trigger_pkt_any_1_select; // @[quasar.scala 78:19] + wire lsu_io_trigger_pkt_any_1_match_pkt; // @[quasar.scala 78:19] + wire lsu_io_trigger_pkt_any_1_store; // @[quasar.scala 78:19] + wire lsu_io_trigger_pkt_any_1_load; // @[quasar.scala 78:19] + wire [31:0] lsu_io_trigger_pkt_any_1_tdata2; // @[quasar.scala 78:19] + wire lsu_io_trigger_pkt_any_2_select; // @[quasar.scala 78:19] + wire lsu_io_trigger_pkt_any_2_match_pkt; // @[quasar.scala 78:19] + wire lsu_io_trigger_pkt_any_2_store; // @[quasar.scala 78:19] + wire lsu_io_trigger_pkt_any_2_load; // @[quasar.scala 78:19] + wire [31:0] lsu_io_trigger_pkt_any_2_tdata2; // @[quasar.scala 78:19] + wire lsu_io_trigger_pkt_any_3_select; // @[quasar.scala 78:19] + wire lsu_io_trigger_pkt_any_3_match_pkt; // @[quasar.scala 78:19] + wire lsu_io_trigger_pkt_any_3_store; // @[quasar.scala 78:19] + wire lsu_io_trigger_pkt_any_3_load; // @[quasar.scala 78:19] + wire [31:0] lsu_io_trigger_pkt_any_3_tdata2; // @[quasar.scala 78:19] + wire lsu_io_dec_lsu_valid_raw_d; // @[quasar.scala 78:19] + wire [31:0] lsu_io_dec_tlu_mrac_ff; // @[quasar.scala 78:19] + wire [31:0] lsu_io_lsu_result_m; // @[quasar.scala 78:19] + wire [31:0] lsu_io_lsu_result_corr_r; // @[quasar.scala 78:19] + wire lsu_io_lsu_load_stall_any; // @[quasar.scala 78:19] + wire lsu_io_lsu_store_stall_any; // @[quasar.scala 78:19] + wire lsu_io_lsu_fastint_stall_any; // @[quasar.scala 78:19] + wire lsu_io_lsu_idle_any; // @[quasar.scala 78:19] + wire [30:0] lsu_io_lsu_fir_addr; // @[quasar.scala 78:19] + wire [1:0] lsu_io_lsu_fir_error; // @[quasar.scala 78:19] + wire lsu_io_lsu_single_ecc_error_incr; // @[quasar.scala 78:19] + wire lsu_io_lsu_error_pkt_r_valid; // @[quasar.scala 78:19] + wire lsu_io_lsu_error_pkt_r_bits_single_ecc_error; // @[quasar.scala 78:19] + wire lsu_io_lsu_error_pkt_r_bits_inst_type; // @[quasar.scala 78:19] + wire lsu_io_lsu_error_pkt_r_bits_exc_type; // @[quasar.scala 78:19] + wire [3:0] lsu_io_lsu_error_pkt_r_bits_mscause; // @[quasar.scala 78:19] + wire [31:0] lsu_io_lsu_error_pkt_r_bits_addr; // @[quasar.scala 78:19] + wire lsu_io_lsu_pmu_misaligned_m; // @[quasar.scala 78:19] + wire [3:0] lsu_io_lsu_trigger_match_m; // @[quasar.scala 78:19] + wire lsu_io_lsu_bus_clk_en; // @[quasar.scala 78:19] + wire lsu_io_scan_mode; // @[quasar.scala 78:19] + wire lsu_io_free_clk; // @[quasar.scala 78:19] + wire pic_ctrl_inst_clock; // @[quasar.scala 79:29] + wire pic_ctrl_inst_reset; // @[quasar.scala 79:29] + wire pic_ctrl_inst_io_scan_mode; // @[quasar.scala 79:29] + wire pic_ctrl_inst_io_free_clk; // @[quasar.scala 79:29] + wire pic_ctrl_inst_io_active_clk; // @[quasar.scala 79:29] + wire pic_ctrl_inst_io_clk_override; // @[quasar.scala 79:29] + wire [31:0] pic_ctrl_inst_io_extintsrc_req; // @[quasar.scala 79:29] + wire pic_ctrl_inst_io_lsu_pic_picm_wren; // @[quasar.scala 79:29] + wire pic_ctrl_inst_io_lsu_pic_picm_rden; // @[quasar.scala 79:29] + wire pic_ctrl_inst_io_lsu_pic_picm_mken; // @[quasar.scala 79:29] + wire [31:0] pic_ctrl_inst_io_lsu_pic_picm_rdaddr; // @[quasar.scala 79:29] + wire [31:0] pic_ctrl_inst_io_lsu_pic_picm_wraddr; // @[quasar.scala 79:29] + wire [31:0] pic_ctrl_inst_io_lsu_pic_picm_wr_data; // @[quasar.scala 79:29] + wire [31:0] pic_ctrl_inst_io_lsu_pic_picm_rd_data; // @[quasar.scala 79:29] + wire [7:0] pic_ctrl_inst_io_dec_pic_pic_claimid; // @[quasar.scala 79:29] + wire [3:0] pic_ctrl_inst_io_dec_pic_pic_pl; // @[quasar.scala 79:29] + wire pic_ctrl_inst_io_dec_pic_mhwakeup; // @[quasar.scala 79:29] + wire [3:0] pic_ctrl_inst_io_dec_pic_dec_tlu_meicurpl; // @[quasar.scala 79:29] + wire [3:0] pic_ctrl_inst_io_dec_pic_dec_tlu_meipt; // @[quasar.scala 79:29] + wire pic_ctrl_inst_io_dec_pic_mexintpend; // @[quasar.scala 79:29] + wire dma_ctrl_clock; // @[quasar.scala 80:24] + wire dma_ctrl_reset; // @[quasar.scala 80:24] + wire dma_ctrl_io_free_clk; // @[quasar.scala 80:24] + wire dma_ctrl_io_dma_bus_clk_en; // @[quasar.scala 80:24] + wire dma_ctrl_io_clk_override; // @[quasar.scala 80:24] + wire dma_ctrl_io_scan_mode; // @[quasar.scala 80:24] + wire [1:0] dma_ctrl_io_dbg_cmd_size; // @[quasar.scala 80:24] + wire [31:0] dma_ctrl_io_dma_dbg_rddata; // @[quasar.scala 80:24] + wire dma_ctrl_io_dma_dbg_cmd_done; // @[quasar.scala 80:24] + wire dma_ctrl_io_dma_dbg_cmd_fail; // @[quasar.scala 80:24] + wire dma_ctrl_io_dbg_dma_dbg_ib_dbg_cmd_valid; // @[quasar.scala 80:24] + wire dma_ctrl_io_dbg_dma_dbg_ib_dbg_cmd_write; // @[quasar.scala 80:24] + wire [1:0] dma_ctrl_io_dbg_dma_dbg_ib_dbg_cmd_type; // @[quasar.scala 80:24] + wire [31:0] dma_ctrl_io_dbg_dma_dbg_ib_dbg_cmd_addr; // @[quasar.scala 80:24] + wire [31:0] dma_ctrl_io_dbg_dma_dbg_dctl_dbg_cmd_wrdata; // @[quasar.scala 80:24] + wire dma_ctrl_io_dbg_dma_io_dbg_dma_bubble; // @[quasar.scala 80:24] + wire dma_ctrl_io_dbg_dma_io_dma_dbg_ready; // @[quasar.scala 80:24] + wire dma_ctrl_io_dec_dma_dctl_dma_dma_dccm_stall_any; // @[quasar.scala 80:24] + wire dma_ctrl_io_dec_dma_tlu_dma_dma_pmu_dccm_read; // @[quasar.scala 80:24] + wire dma_ctrl_io_dec_dma_tlu_dma_dma_pmu_dccm_write; // @[quasar.scala 80:24] + wire dma_ctrl_io_dec_dma_tlu_dma_dma_pmu_any_read; // @[quasar.scala 80:24] + wire dma_ctrl_io_dec_dma_tlu_dma_dma_pmu_any_write; // @[quasar.scala 80:24] + wire [2:0] dma_ctrl_io_dec_dma_tlu_dma_dec_tlu_dma_qos_prty; // @[quasar.scala 80:24] + wire dma_ctrl_io_dec_dma_tlu_dma_dma_dccm_stall_any; // @[quasar.scala 80:24] + wire dma_ctrl_io_dec_dma_tlu_dma_dma_iccm_stall_any; // @[quasar.scala 80:24] + wire dma_ctrl_io_iccm_dma_rvalid; // @[quasar.scala 80:24] + wire dma_ctrl_io_iccm_dma_ecc_error; // @[quasar.scala 80:24] + wire [2:0] dma_ctrl_io_iccm_dma_rtag; // @[quasar.scala 80:24] + wire [63:0] dma_ctrl_io_iccm_dma_rdata; // @[quasar.scala 80:24] + wire dma_ctrl_io_iccm_ready; // @[quasar.scala 80:24] + wire dma_ctrl_io_dma_axi_aw_ready; // @[quasar.scala 80:24] + wire dma_ctrl_io_dma_axi_aw_valid; // @[quasar.scala 80:24] + wire dma_ctrl_io_dma_axi_aw_bits_id; // @[quasar.scala 80:24] + wire [31:0] dma_ctrl_io_dma_axi_aw_bits_addr; // @[quasar.scala 80:24] + wire [2:0] dma_ctrl_io_dma_axi_aw_bits_size; // @[quasar.scala 80:24] + wire dma_ctrl_io_dma_axi_w_ready; // @[quasar.scala 80:24] + wire dma_ctrl_io_dma_axi_w_valid; // @[quasar.scala 80:24] + wire [63:0] dma_ctrl_io_dma_axi_w_bits_data; // @[quasar.scala 80:24] + wire [7:0] dma_ctrl_io_dma_axi_w_bits_strb; // @[quasar.scala 80:24] + wire dma_ctrl_io_dma_axi_b_ready; // @[quasar.scala 80:24] + wire dma_ctrl_io_dma_axi_b_valid; // @[quasar.scala 80:24] + wire [1:0] dma_ctrl_io_dma_axi_b_bits_resp; // @[quasar.scala 80:24] + wire dma_ctrl_io_dma_axi_b_bits_id; // @[quasar.scala 80:24] + wire dma_ctrl_io_dma_axi_ar_ready; // @[quasar.scala 80:24] + wire dma_ctrl_io_dma_axi_ar_valid; // @[quasar.scala 80:24] + wire dma_ctrl_io_dma_axi_ar_bits_id; // @[quasar.scala 80:24] + wire [31:0] dma_ctrl_io_dma_axi_ar_bits_addr; // @[quasar.scala 80:24] + wire [2:0] dma_ctrl_io_dma_axi_ar_bits_size; // @[quasar.scala 80:24] + wire dma_ctrl_io_dma_axi_r_ready; // @[quasar.scala 80:24] + wire dma_ctrl_io_dma_axi_r_valid; // @[quasar.scala 80:24] + wire dma_ctrl_io_dma_axi_r_bits_id; // @[quasar.scala 80:24] + wire [63:0] dma_ctrl_io_dma_axi_r_bits_data; // @[quasar.scala 80:24] + wire [1:0] dma_ctrl_io_dma_axi_r_bits_resp; // @[quasar.scala 80:24] + wire dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_dccm_req; // @[quasar.scala 80:24] + wire [31:0] dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_mem_addr; // @[quasar.scala 80:24] + wire [2:0] dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_mem_sz; // @[quasar.scala 80:24] + wire dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[quasar.scala 80:24] + wire [63:0] dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_mem_wdata; // @[quasar.scala 80:24] + wire [31:0] dma_ctrl_io_lsu_dma_dma_dccm_ctl_dma_mem_addr; // @[quasar.scala 80:24] + wire [63:0] dma_ctrl_io_lsu_dma_dma_dccm_ctl_dma_mem_wdata; // @[quasar.scala 80:24] + wire dma_ctrl_io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid; // @[quasar.scala 80:24] + wire dma_ctrl_io_lsu_dma_dma_dccm_ctl_dccm_dma_ecc_error; // @[quasar.scala 80:24] + wire [2:0] dma_ctrl_io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag; // @[quasar.scala 80:24] + wire [63:0] dma_ctrl_io_lsu_dma_dma_dccm_ctl_dccm_dma_rdata; // @[quasar.scala 80:24] + wire dma_ctrl_io_lsu_dma_dccm_ready; // @[quasar.scala 80:24] + wire [2:0] dma_ctrl_io_lsu_dma_dma_mem_tag; // @[quasar.scala 80:24] + wire dma_ctrl_io_ifu_dma_dma_ifc_dma_iccm_stall_any; // @[quasar.scala 80:24] + wire dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_iccm_req; // @[quasar.scala 80:24] + wire [31:0] dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_addr; // @[quasar.scala 80:24] + wire [2:0] dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_sz; // @[quasar.scala 80:24] + wire dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_write; // @[quasar.scala 80:24] + wire [63:0] dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_wdata; // @[quasar.scala 80:24] + wire [2:0] dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_tag; // @[quasar.scala 80:24] wire rvclkhdr_io_l1clk; // @[lib.scala 343:22] wire rvclkhdr_io_clk; // @[lib.scala 343:22] wire rvclkhdr_io_en; // @[lib.scala 343:22] @@ -81534,11 +81650,11 @@ module quasar( wire rvclkhdr_1_io_clk; // @[lib.scala 343:22] wire rvclkhdr_1_io_en; // @[lib.scala 343:22] wire rvclkhdr_1_io_scan_mode; // @[lib.scala 343:22] - wire _T_1 = dbg_io_dbg_core_rst_l; // @[quasar.scala 166:67] - wire _T_2 = _T_1 | io_scan_mode; // @[quasar.scala 166:70] - wire _T_5 = ~dec_io_dec_pause_state_cg; // @[quasar.scala 167:23] - wire _T_6 = _T_5 | dec_io_dec_exu_tlu_exu_dec_tlu_flush_lower_r; // @[quasar.scala 167:50] - ifu ifu ( // @[quasar.scala 158:19] + wire _T_1 = dbg_io_dbg_core_rst_l; // @[quasar.scala 82:67] + wire _T_2 = _T_1 | io_scan_mode; // @[quasar.scala 82:70] + wire _T_5 = ~dec_io_dec_pause_state_cg; // @[quasar.scala 83:23] + wire _T_6 = _T_5 | dec_io_dec_exu_tlu_exu_dec_tlu_flush_lower_r; // @[quasar.scala 83:50] + ifu ifu ( // @[quasar.scala 74:19] .clock(ifu_clock), .reset(ifu_reset), .io_exu_flush_final(ifu_io_exu_flush_final), @@ -81668,7 +81784,7 @@ module quasar( .io_dec_tlu_flush_lower_wb(ifu_io_dec_tlu_flush_lower_wb), .io_scan_mode(ifu_io_scan_mode) ); - dec dec ( // @[quasar.scala 159:19] + dec dec ( // @[quasar.scala 75:19] .clock(dec_clock), .reset(dec_reset), .io_free_clk(dec_io_free_clk), @@ -81941,7 +82057,7 @@ module quasar( .io_dec_pic_dec_tlu_meipt(dec_io_dec_pic_dec_tlu_meipt), .io_dec_pic_mexintpend(dec_io_dec_pic_mexintpend) ); - dbg dbg ( // @[quasar.scala 160:19] + dbg dbg ( // @[quasar.scala 76:19] .clock(dbg_clock), .reset(dbg_reset), .io_dbg_cmd_size(dbg_io_dbg_cmd_size), @@ -81959,6 +82075,7 @@ module quasar( .io_dmi_reg_addr(dbg_io_dmi_reg_addr), .io_dmi_reg_wr_en(dbg_io_dmi_reg_wr_en), .io_dmi_reg_wdata(dbg_io_dmi_reg_wdata), + .io_dmi_reg_rdata(dbg_io_dmi_reg_rdata), .io_sb_axi_aw_ready(dbg_io_sb_axi_aw_ready), .io_sb_axi_aw_valid(dbg_io_sb_axi_aw_valid), .io_sb_axi_aw_bits_addr(dbg_io_sb_axi_aw_bits_addr), @@ -81997,7 +82114,7 @@ module quasar( .io_clk_override(dbg_io_clk_override), .io_scan_mode(dbg_io_scan_mode) ); - exu exu ( // @[quasar.scala 161:19] + exu exu ( // @[quasar.scala 77:19] .clock(exu_clock), .reset(exu_reset), .io_scan_mode(exu_io_scan_mode), @@ -82078,6 +82195,7 @@ module quasar( .io_dec_exu_ib_exu_dec_debug_wdata_rs1_d(exu_io_dec_exu_ib_exu_dec_debug_wdata_rs1_d), .io_dec_exu_gpr_exu_gpr_i0_rs1_d(exu_io_dec_exu_gpr_exu_gpr_i0_rs1_d), .io_dec_exu_gpr_exu_gpr_i0_rs2_d(exu_io_dec_exu_gpr_exu_gpr_i0_rs2_d), + .io_exu_bp_exu_i0_br_index_r(exu_io_exu_bp_exu_i0_br_index_r), .io_exu_bp_exu_i0_br_fghr_r(exu_io_exu_bp_exu_i0_br_fghr_r), .io_exu_bp_exu_i0_br_way_r(exu_io_exu_bp_exu_i0_br_way_r), .io_exu_bp_exu_mp_pkt_bits_misp(exu_io_exu_bp_exu_mp_pkt_bits_misp), @@ -82102,7 +82220,7 @@ module quasar( .io_lsu_exu_exu_lsu_rs2_d(exu_io_lsu_exu_exu_lsu_rs2_d), .io_exu_flush_path_final(exu_io_exu_flush_path_final) ); - lsu lsu ( // @[quasar.scala 162:19] + lsu lsu ( // @[quasar.scala 78:19] .clock(lsu_clock), .reset(lsu_reset), .io_clk_override(lsu_io_clk_override), @@ -82241,7 +82359,7 @@ module quasar( .io_scan_mode(lsu_io_scan_mode), .io_free_clk(lsu_io_free_clk) ); - pic_ctrl pic_ctrl_inst ( // @[quasar.scala 163:29] + pic_ctrl pic_ctrl_inst ( // @[quasar.scala 79:29] .clock(pic_ctrl_inst_clock), .reset(pic_ctrl_inst_reset), .io_scan_mode(pic_ctrl_inst_io_scan_mode), @@ -82263,7 +82381,7 @@ module quasar( .io_dec_pic_dec_tlu_meipt(pic_ctrl_inst_io_dec_pic_dec_tlu_meipt), .io_dec_pic_mexintpend(pic_ctrl_inst_io_dec_pic_mexintpend) ); - dma_ctrl dma_ctrl ( // @[quasar.scala 164:24] + dma_ctrl dma_ctrl ( // @[quasar.scala 80:24] .clock(dma_ctrl_clock), .reset(dma_ctrl_reset), .io_free_clk(dma_ctrl_io_free_clk), @@ -82350,576 +82468,577 @@ module quasar( .io_en(rvclkhdr_1_io_en), .io_scan_mode(rvclkhdr_1_io_scan_mode) ); - assign io_lsu_axi_aw_valid = lsu_io_axi_aw_valid; // @[quasar.scala 381:14] - assign io_lsu_axi_aw_bits_id = lsu_io_axi_aw_bits_id; // @[quasar.scala 381:14] - assign io_lsu_axi_aw_bits_addr = lsu_io_axi_aw_bits_addr; // @[quasar.scala 381:14] - assign io_lsu_axi_aw_bits_region = lsu_io_axi_aw_bits_region; // @[quasar.scala 381:14] - assign io_lsu_axi_aw_bits_len = 8'h0; // @[quasar.scala 381:14] - assign io_lsu_axi_aw_bits_size = lsu_io_axi_aw_bits_size; // @[quasar.scala 381:14] - assign io_lsu_axi_aw_bits_burst = 2'h1; // @[quasar.scala 381:14] - assign io_lsu_axi_aw_bits_lock = 1'h0; // @[quasar.scala 381:14] - assign io_lsu_axi_aw_bits_cache = lsu_io_axi_aw_bits_cache; // @[quasar.scala 381:14] - assign io_lsu_axi_aw_bits_prot = 3'h0; // @[quasar.scala 381:14] - assign io_lsu_axi_aw_bits_qos = 4'h0; // @[quasar.scala 381:14] - assign io_lsu_axi_w_valid = lsu_io_axi_w_valid; // @[quasar.scala 381:14] - assign io_lsu_axi_w_bits_data = lsu_io_axi_w_bits_data; // @[quasar.scala 381:14] - assign io_lsu_axi_w_bits_strb = lsu_io_axi_w_bits_strb; // @[quasar.scala 381:14] - assign io_lsu_axi_w_bits_last = 1'h1; // @[quasar.scala 381:14] - assign io_lsu_axi_b_ready = 1'h1; // @[quasar.scala 381:14] - assign io_lsu_axi_ar_valid = lsu_io_axi_ar_valid; // @[quasar.scala 381:14] - assign io_lsu_axi_ar_bits_id = lsu_io_axi_ar_bits_id; // @[quasar.scala 381:14] - assign io_lsu_axi_ar_bits_addr = lsu_io_axi_ar_bits_addr; // @[quasar.scala 381:14] - assign io_lsu_axi_ar_bits_region = lsu_io_axi_ar_bits_region; // @[quasar.scala 381:14] - assign io_lsu_axi_ar_bits_len = 8'h0; // @[quasar.scala 381:14] - assign io_lsu_axi_ar_bits_size = lsu_io_axi_ar_bits_size; // @[quasar.scala 381:14] - assign io_lsu_axi_ar_bits_burst = 2'h1; // @[quasar.scala 381:14] - assign io_lsu_axi_ar_bits_lock = 1'h0; // @[quasar.scala 381:14] - assign io_lsu_axi_ar_bits_cache = lsu_io_axi_ar_bits_cache; // @[quasar.scala 381:14] - assign io_lsu_axi_ar_bits_prot = 3'h0; // @[quasar.scala 381:14] - assign io_lsu_axi_ar_bits_qos = 4'h0; // @[quasar.scala 381:14] - assign io_lsu_axi_r_ready = 1'h1; // @[quasar.scala 381:14] - assign io_ifu_axi_aw_valid = 1'h0; // @[quasar.scala 384:14] - assign io_ifu_axi_aw_bits_id = 3'h0; // @[quasar.scala 384:14] - assign io_ifu_axi_aw_bits_addr = 32'h0; // @[quasar.scala 384:14] - assign io_ifu_axi_aw_bits_region = 4'h0; // @[quasar.scala 384:14] - assign io_ifu_axi_aw_bits_len = 8'h0; // @[quasar.scala 384:14] - assign io_ifu_axi_aw_bits_size = 3'h0; // @[quasar.scala 384:14] - assign io_ifu_axi_aw_bits_burst = 2'h0; // @[quasar.scala 384:14] - assign io_ifu_axi_aw_bits_lock = 1'h0; // @[quasar.scala 384:14] - assign io_ifu_axi_aw_bits_cache = 4'h0; // @[quasar.scala 384:14] - assign io_ifu_axi_aw_bits_prot = 3'h0; // @[quasar.scala 384:14] - assign io_ifu_axi_aw_bits_qos = 4'h0; // @[quasar.scala 384:14] - assign io_ifu_axi_w_valid = 1'h0; // @[quasar.scala 384:14] - assign io_ifu_axi_w_bits_data = 64'h0; // @[quasar.scala 384:14] - assign io_ifu_axi_w_bits_strb = 8'h0; // @[quasar.scala 384:14] - assign io_ifu_axi_w_bits_last = 1'h0; // @[quasar.scala 384:14] - assign io_ifu_axi_b_ready = 1'h0; // @[quasar.scala 384:14] - assign io_ifu_axi_ar_valid = ifu_io_ifu_ar_valid; // @[quasar.scala 384:14] - assign io_ifu_axi_ar_bits_id = ifu_io_ifu_ar_bits_id; // @[quasar.scala 384:14] - assign io_ifu_axi_ar_bits_addr = ifu_io_ifu_ar_bits_addr; // @[quasar.scala 384:14] - assign io_ifu_axi_ar_bits_region = ifu_io_ifu_ar_bits_region; // @[quasar.scala 384:14] - assign io_ifu_axi_ar_bits_len = 8'h0; // @[quasar.scala 384:14] - assign io_ifu_axi_ar_bits_size = 3'h3; // @[quasar.scala 384:14] - assign io_ifu_axi_ar_bits_burst = 2'h1; // @[quasar.scala 384:14] - assign io_ifu_axi_ar_bits_lock = 1'h0; // @[quasar.scala 384:14] - assign io_ifu_axi_ar_bits_cache = 4'hf; // @[quasar.scala 384:14] - assign io_ifu_axi_ar_bits_prot = 3'h0; // @[quasar.scala 384:14] - assign io_ifu_axi_ar_bits_qos = 4'h0; // @[quasar.scala 384:14] - assign io_ifu_axi_r_ready = 1'h1; // @[quasar.scala 384:14] - assign io_sb_axi_aw_valid = dbg_io_sb_axi_aw_valid; // @[quasar.scala 301:17] - assign io_sb_axi_aw_bits_id = 1'h0; // @[quasar.scala 301:17] - assign io_sb_axi_aw_bits_addr = dbg_io_sb_axi_aw_bits_addr; // @[quasar.scala 301:17] - assign io_sb_axi_aw_bits_region = dbg_io_sb_axi_aw_bits_region; // @[quasar.scala 301:17] - assign io_sb_axi_aw_bits_len = 8'h0; // @[quasar.scala 301:17] - assign io_sb_axi_aw_bits_size = dbg_io_sb_axi_aw_bits_size; // @[quasar.scala 301:17] - assign io_sb_axi_aw_bits_burst = 2'h1; // @[quasar.scala 301:17] - assign io_sb_axi_aw_bits_lock = 1'h0; // @[quasar.scala 301:17] - assign io_sb_axi_aw_bits_cache = 4'hf; // @[quasar.scala 301:17] - assign io_sb_axi_aw_bits_prot = 3'h0; // @[quasar.scala 301:17] - assign io_sb_axi_aw_bits_qos = 4'h0; // @[quasar.scala 301:17] - assign io_sb_axi_w_valid = dbg_io_sb_axi_w_valid; // @[quasar.scala 301:17] - assign io_sb_axi_w_bits_data = dbg_io_sb_axi_w_bits_data; // @[quasar.scala 301:17] - assign io_sb_axi_w_bits_strb = dbg_io_sb_axi_w_bits_strb; // @[quasar.scala 301:17] - assign io_sb_axi_w_bits_last = 1'h1; // @[quasar.scala 301:17] - assign io_sb_axi_b_ready = 1'h1; // @[quasar.scala 301:17] - assign io_sb_axi_ar_valid = dbg_io_sb_axi_ar_valid; // @[quasar.scala 301:17] - assign io_sb_axi_ar_bits_id = 1'h0; // @[quasar.scala 301:17] - assign io_sb_axi_ar_bits_addr = dbg_io_sb_axi_ar_bits_addr; // @[quasar.scala 301:17] - assign io_sb_axi_ar_bits_region = dbg_io_sb_axi_ar_bits_region; // @[quasar.scala 301:17] - assign io_sb_axi_ar_bits_len = 8'h0; // @[quasar.scala 301:17] - assign io_sb_axi_ar_bits_size = dbg_io_sb_axi_ar_bits_size; // @[quasar.scala 301:17] - assign io_sb_axi_ar_bits_burst = 2'h1; // @[quasar.scala 301:17] - assign io_sb_axi_ar_bits_lock = 1'h0; // @[quasar.scala 301:17] - assign io_sb_axi_ar_bits_cache = 4'h0; // @[quasar.scala 301:17] - assign io_sb_axi_ar_bits_prot = 3'h0; // @[quasar.scala 301:17] - assign io_sb_axi_ar_bits_qos = 4'h0; // @[quasar.scala 301:17] - assign io_sb_axi_r_ready = 1'h1; // @[quasar.scala 301:17] - assign io_dma_axi_aw_ready = dma_ctrl_io_dma_axi_aw_ready; // @[quasar.scala 385:14] - assign io_dma_axi_w_ready = dma_ctrl_io_dma_axi_w_ready; // @[quasar.scala 385:14] - assign io_dma_axi_b_valid = dma_ctrl_io_dma_axi_b_valid; // @[quasar.scala 385:14] - assign io_dma_axi_b_bits_resp = dma_ctrl_io_dma_axi_b_bits_resp; // @[quasar.scala 385:14] - assign io_dma_axi_b_bits_id = dma_ctrl_io_dma_axi_b_bits_id; // @[quasar.scala 385:14] - assign io_dma_axi_ar_ready = dma_ctrl_io_dma_axi_ar_ready; // @[quasar.scala 385:14] - assign io_dma_axi_r_valid = dma_ctrl_io_dma_axi_r_valid; // @[quasar.scala 385:14] - assign io_dma_axi_r_bits_id = dma_ctrl_io_dma_axi_r_bits_id; // @[quasar.scala 385:14] - assign io_dma_axi_r_bits_data = dma_ctrl_io_dma_axi_r_bits_data; // @[quasar.scala 385:14] - assign io_dma_axi_r_bits_resp = dma_ctrl_io_dma_axi_r_bits_resp; // @[quasar.scala 385:14] - assign io_dma_axi_r_bits_last = 1'h1; // @[quasar.scala 385:14] - assign io_core_rst_l = reset & _T_2; // @[quasar.scala 166:17] - assign io_trace_rv_i_valid_ip = dec_io_rv_trace_pkt_rv_i_valid_ip; // @[quasar.scala 332:12] - assign io_trace_rv_i_insn_ip = dec_io_rv_trace_pkt_rv_i_insn_ip; // @[quasar.scala 332:12] - assign io_trace_rv_i_address_ip = dec_io_rv_trace_pkt_rv_i_address_ip; // @[quasar.scala 332:12] - assign io_trace_rv_i_exception_ip = dec_io_rv_trace_pkt_rv_i_exception_ip; // @[quasar.scala 332:12] - assign io_trace_rv_i_ecause_ip = dec_io_rv_trace_pkt_rv_i_ecause_ip; // @[quasar.scala 332:12] - assign io_trace_rv_i_interrupt_ip = dec_io_rv_trace_pkt_rv_i_interrupt_ip; // @[quasar.scala 332:12] - assign io_trace_rv_i_tval_ip = dec_io_rv_trace_pkt_rv_i_tval_ip; // @[quasar.scala 332:12] - assign io_dccm_clk_override = dec_io_dec_tlu_dccm_clk_override; // @[quasar.scala 342:24] - assign io_icm_clk_override = dec_io_dec_tlu_icm_clk_override; // @[quasar.scala 343:23] - assign io_dec_tlu_core_ecc_disable = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_core_ecc_disable; // @[quasar.scala 344:31] - assign io_o_cpu_halt_ack = dec_io_o_cpu_halt_ack; // @[quasar.scala 345:21] - assign io_o_cpu_halt_status = dec_io_o_cpu_halt_status; // @[quasar.scala 346:24] - assign io_o_cpu_run_ack = dec_io_o_cpu_run_ack; // @[quasar.scala 347:20] - assign io_o_debug_mode_status = dec_io_o_debug_mode_status; // @[quasar.scala 348:26] - assign io_mpc_debug_halt_ack = dec_io_mpc_debug_halt_ack; // @[quasar.scala 349:25] - assign io_mpc_debug_run_ack = dec_io_mpc_debug_run_ack; // @[quasar.scala 350:24] - assign io_debug_brkpt_status = dec_io_debug_brkpt_status; // @[quasar.scala 351:25] - assign io_dec_tlu_perfcnt0 = dec_io_dec_tlu_perfcnt0; // @[quasar.scala 352:23] - assign io_dec_tlu_perfcnt1 = dec_io_dec_tlu_perfcnt1; // @[quasar.scala 353:23] - assign io_dec_tlu_perfcnt2 = dec_io_dec_tlu_perfcnt2; // @[quasar.scala 354:23] - assign io_dec_tlu_perfcnt3 = dec_io_dec_tlu_perfcnt3; // @[quasar.scala 355:23] - assign io_swerv_mem_wren = lsu_io_dccm_wren; // @[quasar.scala 357:16] - assign io_swerv_mem_rden = lsu_io_dccm_rden; // @[quasar.scala 357:16] - assign io_swerv_mem_wr_addr_lo = lsu_io_dccm_wr_addr_lo; // @[quasar.scala 357:16] - assign io_swerv_mem_wr_addr_hi = lsu_io_dccm_wr_addr_hi; // @[quasar.scala 357:16] - assign io_swerv_mem_rd_addr_lo = lsu_io_dccm_rd_addr_lo; // @[quasar.scala 357:16] - assign io_swerv_mem_rd_addr_hi = lsu_io_dccm_rd_addr_hi; // @[quasar.scala 357:16] - assign io_swerv_mem_wr_data_lo = lsu_io_dccm_wr_data_lo; // @[quasar.scala 357:16] - assign io_swerv_mem_wr_data_hi = lsu_io_dccm_wr_data_hi; // @[quasar.scala 357:16] - assign io_ic_rw_addr = ifu_io_ic_rw_addr; // @[quasar.scala 191:13] - assign io_ic_tag_valid = ifu_io_ic_tag_valid; // @[quasar.scala 191:13] - assign io_ic_wr_en = ifu_io_ic_wr_en; // @[quasar.scala 191:13] - assign io_ic_rd_en = ifu_io_ic_rd_en; // @[quasar.scala 191:13] - assign io_ic_wr_data_0 = ifu_io_ic_wr_data_0; // @[quasar.scala 191:13] - assign io_ic_wr_data_1 = ifu_io_ic_wr_data_1; // @[quasar.scala 191:13] - assign io_ic_debug_wr_data = ifu_io_ic_debug_wr_data; // @[quasar.scala 191:13] - assign io_ic_debug_addr = ifu_io_ic_debug_addr; // @[quasar.scala 191:13] - assign io_ic_debug_rd_en = ifu_io_ic_debug_rd_en; // @[quasar.scala 191:13] - assign io_ic_debug_wr_en = ifu_io_ic_debug_wr_en; // @[quasar.scala 191:13] - assign io_ic_debug_tag_array = ifu_io_ic_debug_tag_array; // @[quasar.scala 191:13] - assign io_ic_debug_way = ifu_io_ic_debug_way; // @[quasar.scala 191:13] - assign io_ic_premux_data = ifu_io_ic_premux_data; // @[quasar.scala 191:13] - assign io_ic_sel_premux_data = ifu_io_ic_sel_premux_data; // @[quasar.scala 191:13] - assign io_iccm_rw_addr = ifu_io_iccm_rw_addr; // @[quasar.scala 192:15] - assign io_iccm_buf_correct_ecc = ifu_io_iccm_buf_correct_ecc; // @[quasar.scala 192:15] - assign io_iccm_correction_state = ifu_io_iccm_correction_state; // @[quasar.scala 192:15] - assign io_iccm_wren = ifu_io_iccm_wren; // @[quasar.scala 192:15] - assign io_iccm_rden = ifu_io_iccm_rden; // @[quasar.scala 192:15] - assign io_iccm_wr_size = ifu_io_iccm_wr_size; // @[quasar.scala 192:15] - assign io_iccm_wr_data = ifu_io_iccm_wr_data; // @[quasar.scala 192:15] - assign io_haddr = 32'h0; // @[quasar.scala 394:12] - assign io_hburst = 3'h0; // @[quasar.scala 388:13] - assign io_hmastlock = 1'h0; // @[quasar.scala 389:16] - assign io_hprot = 4'h0; // @[quasar.scala 390:12] - assign io_hsize = 3'h0; // @[quasar.scala 391:12] - assign io_htrans = 2'h0; // @[quasar.scala 392:13] - assign io_hwrite = 1'h0; // @[quasar.scala 393:13] - assign io_lsu_haddr = 32'h0; // @[quasar.scala 396:16] - assign io_lsu_hburst = 3'h0; // @[quasar.scala 397:17] - assign io_lsu_hmastlock = 1'h0; // @[quasar.scala 398:20] - assign io_lsu_hprot = 4'h0; // @[quasar.scala 399:16] - assign io_lsu_hsize = 3'h0; // @[quasar.scala 400:16] - assign io_lsu_htrans = 2'h0; // @[quasar.scala 401:17] - assign io_lsu_hwrite = 1'h0; // @[quasar.scala 402:17] - assign io_lsu_hwdata = 64'h0; // @[quasar.scala 403:17] - assign io_sb_haddr = 32'h0; // @[quasar.scala 405:15] - assign io_sb_hburst = 3'h0; // @[quasar.scala 406:16] - assign io_sb_hmastlock = 1'h0; // @[quasar.scala 407:19] - assign io_sb_hprot = 4'h0; // @[quasar.scala 408:15] - assign io_sb_hsize = 3'h0; // @[quasar.scala 409:15] - assign io_sb_htrans = 2'h0; // @[quasar.scala 410:16] - assign io_sb_hwrite = 1'h0; // @[quasar.scala 411:16] - assign io_sb_hwdata = 64'h0; // @[quasar.scala 412:16] - assign io_dma_hrdata = 64'h0; // @[quasar.scala 414:17] - assign io_dma_hreadyout = 1'h0; // @[quasar.scala 415:20] - assign io_dma_hresp = 1'h0; // @[quasar.scala 416:16 quasar.scala 418:16] - assign io_dmi_reg_rdata = 32'h0; // @[quasar.scala 420:20] + assign io_lsu_axi_aw_valid = lsu_io_axi_aw_valid; // @[quasar.scala 284:27] + assign io_lsu_axi_aw_bits_id = lsu_io_axi_aw_bits_id; // @[quasar.scala 284:27] + assign io_lsu_axi_aw_bits_addr = lsu_io_axi_aw_bits_addr; // @[quasar.scala 284:27] + assign io_lsu_axi_aw_bits_region = lsu_io_axi_aw_bits_region; // @[quasar.scala 284:27] + assign io_lsu_axi_aw_bits_len = 8'h0; // @[quasar.scala 284:27] + assign io_lsu_axi_aw_bits_size = lsu_io_axi_aw_bits_size; // @[quasar.scala 284:27] + assign io_lsu_axi_aw_bits_burst = 2'h1; // @[quasar.scala 284:27] + assign io_lsu_axi_aw_bits_lock = 1'h0; // @[quasar.scala 284:27] + assign io_lsu_axi_aw_bits_cache = lsu_io_axi_aw_bits_cache; // @[quasar.scala 284:27] + assign io_lsu_axi_aw_bits_prot = 3'h0; // @[quasar.scala 284:27] + assign io_lsu_axi_aw_bits_qos = 4'h0; // @[quasar.scala 284:27] + assign io_lsu_axi_w_valid = lsu_io_axi_w_valid; // @[quasar.scala 284:27] + assign io_lsu_axi_w_bits_data = lsu_io_axi_w_bits_data; // @[quasar.scala 284:27] + assign io_lsu_axi_w_bits_strb = lsu_io_axi_w_bits_strb; // @[quasar.scala 284:27] + assign io_lsu_axi_w_bits_last = 1'h1; // @[quasar.scala 284:27] + assign io_lsu_axi_b_ready = 1'h1; // @[quasar.scala 284:27] + assign io_lsu_axi_ar_valid = lsu_io_axi_ar_valid; // @[quasar.scala 284:27] + assign io_lsu_axi_ar_bits_id = lsu_io_axi_ar_bits_id; // @[quasar.scala 284:27] + assign io_lsu_axi_ar_bits_addr = lsu_io_axi_ar_bits_addr; // @[quasar.scala 284:27] + assign io_lsu_axi_ar_bits_region = lsu_io_axi_ar_bits_region; // @[quasar.scala 284:27] + assign io_lsu_axi_ar_bits_len = 8'h0; // @[quasar.scala 284:27] + assign io_lsu_axi_ar_bits_size = lsu_io_axi_ar_bits_size; // @[quasar.scala 284:27] + assign io_lsu_axi_ar_bits_burst = 2'h1; // @[quasar.scala 284:27] + assign io_lsu_axi_ar_bits_lock = 1'h0; // @[quasar.scala 284:27] + assign io_lsu_axi_ar_bits_cache = lsu_io_axi_ar_bits_cache; // @[quasar.scala 284:27] + assign io_lsu_axi_ar_bits_prot = 3'h0; // @[quasar.scala 284:27] + assign io_lsu_axi_ar_bits_qos = 4'h0; // @[quasar.scala 284:27] + assign io_lsu_axi_r_ready = 1'h1; // @[quasar.scala 284:27] + assign io_ifu_axi_aw_valid = 1'h0; // @[quasar.scala 283:27] + assign io_ifu_axi_aw_bits_id = 3'h0; // @[quasar.scala 283:27] + assign io_ifu_axi_aw_bits_addr = 32'h0; // @[quasar.scala 283:27] + assign io_ifu_axi_aw_bits_region = 4'h0; // @[quasar.scala 283:27] + assign io_ifu_axi_aw_bits_len = 8'h0; // @[quasar.scala 283:27] + assign io_ifu_axi_aw_bits_size = 3'h0; // @[quasar.scala 283:27] + assign io_ifu_axi_aw_bits_burst = 2'h0; // @[quasar.scala 283:27] + assign io_ifu_axi_aw_bits_lock = 1'h0; // @[quasar.scala 283:27] + assign io_ifu_axi_aw_bits_cache = 4'h0; // @[quasar.scala 283:27] + assign io_ifu_axi_aw_bits_prot = 3'h0; // @[quasar.scala 283:27] + assign io_ifu_axi_aw_bits_qos = 4'h0; // @[quasar.scala 283:27] + assign io_ifu_axi_w_valid = 1'h0; // @[quasar.scala 283:27] + assign io_ifu_axi_w_bits_data = 64'h0; // @[quasar.scala 283:27] + assign io_ifu_axi_w_bits_strb = 8'h0; // @[quasar.scala 283:27] + assign io_ifu_axi_w_bits_last = 1'h0; // @[quasar.scala 283:27] + assign io_ifu_axi_b_ready = 1'h0; // @[quasar.scala 283:27] + assign io_ifu_axi_ar_valid = ifu_io_ifu_ar_valid; // @[quasar.scala 283:27] + assign io_ifu_axi_ar_bits_id = ifu_io_ifu_ar_bits_id; // @[quasar.scala 283:27] + assign io_ifu_axi_ar_bits_addr = ifu_io_ifu_ar_bits_addr; // @[quasar.scala 283:27] + assign io_ifu_axi_ar_bits_region = ifu_io_ifu_ar_bits_region; // @[quasar.scala 283:27] + assign io_ifu_axi_ar_bits_len = 8'h0; // @[quasar.scala 283:27] + assign io_ifu_axi_ar_bits_size = 3'h3; // @[quasar.scala 283:27] + assign io_ifu_axi_ar_bits_burst = 2'h1; // @[quasar.scala 283:27] + assign io_ifu_axi_ar_bits_lock = 1'h0; // @[quasar.scala 283:27] + assign io_ifu_axi_ar_bits_cache = 4'hf; // @[quasar.scala 283:27] + assign io_ifu_axi_ar_bits_prot = 3'h0; // @[quasar.scala 283:27] + assign io_ifu_axi_ar_bits_qos = 4'h0; // @[quasar.scala 283:27] + assign io_ifu_axi_r_ready = 1'h1; // @[quasar.scala 283:27] + assign io_sb_axi_aw_valid = dbg_io_sb_axi_aw_valid; // @[quasar.scala 282:27] + assign io_sb_axi_aw_bits_id = 1'h0; // @[quasar.scala 282:27] + assign io_sb_axi_aw_bits_addr = dbg_io_sb_axi_aw_bits_addr; // @[quasar.scala 282:27] + assign io_sb_axi_aw_bits_region = dbg_io_sb_axi_aw_bits_region; // @[quasar.scala 282:27] + assign io_sb_axi_aw_bits_len = 8'h0; // @[quasar.scala 282:27] + assign io_sb_axi_aw_bits_size = dbg_io_sb_axi_aw_bits_size; // @[quasar.scala 282:27] + assign io_sb_axi_aw_bits_burst = 2'h1; // @[quasar.scala 282:27] + assign io_sb_axi_aw_bits_lock = 1'h0; // @[quasar.scala 282:27] + assign io_sb_axi_aw_bits_cache = 4'hf; // @[quasar.scala 282:27] + assign io_sb_axi_aw_bits_prot = 3'h0; // @[quasar.scala 282:27] + assign io_sb_axi_aw_bits_qos = 4'h0; // @[quasar.scala 282:27] + assign io_sb_axi_w_valid = dbg_io_sb_axi_w_valid; // @[quasar.scala 282:27] + assign io_sb_axi_w_bits_data = dbg_io_sb_axi_w_bits_data; // @[quasar.scala 282:27] + assign io_sb_axi_w_bits_strb = dbg_io_sb_axi_w_bits_strb; // @[quasar.scala 282:27] + assign io_sb_axi_w_bits_last = 1'h1; // @[quasar.scala 282:27] + assign io_sb_axi_b_ready = 1'h1; // @[quasar.scala 282:27] + assign io_sb_axi_ar_valid = dbg_io_sb_axi_ar_valid; // @[quasar.scala 282:27] + assign io_sb_axi_ar_bits_id = 1'h0; // @[quasar.scala 282:27] + assign io_sb_axi_ar_bits_addr = dbg_io_sb_axi_ar_bits_addr; // @[quasar.scala 282:27] + assign io_sb_axi_ar_bits_region = dbg_io_sb_axi_ar_bits_region; // @[quasar.scala 282:27] + assign io_sb_axi_ar_bits_len = 8'h0; // @[quasar.scala 282:27] + assign io_sb_axi_ar_bits_size = dbg_io_sb_axi_ar_bits_size; // @[quasar.scala 282:27] + assign io_sb_axi_ar_bits_burst = 2'h1; // @[quasar.scala 282:27] + assign io_sb_axi_ar_bits_lock = 1'h0; // @[quasar.scala 282:27] + assign io_sb_axi_ar_bits_cache = 4'h0; // @[quasar.scala 282:27] + assign io_sb_axi_ar_bits_prot = 3'h0; // @[quasar.scala 282:27] + assign io_sb_axi_ar_bits_qos = 4'h0; // @[quasar.scala 282:27] + assign io_sb_axi_r_ready = 1'h1; // @[quasar.scala 282:27] + assign io_dma_axi_aw_ready = dma_ctrl_io_dma_axi_aw_ready; // @[quasar.scala 281:27] + assign io_dma_axi_w_ready = dma_ctrl_io_dma_axi_w_ready; // @[quasar.scala 281:27] + assign io_dma_axi_b_valid = dma_ctrl_io_dma_axi_b_valid; // @[quasar.scala 281:27] + assign io_dma_axi_b_bits_resp = dma_ctrl_io_dma_axi_b_bits_resp; // @[quasar.scala 281:27] + assign io_dma_axi_b_bits_id = dma_ctrl_io_dma_axi_b_bits_id; // @[quasar.scala 281:27] + assign io_dma_axi_ar_ready = dma_ctrl_io_dma_axi_ar_ready; // @[quasar.scala 281:27] + assign io_dma_axi_r_valid = dma_ctrl_io_dma_axi_r_valid; // @[quasar.scala 281:27] + assign io_dma_axi_r_bits_id = dma_ctrl_io_dma_axi_r_bits_id; // @[quasar.scala 281:27] + assign io_dma_axi_r_bits_data = dma_ctrl_io_dma_axi_r_bits_data; // @[quasar.scala 281:27] + assign io_dma_axi_r_bits_resp = dma_ctrl_io_dma_axi_r_bits_resp; // @[quasar.scala 281:27] + assign io_dma_axi_r_bits_last = 1'h1; // @[quasar.scala 281:27] + assign io_lsu_ahb_out_haddr = 32'h0; // @[quasar.scala 277:27] + assign io_lsu_ahb_out_hburst = 3'h0; // @[quasar.scala 277:27] + assign io_lsu_ahb_out_hmastlock = 1'h0; // @[quasar.scala 277:27] + assign io_lsu_ahb_out_hprot = 4'h0; // @[quasar.scala 277:27] + assign io_lsu_ahb_out_hsize = 3'h0; // @[quasar.scala 277:27] + assign io_lsu_ahb_out_htrans = 2'h0; // @[quasar.scala 277:27] + assign io_lsu_ahb_out_hwrite = 1'h0; // @[quasar.scala 277:27] + assign io_lsu_ahb_out_hwdata = 64'h0; // @[quasar.scala 277:27] + assign io_ifu_ahb_out_haddr = 32'h0; // @[quasar.scala 278:27] + assign io_ifu_ahb_out_hburst = 3'h0; // @[quasar.scala 278:27] + assign io_ifu_ahb_out_hmastlock = 1'h0; // @[quasar.scala 278:27] + assign io_ifu_ahb_out_hprot = 4'h0; // @[quasar.scala 278:27] + assign io_ifu_ahb_out_hsize = 3'h0; // @[quasar.scala 278:27] + assign io_ifu_ahb_out_htrans = 2'h0; // @[quasar.scala 278:27] + assign io_ifu_ahb_out_hwrite = 1'h0; // @[quasar.scala 278:27] + assign io_ifu_ahb_out_hwdata = 64'h0; // @[quasar.scala 278:27] + assign io_sb_ahb_out_haddr = 32'h0; // @[quasar.scala 279:27] + assign io_sb_ahb_out_hburst = 3'h0; // @[quasar.scala 279:27] + assign io_sb_ahb_out_hmastlock = 1'h0; // @[quasar.scala 279:27] + assign io_sb_ahb_out_hprot = 4'h0; // @[quasar.scala 279:27] + assign io_sb_ahb_out_hsize = 3'h0; // @[quasar.scala 279:27] + assign io_sb_ahb_out_htrans = 2'h0; // @[quasar.scala 279:27] + assign io_sb_ahb_out_hwrite = 1'h0; // @[quasar.scala 279:27] + assign io_sb_ahb_out_hwdata = 64'h0; // @[quasar.scala 279:27] + assign io_dma_ahb_sig_in_hrdata = 64'h0; // @[quasar.scala 280:27] + assign io_dma_ahb_sig_in_hready = 1'h0; // @[quasar.scala 280:27] + assign io_dma_ahb_sig_in_hresp = 1'h0; // @[quasar.scala 280:27] + assign io_core_rst_l = reset & _T_2; // @[quasar.scala 82:17] + assign io_rv_trace_pkt_rv_i_valid_ip = dec_io_rv_trace_pkt_rv_i_valid_ip; // @[quasar.scala 218:19] + assign io_rv_trace_pkt_rv_i_insn_ip = dec_io_rv_trace_pkt_rv_i_insn_ip; // @[quasar.scala 218:19] + assign io_rv_trace_pkt_rv_i_address_ip = dec_io_rv_trace_pkt_rv_i_address_ip; // @[quasar.scala 218:19] + assign io_rv_trace_pkt_rv_i_exception_ip = dec_io_rv_trace_pkt_rv_i_exception_ip; // @[quasar.scala 218:19] + assign io_rv_trace_pkt_rv_i_ecause_ip = dec_io_rv_trace_pkt_rv_i_ecause_ip; // @[quasar.scala 218:19] + assign io_rv_trace_pkt_rv_i_interrupt_ip = dec_io_rv_trace_pkt_rv_i_interrupt_ip; // @[quasar.scala 218:19] + assign io_rv_trace_pkt_rv_i_tval_ip = dec_io_rv_trace_pkt_rv_i_tval_ip; // @[quasar.scala 218:19] + assign io_dccm_clk_override = dec_io_dec_tlu_dccm_clk_override; // @[quasar.scala 221:24] + assign io_icm_clk_override = dec_io_dec_tlu_icm_clk_override; // @[quasar.scala 222:23] + assign io_dec_tlu_core_ecc_disable = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_core_ecc_disable; // @[quasar.scala 223:31] + assign io_o_cpu_halt_ack = dec_io_o_cpu_halt_ack; // @[quasar.scala 224:21] + assign io_o_cpu_halt_status = dec_io_o_cpu_halt_status; // @[quasar.scala 225:24] + assign io_o_cpu_run_ack = dec_io_o_cpu_run_ack; // @[quasar.scala 226:20] + assign io_o_debug_mode_status = dec_io_o_debug_mode_status; // @[quasar.scala 227:26] + assign io_mpc_debug_halt_ack = dec_io_mpc_debug_halt_ack; // @[quasar.scala 228:25] + assign io_mpc_debug_run_ack = dec_io_mpc_debug_run_ack; // @[quasar.scala 229:24] + assign io_debug_brkpt_status = dec_io_debug_brkpt_status; // @[quasar.scala 230:25] + assign io_dec_tlu_perfcnt0 = dec_io_dec_tlu_perfcnt0; // @[quasar.scala 231:23] + assign io_dec_tlu_perfcnt1 = dec_io_dec_tlu_perfcnt1; // @[quasar.scala 232:23] + assign io_dec_tlu_perfcnt2 = dec_io_dec_tlu_perfcnt2; // @[quasar.scala 233:23] + assign io_dec_tlu_perfcnt3 = dec_io_dec_tlu_perfcnt3; // @[quasar.scala 234:23] + assign io_dccm_wren = lsu_io_dccm_wren; // @[quasar.scala 238:11] + assign io_dccm_rden = lsu_io_dccm_rden; // @[quasar.scala 238:11] + assign io_dccm_wr_addr_lo = lsu_io_dccm_wr_addr_lo; // @[quasar.scala 238:11] + assign io_dccm_wr_addr_hi = lsu_io_dccm_wr_addr_hi; // @[quasar.scala 238:11] + assign io_dccm_rd_addr_lo = lsu_io_dccm_rd_addr_lo; // @[quasar.scala 238:11] + assign io_dccm_rd_addr_hi = lsu_io_dccm_rd_addr_hi; // @[quasar.scala 238:11] + assign io_dccm_wr_data_lo = lsu_io_dccm_wr_data_lo; // @[quasar.scala 238:11] + assign io_dccm_wr_data_hi = lsu_io_dccm_wr_data_hi; // @[quasar.scala 238:11] + assign io_ic_rw_addr = ifu_io_ic_rw_addr; // @[quasar.scala 100:13] + assign io_ic_tag_valid = ifu_io_ic_tag_valid; // @[quasar.scala 100:13] + assign io_ic_wr_en = ifu_io_ic_wr_en; // @[quasar.scala 100:13] + assign io_ic_rd_en = ifu_io_ic_rd_en; // @[quasar.scala 100:13] + assign io_ic_wr_data_0 = ifu_io_ic_wr_data_0; // @[quasar.scala 100:13] + assign io_ic_wr_data_1 = ifu_io_ic_wr_data_1; // @[quasar.scala 100:13] + assign io_ic_debug_wr_data = ifu_io_ic_debug_wr_data; // @[quasar.scala 100:13] + assign io_ic_debug_addr = ifu_io_ic_debug_addr; // @[quasar.scala 100:13] + assign io_ic_debug_rd_en = ifu_io_ic_debug_rd_en; // @[quasar.scala 100:13] + assign io_ic_debug_wr_en = ifu_io_ic_debug_wr_en; // @[quasar.scala 100:13] + assign io_ic_debug_tag_array = ifu_io_ic_debug_tag_array; // @[quasar.scala 100:13] + assign io_ic_debug_way = ifu_io_ic_debug_way; // @[quasar.scala 100:13] + assign io_ic_premux_data = ifu_io_ic_premux_data; // @[quasar.scala 100:13] + assign io_ic_sel_premux_data = ifu_io_ic_sel_premux_data; // @[quasar.scala 100:13] + assign io_iccm_rw_addr = ifu_io_iccm_rw_addr; // @[quasar.scala 101:15] + assign io_iccm_buf_correct_ecc = ifu_io_iccm_buf_correct_ecc; // @[quasar.scala 101:15] + assign io_iccm_correction_state = ifu_io_iccm_correction_state; // @[quasar.scala 101:15] + assign io_iccm_wren = ifu_io_iccm_wren; // @[quasar.scala 101:15] + assign io_iccm_rden = ifu_io_iccm_rden; // @[quasar.scala 101:15] + assign io_iccm_wr_size = ifu_io_iccm_wr_size; // @[quasar.scala 101:15] + assign io_iccm_wr_data = ifu_io_iccm_wr_data; // @[quasar.scala 101:15] + assign io_dmi_reg_rdata = dbg_io_dmi_reg_rdata; // @[quasar.scala 235:20] assign ifu_clock = clock; - assign ifu_reset = io_core_rst_l; // @[quasar.scala 177:13] - assign ifu_io_exu_flush_final = dec_io_exu_flush_final; // @[quasar.scala 182:26] - assign ifu_io_exu_flush_path_final = exu_io_exu_flush_path_final; // @[quasar.scala 183:31] - assign ifu_io_free_clk = rvclkhdr_io_l1clk; // @[quasar.scala 179:19] - assign ifu_io_active_clk = rvclkhdr_1_io_l1clk; // @[quasar.scala 180:21] - assign ifu_io_ifu_dec_dec_aln_aln_dec_dec_i0_decode_d = dec_io_ifu_dec_dec_aln_aln_dec_dec_i0_decode_d; // @[quasar.scala 175:18] - assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_flush_err_wb = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_flush_err_wb; // @[quasar.scala 175:18] - assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_i0_commit_cmt = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_i0_commit_cmt; // @[quasar.scala 175:18] - assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_force_halt = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_force_halt; // @[quasar.scala 175:18] - assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_fence_i_wb = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_fence_i_wb; // @[quasar.scala 175:18] - assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wrdata = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wrdata; // @[quasar.scala 175:18 quasar.scala 206:51] - assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_dicawics = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_dicawics; // @[quasar.scala 175:18 quasar.scala 206:51] - assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_rd_valid = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[quasar.scala 175:18 quasar.scala 206:51] - assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wr_valid = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[quasar.scala 175:18 quasar.scala 206:51] - assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_core_ecc_disable = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_core_ecc_disable; // @[quasar.scala 175:18] - assign ifu_io_ifu_dec_dec_ifc_dec_tlu_flush_noredir_wb = dec_io_ifu_dec_dec_ifc_dec_tlu_flush_noredir_wb; // @[quasar.scala 175:18] - assign ifu_io_ifu_dec_dec_ifc_dec_tlu_mrac_ff = dec_io_ifu_dec_dec_ifc_dec_tlu_mrac_ff; // @[quasar.scala 175:18] - assign ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_valid = dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_valid; // @[quasar.scala 175:18] - assign ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_hist = dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_hist; // @[quasar.scala 175:18] - assign ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_error = dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_error; // @[quasar.scala 175:18] - assign ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error = dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error; // @[quasar.scala 175:18] - assign ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_way = dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_way; // @[quasar.scala 175:18] - assign ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_middle = dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_middle; // @[quasar.scala 175:18] - assign ifu_io_ifu_dec_dec_bp_dec_tlu_flush_leak_one_wb = dec_io_ifu_dec_dec_bp_dec_tlu_flush_leak_one_wb; // @[quasar.scala 175:18] - assign ifu_io_ifu_dec_dec_bp_dec_tlu_bpred_disable = dec_io_ifu_dec_dec_bp_dec_tlu_bpred_disable; // @[quasar.scala 175:18] - assign ifu_io_exu_ifu_exu_bp_exu_i0_br_index_r = exu_io_dec_exu_tlu_exu_exu_i0_br_index_r; // @[quasar.scala 201:25 quasar.scala 203:43] - assign ifu_io_exu_ifu_exu_bp_exu_i0_br_fghr_r = exu_io_exu_bp_exu_i0_br_fghr_r; // @[quasar.scala 201:25 quasar.scala 202:42] - assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_misp = exu_io_exu_bp_exu_mp_pkt_bits_misp; // @[quasar.scala 201:25] - assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_ataken = exu_io_exu_bp_exu_mp_pkt_bits_ataken; // @[quasar.scala 201:25] - assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_boffset = exu_io_exu_bp_exu_mp_pkt_bits_boffset; // @[quasar.scala 201:25] - assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_pc4 = exu_io_exu_bp_exu_mp_pkt_bits_pc4; // @[quasar.scala 201:25] - assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_hist = exu_io_exu_bp_exu_mp_pkt_bits_hist; // @[quasar.scala 201:25] - assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_toffset = exu_io_exu_bp_exu_mp_pkt_bits_toffset; // @[quasar.scala 201:25] - assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_pcall = exu_io_exu_bp_exu_mp_pkt_bits_pcall; // @[quasar.scala 201:25] - assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_pret = exu_io_exu_bp_exu_mp_pkt_bits_pret; // @[quasar.scala 201:25] - assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_pja = exu_io_exu_bp_exu_mp_pkt_bits_pja; // @[quasar.scala 201:25] - assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_way = exu_io_exu_bp_exu_mp_pkt_bits_way; // @[quasar.scala 201:25] - assign ifu_io_exu_ifu_exu_bp_exu_mp_eghr = exu_io_exu_bp_exu_mp_eghr; // @[quasar.scala 201:25] - assign ifu_io_exu_ifu_exu_bp_exu_mp_fghr = exu_io_exu_bp_exu_mp_fghr; // @[quasar.scala 201:25] - assign ifu_io_exu_ifu_exu_bp_exu_mp_index = exu_io_exu_bp_exu_mp_index; // @[quasar.scala 201:25] - assign ifu_io_exu_ifu_exu_bp_exu_mp_btag = exu_io_exu_bp_exu_mp_btag; // @[quasar.scala 201:25] - assign ifu_io_iccm_rd_data = io_iccm_rd_data; // @[quasar.scala 192:15] - assign ifu_io_iccm_rd_data_ecc = io_iccm_rd_data_ecc; // @[quasar.scala 192:15] - assign ifu_io_ic_rd_data = io_ic_rd_data; // @[quasar.scala 191:13] - assign ifu_io_ic_debug_rd_data = io_ic_debug_rd_data; // @[quasar.scala 191:13] - assign ifu_io_ic_tag_debug_rd_data = io_ic_tag_debug_rd_data; // @[quasar.scala 191:13] - assign ifu_io_ic_eccerr = io_ic_eccerr; // @[quasar.scala 191:13] - assign ifu_io_ic_rd_hit = io_ic_rd_hit; // @[quasar.scala 191:13] - assign ifu_io_ic_tag_perr = io_ic_tag_perr; // @[quasar.scala 191:13] - assign ifu_io_ifu_ar_ready = io_ifu_axi_ar_ready; // @[quasar.scala 184:23 quasar.scala 384:14] - assign ifu_io_ifu_r_valid = io_ifu_axi_r_valid; // @[quasar.scala 185:22 quasar.scala 384:14] - assign ifu_io_ifu_r_bits_id = io_ifu_axi_r_bits_id; // @[quasar.scala 186:24 quasar.scala 384:14] - assign ifu_io_ifu_r_bits_data = io_ifu_axi_r_bits_data; // @[quasar.scala 187:26 quasar.scala 384:14] - assign ifu_io_ifu_r_bits_resp = io_ifu_axi_r_bits_resp; // @[quasar.scala 188:26 quasar.scala 384:14] - assign ifu_io_ifu_bus_clk_en = io_ifu_bus_clk_en; // @[quasar.scala 189:25] - assign ifu_io_ifu_dma_dma_ifc_dma_iccm_stall_any = dma_ctrl_io_ifu_dma_dma_ifc_dma_iccm_stall_any; // @[quasar.scala 190:18] - assign ifu_io_ifu_dma_dma_mem_ctl_dma_iccm_req = dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_iccm_req; // @[quasar.scala 190:18] - assign ifu_io_ifu_dma_dma_mem_ctl_dma_mem_addr = dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_addr; // @[quasar.scala 190:18] - assign ifu_io_ifu_dma_dma_mem_ctl_dma_mem_sz = dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_sz; // @[quasar.scala 190:18] - assign ifu_io_ifu_dma_dma_mem_ctl_dma_mem_write = dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_write; // @[quasar.scala 190:18] - assign ifu_io_ifu_dma_dma_mem_ctl_dma_mem_wdata = dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_wdata; // @[quasar.scala 190:18] - assign ifu_io_ifu_dma_dma_mem_ctl_dma_mem_tag = dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_tag; // @[quasar.scala 190:18] - assign ifu_io_dec_tlu_flush_lower_wb = dec_io_dec_exu_tlu_exu_dec_tlu_flush_lower_r; // @[quasar.scala 204:33] - assign ifu_io_scan_mode = io_scan_mode; // @[quasar.scala 178:20] + assign ifu_reset = io_core_rst_l; // @[quasar.scala 90:13] + assign ifu_io_exu_flush_final = dec_io_exu_flush_final; // @[quasar.scala 95:26] + assign ifu_io_exu_flush_path_final = exu_io_exu_flush_path_final; // @[quasar.scala 96:31] + assign ifu_io_free_clk = rvclkhdr_io_l1clk; // @[quasar.scala 92:19] + assign ifu_io_active_clk = rvclkhdr_1_io_l1clk; // @[quasar.scala 93:21] + assign ifu_io_ifu_dec_dec_aln_aln_dec_dec_i0_decode_d = dec_io_ifu_dec_dec_aln_aln_dec_dec_i0_decode_d; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_flush_err_wb = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_flush_err_wb; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_i0_commit_cmt = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_i0_commit_cmt; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_force_halt = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_force_halt; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_fence_i_wb = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_fence_i_wb; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wrdata = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wrdata; // @[quasar.scala 88:18 quasar.scala 106:51] + assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_dicawics = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_dicawics; // @[quasar.scala 88:18 quasar.scala 106:51] + assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_rd_valid = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[quasar.scala 88:18 quasar.scala 106:51] + assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wr_valid = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[quasar.scala 88:18 quasar.scala 106:51] + assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_core_ecc_disable = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_core_ecc_disable; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_ifc_dec_tlu_flush_noredir_wb = dec_io_ifu_dec_dec_ifc_dec_tlu_flush_noredir_wb; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_ifc_dec_tlu_mrac_ff = dec_io_ifu_dec_dec_ifc_dec_tlu_mrac_ff; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_valid = dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_valid; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_hist = dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_hist; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_error = dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_error; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error = dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_way = dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_way; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_middle = dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_middle; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_bp_dec_tlu_flush_leak_one_wb = dec_io_ifu_dec_dec_bp_dec_tlu_flush_leak_one_wb; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_bp_dec_tlu_bpred_disable = dec_io_ifu_dec_dec_bp_dec_tlu_bpred_disable; // @[quasar.scala 88:18] + assign ifu_io_exu_ifu_exu_bp_exu_i0_br_index_r = exu_io_exu_bp_exu_i0_br_index_r; // @[quasar.scala 102:25] + assign ifu_io_exu_ifu_exu_bp_exu_i0_br_fghr_r = exu_io_exu_bp_exu_i0_br_fghr_r; // @[quasar.scala 102:25] + assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_misp = exu_io_exu_bp_exu_mp_pkt_bits_misp; // @[quasar.scala 102:25] + assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_ataken = exu_io_exu_bp_exu_mp_pkt_bits_ataken; // @[quasar.scala 102:25] + assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_boffset = exu_io_exu_bp_exu_mp_pkt_bits_boffset; // @[quasar.scala 102:25] + assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_pc4 = exu_io_exu_bp_exu_mp_pkt_bits_pc4; // @[quasar.scala 102:25] + assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_hist = exu_io_exu_bp_exu_mp_pkt_bits_hist; // @[quasar.scala 102:25] + assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_toffset = exu_io_exu_bp_exu_mp_pkt_bits_toffset; // @[quasar.scala 102:25] + assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_pcall = exu_io_exu_bp_exu_mp_pkt_bits_pcall; // @[quasar.scala 102:25] + assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_pret = exu_io_exu_bp_exu_mp_pkt_bits_pret; // @[quasar.scala 102:25] + assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_pja = exu_io_exu_bp_exu_mp_pkt_bits_pja; // @[quasar.scala 102:25] + assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_way = exu_io_exu_bp_exu_mp_pkt_bits_way; // @[quasar.scala 102:25] + assign ifu_io_exu_ifu_exu_bp_exu_mp_eghr = exu_io_exu_bp_exu_mp_eghr; // @[quasar.scala 102:25] + assign ifu_io_exu_ifu_exu_bp_exu_mp_fghr = exu_io_exu_bp_exu_mp_fghr; // @[quasar.scala 102:25] + assign ifu_io_exu_ifu_exu_bp_exu_mp_index = exu_io_exu_bp_exu_mp_index; // @[quasar.scala 102:25] + assign ifu_io_exu_ifu_exu_bp_exu_mp_btag = exu_io_exu_bp_exu_mp_btag; // @[quasar.scala 102:25] + assign ifu_io_iccm_rd_data = io_iccm_rd_data; // @[quasar.scala 101:15] + assign ifu_io_iccm_rd_data_ecc = io_iccm_rd_data_ecc; // @[quasar.scala 101:15] + assign ifu_io_ic_rd_data = io_ic_rd_data; // @[quasar.scala 100:13] + assign ifu_io_ic_debug_rd_data = io_ic_debug_rd_data; // @[quasar.scala 100:13] + assign ifu_io_ic_tag_debug_rd_data = io_ic_tag_debug_rd_data; // @[quasar.scala 100:13] + assign ifu_io_ic_eccerr = io_ic_eccerr; // @[quasar.scala 100:13] + assign ifu_io_ic_rd_hit = io_ic_rd_hit; // @[quasar.scala 100:13] + assign ifu_io_ic_tag_perr = io_ic_tag_perr; // @[quasar.scala 100:13] + assign ifu_io_ifu_ar_ready = io_ifu_axi_ar_ready; // @[quasar.scala 283:27] + assign ifu_io_ifu_r_valid = io_ifu_axi_r_valid; // @[quasar.scala 283:27] + assign ifu_io_ifu_r_bits_id = io_ifu_axi_r_bits_id; // @[quasar.scala 283:27] + assign ifu_io_ifu_r_bits_data = io_ifu_axi_r_bits_data; // @[quasar.scala 283:27] + assign ifu_io_ifu_r_bits_resp = io_ifu_axi_r_bits_resp; // @[quasar.scala 283:27] + assign ifu_io_ifu_bus_clk_en = io_ifu_bus_clk_en; // @[quasar.scala 98:25] + assign ifu_io_ifu_dma_dma_ifc_dma_iccm_stall_any = dma_ctrl_io_ifu_dma_dma_ifc_dma_iccm_stall_any; // @[quasar.scala 99:18] + assign ifu_io_ifu_dma_dma_mem_ctl_dma_iccm_req = dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_iccm_req; // @[quasar.scala 99:18] + assign ifu_io_ifu_dma_dma_mem_ctl_dma_mem_addr = dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_addr; // @[quasar.scala 99:18] + assign ifu_io_ifu_dma_dma_mem_ctl_dma_mem_sz = dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_sz; // @[quasar.scala 99:18] + assign ifu_io_ifu_dma_dma_mem_ctl_dma_mem_write = dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_write; // @[quasar.scala 99:18] + assign ifu_io_ifu_dma_dma_mem_ctl_dma_mem_wdata = dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_wdata; // @[quasar.scala 99:18] + assign ifu_io_ifu_dma_dma_mem_ctl_dma_mem_tag = dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_tag; // @[quasar.scala 99:18] + assign ifu_io_dec_tlu_flush_lower_wb = dec_io_dec_exu_tlu_exu_dec_tlu_flush_lower_r; // @[quasar.scala 105:33] + assign ifu_io_scan_mode = io_scan_mode; // @[quasar.scala 91:20] assign dec_clock = clock; - assign dec_reset = io_core_rst_l; // @[quasar.scala 209:13] - assign dec_io_free_clk = rvclkhdr_io_l1clk; // @[quasar.scala 210:19] - assign dec_io_active_clk = rvclkhdr_1_io_l1clk; // @[quasar.scala 211:21] - assign dec_io_lsu_fastint_stall_any = lsu_io_lsu_fastint_stall_any; // @[quasar.scala 212:32] - assign dec_io_rst_vec = io_rst_vec; // @[quasar.scala 213:18] - assign dec_io_nmi_int = io_nmi_int; // @[quasar.scala 214:18] - assign dec_io_nmi_vec = io_nmi_vec; // @[quasar.scala 215:18] - assign dec_io_i_cpu_halt_req = io_i_cpu_halt_req; // @[quasar.scala 216:25] - assign dec_io_i_cpu_run_req = io_i_cpu_run_req; // @[quasar.scala 217:24] - assign dec_io_core_id = io_core_id; // @[quasar.scala 218:18] - assign dec_io_mpc_debug_halt_req = io_mpc_debug_halt_req; // @[quasar.scala 219:29] - assign dec_io_mpc_debug_run_req = io_mpc_debug_run_req; // @[quasar.scala 220:28] - assign dec_io_mpc_reset_run_req = io_mpc_reset_run_req; // @[quasar.scala 221:28] - assign dec_io_lsu_pmu_misaligned_m = lsu_io_lsu_pmu_misaligned_m; // @[quasar.scala 226:31] - assign dec_io_lsu_fir_addr = lsu_io_lsu_fir_addr; // @[quasar.scala 227:23] - assign dec_io_lsu_fir_error = lsu_io_lsu_fir_error; // @[quasar.scala 228:24] - assign dec_io_lsu_trigger_match_m = lsu_io_lsu_trigger_match_m; // @[quasar.scala 229:30] - assign dec_io_lsu_idle_any = lsu_io_lsu_idle_any; // @[quasar.scala 231:23] - assign dec_io_lsu_error_pkt_r_valid = lsu_io_lsu_error_pkt_r_valid; // @[quasar.scala 232:26] - assign dec_io_lsu_error_pkt_r_bits_single_ecc_error = lsu_io_lsu_error_pkt_r_bits_single_ecc_error; // @[quasar.scala 232:26] - assign dec_io_lsu_error_pkt_r_bits_inst_type = lsu_io_lsu_error_pkt_r_bits_inst_type; // @[quasar.scala 232:26] - assign dec_io_lsu_error_pkt_r_bits_exc_type = lsu_io_lsu_error_pkt_r_bits_exc_type; // @[quasar.scala 232:26] - assign dec_io_lsu_error_pkt_r_bits_mscause = lsu_io_lsu_error_pkt_r_bits_mscause; // @[quasar.scala 232:26] - assign dec_io_lsu_error_pkt_r_bits_addr = lsu_io_lsu_error_pkt_r_bits_addr; // @[quasar.scala 232:26] - assign dec_io_lsu_single_ecc_error_incr = lsu_io_lsu_single_ecc_error_incr; // @[quasar.scala 233:36] - assign dec_io_exu_div_result = exu_io_exu_div_result; // @[quasar.scala 234:25] - assign dec_io_exu_div_wren = exu_io_exu_div_wren; // @[quasar.scala 235:23] - assign dec_io_lsu_result_m = lsu_io_lsu_result_m; // @[quasar.scala 236:23] - assign dec_io_lsu_result_corr_r = lsu_io_lsu_result_corr_r; // @[quasar.scala 237:28] - assign dec_io_lsu_load_stall_any = lsu_io_lsu_load_stall_any; // @[quasar.scala 238:29] - assign dec_io_lsu_store_stall_any = lsu_io_lsu_store_stall_any; // @[quasar.scala 239:30] - assign dec_io_iccm_dma_sb_error = ifu_io_iccm_dma_sb_error; // @[quasar.scala 240:28] - assign dec_io_exu_flush_final = exu_io_exu_flush_final; // @[quasar.scala 241:26] - assign dec_io_timer_int = io_timer_int; // @[quasar.scala 250:20] - assign dec_io_soft_int = io_soft_int; // @[quasar.scala 243:19] - assign dec_io_dbg_halt_req = dbg_io_dbg_halt_req; // @[quasar.scala 247:23] - assign dec_io_dbg_resume_req = dbg_io_dbg_resume_req; // @[quasar.scala 248:25] - assign dec_io_exu_i0_br_way_r = exu_io_exu_bp_exu_i0_br_way_r; // @[quasar.scala 249:26] - assign dec_io_scan_mode = io_scan_mode; // @[quasar.scala 251:20] - assign dec_io_ifu_dec_dec_aln_aln_dec_ifu_i0_cinst = ifu_io_ifu_dec_dec_aln_aln_dec_ifu_i0_cinst; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_type = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_type; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_f1 = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_f1; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_index = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_index; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_fghr = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_fghr; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_btag = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_btag; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_valid = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_valid; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc4 = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc4; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_valid = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_valid; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_prett = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_prett; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_way = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_way; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_aln_ifu_pmu_instr_aligned = ifu_io_ifu_dec_dec_aln_ifu_pmu_instr_aligned; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_miss = ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_miss; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_hit = ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_hit; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_error = ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_error; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_busy = ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_busy; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_trxn = ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_trxn; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_mem_ctrl_ifu_ic_error_start = ifu_io_ifu_dec_dec_mem_ctrl_ifu_ic_error_start; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_mem_ctrl_ifu_iccm_rd_ecc_single_err = ifu_io_ifu_dec_dec_mem_ctrl_ifu_iccm_rd_ecc_single_err; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data = ifu_io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data_valid = ifu_io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data_valid; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_mem_ctrl_ifu_miss_state_idle = ifu_io_ifu_dec_dec_mem_ctrl_ifu_miss_state_idle; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_ifc_ifu_pmu_fetch_stall = ifu_io_ifu_dec_dec_ifc_ifu_pmu_fetch_stall; // @[quasar.scala 175:18] - assign dec_io_dec_exu_dec_alu_exu_i0_pc_x = exu_io_dec_exu_dec_alu_exu_i0_pc_x; // @[quasar.scala 254:18] - assign dec_io_dec_exu_decode_exu_exu_i0_result_x = exu_io_dec_exu_decode_exu_exu_i0_result_x; // @[quasar.scala 254:18] - assign dec_io_dec_exu_decode_exu_exu_csr_rs1_x = exu_io_dec_exu_decode_exu_exu_csr_rs1_x; // @[quasar.scala 254:18] - assign dec_io_dec_exu_tlu_exu_exu_i0_br_hist_r = exu_io_dec_exu_tlu_exu_exu_i0_br_hist_r; // @[quasar.scala 254:18] - assign dec_io_dec_exu_tlu_exu_exu_i0_br_error_r = exu_io_dec_exu_tlu_exu_exu_i0_br_error_r; // @[quasar.scala 254:18] - assign dec_io_dec_exu_tlu_exu_exu_i0_br_start_error_r = exu_io_dec_exu_tlu_exu_exu_i0_br_start_error_r; // @[quasar.scala 254:18] - assign dec_io_dec_exu_tlu_exu_exu_i0_br_valid_r = exu_io_dec_exu_tlu_exu_exu_i0_br_valid_r; // @[quasar.scala 254:18] - assign dec_io_dec_exu_tlu_exu_exu_i0_br_mp_r = exu_io_dec_exu_tlu_exu_exu_i0_br_mp_r; // @[quasar.scala 254:18] - assign dec_io_dec_exu_tlu_exu_exu_i0_br_middle_r = exu_io_dec_exu_tlu_exu_exu_i0_br_middle_r; // @[quasar.scala 254:18] - assign dec_io_dec_exu_tlu_exu_exu_pmu_i0_br_misp = exu_io_dec_exu_tlu_exu_exu_pmu_i0_br_misp; // @[quasar.scala 254:18] - assign dec_io_dec_exu_tlu_exu_exu_pmu_i0_br_ataken = exu_io_dec_exu_tlu_exu_exu_pmu_i0_br_ataken; // @[quasar.scala 254:18] - assign dec_io_dec_exu_tlu_exu_exu_pmu_i0_pc4 = exu_io_dec_exu_tlu_exu_exu_pmu_i0_pc4; // @[quasar.scala 254:18] - assign dec_io_dec_exu_tlu_exu_exu_npc_r = exu_io_dec_exu_tlu_exu_exu_npc_r; // @[quasar.scala 254:18] - assign dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_trxn = lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_trxn; // @[quasar.scala 222:18] - assign dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_misaligned = lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_misaligned; // @[quasar.scala 222:18] - assign dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_error = lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_error; // @[quasar.scala 222:18] - assign dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy = lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy; // @[quasar.scala 222:18] - assign dec_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any = lsu_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any; // @[quasar.scala 222:18] - assign dec_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any = lsu_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any; // @[quasar.scala 222:18] - assign dec_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_addr_any = lsu_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_addr_any; // @[quasar.scala 222:18] - assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_valid_m = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_valid_m; // @[quasar.scala 222:18] - assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_tag_m = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_tag_m; // @[quasar.scala 222:18] - assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_r = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_r; // @[quasar.scala 222:18] - assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_tag_r = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_tag_r; // @[quasar.scala 222:18] - assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_valid = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_valid; // @[quasar.scala 222:18] - assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_error = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_error; // @[quasar.scala 222:18] - assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag; // @[quasar.scala 222:18] - assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data; // @[quasar.scala 222:18] - assign dec_io_lsu_tlu_lsu_pmu_load_external_m = lsu_io_lsu_tlu_lsu_pmu_load_external_m; // @[quasar.scala 223:18] - assign dec_io_lsu_tlu_lsu_pmu_store_external_m = lsu_io_lsu_tlu_lsu_pmu_store_external_m; // @[quasar.scala 223:18] - assign dec_io_dec_dbg_dbg_ib_dbg_cmd_valid = dbg_io_dbg_dec_dbg_ib_dbg_cmd_valid; // @[quasar.scala 230:18] - assign dec_io_dec_dbg_dbg_ib_dbg_cmd_write = dbg_io_dbg_dec_dbg_ib_dbg_cmd_write; // @[quasar.scala 230:18] - assign dec_io_dec_dbg_dbg_ib_dbg_cmd_type = dbg_io_dbg_dec_dbg_ib_dbg_cmd_type; // @[quasar.scala 230:18] - assign dec_io_dec_dbg_dbg_ib_dbg_cmd_addr = dbg_io_dbg_dec_dbg_ib_dbg_cmd_addr; // @[quasar.scala 230:18] - assign dec_io_dec_dbg_dbg_dctl_dbg_cmd_wrdata = dbg_io_dbg_dec_dbg_dctl_dbg_cmd_wrdata; // @[quasar.scala 230:18] - assign dec_io_dec_dma_dctl_dma_dma_dccm_stall_any = dma_ctrl_io_dec_dma_dctl_dma_dma_dccm_stall_any; // @[quasar.scala 224:18] - assign dec_io_dec_dma_tlu_dma_dma_pmu_dccm_read = dma_ctrl_io_dec_dma_tlu_dma_dma_pmu_dccm_read; // @[quasar.scala 224:18] - assign dec_io_dec_dma_tlu_dma_dma_pmu_dccm_write = dma_ctrl_io_dec_dma_tlu_dma_dma_pmu_dccm_write; // @[quasar.scala 224:18] - assign dec_io_dec_dma_tlu_dma_dma_pmu_any_read = dma_ctrl_io_dec_dma_tlu_dma_dma_pmu_any_read; // @[quasar.scala 224:18] - assign dec_io_dec_dma_tlu_dma_dma_pmu_any_write = dma_ctrl_io_dec_dma_tlu_dma_dma_pmu_any_write; // @[quasar.scala 224:18] - assign dec_io_dec_dma_tlu_dma_dma_dccm_stall_any = dma_ctrl_io_dec_dma_tlu_dma_dma_dccm_stall_any; // @[quasar.scala 224:18] - assign dec_io_dec_dma_tlu_dma_dma_iccm_stall_any = dma_ctrl_io_dec_dma_tlu_dma_dma_iccm_stall_any; // @[quasar.scala 224:18] - assign dec_io_dec_pic_pic_claimid = pic_ctrl_inst_io_dec_pic_pic_claimid; // @[quasar.scala 225:18] - assign dec_io_dec_pic_pic_pl = pic_ctrl_inst_io_dec_pic_pic_pl; // @[quasar.scala 225:18] - assign dec_io_dec_pic_mhwakeup = pic_ctrl_inst_io_dec_pic_mhwakeup; // @[quasar.scala 225:18] - assign dec_io_dec_pic_mexintpend = pic_ctrl_inst_io_dec_pic_mexintpend; // @[quasar.scala 225:18] + assign dec_reset = io_core_rst_l; // @[quasar.scala 109:13] + assign dec_io_free_clk = rvclkhdr_io_l1clk; // @[quasar.scala 110:19] + assign dec_io_active_clk = rvclkhdr_1_io_l1clk; // @[quasar.scala 111:21] + assign dec_io_lsu_fastint_stall_any = lsu_io_lsu_fastint_stall_any; // @[quasar.scala 112:32] + assign dec_io_rst_vec = io_rst_vec; // @[quasar.scala 113:18] + assign dec_io_nmi_int = io_nmi_int; // @[quasar.scala 114:18] + assign dec_io_nmi_vec = io_nmi_vec; // @[quasar.scala 115:18] + assign dec_io_i_cpu_halt_req = io_i_cpu_halt_req; // @[quasar.scala 116:25] + assign dec_io_i_cpu_run_req = io_i_cpu_run_req; // @[quasar.scala 117:24] + assign dec_io_core_id = io_core_id; // @[quasar.scala 118:18] + assign dec_io_mpc_debug_halt_req = io_mpc_debug_halt_req; // @[quasar.scala 119:29] + assign dec_io_mpc_debug_run_req = io_mpc_debug_run_req; // @[quasar.scala 120:28] + assign dec_io_mpc_reset_run_req = io_mpc_reset_run_req; // @[quasar.scala 121:28] + assign dec_io_lsu_pmu_misaligned_m = lsu_io_lsu_pmu_misaligned_m; // @[quasar.scala 124:31] + assign dec_io_lsu_fir_addr = lsu_io_lsu_fir_addr; // @[quasar.scala 127:23] + assign dec_io_lsu_fir_error = lsu_io_lsu_fir_error; // @[quasar.scala 128:24] + assign dec_io_lsu_trigger_match_m = lsu_io_lsu_trigger_match_m; // @[quasar.scala 129:30] + assign dec_io_lsu_idle_any = lsu_io_lsu_idle_any; // @[quasar.scala 131:23] + assign dec_io_lsu_error_pkt_r_valid = lsu_io_lsu_error_pkt_r_valid; // @[quasar.scala 132:26] + assign dec_io_lsu_error_pkt_r_bits_single_ecc_error = lsu_io_lsu_error_pkt_r_bits_single_ecc_error; // @[quasar.scala 132:26] + assign dec_io_lsu_error_pkt_r_bits_inst_type = lsu_io_lsu_error_pkt_r_bits_inst_type; // @[quasar.scala 132:26] + assign dec_io_lsu_error_pkt_r_bits_exc_type = lsu_io_lsu_error_pkt_r_bits_exc_type; // @[quasar.scala 132:26] + assign dec_io_lsu_error_pkt_r_bits_mscause = lsu_io_lsu_error_pkt_r_bits_mscause; // @[quasar.scala 132:26] + assign dec_io_lsu_error_pkt_r_bits_addr = lsu_io_lsu_error_pkt_r_bits_addr; // @[quasar.scala 132:26] + assign dec_io_lsu_single_ecc_error_incr = lsu_io_lsu_single_ecc_error_incr; // @[quasar.scala 133:36] + assign dec_io_exu_div_result = exu_io_exu_div_result; // @[quasar.scala 134:25] + assign dec_io_exu_div_wren = exu_io_exu_div_wren; // @[quasar.scala 135:23] + assign dec_io_lsu_result_m = lsu_io_lsu_result_m; // @[quasar.scala 136:23] + assign dec_io_lsu_result_corr_r = lsu_io_lsu_result_corr_r; // @[quasar.scala 137:28] + assign dec_io_lsu_load_stall_any = lsu_io_lsu_load_stall_any; // @[quasar.scala 138:29] + assign dec_io_lsu_store_stall_any = lsu_io_lsu_store_stall_any; // @[quasar.scala 139:30] + assign dec_io_iccm_dma_sb_error = ifu_io_iccm_dma_sb_error; // @[quasar.scala 140:28] + assign dec_io_exu_flush_final = exu_io_exu_flush_final; // @[quasar.scala 141:26] + assign dec_io_timer_int = io_timer_int; // @[quasar.scala 147:20] + assign dec_io_soft_int = io_soft_int; // @[quasar.scala 143:19] + assign dec_io_dbg_halt_req = dbg_io_dbg_halt_req; // @[quasar.scala 144:23] + assign dec_io_dbg_resume_req = dbg_io_dbg_resume_req; // @[quasar.scala 145:25] + assign dec_io_exu_i0_br_way_r = exu_io_exu_bp_exu_i0_br_way_r; // @[quasar.scala 146:26] + assign dec_io_scan_mode = io_scan_mode; // @[quasar.scala 148:20] + assign dec_io_ifu_dec_dec_aln_aln_dec_ifu_i0_cinst = ifu_io_ifu_dec_dec_aln_aln_dec_ifu_i0_cinst; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_type = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_type; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_f1 = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_f1; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_index = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_index; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_fghr = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_fghr; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_btag = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_btag; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_valid = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_valid; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc4 = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc4; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_valid = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_valid; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_prett = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_prett; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_way = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_way; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_ifu_pmu_instr_aligned = ifu_io_ifu_dec_dec_aln_ifu_pmu_instr_aligned; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_miss = ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_miss; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_hit = ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_hit; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_error = ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_error; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_busy = ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_busy; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_trxn = ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_trxn; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_mem_ctrl_ifu_ic_error_start = ifu_io_ifu_dec_dec_mem_ctrl_ifu_ic_error_start; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_mem_ctrl_ifu_iccm_rd_ecc_single_err = ifu_io_ifu_dec_dec_mem_ctrl_ifu_iccm_rd_ecc_single_err; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data = ifu_io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data_valid = ifu_io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data_valid; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_mem_ctrl_ifu_miss_state_idle = ifu_io_ifu_dec_dec_mem_ctrl_ifu_miss_state_idle; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_ifc_ifu_pmu_fetch_stall = ifu_io_ifu_dec_dec_ifc_ifu_pmu_fetch_stall; // @[quasar.scala 88:18] + assign dec_io_dec_exu_dec_alu_exu_i0_pc_x = exu_io_dec_exu_dec_alu_exu_i0_pc_x; // @[quasar.scala 151:18] + assign dec_io_dec_exu_decode_exu_exu_i0_result_x = exu_io_dec_exu_decode_exu_exu_i0_result_x; // @[quasar.scala 151:18] + assign dec_io_dec_exu_decode_exu_exu_csr_rs1_x = exu_io_dec_exu_decode_exu_exu_csr_rs1_x; // @[quasar.scala 151:18] + assign dec_io_dec_exu_tlu_exu_exu_i0_br_hist_r = exu_io_dec_exu_tlu_exu_exu_i0_br_hist_r; // @[quasar.scala 151:18] + assign dec_io_dec_exu_tlu_exu_exu_i0_br_error_r = exu_io_dec_exu_tlu_exu_exu_i0_br_error_r; // @[quasar.scala 151:18] + assign dec_io_dec_exu_tlu_exu_exu_i0_br_start_error_r = exu_io_dec_exu_tlu_exu_exu_i0_br_start_error_r; // @[quasar.scala 151:18] + assign dec_io_dec_exu_tlu_exu_exu_i0_br_valid_r = exu_io_dec_exu_tlu_exu_exu_i0_br_valid_r; // @[quasar.scala 151:18] + assign dec_io_dec_exu_tlu_exu_exu_i0_br_mp_r = exu_io_dec_exu_tlu_exu_exu_i0_br_mp_r; // @[quasar.scala 151:18] + assign dec_io_dec_exu_tlu_exu_exu_i0_br_middle_r = exu_io_dec_exu_tlu_exu_exu_i0_br_middle_r; // @[quasar.scala 151:18] + assign dec_io_dec_exu_tlu_exu_exu_pmu_i0_br_misp = exu_io_dec_exu_tlu_exu_exu_pmu_i0_br_misp; // @[quasar.scala 151:18] + assign dec_io_dec_exu_tlu_exu_exu_pmu_i0_br_ataken = exu_io_dec_exu_tlu_exu_exu_pmu_i0_br_ataken; // @[quasar.scala 151:18] + assign dec_io_dec_exu_tlu_exu_exu_pmu_i0_pc4 = exu_io_dec_exu_tlu_exu_exu_pmu_i0_pc4; // @[quasar.scala 151:18] + assign dec_io_dec_exu_tlu_exu_exu_npc_r = exu_io_dec_exu_tlu_exu_exu_npc_r; // @[quasar.scala 151:18] + assign dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_trxn = lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_trxn; // @[quasar.scala 122:18] + assign dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_misaligned = lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_misaligned; // @[quasar.scala 122:18] + assign dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_error = lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_error; // @[quasar.scala 122:18] + assign dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy = lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy; // @[quasar.scala 122:18] + assign dec_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any = lsu_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any; // @[quasar.scala 122:18] + assign dec_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any = lsu_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any; // @[quasar.scala 122:18] + assign dec_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_addr_any = lsu_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_addr_any; // @[quasar.scala 122:18] + assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_valid_m = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_valid_m; // @[quasar.scala 122:18] + assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_tag_m = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_tag_m; // @[quasar.scala 122:18] + assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_r = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_r; // @[quasar.scala 122:18] + assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_tag_r = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_tag_r; // @[quasar.scala 122:18] + assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_valid = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_valid; // @[quasar.scala 122:18] + assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_error = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_error; // @[quasar.scala 122:18] + assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag; // @[quasar.scala 122:18] + assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data; // @[quasar.scala 122:18] + assign dec_io_lsu_tlu_lsu_pmu_load_external_m = lsu_io_lsu_tlu_lsu_pmu_load_external_m; // @[quasar.scala 123:18] + assign dec_io_lsu_tlu_lsu_pmu_store_external_m = lsu_io_lsu_tlu_lsu_pmu_store_external_m; // @[quasar.scala 123:18] + assign dec_io_dec_dbg_dbg_ib_dbg_cmd_valid = dbg_io_dbg_dec_dbg_ib_dbg_cmd_valid; // @[quasar.scala 130:18] + assign dec_io_dec_dbg_dbg_ib_dbg_cmd_write = dbg_io_dbg_dec_dbg_ib_dbg_cmd_write; // @[quasar.scala 130:18] + assign dec_io_dec_dbg_dbg_ib_dbg_cmd_type = dbg_io_dbg_dec_dbg_ib_dbg_cmd_type; // @[quasar.scala 130:18] + assign dec_io_dec_dbg_dbg_ib_dbg_cmd_addr = dbg_io_dbg_dec_dbg_ib_dbg_cmd_addr; // @[quasar.scala 130:18] + assign dec_io_dec_dbg_dbg_dctl_dbg_cmd_wrdata = dbg_io_dbg_dec_dbg_dctl_dbg_cmd_wrdata; // @[quasar.scala 130:18] + assign dec_io_dec_dma_dctl_dma_dma_dccm_stall_any = dma_ctrl_io_dec_dma_dctl_dma_dma_dccm_stall_any; // @[quasar.scala 125:18] + assign dec_io_dec_dma_tlu_dma_dma_pmu_dccm_read = dma_ctrl_io_dec_dma_tlu_dma_dma_pmu_dccm_read; // @[quasar.scala 125:18] + assign dec_io_dec_dma_tlu_dma_dma_pmu_dccm_write = dma_ctrl_io_dec_dma_tlu_dma_dma_pmu_dccm_write; // @[quasar.scala 125:18] + assign dec_io_dec_dma_tlu_dma_dma_pmu_any_read = dma_ctrl_io_dec_dma_tlu_dma_dma_pmu_any_read; // @[quasar.scala 125:18] + assign dec_io_dec_dma_tlu_dma_dma_pmu_any_write = dma_ctrl_io_dec_dma_tlu_dma_dma_pmu_any_write; // @[quasar.scala 125:18] + assign dec_io_dec_dma_tlu_dma_dma_dccm_stall_any = dma_ctrl_io_dec_dma_tlu_dma_dma_dccm_stall_any; // @[quasar.scala 125:18] + assign dec_io_dec_dma_tlu_dma_dma_iccm_stall_any = dma_ctrl_io_dec_dma_tlu_dma_dma_iccm_stall_any; // @[quasar.scala 125:18] + assign dec_io_dec_pic_pic_claimid = pic_ctrl_inst_io_dec_pic_pic_claimid; // @[quasar.scala 216:28] + assign dec_io_dec_pic_pic_pl = pic_ctrl_inst_io_dec_pic_pic_pl; // @[quasar.scala 216:28] + assign dec_io_dec_pic_mhwakeup = pic_ctrl_inst_io_dec_pic_mhwakeup; // @[quasar.scala 216:28] + assign dec_io_dec_pic_mexintpend = pic_ctrl_inst_io_dec_pic_mexintpend; // @[quasar.scala 216:28] assign dbg_clock = clock; - assign dbg_reset = io_core_rst_l; // @[quasar.scala 289:13] - assign dbg_io_core_dbg_rddata = dma_ctrl_io_dma_dbg_cmd_done ? dma_ctrl_io_dma_dbg_rddata : dec_io_dec_dbg_rddata; // @[quasar.scala 290:26] - assign dbg_io_core_dbg_cmd_done = dma_ctrl_io_dma_dbg_cmd_done | dec_io_dec_dbg_cmd_done; // @[quasar.scala 291:28] - assign dbg_io_core_dbg_cmd_fail = dma_ctrl_io_dma_dbg_cmd_fail | dec_io_dec_dbg_cmd_fail; // @[quasar.scala 292:28] - assign dbg_io_dec_tlu_debug_mode = dec_io_dec_tlu_debug_mode; // @[quasar.scala 293:29] - assign dbg_io_dec_tlu_dbg_halted = dec_io_dec_tlu_dbg_halted; // @[quasar.scala 294:29] - assign dbg_io_dec_tlu_mpc_halted_only = dec_io_dec_tlu_mpc_halted_only; // @[quasar.scala 295:34] - assign dbg_io_dec_tlu_resume_ack = dec_io_dec_tlu_resume_ack; // @[quasar.scala 296:29] - assign dbg_io_dmi_reg_en = io_dmi_reg_en; // @[quasar.scala 297:21] - assign dbg_io_dmi_reg_addr = io_dmi_reg_addr; // @[quasar.scala 298:23] - assign dbg_io_dmi_reg_wr_en = io_dmi_reg_wr_en; // @[quasar.scala 299:24] - assign dbg_io_dmi_reg_wdata = io_dmi_reg_wdata; // @[quasar.scala 300:24] - assign dbg_io_sb_axi_aw_ready = io_sb_axi_aw_ready; // @[quasar.scala 301:17] - assign dbg_io_sb_axi_w_ready = io_sb_axi_w_ready; // @[quasar.scala 301:17] - assign dbg_io_sb_axi_b_valid = io_sb_axi_b_valid; // @[quasar.scala 301:17] - assign dbg_io_sb_axi_b_bits_resp = io_sb_axi_b_bits_resp; // @[quasar.scala 301:17] - assign dbg_io_sb_axi_ar_ready = io_sb_axi_ar_ready; // @[quasar.scala 301:17] - assign dbg_io_sb_axi_r_valid = io_sb_axi_r_valid; // @[quasar.scala 301:17] - assign dbg_io_sb_axi_r_bits_data = io_sb_axi_r_bits_data; // @[quasar.scala 301:17] - assign dbg_io_sb_axi_r_bits_resp = io_sb_axi_r_bits_resp; // @[quasar.scala 301:17] - assign dbg_io_dbg_dma_io_dma_dbg_ready = dma_ctrl_io_dbg_dma_io_dma_dbg_ready; // @[quasar.scala 315:26] - assign dbg_io_dbg_bus_clk_en = io_dbg_bus_clk_en; // @[quasar.scala 302:25] - assign dbg_io_dbg_rst_l = io_dbg_rst_l; // @[quasar.scala 303:20] - assign dbg_io_clk_override = dec_io_dec_tlu_misc_clk_override; // @[quasar.scala 304:23] - assign dbg_io_scan_mode = io_scan_mode; // @[quasar.scala 305:20] + assign dbg_reset = reset; + assign dbg_io_core_dbg_rddata = dma_ctrl_io_dma_dbg_cmd_done ? dma_ctrl_io_dma_dbg_rddata : dec_io_dec_dbg_rddata; // @[quasar.scala 176:26] + assign dbg_io_core_dbg_cmd_done = dma_ctrl_io_dma_dbg_cmd_done | dec_io_dec_dbg_cmd_done; // @[quasar.scala 177:28] + assign dbg_io_core_dbg_cmd_fail = dma_ctrl_io_dma_dbg_cmd_fail | dec_io_dec_dbg_cmd_fail; // @[quasar.scala 178:28] + assign dbg_io_dec_tlu_debug_mode = dec_io_dec_tlu_debug_mode; // @[quasar.scala 179:29] + assign dbg_io_dec_tlu_dbg_halted = dec_io_dec_tlu_dbg_halted; // @[quasar.scala 180:29] + assign dbg_io_dec_tlu_mpc_halted_only = dec_io_dec_tlu_mpc_halted_only; // @[quasar.scala 181:34] + assign dbg_io_dec_tlu_resume_ack = dec_io_dec_tlu_resume_ack; // @[quasar.scala 182:29] + assign dbg_io_dmi_reg_en = io_dmi_reg_en; // @[quasar.scala 183:21] + assign dbg_io_dmi_reg_addr = io_dmi_reg_addr; // @[quasar.scala 184:23] + assign dbg_io_dmi_reg_wr_en = io_dmi_reg_wr_en; // @[quasar.scala 185:24] + assign dbg_io_dmi_reg_wdata = io_dmi_reg_wdata; // @[quasar.scala 186:24] + assign dbg_io_sb_axi_aw_ready = io_sb_axi_aw_ready; // @[quasar.scala 282:27] + assign dbg_io_sb_axi_w_ready = io_sb_axi_w_ready; // @[quasar.scala 282:27] + assign dbg_io_sb_axi_b_valid = io_sb_axi_b_valid; // @[quasar.scala 282:27] + assign dbg_io_sb_axi_b_bits_resp = io_sb_axi_b_bits_resp; // @[quasar.scala 282:27] + assign dbg_io_sb_axi_ar_ready = io_sb_axi_ar_ready; // @[quasar.scala 282:27] + assign dbg_io_sb_axi_r_valid = io_sb_axi_r_valid; // @[quasar.scala 282:27] + assign dbg_io_sb_axi_r_bits_data = io_sb_axi_r_bits_data; // @[quasar.scala 282:27] + assign dbg_io_sb_axi_r_bits_resp = io_sb_axi_r_bits_resp; // @[quasar.scala 282:27] + assign dbg_io_dbg_dma_io_dma_dbg_ready = dma_ctrl_io_dbg_dma_io_dma_dbg_ready; // @[quasar.scala 200:26] + assign dbg_io_dbg_bus_clk_en = io_dbg_bus_clk_en; // @[quasar.scala 187:25] + assign dbg_io_dbg_rst_l = io_dbg_rst_l; // @[quasar.scala 188:20] + assign dbg_io_clk_override = dec_io_dec_tlu_misc_clk_override; // @[quasar.scala 189:23] + assign dbg_io_scan_mode = io_scan_mode; // @[quasar.scala 190:20] assign exu_clock = clock; - assign exu_reset = io_core_rst_l; // @[quasar.scala 255:13] - assign exu_io_scan_mode = io_scan_mode; // @[quasar.scala 256:20] - assign exu_io_dec_exu_dec_alu_dec_i0_alu_decode_d = dec_io_dec_exu_dec_alu_dec_i0_alu_decode_d; // @[quasar.scala 254:18] - assign exu_io_dec_exu_dec_alu_dec_csr_ren_d = dec_io_dec_exu_dec_alu_dec_csr_ren_d; // @[quasar.scala 254:18] - assign exu_io_dec_exu_dec_alu_dec_i0_br_immed_d = dec_io_dec_exu_dec_alu_dec_i0_br_immed_d; // @[quasar.scala 254:18] - assign exu_io_dec_exu_dec_div_div_p_valid = dec_io_dec_exu_dec_div_div_p_valid; // @[quasar.scala 254:18] - assign exu_io_dec_exu_dec_div_div_p_bits_unsign = dec_io_dec_exu_dec_div_div_p_bits_unsign; // @[quasar.scala 254:18] - assign exu_io_dec_exu_dec_div_div_p_bits_rem = dec_io_dec_exu_dec_div_div_p_bits_rem; // @[quasar.scala 254:18] - assign exu_io_dec_exu_dec_div_dec_div_cancel = dec_io_dec_exu_dec_div_dec_div_cancel; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_data_en = dec_io_dec_exu_decode_exu_dec_data_en; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_ctl_en = dec_io_dec_exu_decode_exu_dec_ctl_en; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_ap_land = dec_io_dec_exu_decode_exu_i0_ap_land; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_ap_lor = dec_io_dec_exu_decode_exu_i0_ap_lor; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_ap_lxor = dec_io_dec_exu_decode_exu_i0_ap_lxor; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_ap_sll = dec_io_dec_exu_decode_exu_i0_ap_sll; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_ap_srl = dec_io_dec_exu_decode_exu_i0_ap_srl; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_ap_sra = dec_io_dec_exu_decode_exu_i0_ap_sra; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_ap_beq = dec_io_dec_exu_decode_exu_i0_ap_beq; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_ap_bne = dec_io_dec_exu_decode_exu_i0_ap_bne; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_ap_blt = dec_io_dec_exu_decode_exu_i0_ap_blt; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_ap_bge = dec_io_dec_exu_decode_exu_i0_ap_bge; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_ap_add = dec_io_dec_exu_decode_exu_i0_ap_add; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_ap_sub = dec_io_dec_exu_decode_exu_i0_ap_sub; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_ap_slt = dec_io_dec_exu_decode_exu_i0_ap_slt; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_ap_unsign = dec_io_dec_exu_decode_exu_i0_ap_unsign; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_ap_jal = dec_io_dec_exu_decode_exu_i0_ap_jal; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_ap_predict_t = dec_io_dec_exu_decode_exu_i0_ap_predict_t; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_ap_predict_nt = dec_io_dec_exu_decode_exu_i0_ap_predict_nt; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_ap_csr_write = dec_io_dec_exu_decode_exu_i0_ap_csr_write; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_ap_csr_imm = dec_io_dec_exu_decode_exu_i0_ap_csr_imm; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_valid = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_valid; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pc4 = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pc4; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_hist = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_hist; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_toffset = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_toffset; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_error = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_error; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_start_error = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_start_error; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_prett = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_prett; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pcall = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pcall; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pret = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pret; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pja = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pja; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_way = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_way; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_predict_fghr_d = dec_io_dec_exu_decode_exu_i0_predict_fghr_d; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_predict_index_d = dec_io_dec_exu_decode_exu_i0_predict_index_d; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_predict_btag_d = dec_io_dec_exu_decode_exu_i0_predict_btag_d; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_i0_rs1_en_d = dec_io_dec_exu_decode_exu_dec_i0_rs1_en_d; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_i0_rs2_en_d = dec_io_dec_exu_decode_exu_dec_i0_rs2_en_d; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_i0_immed_d = dec_io_dec_exu_decode_exu_dec_i0_immed_d; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_i0_rs1_bypass_data_d = dec_io_dec_exu_decode_exu_dec_i0_rs1_bypass_data_d; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_i0_rs2_bypass_data_d = dec_io_dec_exu_decode_exu_dec_i0_rs2_bypass_data_d; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_i0_select_pc_d = dec_io_dec_exu_decode_exu_dec_i0_select_pc_d; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_i0_rs1_bypass_en_d = dec_io_dec_exu_decode_exu_dec_i0_rs1_bypass_en_d; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_i0_rs2_bypass_en_d = dec_io_dec_exu_decode_exu_dec_i0_rs2_bypass_en_d; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_mul_p_valid = dec_io_dec_exu_decode_exu_mul_p_valid; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_mul_p_bits_rs1_sign = dec_io_dec_exu_decode_exu_mul_p_bits_rs1_sign; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_mul_p_bits_rs2_sign = dec_io_dec_exu_decode_exu_mul_p_bits_rs2_sign; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_mul_p_bits_low = dec_io_dec_exu_decode_exu_mul_p_bits_low; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_pred_correct_npc_x = dec_io_dec_exu_decode_exu_pred_correct_npc_x; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_extint_stall = dec_io_dec_exu_decode_exu_dec_extint_stall; // @[quasar.scala 254:18] - assign exu_io_dec_exu_tlu_exu_dec_tlu_meihap = dec_io_dec_exu_tlu_exu_dec_tlu_meihap; // @[quasar.scala 254:18] - assign exu_io_dec_exu_tlu_exu_dec_tlu_flush_lower_r = dec_io_dec_exu_tlu_exu_dec_tlu_flush_lower_r; // @[quasar.scala 254:18] - assign exu_io_dec_exu_tlu_exu_dec_tlu_flush_path_r = dec_io_dec_exu_tlu_exu_dec_tlu_flush_path_r; // @[quasar.scala 254:18] - assign exu_io_dec_exu_ib_exu_dec_i0_pc_d = dec_io_dec_exu_ib_exu_dec_i0_pc_d; // @[quasar.scala 254:18] - assign exu_io_dec_exu_ib_exu_dec_debug_wdata_rs1_d = dec_io_dec_exu_ib_exu_dec_debug_wdata_rs1_d; // @[quasar.scala 254:18] - assign exu_io_dec_exu_gpr_exu_gpr_i0_rs1_d = dec_io_dec_exu_gpr_exu_gpr_i0_rs1_d; // @[quasar.scala 254:18] - assign exu_io_dec_exu_gpr_exu_gpr_i0_rs2_d = dec_io_dec_exu_gpr_exu_gpr_i0_rs2_d; // @[quasar.scala 254:18] - assign exu_io_dbg_cmd_wrdata = {{30'd0}, dbg_io_dbg_dec_dbg_dctl_dbg_cmd_wrdata}; // @[quasar.scala 257:25] + assign exu_reset = io_core_rst_l; // @[quasar.scala 152:13] + assign exu_io_scan_mode = io_scan_mode; // @[quasar.scala 153:20] + assign exu_io_dec_exu_dec_alu_dec_i0_alu_decode_d = dec_io_dec_exu_dec_alu_dec_i0_alu_decode_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_dec_alu_dec_csr_ren_d = dec_io_dec_exu_dec_alu_dec_csr_ren_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_dec_alu_dec_i0_br_immed_d = dec_io_dec_exu_dec_alu_dec_i0_br_immed_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_dec_div_div_p_valid = dec_io_dec_exu_dec_div_div_p_valid; // @[quasar.scala 151:18] + assign exu_io_dec_exu_dec_div_div_p_bits_unsign = dec_io_dec_exu_dec_div_div_p_bits_unsign; // @[quasar.scala 151:18] + assign exu_io_dec_exu_dec_div_div_p_bits_rem = dec_io_dec_exu_dec_div_div_p_bits_rem; // @[quasar.scala 151:18] + assign exu_io_dec_exu_dec_div_dec_div_cancel = dec_io_dec_exu_dec_div_dec_div_cancel; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_data_en = dec_io_dec_exu_decode_exu_dec_data_en; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_ctl_en = dec_io_dec_exu_decode_exu_dec_ctl_en; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_land = dec_io_dec_exu_decode_exu_i0_ap_land; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_lor = dec_io_dec_exu_decode_exu_i0_ap_lor; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_lxor = dec_io_dec_exu_decode_exu_i0_ap_lxor; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_sll = dec_io_dec_exu_decode_exu_i0_ap_sll; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_srl = dec_io_dec_exu_decode_exu_i0_ap_srl; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_sra = dec_io_dec_exu_decode_exu_i0_ap_sra; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_beq = dec_io_dec_exu_decode_exu_i0_ap_beq; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_bne = dec_io_dec_exu_decode_exu_i0_ap_bne; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_blt = dec_io_dec_exu_decode_exu_i0_ap_blt; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_bge = dec_io_dec_exu_decode_exu_i0_ap_bge; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_add = dec_io_dec_exu_decode_exu_i0_ap_add; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_sub = dec_io_dec_exu_decode_exu_i0_ap_sub; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_slt = dec_io_dec_exu_decode_exu_i0_ap_slt; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_unsign = dec_io_dec_exu_decode_exu_i0_ap_unsign; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_jal = dec_io_dec_exu_decode_exu_i0_ap_jal; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_predict_t = dec_io_dec_exu_decode_exu_i0_ap_predict_t; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_predict_nt = dec_io_dec_exu_decode_exu_i0_ap_predict_nt; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_csr_write = dec_io_dec_exu_decode_exu_i0_ap_csr_write; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_csr_imm = dec_io_dec_exu_decode_exu_i0_ap_csr_imm; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_valid = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_valid; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pc4 = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pc4; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_hist = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_hist; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_toffset = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_toffset; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_error = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_error; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_start_error = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_start_error; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_prett = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_prett; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pcall = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pcall; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pret = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pret; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pja = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pja; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_way = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_way; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_predict_fghr_d = dec_io_dec_exu_decode_exu_i0_predict_fghr_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_predict_index_d = dec_io_dec_exu_decode_exu_i0_predict_index_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_predict_btag_d = dec_io_dec_exu_decode_exu_i0_predict_btag_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_rs1_en_d = dec_io_dec_exu_decode_exu_dec_i0_rs1_en_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_rs2_en_d = dec_io_dec_exu_decode_exu_dec_i0_rs2_en_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_immed_d = dec_io_dec_exu_decode_exu_dec_i0_immed_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_rs1_bypass_data_d = dec_io_dec_exu_decode_exu_dec_i0_rs1_bypass_data_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_rs2_bypass_data_d = dec_io_dec_exu_decode_exu_dec_i0_rs2_bypass_data_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_select_pc_d = dec_io_dec_exu_decode_exu_dec_i0_select_pc_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_rs1_bypass_en_d = dec_io_dec_exu_decode_exu_dec_i0_rs1_bypass_en_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_rs2_bypass_en_d = dec_io_dec_exu_decode_exu_dec_i0_rs2_bypass_en_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_mul_p_valid = dec_io_dec_exu_decode_exu_mul_p_valid; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_mul_p_bits_rs1_sign = dec_io_dec_exu_decode_exu_mul_p_bits_rs1_sign; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_mul_p_bits_rs2_sign = dec_io_dec_exu_decode_exu_mul_p_bits_rs2_sign; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_mul_p_bits_low = dec_io_dec_exu_decode_exu_mul_p_bits_low; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_pred_correct_npc_x = dec_io_dec_exu_decode_exu_pred_correct_npc_x; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_extint_stall = dec_io_dec_exu_decode_exu_dec_extint_stall; // @[quasar.scala 151:18] + assign exu_io_dec_exu_tlu_exu_dec_tlu_meihap = dec_io_dec_exu_tlu_exu_dec_tlu_meihap; // @[quasar.scala 151:18] + assign exu_io_dec_exu_tlu_exu_dec_tlu_flush_lower_r = dec_io_dec_exu_tlu_exu_dec_tlu_flush_lower_r; // @[quasar.scala 151:18] + assign exu_io_dec_exu_tlu_exu_dec_tlu_flush_path_r = dec_io_dec_exu_tlu_exu_dec_tlu_flush_path_r; // @[quasar.scala 151:18] + assign exu_io_dec_exu_ib_exu_dec_i0_pc_d = dec_io_dec_exu_ib_exu_dec_i0_pc_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_ib_exu_dec_debug_wdata_rs1_d = dec_io_dec_exu_ib_exu_dec_debug_wdata_rs1_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_gpr_exu_gpr_i0_rs1_d = dec_io_dec_exu_gpr_exu_gpr_i0_rs1_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_gpr_exu_gpr_i0_rs2_d = dec_io_dec_exu_gpr_exu_gpr_i0_rs2_d; // @[quasar.scala 151:18] + assign exu_io_dbg_cmd_wrdata = dbg_io_dbg_dec_dbg_dctl_dbg_cmd_wrdata; // @[quasar.scala 154:25] assign lsu_clock = clock; - assign lsu_reset = io_core_rst_l; // @[quasar.scala 260:13] - assign lsu_io_clk_override = dec_io_dec_tlu_lsu_clk_override; // @[quasar.scala 261:23] - assign lsu_io_lsu_dma_dma_lsc_ctl_dma_dccm_req = dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_dccm_req; // @[quasar.scala 284:18] - assign lsu_io_lsu_dma_dma_lsc_ctl_dma_mem_addr = dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_mem_addr; // @[quasar.scala 284:18] - assign lsu_io_lsu_dma_dma_lsc_ctl_dma_mem_sz = dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_mem_sz; // @[quasar.scala 284:18] - assign lsu_io_lsu_dma_dma_lsc_ctl_dma_mem_write = dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[quasar.scala 284:18] - assign lsu_io_lsu_dma_dma_lsc_ctl_dma_mem_wdata = dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_mem_wdata; // @[quasar.scala 284:18] - assign lsu_io_lsu_dma_dma_dccm_ctl_dma_mem_addr = dma_ctrl_io_lsu_dma_dma_dccm_ctl_dma_mem_addr; // @[quasar.scala 284:18] - assign lsu_io_lsu_dma_dma_dccm_ctl_dma_mem_wdata = dma_ctrl_io_lsu_dma_dma_dccm_ctl_dma_mem_wdata; // @[quasar.scala 284:18] - assign lsu_io_lsu_dma_dma_mem_tag = dma_ctrl_io_lsu_dma_dma_mem_tag; // @[quasar.scala 284:18] - assign lsu_io_lsu_pic_picm_rd_data = pic_ctrl_inst_io_lsu_pic_picm_rd_data; // @[quasar.scala 330:28] - assign lsu_io_lsu_exu_exu_lsu_rs1_d = exu_io_lsu_exu_exu_lsu_rs1_d; // @[quasar.scala 266:18] - assign lsu_io_lsu_exu_exu_lsu_rs2_d = exu_io_lsu_exu_exu_lsu_rs2_d; // @[quasar.scala 266:18] - assign lsu_io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable = dec_io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[quasar.scala 222:18] - assign lsu_io_lsu_dec_tlu_busbuff_dec_tlu_wb_coalescing_disable = dec_io_lsu_dec_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[quasar.scala 222:18] - assign lsu_io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable = dec_io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[quasar.scala 222:18] - assign lsu_io_dccm_rd_data_lo = io_swerv_mem_rd_data_lo; // @[quasar.scala 357:16] - assign lsu_io_dccm_rd_data_hi = io_swerv_mem_rd_data_hi; // @[quasar.scala 357:16] - assign lsu_io_axi_aw_ready = io_lsu_axi_aw_ready; // @[quasar.scala 272:23 quasar.scala 381:14] - assign lsu_io_axi_w_ready = io_lsu_axi_w_ready; // @[quasar.scala 273:22 quasar.scala 381:14] - assign lsu_io_axi_b_valid = io_lsu_axi_b_valid; // @[quasar.scala 274:22 quasar.scala 381:14] - assign lsu_io_axi_b_bits_resp = io_lsu_axi_b_bits_resp; // @[quasar.scala 275:26 quasar.scala 381:14] - assign lsu_io_axi_b_bits_id = io_lsu_axi_b_bits_id; // @[quasar.scala 276:24 quasar.scala 381:14] - assign lsu_io_axi_ar_ready = io_lsu_axi_ar_ready; // @[quasar.scala 277:23 quasar.scala 381:14] - assign lsu_io_axi_r_valid = io_lsu_axi_r_valid; // @[quasar.scala 278:22 quasar.scala 381:14] - assign lsu_io_axi_r_bits_id = io_lsu_axi_r_bits_id; // @[quasar.scala 279:24 quasar.scala 381:14] - assign lsu_io_axi_r_bits_data = io_lsu_axi_r_bits_data; // @[quasar.scala 280:26 quasar.scala 381:14] - assign lsu_io_axi_r_bits_resp = io_lsu_axi_r_bits_resp; // @[quasar.scala 281:26 quasar.scala 381:14] - assign lsu_io_dec_tlu_flush_lower_r = dec_io_dec_exu_tlu_exu_dec_tlu_flush_lower_r; // @[quasar.scala 262:32] - assign lsu_io_dec_tlu_i0_kill_writeb_r = dec_io_dec_tlu_i0_kill_writeb_r; // @[quasar.scala 263:35] - assign lsu_io_dec_tlu_force_halt = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_force_halt; // @[quasar.scala 264:29] - assign lsu_io_dec_tlu_core_ecc_disable = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_core_ecc_disable; // @[quasar.scala 265:35] - assign lsu_io_dec_lsu_offset_d = dec_io_dec_lsu_offset_d; // @[quasar.scala 267:27] - assign lsu_io_lsu_p_valid = dec_io_lsu_p_valid; // @[quasar.scala 268:16] - assign lsu_io_lsu_p_bits_fast_int = dec_io_lsu_p_bits_fast_int; // @[quasar.scala 268:16] - assign lsu_io_lsu_p_bits_by = dec_io_lsu_p_bits_by; // @[quasar.scala 268:16] - assign lsu_io_lsu_p_bits_half = dec_io_lsu_p_bits_half; // @[quasar.scala 268:16] - assign lsu_io_lsu_p_bits_word = dec_io_lsu_p_bits_word; // @[quasar.scala 268:16] - assign lsu_io_lsu_p_bits_load = dec_io_lsu_p_bits_load; // @[quasar.scala 268:16] - assign lsu_io_lsu_p_bits_store = dec_io_lsu_p_bits_store; // @[quasar.scala 268:16] - assign lsu_io_lsu_p_bits_unsign = dec_io_lsu_p_bits_unsign; // @[quasar.scala 268:16] - assign lsu_io_lsu_p_bits_store_data_bypass_d = dec_io_lsu_p_bits_store_data_bypass_d; // @[quasar.scala 268:16] - assign lsu_io_lsu_p_bits_load_ldst_bypass_d = dec_io_lsu_p_bits_load_ldst_bypass_d; // @[quasar.scala 268:16] - assign lsu_io_trigger_pkt_any_0_select = dec_io_trigger_pkt_any_0_select; // @[quasar.scala 271:26] - assign lsu_io_trigger_pkt_any_0_match_pkt = dec_io_trigger_pkt_any_0_match_pkt; // @[quasar.scala 271:26] - assign lsu_io_trigger_pkt_any_0_store = dec_io_trigger_pkt_any_0_store; // @[quasar.scala 271:26] - assign lsu_io_trigger_pkt_any_0_load = dec_io_trigger_pkt_any_0_load; // @[quasar.scala 271:26] - assign lsu_io_trigger_pkt_any_0_tdata2 = dec_io_trigger_pkt_any_0_tdata2; // @[quasar.scala 271:26] - assign lsu_io_trigger_pkt_any_1_select = dec_io_trigger_pkt_any_1_select; // @[quasar.scala 271:26] - assign lsu_io_trigger_pkt_any_1_match_pkt = dec_io_trigger_pkt_any_1_match_pkt; // @[quasar.scala 271:26] - assign lsu_io_trigger_pkt_any_1_store = dec_io_trigger_pkt_any_1_store; // @[quasar.scala 271:26] - assign lsu_io_trigger_pkt_any_1_load = dec_io_trigger_pkt_any_1_load; // @[quasar.scala 271:26] - assign lsu_io_trigger_pkt_any_1_tdata2 = dec_io_trigger_pkt_any_1_tdata2; // @[quasar.scala 271:26] - assign lsu_io_trigger_pkt_any_2_select = dec_io_trigger_pkt_any_2_select; // @[quasar.scala 271:26] - assign lsu_io_trigger_pkt_any_2_match_pkt = dec_io_trigger_pkt_any_2_match_pkt; // @[quasar.scala 271:26] - assign lsu_io_trigger_pkt_any_2_store = dec_io_trigger_pkt_any_2_store; // @[quasar.scala 271:26] - assign lsu_io_trigger_pkt_any_2_load = dec_io_trigger_pkt_any_2_load; // @[quasar.scala 271:26] - assign lsu_io_trigger_pkt_any_2_tdata2 = dec_io_trigger_pkt_any_2_tdata2; // @[quasar.scala 271:26] - assign lsu_io_trigger_pkt_any_3_select = dec_io_trigger_pkt_any_3_select; // @[quasar.scala 271:26] - assign lsu_io_trigger_pkt_any_3_match_pkt = dec_io_trigger_pkt_any_3_match_pkt; // @[quasar.scala 271:26] - assign lsu_io_trigger_pkt_any_3_store = dec_io_trigger_pkt_any_3_store; // @[quasar.scala 271:26] - assign lsu_io_trigger_pkt_any_3_load = dec_io_trigger_pkt_any_3_load; // @[quasar.scala 271:26] - assign lsu_io_trigger_pkt_any_3_tdata2 = dec_io_trigger_pkt_any_3_tdata2; // @[quasar.scala 271:26] - assign lsu_io_dec_lsu_valid_raw_d = dec_io_dec_lsu_valid_raw_d; // @[quasar.scala 269:30] - assign lsu_io_dec_tlu_mrac_ff = dec_io_ifu_dec_dec_ifc_dec_tlu_mrac_ff; // @[quasar.scala 270:26] - assign lsu_io_lsu_bus_clk_en = io_lsu_bus_clk_en; // @[quasar.scala 283:25] - assign lsu_io_scan_mode = io_scan_mode; // @[quasar.scala 285:20] - assign lsu_io_free_clk = rvclkhdr_io_l1clk; // @[quasar.scala 286:19] + assign lsu_reset = io_core_rst_l; // @[quasar.scala 157:13] + assign lsu_io_clk_override = dec_io_dec_tlu_lsu_clk_override; // @[quasar.scala 158:23] + assign lsu_io_lsu_dma_dma_lsc_ctl_dma_dccm_req = dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_dccm_req; // @[quasar.scala 171:18] + assign lsu_io_lsu_dma_dma_lsc_ctl_dma_mem_addr = dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_mem_addr; // @[quasar.scala 171:18] + assign lsu_io_lsu_dma_dma_lsc_ctl_dma_mem_sz = dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_mem_sz; // @[quasar.scala 171:18] + assign lsu_io_lsu_dma_dma_lsc_ctl_dma_mem_write = dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[quasar.scala 171:18] + assign lsu_io_lsu_dma_dma_lsc_ctl_dma_mem_wdata = dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_mem_wdata; // @[quasar.scala 171:18] + assign lsu_io_lsu_dma_dma_dccm_ctl_dma_mem_addr = dma_ctrl_io_lsu_dma_dma_dccm_ctl_dma_mem_addr; // @[quasar.scala 171:18] + assign lsu_io_lsu_dma_dma_dccm_ctl_dma_mem_wdata = dma_ctrl_io_lsu_dma_dma_dccm_ctl_dma_mem_wdata; // @[quasar.scala 171:18] + assign lsu_io_lsu_dma_dma_mem_tag = dma_ctrl_io_lsu_dma_dma_mem_tag; // @[quasar.scala 171:18] + assign lsu_io_lsu_pic_picm_rd_data = pic_ctrl_inst_io_lsu_pic_picm_rd_data; // @[quasar.scala 215:28] + assign lsu_io_lsu_exu_exu_lsu_rs1_d = exu_io_lsu_exu_exu_lsu_rs1_d; // @[quasar.scala 163:18] + assign lsu_io_lsu_exu_exu_lsu_rs2_d = exu_io_lsu_exu_exu_lsu_rs2_d; // @[quasar.scala 163:18] + assign lsu_io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable = dec_io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[quasar.scala 122:18] + assign lsu_io_lsu_dec_tlu_busbuff_dec_tlu_wb_coalescing_disable = dec_io_lsu_dec_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[quasar.scala 122:18] + assign lsu_io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable = dec_io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[quasar.scala 122:18] + assign lsu_io_dccm_rd_data_lo = io_dccm_rd_data_lo; // @[quasar.scala 238:11] + assign lsu_io_dccm_rd_data_hi = io_dccm_rd_data_hi; // @[quasar.scala 238:11] + assign lsu_io_axi_aw_ready = io_lsu_axi_aw_ready; // @[quasar.scala 284:27] + assign lsu_io_axi_w_ready = io_lsu_axi_w_ready; // @[quasar.scala 284:27] + assign lsu_io_axi_b_valid = io_lsu_axi_b_valid; // @[quasar.scala 284:27] + assign lsu_io_axi_b_bits_resp = io_lsu_axi_b_bits_resp; // @[quasar.scala 284:27] + assign lsu_io_axi_b_bits_id = io_lsu_axi_b_bits_id; // @[quasar.scala 284:27] + assign lsu_io_axi_ar_ready = io_lsu_axi_ar_ready; // @[quasar.scala 284:27] + assign lsu_io_axi_r_valid = io_lsu_axi_r_valid; // @[quasar.scala 284:27] + assign lsu_io_axi_r_bits_id = io_lsu_axi_r_bits_id; // @[quasar.scala 284:27] + assign lsu_io_axi_r_bits_data = io_lsu_axi_r_bits_data; // @[quasar.scala 284:27] + assign lsu_io_axi_r_bits_resp = io_lsu_axi_r_bits_resp; // @[quasar.scala 284:27] + assign lsu_io_dec_tlu_flush_lower_r = dec_io_dec_exu_tlu_exu_dec_tlu_flush_lower_r; // @[quasar.scala 159:32] + assign lsu_io_dec_tlu_i0_kill_writeb_r = dec_io_dec_tlu_i0_kill_writeb_r; // @[quasar.scala 160:35] + assign lsu_io_dec_tlu_force_halt = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_force_halt; // @[quasar.scala 161:29] + assign lsu_io_dec_tlu_core_ecc_disable = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_core_ecc_disable; // @[quasar.scala 162:35] + assign lsu_io_dec_lsu_offset_d = dec_io_dec_lsu_offset_d; // @[quasar.scala 164:27] + assign lsu_io_lsu_p_valid = dec_io_lsu_p_valid; // @[quasar.scala 165:16] + assign lsu_io_lsu_p_bits_fast_int = dec_io_lsu_p_bits_fast_int; // @[quasar.scala 165:16] + assign lsu_io_lsu_p_bits_by = dec_io_lsu_p_bits_by; // @[quasar.scala 165:16] + assign lsu_io_lsu_p_bits_half = dec_io_lsu_p_bits_half; // @[quasar.scala 165:16] + assign lsu_io_lsu_p_bits_word = dec_io_lsu_p_bits_word; // @[quasar.scala 165:16] + assign lsu_io_lsu_p_bits_load = dec_io_lsu_p_bits_load; // @[quasar.scala 165:16] + assign lsu_io_lsu_p_bits_store = dec_io_lsu_p_bits_store; // @[quasar.scala 165:16] + assign lsu_io_lsu_p_bits_unsign = dec_io_lsu_p_bits_unsign; // @[quasar.scala 165:16] + assign lsu_io_lsu_p_bits_store_data_bypass_d = dec_io_lsu_p_bits_store_data_bypass_d; // @[quasar.scala 165:16] + assign lsu_io_lsu_p_bits_load_ldst_bypass_d = dec_io_lsu_p_bits_load_ldst_bypass_d; // @[quasar.scala 165:16] + assign lsu_io_trigger_pkt_any_0_select = dec_io_trigger_pkt_any_0_select; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_0_match_pkt = dec_io_trigger_pkt_any_0_match_pkt; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_0_store = dec_io_trigger_pkt_any_0_store; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_0_load = dec_io_trigger_pkt_any_0_load; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_0_tdata2 = dec_io_trigger_pkt_any_0_tdata2; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_1_select = dec_io_trigger_pkt_any_1_select; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_1_match_pkt = dec_io_trigger_pkt_any_1_match_pkt; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_1_store = dec_io_trigger_pkt_any_1_store; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_1_load = dec_io_trigger_pkt_any_1_load; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_1_tdata2 = dec_io_trigger_pkt_any_1_tdata2; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_2_select = dec_io_trigger_pkt_any_2_select; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_2_match_pkt = dec_io_trigger_pkt_any_2_match_pkt; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_2_store = dec_io_trigger_pkt_any_2_store; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_2_load = dec_io_trigger_pkt_any_2_load; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_2_tdata2 = dec_io_trigger_pkt_any_2_tdata2; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_3_select = dec_io_trigger_pkt_any_3_select; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_3_match_pkt = dec_io_trigger_pkt_any_3_match_pkt; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_3_store = dec_io_trigger_pkt_any_3_store; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_3_load = dec_io_trigger_pkt_any_3_load; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_3_tdata2 = dec_io_trigger_pkt_any_3_tdata2; // @[quasar.scala 168:26] + assign lsu_io_dec_lsu_valid_raw_d = dec_io_dec_lsu_valid_raw_d; // @[quasar.scala 166:30] + assign lsu_io_dec_tlu_mrac_ff = dec_io_ifu_dec_dec_ifc_dec_tlu_mrac_ff; // @[quasar.scala 167:26] + assign lsu_io_lsu_bus_clk_en = io_lsu_bus_clk_en; // @[quasar.scala 170:25] + assign lsu_io_scan_mode = io_scan_mode; // @[quasar.scala 172:20] + assign lsu_io_free_clk = rvclkhdr_io_l1clk; // @[quasar.scala 173:19] assign pic_ctrl_inst_clock = clock; - assign pic_ctrl_inst_reset = io_core_rst_l; // @[quasar.scala 325:23] - assign pic_ctrl_inst_io_scan_mode = io_scan_mode; // @[quasar.scala 324:30] - assign pic_ctrl_inst_io_free_clk = rvclkhdr_io_l1clk; // @[quasar.scala 326:29] - assign pic_ctrl_inst_io_active_clk = rvclkhdr_1_io_l1clk; // @[quasar.scala 327:31] - assign pic_ctrl_inst_io_clk_override = dec_io_dec_tlu_pic_clk_override; // @[quasar.scala 328:33] - assign pic_ctrl_inst_io_extintsrc_req = {{1'd0}, io_extintsrc_req}; // @[quasar.scala 329:34] - assign pic_ctrl_inst_io_lsu_pic_picm_wren = lsu_io_lsu_pic_picm_wren; // @[quasar.scala 330:28] - assign pic_ctrl_inst_io_lsu_pic_picm_rden = lsu_io_lsu_pic_picm_rden; // @[quasar.scala 330:28] - assign pic_ctrl_inst_io_lsu_pic_picm_mken = lsu_io_lsu_pic_picm_mken; // @[quasar.scala 330:28] - assign pic_ctrl_inst_io_lsu_pic_picm_rdaddr = lsu_io_lsu_pic_picm_rdaddr; // @[quasar.scala 330:28] - assign pic_ctrl_inst_io_lsu_pic_picm_wraddr = lsu_io_lsu_pic_picm_wraddr; // @[quasar.scala 330:28] - assign pic_ctrl_inst_io_lsu_pic_picm_wr_data = lsu_io_lsu_pic_picm_wr_data; // @[quasar.scala 330:28] - assign pic_ctrl_inst_io_dec_pic_dec_tlu_meicurpl = dec_io_dec_pic_dec_tlu_meicurpl; // @[quasar.scala 225:18] - assign pic_ctrl_inst_io_dec_pic_dec_tlu_meipt = dec_io_dec_pic_dec_tlu_meipt; // @[quasar.scala 225:18] + assign pic_ctrl_inst_reset = io_core_rst_l; // @[quasar.scala 210:23] + assign pic_ctrl_inst_io_scan_mode = io_scan_mode; // @[quasar.scala 209:30] + assign pic_ctrl_inst_io_free_clk = rvclkhdr_io_l1clk; // @[quasar.scala 211:29] + assign pic_ctrl_inst_io_active_clk = rvclkhdr_1_io_l1clk; // @[quasar.scala 212:31] + assign pic_ctrl_inst_io_clk_override = dec_io_dec_tlu_pic_clk_override; // @[quasar.scala 213:33] + assign pic_ctrl_inst_io_extintsrc_req = {{1'd0}, io_extintsrc_req}; // @[quasar.scala 214:34] + assign pic_ctrl_inst_io_lsu_pic_picm_wren = lsu_io_lsu_pic_picm_wren; // @[quasar.scala 215:28] + assign pic_ctrl_inst_io_lsu_pic_picm_rden = lsu_io_lsu_pic_picm_rden; // @[quasar.scala 215:28] + assign pic_ctrl_inst_io_lsu_pic_picm_mken = lsu_io_lsu_pic_picm_mken; // @[quasar.scala 215:28] + assign pic_ctrl_inst_io_lsu_pic_picm_rdaddr = lsu_io_lsu_pic_picm_rdaddr; // @[quasar.scala 215:28] + assign pic_ctrl_inst_io_lsu_pic_picm_wraddr = lsu_io_lsu_pic_picm_wraddr; // @[quasar.scala 215:28] + assign pic_ctrl_inst_io_lsu_pic_picm_wr_data = lsu_io_lsu_pic_picm_wr_data; // @[quasar.scala 215:28] + assign pic_ctrl_inst_io_dec_pic_dec_tlu_meicurpl = dec_io_dec_pic_dec_tlu_meicurpl; // @[quasar.scala 216:28] + assign pic_ctrl_inst_io_dec_pic_dec_tlu_meipt = dec_io_dec_pic_dec_tlu_meipt; // @[quasar.scala 216:28] assign dma_ctrl_clock = clock; - assign dma_ctrl_reset = io_core_rst_l; // @[quasar.scala 309:18] - assign dma_ctrl_io_free_clk = rvclkhdr_io_l1clk; // @[quasar.scala 310:24] - assign dma_ctrl_io_dma_bus_clk_en = io_dma_bus_clk_en; // @[quasar.scala 311:30] - assign dma_ctrl_io_clk_override = dec_io_dec_tlu_misc_clk_override; // @[quasar.scala 312:28] - assign dma_ctrl_io_scan_mode = io_scan_mode; // @[quasar.scala 313:25] - assign dma_ctrl_io_dbg_cmd_size = dbg_io_dbg_cmd_size; // @[quasar.scala 316:28] - assign dma_ctrl_io_dbg_dma_dbg_ib_dbg_cmd_valid = dbg_io_dbg_dma_dbg_ib_dbg_cmd_valid; // @[quasar.scala 314:23] - assign dma_ctrl_io_dbg_dma_dbg_ib_dbg_cmd_write = dbg_io_dbg_dma_dbg_ib_dbg_cmd_write; // @[quasar.scala 314:23] - assign dma_ctrl_io_dbg_dma_dbg_ib_dbg_cmd_type = dbg_io_dbg_dma_dbg_ib_dbg_cmd_type; // @[quasar.scala 314:23] - assign dma_ctrl_io_dbg_dma_dbg_ib_dbg_cmd_addr = dbg_io_dbg_dma_dbg_ib_dbg_cmd_addr; // @[quasar.scala 314:23] - assign dma_ctrl_io_dbg_dma_dbg_dctl_dbg_cmd_wrdata = dbg_io_dbg_dma_dbg_dctl_dbg_cmd_wrdata; // @[quasar.scala 314:23] - assign dma_ctrl_io_dbg_dma_io_dbg_dma_bubble = dbg_io_dbg_dma_io_dbg_dma_bubble; // @[quasar.scala 315:26] - assign dma_ctrl_io_dec_dma_tlu_dma_dec_tlu_dma_qos_prty = dec_io_dec_dma_tlu_dma_dec_tlu_dma_qos_prty; // @[quasar.scala 224:18] - assign dma_ctrl_io_iccm_dma_rvalid = ifu_io_iccm_dma_rvalid; // @[quasar.scala 317:31] - assign dma_ctrl_io_iccm_dma_ecc_error = ifu_io_iccm_dma_ecc_error; // @[quasar.scala 321:34] - assign dma_ctrl_io_iccm_dma_rtag = ifu_io_iccm_dma_rtag; // @[quasar.scala 318:29] - assign dma_ctrl_io_iccm_dma_rdata = ifu_io_iccm_dma_rdata; // @[quasar.scala 319:30] - assign dma_ctrl_io_iccm_ready = ifu_io_iccm_ready; // @[quasar.scala 320:26] - assign dma_ctrl_io_dma_axi_aw_valid = io_dma_axi_aw_valid; // @[quasar.scala 385:14] - assign dma_ctrl_io_dma_axi_aw_bits_id = io_dma_axi_aw_bits_id; // @[quasar.scala 385:14] - assign dma_ctrl_io_dma_axi_aw_bits_addr = io_dma_axi_aw_bits_addr; // @[quasar.scala 385:14] - assign dma_ctrl_io_dma_axi_aw_bits_size = io_dma_axi_aw_bits_size; // @[quasar.scala 385:14] - assign dma_ctrl_io_dma_axi_w_valid = io_dma_axi_w_valid; // @[quasar.scala 385:14] - assign dma_ctrl_io_dma_axi_w_bits_data = io_dma_axi_w_bits_data; // @[quasar.scala 385:14] - assign dma_ctrl_io_dma_axi_w_bits_strb = io_dma_axi_w_bits_strb; // @[quasar.scala 385:14] - assign dma_ctrl_io_dma_axi_b_ready = io_dma_axi_b_ready; // @[quasar.scala 385:14] - assign dma_ctrl_io_dma_axi_ar_valid = io_dma_axi_ar_valid; // @[quasar.scala 385:14] - assign dma_ctrl_io_dma_axi_ar_bits_id = io_dma_axi_ar_bits_id; // @[quasar.scala 385:14] - assign dma_ctrl_io_dma_axi_ar_bits_addr = io_dma_axi_ar_bits_addr; // @[quasar.scala 385:14] - assign dma_ctrl_io_dma_axi_ar_bits_size = io_dma_axi_ar_bits_size; // @[quasar.scala 385:14] - assign dma_ctrl_io_dma_axi_r_ready = io_dma_axi_r_ready; // @[quasar.scala 385:14] - assign dma_ctrl_io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid = lsu_io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid; // @[quasar.scala 284:18] - assign dma_ctrl_io_lsu_dma_dma_dccm_ctl_dccm_dma_ecc_error = lsu_io_lsu_dma_dma_dccm_ctl_dccm_dma_ecc_error; // @[quasar.scala 284:18] - assign dma_ctrl_io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag = lsu_io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag; // @[quasar.scala 284:18] - assign dma_ctrl_io_lsu_dma_dma_dccm_ctl_dccm_dma_rdata = lsu_io_lsu_dma_dma_dccm_ctl_dccm_dma_rdata; // @[quasar.scala 284:18] - assign dma_ctrl_io_lsu_dma_dccm_ready = lsu_io_lsu_dma_dccm_ready; // @[quasar.scala 284:18] + assign dma_ctrl_reset = io_core_rst_l; // @[quasar.scala 194:18] + assign dma_ctrl_io_free_clk = rvclkhdr_io_l1clk; // @[quasar.scala 195:24] + assign dma_ctrl_io_dma_bus_clk_en = io_dma_bus_clk_en; // @[quasar.scala 196:30] + assign dma_ctrl_io_clk_override = dec_io_dec_tlu_misc_clk_override; // @[quasar.scala 197:28] + assign dma_ctrl_io_scan_mode = io_scan_mode; // @[quasar.scala 198:25] + assign dma_ctrl_io_dbg_cmd_size = dbg_io_dbg_cmd_size; // @[quasar.scala 201:28] + assign dma_ctrl_io_dbg_dma_dbg_ib_dbg_cmd_valid = dbg_io_dbg_dma_dbg_ib_dbg_cmd_valid; // @[quasar.scala 199:23] + assign dma_ctrl_io_dbg_dma_dbg_ib_dbg_cmd_write = dbg_io_dbg_dma_dbg_ib_dbg_cmd_write; // @[quasar.scala 199:23] + assign dma_ctrl_io_dbg_dma_dbg_ib_dbg_cmd_type = dbg_io_dbg_dma_dbg_ib_dbg_cmd_type; // @[quasar.scala 199:23] + assign dma_ctrl_io_dbg_dma_dbg_ib_dbg_cmd_addr = dbg_io_dbg_dma_dbg_ib_dbg_cmd_addr; // @[quasar.scala 199:23] + assign dma_ctrl_io_dbg_dma_dbg_dctl_dbg_cmd_wrdata = dbg_io_dbg_dma_dbg_dctl_dbg_cmd_wrdata; // @[quasar.scala 199:23] + assign dma_ctrl_io_dbg_dma_io_dbg_dma_bubble = dbg_io_dbg_dma_io_dbg_dma_bubble; // @[quasar.scala 200:26] + assign dma_ctrl_io_dec_dma_tlu_dma_dec_tlu_dma_qos_prty = dec_io_dec_dma_tlu_dma_dec_tlu_dma_qos_prty; // @[quasar.scala 125:18] + assign dma_ctrl_io_iccm_dma_rvalid = ifu_io_iccm_dma_rvalid; // @[quasar.scala 202:31] + assign dma_ctrl_io_iccm_dma_ecc_error = ifu_io_iccm_dma_ecc_error; // @[quasar.scala 206:34] + assign dma_ctrl_io_iccm_dma_rtag = ifu_io_iccm_dma_rtag; // @[quasar.scala 203:29] + assign dma_ctrl_io_iccm_dma_rdata = ifu_io_iccm_dma_rdata; // @[quasar.scala 204:30] + assign dma_ctrl_io_iccm_ready = ifu_io_iccm_ready; // @[quasar.scala 205:26] + assign dma_ctrl_io_dma_axi_aw_valid = io_dma_axi_aw_valid; // @[quasar.scala 281:27] + assign dma_ctrl_io_dma_axi_aw_bits_id = io_dma_axi_aw_bits_id; // @[quasar.scala 281:27] + assign dma_ctrl_io_dma_axi_aw_bits_addr = io_dma_axi_aw_bits_addr; // @[quasar.scala 281:27] + assign dma_ctrl_io_dma_axi_aw_bits_size = io_dma_axi_aw_bits_size; // @[quasar.scala 281:27] + assign dma_ctrl_io_dma_axi_w_valid = io_dma_axi_w_valid; // @[quasar.scala 281:27] + assign dma_ctrl_io_dma_axi_w_bits_data = io_dma_axi_w_bits_data; // @[quasar.scala 281:27] + assign dma_ctrl_io_dma_axi_w_bits_strb = io_dma_axi_w_bits_strb; // @[quasar.scala 281:27] + assign dma_ctrl_io_dma_axi_b_ready = io_dma_axi_b_ready; // @[quasar.scala 281:27] + assign dma_ctrl_io_dma_axi_ar_valid = io_dma_axi_ar_valid; // @[quasar.scala 281:27] + assign dma_ctrl_io_dma_axi_ar_bits_id = io_dma_axi_ar_bits_id; // @[quasar.scala 281:27] + assign dma_ctrl_io_dma_axi_ar_bits_addr = io_dma_axi_ar_bits_addr; // @[quasar.scala 281:27] + assign dma_ctrl_io_dma_axi_ar_bits_size = io_dma_axi_ar_bits_size; // @[quasar.scala 281:27] + assign dma_ctrl_io_dma_axi_r_ready = io_dma_axi_r_ready; // @[quasar.scala 281:27] + assign dma_ctrl_io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid = lsu_io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid; // @[quasar.scala 171:18] + assign dma_ctrl_io_lsu_dma_dma_dccm_ctl_dccm_dma_ecc_error = lsu_io_lsu_dma_dma_dccm_ctl_dccm_dma_ecc_error; // @[quasar.scala 171:18] + assign dma_ctrl_io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag = lsu_io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag; // @[quasar.scala 171:18] + assign dma_ctrl_io_lsu_dma_dma_dccm_ctl_dccm_dma_rdata = lsu_io_lsu_dma_dma_dccm_ctl_dccm_dma_rdata; // @[quasar.scala 171:18] + assign dma_ctrl_io_lsu_dma_dccm_ready = lsu_io_lsu_dma_dccm_ready; // @[quasar.scala 171:18] assign rvclkhdr_io_clk = clock; // @[lib.scala 344:17] assign rvclkhdr_io_en = 1'h1; // @[lib.scala 345:16] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] diff --git a/quasar_wrapper.anno.json b/quasar_wrapper.anno.json index afde105e..db6f563f 100644 --- a/quasar_wrapper.anno.json +++ b/quasar_wrapper.anno.json @@ -6,7 +6,7 @@ { "class":"firrtl.transforms.BlackBoxResourceAnno", "target":"quasar_wrapper.gated_latch", - "resourceId":"/vsrc/gated_latch.sv" + "resourceId":"/vsrc/gated_latch.v" }, { "class":"firrtl.transforms.DontTouchAnnotation", @@ -980,6 +980,10 @@ "class":"firrtl.transforms.DontTouchAnnotation", "target":"~quasar_wrapper|dec_trigger>io_dec_i0_trigger_match_d" }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~quasar_wrapper|csr_tlu>_T_745" + }, { "class":"firrtl.transforms.BlackBoxResourceAnno", "target":"quasar_wrapper.dmi_wrapper", diff --git a/quasar_wrapper.fir b/quasar_wrapper.fir index ef77b093..f737f225 100644 --- a/quasar_wrapper.fir +++ b/quasar_wrapper.fir @@ -2322,7 +2322,7 @@ circuit quasar_wrapper : module ifu_mem_ctl : input clock : Clock input reset : AsyncReset - output io : {flip free_clk : Clock, flip active_clk : Clock, flip exu_flush_final : UInt<1>, dec_mem_ctrl : {flip dec_tlu_flush_lower_wb : UInt<1>, flip dec_tlu_flush_err_wb : UInt<1>, flip dec_tlu_i0_commit_cmt : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip dec_tlu_fence_i_wb : UInt<1>, flip dec_tlu_ic_diag_pkt : {icache_wrdata : UInt<71>, icache_dicawics : UInt<17>, icache_rd_valid : UInt<1>, icache_wr_valid : UInt<1>}, flip dec_tlu_core_ecc_disable : UInt<1>, ifu_pmu_ic_miss : UInt<1>, ifu_pmu_ic_hit : UInt<1>, ifu_pmu_bus_error : UInt<1>, ifu_pmu_bus_busy : UInt<1>, ifu_pmu_bus_trxn : UInt<1>, ifu_ic_error_start : UInt<1>, ifu_iccm_rd_ecc_single_err : UInt<1>, ifu_ic_debug_rd_data : UInt<71>, ifu_ic_debug_rd_data_valid : UInt<1>, ifu_miss_state_idle : UInt<1>}, flip ifc_fetch_addr_bf : UInt<31>, flip ifc_fetch_uncacheable_bf : UInt<1>, flip ifc_fetch_req_bf : UInt<1>, flip ifc_fetch_req_bf_raw : UInt<1>, flip ifc_iccm_access_bf : UInt<1>, flip ifc_region_acc_fault_bf : UInt<1>, flip ifc_dma_access_ok : UInt<1>, flip ifu_bp_hit_taken_f : UInt<1>, flip ifu_bp_inst_mask_f : UInt<1>, ifu_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip ifu_bus_clk_en : UInt<1>, dma_mem_ctl : {flip dma_iccm_req : UInt<1>, flip dma_mem_addr : UInt<32>, flip dma_mem_sz : UInt<3>, flip dma_mem_write : UInt<1>, flip dma_mem_wdata : UInt<64>, flip dma_mem_tag : UInt<3>}, iccm : {rw_addr : UInt<15>, buf_correct_ecc : UInt<1>, correction_state : UInt<1>, wren : UInt<1>, rden : UInt<1>, wr_size : UInt<3>, wr_data : UInt<78>, flip rd_data : UInt<64>, flip rd_data_ecc : UInt<78>}, ic : {rw_addr : UInt<31>, tag_valid : UInt<2>, wr_en : UInt<2>, rd_en : UInt<1>, wr_data : UInt<71>[2], debug_wr_data : UInt<71>, debug_addr : UInt<10>, flip rd_data : UInt<64>, flip debug_rd_data : UInt<71>, flip tag_debug_rd_data : UInt<26>, flip eccerr : UInt<2>, flip parerr : UInt<2>, flip rd_hit : UInt<2>, flip tag_perr : UInt<1>, debug_rd_en : UInt<1>, debug_wr_en : UInt<1>, debug_tag_array : UInt<1>, debug_way : UInt<2>, premux_data : UInt<64>, sel_premux_data : UInt<1>}, flip ifu_fetch_val : UInt<2>, ifu_ic_mb_empty : UInt<1>, ic_dma_active : UInt<1>, ic_write_stall : UInt<1>, iccm_dma_ecc_error : UInt<1>, iccm_dma_rvalid : UInt<1>, iccm_dma_rdata : UInt<64>, iccm_dma_rtag : UInt<3>, iccm_ready : UInt<1>, flip dec_tlu_flush_lower_wb : UInt<1>, iccm_rd_ecc_double_err : UInt<1>, iccm_dma_sb_error : UInt<1>, ic_hit_f : UInt<1>, ic_access_fault_f : UInt<1>, ic_access_fault_type_f : UInt<2>, ifu_async_error_start : UInt<1>, ic_fetch_val_f : UInt<2>, ic_data_f : UInt<32>, flip scan_mode : UInt<1>} + output io : {flip free_clk : Clock, flip active_clk : Clock, flip exu_flush_final : UInt<1>, dec_mem_ctrl : {flip dec_tlu_flush_err_wb : UInt<1>, flip dec_tlu_i0_commit_cmt : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip dec_tlu_fence_i_wb : UInt<1>, flip dec_tlu_ic_diag_pkt : {icache_wrdata : UInt<71>, icache_dicawics : UInt<17>, icache_rd_valid : UInt<1>, icache_wr_valid : UInt<1>}, flip dec_tlu_core_ecc_disable : UInt<1>, ifu_pmu_ic_miss : UInt<1>, ifu_pmu_ic_hit : UInt<1>, ifu_pmu_bus_error : UInt<1>, ifu_pmu_bus_busy : UInt<1>, ifu_pmu_bus_trxn : UInt<1>, ifu_ic_error_start : UInt<1>, ifu_iccm_rd_ecc_single_err : UInt<1>, ifu_ic_debug_rd_data : UInt<71>, ifu_ic_debug_rd_data_valid : UInt<1>, ifu_miss_state_idle : UInt<1>}, flip ifc_fetch_addr_bf : UInt<31>, flip ifc_fetch_uncacheable_bf : UInt<1>, flip ifc_fetch_req_bf : UInt<1>, flip ifc_fetch_req_bf_raw : UInt<1>, flip ifc_iccm_access_bf : UInt<1>, flip ifc_region_acc_fault_bf : UInt<1>, flip ifc_dma_access_ok : UInt<1>, flip ifu_bp_hit_taken_f : UInt<1>, flip ifu_bp_inst_mask_f : UInt<1>, ifu_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip ifu_bus_clk_en : UInt<1>, dma_mem_ctl : {flip dma_iccm_req : UInt<1>, flip dma_mem_addr : UInt<32>, flip dma_mem_sz : UInt<3>, flip dma_mem_write : UInt<1>, flip dma_mem_wdata : UInt<64>, flip dma_mem_tag : UInt<3>}, iccm : {rw_addr : UInt<15>, buf_correct_ecc : UInt<1>, correction_state : UInt<1>, wren : UInt<1>, rden : UInt<1>, wr_size : UInt<3>, wr_data : UInt<78>, flip rd_data : UInt<64>, flip rd_data_ecc : UInt<78>}, ic : {rw_addr : UInt<31>, tag_valid : UInt<2>, wr_en : UInt<2>, rd_en : UInt<1>, wr_data : UInt<71>[2], debug_wr_data : UInt<71>, debug_addr : UInt<10>, flip rd_data : UInt<64>, flip debug_rd_data : UInt<71>, flip tag_debug_rd_data : UInt<26>, flip eccerr : UInt<2>, flip parerr : UInt<2>, flip rd_hit : UInt<2>, flip tag_perr : UInt<1>, debug_rd_en : UInt<1>, debug_wr_en : UInt<1>, debug_tag_array : UInt<1>, debug_way : UInt<2>, premux_data : UInt<64>, sel_premux_data : UInt<1>}, flip ifu_fetch_val : UInt<2>, ifu_ic_mb_empty : UInt<1>, ic_dma_active : UInt<1>, ic_write_stall : UInt<1>, iccm_dma_ecc_error : UInt<1>, iccm_dma_rvalid : UInt<1>, iccm_dma_rdata : UInt<64>, iccm_dma_rtag : UInt<3>, iccm_ready : UInt<1>, flip dec_tlu_flush_lower_wb : UInt<1>, iccm_rd_ecc_double_err : UInt<1>, iccm_dma_sb_error : UInt<1>, ic_hit_f : UInt<1>, ic_access_fault_f : UInt<1>, ic_access_fault_type_f : UInt<2>, ifu_async_error_start : UInt<1>, ic_fetch_val_f : UInt<2>, ic_data_f : UInt<32>, flip scan_mode : UInt<1>} wire iccm_single_ecc_error : UInt<2> iccm_single_ecc_error <= UInt<1>("h00") @@ -29106,7 +29106,7 @@ circuit quasar_wrapper : module ifu_bp_ctl : input clock : Clock input reset : AsyncReset - output io : {flip active_clk : Clock, flip ic_hit_f : UInt<1>, flip exu_flush_final : UInt<1>, flip ifc_fetch_addr_f : UInt<31>, flip ifc_fetch_req_f : UInt<1>, dec_bp : {flip dec_tlu_br0_r_pkt : {valid : UInt<1>, bits : {hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, way : UInt<1>, middle : UInt<1>}}, flip dec_tlu_flush_lower_wb : UInt<1>, flip dec_tlu_flush_leak_one_wb : UInt<1>, flip dec_tlu_bpred_disable : UInt<1>}, flip dec_tlu_flush_lower_wb : UInt<1>, flip exu_bp : {exu_i0_br_index_r : UInt<8>, exu_i0_br_fghr_r : UInt<8>, exu_i0_br_way_r : UInt<1>, exu_mp_pkt : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<31>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}}, exu_mp_eghr : UInt<8>, exu_mp_fghr : UInt<8>, exu_mp_index : UInt<8>, exu_mp_btag : UInt<5>}, ifu_bp_hit_taken_f : UInt<1>, ifu_bp_btb_target_f : UInt<31>, ifu_bp_inst_mask_f : UInt<1>, ifu_bp_fghr_f : UInt<8>, ifu_bp_way_f : UInt<2>, ifu_bp_ret_f : UInt<2>, ifu_bp_hist1_f : UInt<2>, ifu_bp_hist0_f : UInt<2>, ifu_bp_pc4_f : UInt<2>, ifu_bp_valid_f : UInt<2>, ifu_bp_poffset_f : UInt<12>, flip scan_mode : UInt<1>} + output io : {flip active_clk : Clock, flip ic_hit_f : UInt<1>, flip exu_flush_final : UInt<1>, flip ifc_fetch_addr_f : UInt<31>, flip ifc_fetch_req_f : UInt<1>, dec_bp : {flip dec_tlu_br0_r_pkt : {valid : UInt<1>, bits : {hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, way : UInt<1>, middle : UInt<1>}}, flip dec_tlu_flush_leak_one_wb : UInt<1>, flip dec_tlu_bpred_disable : UInt<1>}, flip dec_tlu_flush_lower_wb : UInt<1>, flip exu_bp : {exu_i0_br_index_r : UInt<8>, exu_i0_br_fghr_r : UInt<8>, exu_i0_br_way_r : UInt<1>, exu_mp_pkt : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<31>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}}, exu_mp_eghr : UInt<8>, exu_mp_fghr : UInt<8>, exu_mp_index : UInt<8>, exu_mp_btag : UInt<5>}, ifu_bp_hit_taken_f : UInt<1>, ifu_bp_btb_target_f : UInt<31>, ifu_bp_inst_mask_f : UInt<1>, ifu_bp_fghr_f : UInt<8>, ifu_bp_way_f : UInt<2>, ifu_bp_ret_f : UInt<2>, ifu_bp_hist1_f : UInt<2>, ifu_bp_hist0_f : UInt<2>, ifu_bp_pc4_f : UInt<2>, ifu_bp_valid_f : UInt<2>, ifu_bp_poffset_f : UInt<12>, flip scan_mode : UInt<1>} wire leak_one_f : UInt<1> leak_one_f <= UInt<1>("h00") @@ -64024,7 +64024,7 @@ circuit quasar_wrapper : module ifu : input clock : Clock input reset : AsyncReset - output io : {flip exu_flush_final : UInt<1>, flip exu_flush_path_final : UInt<31>, flip free_clk : Clock, flip active_clk : Clock, ifu_dec : {dec_aln : {aln_dec : {flip dec_i0_decode_d : UInt<1>, ifu_i0_cinst : UInt<16>}, aln_ib : {ifu_i0_icaf : UInt<1>, ifu_i0_icaf_type : UInt<2>, ifu_i0_icaf_f1 : UInt<1>, ifu_i0_dbecc : UInt<1>, ifu_i0_bp_index : UInt<8>, ifu_i0_bp_fghr : UInt<8>, ifu_i0_bp_btag : UInt<5>, ifu_i0_valid : UInt<1>, ifu_i0_instr : UInt<32>, ifu_i0_pc : UInt<31>, ifu_i0_pc4 : UInt<1>, i0_brp : {valid : UInt<1>, bits : {toffset : UInt<12>, hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, bank : UInt<1>, prett : UInt<31>, way : UInt<1>, ret : UInt<1>}}}, ifu_pmu_instr_aligned : UInt<1>}, dec_mem_ctrl : {flip dec_tlu_flush_lower_wb : UInt<1>, flip dec_tlu_flush_err_wb : UInt<1>, flip dec_tlu_i0_commit_cmt : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip dec_tlu_fence_i_wb : UInt<1>, flip dec_tlu_ic_diag_pkt : {icache_wrdata : UInt<71>, icache_dicawics : UInt<17>, icache_rd_valid : UInt<1>, icache_wr_valid : UInt<1>}, flip dec_tlu_core_ecc_disable : UInt<1>, ifu_pmu_ic_miss : UInt<1>, ifu_pmu_ic_hit : UInt<1>, ifu_pmu_bus_error : UInt<1>, ifu_pmu_bus_busy : UInt<1>, ifu_pmu_bus_trxn : UInt<1>, ifu_ic_error_start : UInt<1>, ifu_iccm_rd_ecc_single_err : UInt<1>, ifu_ic_debug_rd_data : UInt<71>, ifu_ic_debug_rd_data_valid : UInt<1>, ifu_miss_state_idle : UInt<1>}, dec_ifc : {flip dec_tlu_flush_noredir_wb : UInt<1>, flip dec_tlu_mrac_ff : UInt<32>, ifu_pmu_fetch_stall : UInt<1>}, dec_bp : {flip dec_tlu_br0_r_pkt : {valid : UInt<1>, bits : {hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, way : UInt<1>, middle : UInt<1>}}, flip dec_tlu_flush_lower_wb : UInt<1>, flip dec_tlu_flush_leak_one_wb : UInt<1>, flip dec_tlu_bpred_disable : UInt<1>}}, exu_ifu : {flip exu_bp : {exu_i0_br_index_r : UInt<8>, exu_i0_br_fghr_r : UInt<8>, exu_i0_br_way_r : UInt<1>, exu_mp_pkt : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<31>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}}, exu_mp_eghr : UInt<8>, exu_mp_fghr : UInt<8>, exu_mp_index : UInt<8>, exu_mp_btag : UInt<5>}}, iccm : {rw_addr : UInt<15>, buf_correct_ecc : UInt<1>, correction_state : UInt<1>, wren : UInt<1>, rden : UInt<1>, wr_size : UInt<3>, wr_data : UInt<78>, flip rd_data : UInt<64>, flip rd_data_ecc : UInt<78>}, ic : {rw_addr : UInt<31>, tag_valid : UInt<2>, wr_en : UInt<2>, rd_en : UInt<1>, wr_data : UInt<71>[2], debug_wr_data : UInt<71>, debug_addr : UInt<10>, flip rd_data : UInt<64>, flip debug_rd_data : UInt<71>, flip tag_debug_rd_data : UInt<26>, flip eccerr : UInt<2>, flip parerr : UInt<2>, flip rd_hit : UInt<2>, flip tag_perr : UInt<1>, debug_rd_en : UInt<1>, debug_wr_en : UInt<1>, debug_tag_array : UInt<1>, debug_way : UInt<2>, premux_data : UInt<64>, sel_premux_data : UInt<1>}, ifu : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip ifu_bus_clk_en : UInt<1>, ifu_dma : {dma_ifc : {flip dma_iccm_stall_any : UInt<1>}, dma_mem_ctl : {flip dma_iccm_req : UInt<1>, flip dma_mem_addr : UInt<32>, flip dma_mem_sz : UInt<3>, flip dma_mem_write : UInt<1>, flip dma_mem_wdata : UInt<64>, flip dma_mem_tag : UInt<3>}}, iccm_dma_ecc_error : UInt<1>, iccm_dma_rvalid : UInt<1>, iccm_dma_rdata : UInt<64>, iccm_dma_rtag : UInt<3>, iccm_ready : UInt<1>, iccm_dma_sb_error : UInt<1>, flip dec_tlu_flush_lower_wb : UInt<1>, flip scan_mode : UInt<1>} + output io : {flip exu_flush_final : UInt<1>, flip exu_flush_path_final : UInt<31>, flip free_clk : Clock, flip active_clk : Clock, ifu_dec : {dec_aln : {aln_dec : {flip dec_i0_decode_d : UInt<1>, ifu_i0_cinst : UInt<16>}, aln_ib : {ifu_i0_icaf : UInt<1>, ifu_i0_icaf_type : UInt<2>, ifu_i0_icaf_f1 : UInt<1>, ifu_i0_dbecc : UInt<1>, ifu_i0_bp_index : UInt<8>, ifu_i0_bp_fghr : UInt<8>, ifu_i0_bp_btag : UInt<5>, ifu_i0_valid : UInt<1>, ifu_i0_instr : UInt<32>, ifu_i0_pc : UInt<31>, ifu_i0_pc4 : UInt<1>, i0_brp : {valid : UInt<1>, bits : {toffset : UInt<12>, hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, bank : UInt<1>, prett : UInt<31>, way : UInt<1>, ret : UInt<1>}}}, ifu_pmu_instr_aligned : UInt<1>}, dec_mem_ctrl : {flip dec_tlu_flush_err_wb : UInt<1>, flip dec_tlu_i0_commit_cmt : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip dec_tlu_fence_i_wb : UInt<1>, flip dec_tlu_ic_diag_pkt : {icache_wrdata : UInt<71>, icache_dicawics : UInt<17>, icache_rd_valid : UInt<1>, icache_wr_valid : UInt<1>}, flip dec_tlu_core_ecc_disable : UInt<1>, ifu_pmu_ic_miss : UInt<1>, ifu_pmu_ic_hit : UInt<1>, ifu_pmu_bus_error : UInt<1>, ifu_pmu_bus_busy : UInt<1>, ifu_pmu_bus_trxn : UInt<1>, ifu_ic_error_start : UInt<1>, ifu_iccm_rd_ecc_single_err : UInt<1>, ifu_ic_debug_rd_data : UInt<71>, ifu_ic_debug_rd_data_valid : UInt<1>, ifu_miss_state_idle : UInt<1>}, dec_ifc : {flip dec_tlu_flush_noredir_wb : UInt<1>, flip dec_tlu_mrac_ff : UInt<32>, ifu_pmu_fetch_stall : UInt<1>}, dec_bp : {flip dec_tlu_br0_r_pkt : {valid : UInt<1>, bits : {hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, way : UInt<1>, middle : UInt<1>}}, flip dec_tlu_flush_leak_one_wb : UInt<1>, flip dec_tlu_bpred_disable : UInt<1>}}, exu_ifu : {flip exu_bp : {exu_i0_br_index_r : UInt<8>, exu_i0_br_fghr_r : UInt<8>, exu_i0_br_way_r : UInt<1>, exu_mp_pkt : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<31>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}}, exu_mp_eghr : UInt<8>, exu_mp_fghr : UInt<8>, exu_mp_index : UInt<8>, exu_mp_btag : UInt<5>}}, iccm : {rw_addr : UInt<15>, buf_correct_ecc : UInt<1>, correction_state : UInt<1>, wren : UInt<1>, rden : UInt<1>, wr_size : UInt<3>, wr_data : UInt<78>, flip rd_data : UInt<64>, flip rd_data_ecc : UInt<78>}, ic : {rw_addr : UInt<31>, tag_valid : UInt<2>, wr_en : UInt<2>, rd_en : UInt<1>, wr_data : UInt<71>[2], debug_wr_data : UInt<71>, debug_addr : UInt<10>, flip rd_data : UInt<64>, flip debug_rd_data : UInt<71>, flip tag_debug_rd_data : UInt<26>, flip eccerr : UInt<2>, flip parerr : UInt<2>, flip rd_hit : UInt<2>, flip tag_perr : UInt<1>, debug_rd_en : UInt<1>, debug_wr_en : UInt<1>, debug_tag_array : UInt<1>, debug_way : UInt<2>, premux_data : UInt<64>, sel_premux_data : UInt<1>}, ifu : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip ifu_bus_clk_en : UInt<1>, ifu_dma : {dma_ifc : {flip dma_iccm_stall_any : UInt<1>}, dma_mem_ctl : {flip dma_iccm_req : UInt<1>, flip dma_mem_addr : UInt<32>, flip dma_mem_sz : UInt<3>, flip dma_mem_write : UInt<1>, flip dma_mem_wdata : UInt<64>, flip dma_mem_tag : UInt<3>}}, iccm_dma_ecc_error : UInt<1>, iccm_dma_rvalid : UInt<1>, iccm_dma_rdata : UInt<64>, iccm_dma_rtag : UInt<3>, iccm_ready : UInt<1>, iccm_dma_sb_error : UInt<1>, flip dec_tlu_flush_lower_wb : UInt<1>, flip scan_mode : UInt<1>} inst mem_ctl of ifu_mem_ctl @[ifu.scala 34:23] mem_ctl.clock <= clock @@ -64104,7 +64104,6 @@ circuit quasar_wrapper : bp_ctl.io.ifc_fetch_req_f <= ifc_ctl.io.ifc_fetch_req_f @[ifu.scala 83:29] bp_ctl.io.dec_bp.dec_tlu_bpred_disable <= io.ifu_dec.dec_bp.dec_tlu_bpred_disable @[ifu.scala 84:20] bp_ctl.io.dec_bp.dec_tlu_flush_leak_one_wb <= io.ifu_dec.dec_bp.dec_tlu_flush_leak_one_wb @[ifu.scala 84:20] - bp_ctl.io.dec_bp.dec_tlu_flush_lower_wb <= io.ifu_dec.dec_bp.dec_tlu_flush_lower_wb @[ifu.scala 84:20] bp_ctl.io.dec_bp.dec_tlu_br0_r_pkt.bits.middle <= io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.middle @[ifu.scala 84:20] bp_ctl.io.dec_bp.dec_tlu_br0_r_pkt.bits.way <= io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.way @[ifu.scala 84:20] bp_ctl.io.dec_bp.dec_tlu_br0_r_pkt.bits.br_start_error <= io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.br_start_error @[ifu.scala 84:20] @@ -64156,7 +64155,6 @@ circuit quasar_wrapper : mem_ctl.io.dec_mem_ctrl.dec_tlu_force_halt <= io.ifu_dec.dec_mem_ctrl.dec_tlu_force_halt @[ifu.scala 93:27] mem_ctl.io.dec_mem_ctrl.dec_tlu_i0_commit_cmt <= io.ifu_dec.dec_mem_ctrl.dec_tlu_i0_commit_cmt @[ifu.scala 93:27] mem_ctl.io.dec_mem_ctrl.dec_tlu_flush_err_wb <= io.ifu_dec.dec_mem_ctrl.dec_tlu_flush_err_wb @[ifu.scala 93:27] - mem_ctl.io.dec_mem_ctrl.dec_tlu_flush_lower_wb <= io.ifu_dec.dec_mem_ctrl.dec_tlu_flush_lower_wb @[ifu.scala 93:27] mem_ctl.io.ifc_fetch_addr_bf <= ifc_ctl.io.ifc_fetch_addr_bf @[ifu.scala 94:32] mem_ctl.io.ifc_fetch_uncacheable_bf <= ifc_ctl.io.ifc_fetch_uncacheable_bf @[ifu.scala 95:39] mem_ctl.io.ifc_fetch_req_bf <= ifc_ctl.io.ifc_fetch_req_bf @[ifu.scala 96:31] @@ -66828,81 +66826,81 @@ circuit quasar_wrapper : module dec_decode_ctl : input clock : Clock input reset : AsyncReset - output io : {flip decode_exu : {flip dec_data_en : UInt<2>, flip dec_ctl_en : UInt<2>, flip i0_ap : {land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, srl : UInt<1>, sra : UInt<1>, beq : UInt<1>, bne : UInt<1>, blt : UInt<1>, bge : UInt<1>, add : UInt<1>, sub : UInt<1>, slt : UInt<1>, unsign : UInt<1>, jal : UInt<1>, predict_t : UInt<1>, predict_nt : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>}, flip dec_i0_predict_p_d : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<31>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}}, flip i0_predict_fghr_d : UInt<8>, flip i0_predict_index_d : UInt<8>, flip i0_predict_btag_d : UInt<5>, flip dec_i0_rs1_en_d : UInt<1>, flip dec_i0_rs2_en_d : UInt<1>, flip dec_i0_immed_d : UInt<32>, flip dec_i0_rs1_bypass_data_d : UInt<32>, flip dec_i0_rs2_bypass_data_d : UInt<32>, flip dec_i0_select_pc_d : UInt<1>, flip dec_i0_rs1_bypass_en_d : UInt<2>, flip dec_i0_rs2_bypass_en_d : UInt<2>, flip mul_p : {valid : UInt<1>, bits : {rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, bext : UInt<1>, bdep : UInt<1>, clmul : UInt<1>, clmulh : UInt<1>, clmulr : UInt<1>, grev : UInt<1>, shfl : UInt<1>, unshfl : UInt<1>, crc32_b : UInt<1>, crc32_h : UInt<1>, crc32_w : UInt<1>, crc32c_b : UInt<1>, crc32c_h : UInt<1>, crc32c_w : UInt<1>, bfp : UInt<1>}}, flip pred_correct_npc_x : UInt<31>, flip dec_extint_stall : UInt<1>, exu_i0_result_x : UInt<32>, exu_csr_rs1_x : UInt<32>}, flip dec_alu : {flip dec_i0_alu_decode_d : UInt<1>, flip dec_csr_ren_d : UInt<1>, flip dec_i0_br_immed_d : UInt<12>, exu_i0_pc_x : UInt<31>}, flip dec_div : {flip div_p : {valid : UInt<1>, bits : {unsign : UInt<1>, rem : UInt<1>}}, flip dec_div_cancel : UInt<1>}, flip dctl_busbuff : {lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>}, dctl_dma : {flip dma_dccm_stall_any : UInt<1>}, flip dec_tlu_flush_extint : UInt<1>, flip dec_tlu_force_halt : UInt<1>, dec_i0_inst_wb1 : UInt<32>, dec_i0_pc_wb1 : UInt<31>, flip dec_i0_trigger_match_d : UInt<4>, flip dec_tlu_wr_pause_r : UInt<1>, flip dec_tlu_pipelining_disable : UInt<1>, flip lsu_trigger_match_m : UInt<4>, flip lsu_pmu_misaligned_m : UInt<1>, flip dec_tlu_debug_stall : UInt<1>, flip dec_tlu_flush_leak_one_r : UInt<1>, flip dec_debug_fence_d : UInt<1>, flip dec_i0_icaf_d : UInt<1>, flip dec_i0_icaf_f1_d : UInt<1>, flip dec_i0_icaf_type_d : UInt<2>, flip dec_i0_dbecc_d : UInt<1>, flip dec_i0_brp : {valid : UInt<1>, bits : {toffset : UInt<12>, hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, bank : UInt<1>, prett : UInt<31>, way : UInt<1>, ret : UInt<1>}}, flip dec_i0_bp_index : UInt<8>, flip dec_i0_bp_fghr : UInt<8>, flip dec_i0_bp_btag : UInt<5>, flip dec_i0_pc_d : UInt<31>, flip lsu_idle_any : UInt<1>, flip lsu_load_stall_any : UInt<1>, flip lsu_store_stall_any : UInt<1>, flip exu_div_wren : UInt<1>, flip dec_tlu_i0_kill_writeb_wb : UInt<1>, flip dec_tlu_flush_lower_wb : UInt<1>, flip dec_tlu_i0_kill_writeb_r : UInt<1>, flip dec_tlu_flush_lower_r : UInt<1>, flip dec_tlu_flush_pause_r : UInt<1>, flip dec_tlu_presync_d : UInt<1>, flip dec_tlu_postsync_d : UInt<1>, flip dec_i0_pc4_d : UInt<1>, flip dec_csr_rddata_d : UInt<32>, flip dec_csr_legal_d : UInt<1>, flip lsu_result_m : UInt<32>, flip lsu_result_corr_r : UInt<32>, flip exu_flush_final : UInt<1>, flip dec_i0_instr_d : UInt<32>, flip dec_ib0_valid_d : UInt<1>, flip free_clk : Clock, flip active_clk : Clock, flip clk_override : UInt<1>, dec_i0_rs1_d : UInt<5>, dec_i0_rs2_d : UInt<5>, dec_i0_waddr_r : UInt<5>, dec_i0_wen_r : UInt<1>, dec_i0_wdata_r : UInt<32>, lsu_p : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, div_waddr_wb : UInt<5>, dec_lsu_valid_raw_d : UInt<1>, dec_lsu_offset_d : UInt<12>, dec_csr_wen_unq_d : UInt<1>, dec_csr_any_unq_d : UInt<1>, dec_csr_rdaddr_d : UInt<12>, dec_csr_wen_r : UInt<1>, dec_csr_wraddr_r : UInt<12>, dec_csr_wrdata_r : UInt<32>, dec_csr_stall_int_ff : UInt<1>, dec_tlu_i0_valid_r : UInt<1>, dec_tlu_packet_r : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>}, dec_tlu_i0_pc_r : UInt<31>, dec_illegal_inst : UInt<32>, dec_pmu_instr_decoded : UInt<1>, dec_pmu_decode_stall : UInt<1>, dec_pmu_presync_stall : UInt<1>, dec_pmu_postsync_stall : UInt<1>, dec_nonblock_load_wen : UInt<1>, dec_nonblock_load_waddr : UInt<5>, dec_pause_state : UInt<1>, dec_pause_state_cg : UInt<1>, dec_div_active : UInt<1>, flip scan_mode : UInt<1>, flip dec_aln : {flip dec_i0_decode_d : UInt<1>, ifu_i0_cinst : UInt<16>}, dbg_dctl : {flip dbg_cmd_wrdata : UInt<2>}} + output io : {flip decode_exu : {flip dec_data_en : UInt<2>, flip dec_ctl_en : UInt<2>, flip i0_ap : {land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, srl : UInt<1>, sra : UInt<1>, beq : UInt<1>, bne : UInt<1>, blt : UInt<1>, bge : UInt<1>, add : UInt<1>, sub : UInt<1>, slt : UInt<1>, unsign : UInt<1>, jal : UInt<1>, predict_t : UInt<1>, predict_nt : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>}, flip dec_i0_predict_p_d : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<31>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}}, flip i0_predict_fghr_d : UInt<8>, flip i0_predict_index_d : UInt<8>, flip i0_predict_btag_d : UInt<5>, flip dec_i0_rs1_en_d : UInt<1>, flip dec_i0_rs2_en_d : UInt<1>, flip dec_i0_immed_d : UInt<32>, flip dec_i0_rs1_bypass_data_d : UInt<32>, flip dec_i0_rs2_bypass_data_d : UInt<32>, flip dec_i0_select_pc_d : UInt<1>, flip dec_i0_rs1_bypass_en_d : UInt<2>, flip dec_i0_rs2_bypass_en_d : UInt<2>, flip mul_p : {valid : UInt<1>, bits : {rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, bext : UInt<1>, bdep : UInt<1>, clmul : UInt<1>, clmulh : UInt<1>, clmulr : UInt<1>, grev : UInt<1>, shfl : UInt<1>, unshfl : UInt<1>, crc32_b : UInt<1>, crc32_h : UInt<1>, crc32_w : UInt<1>, crc32c_b : UInt<1>, crc32c_h : UInt<1>, crc32c_w : UInt<1>, bfp : UInt<1>}}, flip pred_correct_npc_x : UInt<31>, flip dec_extint_stall : UInt<1>, exu_i0_result_x : UInt<32>, exu_csr_rs1_x : UInt<32>}, flip dec_alu : {flip dec_i0_alu_decode_d : UInt<1>, flip dec_csr_ren_d : UInt<1>, flip dec_i0_br_immed_d : UInt<12>, exu_i0_pc_x : UInt<31>}, flip dec_div : {flip div_p : {valid : UInt<1>, bits : {unsign : UInt<1>, rem : UInt<1>}}, flip dec_div_cancel : UInt<1>}, flip dctl_busbuff : {lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>}, dctl_dma : {flip dma_dccm_stall_any : UInt<1>}, flip dec_aln : {flip dec_i0_decode_d : UInt<1>, ifu_i0_cinst : UInt<16>}, dbg_dctl : {flip dbg_cmd_wrdata : UInt<32>}, flip dec_tlu_flush_extint : UInt<1>, flip dec_tlu_force_halt : UInt<1>, dec_i0_inst_wb1 : UInt<32>, dec_i0_pc_wb1 : UInt<31>, flip dec_i0_trigger_match_d : UInt<4>, flip dec_tlu_wr_pause_r : UInt<1>, flip dec_tlu_pipelining_disable : UInt<1>, flip lsu_trigger_match_m : UInt<4>, flip lsu_pmu_misaligned_m : UInt<1>, flip dec_tlu_debug_stall : UInt<1>, flip dec_tlu_flush_leak_one_r : UInt<1>, flip dec_debug_fence_d : UInt<1>, flip dec_i0_icaf_d : UInt<1>, flip dec_i0_icaf_f1_d : UInt<1>, flip dec_i0_icaf_type_d : UInt<2>, flip dec_i0_dbecc_d : UInt<1>, flip dec_i0_brp : {valid : UInt<1>, bits : {toffset : UInt<12>, hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, bank : UInt<1>, prett : UInt<31>, way : UInt<1>, ret : UInt<1>}}, flip dec_i0_bp_index : UInt<8>, flip dec_i0_bp_fghr : UInt<8>, flip dec_i0_bp_btag : UInt<5>, flip dec_i0_pc_d : UInt<31>, flip lsu_idle_any : UInt<1>, flip lsu_load_stall_any : UInt<1>, flip lsu_store_stall_any : UInt<1>, flip exu_div_wren : UInt<1>, flip dec_tlu_i0_kill_writeb_wb : UInt<1>, flip dec_tlu_flush_lower_wb : UInt<1>, flip dec_tlu_i0_kill_writeb_r : UInt<1>, flip dec_tlu_flush_lower_r : UInt<1>, flip dec_tlu_flush_pause_r : UInt<1>, flip dec_tlu_presync_d : UInt<1>, flip dec_tlu_postsync_d : UInt<1>, flip dec_i0_pc4_d : UInt<1>, flip dec_csr_rddata_d : UInt<32>, flip dec_csr_legal_d : UInt<1>, flip lsu_result_m : UInt<32>, flip lsu_result_corr_r : UInt<32>, flip exu_flush_final : UInt<1>, flip dec_i0_instr_d : UInt<32>, flip dec_ib0_valid_d : UInt<1>, flip free_clk : Clock, flip active_clk : Clock, flip clk_override : UInt<1>, dec_i0_rs1_d : UInt<5>, dec_i0_rs2_d : UInt<5>, dec_i0_waddr_r : UInt<5>, dec_i0_wen_r : UInt<1>, dec_i0_wdata_r : UInt<32>, lsu_p : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, div_waddr_wb : UInt<5>, dec_lsu_valid_raw_d : UInt<1>, dec_lsu_offset_d : UInt<12>, dec_csr_wen_unq_d : UInt<1>, dec_csr_any_unq_d : UInt<1>, dec_csr_rdaddr_d : UInt<12>, dec_csr_wen_r : UInt<1>, dec_csr_wraddr_r : UInt<12>, dec_csr_wrdata_r : UInt<32>, dec_csr_stall_int_ff : UInt<1>, dec_tlu_i0_valid_r : UInt<1>, dec_tlu_packet_r : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>}, dec_tlu_i0_pc_r : UInt<31>, dec_illegal_inst : UInt<32>, dec_pmu_instr_decoded : UInt<1>, dec_pmu_decode_stall : UInt<1>, dec_pmu_presync_stall : UInt<1>, dec_pmu_postsync_stall : UInt<1>, dec_nonblock_load_wen : UInt<1>, dec_nonblock_load_waddr : UInt<5>, dec_pause_state : UInt<1>, dec_pause_state_cg : UInt<1>, dec_div_active : UInt<1>, flip scan_mode : UInt<1>} - wire _T : {valid : UInt<1>, bits : {rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, bext : UInt<1>, bdep : UInt<1>, clmul : UInt<1>, clmulh : UInt<1>, clmulr : UInt<1>, grev : UInt<1>, shfl : UInt<1>, unshfl : UInt<1>, crc32_b : UInt<1>, crc32_h : UInt<1>, crc32_w : UInt<1>, crc32c_b : UInt<1>, crc32c_h : UInt<1>, crc32c_w : UInt<1>, bfp : UInt<1>}} @[dec_decode_ctl.scala 97:38] - _T.bits.bfp <= UInt<1>("h00") @[dec_decode_ctl.scala 97:38] - _T.bits.crc32c_w <= UInt<1>("h00") @[dec_decode_ctl.scala 97:38] - _T.bits.crc32c_h <= UInt<1>("h00") @[dec_decode_ctl.scala 97:38] - _T.bits.crc32c_b <= UInt<1>("h00") @[dec_decode_ctl.scala 97:38] - _T.bits.crc32_w <= UInt<1>("h00") @[dec_decode_ctl.scala 97:38] - _T.bits.crc32_h <= UInt<1>("h00") @[dec_decode_ctl.scala 97:38] - _T.bits.crc32_b <= UInt<1>("h00") @[dec_decode_ctl.scala 97:38] - _T.bits.unshfl <= UInt<1>("h00") @[dec_decode_ctl.scala 97:38] - _T.bits.shfl <= UInt<1>("h00") @[dec_decode_ctl.scala 97:38] - _T.bits.grev <= UInt<1>("h00") @[dec_decode_ctl.scala 97:38] - _T.bits.clmulr <= UInt<1>("h00") @[dec_decode_ctl.scala 97:38] - _T.bits.clmulh <= UInt<1>("h00") @[dec_decode_ctl.scala 97:38] - _T.bits.clmul <= UInt<1>("h00") @[dec_decode_ctl.scala 97:38] - _T.bits.bdep <= UInt<1>("h00") @[dec_decode_ctl.scala 97:38] - _T.bits.bext <= UInt<1>("h00") @[dec_decode_ctl.scala 97:38] - _T.bits.low <= UInt<1>("h00") @[dec_decode_ctl.scala 97:38] - _T.bits.rs2_sign <= UInt<1>("h00") @[dec_decode_ctl.scala 97:38] - _T.bits.rs1_sign <= UInt<1>("h00") @[dec_decode_ctl.scala 97:38] - _T.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 97:38] - io.decode_exu.mul_p.bits.bfp <= _T.bits.bfp @[dec_decode_ctl.scala 97:23] - io.decode_exu.mul_p.bits.crc32c_w <= _T.bits.crc32c_w @[dec_decode_ctl.scala 97:23] - io.decode_exu.mul_p.bits.crc32c_h <= _T.bits.crc32c_h @[dec_decode_ctl.scala 97:23] - io.decode_exu.mul_p.bits.crc32c_b <= _T.bits.crc32c_b @[dec_decode_ctl.scala 97:23] - io.decode_exu.mul_p.bits.crc32_w <= _T.bits.crc32_w @[dec_decode_ctl.scala 97:23] - io.decode_exu.mul_p.bits.crc32_h <= _T.bits.crc32_h @[dec_decode_ctl.scala 97:23] - io.decode_exu.mul_p.bits.crc32_b <= _T.bits.crc32_b @[dec_decode_ctl.scala 97:23] - io.decode_exu.mul_p.bits.unshfl <= _T.bits.unshfl @[dec_decode_ctl.scala 97:23] - io.decode_exu.mul_p.bits.shfl <= _T.bits.shfl @[dec_decode_ctl.scala 97:23] - io.decode_exu.mul_p.bits.grev <= _T.bits.grev @[dec_decode_ctl.scala 97:23] - io.decode_exu.mul_p.bits.clmulr <= _T.bits.clmulr @[dec_decode_ctl.scala 97:23] - io.decode_exu.mul_p.bits.clmulh <= _T.bits.clmulh @[dec_decode_ctl.scala 97:23] - io.decode_exu.mul_p.bits.clmul <= _T.bits.clmul @[dec_decode_ctl.scala 97:23] - io.decode_exu.mul_p.bits.bdep <= _T.bits.bdep @[dec_decode_ctl.scala 97:23] - io.decode_exu.mul_p.bits.bext <= _T.bits.bext @[dec_decode_ctl.scala 97:23] - io.decode_exu.mul_p.bits.low <= _T.bits.low @[dec_decode_ctl.scala 97:23] - io.decode_exu.mul_p.bits.rs2_sign <= _T.bits.rs2_sign @[dec_decode_ctl.scala 97:23] - io.decode_exu.mul_p.bits.rs1_sign <= _T.bits.rs1_sign @[dec_decode_ctl.scala 97:23] - io.decode_exu.mul_p.valid <= _T.valid @[dec_decode_ctl.scala 97:23] + wire _T : {valid : UInt<1>, bits : {rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, bext : UInt<1>, bdep : UInt<1>, clmul : UInt<1>, clmulh : UInt<1>, clmulr : UInt<1>, grev : UInt<1>, shfl : UInt<1>, unshfl : UInt<1>, crc32_b : UInt<1>, crc32_h : UInt<1>, crc32_w : UInt<1>, crc32c_b : UInt<1>, crc32c_h : UInt<1>, crc32c_w : UInt<1>, bfp : UInt<1>}} @[dec_decode_ctl.scala 95:40] + _T.bits.bfp <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.crc32c_w <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.crc32c_h <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.crc32c_b <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.crc32_w <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.crc32_h <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.crc32_b <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.unshfl <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.shfl <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.grev <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.clmulr <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.clmulh <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.clmul <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.bdep <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.bext <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.low <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.rs2_sign <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.bits.rs1_sign <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + _T.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 95:40] + io.decode_exu.mul_p.bits.bfp <= _T.bits.bfp @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.crc32c_w <= _T.bits.crc32c_w @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.crc32c_h <= _T.bits.crc32c_h @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.crc32c_b <= _T.bits.crc32c_b @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.crc32_w <= _T.bits.crc32_w @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.crc32_h <= _T.bits.crc32_h @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.crc32_b <= _T.bits.crc32_b @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.unshfl <= _T.bits.unshfl @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.shfl <= _T.bits.shfl @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.grev <= _T.bits.grev @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.clmulr <= _T.bits.clmulr @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.clmulh <= _T.bits.clmulh @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.clmul <= _T.bits.clmul @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.bdep <= _T.bits.bdep @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.bext <= _T.bits.bext @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.low <= _T.bits.low @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.rs2_sign <= _T.bits.rs2_sign @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.bits.rs1_sign <= _T.bits.rs1_sign @[dec_decode_ctl.scala 95:25] + io.decode_exu.mul_p.valid <= _T.valid @[dec_decode_ctl.scala 95:25] wire leak1_i1_stall_in : UInt<1> leak1_i1_stall_in <= UInt<1>("h00") wire leak1_i0_stall_in : UInt<1> leak1_i0_stall_in <= UInt<1>("h00") - wire i0r : {rs1 : UInt<5>, rs2 : UInt<5>, rd : UInt<5>} @[dec_decode_ctl.scala 101:17] - wire d_t : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[dec_decode_ctl.scala 102:17] - wire x_t : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[dec_decode_ctl.scala 103:17] - wire x_t_in : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[dec_decode_ctl.scala 104:20] - wire r_t : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[dec_decode_ctl.scala 105:17] - wire r_t_in : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[dec_decode_ctl.scala 106:23] - wire d_d : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[dec_decode_ctl.scala 107:17] - wire x_d : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[dec_decode_ctl.scala 108:17] - wire r_d : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[dec_decode_ctl.scala 109:17] - wire r_d_in : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[dec_decode_ctl.scala 110:20] - wire wbd : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[dec_decode_ctl.scala 111:17] - wire i0_d_c : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[dec_decode_ctl.scala 112:20] - wire i0_rs1_class_d : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[dec_decode_ctl.scala 113:28] - wire i0_rs2_class_d : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[dec_decode_ctl.scala 114:28] + wire i0r : {rs1 : UInt<5>, rs2 : UInt<5>, rd : UInt<5>} @[dec_decode_ctl.scala 99:37] + wire d_t : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[dec_decode_ctl.scala 100:37] + wire x_t : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[dec_decode_ctl.scala 101:37] + wire x_t_in : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[dec_decode_ctl.scala 102:37] + wire r_t : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[dec_decode_ctl.scala 103:37] + wire r_t_in : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[dec_decode_ctl.scala 104:37] + wire d_d : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[dec_decode_ctl.scala 105:37] + wire x_d : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[dec_decode_ctl.scala 106:37] + wire r_d : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[dec_decode_ctl.scala 107:37] + wire r_d_in : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[dec_decode_ctl.scala 108:37] + wire wbd : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[dec_decode_ctl.scala 109:37] + wire i0_d_c : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[dec_decode_ctl.scala 110:37] + wire i0_rs1_class_d : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[dec_decode_ctl.scala 111:37] + wire i0_rs2_class_d : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[dec_decode_ctl.scala 112:37] wire i0_rs1_depth_d : UInt<2> i0_rs1_depth_d <= UInt<1>("h00") wire i0_rs2_depth_d : UInt<2> i0_rs2_depth_d <= UInt<1>("h00") wire cam_wen : UInt<4> cam_wen <= UInt<1>("h00") - wire cam : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}[4] @[dec_decode_ctl.scala 118:17] + wire cam : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}[4] @[dec_decode_ctl.scala 116:37] wire cam_write : UInt<1> cam_write <= UInt<1>("h00") - wire cam_inv_reset_val : UInt<1>[4] @[dec_decode_ctl.scala 120:29] - wire cam_data_reset_val : UInt<1>[4] @[dec_decode_ctl.scala 121:30] - wire nonblock_load_write : UInt<1>[4] @[dec_decode_ctl.scala 122:31] - wire cam_raw : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}[4] @[dec_decode_ctl.scala 123:20] - wire cam_in : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}[4] @[dec_decode_ctl.scala 124:20] - wire i0_dp : {alu : UInt<1>, rs1 : UInt<1>, rs2 : UInt<1>, imm12 : UInt<1>, rd : UInt<1>, shimm5 : UInt<1>, imm20 : UInt<1>, pc : UInt<1>, load : UInt<1>, store : UInt<1>, lsu : UInt<1>, add : UInt<1>, sub : UInt<1>, land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, sra : UInt<1>, srl : UInt<1>, slt : UInt<1>, unsign : UInt<1>, condbr : UInt<1>, beq : UInt<1>, bne : UInt<1>, bge : UInt<1>, blt : UInt<1>, jal : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, csr_read : UInt<1>, csr_clr : UInt<1>, csr_set : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>, presync : UInt<1>, postsync : UInt<1>, ebreak : UInt<1>, ecall : UInt<1>, mret : UInt<1>, mul : UInt<1>, rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, div : UInt<1>, rem : UInt<1>, fence : UInt<1>, fence_i : UInt<1>, pm_alu : UInt<1>, legal : UInt<1>} @[dec_decode_ctl.scala 126:18] - wire i0_dp_raw : {alu : UInt<1>, rs1 : UInt<1>, rs2 : UInt<1>, imm12 : UInt<1>, rd : UInt<1>, shimm5 : UInt<1>, imm20 : UInt<1>, pc : UInt<1>, load : UInt<1>, store : UInt<1>, lsu : UInt<1>, add : UInt<1>, sub : UInt<1>, land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, sra : UInt<1>, srl : UInt<1>, slt : UInt<1>, unsign : UInt<1>, condbr : UInt<1>, beq : UInt<1>, bne : UInt<1>, bge : UInt<1>, blt : UInt<1>, jal : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, csr_read : UInt<1>, csr_clr : UInt<1>, csr_set : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>, presync : UInt<1>, postsync : UInt<1>, ebreak : UInt<1>, ecall : UInt<1>, mret : UInt<1>, mul : UInt<1>, rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, div : UInt<1>, rem : UInt<1>, fence : UInt<1>, fence_i : UInt<1>, pm_alu : UInt<1>, legal : UInt<1>} @[dec_decode_ctl.scala 127:22] + wire cam_inv_reset_val : UInt<1>[4] @[dec_decode_ctl.scala 118:37] + wire cam_data_reset_val : UInt<1>[4] @[dec_decode_ctl.scala 119:37] + wire nonblock_load_write : UInt<1>[4] @[dec_decode_ctl.scala 120:37] + wire cam_raw : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}[4] @[dec_decode_ctl.scala 121:37] + wire cam_in : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}[4] @[dec_decode_ctl.scala 122:37] + wire i0_dp : {alu : UInt<1>, rs1 : UInt<1>, rs2 : UInt<1>, imm12 : UInt<1>, rd : UInt<1>, shimm5 : UInt<1>, imm20 : UInt<1>, pc : UInt<1>, load : UInt<1>, store : UInt<1>, lsu : UInt<1>, add : UInt<1>, sub : UInt<1>, land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, sra : UInt<1>, srl : UInt<1>, slt : UInt<1>, unsign : UInt<1>, condbr : UInt<1>, beq : UInt<1>, bne : UInt<1>, bge : UInt<1>, blt : UInt<1>, jal : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, csr_read : UInt<1>, csr_clr : UInt<1>, csr_set : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>, presync : UInt<1>, postsync : UInt<1>, ebreak : UInt<1>, ecall : UInt<1>, mret : UInt<1>, mul : UInt<1>, rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, div : UInt<1>, rem : UInt<1>, fence : UInt<1>, fence_i : UInt<1>, pm_alu : UInt<1>, legal : UInt<1>} @[dec_decode_ctl.scala 123:37] + wire i0_dp_raw : {alu : UInt<1>, rs1 : UInt<1>, rs2 : UInt<1>, imm12 : UInt<1>, rd : UInt<1>, shimm5 : UInt<1>, imm20 : UInt<1>, pc : UInt<1>, load : UInt<1>, store : UInt<1>, lsu : UInt<1>, add : UInt<1>, sub : UInt<1>, land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, sra : UInt<1>, srl : UInt<1>, slt : UInt<1>, unsign : UInt<1>, condbr : UInt<1>, beq : UInt<1>, bne : UInt<1>, bge : UInt<1>, blt : UInt<1>, jal : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, csr_read : UInt<1>, csr_clr : UInt<1>, csr_set : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>, presync : UInt<1>, postsync : UInt<1>, ebreak : UInt<1>, ecall : UInt<1>, mret : UInt<1>, mul : UInt<1>, rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, div : UInt<1>, rem : UInt<1>, fence : UInt<1>, fence_i : UInt<1>, pm_alu : UInt<1>, legal : UInt<1>} @[dec_decode_ctl.scala 124:37] wire i0_rs1bypass : UInt<3> i0_rs1bypass <= UInt<1>("h00") wire i0_rs2bypass : UInt<3> @@ -67005,299 +67003,299 @@ circuit quasar_wrapper : i0_result_x <= UInt<1>("h00") wire i0_result_r : UInt<32> i0_result_r <= UInt<1>("h00") - node _T_1 = xor(io.dec_tlu_wr_pause_r, tlu_wr_pause_r1) @[dec_decode_ctl.scala 181:51] - node _T_2 = xor(tlu_wr_pause_r1, tlu_wr_pause_r2) @[dec_decode_ctl.scala 182:32] - node _T_3 = or(_T_1, _T_2) @[dec_decode_ctl.scala 181:73] - node _T_4 = xor(io.dec_tlu_flush_extint, io.decode_exu.dec_extint_stall) @[dec_decode_ctl.scala 183:32] - node _T_5 = or(_T_3, _T_4) @[dec_decode_ctl.scala 182:56] - node _T_6 = xor(leak1_i1_stall_in, leak1_i1_stall) @[dec_decode_ctl.scala 184:32] - node _T_7 = or(_T_5, _T_6) @[dec_decode_ctl.scala 183:67] - node _T_8 = xor(leak1_i0_stall_in, leak1_i0_stall) @[dec_decode_ctl.scala 185:32] - node _T_9 = or(_T_7, _T_8) @[dec_decode_ctl.scala 184:56] - node _T_10 = xor(pause_state_in, pause_stall) @[dec_decode_ctl.scala 186:32] - node _T_11 = or(_T_9, _T_10) @[dec_decode_ctl.scala 185:56] - node _T_12 = xor(ps_stall_in, postsync_stall) @[dec_decode_ctl.scala 187:32] - node _T_13 = or(_T_11, _T_12) @[dec_decode_ctl.scala 186:56] - node _T_14 = xor(io.exu_flush_final, flush_final_r) @[dec_decode_ctl.scala 188:32] - node _T_15 = or(_T_13, _T_14) @[dec_decode_ctl.scala 187:56] - node _T_16 = xor(illegal_lockout_in, illegal_lockout) @[dec_decode_ctl.scala 189:32] - node data_gate_en = or(_T_15, _T_16) @[dec_decode_ctl.scala 188:56] - node _T_17 = bits(data_gate_en, 0, 0) @[dec_decode_ctl.scala 192:56] + node _T_1 = xor(io.dec_tlu_wr_pause_r, tlu_wr_pause_r1) @[dec_decode_ctl.scala 178:54] + node _T_2 = xor(tlu_wr_pause_r1, tlu_wr_pause_r2) @[dec_decode_ctl.scala 179:54] + node _T_3 = or(_T_1, _T_2) @[dec_decode_ctl.scala 178:89] + node _T_4 = xor(io.dec_tlu_flush_extint, io.decode_exu.dec_extint_stall) @[dec_decode_ctl.scala 180:54] + node _T_5 = or(_T_3, _T_4) @[dec_decode_ctl.scala 179:89] + node _T_6 = xor(leak1_i1_stall_in, leak1_i1_stall) @[dec_decode_ctl.scala 181:54] + node _T_7 = or(_T_5, _T_6) @[dec_decode_ctl.scala 180:89] + node _T_8 = xor(leak1_i0_stall_in, leak1_i0_stall) @[dec_decode_ctl.scala 182:54] + node _T_9 = or(_T_7, _T_8) @[dec_decode_ctl.scala 181:89] + node _T_10 = xor(pause_state_in, pause_stall) @[dec_decode_ctl.scala 183:54] + node _T_11 = or(_T_9, _T_10) @[dec_decode_ctl.scala 182:89] + node _T_12 = xor(ps_stall_in, postsync_stall) @[dec_decode_ctl.scala 184:54] + node _T_13 = or(_T_11, _T_12) @[dec_decode_ctl.scala 183:89] + node _T_14 = xor(io.exu_flush_final, flush_final_r) @[dec_decode_ctl.scala 185:54] + node _T_15 = or(_T_13, _T_14) @[dec_decode_ctl.scala 184:89] + node _T_16 = xor(illegal_lockout_in, illegal_lockout) @[dec_decode_ctl.scala 186:54] + node data_gate_en = or(_T_15, _T_16) @[dec_decode_ctl.scala 185:89] + node _T_17 = bits(data_gate_en, 0, 0) @[dec_decode_ctl.scala 189:57] inst rvclkhdr of rvclkhdr_661 @[lib.scala 343:22] rvclkhdr.clock <= clock rvclkhdr.reset <= reset rvclkhdr.io.clk <= clock @[lib.scala 344:17] rvclkhdr.io.en <= _T_17 @[lib.scala 345:16] rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - node _T_18 = eq(leak1_mode, UInt<1>("h00")) @[dec_decode_ctl.scala 196:62] - node i0_brp_valid = and(io.dec_i0_brp.valid, _T_18) @[dec_decode_ctl.scala 196:60] - io.decode_exu.dec_i0_predict_p_d.bits.misp <= UInt<1>("h00") @[dec_decode_ctl.scala 197:54] - io.decode_exu.dec_i0_predict_p_d.bits.ataken <= UInt<1>("h00") @[dec_decode_ctl.scala 198:54] - io.decode_exu.dec_i0_predict_p_d.bits.boffset <= UInt<1>("h00") @[dec_decode_ctl.scala 199:54] - io.decode_exu.dec_i0_predict_p_d.bits.pcall <= i0_pcall @[dec_decode_ctl.scala 200:54] - io.decode_exu.dec_i0_predict_p_d.bits.pja <= i0_pja @[dec_decode_ctl.scala 201:54] - io.decode_exu.dec_i0_predict_p_d.bits.pret <= i0_pret @[dec_decode_ctl.scala 202:54] - io.decode_exu.dec_i0_predict_p_d.bits.prett <= io.dec_i0_brp.bits.prett @[dec_decode_ctl.scala 203:54] - io.decode_exu.dec_i0_predict_p_d.bits.pc4 <= io.dec_i0_pc4_d @[dec_decode_ctl.scala 204:54] - io.decode_exu.dec_i0_predict_p_d.bits.hist <= io.dec_i0_brp.bits.hist @[dec_decode_ctl.scala 205:54] - node _T_19 = and(i0_brp_valid, i0_legal_decode_d) @[dec_decode_ctl.scala 206:66] - io.decode_exu.dec_i0_predict_p_d.valid <= _T_19 @[dec_decode_ctl.scala 206:49] - node _T_20 = or(i0_dp_raw.condbr, i0_pcall_raw) @[dec_decode_ctl.scala 207:75] - node _T_21 = or(_T_20, i0_pja_raw) @[dec_decode_ctl.scala 207:90] - node _T_22 = or(_T_21, i0_pret_raw) @[dec_decode_ctl.scala 207:103] - node _T_23 = eq(_T_22, UInt<1>("h00")) @[dec_decode_ctl.scala 207:56] - node i0_notbr_error = and(i0_brp_valid, _T_23) @[dec_decode_ctl.scala 207:54] - node _T_24 = bits(io.dec_i0_brp.bits.hist, 1, 1) @[dec_decode_ctl.scala 210:72] - node _T_25 = and(i0_brp_valid, _T_24) @[dec_decode_ctl.scala 210:47] - node _T_26 = neq(io.dec_i0_brp.bits.toffset, i0_br_offset) @[dec_decode_ctl.scala 210:106] - node _T_27 = and(_T_25, _T_26) @[dec_decode_ctl.scala 210:76] - node _T_28 = eq(i0_pret_raw, UInt<1>("h00")) @[dec_decode_ctl.scala 210:126] - node i0_br_toffset_error = and(_T_27, _T_28) @[dec_decode_ctl.scala 210:124] - node _T_29 = and(i0_brp_valid, io.dec_i0_brp.bits.ret) @[dec_decode_ctl.scala 211:47] - node _T_30 = eq(i0_pret_raw, UInt<1>("h00")) @[dec_decode_ctl.scala 211:74] - node i0_ret_error = and(_T_29, _T_30) @[dec_decode_ctl.scala 211:72] - node _T_31 = or(io.dec_i0_brp.bits.br_error, i0_notbr_error) @[dec_decode_ctl.scala 212:62] - node _T_32 = or(_T_31, i0_br_toffset_error) @[dec_decode_ctl.scala 212:79] - node i0_br_error = or(_T_32, i0_ret_error) @[dec_decode_ctl.scala 212:101] - node _T_33 = and(i0_br_error, i0_legal_decode_d) @[dec_decode_ctl.scala 213:83] - node _T_34 = eq(leak1_mode, UInt<1>("h00")) @[dec_decode_ctl.scala 213:105] - node _T_35 = and(_T_33, _T_34) @[dec_decode_ctl.scala 213:103] - io.decode_exu.dec_i0_predict_p_d.bits.br_error <= _T_35 @[dec_decode_ctl.scala 213:67] - node _T_36 = and(io.dec_i0_brp.bits.br_start_error, i0_legal_decode_d) @[dec_decode_ctl.scala 214:105] - node _T_37 = eq(leak1_mode, UInt<1>("h00")) @[dec_decode_ctl.scala 214:127] - node _T_38 = and(_T_36, _T_37) @[dec_decode_ctl.scala 214:125] - io.decode_exu.dec_i0_predict_p_d.bits.br_start_error <= _T_38 @[dec_decode_ctl.scala 214:67] - io.decode_exu.i0_predict_index_d <= io.dec_i0_bp_index @[dec_decode_ctl.scala 215:43] - io.decode_exu.i0_predict_btag_d <= io.dec_i0_bp_btag @[dec_decode_ctl.scala 216:43] - node _T_39 = or(i0_br_error, io.dec_i0_brp.bits.br_start_error) @[dec_decode_ctl.scala 217:47] - node _T_40 = eq(leak1_mode, UInt<1>("h00")) @[dec_decode_ctl.scala 217:86] - node i0_br_error_all = and(_T_39, _T_40) @[dec_decode_ctl.scala 217:84] - io.decode_exu.dec_i0_predict_p_d.bits.toffset <= i0_br_offset @[dec_decode_ctl.scala 218:60] - io.decode_exu.i0_predict_fghr_d <= io.dec_i0_bp_fghr @[dec_decode_ctl.scala 219:43] - io.decode_exu.dec_i0_predict_p_d.bits.way <= io.dec_i0_brp.bits.way @[dec_decode_ctl.scala 220:67] - node i0_icaf_d = or(io.dec_i0_icaf_d, io.dec_i0_dbecc_d) @[dec_decode_ctl.scala 226:36] - i0_dp.legal <= i0_dp_raw.legal @[dec_decode_ctl.scala 229:9] - i0_dp.pm_alu <= i0_dp_raw.pm_alu @[dec_decode_ctl.scala 229:9] - i0_dp.fence_i <= i0_dp_raw.fence_i @[dec_decode_ctl.scala 229:9] - i0_dp.fence <= i0_dp_raw.fence @[dec_decode_ctl.scala 229:9] - i0_dp.rem <= i0_dp_raw.rem @[dec_decode_ctl.scala 229:9] - i0_dp.div <= i0_dp_raw.div @[dec_decode_ctl.scala 229:9] - i0_dp.low <= i0_dp_raw.low @[dec_decode_ctl.scala 229:9] - i0_dp.rs2_sign <= i0_dp_raw.rs2_sign @[dec_decode_ctl.scala 229:9] - i0_dp.rs1_sign <= i0_dp_raw.rs1_sign @[dec_decode_ctl.scala 229:9] - i0_dp.mul <= i0_dp_raw.mul @[dec_decode_ctl.scala 229:9] - i0_dp.mret <= i0_dp_raw.mret @[dec_decode_ctl.scala 229:9] - i0_dp.ecall <= i0_dp_raw.ecall @[dec_decode_ctl.scala 229:9] - i0_dp.ebreak <= i0_dp_raw.ebreak @[dec_decode_ctl.scala 229:9] - i0_dp.postsync <= i0_dp_raw.postsync @[dec_decode_ctl.scala 229:9] - i0_dp.presync <= i0_dp_raw.presync @[dec_decode_ctl.scala 229:9] - i0_dp.csr_imm <= i0_dp_raw.csr_imm @[dec_decode_ctl.scala 229:9] - i0_dp.csr_write <= i0_dp_raw.csr_write @[dec_decode_ctl.scala 229:9] - i0_dp.csr_set <= i0_dp_raw.csr_set @[dec_decode_ctl.scala 229:9] - i0_dp.csr_clr <= i0_dp_raw.csr_clr @[dec_decode_ctl.scala 229:9] - i0_dp.csr_read <= i0_dp_raw.csr_read @[dec_decode_ctl.scala 229:9] - i0_dp.word <= i0_dp_raw.word @[dec_decode_ctl.scala 229:9] - i0_dp.half <= i0_dp_raw.half @[dec_decode_ctl.scala 229:9] - i0_dp.by <= i0_dp_raw.by @[dec_decode_ctl.scala 229:9] - i0_dp.jal <= i0_dp_raw.jal @[dec_decode_ctl.scala 229:9] - i0_dp.blt <= i0_dp_raw.blt @[dec_decode_ctl.scala 229:9] - i0_dp.bge <= i0_dp_raw.bge @[dec_decode_ctl.scala 229:9] - i0_dp.bne <= i0_dp_raw.bne @[dec_decode_ctl.scala 229:9] - i0_dp.beq <= i0_dp_raw.beq @[dec_decode_ctl.scala 229:9] - i0_dp.condbr <= i0_dp_raw.condbr @[dec_decode_ctl.scala 229:9] - i0_dp.unsign <= i0_dp_raw.unsign @[dec_decode_ctl.scala 229:9] - i0_dp.slt <= i0_dp_raw.slt @[dec_decode_ctl.scala 229:9] - i0_dp.srl <= i0_dp_raw.srl @[dec_decode_ctl.scala 229:9] - i0_dp.sra <= i0_dp_raw.sra @[dec_decode_ctl.scala 229:9] - i0_dp.sll <= i0_dp_raw.sll @[dec_decode_ctl.scala 229:9] - i0_dp.lxor <= i0_dp_raw.lxor @[dec_decode_ctl.scala 229:9] - i0_dp.lor <= i0_dp_raw.lor @[dec_decode_ctl.scala 229:9] - i0_dp.land <= i0_dp_raw.land @[dec_decode_ctl.scala 229:9] - i0_dp.sub <= i0_dp_raw.sub @[dec_decode_ctl.scala 229:9] - i0_dp.add <= i0_dp_raw.add @[dec_decode_ctl.scala 229:9] - i0_dp.lsu <= i0_dp_raw.lsu @[dec_decode_ctl.scala 229:9] - i0_dp.store <= i0_dp_raw.store @[dec_decode_ctl.scala 229:9] - i0_dp.load <= i0_dp_raw.load @[dec_decode_ctl.scala 229:9] - i0_dp.pc <= i0_dp_raw.pc @[dec_decode_ctl.scala 229:9] - i0_dp.imm20 <= i0_dp_raw.imm20 @[dec_decode_ctl.scala 229:9] - i0_dp.shimm5 <= i0_dp_raw.shimm5 @[dec_decode_ctl.scala 229:9] - i0_dp.rd <= i0_dp_raw.rd @[dec_decode_ctl.scala 229:9] - i0_dp.imm12 <= i0_dp_raw.imm12 @[dec_decode_ctl.scala 229:9] - i0_dp.rs2 <= i0_dp_raw.rs2 @[dec_decode_ctl.scala 229:9] - i0_dp.rs1 <= i0_dp_raw.rs1 @[dec_decode_ctl.scala 229:9] - i0_dp.alu <= i0_dp_raw.alu @[dec_decode_ctl.scala 229:9] - node _T_41 = or(i0_br_error_all, i0_icaf_d) @[dec_decode_ctl.scala 230:25] - node _T_42 = bits(_T_41, 0, 0) @[dec_decode_ctl.scala 230:43] - when _T_42 : @[dec_decode_ctl.scala 230:50] - wire _T_43 : {alu : UInt<1>, rs1 : UInt<1>, rs2 : UInt<1>, imm12 : UInt<1>, rd : UInt<1>, shimm5 : UInt<1>, imm20 : UInt<1>, pc : UInt<1>, load : UInt<1>, store : UInt<1>, lsu : UInt<1>, add : UInt<1>, sub : UInt<1>, land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, sra : UInt<1>, srl : UInt<1>, slt : UInt<1>, unsign : UInt<1>, condbr : UInt<1>, beq : UInt<1>, bne : UInt<1>, bge : UInt<1>, blt : UInt<1>, jal : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, csr_read : UInt<1>, csr_clr : UInt<1>, csr_set : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>, presync : UInt<1>, postsync : UInt<1>, ebreak : UInt<1>, ecall : UInt<1>, mret : UInt<1>, mul : UInt<1>, rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, div : UInt<1>, rem : UInt<1>, fence : UInt<1>, fence_i : UInt<1>, pm_alu : UInt<1>, legal : UInt<1>} @[dec_decode_ctl.scala 231:35] - _T_43.legal <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.pm_alu <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.fence_i <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.fence <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.rem <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.div <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.low <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.rs2_sign <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.rs1_sign <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.mul <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.mret <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.ecall <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.ebreak <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.postsync <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.presync <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.csr_imm <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.csr_write <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.csr_set <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.csr_clr <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.csr_read <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.word <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.half <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.by <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.jal <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.blt <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.bge <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.bne <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.beq <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.condbr <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.unsign <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.slt <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.srl <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.sra <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.sll <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.lxor <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.lor <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.land <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.sub <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.add <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.lsu <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.store <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.load <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.pc <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.imm20 <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.shimm5 <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.rd <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.imm12 <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.rs2 <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.rs1 <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - _T_43.alu <= UInt<1>("h00") @[dec_decode_ctl.scala 231:35] - i0_dp.legal <= _T_43.legal @[dec_decode_ctl.scala 231:20] - i0_dp.pm_alu <= _T_43.pm_alu @[dec_decode_ctl.scala 231:20] - i0_dp.fence_i <= _T_43.fence_i @[dec_decode_ctl.scala 231:20] - i0_dp.fence <= _T_43.fence @[dec_decode_ctl.scala 231:20] - i0_dp.rem <= _T_43.rem @[dec_decode_ctl.scala 231:20] - i0_dp.div <= _T_43.div @[dec_decode_ctl.scala 231:20] - i0_dp.low <= _T_43.low @[dec_decode_ctl.scala 231:20] - i0_dp.rs2_sign <= _T_43.rs2_sign @[dec_decode_ctl.scala 231:20] - i0_dp.rs1_sign <= _T_43.rs1_sign @[dec_decode_ctl.scala 231:20] - i0_dp.mul <= _T_43.mul @[dec_decode_ctl.scala 231:20] - i0_dp.mret <= _T_43.mret @[dec_decode_ctl.scala 231:20] - i0_dp.ecall <= _T_43.ecall @[dec_decode_ctl.scala 231:20] - i0_dp.ebreak <= _T_43.ebreak @[dec_decode_ctl.scala 231:20] - i0_dp.postsync <= _T_43.postsync @[dec_decode_ctl.scala 231:20] - i0_dp.presync <= _T_43.presync @[dec_decode_ctl.scala 231:20] - i0_dp.csr_imm <= _T_43.csr_imm @[dec_decode_ctl.scala 231:20] - i0_dp.csr_write <= _T_43.csr_write @[dec_decode_ctl.scala 231:20] - i0_dp.csr_set <= _T_43.csr_set @[dec_decode_ctl.scala 231:20] - i0_dp.csr_clr <= _T_43.csr_clr @[dec_decode_ctl.scala 231:20] - i0_dp.csr_read <= _T_43.csr_read @[dec_decode_ctl.scala 231:20] - i0_dp.word <= _T_43.word @[dec_decode_ctl.scala 231:20] - i0_dp.half <= _T_43.half @[dec_decode_ctl.scala 231:20] - i0_dp.by <= _T_43.by @[dec_decode_ctl.scala 231:20] - i0_dp.jal <= _T_43.jal @[dec_decode_ctl.scala 231:20] - i0_dp.blt <= _T_43.blt @[dec_decode_ctl.scala 231:20] - i0_dp.bge <= _T_43.bge @[dec_decode_ctl.scala 231:20] - i0_dp.bne <= _T_43.bne @[dec_decode_ctl.scala 231:20] - i0_dp.beq <= _T_43.beq @[dec_decode_ctl.scala 231:20] - i0_dp.condbr <= _T_43.condbr @[dec_decode_ctl.scala 231:20] - i0_dp.unsign <= _T_43.unsign @[dec_decode_ctl.scala 231:20] - i0_dp.slt <= _T_43.slt @[dec_decode_ctl.scala 231:20] - i0_dp.srl <= _T_43.srl @[dec_decode_ctl.scala 231:20] - i0_dp.sra <= _T_43.sra @[dec_decode_ctl.scala 231:20] - i0_dp.sll <= _T_43.sll @[dec_decode_ctl.scala 231:20] - i0_dp.lxor <= _T_43.lxor @[dec_decode_ctl.scala 231:20] - i0_dp.lor <= _T_43.lor @[dec_decode_ctl.scala 231:20] - i0_dp.land <= _T_43.land @[dec_decode_ctl.scala 231:20] - i0_dp.sub <= _T_43.sub @[dec_decode_ctl.scala 231:20] - i0_dp.add <= _T_43.add @[dec_decode_ctl.scala 231:20] - i0_dp.lsu <= _T_43.lsu @[dec_decode_ctl.scala 231:20] - i0_dp.store <= _T_43.store @[dec_decode_ctl.scala 231:20] - i0_dp.load <= _T_43.load @[dec_decode_ctl.scala 231:20] - i0_dp.pc <= _T_43.pc @[dec_decode_ctl.scala 231:20] - i0_dp.imm20 <= _T_43.imm20 @[dec_decode_ctl.scala 231:20] - i0_dp.shimm5 <= _T_43.shimm5 @[dec_decode_ctl.scala 231:20] - i0_dp.rd <= _T_43.rd @[dec_decode_ctl.scala 231:20] - i0_dp.imm12 <= _T_43.imm12 @[dec_decode_ctl.scala 231:20] - i0_dp.rs2 <= _T_43.rs2 @[dec_decode_ctl.scala 231:20] - i0_dp.rs1 <= _T_43.rs1 @[dec_decode_ctl.scala 231:20] - i0_dp.alu <= _T_43.alu @[dec_decode_ctl.scala 231:20] - i0_dp.alu <= UInt<1>("h01") @[dec_decode_ctl.scala 232:20] - i0_dp.rs1 <= UInt<1>("h01") @[dec_decode_ctl.scala 233:20] - i0_dp.rs2 <= UInt<1>("h01") @[dec_decode_ctl.scala 234:20] - i0_dp.lor <= UInt<1>("h01") @[dec_decode_ctl.scala 235:20] - i0_dp.legal <= UInt<1>("h01") @[dec_decode_ctl.scala 236:20] - i0_dp.postsync <= UInt<1>("h01") @[dec_decode_ctl.scala 237:20] - skip @[dec_decode_ctl.scala 230:50] - io.decode_exu.dec_i0_select_pc_d <= i0_dp.pc @[dec_decode_ctl.scala 241:36] - node _T_44 = or(i0_dp.condbr, i0_pcall) @[dec_decode_ctl.scala 244:40] - node _T_45 = or(_T_44, i0_pja) @[dec_decode_ctl.scala 244:51] - node i0_predict_br = or(_T_45, i0_pret) @[dec_decode_ctl.scala 244:60] - node _T_46 = bits(io.dec_i0_brp.bits.hist, 1, 1) @[dec_decode_ctl.scala 246:51] - node _T_47 = and(_T_46, i0_brp_valid) @[dec_decode_ctl.scala 246:55] - node _T_48 = eq(_T_47, UInt<1>("h00")) @[dec_decode_ctl.scala 246:26] - node i0_predict_nt = and(_T_48, i0_predict_br) @[dec_decode_ctl.scala 246:71] - node _T_49 = bits(io.dec_i0_brp.bits.hist, 1, 1) @[dec_decode_ctl.scala 247:51] - node _T_50 = and(_T_49, i0_brp_valid) @[dec_decode_ctl.scala 247:55] - node i0_predict_t = and(_T_50, i0_predict_br) @[dec_decode_ctl.scala 247:71] - node i0_ap_pc2 = eq(io.dec_i0_pc4_d, UInt<1>("h00")) @[dec_decode_ctl.scala 248:20] - io.decode_exu.i0_ap.predict_nt <= i0_predict_nt @[dec_decode_ctl.scala 250:37] - io.decode_exu.i0_ap.predict_t <= i0_predict_t @[dec_decode_ctl.scala 251:37] - io.decode_exu.i0_ap.add <= i0_dp.add @[dec_decode_ctl.scala 253:31] - io.decode_exu.i0_ap.sub <= i0_dp.sub @[dec_decode_ctl.scala 254:31] - io.decode_exu.i0_ap.land <= i0_dp.land @[dec_decode_ctl.scala 255:31] - io.decode_exu.i0_ap.lor <= i0_dp.lor @[dec_decode_ctl.scala 256:31] - io.decode_exu.i0_ap.lxor <= i0_dp.lxor @[dec_decode_ctl.scala 257:31] - io.decode_exu.i0_ap.sll <= i0_dp.sll @[dec_decode_ctl.scala 258:31] - io.decode_exu.i0_ap.srl <= i0_dp.srl @[dec_decode_ctl.scala 259:31] - io.decode_exu.i0_ap.sra <= i0_dp.sra @[dec_decode_ctl.scala 260:31] - io.decode_exu.i0_ap.slt <= i0_dp.slt @[dec_decode_ctl.scala 261:31] - io.decode_exu.i0_ap.unsign <= i0_dp.unsign @[dec_decode_ctl.scala 262:31] - io.decode_exu.i0_ap.beq <= i0_dp.beq @[dec_decode_ctl.scala 263:31] - io.decode_exu.i0_ap.bne <= i0_dp.bne @[dec_decode_ctl.scala 264:31] - io.decode_exu.i0_ap.blt <= i0_dp.blt @[dec_decode_ctl.scala 265:31] - io.decode_exu.i0_ap.bge <= i0_dp.bge @[dec_decode_ctl.scala 266:31] - io.decode_exu.i0_ap.csr_write <= i0_csr_write_only_d @[dec_decode_ctl.scala 267:33] - io.decode_exu.i0_ap.csr_imm <= i0_dp.csr_imm @[dec_decode_ctl.scala 268:33] - io.decode_exu.i0_ap.jal <= i0_jal @[dec_decode_ctl.scala 269:33] - node _T_51 = eq(cam[0].valid, UInt<1>("h00")) @[dec_decode_ctl.scala 273:78] - node _T_52 = bits(_T_51, 0, 0) @[dec_decode_ctl.scala 273:137] - node _T_53 = shl(cam_write, 0) @[dec_decode_ctl.scala 273:158] - node _T_54 = eq(cam[1].valid, UInt<1>("h00")) @[dec_decode_ctl.scala 273:78] - node _T_55 = bits(cam[0].valid, 0, 0) @[dec_decode_ctl.scala 273:120] - node _T_56 = bits(_T_54, 0, 0) @[dec_decode_ctl.scala 273:129] - node _T_57 = and(_T_55, _T_56) @[dec_decode_ctl.scala 273:126] - node _T_58 = bits(_T_57, 0, 0) @[dec_decode_ctl.scala 273:137] - node _T_59 = shl(cam_write, 1) @[dec_decode_ctl.scala 273:158] - node _T_60 = eq(cam[2].valid, UInt<1>("h00")) @[dec_decode_ctl.scala 273:78] - node _T_61 = bits(cam[0].valid, 0, 0) @[dec_decode_ctl.scala 273:120] - node _T_62 = bits(cam[1].valid, 0, 0) @[dec_decode_ctl.scala 273:129] - node _T_63 = and(_T_61, _T_62) @[dec_decode_ctl.scala 273:126] - node _T_64 = bits(_T_63, 0, 0) @[dec_decode_ctl.scala 273:120] - node _T_65 = bits(_T_60, 0, 0) @[dec_decode_ctl.scala 273:129] - node _T_66 = and(_T_64, _T_65) @[dec_decode_ctl.scala 273:126] - node _T_67 = bits(_T_66, 0, 0) @[dec_decode_ctl.scala 273:137] - node _T_68 = shl(cam_write, 2) @[dec_decode_ctl.scala 273:158] - node _T_69 = eq(cam[3].valid, UInt<1>("h00")) @[dec_decode_ctl.scala 273:78] - node _T_70 = bits(cam[0].valid, 0, 0) @[dec_decode_ctl.scala 273:120] - node _T_71 = bits(cam[1].valid, 0, 0) @[dec_decode_ctl.scala 273:129] - node _T_72 = and(_T_70, _T_71) @[dec_decode_ctl.scala 273:126] - node _T_73 = bits(_T_72, 0, 0) @[dec_decode_ctl.scala 273:120] - node _T_74 = bits(cam[2].valid, 0, 0) @[dec_decode_ctl.scala 273:129] - node _T_75 = and(_T_73, _T_74) @[dec_decode_ctl.scala 273:126] - node _T_76 = bits(_T_75, 0, 0) @[dec_decode_ctl.scala 273:120] - node _T_77 = bits(_T_69, 0, 0) @[dec_decode_ctl.scala 273:129] - node _T_78 = and(_T_76, _T_77) @[dec_decode_ctl.scala 273:126] - node _T_79 = bits(_T_78, 0, 0) @[dec_decode_ctl.scala 273:137] - node _T_80 = shl(cam_write, 3) @[dec_decode_ctl.scala 273:158] + node _T_18 = eq(leak1_mode, UInt<1>("h00")) @[dec_decode_ctl.scala 192:80] + node i0_brp_valid = and(io.dec_i0_brp.valid, _T_18) @[dec_decode_ctl.scala 192:78] + io.decode_exu.dec_i0_predict_p_d.bits.misp <= UInt<1>("h00") @[dec_decode_ctl.scala 193:55] + io.decode_exu.dec_i0_predict_p_d.bits.ataken <= UInt<1>("h00") @[dec_decode_ctl.scala 194:55] + io.decode_exu.dec_i0_predict_p_d.bits.boffset <= UInt<1>("h00") @[dec_decode_ctl.scala 195:55] + io.decode_exu.dec_i0_predict_p_d.bits.pcall <= i0_pcall @[dec_decode_ctl.scala 196:55] + io.decode_exu.dec_i0_predict_p_d.bits.pja <= i0_pja @[dec_decode_ctl.scala 197:55] + io.decode_exu.dec_i0_predict_p_d.bits.pret <= i0_pret @[dec_decode_ctl.scala 198:55] + io.decode_exu.dec_i0_predict_p_d.bits.prett <= io.dec_i0_brp.bits.prett @[dec_decode_ctl.scala 199:55] + io.decode_exu.dec_i0_predict_p_d.bits.pc4 <= io.dec_i0_pc4_d @[dec_decode_ctl.scala 200:55] + io.decode_exu.dec_i0_predict_p_d.bits.hist <= io.dec_i0_brp.bits.hist @[dec_decode_ctl.scala 201:55] + node _T_19 = and(i0_brp_valid, i0_legal_decode_d) @[dec_decode_ctl.scala 202:71] + io.decode_exu.dec_i0_predict_p_d.valid <= _T_19 @[dec_decode_ctl.scala 202:55] + node _T_20 = or(i0_dp_raw.condbr, i0_pcall_raw) @[dec_decode_ctl.scala 203:92] + node _T_21 = or(_T_20, i0_pja_raw) @[dec_decode_ctl.scala 203:107] + node _T_22 = or(_T_21, i0_pret_raw) @[dec_decode_ctl.scala 203:120] + node _T_23 = eq(_T_22, UInt<1>("h00")) @[dec_decode_ctl.scala 203:73] + node i0_notbr_error = and(i0_brp_valid, _T_23) @[dec_decode_ctl.scala 203:71] + node _T_24 = bits(io.dec_i0_brp.bits.hist, 1, 1) @[dec_decode_ctl.scala 206:97] + node _T_25 = and(i0_brp_valid, _T_24) @[dec_decode_ctl.scala 206:72] + node _T_26 = neq(io.dec_i0_brp.bits.toffset, i0_br_offset) @[dec_decode_ctl.scala 206:131] + node _T_27 = and(_T_25, _T_26) @[dec_decode_ctl.scala 206:101] + node _T_28 = eq(i0_pret_raw, UInt<1>("h00")) @[dec_decode_ctl.scala 206:151] + node i0_br_toffset_error = and(_T_27, _T_28) @[dec_decode_ctl.scala 206:149] + node _T_29 = and(i0_brp_valid, io.dec_i0_brp.bits.ret) @[dec_decode_ctl.scala 207:72] + node _T_30 = eq(i0_pret_raw, UInt<1>("h00")) @[dec_decode_ctl.scala 207:99] + node i0_ret_error = and(_T_29, _T_30) @[dec_decode_ctl.scala 207:97] + node _T_31 = or(io.dec_i0_brp.bits.br_error, i0_notbr_error) @[dec_decode_ctl.scala 208:87] + node _T_32 = or(_T_31, i0_br_toffset_error) @[dec_decode_ctl.scala 208:104] + node i0_br_error = or(_T_32, i0_ret_error) @[dec_decode_ctl.scala 208:126] + node _T_33 = and(i0_br_error, i0_legal_decode_d) @[dec_decode_ctl.scala 209:72] + node _T_34 = eq(leak1_mode, UInt<1>("h00")) @[dec_decode_ctl.scala 209:94] + node _T_35 = and(_T_33, _T_34) @[dec_decode_ctl.scala 209:92] + io.decode_exu.dec_i0_predict_p_d.bits.br_error <= _T_35 @[dec_decode_ctl.scala 209:56] + node _T_36 = and(io.dec_i0_brp.bits.br_start_error, i0_legal_decode_d) @[dec_decode_ctl.scala 210:94] + node _T_37 = eq(leak1_mode, UInt<1>("h00")) @[dec_decode_ctl.scala 210:116] + node _T_38 = and(_T_36, _T_37) @[dec_decode_ctl.scala 210:114] + io.decode_exu.dec_i0_predict_p_d.bits.br_start_error <= _T_38 @[dec_decode_ctl.scala 210:56] + io.decode_exu.i0_predict_index_d <= io.dec_i0_bp_index @[dec_decode_ctl.scala 211:56] + io.decode_exu.i0_predict_btag_d <= io.dec_i0_bp_btag @[dec_decode_ctl.scala 212:56] + node _T_39 = or(i0_br_error, io.dec_i0_brp.bits.br_start_error) @[dec_decode_ctl.scala 213:72] + node _T_40 = eq(leak1_mode, UInt<1>("h00")) @[dec_decode_ctl.scala 213:111] + node i0_br_error_all = and(_T_39, _T_40) @[dec_decode_ctl.scala 213:109] + io.decode_exu.dec_i0_predict_p_d.bits.toffset <= i0_br_offset @[dec_decode_ctl.scala 214:56] + io.decode_exu.i0_predict_fghr_d <= io.dec_i0_bp_fghr @[dec_decode_ctl.scala 215:56] + io.decode_exu.dec_i0_predict_p_d.bits.way <= io.dec_i0_brp.bits.way @[dec_decode_ctl.scala 216:56] + node i0_icaf_d = or(io.dec_i0_icaf_d, io.dec_i0_dbecc_d) @[dec_decode_ctl.scala 222:43] + i0_dp.legal <= i0_dp_raw.legal @[dec_decode_ctl.scala 224:23] + i0_dp.pm_alu <= i0_dp_raw.pm_alu @[dec_decode_ctl.scala 224:23] + i0_dp.fence_i <= i0_dp_raw.fence_i @[dec_decode_ctl.scala 224:23] + i0_dp.fence <= i0_dp_raw.fence @[dec_decode_ctl.scala 224:23] + i0_dp.rem <= i0_dp_raw.rem @[dec_decode_ctl.scala 224:23] + i0_dp.div <= i0_dp_raw.div @[dec_decode_ctl.scala 224:23] + i0_dp.low <= i0_dp_raw.low @[dec_decode_ctl.scala 224:23] + i0_dp.rs2_sign <= i0_dp_raw.rs2_sign @[dec_decode_ctl.scala 224:23] + i0_dp.rs1_sign <= i0_dp_raw.rs1_sign @[dec_decode_ctl.scala 224:23] + i0_dp.mul <= i0_dp_raw.mul @[dec_decode_ctl.scala 224:23] + i0_dp.mret <= i0_dp_raw.mret @[dec_decode_ctl.scala 224:23] + i0_dp.ecall <= i0_dp_raw.ecall @[dec_decode_ctl.scala 224:23] + i0_dp.ebreak <= i0_dp_raw.ebreak @[dec_decode_ctl.scala 224:23] + i0_dp.postsync <= i0_dp_raw.postsync @[dec_decode_ctl.scala 224:23] + i0_dp.presync <= i0_dp_raw.presync @[dec_decode_ctl.scala 224:23] + i0_dp.csr_imm <= i0_dp_raw.csr_imm @[dec_decode_ctl.scala 224:23] + i0_dp.csr_write <= i0_dp_raw.csr_write @[dec_decode_ctl.scala 224:23] + i0_dp.csr_set <= i0_dp_raw.csr_set @[dec_decode_ctl.scala 224:23] + i0_dp.csr_clr <= i0_dp_raw.csr_clr @[dec_decode_ctl.scala 224:23] + i0_dp.csr_read <= i0_dp_raw.csr_read @[dec_decode_ctl.scala 224:23] + i0_dp.word <= i0_dp_raw.word @[dec_decode_ctl.scala 224:23] + i0_dp.half <= i0_dp_raw.half @[dec_decode_ctl.scala 224:23] + i0_dp.by <= i0_dp_raw.by @[dec_decode_ctl.scala 224:23] + i0_dp.jal <= i0_dp_raw.jal @[dec_decode_ctl.scala 224:23] + i0_dp.blt <= i0_dp_raw.blt @[dec_decode_ctl.scala 224:23] + i0_dp.bge <= i0_dp_raw.bge @[dec_decode_ctl.scala 224:23] + i0_dp.bne <= i0_dp_raw.bne @[dec_decode_ctl.scala 224:23] + i0_dp.beq <= i0_dp_raw.beq @[dec_decode_ctl.scala 224:23] + i0_dp.condbr <= i0_dp_raw.condbr @[dec_decode_ctl.scala 224:23] + i0_dp.unsign <= i0_dp_raw.unsign @[dec_decode_ctl.scala 224:23] + i0_dp.slt <= i0_dp_raw.slt @[dec_decode_ctl.scala 224:23] + i0_dp.srl <= i0_dp_raw.srl @[dec_decode_ctl.scala 224:23] + i0_dp.sra <= i0_dp_raw.sra @[dec_decode_ctl.scala 224:23] + i0_dp.sll <= i0_dp_raw.sll @[dec_decode_ctl.scala 224:23] + i0_dp.lxor <= i0_dp_raw.lxor @[dec_decode_ctl.scala 224:23] + i0_dp.lor <= i0_dp_raw.lor @[dec_decode_ctl.scala 224:23] + i0_dp.land <= i0_dp_raw.land @[dec_decode_ctl.scala 224:23] + i0_dp.sub <= i0_dp_raw.sub @[dec_decode_ctl.scala 224:23] + i0_dp.add <= i0_dp_raw.add @[dec_decode_ctl.scala 224:23] + i0_dp.lsu <= i0_dp_raw.lsu @[dec_decode_ctl.scala 224:23] + i0_dp.store <= i0_dp_raw.store @[dec_decode_ctl.scala 224:23] + i0_dp.load <= i0_dp_raw.load @[dec_decode_ctl.scala 224:23] + i0_dp.pc <= i0_dp_raw.pc @[dec_decode_ctl.scala 224:23] + i0_dp.imm20 <= i0_dp_raw.imm20 @[dec_decode_ctl.scala 224:23] + i0_dp.shimm5 <= i0_dp_raw.shimm5 @[dec_decode_ctl.scala 224:23] + i0_dp.rd <= i0_dp_raw.rd @[dec_decode_ctl.scala 224:23] + i0_dp.imm12 <= i0_dp_raw.imm12 @[dec_decode_ctl.scala 224:23] + i0_dp.rs2 <= i0_dp_raw.rs2 @[dec_decode_ctl.scala 224:23] + i0_dp.rs1 <= i0_dp_raw.rs1 @[dec_decode_ctl.scala 224:23] + i0_dp.alu <= i0_dp_raw.alu @[dec_decode_ctl.scala 224:23] + node _T_41 = or(i0_br_error_all, i0_icaf_d) @[dec_decode_ctl.scala 225:25] + node _T_42 = bits(_T_41, 0, 0) @[dec_decode_ctl.scala 225:43] + when _T_42 : @[dec_decode_ctl.scala 225:50] + wire _T_43 : {alu : UInt<1>, rs1 : UInt<1>, rs2 : UInt<1>, imm12 : UInt<1>, rd : UInt<1>, shimm5 : UInt<1>, imm20 : UInt<1>, pc : UInt<1>, load : UInt<1>, store : UInt<1>, lsu : UInt<1>, add : UInt<1>, sub : UInt<1>, land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, sra : UInt<1>, srl : UInt<1>, slt : UInt<1>, unsign : UInt<1>, condbr : UInt<1>, beq : UInt<1>, bne : UInt<1>, bge : UInt<1>, blt : UInt<1>, jal : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, csr_read : UInt<1>, csr_clr : UInt<1>, csr_set : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>, presync : UInt<1>, postsync : UInt<1>, ebreak : UInt<1>, ecall : UInt<1>, mret : UInt<1>, mul : UInt<1>, rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, div : UInt<1>, rem : UInt<1>, fence : UInt<1>, fence_i : UInt<1>, pm_alu : UInt<1>, legal : UInt<1>} @[dec_decode_ctl.scala 226:38] + _T_43.legal <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.pm_alu <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.fence_i <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.fence <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.rem <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.div <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.low <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.rs2_sign <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.rs1_sign <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.mul <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.mret <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.ecall <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.ebreak <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.postsync <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.presync <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.csr_imm <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.csr_write <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.csr_set <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.csr_clr <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.csr_read <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.word <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.half <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.by <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.jal <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.blt <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.bge <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.bne <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.beq <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.condbr <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.unsign <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.slt <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.srl <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.sra <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.sll <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.lxor <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.lor <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.land <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.sub <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.add <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.lsu <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.store <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.load <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.pc <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.imm20 <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.shimm5 <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.rd <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.imm12 <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.rs2 <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.rs1 <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + _T_43.alu <= UInt<1>("h00") @[dec_decode_ctl.scala 226:38] + i0_dp.legal <= _T_43.legal @[dec_decode_ctl.scala 226:23] + i0_dp.pm_alu <= _T_43.pm_alu @[dec_decode_ctl.scala 226:23] + i0_dp.fence_i <= _T_43.fence_i @[dec_decode_ctl.scala 226:23] + i0_dp.fence <= _T_43.fence @[dec_decode_ctl.scala 226:23] + i0_dp.rem <= _T_43.rem @[dec_decode_ctl.scala 226:23] + i0_dp.div <= _T_43.div @[dec_decode_ctl.scala 226:23] + i0_dp.low <= _T_43.low @[dec_decode_ctl.scala 226:23] + i0_dp.rs2_sign <= _T_43.rs2_sign @[dec_decode_ctl.scala 226:23] + i0_dp.rs1_sign <= _T_43.rs1_sign @[dec_decode_ctl.scala 226:23] + i0_dp.mul <= _T_43.mul @[dec_decode_ctl.scala 226:23] + i0_dp.mret <= _T_43.mret @[dec_decode_ctl.scala 226:23] + i0_dp.ecall <= _T_43.ecall @[dec_decode_ctl.scala 226:23] + i0_dp.ebreak <= _T_43.ebreak @[dec_decode_ctl.scala 226:23] + i0_dp.postsync <= _T_43.postsync @[dec_decode_ctl.scala 226:23] + i0_dp.presync <= _T_43.presync @[dec_decode_ctl.scala 226:23] + i0_dp.csr_imm <= _T_43.csr_imm @[dec_decode_ctl.scala 226:23] + i0_dp.csr_write <= _T_43.csr_write @[dec_decode_ctl.scala 226:23] + i0_dp.csr_set <= _T_43.csr_set @[dec_decode_ctl.scala 226:23] + i0_dp.csr_clr <= _T_43.csr_clr @[dec_decode_ctl.scala 226:23] + i0_dp.csr_read <= _T_43.csr_read @[dec_decode_ctl.scala 226:23] + i0_dp.word <= _T_43.word @[dec_decode_ctl.scala 226:23] + i0_dp.half <= _T_43.half @[dec_decode_ctl.scala 226:23] + i0_dp.by <= _T_43.by @[dec_decode_ctl.scala 226:23] + i0_dp.jal <= _T_43.jal @[dec_decode_ctl.scala 226:23] + i0_dp.blt <= _T_43.blt @[dec_decode_ctl.scala 226:23] + i0_dp.bge <= _T_43.bge @[dec_decode_ctl.scala 226:23] + i0_dp.bne <= _T_43.bne @[dec_decode_ctl.scala 226:23] + i0_dp.beq <= _T_43.beq @[dec_decode_ctl.scala 226:23] + i0_dp.condbr <= _T_43.condbr @[dec_decode_ctl.scala 226:23] + i0_dp.unsign <= _T_43.unsign @[dec_decode_ctl.scala 226:23] + i0_dp.slt <= _T_43.slt @[dec_decode_ctl.scala 226:23] + i0_dp.srl <= _T_43.srl @[dec_decode_ctl.scala 226:23] + i0_dp.sra <= _T_43.sra @[dec_decode_ctl.scala 226:23] + i0_dp.sll <= _T_43.sll @[dec_decode_ctl.scala 226:23] + i0_dp.lxor <= _T_43.lxor @[dec_decode_ctl.scala 226:23] + i0_dp.lor <= _T_43.lor @[dec_decode_ctl.scala 226:23] + i0_dp.land <= _T_43.land @[dec_decode_ctl.scala 226:23] + i0_dp.sub <= _T_43.sub @[dec_decode_ctl.scala 226:23] + i0_dp.add <= _T_43.add @[dec_decode_ctl.scala 226:23] + i0_dp.lsu <= _T_43.lsu @[dec_decode_ctl.scala 226:23] + i0_dp.store <= _T_43.store @[dec_decode_ctl.scala 226:23] + i0_dp.load <= _T_43.load @[dec_decode_ctl.scala 226:23] + i0_dp.pc <= _T_43.pc @[dec_decode_ctl.scala 226:23] + i0_dp.imm20 <= _T_43.imm20 @[dec_decode_ctl.scala 226:23] + i0_dp.shimm5 <= _T_43.shimm5 @[dec_decode_ctl.scala 226:23] + i0_dp.rd <= _T_43.rd @[dec_decode_ctl.scala 226:23] + i0_dp.imm12 <= _T_43.imm12 @[dec_decode_ctl.scala 226:23] + i0_dp.rs2 <= _T_43.rs2 @[dec_decode_ctl.scala 226:23] + i0_dp.rs1 <= _T_43.rs1 @[dec_decode_ctl.scala 226:23] + i0_dp.alu <= _T_43.alu @[dec_decode_ctl.scala 226:23] + i0_dp.alu <= UInt<1>("h01") @[dec_decode_ctl.scala 227:23] + i0_dp.rs1 <= UInt<1>("h01") @[dec_decode_ctl.scala 228:23] + i0_dp.rs2 <= UInt<1>("h01") @[dec_decode_ctl.scala 229:23] + i0_dp.lor <= UInt<1>("h01") @[dec_decode_ctl.scala 230:23] + i0_dp.legal <= UInt<1>("h01") @[dec_decode_ctl.scala 231:23] + i0_dp.postsync <= UInt<1>("h01") @[dec_decode_ctl.scala 232:23] + skip @[dec_decode_ctl.scala 225:50] + io.decode_exu.dec_i0_select_pc_d <= i0_dp.pc @[dec_decode_ctl.scala 236:36] + node _T_44 = or(i0_dp.condbr, i0_pcall) @[dec_decode_ctl.scala 239:54] + node _T_45 = or(_T_44, i0_pja) @[dec_decode_ctl.scala 239:65] + node i0_predict_br = or(_T_45, i0_pret) @[dec_decode_ctl.scala 239:74] + node _T_46 = bits(io.dec_i0_brp.bits.hist, 1, 1) @[dec_decode_ctl.scala 240:65] + node _T_47 = and(_T_46, i0_brp_valid) @[dec_decode_ctl.scala 240:69] + node _T_48 = eq(_T_47, UInt<1>("h00")) @[dec_decode_ctl.scala 240:40] + node i0_predict_nt = and(_T_48, i0_predict_br) @[dec_decode_ctl.scala 240:85] + node _T_49 = bits(io.dec_i0_brp.bits.hist, 1, 1) @[dec_decode_ctl.scala 241:65] + node _T_50 = and(_T_49, i0_brp_valid) @[dec_decode_ctl.scala 241:69] + node i0_predict_t = and(_T_50, i0_predict_br) @[dec_decode_ctl.scala 241:85] + node i0_ap_pc2 = eq(io.dec_i0_pc4_d, UInt<1>("h00")) @[dec_decode_ctl.scala 242:40] + io.decode_exu.i0_ap.predict_nt <= i0_predict_nt @[dec_decode_ctl.scala 244:37] + io.decode_exu.i0_ap.predict_t <= i0_predict_t @[dec_decode_ctl.scala 245:37] + io.decode_exu.i0_ap.add <= i0_dp.add @[dec_decode_ctl.scala 247:37] + io.decode_exu.i0_ap.sub <= i0_dp.sub @[dec_decode_ctl.scala 248:37] + io.decode_exu.i0_ap.land <= i0_dp.land @[dec_decode_ctl.scala 249:37] + io.decode_exu.i0_ap.lor <= i0_dp.lor @[dec_decode_ctl.scala 250:37] + io.decode_exu.i0_ap.lxor <= i0_dp.lxor @[dec_decode_ctl.scala 251:37] + io.decode_exu.i0_ap.sll <= i0_dp.sll @[dec_decode_ctl.scala 252:37] + io.decode_exu.i0_ap.srl <= i0_dp.srl @[dec_decode_ctl.scala 253:37] + io.decode_exu.i0_ap.sra <= i0_dp.sra @[dec_decode_ctl.scala 254:37] + io.decode_exu.i0_ap.slt <= i0_dp.slt @[dec_decode_ctl.scala 255:37] + io.decode_exu.i0_ap.unsign <= i0_dp.unsign @[dec_decode_ctl.scala 256:37] + io.decode_exu.i0_ap.beq <= i0_dp.beq @[dec_decode_ctl.scala 257:37] + io.decode_exu.i0_ap.bne <= i0_dp.bne @[dec_decode_ctl.scala 258:37] + io.decode_exu.i0_ap.blt <= i0_dp.blt @[dec_decode_ctl.scala 259:37] + io.decode_exu.i0_ap.bge <= i0_dp.bge @[dec_decode_ctl.scala 260:37] + io.decode_exu.i0_ap.csr_write <= i0_csr_write_only_d @[dec_decode_ctl.scala 261:37] + io.decode_exu.i0_ap.csr_imm <= i0_dp.csr_imm @[dec_decode_ctl.scala 262:37] + io.decode_exu.i0_ap.jal <= i0_jal @[dec_decode_ctl.scala 263:37] + node _T_51 = eq(cam[0].valid, UInt<1>("h00")) @[dec_decode_ctl.scala 267:78] + node _T_52 = bits(_T_51, 0, 0) @[dec_decode_ctl.scala 267:137] + node _T_53 = shl(cam_write, 0) @[dec_decode_ctl.scala 267:158] + node _T_54 = eq(cam[1].valid, UInt<1>("h00")) @[dec_decode_ctl.scala 267:78] + node _T_55 = bits(cam[0].valid, 0, 0) @[dec_decode_ctl.scala 267:120] + node _T_56 = bits(_T_54, 0, 0) @[dec_decode_ctl.scala 267:129] + node _T_57 = and(_T_55, _T_56) @[dec_decode_ctl.scala 267:126] + node _T_58 = bits(_T_57, 0, 0) @[dec_decode_ctl.scala 267:137] + node _T_59 = shl(cam_write, 1) @[dec_decode_ctl.scala 267:158] + node _T_60 = eq(cam[2].valid, UInt<1>("h00")) @[dec_decode_ctl.scala 267:78] + node _T_61 = bits(cam[0].valid, 0, 0) @[dec_decode_ctl.scala 267:120] + node _T_62 = bits(cam[1].valid, 0, 0) @[dec_decode_ctl.scala 267:129] + node _T_63 = and(_T_61, _T_62) @[dec_decode_ctl.scala 267:126] + node _T_64 = bits(_T_63, 0, 0) @[dec_decode_ctl.scala 267:120] + node _T_65 = bits(_T_60, 0, 0) @[dec_decode_ctl.scala 267:129] + node _T_66 = and(_T_64, _T_65) @[dec_decode_ctl.scala 267:126] + node _T_67 = bits(_T_66, 0, 0) @[dec_decode_ctl.scala 267:137] + node _T_68 = shl(cam_write, 2) @[dec_decode_ctl.scala 267:158] + node _T_69 = eq(cam[3].valid, UInt<1>("h00")) @[dec_decode_ctl.scala 267:78] + node _T_70 = bits(cam[0].valid, 0, 0) @[dec_decode_ctl.scala 267:120] + node _T_71 = bits(cam[1].valid, 0, 0) @[dec_decode_ctl.scala 267:129] + node _T_72 = and(_T_70, _T_71) @[dec_decode_ctl.scala 267:126] + node _T_73 = bits(_T_72, 0, 0) @[dec_decode_ctl.scala 267:120] + node _T_74 = bits(cam[2].valid, 0, 0) @[dec_decode_ctl.scala 267:129] + node _T_75 = and(_T_73, _T_74) @[dec_decode_ctl.scala 267:126] + node _T_76 = bits(_T_75, 0, 0) @[dec_decode_ctl.scala 267:120] + node _T_77 = bits(_T_69, 0, 0) @[dec_decode_ctl.scala 267:129] + node _T_78 = and(_T_76, _T_77) @[dec_decode_ctl.scala 267:126] + node _T_79 = bits(_T_78, 0, 0) @[dec_decode_ctl.scala 267:137] + node _T_80 = shl(cam_write, 3) @[dec_decode_ctl.scala 267:158] node _T_81 = mux(_T_52, _T_53, UInt<1>("h00")) @[Mux.scala 27:72] node _T_82 = mux(_T_58, _T_59, UInt<1>("h00")) @[Mux.scala 27:72] node _T_83 = mux(_T_67, _T_68, UInt<1>("h00")) @[Mux.scala 27:72] @@ -67307,410 +67305,410 @@ circuit quasar_wrapper : node _T_87 = or(_T_86, _T_84) @[Mux.scala 27:72] wire _T_88 : UInt<4> @[Mux.scala 27:72] _T_88 <= _T_87 @[Mux.scala 27:72] - cam_wen <= _T_88 @[dec_decode_ctl.scala 273:11] - cam_write <= io.dctl_busbuff.lsu_nonblock_load_valid_m @[dec_decode_ctl.scala 275:25] - node cam_write_tag = bits(io.dctl_busbuff.lsu_nonblock_load_tag_m, 1, 0) @[dec_decode_ctl.scala 276:67] - node cam_data_reset = or(io.dctl_busbuff.lsu_nonblock_load_data_valid, io.dctl_busbuff.lsu_nonblock_load_data_error) @[dec_decode_ctl.scala 281:76] - node _T_89 = bits(x_d.bits.i0load, 0, 0) @[dec_decode_ctl.scala 284:48] - node nonblock_load_rd = mux(_T_89, x_d.bits.i0rd, UInt<5>("h00")) @[dec_decode_ctl.scala 284:31] - node _T_90 = bits(i0_r_ctl_en, 0, 0) @[dec_decode_ctl.scala 288:129] + cam_wen <= _T_88 @[dec_decode_ctl.scala 267:11] + cam_write <= io.dctl_busbuff.lsu_nonblock_load_valid_m @[dec_decode_ctl.scala 269:25] + node cam_write_tag = bits(io.dctl_busbuff.lsu_nonblock_load_tag_m, 1, 0) @[dec_decode_ctl.scala 270:67] + node cam_data_reset = or(io.dctl_busbuff.lsu_nonblock_load_data_valid, io.dctl_busbuff.lsu_nonblock_load_data_error) @[dec_decode_ctl.scala 275:76] + node _T_89 = bits(x_d.bits.i0load, 0, 0) @[dec_decode_ctl.scala 278:48] + node nonblock_load_rd = mux(_T_89, x_d.bits.i0rd, UInt<5>("h00")) @[dec_decode_ctl.scala 278:31] + node _T_90 = bits(i0_r_ctl_en, 0, 0) @[dec_decode_ctl.scala 282:129] reg nonblock_load_valid_m_delay : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_90 : @[Reg.scala 28:19] nonblock_load_valid_m_delay <= io.dctl_busbuff.lsu_nonblock_load_valid_m @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node i0_load_kill_wen_r = and(nonblock_load_valid_m_delay, r_d.bits.i0load) @[dec_decode_ctl.scala 289:56] - node _T_91 = eq(io.dctl_busbuff.lsu_nonblock_load_inv_tag_r, cam[0].bits.tag) @[dec_decode_ctl.scala 291:66] - node _T_92 = and(io.dctl_busbuff.lsu_nonblock_load_inv_r, _T_91) @[dec_decode_ctl.scala 291:45] - node _T_93 = and(_T_92, cam[0].valid) @[dec_decode_ctl.scala 291:87] - cam_inv_reset_val[0] <= _T_93 @[dec_decode_ctl.scala 291:26] - node _T_94 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, cam[0].bits.tag) @[dec_decode_ctl.scala 292:67] - node _T_95 = and(cam_data_reset, _T_94) @[dec_decode_ctl.scala 292:45] - node _T_96 = and(_T_95, cam_raw[0].valid) @[dec_decode_ctl.scala 292:88] - cam_data_reset_val[0] <= _T_96 @[dec_decode_ctl.scala 292:27] - wire _T_97 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[dec_decode_ctl.scala 293:28] - _T_97.bits.rd <= UInt<5>("h00") @[dec_decode_ctl.scala 293:28] - _T_97.bits.tag <= UInt<3>("h00") @[dec_decode_ctl.scala 293:28] - _T_97.bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 293:28] - _T_97.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 293:28] - cam_in[0].bits.rd <= _T_97.bits.rd @[dec_decode_ctl.scala 293:14] - cam_in[0].bits.tag <= _T_97.bits.tag @[dec_decode_ctl.scala 293:14] - cam_in[0].bits.wb <= _T_97.bits.wb @[dec_decode_ctl.scala 293:14] - cam_in[0].valid <= _T_97.valid @[dec_decode_ctl.scala 293:14] - cam[0].bits.rd <= cam_raw[0].bits.rd @[dec_decode_ctl.scala 294:11] - cam[0].bits.tag <= cam_raw[0].bits.tag @[dec_decode_ctl.scala 294:11] - cam[0].bits.wb <= cam_raw[0].bits.wb @[dec_decode_ctl.scala 294:11] - cam[0].valid <= cam_raw[0].valid @[dec_decode_ctl.scala 294:11] - node _T_98 = bits(cam_data_reset_val[0], 0, 0) @[dec_decode_ctl.scala 296:32] - when _T_98 : @[dec_decode_ctl.scala 296:39] - cam[0].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 297:20] - skip @[dec_decode_ctl.scala 296:39] - node _T_99 = bits(cam_wen, 0, 0) @[dec_decode_ctl.scala 299:17] - node _T_100 = bits(_T_99, 0, 0) @[dec_decode_ctl.scala 299:21] - when _T_100 : @[dec_decode_ctl.scala 299:28] - cam_in[0].valid <= UInt<1>("h01") @[dec_decode_ctl.scala 300:27] - cam_in[0].bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 301:32] - cam_in[0].bits.tag <= cam_write_tag @[dec_decode_ctl.scala 302:32] - cam_in[0].bits.rd <= nonblock_load_rd @[dec_decode_ctl.scala 303:32] - skip @[dec_decode_ctl.scala 299:28] - else : @[dec_decode_ctl.scala 304:131] - node _T_101 = bits(cam_inv_reset_val[0], 0, 0) @[dec_decode_ctl.scala 304:37] - node _T_102 = bits(i0_wen_r, 0, 0) @[dec_decode_ctl.scala 304:57] - node _T_103 = eq(r_d_in.bits.i0rd, cam[0].bits.rd) @[dec_decode_ctl.scala 304:85] - node _T_104 = and(_T_102, _T_103) @[dec_decode_ctl.scala 304:64] - node _T_105 = bits(cam[0].bits.wb, 0, 0) @[dec_decode_ctl.scala 304:123] - node _T_106 = and(_T_104, _T_105) @[dec_decode_ctl.scala 304:105] - node _T_107 = or(_T_101, _T_106) @[dec_decode_ctl.scala 304:44] - when _T_107 : @[dec_decode_ctl.scala 304:131] - cam_in[0].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 305:23] - skip @[dec_decode_ctl.scala 304:131] - else : @[dec_decode_ctl.scala 306:16] - cam_in[0].bits.rd <= cam[0].bits.rd @[dec_decode_ctl.scala 307:22] - cam_in[0].bits.tag <= cam[0].bits.tag @[dec_decode_ctl.scala 307:22] - cam_in[0].bits.wb <= cam[0].bits.wb @[dec_decode_ctl.scala 307:22] - cam_in[0].valid <= cam[0].valid @[dec_decode_ctl.scala 307:22] - skip @[dec_decode_ctl.scala 306:16] - node _T_108 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[dec_decode_ctl.scala 309:37] - node _T_109 = eq(io.dctl_busbuff.lsu_nonblock_load_inv_tag_r, cam[0].bits.tag) @[dec_decode_ctl.scala 309:92] - node _T_110 = and(_T_108, _T_109) @[dec_decode_ctl.scala 309:44] - node _T_111 = eq(cam[0].valid, UInt<1>("h01")) @[dec_decode_ctl.scala 309:128] - node _T_112 = and(_T_110, _T_111) @[dec_decode_ctl.scala 309:113] - when _T_112 : @[dec_decode_ctl.scala 309:135] - cam_in[0].bits.wb <= UInt<1>("h01") @[dec_decode_ctl.scala 310:25] - skip @[dec_decode_ctl.scala 309:135] - when io.dec_tlu_force_halt : @[dec_decode_ctl.scala 313:32] - cam_in[0].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 314:23] - skip @[dec_decode_ctl.scala 313:32] - wire _T_113 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[dec_decode_ctl.scala 317:70] - _T_113.bits.rd <= UInt<5>("h00") @[dec_decode_ctl.scala 317:70] - _T_113.bits.tag <= UInt<3>("h00") @[dec_decode_ctl.scala 317:70] - _T_113.bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 317:70] - _T_113.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 317:70] - reg _T_114 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}, io.free_clk with : (reset => (reset, _T_113)) @[dec_decode_ctl.scala 317:47] - _T_114.bits.rd <= cam_in[0].bits.rd @[dec_decode_ctl.scala 317:47] - _T_114.bits.tag <= cam_in[0].bits.tag @[dec_decode_ctl.scala 317:47] - _T_114.bits.wb <= cam_in[0].bits.wb @[dec_decode_ctl.scala 317:47] - _T_114.valid <= cam_in[0].valid @[dec_decode_ctl.scala 317:47] - cam_raw[0].bits.rd <= _T_114.bits.rd @[dec_decode_ctl.scala 317:15] - cam_raw[0].bits.tag <= _T_114.bits.tag @[dec_decode_ctl.scala 317:15] - cam_raw[0].bits.wb <= _T_114.bits.wb @[dec_decode_ctl.scala 317:15] - cam_raw[0].valid <= _T_114.valid @[dec_decode_ctl.scala 317:15] - node _T_115 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, cam_raw[0].bits.tag) @[dec_decode_ctl.scala 318:46] - node _T_116 = and(_T_115, cam_raw[0].valid) @[dec_decode_ctl.scala 318:71] - nonblock_load_write[0] <= _T_116 @[dec_decode_ctl.scala 318:28] - node _T_117 = eq(io.dctl_busbuff.lsu_nonblock_load_inv_tag_r, cam[1].bits.tag) @[dec_decode_ctl.scala 291:66] - node _T_118 = and(io.dctl_busbuff.lsu_nonblock_load_inv_r, _T_117) @[dec_decode_ctl.scala 291:45] - node _T_119 = and(_T_118, cam[1].valid) @[dec_decode_ctl.scala 291:87] - cam_inv_reset_val[1] <= _T_119 @[dec_decode_ctl.scala 291:26] - node _T_120 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, cam[1].bits.tag) @[dec_decode_ctl.scala 292:67] - node _T_121 = and(cam_data_reset, _T_120) @[dec_decode_ctl.scala 292:45] - node _T_122 = and(_T_121, cam_raw[1].valid) @[dec_decode_ctl.scala 292:88] - cam_data_reset_val[1] <= _T_122 @[dec_decode_ctl.scala 292:27] - wire _T_123 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[dec_decode_ctl.scala 293:28] - _T_123.bits.rd <= UInt<5>("h00") @[dec_decode_ctl.scala 293:28] - _T_123.bits.tag <= UInt<3>("h00") @[dec_decode_ctl.scala 293:28] - _T_123.bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 293:28] - _T_123.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 293:28] - cam_in[1].bits.rd <= _T_123.bits.rd @[dec_decode_ctl.scala 293:14] - cam_in[1].bits.tag <= _T_123.bits.tag @[dec_decode_ctl.scala 293:14] - cam_in[1].bits.wb <= _T_123.bits.wb @[dec_decode_ctl.scala 293:14] - cam_in[1].valid <= _T_123.valid @[dec_decode_ctl.scala 293:14] - cam[1].bits.rd <= cam_raw[1].bits.rd @[dec_decode_ctl.scala 294:11] - cam[1].bits.tag <= cam_raw[1].bits.tag @[dec_decode_ctl.scala 294:11] - cam[1].bits.wb <= cam_raw[1].bits.wb @[dec_decode_ctl.scala 294:11] - cam[1].valid <= cam_raw[1].valid @[dec_decode_ctl.scala 294:11] - node _T_124 = bits(cam_data_reset_val[1], 0, 0) @[dec_decode_ctl.scala 296:32] - when _T_124 : @[dec_decode_ctl.scala 296:39] - cam[1].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 297:20] - skip @[dec_decode_ctl.scala 296:39] - node _T_125 = bits(cam_wen, 1, 1) @[dec_decode_ctl.scala 299:17] - node _T_126 = bits(_T_125, 0, 0) @[dec_decode_ctl.scala 299:21] - when _T_126 : @[dec_decode_ctl.scala 299:28] - cam_in[1].valid <= UInt<1>("h01") @[dec_decode_ctl.scala 300:27] - cam_in[1].bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 301:32] - cam_in[1].bits.tag <= cam_write_tag @[dec_decode_ctl.scala 302:32] - cam_in[1].bits.rd <= nonblock_load_rd @[dec_decode_ctl.scala 303:32] - skip @[dec_decode_ctl.scala 299:28] - else : @[dec_decode_ctl.scala 304:131] - node _T_127 = bits(cam_inv_reset_val[1], 0, 0) @[dec_decode_ctl.scala 304:37] - node _T_128 = bits(i0_wen_r, 0, 0) @[dec_decode_ctl.scala 304:57] - node _T_129 = eq(r_d_in.bits.i0rd, cam[1].bits.rd) @[dec_decode_ctl.scala 304:85] - node _T_130 = and(_T_128, _T_129) @[dec_decode_ctl.scala 304:64] - node _T_131 = bits(cam[1].bits.wb, 0, 0) @[dec_decode_ctl.scala 304:123] - node _T_132 = and(_T_130, _T_131) @[dec_decode_ctl.scala 304:105] - node _T_133 = or(_T_127, _T_132) @[dec_decode_ctl.scala 304:44] - when _T_133 : @[dec_decode_ctl.scala 304:131] - cam_in[1].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 305:23] - skip @[dec_decode_ctl.scala 304:131] - else : @[dec_decode_ctl.scala 306:16] - cam_in[1].bits.rd <= cam[1].bits.rd @[dec_decode_ctl.scala 307:22] - cam_in[1].bits.tag <= cam[1].bits.tag @[dec_decode_ctl.scala 307:22] - cam_in[1].bits.wb <= cam[1].bits.wb @[dec_decode_ctl.scala 307:22] - cam_in[1].valid <= cam[1].valid @[dec_decode_ctl.scala 307:22] - skip @[dec_decode_ctl.scala 306:16] - node _T_134 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[dec_decode_ctl.scala 309:37] - node _T_135 = eq(io.dctl_busbuff.lsu_nonblock_load_inv_tag_r, cam[1].bits.tag) @[dec_decode_ctl.scala 309:92] - node _T_136 = and(_T_134, _T_135) @[dec_decode_ctl.scala 309:44] - node _T_137 = eq(cam[1].valid, UInt<1>("h01")) @[dec_decode_ctl.scala 309:128] - node _T_138 = and(_T_136, _T_137) @[dec_decode_ctl.scala 309:113] - when _T_138 : @[dec_decode_ctl.scala 309:135] - cam_in[1].bits.wb <= UInt<1>("h01") @[dec_decode_ctl.scala 310:25] - skip @[dec_decode_ctl.scala 309:135] - when io.dec_tlu_force_halt : @[dec_decode_ctl.scala 313:32] - cam_in[1].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 314:23] - skip @[dec_decode_ctl.scala 313:32] - wire _T_139 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[dec_decode_ctl.scala 317:70] - _T_139.bits.rd <= UInt<5>("h00") @[dec_decode_ctl.scala 317:70] - _T_139.bits.tag <= UInt<3>("h00") @[dec_decode_ctl.scala 317:70] - _T_139.bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 317:70] - _T_139.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 317:70] - reg _T_140 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}, io.free_clk with : (reset => (reset, _T_139)) @[dec_decode_ctl.scala 317:47] - _T_140.bits.rd <= cam_in[1].bits.rd @[dec_decode_ctl.scala 317:47] - _T_140.bits.tag <= cam_in[1].bits.tag @[dec_decode_ctl.scala 317:47] - _T_140.bits.wb <= cam_in[1].bits.wb @[dec_decode_ctl.scala 317:47] - _T_140.valid <= cam_in[1].valid @[dec_decode_ctl.scala 317:47] - cam_raw[1].bits.rd <= _T_140.bits.rd @[dec_decode_ctl.scala 317:15] - cam_raw[1].bits.tag <= _T_140.bits.tag @[dec_decode_ctl.scala 317:15] - cam_raw[1].bits.wb <= _T_140.bits.wb @[dec_decode_ctl.scala 317:15] - cam_raw[1].valid <= _T_140.valid @[dec_decode_ctl.scala 317:15] - node _T_141 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, cam_raw[1].bits.tag) @[dec_decode_ctl.scala 318:46] - node _T_142 = and(_T_141, cam_raw[1].valid) @[dec_decode_ctl.scala 318:71] - nonblock_load_write[1] <= _T_142 @[dec_decode_ctl.scala 318:28] - node _T_143 = eq(io.dctl_busbuff.lsu_nonblock_load_inv_tag_r, cam[2].bits.tag) @[dec_decode_ctl.scala 291:66] - node _T_144 = and(io.dctl_busbuff.lsu_nonblock_load_inv_r, _T_143) @[dec_decode_ctl.scala 291:45] - node _T_145 = and(_T_144, cam[2].valid) @[dec_decode_ctl.scala 291:87] - cam_inv_reset_val[2] <= _T_145 @[dec_decode_ctl.scala 291:26] - node _T_146 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, cam[2].bits.tag) @[dec_decode_ctl.scala 292:67] - node _T_147 = and(cam_data_reset, _T_146) @[dec_decode_ctl.scala 292:45] - node _T_148 = and(_T_147, cam_raw[2].valid) @[dec_decode_ctl.scala 292:88] - cam_data_reset_val[2] <= _T_148 @[dec_decode_ctl.scala 292:27] - wire _T_149 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[dec_decode_ctl.scala 293:28] - _T_149.bits.rd <= UInt<5>("h00") @[dec_decode_ctl.scala 293:28] - _T_149.bits.tag <= UInt<3>("h00") @[dec_decode_ctl.scala 293:28] - _T_149.bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 293:28] - _T_149.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 293:28] - cam_in[2].bits.rd <= _T_149.bits.rd @[dec_decode_ctl.scala 293:14] - cam_in[2].bits.tag <= _T_149.bits.tag @[dec_decode_ctl.scala 293:14] - cam_in[2].bits.wb <= _T_149.bits.wb @[dec_decode_ctl.scala 293:14] - cam_in[2].valid <= _T_149.valid @[dec_decode_ctl.scala 293:14] - cam[2].bits.rd <= cam_raw[2].bits.rd @[dec_decode_ctl.scala 294:11] - cam[2].bits.tag <= cam_raw[2].bits.tag @[dec_decode_ctl.scala 294:11] - cam[2].bits.wb <= cam_raw[2].bits.wb @[dec_decode_ctl.scala 294:11] - cam[2].valid <= cam_raw[2].valid @[dec_decode_ctl.scala 294:11] - node _T_150 = bits(cam_data_reset_val[2], 0, 0) @[dec_decode_ctl.scala 296:32] - when _T_150 : @[dec_decode_ctl.scala 296:39] - cam[2].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 297:20] - skip @[dec_decode_ctl.scala 296:39] - node _T_151 = bits(cam_wen, 2, 2) @[dec_decode_ctl.scala 299:17] - node _T_152 = bits(_T_151, 0, 0) @[dec_decode_ctl.scala 299:21] - when _T_152 : @[dec_decode_ctl.scala 299:28] - cam_in[2].valid <= UInt<1>("h01") @[dec_decode_ctl.scala 300:27] - cam_in[2].bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 301:32] - cam_in[2].bits.tag <= cam_write_tag @[dec_decode_ctl.scala 302:32] - cam_in[2].bits.rd <= nonblock_load_rd @[dec_decode_ctl.scala 303:32] - skip @[dec_decode_ctl.scala 299:28] - else : @[dec_decode_ctl.scala 304:131] - node _T_153 = bits(cam_inv_reset_val[2], 0, 0) @[dec_decode_ctl.scala 304:37] - node _T_154 = bits(i0_wen_r, 0, 0) @[dec_decode_ctl.scala 304:57] - node _T_155 = eq(r_d_in.bits.i0rd, cam[2].bits.rd) @[dec_decode_ctl.scala 304:85] - node _T_156 = and(_T_154, _T_155) @[dec_decode_ctl.scala 304:64] - node _T_157 = bits(cam[2].bits.wb, 0, 0) @[dec_decode_ctl.scala 304:123] - node _T_158 = and(_T_156, _T_157) @[dec_decode_ctl.scala 304:105] - node _T_159 = or(_T_153, _T_158) @[dec_decode_ctl.scala 304:44] - when _T_159 : @[dec_decode_ctl.scala 304:131] - cam_in[2].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 305:23] - skip @[dec_decode_ctl.scala 304:131] - else : @[dec_decode_ctl.scala 306:16] - cam_in[2].bits.rd <= cam[2].bits.rd @[dec_decode_ctl.scala 307:22] - cam_in[2].bits.tag <= cam[2].bits.tag @[dec_decode_ctl.scala 307:22] - cam_in[2].bits.wb <= cam[2].bits.wb @[dec_decode_ctl.scala 307:22] - cam_in[2].valid <= cam[2].valid @[dec_decode_ctl.scala 307:22] - skip @[dec_decode_ctl.scala 306:16] - node _T_160 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[dec_decode_ctl.scala 309:37] - node _T_161 = eq(io.dctl_busbuff.lsu_nonblock_load_inv_tag_r, cam[2].bits.tag) @[dec_decode_ctl.scala 309:92] - node _T_162 = and(_T_160, _T_161) @[dec_decode_ctl.scala 309:44] - node _T_163 = eq(cam[2].valid, UInt<1>("h01")) @[dec_decode_ctl.scala 309:128] - node _T_164 = and(_T_162, _T_163) @[dec_decode_ctl.scala 309:113] - when _T_164 : @[dec_decode_ctl.scala 309:135] - cam_in[2].bits.wb <= UInt<1>("h01") @[dec_decode_ctl.scala 310:25] - skip @[dec_decode_ctl.scala 309:135] - when io.dec_tlu_force_halt : @[dec_decode_ctl.scala 313:32] - cam_in[2].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 314:23] - skip @[dec_decode_ctl.scala 313:32] - wire _T_165 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[dec_decode_ctl.scala 317:70] - _T_165.bits.rd <= UInt<5>("h00") @[dec_decode_ctl.scala 317:70] - _T_165.bits.tag <= UInt<3>("h00") @[dec_decode_ctl.scala 317:70] - _T_165.bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 317:70] - _T_165.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 317:70] - reg _T_166 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}, io.free_clk with : (reset => (reset, _T_165)) @[dec_decode_ctl.scala 317:47] - _T_166.bits.rd <= cam_in[2].bits.rd @[dec_decode_ctl.scala 317:47] - _T_166.bits.tag <= cam_in[2].bits.tag @[dec_decode_ctl.scala 317:47] - _T_166.bits.wb <= cam_in[2].bits.wb @[dec_decode_ctl.scala 317:47] - _T_166.valid <= cam_in[2].valid @[dec_decode_ctl.scala 317:47] - cam_raw[2].bits.rd <= _T_166.bits.rd @[dec_decode_ctl.scala 317:15] - cam_raw[2].bits.tag <= _T_166.bits.tag @[dec_decode_ctl.scala 317:15] - cam_raw[2].bits.wb <= _T_166.bits.wb @[dec_decode_ctl.scala 317:15] - cam_raw[2].valid <= _T_166.valid @[dec_decode_ctl.scala 317:15] - node _T_167 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, cam_raw[2].bits.tag) @[dec_decode_ctl.scala 318:46] - node _T_168 = and(_T_167, cam_raw[2].valid) @[dec_decode_ctl.scala 318:71] - nonblock_load_write[2] <= _T_168 @[dec_decode_ctl.scala 318:28] - node _T_169 = eq(io.dctl_busbuff.lsu_nonblock_load_inv_tag_r, cam[3].bits.tag) @[dec_decode_ctl.scala 291:66] - node _T_170 = and(io.dctl_busbuff.lsu_nonblock_load_inv_r, _T_169) @[dec_decode_ctl.scala 291:45] - node _T_171 = and(_T_170, cam[3].valid) @[dec_decode_ctl.scala 291:87] - cam_inv_reset_val[3] <= _T_171 @[dec_decode_ctl.scala 291:26] - node _T_172 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, cam[3].bits.tag) @[dec_decode_ctl.scala 292:67] - node _T_173 = and(cam_data_reset, _T_172) @[dec_decode_ctl.scala 292:45] - node _T_174 = and(_T_173, cam_raw[3].valid) @[dec_decode_ctl.scala 292:88] - cam_data_reset_val[3] <= _T_174 @[dec_decode_ctl.scala 292:27] - wire _T_175 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[dec_decode_ctl.scala 293:28] - _T_175.bits.rd <= UInt<5>("h00") @[dec_decode_ctl.scala 293:28] - _T_175.bits.tag <= UInt<3>("h00") @[dec_decode_ctl.scala 293:28] - _T_175.bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 293:28] - _T_175.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 293:28] - cam_in[3].bits.rd <= _T_175.bits.rd @[dec_decode_ctl.scala 293:14] - cam_in[3].bits.tag <= _T_175.bits.tag @[dec_decode_ctl.scala 293:14] - cam_in[3].bits.wb <= _T_175.bits.wb @[dec_decode_ctl.scala 293:14] - cam_in[3].valid <= _T_175.valid @[dec_decode_ctl.scala 293:14] - cam[3].bits.rd <= cam_raw[3].bits.rd @[dec_decode_ctl.scala 294:11] - cam[3].bits.tag <= cam_raw[3].bits.tag @[dec_decode_ctl.scala 294:11] - cam[3].bits.wb <= cam_raw[3].bits.wb @[dec_decode_ctl.scala 294:11] - cam[3].valid <= cam_raw[3].valid @[dec_decode_ctl.scala 294:11] - node _T_176 = bits(cam_data_reset_val[3], 0, 0) @[dec_decode_ctl.scala 296:32] - when _T_176 : @[dec_decode_ctl.scala 296:39] - cam[3].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 297:20] - skip @[dec_decode_ctl.scala 296:39] - node _T_177 = bits(cam_wen, 3, 3) @[dec_decode_ctl.scala 299:17] - node _T_178 = bits(_T_177, 0, 0) @[dec_decode_ctl.scala 299:21] - when _T_178 : @[dec_decode_ctl.scala 299:28] - cam_in[3].valid <= UInt<1>("h01") @[dec_decode_ctl.scala 300:27] - cam_in[3].bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 301:32] - cam_in[3].bits.tag <= cam_write_tag @[dec_decode_ctl.scala 302:32] - cam_in[3].bits.rd <= nonblock_load_rd @[dec_decode_ctl.scala 303:32] - skip @[dec_decode_ctl.scala 299:28] - else : @[dec_decode_ctl.scala 304:131] - node _T_179 = bits(cam_inv_reset_val[3], 0, 0) @[dec_decode_ctl.scala 304:37] - node _T_180 = bits(i0_wen_r, 0, 0) @[dec_decode_ctl.scala 304:57] - node _T_181 = eq(r_d_in.bits.i0rd, cam[3].bits.rd) @[dec_decode_ctl.scala 304:85] - node _T_182 = and(_T_180, _T_181) @[dec_decode_ctl.scala 304:64] - node _T_183 = bits(cam[3].bits.wb, 0, 0) @[dec_decode_ctl.scala 304:123] - node _T_184 = and(_T_182, _T_183) @[dec_decode_ctl.scala 304:105] - node _T_185 = or(_T_179, _T_184) @[dec_decode_ctl.scala 304:44] - when _T_185 : @[dec_decode_ctl.scala 304:131] - cam_in[3].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 305:23] - skip @[dec_decode_ctl.scala 304:131] - else : @[dec_decode_ctl.scala 306:16] - cam_in[3].bits.rd <= cam[3].bits.rd @[dec_decode_ctl.scala 307:22] - cam_in[3].bits.tag <= cam[3].bits.tag @[dec_decode_ctl.scala 307:22] - cam_in[3].bits.wb <= cam[3].bits.wb @[dec_decode_ctl.scala 307:22] - cam_in[3].valid <= cam[3].valid @[dec_decode_ctl.scala 307:22] - skip @[dec_decode_ctl.scala 306:16] - node _T_186 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[dec_decode_ctl.scala 309:37] - node _T_187 = eq(io.dctl_busbuff.lsu_nonblock_load_inv_tag_r, cam[3].bits.tag) @[dec_decode_ctl.scala 309:92] - node _T_188 = and(_T_186, _T_187) @[dec_decode_ctl.scala 309:44] - node _T_189 = eq(cam[3].valid, UInt<1>("h01")) @[dec_decode_ctl.scala 309:128] - node _T_190 = and(_T_188, _T_189) @[dec_decode_ctl.scala 309:113] - when _T_190 : @[dec_decode_ctl.scala 309:135] - cam_in[3].bits.wb <= UInt<1>("h01") @[dec_decode_ctl.scala 310:25] - skip @[dec_decode_ctl.scala 309:135] - when io.dec_tlu_force_halt : @[dec_decode_ctl.scala 313:32] - cam_in[3].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 314:23] - skip @[dec_decode_ctl.scala 313:32] - wire _T_191 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[dec_decode_ctl.scala 317:70] - _T_191.bits.rd <= UInt<5>("h00") @[dec_decode_ctl.scala 317:70] - _T_191.bits.tag <= UInt<3>("h00") @[dec_decode_ctl.scala 317:70] - _T_191.bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 317:70] - _T_191.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 317:70] - reg _T_192 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}, io.free_clk with : (reset => (reset, _T_191)) @[dec_decode_ctl.scala 317:47] - _T_192.bits.rd <= cam_in[3].bits.rd @[dec_decode_ctl.scala 317:47] - _T_192.bits.tag <= cam_in[3].bits.tag @[dec_decode_ctl.scala 317:47] - _T_192.bits.wb <= cam_in[3].bits.wb @[dec_decode_ctl.scala 317:47] - _T_192.valid <= cam_in[3].valid @[dec_decode_ctl.scala 317:47] - cam_raw[3].bits.rd <= _T_192.bits.rd @[dec_decode_ctl.scala 317:15] - cam_raw[3].bits.tag <= _T_192.bits.tag @[dec_decode_ctl.scala 317:15] - cam_raw[3].bits.wb <= _T_192.bits.wb @[dec_decode_ctl.scala 317:15] - cam_raw[3].valid <= _T_192.valid @[dec_decode_ctl.scala 317:15] - node _T_193 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, cam_raw[3].bits.tag) @[dec_decode_ctl.scala 318:46] - node _T_194 = and(_T_193, cam_raw[3].valid) @[dec_decode_ctl.scala 318:71] - nonblock_load_write[3] <= _T_194 @[dec_decode_ctl.scala 318:28] - io.dec_nonblock_load_waddr <= UInt<5>("h00") @[dec_decode_ctl.scala 321:29] - node _T_195 = eq(r_d_in.bits.i0rd, io.dec_nonblock_load_waddr) @[dec_decode_ctl.scala 323:49] - node nonblock_load_cancel = and(_T_195, i0_wen_r) @[dec_decode_ctl.scala 323:81] - node _T_196 = or(nonblock_load_write[0], nonblock_load_write[1]) @[dec_decode_ctl.scala 324:108] - node _T_197 = or(_T_196, nonblock_load_write[2]) @[dec_decode_ctl.scala 324:108] - node _T_198 = or(_T_197, nonblock_load_write[3]) @[dec_decode_ctl.scala 324:108] - node _T_199 = bits(_T_198, 0, 0) @[dec_decode_ctl.scala 324:112] - node _T_200 = and(io.dctl_busbuff.lsu_nonblock_load_data_valid, _T_199) @[dec_decode_ctl.scala 324:77] - node _T_201 = eq(nonblock_load_cancel, UInt<1>("h00")) @[dec_decode_ctl.scala 324:122] - node _T_202 = and(_T_200, _T_201) @[dec_decode_ctl.scala 324:119] - io.dec_nonblock_load_wen <= _T_202 @[dec_decode_ctl.scala 324:28] - node _T_203 = eq(nonblock_load_rd, i0r.rs1) @[dec_decode_ctl.scala 325:54] - node _T_204 = and(_T_203, io.dctl_busbuff.lsu_nonblock_load_valid_m) @[dec_decode_ctl.scala 325:66] - node _T_205 = and(_T_204, io.decode_exu.dec_i0_rs1_en_d) @[dec_decode_ctl.scala 325:110] - node _T_206 = eq(nonblock_load_rd, i0r.rs2) @[dec_decode_ctl.scala 325:161] - node _T_207 = and(_T_206, io.dctl_busbuff.lsu_nonblock_load_valid_m) @[dec_decode_ctl.scala 325:173] - node _T_208 = and(_T_207, io.decode_exu.dec_i0_rs2_en_d) @[dec_decode_ctl.scala 325:217] - node i0_nonblock_boundary_stall = or(_T_205, _T_208) @[dec_decode_ctl.scala 325:142] - i0_nonblock_load_stall <= i0_nonblock_boundary_stall @[dec_decode_ctl.scala 327:26] + node i0_load_kill_wen_r = and(nonblock_load_valid_m_delay, r_d.bits.i0load) @[dec_decode_ctl.scala 283:56] + node _T_91 = eq(io.dctl_busbuff.lsu_nonblock_load_inv_tag_r, cam[0].bits.tag) @[dec_decode_ctl.scala 285:66] + node _T_92 = and(io.dctl_busbuff.lsu_nonblock_load_inv_r, _T_91) @[dec_decode_ctl.scala 285:45] + node _T_93 = and(_T_92, cam[0].valid) @[dec_decode_ctl.scala 285:87] + cam_inv_reset_val[0] <= _T_93 @[dec_decode_ctl.scala 285:26] + node _T_94 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, cam[0].bits.tag) @[dec_decode_ctl.scala 286:67] + node _T_95 = and(cam_data_reset, _T_94) @[dec_decode_ctl.scala 286:45] + node _T_96 = and(_T_95, cam_raw[0].valid) @[dec_decode_ctl.scala 286:88] + cam_data_reset_val[0] <= _T_96 @[dec_decode_ctl.scala 286:27] + wire _T_97 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[dec_decode_ctl.scala 287:28] + _T_97.bits.rd <= UInt<5>("h00") @[dec_decode_ctl.scala 287:28] + _T_97.bits.tag <= UInt<3>("h00") @[dec_decode_ctl.scala 287:28] + _T_97.bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 287:28] + _T_97.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 287:28] + cam_in[0].bits.rd <= _T_97.bits.rd @[dec_decode_ctl.scala 287:14] + cam_in[0].bits.tag <= _T_97.bits.tag @[dec_decode_ctl.scala 287:14] + cam_in[0].bits.wb <= _T_97.bits.wb @[dec_decode_ctl.scala 287:14] + cam_in[0].valid <= _T_97.valid @[dec_decode_ctl.scala 287:14] + cam[0].bits.rd <= cam_raw[0].bits.rd @[dec_decode_ctl.scala 288:11] + cam[0].bits.tag <= cam_raw[0].bits.tag @[dec_decode_ctl.scala 288:11] + cam[0].bits.wb <= cam_raw[0].bits.wb @[dec_decode_ctl.scala 288:11] + cam[0].valid <= cam_raw[0].valid @[dec_decode_ctl.scala 288:11] + node _T_98 = bits(cam_data_reset_val[0], 0, 0) @[dec_decode_ctl.scala 290:32] + when _T_98 : @[dec_decode_ctl.scala 290:39] + cam[0].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 291:20] + skip @[dec_decode_ctl.scala 290:39] + node _T_99 = bits(cam_wen, 0, 0) @[dec_decode_ctl.scala 293:17] + node _T_100 = bits(_T_99, 0, 0) @[dec_decode_ctl.scala 293:21] + when _T_100 : @[dec_decode_ctl.scala 293:28] + cam_in[0].valid <= UInt<1>("h01") @[dec_decode_ctl.scala 294:27] + cam_in[0].bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 295:32] + cam_in[0].bits.tag <= cam_write_tag @[dec_decode_ctl.scala 296:32] + cam_in[0].bits.rd <= nonblock_load_rd @[dec_decode_ctl.scala 297:32] + skip @[dec_decode_ctl.scala 293:28] + else : @[dec_decode_ctl.scala 298:131] + node _T_101 = bits(cam_inv_reset_val[0], 0, 0) @[dec_decode_ctl.scala 298:37] + node _T_102 = bits(i0_wen_r, 0, 0) @[dec_decode_ctl.scala 298:57] + node _T_103 = eq(r_d_in.bits.i0rd, cam[0].bits.rd) @[dec_decode_ctl.scala 298:85] + node _T_104 = and(_T_102, _T_103) @[dec_decode_ctl.scala 298:64] + node _T_105 = bits(cam[0].bits.wb, 0, 0) @[dec_decode_ctl.scala 298:123] + node _T_106 = and(_T_104, _T_105) @[dec_decode_ctl.scala 298:105] + node _T_107 = or(_T_101, _T_106) @[dec_decode_ctl.scala 298:44] + when _T_107 : @[dec_decode_ctl.scala 298:131] + cam_in[0].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 299:23] + skip @[dec_decode_ctl.scala 298:131] + else : @[dec_decode_ctl.scala 300:16] + cam_in[0].bits.rd <= cam[0].bits.rd @[dec_decode_ctl.scala 301:22] + cam_in[0].bits.tag <= cam[0].bits.tag @[dec_decode_ctl.scala 301:22] + cam_in[0].bits.wb <= cam[0].bits.wb @[dec_decode_ctl.scala 301:22] + cam_in[0].valid <= cam[0].valid @[dec_decode_ctl.scala 301:22] + skip @[dec_decode_ctl.scala 300:16] + node _T_108 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[dec_decode_ctl.scala 303:37] + node _T_109 = eq(io.dctl_busbuff.lsu_nonblock_load_inv_tag_r, cam[0].bits.tag) @[dec_decode_ctl.scala 303:92] + node _T_110 = and(_T_108, _T_109) @[dec_decode_ctl.scala 303:44] + node _T_111 = eq(cam[0].valid, UInt<1>("h01")) @[dec_decode_ctl.scala 303:128] + node _T_112 = and(_T_110, _T_111) @[dec_decode_ctl.scala 303:113] + when _T_112 : @[dec_decode_ctl.scala 303:135] + cam_in[0].bits.wb <= UInt<1>("h01") @[dec_decode_ctl.scala 304:25] + skip @[dec_decode_ctl.scala 303:135] + when io.dec_tlu_force_halt : @[dec_decode_ctl.scala 307:32] + cam_in[0].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 308:23] + skip @[dec_decode_ctl.scala 307:32] + wire _T_113 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[dec_decode_ctl.scala 311:70] + _T_113.bits.rd <= UInt<5>("h00") @[dec_decode_ctl.scala 311:70] + _T_113.bits.tag <= UInt<3>("h00") @[dec_decode_ctl.scala 311:70] + _T_113.bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 311:70] + _T_113.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 311:70] + reg _T_114 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}, io.free_clk with : (reset => (reset, _T_113)) @[dec_decode_ctl.scala 311:47] + _T_114.bits.rd <= cam_in[0].bits.rd @[dec_decode_ctl.scala 311:47] + _T_114.bits.tag <= cam_in[0].bits.tag @[dec_decode_ctl.scala 311:47] + _T_114.bits.wb <= cam_in[0].bits.wb @[dec_decode_ctl.scala 311:47] + _T_114.valid <= cam_in[0].valid @[dec_decode_ctl.scala 311:47] + cam_raw[0].bits.rd <= _T_114.bits.rd @[dec_decode_ctl.scala 311:15] + cam_raw[0].bits.tag <= _T_114.bits.tag @[dec_decode_ctl.scala 311:15] + cam_raw[0].bits.wb <= _T_114.bits.wb @[dec_decode_ctl.scala 311:15] + cam_raw[0].valid <= _T_114.valid @[dec_decode_ctl.scala 311:15] + node _T_115 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, cam_raw[0].bits.tag) @[dec_decode_ctl.scala 312:46] + node _T_116 = and(_T_115, cam_raw[0].valid) @[dec_decode_ctl.scala 312:71] + nonblock_load_write[0] <= _T_116 @[dec_decode_ctl.scala 312:28] + node _T_117 = eq(io.dctl_busbuff.lsu_nonblock_load_inv_tag_r, cam[1].bits.tag) @[dec_decode_ctl.scala 285:66] + node _T_118 = and(io.dctl_busbuff.lsu_nonblock_load_inv_r, _T_117) @[dec_decode_ctl.scala 285:45] + node _T_119 = and(_T_118, cam[1].valid) @[dec_decode_ctl.scala 285:87] + cam_inv_reset_val[1] <= _T_119 @[dec_decode_ctl.scala 285:26] + node _T_120 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, cam[1].bits.tag) @[dec_decode_ctl.scala 286:67] + node _T_121 = and(cam_data_reset, _T_120) @[dec_decode_ctl.scala 286:45] + node _T_122 = and(_T_121, cam_raw[1].valid) @[dec_decode_ctl.scala 286:88] + cam_data_reset_val[1] <= _T_122 @[dec_decode_ctl.scala 286:27] + wire _T_123 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[dec_decode_ctl.scala 287:28] + _T_123.bits.rd <= UInt<5>("h00") @[dec_decode_ctl.scala 287:28] + _T_123.bits.tag <= UInt<3>("h00") @[dec_decode_ctl.scala 287:28] + _T_123.bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 287:28] + _T_123.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 287:28] + cam_in[1].bits.rd <= _T_123.bits.rd @[dec_decode_ctl.scala 287:14] + cam_in[1].bits.tag <= _T_123.bits.tag @[dec_decode_ctl.scala 287:14] + cam_in[1].bits.wb <= _T_123.bits.wb @[dec_decode_ctl.scala 287:14] + cam_in[1].valid <= _T_123.valid @[dec_decode_ctl.scala 287:14] + cam[1].bits.rd <= cam_raw[1].bits.rd @[dec_decode_ctl.scala 288:11] + cam[1].bits.tag <= cam_raw[1].bits.tag @[dec_decode_ctl.scala 288:11] + cam[1].bits.wb <= cam_raw[1].bits.wb @[dec_decode_ctl.scala 288:11] + cam[1].valid <= cam_raw[1].valid @[dec_decode_ctl.scala 288:11] + node _T_124 = bits(cam_data_reset_val[1], 0, 0) @[dec_decode_ctl.scala 290:32] + when _T_124 : @[dec_decode_ctl.scala 290:39] + cam[1].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 291:20] + skip @[dec_decode_ctl.scala 290:39] + node _T_125 = bits(cam_wen, 1, 1) @[dec_decode_ctl.scala 293:17] + node _T_126 = bits(_T_125, 0, 0) @[dec_decode_ctl.scala 293:21] + when _T_126 : @[dec_decode_ctl.scala 293:28] + cam_in[1].valid <= UInt<1>("h01") @[dec_decode_ctl.scala 294:27] + cam_in[1].bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 295:32] + cam_in[1].bits.tag <= cam_write_tag @[dec_decode_ctl.scala 296:32] + cam_in[1].bits.rd <= nonblock_load_rd @[dec_decode_ctl.scala 297:32] + skip @[dec_decode_ctl.scala 293:28] + else : @[dec_decode_ctl.scala 298:131] + node _T_127 = bits(cam_inv_reset_val[1], 0, 0) @[dec_decode_ctl.scala 298:37] + node _T_128 = bits(i0_wen_r, 0, 0) @[dec_decode_ctl.scala 298:57] + node _T_129 = eq(r_d_in.bits.i0rd, cam[1].bits.rd) @[dec_decode_ctl.scala 298:85] + node _T_130 = and(_T_128, _T_129) @[dec_decode_ctl.scala 298:64] + node _T_131 = bits(cam[1].bits.wb, 0, 0) @[dec_decode_ctl.scala 298:123] + node _T_132 = and(_T_130, _T_131) @[dec_decode_ctl.scala 298:105] + node _T_133 = or(_T_127, _T_132) @[dec_decode_ctl.scala 298:44] + when _T_133 : @[dec_decode_ctl.scala 298:131] + cam_in[1].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 299:23] + skip @[dec_decode_ctl.scala 298:131] + else : @[dec_decode_ctl.scala 300:16] + cam_in[1].bits.rd <= cam[1].bits.rd @[dec_decode_ctl.scala 301:22] + cam_in[1].bits.tag <= cam[1].bits.tag @[dec_decode_ctl.scala 301:22] + cam_in[1].bits.wb <= cam[1].bits.wb @[dec_decode_ctl.scala 301:22] + cam_in[1].valid <= cam[1].valid @[dec_decode_ctl.scala 301:22] + skip @[dec_decode_ctl.scala 300:16] + node _T_134 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[dec_decode_ctl.scala 303:37] + node _T_135 = eq(io.dctl_busbuff.lsu_nonblock_load_inv_tag_r, cam[1].bits.tag) @[dec_decode_ctl.scala 303:92] + node _T_136 = and(_T_134, _T_135) @[dec_decode_ctl.scala 303:44] + node _T_137 = eq(cam[1].valid, UInt<1>("h01")) @[dec_decode_ctl.scala 303:128] + node _T_138 = and(_T_136, _T_137) @[dec_decode_ctl.scala 303:113] + when _T_138 : @[dec_decode_ctl.scala 303:135] + cam_in[1].bits.wb <= UInt<1>("h01") @[dec_decode_ctl.scala 304:25] + skip @[dec_decode_ctl.scala 303:135] + when io.dec_tlu_force_halt : @[dec_decode_ctl.scala 307:32] + cam_in[1].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 308:23] + skip @[dec_decode_ctl.scala 307:32] + wire _T_139 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[dec_decode_ctl.scala 311:70] + _T_139.bits.rd <= UInt<5>("h00") @[dec_decode_ctl.scala 311:70] + _T_139.bits.tag <= UInt<3>("h00") @[dec_decode_ctl.scala 311:70] + _T_139.bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 311:70] + _T_139.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 311:70] + reg _T_140 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}, io.free_clk with : (reset => (reset, _T_139)) @[dec_decode_ctl.scala 311:47] + _T_140.bits.rd <= cam_in[1].bits.rd @[dec_decode_ctl.scala 311:47] + _T_140.bits.tag <= cam_in[1].bits.tag @[dec_decode_ctl.scala 311:47] + _T_140.bits.wb <= cam_in[1].bits.wb @[dec_decode_ctl.scala 311:47] + _T_140.valid <= cam_in[1].valid @[dec_decode_ctl.scala 311:47] + cam_raw[1].bits.rd <= _T_140.bits.rd @[dec_decode_ctl.scala 311:15] + cam_raw[1].bits.tag <= _T_140.bits.tag @[dec_decode_ctl.scala 311:15] + cam_raw[1].bits.wb <= _T_140.bits.wb @[dec_decode_ctl.scala 311:15] + cam_raw[1].valid <= _T_140.valid @[dec_decode_ctl.scala 311:15] + node _T_141 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, cam_raw[1].bits.tag) @[dec_decode_ctl.scala 312:46] + node _T_142 = and(_T_141, cam_raw[1].valid) @[dec_decode_ctl.scala 312:71] + nonblock_load_write[1] <= _T_142 @[dec_decode_ctl.scala 312:28] + node _T_143 = eq(io.dctl_busbuff.lsu_nonblock_load_inv_tag_r, cam[2].bits.tag) @[dec_decode_ctl.scala 285:66] + node _T_144 = and(io.dctl_busbuff.lsu_nonblock_load_inv_r, _T_143) @[dec_decode_ctl.scala 285:45] + node _T_145 = and(_T_144, cam[2].valid) @[dec_decode_ctl.scala 285:87] + cam_inv_reset_val[2] <= _T_145 @[dec_decode_ctl.scala 285:26] + node _T_146 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, cam[2].bits.tag) @[dec_decode_ctl.scala 286:67] + node _T_147 = and(cam_data_reset, _T_146) @[dec_decode_ctl.scala 286:45] + node _T_148 = and(_T_147, cam_raw[2].valid) @[dec_decode_ctl.scala 286:88] + cam_data_reset_val[2] <= _T_148 @[dec_decode_ctl.scala 286:27] + wire _T_149 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[dec_decode_ctl.scala 287:28] + _T_149.bits.rd <= UInt<5>("h00") @[dec_decode_ctl.scala 287:28] + _T_149.bits.tag <= UInt<3>("h00") @[dec_decode_ctl.scala 287:28] + _T_149.bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 287:28] + _T_149.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 287:28] + cam_in[2].bits.rd <= _T_149.bits.rd @[dec_decode_ctl.scala 287:14] + cam_in[2].bits.tag <= _T_149.bits.tag @[dec_decode_ctl.scala 287:14] + cam_in[2].bits.wb <= _T_149.bits.wb @[dec_decode_ctl.scala 287:14] + cam_in[2].valid <= _T_149.valid @[dec_decode_ctl.scala 287:14] + cam[2].bits.rd <= cam_raw[2].bits.rd @[dec_decode_ctl.scala 288:11] + cam[2].bits.tag <= cam_raw[2].bits.tag @[dec_decode_ctl.scala 288:11] + cam[2].bits.wb <= cam_raw[2].bits.wb @[dec_decode_ctl.scala 288:11] + cam[2].valid <= cam_raw[2].valid @[dec_decode_ctl.scala 288:11] + node _T_150 = bits(cam_data_reset_val[2], 0, 0) @[dec_decode_ctl.scala 290:32] + when _T_150 : @[dec_decode_ctl.scala 290:39] + cam[2].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 291:20] + skip @[dec_decode_ctl.scala 290:39] + node _T_151 = bits(cam_wen, 2, 2) @[dec_decode_ctl.scala 293:17] + node _T_152 = bits(_T_151, 0, 0) @[dec_decode_ctl.scala 293:21] + when _T_152 : @[dec_decode_ctl.scala 293:28] + cam_in[2].valid <= UInt<1>("h01") @[dec_decode_ctl.scala 294:27] + cam_in[2].bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 295:32] + cam_in[2].bits.tag <= cam_write_tag @[dec_decode_ctl.scala 296:32] + cam_in[2].bits.rd <= nonblock_load_rd @[dec_decode_ctl.scala 297:32] + skip @[dec_decode_ctl.scala 293:28] + else : @[dec_decode_ctl.scala 298:131] + node _T_153 = bits(cam_inv_reset_val[2], 0, 0) @[dec_decode_ctl.scala 298:37] + node _T_154 = bits(i0_wen_r, 0, 0) @[dec_decode_ctl.scala 298:57] + node _T_155 = eq(r_d_in.bits.i0rd, cam[2].bits.rd) @[dec_decode_ctl.scala 298:85] + node _T_156 = and(_T_154, _T_155) @[dec_decode_ctl.scala 298:64] + node _T_157 = bits(cam[2].bits.wb, 0, 0) @[dec_decode_ctl.scala 298:123] + node _T_158 = and(_T_156, _T_157) @[dec_decode_ctl.scala 298:105] + node _T_159 = or(_T_153, _T_158) @[dec_decode_ctl.scala 298:44] + when _T_159 : @[dec_decode_ctl.scala 298:131] + cam_in[2].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 299:23] + skip @[dec_decode_ctl.scala 298:131] + else : @[dec_decode_ctl.scala 300:16] + cam_in[2].bits.rd <= cam[2].bits.rd @[dec_decode_ctl.scala 301:22] + cam_in[2].bits.tag <= cam[2].bits.tag @[dec_decode_ctl.scala 301:22] + cam_in[2].bits.wb <= cam[2].bits.wb @[dec_decode_ctl.scala 301:22] + cam_in[2].valid <= cam[2].valid @[dec_decode_ctl.scala 301:22] + skip @[dec_decode_ctl.scala 300:16] + node _T_160 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[dec_decode_ctl.scala 303:37] + node _T_161 = eq(io.dctl_busbuff.lsu_nonblock_load_inv_tag_r, cam[2].bits.tag) @[dec_decode_ctl.scala 303:92] + node _T_162 = and(_T_160, _T_161) @[dec_decode_ctl.scala 303:44] + node _T_163 = eq(cam[2].valid, UInt<1>("h01")) @[dec_decode_ctl.scala 303:128] + node _T_164 = and(_T_162, _T_163) @[dec_decode_ctl.scala 303:113] + when _T_164 : @[dec_decode_ctl.scala 303:135] + cam_in[2].bits.wb <= UInt<1>("h01") @[dec_decode_ctl.scala 304:25] + skip @[dec_decode_ctl.scala 303:135] + when io.dec_tlu_force_halt : @[dec_decode_ctl.scala 307:32] + cam_in[2].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 308:23] + skip @[dec_decode_ctl.scala 307:32] + wire _T_165 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[dec_decode_ctl.scala 311:70] + _T_165.bits.rd <= UInt<5>("h00") @[dec_decode_ctl.scala 311:70] + _T_165.bits.tag <= UInt<3>("h00") @[dec_decode_ctl.scala 311:70] + _T_165.bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 311:70] + _T_165.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 311:70] + reg _T_166 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}, io.free_clk with : (reset => (reset, _T_165)) @[dec_decode_ctl.scala 311:47] + _T_166.bits.rd <= cam_in[2].bits.rd @[dec_decode_ctl.scala 311:47] + _T_166.bits.tag <= cam_in[2].bits.tag @[dec_decode_ctl.scala 311:47] + _T_166.bits.wb <= cam_in[2].bits.wb @[dec_decode_ctl.scala 311:47] + _T_166.valid <= cam_in[2].valid @[dec_decode_ctl.scala 311:47] + cam_raw[2].bits.rd <= _T_166.bits.rd @[dec_decode_ctl.scala 311:15] + cam_raw[2].bits.tag <= _T_166.bits.tag @[dec_decode_ctl.scala 311:15] + cam_raw[2].bits.wb <= _T_166.bits.wb @[dec_decode_ctl.scala 311:15] + cam_raw[2].valid <= _T_166.valid @[dec_decode_ctl.scala 311:15] + node _T_167 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, cam_raw[2].bits.tag) @[dec_decode_ctl.scala 312:46] + node _T_168 = and(_T_167, cam_raw[2].valid) @[dec_decode_ctl.scala 312:71] + nonblock_load_write[2] <= _T_168 @[dec_decode_ctl.scala 312:28] + node _T_169 = eq(io.dctl_busbuff.lsu_nonblock_load_inv_tag_r, cam[3].bits.tag) @[dec_decode_ctl.scala 285:66] + node _T_170 = and(io.dctl_busbuff.lsu_nonblock_load_inv_r, _T_169) @[dec_decode_ctl.scala 285:45] + node _T_171 = and(_T_170, cam[3].valid) @[dec_decode_ctl.scala 285:87] + cam_inv_reset_val[3] <= _T_171 @[dec_decode_ctl.scala 285:26] + node _T_172 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, cam[3].bits.tag) @[dec_decode_ctl.scala 286:67] + node _T_173 = and(cam_data_reset, _T_172) @[dec_decode_ctl.scala 286:45] + node _T_174 = and(_T_173, cam_raw[3].valid) @[dec_decode_ctl.scala 286:88] + cam_data_reset_val[3] <= _T_174 @[dec_decode_ctl.scala 286:27] + wire _T_175 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[dec_decode_ctl.scala 287:28] + _T_175.bits.rd <= UInt<5>("h00") @[dec_decode_ctl.scala 287:28] + _T_175.bits.tag <= UInt<3>("h00") @[dec_decode_ctl.scala 287:28] + _T_175.bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 287:28] + _T_175.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 287:28] + cam_in[3].bits.rd <= _T_175.bits.rd @[dec_decode_ctl.scala 287:14] + cam_in[3].bits.tag <= _T_175.bits.tag @[dec_decode_ctl.scala 287:14] + cam_in[3].bits.wb <= _T_175.bits.wb @[dec_decode_ctl.scala 287:14] + cam_in[3].valid <= _T_175.valid @[dec_decode_ctl.scala 287:14] + cam[3].bits.rd <= cam_raw[3].bits.rd @[dec_decode_ctl.scala 288:11] + cam[3].bits.tag <= cam_raw[3].bits.tag @[dec_decode_ctl.scala 288:11] + cam[3].bits.wb <= cam_raw[3].bits.wb @[dec_decode_ctl.scala 288:11] + cam[3].valid <= cam_raw[3].valid @[dec_decode_ctl.scala 288:11] + node _T_176 = bits(cam_data_reset_val[3], 0, 0) @[dec_decode_ctl.scala 290:32] + when _T_176 : @[dec_decode_ctl.scala 290:39] + cam[3].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 291:20] + skip @[dec_decode_ctl.scala 290:39] + node _T_177 = bits(cam_wen, 3, 3) @[dec_decode_ctl.scala 293:17] + node _T_178 = bits(_T_177, 0, 0) @[dec_decode_ctl.scala 293:21] + when _T_178 : @[dec_decode_ctl.scala 293:28] + cam_in[3].valid <= UInt<1>("h01") @[dec_decode_ctl.scala 294:27] + cam_in[3].bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 295:32] + cam_in[3].bits.tag <= cam_write_tag @[dec_decode_ctl.scala 296:32] + cam_in[3].bits.rd <= nonblock_load_rd @[dec_decode_ctl.scala 297:32] + skip @[dec_decode_ctl.scala 293:28] + else : @[dec_decode_ctl.scala 298:131] + node _T_179 = bits(cam_inv_reset_val[3], 0, 0) @[dec_decode_ctl.scala 298:37] + node _T_180 = bits(i0_wen_r, 0, 0) @[dec_decode_ctl.scala 298:57] + node _T_181 = eq(r_d_in.bits.i0rd, cam[3].bits.rd) @[dec_decode_ctl.scala 298:85] + node _T_182 = and(_T_180, _T_181) @[dec_decode_ctl.scala 298:64] + node _T_183 = bits(cam[3].bits.wb, 0, 0) @[dec_decode_ctl.scala 298:123] + node _T_184 = and(_T_182, _T_183) @[dec_decode_ctl.scala 298:105] + node _T_185 = or(_T_179, _T_184) @[dec_decode_ctl.scala 298:44] + when _T_185 : @[dec_decode_ctl.scala 298:131] + cam_in[3].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 299:23] + skip @[dec_decode_ctl.scala 298:131] + else : @[dec_decode_ctl.scala 300:16] + cam_in[3].bits.rd <= cam[3].bits.rd @[dec_decode_ctl.scala 301:22] + cam_in[3].bits.tag <= cam[3].bits.tag @[dec_decode_ctl.scala 301:22] + cam_in[3].bits.wb <= cam[3].bits.wb @[dec_decode_ctl.scala 301:22] + cam_in[3].valid <= cam[3].valid @[dec_decode_ctl.scala 301:22] + skip @[dec_decode_ctl.scala 300:16] + node _T_186 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[dec_decode_ctl.scala 303:37] + node _T_187 = eq(io.dctl_busbuff.lsu_nonblock_load_inv_tag_r, cam[3].bits.tag) @[dec_decode_ctl.scala 303:92] + node _T_188 = and(_T_186, _T_187) @[dec_decode_ctl.scala 303:44] + node _T_189 = eq(cam[3].valid, UInt<1>("h01")) @[dec_decode_ctl.scala 303:128] + node _T_190 = and(_T_188, _T_189) @[dec_decode_ctl.scala 303:113] + when _T_190 : @[dec_decode_ctl.scala 303:135] + cam_in[3].bits.wb <= UInt<1>("h01") @[dec_decode_ctl.scala 304:25] + skip @[dec_decode_ctl.scala 303:135] + when io.dec_tlu_force_halt : @[dec_decode_ctl.scala 307:32] + cam_in[3].valid <= UInt<1>("h00") @[dec_decode_ctl.scala 308:23] + skip @[dec_decode_ctl.scala 307:32] + wire _T_191 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[dec_decode_ctl.scala 311:70] + _T_191.bits.rd <= UInt<5>("h00") @[dec_decode_ctl.scala 311:70] + _T_191.bits.tag <= UInt<3>("h00") @[dec_decode_ctl.scala 311:70] + _T_191.bits.wb <= UInt<1>("h00") @[dec_decode_ctl.scala 311:70] + _T_191.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 311:70] + reg _T_192 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}, io.free_clk with : (reset => (reset, _T_191)) @[dec_decode_ctl.scala 311:47] + _T_192.bits.rd <= cam_in[3].bits.rd @[dec_decode_ctl.scala 311:47] + _T_192.bits.tag <= cam_in[3].bits.tag @[dec_decode_ctl.scala 311:47] + _T_192.bits.wb <= cam_in[3].bits.wb @[dec_decode_ctl.scala 311:47] + _T_192.valid <= cam_in[3].valid @[dec_decode_ctl.scala 311:47] + cam_raw[3].bits.rd <= _T_192.bits.rd @[dec_decode_ctl.scala 311:15] + cam_raw[3].bits.tag <= _T_192.bits.tag @[dec_decode_ctl.scala 311:15] + cam_raw[3].bits.wb <= _T_192.bits.wb @[dec_decode_ctl.scala 311:15] + cam_raw[3].valid <= _T_192.valid @[dec_decode_ctl.scala 311:15] + node _T_193 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, cam_raw[3].bits.tag) @[dec_decode_ctl.scala 312:46] + node _T_194 = and(_T_193, cam_raw[3].valid) @[dec_decode_ctl.scala 312:71] + nonblock_load_write[3] <= _T_194 @[dec_decode_ctl.scala 312:28] + io.dec_nonblock_load_waddr <= UInt<5>("h00") @[dec_decode_ctl.scala 315:29] + node _T_195 = eq(r_d_in.bits.i0rd, io.dec_nonblock_load_waddr) @[dec_decode_ctl.scala 317:49] + node nonblock_load_cancel = and(_T_195, i0_wen_r) @[dec_decode_ctl.scala 317:81] + node _T_196 = or(nonblock_load_write[0], nonblock_load_write[1]) @[dec_decode_ctl.scala 318:108] + node _T_197 = or(_T_196, nonblock_load_write[2]) @[dec_decode_ctl.scala 318:108] + node _T_198 = or(_T_197, nonblock_load_write[3]) @[dec_decode_ctl.scala 318:108] + node _T_199 = bits(_T_198, 0, 0) @[dec_decode_ctl.scala 318:112] + node _T_200 = and(io.dctl_busbuff.lsu_nonblock_load_data_valid, _T_199) @[dec_decode_ctl.scala 318:77] + node _T_201 = eq(nonblock_load_cancel, UInt<1>("h00")) @[dec_decode_ctl.scala 318:122] + node _T_202 = and(_T_200, _T_201) @[dec_decode_ctl.scala 318:119] + io.dec_nonblock_load_wen <= _T_202 @[dec_decode_ctl.scala 318:28] + node _T_203 = eq(nonblock_load_rd, i0r.rs1) @[dec_decode_ctl.scala 319:54] + node _T_204 = and(_T_203, io.dctl_busbuff.lsu_nonblock_load_valid_m) @[dec_decode_ctl.scala 319:66] + node _T_205 = and(_T_204, io.decode_exu.dec_i0_rs1_en_d) @[dec_decode_ctl.scala 319:110] + node _T_206 = eq(nonblock_load_rd, i0r.rs2) @[dec_decode_ctl.scala 319:161] + node _T_207 = and(_T_206, io.dctl_busbuff.lsu_nonblock_load_valid_m) @[dec_decode_ctl.scala 319:173] + node _T_208 = and(_T_207, io.decode_exu.dec_i0_rs2_en_d) @[dec_decode_ctl.scala 319:217] + node i0_nonblock_boundary_stall = or(_T_205, _T_208) @[dec_decode_ctl.scala 319:142] + i0_nonblock_load_stall <= i0_nonblock_boundary_stall @[dec_decode_ctl.scala 321:26] node _T_209 = bits(nonblock_load_write[0], 0, 0) @[Bitwise.scala 72:15] node _T_210 = mux(_T_209, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] - node _T_211 = and(_T_210, cam[0].bits.rd) @[dec_decode_ctl.scala 329:88] - node _T_212 = and(io.decode_exu.dec_i0_rs1_en_d, cam[0].valid) @[dec_decode_ctl.scala 329:137] - node _T_213 = eq(cam[0].bits.rd, i0r.rs1) @[dec_decode_ctl.scala 329:170] - node _T_214 = and(_T_212, _T_213) @[dec_decode_ctl.scala 329:152] - node _T_215 = and(io.decode_exu.dec_i0_rs2_en_d, cam[0].valid) @[dec_decode_ctl.scala 329:214] - node _T_216 = eq(cam[0].bits.rd, i0r.rs2) @[dec_decode_ctl.scala 329:247] - node _T_217 = and(_T_215, _T_216) @[dec_decode_ctl.scala 329:229] + node _T_211 = and(_T_210, cam[0].bits.rd) @[dec_decode_ctl.scala 323:88] + node _T_212 = and(io.decode_exu.dec_i0_rs1_en_d, cam[0].valid) @[dec_decode_ctl.scala 323:137] + node _T_213 = eq(cam[0].bits.rd, i0r.rs1) @[dec_decode_ctl.scala 323:170] + node _T_214 = and(_T_212, _T_213) @[dec_decode_ctl.scala 323:152] + node _T_215 = and(io.decode_exu.dec_i0_rs2_en_d, cam[0].valid) @[dec_decode_ctl.scala 323:214] + node _T_216 = eq(cam[0].bits.rd, i0r.rs2) @[dec_decode_ctl.scala 323:247] + node _T_217 = and(_T_215, _T_216) @[dec_decode_ctl.scala 323:229] node _T_218 = bits(nonblock_load_write[1], 0, 0) @[Bitwise.scala 72:15] node _T_219 = mux(_T_218, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] - node _T_220 = and(_T_219, cam[1].bits.rd) @[dec_decode_ctl.scala 329:88] - node _T_221 = and(io.decode_exu.dec_i0_rs1_en_d, cam[1].valid) @[dec_decode_ctl.scala 329:137] - node _T_222 = eq(cam[1].bits.rd, i0r.rs1) @[dec_decode_ctl.scala 329:170] - node _T_223 = and(_T_221, _T_222) @[dec_decode_ctl.scala 329:152] - node _T_224 = and(io.decode_exu.dec_i0_rs2_en_d, cam[1].valid) @[dec_decode_ctl.scala 329:214] - node _T_225 = eq(cam[1].bits.rd, i0r.rs2) @[dec_decode_ctl.scala 329:247] - node _T_226 = and(_T_224, _T_225) @[dec_decode_ctl.scala 329:229] + node _T_220 = and(_T_219, cam[1].bits.rd) @[dec_decode_ctl.scala 323:88] + node _T_221 = and(io.decode_exu.dec_i0_rs1_en_d, cam[1].valid) @[dec_decode_ctl.scala 323:137] + node _T_222 = eq(cam[1].bits.rd, i0r.rs1) @[dec_decode_ctl.scala 323:170] + node _T_223 = and(_T_221, _T_222) @[dec_decode_ctl.scala 323:152] + node _T_224 = and(io.decode_exu.dec_i0_rs2_en_d, cam[1].valid) @[dec_decode_ctl.scala 323:214] + node _T_225 = eq(cam[1].bits.rd, i0r.rs2) @[dec_decode_ctl.scala 323:247] + node _T_226 = and(_T_224, _T_225) @[dec_decode_ctl.scala 323:229] node _T_227 = bits(nonblock_load_write[2], 0, 0) @[Bitwise.scala 72:15] node _T_228 = mux(_T_227, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] - node _T_229 = and(_T_228, cam[2].bits.rd) @[dec_decode_ctl.scala 329:88] - node _T_230 = and(io.decode_exu.dec_i0_rs1_en_d, cam[2].valid) @[dec_decode_ctl.scala 329:137] - node _T_231 = eq(cam[2].bits.rd, i0r.rs1) @[dec_decode_ctl.scala 329:170] - node _T_232 = and(_T_230, _T_231) @[dec_decode_ctl.scala 329:152] - node _T_233 = and(io.decode_exu.dec_i0_rs2_en_d, cam[2].valid) @[dec_decode_ctl.scala 329:214] - node _T_234 = eq(cam[2].bits.rd, i0r.rs2) @[dec_decode_ctl.scala 329:247] - node _T_235 = and(_T_233, _T_234) @[dec_decode_ctl.scala 329:229] + node _T_229 = and(_T_228, cam[2].bits.rd) @[dec_decode_ctl.scala 323:88] + node _T_230 = and(io.decode_exu.dec_i0_rs1_en_d, cam[2].valid) @[dec_decode_ctl.scala 323:137] + node _T_231 = eq(cam[2].bits.rd, i0r.rs1) @[dec_decode_ctl.scala 323:170] + node _T_232 = and(_T_230, _T_231) @[dec_decode_ctl.scala 323:152] + node _T_233 = and(io.decode_exu.dec_i0_rs2_en_d, cam[2].valid) @[dec_decode_ctl.scala 323:214] + node _T_234 = eq(cam[2].bits.rd, i0r.rs2) @[dec_decode_ctl.scala 323:247] + node _T_235 = and(_T_233, _T_234) @[dec_decode_ctl.scala 323:229] node _T_236 = bits(nonblock_load_write[3], 0, 0) @[Bitwise.scala 72:15] node _T_237 = mux(_T_236, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] - node _T_238 = and(_T_237, cam[3].bits.rd) @[dec_decode_ctl.scala 329:88] - node _T_239 = and(io.decode_exu.dec_i0_rs1_en_d, cam[3].valid) @[dec_decode_ctl.scala 329:137] - node _T_240 = eq(cam[3].bits.rd, i0r.rs1) @[dec_decode_ctl.scala 329:170] - node _T_241 = and(_T_239, _T_240) @[dec_decode_ctl.scala 329:152] - node _T_242 = and(io.decode_exu.dec_i0_rs2_en_d, cam[3].valid) @[dec_decode_ctl.scala 329:214] - node _T_243 = eq(cam[3].bits.rd, i0r.rs2) @[dec_decode_ctl.scala 329:247] - node _T_244 = and(_T_242, _T_243) @[dec_decode_ctl.scala 329:229] - node _T_245 = or(_T_211, _T_220) @[dec_decode_ctl.scala 330:69] - node _T_246 = or(_T_245, _T_229) @[dec_decode_ctl.scala 330:69] - node waddr = or(_T_246, _T_238) @[dec_decode_ctl.scala 330:69] - node _T_247 = or(_T_214, _T_223) @[dec_decode_ctl.scala 330:102] - node _T_248 = or(_T_247, _T_232) @[dec_decode_ctl.scala 330:102] - node ld_stall_1 = or(_T_248, _T_241) @[dec_decode_ctl.scala 330:102] - node _T_249 = or(_T_217, _T_226) @[dec_decode_ctl.scala 330:134] - node _T_250 = or(_T_249, _T_235) @[dec_decode_ctl.scala 330:134] - node ld_stall_2 = or(_T_250, _T_244) @[dec_decode_ctl.scala 330:134] - io.dec_nonblock_load_waddr <= waddr @[dec_decode_ctl.scala 331:29] - node _T_251 = or(ld_stall_1, ld_stall_2) @[dec_decode_ctl.scala 332:38] - node _T_252 = or(_T_251, i0_nonblock_boundary_stall) @[dec_decode_ctl.scala 332:51] - i0_nonblock_load_stall <= _T_252 @[dec_decode_ctl.scala 332:25] - node _T_253 = eq(i0_predict_br, UInt<1>("h00")) @[dec_decode_ctl.scala 341:34] - node i0_br_unpred = and(i0_dp.jal, _T_253) @[dec_decode_ctl.scala 341:32] + node _T_238 = and(_T_237, cam[3].bits.rd) @[dec_decode_ctl.scala 323:88] + node _T_239 = and(io.decode_exu.dec_i0_rs1_en_d, cam[3].valid) @[dec_decode_ctl.scala 323:137] + node _T_240 = eq(cam[3].bits.rd, i0r.rs1) @[dec_decode_ctl.scala 323:170] + node _T_241 = and(_T_239, _T_240) @[dec_decode_ctl.scala 323:152] + node _T_242 = and(io.decode_exu.dec_i0_rs2_en_d, cam[3].valid) @[dec_decode_ctl.scala 323:214] + node _T_243 = eq(cam[3].bits.rd, i0r.rs2) @[dec_decode_ctl.scala 323:247] + node _T_244 = and(_T_242, _T_243) @[dec_decode_ctl.scala 323:229] + node _T_245 = or(_T_211, _T_220) @[dec_decode_ctl.scala 324:69] + node _T_246 = or(_T_245, _T_229) @[dec_decode_ctl.scala 324:69] + node waddr = or(_T_246, _T_238) @[dec_decode_ctl.scala 324:69] + node _T_247 = or(_T_214, _T_223) @[dec_decode_ctl.scala 324:102] + node _T_248 = or(_T_247, _T_232) @[dec_decode_ctl.scala 324:102] + node ld_stall_1 = or(_T_248, _T_241) @[dec_decode_ctl.scala 324:102] + node _T_249 = or(_T_217, _T_226) @[dec_decode_ctl.scala 324:134] + node _T_250 = or(_T_249, _T_235) @[dec_decode_ctl.scala 324:134] + node ld_stall_2 = or(_T_250, _T_244) @[dec_decode_ctl.scala 324:134] + io.dec_nonblock_load_waddr <= waddr @[dec_decode_ctl.scala 325:29] + node _T_251 = or(ld_stall_1, ld_stall_2) @[dec_decode_ctl.scala 326:38] + node _T_252 = or(_T_251, i0_nonblock_boundary_stall) @[dec_decode_ctl.scala 326:51] + i0_nonblock_load_stall <= _T_252 @[dec_decode_ctl.scala 326:25] + node _T_253 = eq(i0_predict_br, UInt<1>("h00")) @[dec_decode_ctl.scala 335:34] + node i0_br_unpred = and(i0_dp.jal, _T_253) @[dec_decode_ctl.scala 335:32] node _T_254 = bits(i0_legal_decode_d, 0, 0) @[Bitwise.scala 72:15] node _T_255 = mux(_T_254, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_256 = and(csr_read, io.dec_csr_wen_unq_d) @[dec_decode_ctl.scala 353:16] - node _T_257 = bits(_T_256, 0, 0) @[dec_decode_ctl.scala 353:30] - node _T_258 = eq(csr_read, UInt<1>("h00")) @[dec_decode_ctl.scala 354:6] - node _T_259 = and(_T_258, io.dec_csr_wen_unq_d) @[dec_decode_ctl.scala 354:16] - node _T_260 = bits(_T_259, 0, 0) @[dec_decode_ctl.scala 354:30] - node _T_261 = eq(io.dec_csr_wen_unq_d, UInt<1>("h00")) @[dec_decode_ctl.scala 355:18] - node _T_262 = and(csr_read, _T_261) @[dec_decode_ctl.scala 355:16] - node _T_263 = bits(_T_262, 0, 0) @[dec_decode_ctl.scala 355:30] + node _T_256 = and(csr_read, io.dec_csr_wen_unq_d) @[dec_decode_ctl.scala 347:16] + node _T_257 = bits(_T_256, 0, 0) @[dec_decode_ctl.scala 347:30] + node _T_258 = eq(csr_read, UInt<1>("h00")) @[dec_decode_ctl.scala 348:6] + node _T_259 = and(_T_258, io.dec_csr_wen_unq_d) @[dec_decode_ctl.scala 348:16] + node _T_260 = bits(_T_259, 0, 0) @[dec_decode_ctl.scala 348:30] + node _T_261 = eq(io.dec_csr_wen_unq_d, UInt<1>("h00")) @[dec_decode_ctl.scala 349:18] + node _T_262 = and(csr_read, _T_261) @[dec_decode_ctl.scala 349:16] + node _T_263 = bits(_T_262, 0, 0) @[dec_decode_ctl.scala 349:30] node _T_264 = mux(i0_dp.mul, UInt<4>("h01"), UInt<4>("h00")) @[Mux.scala 98:16] node _T_265 = mux(i0_dp.load, UInt<4>("h02"), _T_264) @[Mux.scala 98:16] node _T_266 = mux(i0_dp.store, UInt<4>("h03"), _T_265) @[Mux.scala 98:16] @@ -67725,244 +67723,244 @@ circuit quasar_wrapper : node _T_275 = mux(i0_dp.mret, UInt<4>("h0c"), _T_274) @[Mux.scala 98:16] node _T_276 = mux(i0_dp.condbr, UInt<4>("h0d"), _T_275) @[Mux.scala 98:16] node _T_277 = mux(i0_dp.jal, UInt<4>("h0e"), _T_276) @[Mux.scala 98:16] - node _T_278 = and(_T_255, _T_277) @[dec_decode_ctl.scala 345:49] - d_t.pmu_i0_itype <= _T_278 @[dec_decode_ctl.scala 345:21] - inst i0_dec of dec_dec_ctl @[dec_decode_ctl.scala 362:22] + node _T_278 = and(_T_255, _T_277) @[dec_decode_ctl.scala 339:49] + d_t.pmu_i0_itype <= _T_278 @[dec_decode_ctl.scala 339:21] + inst i0_dec of dec_dec_ctl @[dec_decode_ctl.scala 356:22] i0_dec.clock <= clock i0_dec.reset <= reset - i0_dec.io.ins <= io.dec_i0_instr_d @[dec_decode_ctl.scala 363:16] - i0_dp_raw.legal <= i0_dec.io.out.legal @[dec_decode_ctl.scala 364:12] - i0_dp_raw.pm_alu <= i0_dec.io.out.pm_alu @[dec_decode_ctl.scala 364:12] - i0_dp_raw.fence_i <= i0_dec.io.out.fence_i @[dec_decode_ctl.scala 364:12] - i0_dp_raw.fence <= i0_dec.io.out.fence @[dec_decode_ctl.scala 364:12] - i0_dp_raw.rem <= i0_dec.io.out.rem @[dec_decode_ctl.scala 364:12] - i0_dp_raw.div <= i0_dec.io.out.div @[dec_decode_ctl.scala 364:12] - i0_dp_raw.low <= i0_dec.io.out.low @[dec_decode_ctl.scala 364:12] - i0_dp_raw.rs2_sign <= i0_dec.io.out.rs2_sign @[dec_decode_ctl.scala 364:12] - i0_dp_raw.rs1_sign <= i0_dec.io.out.rs1_sign @[dec_decode_ctl.scala 364:12] - i0_dp_raw.mul <= i0_dec.io.out.mul @[dec_decode_ctl.scala 364:12] - i0_dp_raw.mret <= i0_dec.io.out.mret @[dec_decode_ctl.scala 364:12] - i0_dp_raw.ecall <= i0_dec.io.out.ecall @[dec_decode_ctl.scala 364:12] - i0_dp_raw.ebreak <= i0_dec.io.out.ebreak @[dec_decode_ctl.scala 364:12] - i0_dp_raw.postsync <= i0_dec.io.out.postsync @[dec_decode_ctl.scala 364:12] - i0_dp_raw.presync <= i0_dec.io.out.presync @[dec_decode_ctl.scala 364:12] - i0_dp_raw.csr_imm <= i0_dec.io.out.csr_imm @[dec_decode_ctl.scala 364:12] - i0_dp_raw.csr_write <= i0_dec.io.out.csr_write @[dec_decode_ctl.scala 364:12] - i0_dp_raw.csr_set <= i0_dec.io.out.csr_set @[dec_decode_ctl.scala 364:12] - i0_dp_raw.csr_clr <= i0_dec.io.out.csr_clr @[dec_decode_ctl.scala 364:12] - i0_dp_raw.csr_read <= i0_dec.io.out.csr_read @[dec_decode_ctl.scala 364:12] - i0_dp_raw.word <= i0_dec.io.out.word @[dec_decode_ctl.scala 364:12] - i0_dp_raw.half <= i0_dec.io.out.half @[dec_decode_ctl.scala 364:12] - i0_dp_raw.by <= i0_dec.io.out.by @[dec_decode_ctl.scala 364:12] - i0_dp_raw.jal <= i0_dec.io.out.jal @[dec_decode_ctl.scala 364:12] - i0_dp_raw.blt <= i0_dec.io.out.blt @[dec_decode_ctl.scala 364:12] - i0_dp_raw.bge <= i0_dec.io.out.bge @[dec_decode_ctl.scala 364:12] - i0_dp_raw.bne <= i0_dec.io.out.bne @[dec_decode_ctl.scala 364:12] - i0_dp_raw.beq <= i0_dec.io.out.beq @[dec_decode_ctl.scala 364:12] - i0_dp_raw.condbr <= i0_dec.io.out.condbr @[dec_decode_ctl.scala 364:12] - i0_dp_raw.unsign <= i0_dec.io.out.unsign @[dec_decode_ctl.scala 364:12] - i0_dp_raw.slt <= i0_dec.io.out.slt @[dec_decode_ctl.scala 364:12] - i0_dp_raw.srl <= i0_dec.io.out.srl @[dec_decode_ctl.scala 364:12] - i0_dp_raw.sra <= i0_dec.io.out.sra @[dec_decode_ctl.scala 364:12] - i0_dp_raw.sll <= i0_dec.io.out.sll @[dec_decode_ctl.scala 364:12] - i0_dp_raw.lxor <= i0_dec.io.out.lxor @[dec_decode_ctl.scala 364:12] - i0_dp_raw.lor <= i0_dec.io.out.lor @[dec_decode_ctl.scala 364:12] - i0_dp_raw.land <= i0_dec.io.out.land @[dec_decode_ctl.scala 364:12] - i0_dp_raw.sub <= i0_dec.io.out.sub @[dec_decode_ctl.scala 364:12] - i0_dp_raw.add <= i0_dec.io.out.add @[dec_decode_ctl.scala 364:12] - i0_dp_raw.lsu <= i0_dec.io.out.lsu @[dec_decode_ctl.scala 364:12] - i0_dp_raw.store <= i0_dec.io.out.store @[dec_decode_ctl.scala 364:12] - i0_dp_raw.load <= i0_dec.io.out.load @[dec_decode_ctl.scala 364:12] - i0_dp_raw.pc <= i0_dec.io.out.pc @[dec_decode_ctl.scala 364:12] - i0_dp_raw.imm20 <= i0_dec.io.out.imm20 @[dec_decode_ctl.scala 364:12] - i0_dp_raw.shimm5 <= i0_dec.io.out.shimm5 @[dec_decode_ctl.scala 364:12] - i0_dp_raw.rd <= i0_dec.io.out.rd @[dec_decode_ctl.scala 364:12] - i0_dp_raw.imm12 <= i0_dec.io.out.imm12 @[dec_decode_ctl.scala 364:12] - i0_dp_raw.rs2 <= i0_dec.io.out.rs2 @[dec_decode_ctl.scala 364:12] - i0_dp_raw.rs1 <= i0_dec.io.out.rs1 @[dec_decode_ctl.scala 364:12] - i0_dp_raw.alu <= i0_dec.io.out.alu @[dec_decode_ctl.scala 364:12] - reg _T_279 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 366:45] - _T_279 <= io.lsu_idle_any @[dec_decode_ctl.scala 366:45] - lsu_idle <= _T_279 @[dec_decode_ctl.scala 366:11] - node _T_280 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[dec_decode_ctl.scala 369:73] - node _T_281 = and(leak1_i1_stall, _T_280) @[dec_decode_ctl.scala 369:71] - node _T_282 = or(io.dec_tlu_flush_leak_one_r, _T_281) @[dec_decode_ctl.scala 369:53] - leak1_i1_stall_in <= _T_282 @[dec_decode_ctl.scala 369:21] - reg _T_283 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 370:56] - _T_283 <= leak1_i1_stall_in @[dec_decode_ctl.scala 370:56] - leak1_i1_stall <= _T_283 @[dec_decode_ctl.scala 370:21] - leak1_mode <= leak1_i1_stall @[dec_decode_ctl.scala 371:14] - node _T_284 = and(io.dec_aln.dec_i0_decode_d, leak1_i1_stall) @[dec_decode_ctl.scala 372:53] - node _T_285 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[dec_decode_ctl.scala 372:91] - node _T_286 = and(leak1_i0_stall, _T_285) @[dec_decode_ctl.scala 372:89] - node _T_287 = or(_T_284, _T_286) @[dec_decode_ctl.scala 372:71] - leak1_i0_stall_in <= _T_287 @[dec_decode_ctl.scala 372:21] - reg _T_288 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 373:56] - _T_288 <= leak1_i0_stall_in @[dec_decode_ctl.scala 373:56] - leak1_i0_stall <= _T_288 @[dec_decode_ctl.scala 373:21] - node _T_289 = bits(io.dec_i0_instr_d, 31, 31) @[dec_decode_ctl.scala 377:29] - node _T_290 = bits(io.dec_i0_instr_d, 19, 12) @[dec_decode_ctl.scala 377:36] - node _T_291 = bits(io.dec_i0_instr_d, 20, 20) @[dec_decode_ctl.scala 377:46] - node _T_292 = bits(io.dec_i0_instr_d, 30, 21) @[dec_decode_ctl.scala 377:53] + i0_dec.io.ins <= io.dec_i0_instr_d @[dec_decode_ctl.scala 357:16] + i0_dp_raw.legal <= i0_dec.io.out.legal @[dec_decode_ctl.scala 358:12] + i0_dp_raw.pm_alu <= i0_dec.io.out.pm_alu @[dec_decode_ctl.scala 358:12] + i0_dp_raw.fence_i <= i0_dec.io.out.fence_i @[dec_decode_ctl.scala 358:12] + i0_dp_raw.fence <= i0_dec.io.out.fence @[dec_decode_ctl.scala 358:12] + i0_dp_raw.rem <= i0_dec.io.out.rem @[dec_decode_ctl.scala 358:12] + i0_dp_raw.div <= i0_dec.io.out.div @[dec_decode_ctl.scala 358:12] + i0_dp_raw.low <= i0_dec.io.out.low @[dec_decode_ctl.scala 358:12] + i0_dp_raw.rs2_sign <= i0_dec.io.out.rs2_sign @[dec_decode_ctl.scala 358:12] + i0_dp_raw.rs1_sign <= i0_dec.io.out.rs1_sign @[dec_decode_ctl.scala 358:12] + i0_dp_raw.mul <= i0_dec.io.out.mul @[dec_decode_ctl.scala 358:12] + i0_dp_raw.mret <= i0_dec.io.out.mret @[dec_decode_ctl.scala 358:12] + i0_dp_raw.ecall <= i0_dec.io.out.ecall @[dec_decode_ctl.scala 358:12] + i0_dp_raw.ebreak <= i0_dec.io.out.ebreak @[dec_decode_ctl.scala 358:12] + i0_dp_raw.postsync <= i0_dec.io.out.postsync @[dec_decode_ctl.scala 358:12] + i0_dp_raw.presync <= i0_dec.io.out.presync @[dec_decode_ctl.scala 358:12] + i0_dp_raw.csr_imm <= i0_dec.io.out.csr_imm @[dec_decode_ctl.scala 358:12] + i0_dp_raw.csr_write <= i0_dec.io.out.csr_write @[dec_decode_ctl.scala 358:12] + i0_dp_raw.csr_set <= i0_dec.io.out.csr_set @[dec_decode_ctl.scala 358:12] + i0_dp_raw.csr_clr <= i0_dec.io.out.csr_clr @[dec_decode_ctl.scala 358:12] + i0_dp_raw.csr_read <= i0_dec.io.out.csr_read @[dec_decode_ctl.scala 358:12] + i0_dp_raw.word <= i0_dec.io.out.word @[dec_decode_ctl.scala 358:12] + i0_dp_raw.half <= i0_dec.io.out.half @[dec_decode_ctl.scala 358:12] + i0_dp_raw.by <= i0_dec.io.out.by @[dec_decode_ctl.scala 358:12] + i0_dp_raw.jal <= i0_dec.io.out.jal @[dec_decode_ctl.scala 358:12] + i0_dp_raw.blt <= i0_dec.io.out.blt @[dec_decode_ctl.scala 358:12] + i0_dp_raw.bge <= i0_dec.io.out.bge @[dec_decode_ctl.scala 358:12] + i0_dp_raw.bne <= i0_dec.io.out.bne @[dec_decode_ctl.scala 358:12] + i0_dp_raw.beq <= i0_dec.io.out.beq @[dec_decode_ctl.scala 358:12] + i0_dp_raw.condbr <= i0_dec.io.out.condbr @[dec_decode_ctl.scala 358:12] + i0_dp_raw.unsign <= i0_dec.io.out.unsign @[dec_decode_ctl.scala 358:12] + i0_dp_raw.slt <= i0_dec.io.out.slt @[dec_decode_ctl.scala 358:12] + i0_dp_raw.srl <= i0_dec.io.out.srl @[dec_decode_ctl.scala 358:12] + i0_dp_raw.sra <= i0_dec.io.out.sra @[dec_decode_ctl.scala 358:12] + i0_dp_raw.sll <= i0_dec.io.out.sll @[dec_decode_ctl.scala 358:12] + i0_dp_raw.lxor <= i0_dec.io.out.lxor @[dec_decode_ctl.scala 358:12] + i0_dp_raw.lor <= i0_dec.io.out.lor @[dec_decode_ctl.scala 358:12] + i0_dp_raw.land <= i0_dec.io.out.land @[dec_decode_ctl.scala 358:12] + i0_dp_raw.sub <= i0_dec.io.out.sub @[dec_decode_ctl.scala 358:12] + i0_dp_raw.add <= i0_dec.io.out.add @[dec_decode_ctl.scala 358:12] + i0_dp_raw.lsu <= i0_dec.io.out.lsu @[dec_decode_ctl.scala 358:12] + i0_dp_raw.store <= i0_dec.io.out.store @[dec_decode_ctl.scala 358:12] + i0_dp_raw.load <= i0_dec.io.out.load @[dec_decode_ctl.scala 358:12] + i0_dp_raw.pc <= i0_dec.io.out.pc @[dec_decode_ctl.scala 358:12] + i0_dp_raw.imm20 <= i0_dec.io.out.imm20 @[dec_decode_ctl.scala 358:12] + i0_dp_raw.shimm5 <= i0_dec.io.out.shimm5 @[dec_decode_ctl.scala 358:12] + i0_dp_raw.rd <= i0_dec.io.out.rd @[dec_decode_ctl.scala 358:12] + i0_dp_raw.imm12 <= i0_dec.io.out.imm12 @[dec_decode_ctl.scala 358:12] + i0_dp_raw.rs2 <= i0_dec.io.out.rs2 @[dec_decode_ctl.scala 358:12] + i0_dp_raw.rs1 <= i0_dec.io.out.rs1 @[dec_decode_ctl.scala 358:12] + i0_dp_raw.alu <= i0_dec.io.out.alu @[dec_decode_ctl.scala 358:12] + reg _T_279 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 360:45] + _T_279 <= io.lsu_idle_any @[dec_decode_ctl.scala 360:45] + lsu_idle <= _T_279 @[dec_decode_ctl.scala 360:11] + node _T_280 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[dec_decode_ctl.scala 363:73] + node _T_281 = and(leak1_i1_stall, _T_280) @[dec_decode_ctl.scala 363:71] + node _T_282 = or(io.dec_tlu_flush_leak_one_r, _T_281) @[dec_decode_ctl.scala 363:53] + leak1_i1_stall_in <= _T_282 @[dec_decode_ctl.scala 363:21] + reg _T_283 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 364:56] + _T_283 <= leak1_i1_stall_in @[dec_decode_ctl.scala 364:56] + leak1_i1_stall <= _T_283 @[dec_decode_ctl.scala 364:21] + leak1_mode <= leak1_i1_stall @[dec_decode_ctl.scala 365:14] + node _T_284 = and(io.dec_aln.dec_i0_decode_d, leak1_i1_stall) @[dec_decode_ctl.scala 366:53] + node _T_285 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[dec_decode_ctl.scala 366:91] + node _T_286 = and(leak1_i0_stall, _T_285) @[dec_decode_ctl.scala 366:89] + node _T_287 = or(_T_284, _T_286) @[dec_decode_ctl.scala 366:71] + leak1_i0_stall_in <= _T_287 @[dec_decode_ctl.scala 366:21] + reg _T_288 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 367:56] + _T_288 <= leak1_i0_stall_in @[dec_decode_ctl.scala 367:56] + leak1_i0_stall <= _T_288 @[dec_decode_ctl.scala 367:21] + node _T_289 = bits(io.dec_i0_instr_d, 31, 31) @[dec_decode_ctl.scala 371:29] + node _T_290 = bits(io.dec_i0_instr_d, 19, 12) @[dec_decode_ctl.scala 371:36] + node _T_291 = bits(io.dec_i0_instr_d, 20, 20) @[dec_decode_ctl.scala 371:46] + node _T_292 = bits(io.dec_i0_instr_d, 30, 21) @[dec_decode_ctl.scala 371:53] node _T_293 = cat(_T_291, _T_292) @[Cat.scala 29:58] node _T_294 = cat(_T_289, _T_290) @[Cat.scala 29:58] node i0_pcall_imm = cat(_T_294, _T_293) @[Cat.scala 29:58] - node _T_295 = bits(i0_pcall_imm, 11, 11) @[dec_decode_ctl.scala 378:46] - node _T_296 = bits(_T_295, 0, 0) @[dec_decode_ctl.scala 378:51] - node _T_297 = bits(i0_pcall_imm, 19, 12) @[dec_decode_ctl.scala 378:71] - node _T_298 = eq(_T_297, UInt<8>("h0ff")) @[dec_decode_ctl.scala 378:79] - node _T_299 = bits(i0_pcall_imm, 19, 12) @[dec_decode_ctl.scala 378:104] - node _T_300 = eq(_T_299, UInt<8>("h00")) @[dec_decode_ctl.scala 378:112] - node i0_pcall_12b_offset = mux(_T_296, _T_298, _T_300) @[dec_decode_ctl.scala 378:33] - node _T_301 = and(i0_pcall_12b_offset, i0_dp_raw.imm20) @[dec_decode_ctl.scala 379:47] - node _T_302 = eq(i0r.rd, UInt<5>("h01")) @[dec_decode_ctl.scala 379:76] - node _T_303 = eq(i0r.rd, UInt<5>("h05")) @[dec_decode_ctl.scala 379:98] - node _T_304 = or(_T_302, _T_303) @[dec_decode_ctl.scala 379:89] - node i0_pcall_case = and(_T_301, _T_304) @[dec_decode_ctl.scala 379:65] - node _T_305 = and(i0_pcall_12b_offset, i0_dp_raw.imm20) @[dec_decode_ctl.scala 380:47] - node _T_306 = eq(i0r.rd, UInt<5>("h01")) @[dec_decode_ctl.scala 380:76] - node _T_307 = eq(i0r.rd, UInt<5>("h05")) @[dec_decode_ctl.scala 380:98] - node _T_308 = or(_T_306, _T_307) @[dec_decode_ctl.scala 380:89] - node _T_309 = eq(_T_308, UInt<1>("h00")) @[dec_decode_ctl.scala 380:67] - node i0_pja_case = and(_T_305, _T_309) @[dec_decode_ctl.scala 380:65] - node _T_310 = and(i0_dp_raw.jal, i0_pcall_case) @[dec_decode_ctl.scala 381:38] - i0_pcall_raw <= _T_310 @[dec_decode_ctl.scala 381:20] - node _T_311 = and(i0_dp.jal, i0_pcall_case) @[dec_decode_ctl.scala 382:38] - i0_pcall <= _T_311 @[dec_decode_ctl.scala 382:20] - node _T_312 = and(i0_dp_raw.jal, i0_pja_case) @[dec_decode_ctl.scala 383:38] - i0_pja_raw <= _T_312 @[dec_decode_ctl.scala 383:20] - node _T_313 = and(i0_dp.jal, i0_pja_case) @[dec_decode_ctl.scala 384:38] - i0_pja <= _T_313 @[dec_decode_ctl.scala 384:20] - node _T_314 = or(i0_pcall_raw, i0_pja_raw) @[dec_decode_ctl.scala 385:41] - node _T_315 = bits(_T_314, 0, 0) @[dec_decode_ctl.scala 385:55] - node _T_316 = bits(i0_pcall_imm, 11, 0) @[dec_decode_ctl.scala 385:75] - node _T_317 = bits(io.dec_i0_instr_d, 31, 31) @[dec_decode_ctl.scala 385:90] - node _T_318 = bits(io.dec_i0_instr_d, 7, 7) @[dec_decode_ctl.scala 385:97] - node _T_319 = bits(io.dec_i0_instr_d, 30, 25) @[dec_decode_ctl.scala 385:103] - node _T_320 = bits(io.dec_i0_instr_d, 11, 8) @[dec_decode_ctl.scala 385:113] + node _T_295 = bits(i0_pcall_imm, 11, 11) @[dec_decode_ctl.scala 372:46] + node _T_296 = bits(_T_295, 0, 0) @[dec_decode_ctl.scala 372:51] + node _T_297 = bits(i0_pcall_imm, 19, 12) @[dec_decode_ctl.scala 372:71] + node _T_298 = eq(_T_297, UInt<8>("h0ff")) @[dec_decode_ctl.scala 372:79] + node _T_299 = bits(i0_pcall_imm, 19, 12) @[dec_decode_ctl.scala 372:104] + node _T_300 = eq(_T_299, UInt<8>("h00")) @[dec_decode_ctl.scala 372:112] + node i0_pcall_12b_offset = mux(_T_296, _T_298, _T_300) @[dec_decode_ctl.scala 372:33] + node _T_301 = and(i0_pcall_12b_offset, i0_dp_raw.imm20) @[dec_decode_ctl.scala 373:47] + node _T_302 = eq(i0r.rd, UInt<5>("h01")) @[dec_decode_ctl.scala 373:76] + node _T_303 = eq(i0r.rd, UInt<5>("h05")) @[dec_decode_ctl.scala 373:98] + node _T_304 = or(_T_302, _T_303) @[dec_decode_ctl.scala 373:89] + node i0_pcall_case = and(_T_301, _T_304) @[dec_decode_ctl.scala 373:65] + node _T_305 = and(i0_pcall_12b_offset, i0_dp_raw.imm20) @[dec_decode_ctl.scala 374:47] + node _T_306 = eq(i0r.rd, UInt<5>("h01")) @[dec_decode_ctl.scala 374:76] + node _T_307 = eq(i0r.rd, UInt<5>("h05")) @[dec_decode_ctl.scala 374:98] + node _T_308 = or(_T_306, _T_307) @[dec_decode_ctl.scala 374:89] + node _T_309 = eq(_T_308, UInt<1>("h00")) @[dec_decode_ctl.scala 374:67] + node i0_pja_case = and(_T_305, _T_309) @[dec_decode_ctl.scala 374:65] + node _T_310 = and(i0_dp_raw.jal, i0_pcall_case) @[dec_decode_ctl.scala 375:38] + i0_pcall_raw <= _T_310 @[dec_decode_ctl.scala 375:20] + node _T_311 = and(i0_dp.jal, i0_pcall_case) @[dec_decode_ctl.scala 376:38] + i0_pcall <= _T_311 @[dec_decode_ctl.scala 376:20] + node _T_312 = and(i0_dp_raw.jal, i0_pja_case) @[dec_decode_ctl.scala 377:38] + i0_pja_raw <= _T_312 @[dec_decode_ctl.scala 377:20] + node _T_313 = and(i0_dp.jal, i0_pja_case) @[dec_decode_ctl.scala 378:38] + i0_pja <= _T_313 @[dec_decode_ctl.scala 378:20] + node _T_314 = or(i0_pcall_raw, i0_pja_raw) @[dec_decode_ctl.scala 379:41] + node _T_315 = bits(_T_314, 0, 0) @[dec_decode_ctl.scala 379:55] + node _T_316 = bits(i0_pcall_imm, 11, 0) @[dec_decode_ctl.scala 379:75] + node _T_317 = bits(io.dec_i0_instr_d, 31, 31) @[dec_decode_ctl.scala 379:90] + node _T_318 = bits(io.dec_i0_instr_d, 7, 7) @[dec_decode_ctl.scala 379:97] + node _T_319 = bits(io.dec_i0_instr_d, 30, 25) @[dec_decode_ctl.scala 379:103] + node _T_320 = bits(io.dec_i0_instr_d, 11, 8) @[dec_decode_ctl.scala 379:113] node _T_321 = cat(_T_319, _T_320) @[Cat.scala 29:58] node _T_322 = cat(_T_317, _T_318) @[Cat.scala 29:58] node _T_323 = cat(_T_322, _T_321) @[Cat.scala 29:58] - node _T_324 = mux(_T_315, _T_316, _T_323) @[dec_decode_ctl.scala 385:26] - i0_br_offset <= _T_324 @[dec_decode_ctl.scala 385:20] - node _T_325 = and(i0_dp_raw.jal, i0_dp_raw.imm12) @[dec_decode_ctl.scala 387:37] - node _T_326 = eq(i0r.rd, UInt<5>("h00")) @[dec_decode_ctl.scala 387:65] - node _T_327 = and(_T_325, _T_326) @[dec_decode_ctl.scala 387:55] - node _T_328 = eq(i0r.rs1, UInt<5>("h01")) @[dec_decode_ctl.scala 387:89] - node _T_329 = eq(i0r.rs1, UInt<5>("h05")) @[dec_decode_ctl.scala 387:111] - node _T_330 = or(_T_328, _T_329) @[dec_decode_ctl.scala 387:101] - node i0_pret_case = and(_T_327, _T_330) @[dec_decode_ctl.scala 387:79] - node _T_331 = and(i0_dp_raw.jal, i0_pret_case) @[dec_decode_ctl.scala 388:32] - i0_pret_raw <= _T_331 @[dec_decode_ctl.scala 388:15] - node _T_332 = and(i0_dp.jal, i0_pret_case) @[dec_decode_ctl.scala 389:32] - i0_pret <= _T_332 @[dec_decode_ctl.scala 389:15] - node _T_333 = eq(i0_pcall_case, UInt<1>("h00")) @[dec_decode_ctl.scala 390:35] - node _T_334 = and(i0_dp.jal, _T_333) @[dec_decode_ctl.scala 390:32] - node _T_335 = eq(i0_pja_case, UInt<1>("h00")) @[dec_decode_ctl.scala 390:52] - node _T_336 = and(_T_334, _T_335) @[dec_decode_ctl.scala 390:50] - node _T_337 = eq(i0_pret_case, UInt<1>("h00")) @[dec_decode_ctl.scala 390:67] - node _T_338 = and(_T_336, _T_337) @[dec_decode_ctl.scala 390:65] - i0_jal <= _T_338 @[dec_decode_ctl.scala 390:15] - io.dec_div.div_p.valid <= div_decode_d @[dec_decode_ctl.scala 393:29] - io.dec_div.div_p.bits.unsign <= i0_dp.unsign @[dec_decode_ctl.scala 394:34] - io.dec_div.div_p.bits.rem <= i0_dp.rem @[dec_decode_ctl.scala 395:34] - io.decode_exu.mul_p.valid <= mul_decode_d @[dec_decode_ctl.scala 397:32] - io.decode_exu.mul_p.bits.rs1_sign <= i0_dp.rs1_sign @[dec_decode_ctl.scala 398:37] - io.decode_exu.mul_p.bits.rs2_sign <= i0_dp.rs2_sign @[dec_decode_ctl.scala 399:37] - io.decode_exu.mul_p.bits.low <= i0_dp.low @[dec_decode_ctl.scala 400:37] - reg _T_339 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 402:69] - _T_339 <= io.dec_tlu_flush_extint @[dec_decode_ctl.scala 402:69] - io.decode_exu.dec_extint_stall <= _T_339 @[dec_decode_ctl.scala 402:34] - wire _T_340 : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}} @[dec_decode_ctl.scala 404:27] - _T_340.bits.store_data_bypass_m <= UInt<1>("h00") @[dec_decode_ctl.scala 404:27] - _T_340.bits.load_ldst_bypass_d <= UInt<1>("h00") @[dec_decode_ctl.scala 404:27] - _T_340.bits.store_data_bypass_d <= UInt<1>("h00") @[dec_decode_ctl.scala 404:27] - _T_340.bits.dma <= UInt<1>("h00") @[dec_decode_ctl.scala 404:27] - _T_340.bits.unsign <= UInt<1>("h00") @[dec_decode_ctl.scala 404:27] - _T_340.bits.store <= UInt<1>("h00") @[dec_decode_ctl.scala 404:27] - _T_340.bits.load <= UInt<1>("h00") @[dec_decode_ctl.scala 404:27] - _T_340.bits.dword <= UInt<1>("h00") @[dec_decode_ctl.scala 404:27] - _T_340.bits.word <= UInt<1>("h00") @[dec_decode_ctl.scala 404:27] - _T_340.bits.half <= UInt<1>("h00") @[dec_decode_ctl.scala 404:27] - _T_340.bits.by <= UInt<1>("h00") @[dec_decode_ctl.scala 404:27] - _T_340.bits.fast_int <= UInt<1>("h00") @[dec_decode_ctl.scala 404:27] - _T_340.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 404:27] - io.lsu_p.bits.store_data_bypass_m <= _T_340.bits.store_data_bypass_m @[dec_decode_ctl.scala 404:12] - io.lsu_p.bits.load_ldst_bypass_d <= _T_340.bits.load_ldst_bypass_d @[dec_decode_ctl.scala 404:12] - io.lsu_p.bits.store_data_bypass_d <= _T_340.bits.store_data_bypass_d @[dec_decode_ctl.scala 404:12] - io.lsu_p.bits.dma <= _T_340.bits.dma @[dec_decode_ctl.scala 404:12] - io.lsu_p.bits.unsign <= _T_340.bits.unsign @[dec_decode_ctl.scala 404:12] - io.lsu_p.bits.store <= _T_340.bits.store @[dec_decode_ctl.scala 404:12] - io.lsu_p.bits.load <= _T_340.bits.load @[dec_decode_ctl.scala 404:12] - io.lsu_p.bits.dword <= _T_340.bits.dword @[dec_decode_ctl.scala 404:12] - io.lsu_p.bits.word <= _T_340.bits.word @[dec_decode_ctl.scala 404:12] - io.lsu_p.bits.half <= _T_340.bits.half @[dec_decode_ctl.scala 404:12] - io.lsu_p.bits.by <= _T_340.bits.by @[dec_decode_ctl.scala 404:12] - io.lsu_p.bits.fast_int <= _T_340.bits.fast_int @[dec_decode_ctl.scala 404:12] - io.lsu_p.valid <= _T_340.valid @[dec_decode_ctl.scala 404:12] - when io.decode_exu.dec_extint_stall : @[dec_decode_ctl.scala 405:40] - io.lsu_p.bits.load <= UInt<1>("h01") @[dec_decode_ctl.scala 406:29] - io.lsu_p.bits.word <= UInt<1>("h01") @[dec_decode_ctl.scala 407:29] - io.lsu_p.bits.fast_int <= UInt<1>("h01") @[dec_decode_ctl.scala 408:29] - io.lsu_p.valid <= UInt<1>("h01") @[dec_decode_ctl.scala 409:24] - skip @[dec_decode_ctl.scala 405:40] - else : @[dec_decode_ctl.scala 410:15] - io.lsu_p.valid <= lsu_decode_d @[dec_decode_ctl.scala 411:35] - io.lsu_p.bits.load <= i0_dp.load @[dec_decode_ctl.scala 412:40] - io.lsu_p.bits.store <= i0_dp.store @[dec_decode_ctl.scala 413:40] - io.lsu_p.bits.by <= i0_dp.by @[dec_decode_ctl.scala 414:40] - io.lsu_p.bits.half <= i0_dp.half @[dec_decode_ctl.scala 415:40] - io.lsu_p.bits.word <= i0_dp.word @[dec_decode_ctl.scala 416:40] - io.lsu_p.bits.load_ldst_bypass_d <= load_ldst_bypass_d @[dec_decode_ctl.scala 417:40] - io.lsu_p.bits.store_data_bypass_d <= store_data_bypass_d @[dec_decode_ctl.scala 418:40] - io.lsu_p.bits.store_data_bypass_m <= store_data_bypass_m @[dec_decode_ctl.scala 419:40] - io.lsu_p.bits.unsign <= i0_dp.unsign @[dec_decode_ctl.scala 420:40] - skip @[dec_decode_ctl.scala 410:15] - io.dec_alu.dec_csr_ren_d <= i0_dp.csr_read @[dec_decode_ctl.scala 424:29] - node _T_341 = bits(i0_legal_decode_d, 0, 0) @[dec_decode_ctl.scala 425:56] - node _T_342 = and(i0_dp.csr_read, _T_341) @[dec_decode_ctl.scala 425:36] - csr_read <= _T_342 @[dec_decode_ctl.scala 425:18] - node _T_343 = eq(io.dec_debug_fence_d, UInt<1>("h00")) @[dec_decode_ctl.scala 427:42] - node i0_csr_write = and(i0_dp.csr_write, _T_343) @[dec_decode_ctl.scala 427:40] - node _T_344 = bits(i0_legal_decode_d, 0, 0) @[dec_decode_ctl.scala 428:61] - node csr_clr_d = and(i0_dp.csr_clr, _T_344) @[dec_decode_ctl.scala 428:41] - node _T_345 = bits(i0_legal_decode_d, 0, 0) @[dec_decode_ctl.scala 429:59] - node csr_set_d = and(i0_dp.csr_set, _T_345) @[dec_decode_ctl.scala 429:39] - node _T_346 = bits(i0_legal_decode_d, 0, 0) @[dec_decode_ctl.scala 430:59] - node csr_write_d = and(i0_csr_write, _T_346) @[dec_decode_ctl.scala 430:39] - node _T_347 = eq(i0_dp.csr_read, UInt<1>("h00")) @[dec_decode_ctl.scala 432:41] - node _T_348 = and(i0_csr_write, _T_347) @[dec_decode_ctl.scala 432:39] - i0_csr_write_only_d <= _T_348 @[dec_decode_ctl.scala 432:23] - node _T_349 = or(i0_dp.csr_clr, i0_dp.csr_set) @[dec_decode_ctl.scala 433:42] - node _T_350 = or(_T_349, i0_csr_write) @[dec_decode_ctl.scala 433:58] - io.dec_csr_wen_unq_d <= _T_350 @[dec_decode_ctl.scala 433:24] - node _T_351 = bits(io.dec_i0_instr_d, 31, 20) @[dec_decode_ctl.scala 436:30] - io.dec_csr_rdaddr_d <= _T_351 @[dec_decode_ctl.scala 436:24] - io.dec_csr_wraddr_r <= r_d.bits.csrwaddr @[dec_decode_ctl.scala 437:23] - node _T_352 = and(r_d.bits.csrwen, r_d.valid) @[dec_decode_ctl.scala 441:39] - node _T_353 = eq(io.dec_tlu_i0_kill_writeb_r, UInt<1>("h00")) @[dec_decode_ctl.scala 441:53] - node _T_354 = and(_T_352, _T_353) @[dec_decode_ctl.scala 441:51] - io.dec_csr_wen_r <= _T_354 @[dec_decode_ctl.scala 441:20] - node _T_355 = eq(r_d.bits.csrwaddr, UInt<10>("h0300")) @[dec_decode_ctl.scala 444:50] - node _T_356 = eq(r_d.bits.csrwaddr, UInt<10>("h0304")) @[dec_decode_ctl.scala 444:85] - node _T_357 = or(_T_355, _T_356) @[dec_decode_ctl.scala 444:64] - node _T_358 = and(_T_357, r_d.bits.csrwen) @[dec_decode_ctl.scala 444:100] - node _T_359 = and(_T_358, r_d.valid) @[dec_decode_ctl.scala 444:118] - node _T_360 = eq(io.dec_tlu_i0_kill_writeb_wb, UInt<1>("h00")) @[dec_decode_ctl.scala 444:132] - node _T_361 = and(_T_359, _T_360) @[dec_decode_ctl.scala 444:130] - io.dec_csr_stall_int_ff <= _T_361 @[dec_decode_ctl.scala 444:27] - reg csr_read_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 446:52] - csr_read_x <= csr_read @[dec_decode_ctl.scala 446:52] - reg csr_clr_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 447:51] - csr_clr_x <= csr_clr_d @[dec_decode_ctl.scala 447:51] - reg csr_set_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 448:51] - csr_set_x <= csr_set_d @[dec_decode_ctl.scala 448:51] - reg csr_write_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 449:53] - csr_write_x <= csr_write_d @[dec_decode_ctl.scala 449:53] - reg csr_imm_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 450:51] - csr_imm_x <= i0_dp.csr_imm @[dec_decode_ctl.scala 450:51] - node _T_362 = bits(io.dec_i0_instr_d, 19, 15) @[dec_decode_ctl.scala 453:27] - node _T_363 = bits(i0_x_data_en, 0, 0) @[dec_decode_ctl.scala 453:48] + node _T_324 = mux(_T_315, _T_316, _T_323) @[dec_decode_ctl.scala 379:26] + i0_br_offset <= _T_324 @[dec_decode_ctl.scala 379:20] + node _T_325 = and(i0_dp_raw.jal, i0_dp_raw.imm12) @[dec_decode_ctl.scala 381:37] + node _T_326 = eq(i0r.rd, UInt<5>("h00")) @[dec_decode_ctl.scala 381:65] + node _T_327 = and(_T_325, _T_326) @[dec_decode_ctl.scala 381:55] + node _T_328 = eq(i0r.rs1, UInt<5>("h01")) @[dec_decode_ctl.scala 381:89] + node _T_329 = eq(i0r.rs1, UInt<5>("h05")) @[dec_decode_ctl.scala 381:111] + node _T_330 = or(_T_328, _T_329) @[dec_decode_ctl.scala 381:101] + node i0_pret_case = and(_T_327, _T_330) @[dec_decode_ctl.scala 381:79] + node _T_331 = and(i0_dp_raw.jal, i0_pret_case) @[dec_decode_ctl.scala 382:32] + i0_pret_raw <= _T_331 @[dec_decode_ctl.scala 382:15] + node _T_332 = and(i0_dp.jal, i0_pret_case) @[dec_decode_ctl.scala 383:32] + i0_pret <= _T_332 @[dec_decode_ctl.scala 383:15] + node _T_333 = eq(i0_pcall_case, UInt<1>("h00")) @[dec_decode_ctl.scala 384:35] + node _T_334 = and(i0_dp.jal, _T_333) @[dec_decode_ctl.scala 384:32] + node _T_335 = eq(i0_pja_case, UInt<1>("h00")) @[dec_decode_ctl.scala 384:52] + node _T_336 = and(_T_334, _T_335) @[dec_decode_ctl.scala 384:50] + node _T_337 = eq(i0_pret_case, UInt<1>("h00")) @[dec_decode_ctl.scala 384:67] + node _T_338 = and(_T_336, _T_337) @[dec_decode_ctl.scala 384:65] + i0_jal <= _T_338 @[dec_decode_ctl.scala 384:15] + io.dec_div.div_p.valid <= div_decode_d @[dec_decode_ctl.scala 387:29] + io.dec_div.div_p.bits.unsign <= i0_dp.unsign @[dec_decode_ctl.scala 388:34] + io.dec_div.div_p.bits.rem <= i0_dp.rem @[dec_decode_ctl.scala 389:34] + io.decode_exu.mul_p.valid <= mul_decode_d @[dec_decode_ctl.scala 391:32] + io.decode_exu.mul_p.bits.rs1_sign <= i0_dp.rs1_sign @[dec_decode_ctl.scala 392:37] + io.decode_exu.mul_p.bits.rs2_sign <= i0_dp.rs2_sign @[dec_decode_ctl.scala 393:37] + io.decode_exu.mul_p.bits.low <= i0_dp.low @[dec_decode_ctl.scala 394:37] + reg _T_339 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 396:69] + _T_339 <= io.dec_tlu_flush_extint @[dec_decode_ctl.scala 396:69] + io.decode_exu.dec_extint_stall <= _T_339 @[dec_decode_ctl.scala 396:34] + wire _T_340 : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}} @[dec_decode_ctl.scala 398:27] + _T_340.bits.store_data_bypass_m <= UInt<1>("h00") @[dec_decode_ctl.scala 398:27] + _T_340.bits.load_ldst_bypass_d <= UInt<1>("h00") @[dec_decode_ctl.scala 398:27] + _T_340.bits.store_data_bypass_d <= UInt<1>("h00") @[dec_decode_ctl.scala 398:27] + _T_340.bits.dma <= UInt<1>("h00") @[dec_decode_ctl.scala 398:27] + _T_340.bits.unsign <= UInt<1>("h00") @[dec_decode_ctl.scala 398:27] + _T_340.bits.store <= UInt<1>("h00") @[dec_decode_ctl.scala 398:27] + _T_340.bits.load <= UInt<1>("h00") @[dec_decode_ctl.scala 398:27] + _T_340.bits.dword <= UInt<1>("h00") @[dec_decode_ctl.scala 398:27] + _T_340.bits.word <= UInt<1>("h00") @[dec_decode_ctl.scala 398:27] + _T_340.bits.half <= UInt<1>("h00") @[dec_decode_ctl.scala 398:27] + _T_340.bits.by <= UInt<1>("h00") @[dec_decode_ctl.scala 398:27] + _T_340.bits.fast_int <= UInt<1>("h00") @[dec_decode_ctl.scala 398:27] + _T_340.valid <= UInt<1>("h00") @[dec_decode_ctl.scala 398:27] + io.lsu_p.bits.store_data_bypass_m <= _T_340.bits.store_data_bypass_m @[dec_decode_ctl.scala 398:12] + io.lsu_p.bits.load_ldst_bypass_d <= _T_340.bits.load_ldst_bypass_d @[dec_decode_ctl.scala 398:12] + io.lsu_p.bits.store_data_bypass_d <= _T_340.bits.store_data_bypass_d @[dec_decode_ctl.scala 398:12] + io.lsu_p.bits.dma <= _T_340.bits.dma @[dec_decode_ctl.scala 398:12] + io.lsu_p.bits.unsign <= _T_340.bits.unsign @[dec_decode_ctl.scala 398:12] + io.lsu_p.bits.store <= _T_340.bits.store @[dec_decode_ctl.scala 398:12] + io.lsu_p.bits.load <= _T_340.bits.load @[dec_decode_ctl.scala 398:12] + io.lsu_p.bits.dword <= _T_340.bits.dword @[dec_decode_ctl.scala 398:12] + io.lsu_p.bits.word <= _T_340.bits.word @[dec_decode_ctl.scala 398:12] + io.lsu_p.bits.half <= _T_340.bits.half @[dec_decode_ctl.scala 398:12] + io.lsu_p.bits.by <= _T_340.bits.by @[dec_decode_ctl.scala 398:12] + io.lsu_p.bits.fast_int <= _T_340.bits.fast_int @[dec_decode_ctl.scala 398:12] + io.lsu_p.valid <= _T_340.valid @[dec_decode_ctl.scala 398:12] + when io.decode_exu.dec_extint_stall : @[dec_decode_ctl.scala 399:40] + io.lsu_p.bits.load <= UInt<1>("h01") @[dec_decode_ctl.scala 400:29] + io.lsu_p.bits.word <= UInt<1>("h01") @[dec_decode_ctl.scala 401:29] + io.lsu_p.bits.fast_int <= UInt<1>("h01") @[dec_decode_ctl.scala 402:29] + io.lsu_p.valid <= UInt<1>("h01") @[dec_decode_ctl.scala 403:24] + skip @[dec_decode_ctl.scala 399:40] + else : @[dec_decode_ctl.scala 404:15] + io.lsu_p.valid <= lsu_decode_d @[dec_decode_ctl.scala 405:35] + io.lsu_p.bits.load <= i0_dp.load @[dec_decode_ctl.scala 406:40] + io.lsu_p.bits.store <= i0_dp.store @[dec_decode_ctl.scala 407:40] + io.lsu_p.bits.by <= i0_dp.by @[dec_decode_ctl.scala 408:40] + io.lsu_p.bits.half <= i0_dp.half @[dec_decode_ctl.scala 409:40] + io.lsu_p.bits.word <= i0_dp.word @[dec_decode_ctl.scala 410:40] + io.lsu_p.bits.load_ldst_bypass_d <= load_ldst_bypass_d @[dec_decode_ctl.scala 411:40] + io.lsu_p.bits.store_data_bypass_d <= store_data_bypass_d @[dec_decode_ctl.scala 412:40] + io.lsu_p.bits.store_data_bypass_m <= store_data_bypass_m @[dec_decode_ctl.scala 413:40] + io.lsu_p.bits.unsign <= i0_dp.unsign @[dec_decode_ctl.scala 414:40] + skip @[dec_decode_ctl.scala 404:15] + io.dec_alu.dec_csr_ren_d <= i0_dp.csr_read @[dec_decode_ctl.scala 418:29] + node _T_341 = bits(i0_legal_decode_d, 0, 0) @[dec_decode_ctl.scala 419:56] + node _T_342 = and(i0_dp.csr_read, _T_341) @[dec_decode_ctl.scala 419:36] + csr_read <= _T_342 @[dec_decode_ctl.scala 419:18] + node _T_343 = eq(io.dec_debug_fence_d, UInt<1>("h00")) @[dec_decode_ctl.scala 421:42] + node i0_csr_write = and(i0_dp.csr_write, _T_343) @[dec_decode_ctl.scala 421:40] + node _T_344 = bits(i0_legal_decode_d, 0, 0) @[dec_decode_ctl.scala 422:61] + node csr_clr_d = and(i0_dp.csr_clr, _T_344) @[dec_decode_ctl.scala 422:41] + node _T_345 = bits(i0_legal_decode_d, 0, 0) @[dec_decode_ctl.scala 423:59] + node csr_set_d = and(i0_dp.csr_set, _T_345) @[dec_decode_ctl.scala 423:39] + node _T_346 = bits(i0_legal_decode_d, 0, 0) @[dec_decode_ctl.scala 424:59] + node csr_write_d = and(i0_csr_write, _T_346) @[dec_decode_ctl.scala 424:39] + node _T_347 = eq(i0_dp.csr_read, UInt<1>("h00")) @[dec_decode_ctl.scala 426:41] + node _T_348 = and(i0_csr_write, _T_347) @[dec_decode_ctl.scala 426:39] + i0_csr_write_only_d <= _T_348 @[dec_decode_ctl.scala 426:23] + node _T_349 = or(i0_dp.csr_clr, i0_dp.csr_set) @[dec_decode_ctl.scala 427:42] + node _T_350 = or(_T_349, i0_csr_write) @[dec_decode_ctl.scala 427:58] + io.dec_csr_wen_unq_d <= _T_350 @[dec_decode_ctl.scala 427:24] + node _T_351 = bits(io.dec_i0_instr_d, 31, 20) @[dec_decode_ctl.scala 430:30] + io.dec_csr_rdaddr_d <= _T_351 @[dec_decode_ctl.scala 430:24] + io.dec_csr_wraddr_r <= r_d.bits.csrwaddr @[dec_decode_ctl.scala 431:23] + node _T_352 = and(r_d.bits.csrwen, r_d.valid) @[dec_decode_ctl.scala 435:39] + node _T_353 = eq(io.dec_tlu_i0_kill_writeb_r, UInt<1>("h00")) @[dec_decode_ctl.scala 435:53] + node _T_354 = and(_T_352, _T_353) @[dec_decode_ctl.scala 435:51] + io.dec_csr_wen_r <= _T_354 @[dec_decode_ctl.scala 435:20] + node _T_355 = eq(r_d.bits.csrwaddr, UInt<10>("h0300")) @[dec_decode_ctl.scala 438:50] + node _T_356 = eq(r_d.bits.csrwaddr, UInt<10>("h0304")) @[dec_decode_ctl.scala 438:85] + node _T_357 = or(_T_355, _T_356) @[dec_decode_ctl.scala 438:64] + node _T_358 = and(_T_357, r_d.bits.csrwen) @[dec_decode_ctl.scala 438:100] + node _T_359 = and(_T_358, r_d.valid) @[dec_decode_ctl.scala 438:118] + node _T_360 = eq(io.dec_tlu_i0_kill_writeb_wb, UInt<1>("h00")) @[dec_decode_ctl.scala 438:132] + node _T_361 = and(_T_359, _T_360) @[dec_decode_ctl.scala 438:130] + io.dec_csr_stall_int_ff <= _T_361 @[dec_decode_ctl.scala 438:27] + reg csr_read_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 440:52] + csr_read_x <= csr_read @[dec_decode_ctl.scala 440:52] + reg csr_clr_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 441:51] + csr_clr_x <= csr_clr_d @[dec_decode_ctl.scala 441:51] + reg csr_set_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 442:51] + csr_set_x <= csr_set_d @[dec_decode_ctl.scala 442:51] + reg csr_write_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 443:53] + csr_write_x <= csr_write_d @[dec_decode_ctl.scala 443:53] + reg csr_imm_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 444:51] + csr_imm_x <= i0_dp.csr_imm @[dec_decode_ctl.scala 444:51] + node _T_362 = bits(io.dec_i0_instr_d, 19, 15) @[dec_decode_ctl.scala 447:27] + node _T_363 = bits(i0_x_data_en, 0, 0) @[dec_decode_ctl.scala 447:48] inst rvclkhdr_1 of rvclkhdr_662 @[lib.scala 368:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset @@ -67971,7 +67969,7 @@ circuit quasar_wrapper : rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg csrimm_x : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] csrimm_x <= _T_362 @[lib.scala 374:16] - node _T_364 = bits(i0_x_data_en, 0, 0) @[dec_decode_ctl.scala 454:62] + node _T_364 = bits(i0_x_data_en, 0, 0) @[dec_decode_ctl.scala 448:62] inst rvclkhdr_2 of rvclkhdr_663 @[lib.scala 368:23] rvclkhdr_2.clock <= clock rvclkhdr_2.reset <= reset @@ -67980,7 +67978,7 @@ circuit quasar_wrapper : rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg csr_rddata_x : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] csr_rddata_x <= io.dec_csr_rddata_d @[lib.scala 374:16] - node _T_365 = bits(csr_imm_x, 0, 0) @[dec_decode_ctl.scala 457:15] + node _T_365 = bits(csr_imm_x, 0, 0) @[dec_decode_ctl.scala 451:15] wire _T_366 : UInt<1>[27] @[lib.scala 12:48] _T_366[0] <= UInt<1>("h00") @[lib.scala 12:48] _T_366[1] <= UInt<1>("h00") @[lib.scala 12:48] @@ -68035,18 +68033,18 @@ circuit quasar_wrapper : node _T_390 = cat(_T_389, _T_366[24]) @[Cat.scala 29:58] node _T_391 = cat(_T_390, _T_366[25]) @[Cat.scala 29:58] node _T_392 = cat(_T_391, _T_366[26]) @[Cat.scala 29:58] - node _T_393 = bits(csrimm_x, 4, 0) @[dec_decode_ctl.scala 457:53] + node _T_393 = bits(csrimm_x, 4, 0) @[dec_decode_ctl.scala 451:53] node _T_394 = cat(_T_392, _T_393) @[Cat.scala 29:58] - node _T_395 = bits(csr_imm_x, 0, 0) @[dec_decode_ctl.scala 458:16] - node _T_396 = eq(_T_395, UInt<1>("h00")) @[dec_decode_ctl.scala 458:5] + node _T_395 = bits(csr_imm_x, 0, 0) @[dec_decode_ctl.scala 452:16] + node _T_396 = eq(_T_395, UInt<1>("h00")) @[dec_decode_ctl.scala 452:5] node _T_397 = mux(_T_365, _T_394, UInt<1>("h00")) @[Mux.scala 27:72] node _T_398 = mux(_T_396, io.decode_exu.exu_csr_rs1_x, UInt<1>("h00")) @[Mux.scala 27:72] node _T_399 = or(_T_397, _T_398) @[Mux.scala 27:72] wire csr_mask_x : UInt<32> @[Mux.scala 27:72] csr_mask_x <= _T_399 @[Mux.scala 27:72] - node _T_400 = not(csr_mask_x) @[dec_decode_ctl.scala 461:38] - node _T_401 = and(csr_rddata_x, _T_400) @[dec_decode_ctl.scala 461:35] - node _T_402 = or(csr_rddata_x, csr_mask_x) @[dec_decode_ctl.scala 462:35] + node _T_400 = not(csr_mask_x) @[dec_decode_ctl.scala 455:38] + node _T_401 = and(csr_rddata_x, _T_400) @[dec_decode_ctl.scala 455:35] + node _T_402 = or(csr_rddata_x, csr_mask_x) @[dec_decode_ctl.scala 456:35] node _T_403 = mux(csr_clr_x, _T_401, UInt<1>("h00")) @[Mux.scala 27:72] node _T_404 = mux(csr_set_x, _T_402, UInt<1>("h00")) @[Mux.scala 27:72] node _T_405 = mux(csr_write_x, csr_mask_x, UInt<1>("h00")) @[Mux.scala 27:72] @@ -68054,42 +68052,42 @@ circuit quasar_wrapper : node _T_407 = or(_T_406, _T_405) @[Mux.scala 27:72] wire write_csr_data_x : UInt @[Mux.scala 27:72] write_csr_data_x <= _T_407 @[Mux.scala 27:72] - node _T_408 = eq(io.dec_tlu_flush_pause_r, UInt<1>("h00")) @[dec_decode_ctl.scala 465:49] - node _T_409 = and(io.dec_tlu_flush_lower_r, _T_408) @[dec_decode_ctl.scala 465:47] + node _T_408 = eq(io.dec_tlu_flush_pause_r, UInt<1>("h00")) @[dec_decode_ctl.scala 459:49] + node _T_409 = and(io.dec_tlu_flush_lower_r, _T_408) @[dec_decode_ctl.scala 459:47] node _T_410 = mux(UInt<1>("h00"), UInt<31>("h07fffffff"), UInt<31>("h00")) @[Bitwise.scala 72:12] - node _T_411 = bits(write_csr_data, 0, 0) @[dec_decode_ctl.scala 465:145] + node _T_411 = bits(write_csr_data, 0, 0) @[dec_decode_ctl.scala 459:145] node _T_412 = cat(_T_410, _T_411) @[Cat.scala 29:58] - node _T_413 = eq(write_csr_data, _T_412) @[dec_decode_ctl.scala 465:109] - node _T_414 = and(pause_stall, _T_413) @[dec_decode_ctl.scala 465:91] - node clear_pause = or(_T_409, _T_414) @[dec_decode_ctl.scala 465:76] - node _T_415 = or(io.dec_tlu_wr_pause_r, pause_stall) @[dec_decode_ctl.scala 466:44] - node _T_416 = eq(clear_pause, UInt<1>("h00")) @[dec_decode_ctl.scala 466:61] - node _T_417 = and(_T_415, _T_416) @[dec_decode_ctl.scala 466:59] - pause_state_in <= _T_417 @[dec_decode_ctl.scala 466:18] - reg _T_418 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 467:50] - _T_418 <= pause_state_in @[dec_decode_ctl.scala 467:50] - pause_stall <= _T_418 @[dec_decode_ctl.scala 467:15] - io.dec_pause_state <= pause_stall @[dec_decode_ctl.scala 468:22] - reg _T_419 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 469:55] - _T_419 <= io.dec_tlu_wr_pause_r @[dec_decode_ctl.scala 469:55] - tlu_wr_pause_r1 <= _T_419 @[dec_decode_ctl.scala 469:19] - reg _T_420 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 470:55] - _T_420 <= tlu_wr_pause_r1 @[dec_decode_ctl.scala 470:55] - tlu_wr_pause_r2 <= _T_420 @[dec_decode_ctl.scala 470:19] - node _T_421 = eq(tlu_wr_pause_r1, UInt<1>("h00")) @[dec_decode_ctl.scala 472:44] - node _T_422 = eq(tlu_wr_pause_r2, UInt<1>("h00")) @[dec_decode_ctl.scala 472:64] - node _T_423 = and(_T_421, _T_422) @[dec_decode_ctl.scala 472:61] - node _T_424 = and(pause_stall, _T_423) @[dec_decode_ctl.scala 472:41] - io.dec_pause_state_cg <= _T_424 @[dec_decode_ctl.scala 472:25] - node _T_425 = sub(write_csr_data, UInt<32>("h01")) @[dec_decode_ctl.scala 475:59] - node _T_426 = tail(_T_425, 1) @[dec_decode_ctl.scala 475:59] - node _T_427 = mux(io.dec_tlu_wr_pause_r, io.dec_csr_wrdata_r, write_csr_data_x) @[dec_decode_ctl.scala 476:8] - node write_csr_data_in = mux(pause_stall, _T_426, _T_427) @[dec_decode_ctl.scala 475:30] - node _T_428 = or(csr_clr_x, csr_set_x) @[dec_decode_ctl.scala 477:34] - node _T_429 = or(_T_428, csr_write_x) @[dec_decode_ctl.scala 477:46] - node _T_430 = and(_T_429, csr_read_x) @[dec_decode_ctl.scala 477:61] - node _T_431 = or(_T_430, io.dec_tlu_wr_pause_r) @[dec_decode_ctl.scala 477:75] - node csr_data_wen = or(_T_431, pause_stall) @[dec_decode_ctl.scala 477:99] + node _T_413 = eq(write_csr_data, _T_412) @[dec_decode_ctl.scala 459:109] + node _T_414 = and(pause_stall, _T_413) @[dec_decode_ctl.scala 459:91] + node clear_pause = or(_T_409, _T_414) @[dec_decode_ctl.scala 459:76] + node _T_415 = or(io.dec_tlu_wr_pause_r, pause_stall) @[dec_decode_ctl.scala 460:44] + node _T_416 = eq(clear_pause, UInt<1>("h00")) @[dec_decode_ctl.scala 460:61] + node _T_417 = and(_T_415, _T_416) @[dec_decode_ctl.scala 460:59] + pause_state_in <= _T_417 @[dec_decode_ctl.scala 460:18] + reg _T_418 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 461:50] + _T_418 <= pause_state_in @[dec_decode_ctl.scala 461:50] + pause_stall <= _T_418 @[dec_decode_ctl.scala 461:15] + io.dec_pause_state <= pause_stall @[dec_decode_ctl.scala 462:22] + reg _T_419 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 463:55] + _T_419 <= io.dec_tlu_wr_pause_r @[dec_decode_ctl.scala 463:55] + tlu_wr_pause_r1 <= _T_419 @[dec_decode_ctl.scala 463:19] + reg _T_420 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 464:55] + _T_420 <= tlu_wr_pause_r1 @[dec_decode_ctl.scala 464:55] + tlu_wr_pause_r2 <= _T_420 @[dec_decode_ctl.scala 464:19] + node _T_421 = eq(tlu_wr_pause_r1, UInt<1>("h00")) @[dec_decode_ctl.scala 466:44] + node _T_422 = eq(tlu_wr_pause_r2, UInt<1>("h00")) @[dec_decode_ctl.scala 466:64] + node _T_423 = and(_T_421, _T_422) @[dec_decode_ctl.scala 466:61] + node _T_424 = and(pause_stall, _T_423) @[dec_decode_ctl.scala 466:41] + io.dec_pause_state_cg <= _T_424 @[dec_decode_ctl.scala 466:25] + node _T_425 = sub(write_csr_data, UInt<32>("h01")) @[dec_decode_ctl.scala 469:59] + node _T_426 = tail(_T_425, 1) @[dec_decode_ctl.scala 469:59] + node _T_427 = mux(io.dec_tlu_wr_pause_r, io.dec_csr_wrdata_r, write_csr_data_x) @[dec_decode_ctl.scala 470:8] + node write_csr_data_in = mux(pause_stall, _T_426, _T_427) @[dec_decode_ctl.scala 469:30] + node _T_428 = or(csr_clr_x, csr_set_x) @[dec_decode_ctl.scala 471:34] + node _T_429 = or(_T_428, csr_write_x) @[dec_decode_ctl.scala 471:46] + node _T_430 = and(_T_429, csr_read_x) @[dec_decode_ctl.scala 471:61] + node _T_431 = or(_T_430, io.dec_tlu_wr_pause_r) @[dec_decode_ctl.scala 471:75] + node csr_data_wen = or(_T_431, pause_stall) @[dec_decode_ctl.scala 471:99] inst rvclkhdr_3 of rvclkhdr_664 @[lib.scala 368:23] rvclkhdr_3.clock <= clock rvclkhdr_3.reset <= reset @@ -68098,33 +68096,33 @@ circuit quasar_wrapper : rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_432 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_432 <= write_csr_data_in @[lib.scala 374:16] - write_csr_data <= _T_432 @[dec_decode_ctl.scala 478:18] - node _T_433 = bits(r_d.bits.csrwonly, 0, 0) @[dec_decode_ctl.scala 484:49] - node _T_434 = mux(_T_433, i0_result_corr_r, write_csr_data) @[dec_decode_ctl.scala 484:30] - io.dec_csr_wrdata_r <= _T_434 @[dec_decode_ctl.scala 484:24] - node _T_435 = or(x_d.bits.csrwonly, r_d.bits.csrwonly) @[dec_decode_ctl.scala 486:43] - node prior_csr_write = or(_T_435, wbd.bits.csrwonly) @[dec_decode_ctl.scala 486:63] - node _T_436 = bits(io.dbg_dctl.dbg_cmd_wrdata, 0, 0) @[dec_decode_ctl.scala 488:76] - node debug_fence_i = and(io.dec_debug_fence_d, _T_436) @[dec_decode_ctl.scala 488:48] - node _T_437 = bits(io.dbg_dctl.dbg_cmd_wrdata, 1, 1) @[dec_decode_ctl.scala 489:76] - node debug_fence_raw = and(io.dec_debug_fence_d, _T_437) @[dec_decode_ctl.scala 489:48] - node _T_438 = or(debug_fence_raw, debug_fence_i) @[dec_decode_ctl.scala 490:40] - debug_fence <= _T_438 @[dec_decode_ctl.scala 490:21] - node _T_439 = or(i0_dp.presync, io.dec_tlu_presync_d) @[dec_decode_ctl.scala 493:34] - node _T_440 = or(_T_439, debug_fence_i) @[dec_decode_ctl.scala 493:57] - node _T_441 = or(_T_440, debug_fence_raw) @[dec_decode_ctl.scala 493:73] - node i0_presync = or(_T_441, io.dec_tlu_pipelining_disable) @[dec_decode_ctl.scala 493:91] - node _T_442 = or(i0_dp.postsync, io.dec_tlu_postsync_d) @[dec_decode_ctl.scala 496:36] - node _T_443 = or(_T_442, debug_fence_i) @[dec_decode_ctl.scala 496:60] - node _T_444 = bits(io.dec_i0_instr_d, 31, 20) @[dec_decode_ctl.scala 496:104] - node _T_445 = eq(_T_444, UInt<11>("h07c2")) @[dec_decode_ctl.scala 496:112] - node _T_446 = and(i0_csr_write_only_d, _T_445) @[dec_decode_ctl.scala 496:99] - node i0_postsync = or(_T_443, _T_446) @[dec_decode_ctl.scala 496:76] - node any_csr_d = or(i0_dp.csr_read, i0_csr_write) @[dec_decode_ctl.scala 498:34] - io.dec_csr_any_unq_d <= any_csr_d @[dec_decode_ctl.scala 499:24] - node _T_447 = eq(any_csr_d, UInt<1>("h00")) @[dec_decode_ctl.scala 500:40] - node _T_448 = or(_T_447, io.dec_csr_legal_d) @[dec_decode_ctl.scala 500:51] - node i0_legal = and(i0_dp.legal, _T_448) @[dec_decode_ctl.scala 500:37] + write_csr_data <= _T_432 @[dec_decode_ctl.scala 472:18] + node _T_433 = bits(r_d.bits.csrwonly, 0, 0) @[dec_decode_ctl.scala 478:49] + node _T_434 = mux(_T_433, i0_result_corr_r, write_csr_data) @[dec_decode_ctl.scala 478:30] + io.dec_csr_wrdata_r <= _T_434 @[dec_decode_ctl.scala 478:24] + node _T_435 = or(x_d.bits.csrwonly, r_d.bits.csrwonly) @[dec_decode_ctl.scala 480:43] + node prior_csr_write = or(_T_435, wbd.bits.csrwonly) @[dec_decode_ctl.scala 480:63] + node _T_436 = bits(io.dbg_dctl.dbg_cmd_wrdata, 0, 0) @[dec_decode_ctl.scala 482:76] + node debug_fence_i = and(io.dec_debug_fence_d, _T_436) @[dec_decode_ctl.scala 482:48] + node _T_437 = bits(io.dbg_dctl.dbg_cmd_wrdata, 1, 1) @[dec_decode_ctl.scala 483:76] + node debug_fence_raw = and(io.dec_debug_fence_d, _T_437) @[dec_decode_ctl.scala 483:48] + node _T_438 = or(debug_fence_raw, debug_fence_i) @[dec_decode_ctl.scala 484:40] + debug_fence <= _T_438 @[dec_decode_ctl.scala 484:21] + node _T_439 = or(i0_dp.presync, io.dec_tlu_presync_d) @[dec_decode_ctl.scala 487:34] + node _T_440 = or(_T_439, debug_fence_i) @[dec_decode_ctl.scala 487:57] + node _T_441 = or(_T_440, debug_fence_raw) @[dec_decode_ctl.scala 487:73] + node i0_presync = or(_T_441, io.dec_tlu_pipelining_disable) @[dec_decode_ctl.scala 487:91] + node _T_442 = or(i0_dp.postsync, io.dec_tlu_postsync_d) @[dec_decode_ctl.scala 490:36] + node _T_443 = or(_T_442, debug_fence_i) @[dec_decode_ctl.scala 490:60] + node _T_444 = bits(io.dec_i0_instr_d, 31, 20) @[dec_decode_ctl.scala 490:104] + node _T_445 = eq(_T_444, UInt<11>("h07c2")) @[dec_decode_ctl.scala 490:112] + node _T_446 = and(i0_csr_write_only_d, _T_445) @[dec_decode_ctl.scala 490:99] + node i0_postsync = or(_T_443, _T_446) @[dec_decode_ctl.scala 490:76] + node any_csr_d = or(i0_dp.csr_read, i0_csr_write) @[dec_decode_ctl.scala 492:34] + io.dec_csr_any_unq_d <= any_csr_d @[dec_decode_ctl.scala 493:24] + node _T_447 = eq(any_csr_d, UInt<1>("h00")) @[dec_decode_ctl.scala 494:40] + node _T_448 = or(_T_447, io.dec_csr_legal_d) @[dec_decode_ctl.scala 494:51] + node i0_legal = and(i0_dp.legal, _T_448) @[dec_decode_ctl.scala 494:37] wire _T_449 : UInt<1>[16] @[lib.scala 12:48] _T_449[0] <= UInt<1>("h00") @[lib.scala 12:48] _T_449[1] <= UInt<1>("h00") @[lib.scala 12:48] @@ -68158,11 +68156,11 @@ circuit quasar_wrapper : node _T_463 = cat(_T_462, _T_449[14]) @[Cat.scala 29:58] node _T_464 = cat(_T_463, _T_449[15]) @[Cat.scala 29:58] node _T_465 = cat(_T_464, io.dec_aln.ifu_i0_cinst) @[Cat.scala 29:58] - node i0_inst_d = mux(io.dec_i0_pc4_d, io.dec_i0_instr_d, _T_465) @[dec_decode_ctl.scala 501:27] - node _T_466 = eq(i0_legal, UInt<1>("h00")) @[dec_decode_ctl.scala 504:57] - node shift_illegal = and(io.dec_aln.dec_i0_decode_d, _T_466) @[dec_decode_ctl.scala 504:55] - node _T_467 = eq(illegal_lockout, UInt<1>("h00")) @[dec_decode_ctl.scala 505:44] - node illegal_inst_en = and(shift_illegal, _T_467) @[dec_decode_ctl.scala 505:42] + node i0_inst_d = mux(io.dec_i0_pc4_d, io.dec_i0_instr_d, _T_465) @[dec_decode_ctl.scala 495:27] + node _T_466 = eq(i0_legal, UInt<1>("h00")) @[dec_decode_ctl.scala 498:57] + node shift_illegal = and(io.dec_aln.dec_i0_decode_d, _T_466) @[dec_decode_ctl.scala 498:55] + node _T_467 = eq(illegal_lockout, UInt<1>("h00")) @[dec_decode_ctl.scala 499:44] + node illegal_inst_en = and(shift_illegal, _T_467) @[dec_decode_ctl.scala 499:42] inst rvclkhdr_4 of rvclkhdr_665 @[lib.scala 368:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset @@ -68171,94 +68169,94 @@ circuit quasar_wrapper : rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_468 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_468 <= i0_inst_d @[lib.scala 374:16] - io.dec_illegal_inst <= _T_468 @[dec_decode_ctl.scala 506:23] - node _T_469 = or(shift_illegal, illegal_lockout) @[dec_decode_ctl.scala 507:40] - node _T_470 = eq(flush_final_r, UInt<1>("h00")) @[dec_decode_ctl.scala 507:61] - node _T_471 = and(_T_469, _T_470) @[dec_decode_ctl.scala 507:59] - illegal_lockout_in <= _T_471 @[dec_decode_ctl.scala 507:22] - reg _T_472 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 508:54] - _T_472 <= illegal_lockout_in @[dec_decode_ctl.scala 508:54] - illegal_lockout <= _T_472 @[dec_decode_ctl.scala 508:19] - node i0_div_prior_div_stall = and(i0_dp.div, io.dec_div_active) @[dec_decode_ctl.scala 509:42] - node _T_473 = and(i0_dp.csr_read, prior_csr_write) @[dec_decode_ctl.scala 511:40] - node _T_474 = or(_T_473, io.decode_exu.dec_extint_stall) @[dec_decode_ctl.scala 511:59] - node _T_475 = or(_T_474, pause_stall) @[dec_decode_ctl.scala 511:92] - node _T_476 = or(_T_475, leak1_i0_stall) @[dec_decode_ctl.scala 511:106] - node _T_477 = or(_T_476, io.dec_tlu_debug_stall) @[dec_decode_ctl.scala 512:20] - node _T_478 = or(_T_477, postsync_stall) @[dec_decode_ctl.scala 512:45] - node _T_479 = or(_T_478, presync_stall) @[dec_decode_ctl.scala 512:62] - node _T_480 = or(i0_dp.fence, debug_fence) @[dec_decode_ctl.scala 513:19] - node _T_481 = eq(lsu_idle, UInt<1>("h00")) @[dec_decode_ctl.scala 513:36] - node _T_482 = and(_T_480, _T_481) @[dec_decode_ctl.scala 513:34] - node _T_483 = or(_T_479, _T_482) @[dec_decode_ctl.scala 512:79] - node _T_484 = or(_T_483, i0_nonblock_load_stall) @[dec_decode_ctl.scala 513:47] - node _T_485 = or(_T_484, i0_load_block_d) @[dec_decode_ctl.scala 513:72] - node _T_486 = or(_T_485, i0_nonblock_div_stall) @[dec_decode_ctl.scala 514:21] - node i0_block_raw_d = or(_T_486, i0_div_prior_div_stall) @[dec_decode_ctl.scala 514:45] - node _T_487 = or(io.lsu_store_stall_any, io.dctl_dma.dma_dccm_stall_any) @[dec_decode_ctl.scala 516:65] - node i0_store_stall_d = and(i0_dp.store, _T_487) @[dec_decode_ctl.scala 516:39] - node _T_488 = or(io.lsu_load_stall_any, io.dctl_dma.dma_dccm_stall_any) @[dec_decode_ctl.scala 517:63] - node i0_load_stall_d = and(i0_dp.load, _T_488) @[dec_decode_ctl.scala 517:38] - node _T_489 = or(i0_block_raw_d, i0_store_stall_d) @[dec_decode_ctl.scala 518:38] - node i0_block_d = or(_T_489, i0_load_stall_d) @[dec_decode_ctl.scala 518:57] - node _T_490 = eq(i0_block_d, UInt<1>("h00")) @[dec_decode_ctl.scala 522:54] - node _T_491 = and(io.dec_ib0_valid_d, _T_490) @[dec_decode_ctl.scala 522:52] - node _T_492 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[dec_decode_ctl.scala 522:71] - node _T_493 = and(_T_491, _T_492) @[dec_decode_ctl.scala 522:69] - node _T_494 = eq(flush_final_r, UInt<1>("h00")) @[dec_decode_ctl.scala 522:99] - node _T_495 = and(_T_493, _T_494) @[dec_decode_ctl.scala 522:97] - io.dec_aln.dec_i0_decode_d <= _T_495 @[dec_decode_ctl.scala 522:30] - node _T_496 = eq(i0_block_raw_d, UInt<1>("h00")) @[dec_decode_ctl.scala 523:46] - node _T_497 = and(io.dec_ib0_valid_d, _T_496) @[dec_decode_ctl.scala 523:44] - node _T_498 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[dec_decode_ctl.scala 523:63] - node _T_499 = and(_T_497, _T_498) @[dec_decode_ctl.scala 523:61] - node _T_500 = eq(flush_final_r, UInt<1>("h00")) @[dec_decode_ctl.scala 523:91] - node i0_exudecode_d = and(_T_499, _T_500) @[dec_decode_ctl.scala 523:89] - node i0_exulegal_decode_d = and(i0_exudecode_d, i0_legal) @[dec_decode_ctl.scala 524:46] - io.dec_pmu_instr_decoded <= io.dec_aln.dec_i0_decode_d @[dec_decode_ctl.scala 527:28] - node _T_501 = eq(io.dec_aln.dec_i0_decode_d, UInt<1>("h00")) @[dec_decode_ctl.scala 528:51] - node _T_502 = and(io.dec_ib0_valid_d, _T_501) @[dec_decode_ctl.scala 528:49] - io.dec_pmu_decode_stall <= _T_502 @[dec_decode_ctl.scala 528:27] - node _T_503 = bits(postsync_stall, 0, 0) @[dec_decode_ctl.scala 529:47] - io.dec_pmu_postsync_stall <= _T_503 @[dec_decode_ctl.scala 529:29] - node _T_504 = bits(presync_stall, 0, 0) @[dec_decode_ctl.scala 530:46] - io.dec_pmu_presync_stall <= _T_504 @[dec_decode_ctl.scala 530:29] - node prior_inflight = or(x_d.valid, r_d.valid) @[dec_decode_ctl.scala 534:41] - node prior_inflight_eff = mux(i0_dp.div, x_d.valid, prior_inflight) @[dec_decode_ctl.scala 535:31] - node _T_505 = and(i0_presync, prior_inflight_eff) @[dec_decode_ctl.scala 537:37] - presync_stall <= _T_505 @[dec_decode_ctl.scala 537:22] - reg _T_506 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 538:53] - _T_506 <= ps_stall_in @[dec_decode_ctl.scala 538:53] - postsync_stall <= _T_506 @[dec_decode_ctl.scala 538:18] - node _T_507 = eq(i0_legal, UInt<1>("h00")) @[dec_decode_ctl.scala 540:64] - node _T_508 = or(i0_postsync, _T_507) @[dec_decode_ctl.scala 540:62] - node _T_509 = and(io.dec_aln.dec_i0_decode_d, _T_508) @[dec_decode_ctl.scala 540:47] - node _T_510 = and(postsync_stall, x_d.valid) @[dec_decode_ctl.scala 540:96] - node _T_511 = or(_T_509, _T_510) @[dec_decode_ctl.scala 540:77] - ps_stall_in <= _T_511 @[dec_decode_ctl.scala 540:15] - node _T_512 = and(i0_exulegal_decode_d, i0_dp.alu) @[dec_decode_ctl.scala 542:58] - io.dec_alu.dec_i0_alu_decode_d <= _T_512 @[dec_decode_ctl.scala 542:34] - node _T_513 = and(i0_legal_decode_d, i0_dp.lsu) @[dec_decode_ctl.scala 544:40] - lsu_decode_d <= _T_513 @[dec_decode_ctl.scala 544:16] - node _T_514 = and(i0_exulegal_decode_d, i0_dp.mul) @[dec_decode_ctl.scala 545:40] - mul_decode_d <= _T_514 @[dec_decode_ctl.scala 545:16] - node _T_515 = and(i0_exulegal_decode_d, i0_dp.div) @[dec_decode_ctl.scala 546:40] - div_decode_d <= _T_515 @[dec_decode_ctl.scala 546:16] - node _T_516 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[dec_decode_ctl.scala 548:45] - node _T_517 = and(r_d.valid, _T_516) @[dec_decode_ctl.scala 548:43] - io.dec_tlu_i0_valid_r <= _T_517 @[dec_decode_ctl.scala 548:29] - d_t.legal <= i0_legal_decode_d @[dec_decode_ctl.scala 551:26] - node _T_518 = and(i0_icaf_d, i0_legal_decode_d) @[dec_decode_ctl.scala 552:40] - d_t.icaf <= _T_518 @[dec_decode_ctl.scala 552:26] - node _T_519 = and(io.dec_i0_icaf_f1_d, i0_legal_decode_d) @[dec_decode_ctl.scala 553:50] - d_t.icaf_f1 <= _T_519 @[dec_decode_ctl.scala 553:26] - d_t.icaf_type <= io.dec_i0_icaf_type_d @[dec_decode_ctl.scala 554:26] - node _T_520 = or(i0_dp.fence_i, debug_fence_i) @[dec_decode_ctl.scala 556:44] - node _T_521 = and(_T_520, i0_legal_decode_d) @[dec_decode_ctl.scala 556:61] - d_t.fence_i <= _T_521 @[dec_decode_ctl.scala 556:26] - d_t.pmu_i0_br_unpred <= i0_br_unpred @[dec_decode_ctl.scala 559:26] - d_t.pmu_divide <= UInt<1>("h00") @[dec_decode_ctl.scala 560:26] - d_t.pmu_lsu_misaligned <= UInt<1>("h00") @[dec_decode_ctl.scala 561:26] + io.dec_illegal_inst <= _T_468 @[dec_decode_ctl.scala 500:23] + node _T_469 = or(shift_illegal, illegal_lockout) @[dec_decode_ctl.scala 501:40] + node _T_470 = eq(flush_final_r, UInt<1>("h00")) @[dec_decode_ctl.scala 501:61] + node _T_471 = and(_T_469, _T_470) @[dec_decode_ctl.scala 501:59] + illegal_lockout_in <= _T_471 @[dec_decode_ctl.scala 501:22] + reg _T_472 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 502:54] + _T_472 <= illegal_lockout_in @[dec_decode_ctl.scala 502:54] + illegal_lockout <= _T_472 @[dec_decode_ctl.scala 502:19] + node i0_div_prior_div_stall = and(i0_dp.div, io.dec_div_active) @[dec_decode_ctl.scala 503:42] + node _T_473 = and(i0_dp.csr_read, prior_csr_write) @[dec_decode_ctl.scala 505:40] + node _T_474 = or(_T_473, io.decode_exu.dec_extint_stall) @[dec_decode_ctl.scala 505:59] + node _T_475 = or(_T_474, pause_stall) @[dec_decode_ctl.scala 505:92] + node _T_476 = or(_T_475, leak1_i0_stall) @[dec_decode_ctl.scala 505:106] + node _T_477 = or(_T_476, io.dec_tlu_debug_stall) @[dec_decode_ctl.scala 506:20] + node _T_478 = or(_T_477, postsync_stall) @[dec_decode_ctl.scala 506:45] + node _T_479 = or(_T_478, presync_stall) @[dec_decode_ctl.scala 506:62] + node _T_480 = or(i0_dp.fence, debug_fence) @[dec_decode_ctl.scala 507:19] + node _T_481 = eq(lsu_idle, UInt<1>("h00")) @[dec_decode_ctl.scala 507:36] + node _T_482 = and(_T_480, _T_481) @[dec_decode_ctl.scala 507:34] + node _T_483 = or(_T_479, _T_482) @[dec_decode_ctl.scala 506:79] + node _T_484 = or(_T_483, i0_nonblock_load_stall) @[dec_decode_ctl.scala 507:47] + node _T_485 = or(_T_484, i0_load_block_d) @[dec_decode_ctl.scala 507:72] + node _T_486 = or(_T_485, i0_nonblock_div_stall) @[dec_decode_ctl.scala 508:21] + node i0_block_raw_d = or(_T_486, i0_div_prior_div_stall) @[dec_decode_ctl.scala 508:45] + node _T_487 = or(io.lsu_store_stall_any, io.dctl_dma.dma_dccm_stall_any) @[dec_decode_ctl.scala 510:65] + node i0_store_stall_d = and(i0_dp.store, _T_487) @[dec_decode_ctl.scala 510:39] + node _T_488 = or(io.lsu_load_stall_any, io.dctl_dma.dma_dccm_stall_any) @[dec_decode_ctl.scala 511:63] + node i0_load_stall_d = and(i0_dp.load, _T_488) @[dec_decode_ctl.scala 511:38] + node _T_489 = or(i0_block_raw_d, i0_store_stall_d) @[dec_decode_ctl.scala 512:38] + node i0_block_d = or(_T_489, i0_load_stall_d) @[dec_decode_ctl.scala 512:57] + node _T_490 = eq(i0_block_d, UInt<1>("h00")) @[dec_decode_ctl.scala 516:54] + node _T_491 = and(io.dec_ib0_valid_d, _T_490) @[dec_decode_ctl.scala 516:52] + node _T_492 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[dec_decode_ctl.scala 516:71] + node _T_493 = and(_T_491, _T_492) @[dec_decode_ctl.scala 516:69] + node _T_494 = eq(flush_final_r, UInt<1>("h00")) @[dec_decode_ctl.scala 516:99] + node _T_495 = and(_T_493, _T_494) @[dec_decode_ctl.scala 516:97] + io.dec_aln.dec_i0_decode_d <= _T_495 @[dec_decode_ctl.scala 516:30] + node _T_496 = eq(i0_block_raw_d, UInt<1>("h00")) @[dec_decode_ctl.scala 517:46] + node _T_497 = and(io.dec_ib0_valid_d, _T_496) @[dec_decode_ctl.scala 517:44] + node _T_498 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[dec_decode_ctl.scala 517:63] + node _T_499 = and(_T_497, _T_498) @[dec_decode_ctl.scala 517:61] + node _T_500 = eq(flush_final_r, UInt<1>("h00")) @[dec_decode_ctl.scala 517:91] + node i0_exudecode_d = and(_T_499, _T_500) @[dec_decode_ctl.scala 517:89] + node i0_exulegal_decode_d = and(i0_exudecode_d, i0_legal) @[dec_decode_ctl.scala 518:46] + io.dec_pmu_instr_decoded <= io.dec_aln.dec_i0_decode_d @[dec_decode_ctl.scala 521:28] + node _T_501 = eq(io.dec_aln.dec_i0_decode_d, UInt<1>("h00")) @[dec_decode_ctl.scala 522:51] + node _T_502 = and(io.dec_ib0_valid_d, _T_501) @[dec_decode_ctl.scala 522:49] + io.dec_pmu_decode_stall <= _T_502 @[dec_decode_ctl.scala 522:27] + node _T_503 = bits(postsync_stall, 0, 0) @[dec_decode_ctl.scala 523:47] + io.dec_pmu_postsync_stall <= _T_503 @[dec_decode_ctl.scala 523:29] + node _T_504 = bits(presync_stall, 0, 0) @[dec_decode_ctl.scala 524:46] + io.dec_pmu_presync_stall <= _T_504 @[dec_decode_ctl.scala 524:29] + node prior_inflight = or(x_d.valid, r_d.valid) @[dec_decode_ctl.scala 528:41] + node prior_inflight_eff = mux(i0_dp.div, x_d.valid, prior_inflight) @[dec_decode_ctl.scala 529:31] + node _T_505 = and(i0_presync, prior_inflight_eff) @[dec_decode_ctl.scala 531:37] + presync_stall <= _T_505 @[dec_decode_ctl.scala 531:22] + reg _T_506 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 532:53] + _T_506 <= ps_stall_in @[dec_decode_ctl.scala 532:53] + postsync_stall <= _T_506 @[dec_decode_ctl.scala 532:18] + node _T_507 = eq(i0_legal, UInt<1>("h00")) @[dec_decode_ctl.scala 534:64] + node _T_508 = or(i0_postsync, _T_507) @[dec_decode_ctl.scala 534:62] + node _T_509 = and(io.dec_aln.dec_i0_decode_d, _T_508) @[dec_decode_ctl.scala 534:47] + node _T_510 = and(postsync_stall, x_d.valid) @[dec_decode_ctl.scala 534:96] + node _T_511 = or(_T_509, _T_510) @[dec_decode_ctl.scala 534:77] + ps_stall_in <= _T_511 @[dec_decode_ctl.scala 534:15] + node _T_512 = and(i0_exulegal_decode_d, i0_dp.alu) @[dec_decode_ctl.scala 536:58] + io.dec_alu.dec_i0_alu_decode_d <= _T_512 @[dec_decode_ctl.scala 536:34] + node _T_513 = and(i0_legal_decode_d, i0_dp.lsu) @[dec_decode_ctl.scala 538:40] + lsu_decode_d <= _T_513 @[dec_decode_ctl.scala 538:16] + node _T_514 = and(i0_exulegal_decode_d, i0_dp.mul) @[dec_decode_ctl.scala 539:40] + mul_decode_d <= _T_514 @[dec_decode_ctl.scala 539:16] + node _T_515 = and(i0_exulegal_decode_d, i0_dp.div) @[dec_decode_ctl.scala 540:40] + div_decode_d <= _T_515 @[dec_decode_ctl.scala 540:16] + node _T_516 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[dec_decode_ctl.scala 542:45] + node _T_517 = and(r_d.valid, _T_516) @[dec_decode_ctl.scala 542:43] + io.dec_tlu_i0_valid_r <= _T_517 @[dec_decode_ctl.scala 542:29] + d_t.legal <= i0_legal_decode_d @[dec_decode_ctl.scala 545:26] + node _T_518 = and(i0_icaf_d, i0_legal_decode_d) @[dec_decode_ctl.scala 546:40] + d_t.icaf <= _T_518 @[dec_decode_ctl.scala 546:26] + node _T_519 = and(io.dec_i0_icaf_f1_d, i0_legal_decode_d) @[dec_decode_ctl.scala 547:50] + d_t.icaf_f1 <= _T_519 @[dec_decode_ctl.scala 547:26] + d_t.icaf_type <= io.dec_i0_icaf_type_d @[dec_decode_ctl.scala 548:26] + node _T_520 = or(i0_dp.fence_i, debug_fence_i) @[dec_decode_ctl.scala 550:44] + node _T_521 = and(_T_520, i0_legal_decode_d) @[dec_decode_ctl.scala 550:61] + d_t.fence_i <= _T_521 @[dec_decode_ctl.scala 550:26] + d_t.pmu_i0_br_unpred <= i0_br_unpred @[dec_decode_ctl.scala 553:26] + d_t.pmu_divide <= UInt<1>("h00") @[dec_decode_ctl.scala 554:26] + d_t.pmu_lsu_misaligned <= UInt<1>("h00") @[dec_decode_ctl.scala 555:26] wire _T_522 : UInt<1>[4] @[lib.scala 12:48] _T_522[0] <= io.dec_aln.dec_i0_decode_d @[lib.scala 12:48] _T_522[1] <= io.dec_aln.dec_i0_decode_d @[lib.scala 12:48] @@ -68267,9 +68265,9 @@ circuit quasar_wrapper : node _T_523 = cat(_T_522[0], _T_522[1]) @[Cat.scala 29:58] node _T_524 = cat(_T_523, _T_522[2]) @[Cat.scala 29:58] node _T_525 = cat(_T_524, _T_522[3]) @[Cat.scala 29:58] - node _T_526 = and(io.dec_i0_trigger_match_d, _T_525) @[dec_decode_ctl.scala 563:56] - d_t.i0trigger <= _T_526 @[dec_decode_ctl.scala 563:26] - node _T_527 = bits(i0_x_ctl_en, 0, 0) @[dec_decode_ctl.scala 566:33] + node _T_526 = and(io.dec_i0_trigger_match_d, _T_525) @[dec_decode_ctl.scala 557:56] + d_t.i0trigger <= _T_526 @[dec_decode_ctl.scala 557:26] + node _T_527 = bits(i0_x_ctl_en, 0, 0) @[dec_decode_ctl.scala 560:33] inst rvclkhdr_5 of rvclkhdr_666 @[lib.scala 378:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset @@ -68298,26 +68296,26 @@ circuit quasar_wrapper : _T_529.icaf_f1 <= d_t.icaf_f1 @[lib.scala 384:16] _T_529.icaf <= d_t.icaf @[lib.scala 384:16] _T_529.legal <= d_t.legal @[lib.scala 384:16] - x_t.pmu_lsu_misaligned <= _T_529.pmu_lsu_misaligned @[dec_decode_ctl.scala 566:7] - x_t.pmu_divide <= _T_529.pmu_divide @[dec_decode_ctl.scala 566:7] - x_t.pmu_i0_br_unpred <= _T_529.pmu_i0_br_unpred @[dec_decode_ctl.scala 566:7] - x_t.pmu_i0_itype <= _T_529.pmu_i0_itype @[dec_decode_ctl.scala 566:7] - x_t.i0trigger <= _T_529.i0trigger @[dec_decode_ctl.scala 566:7] - x_t.fence_i <= _T_529.fence_i @[dec_decode_ctl.scala 566:7] - x_t.icaf_type <= _T_529.icaf_type @[dec_decode_ctl.scala 566:7] - x_t.icaf_f1 <= _T_529.icaf_f1 @[dec_decode_ctl.scala 566:7] - x_t.icaf <= _T_529.icaf @[dec_decode_ctl.scala 566:7] - x_t.legal <= _T_529.legal @[dec_decode_ctl.scala 566:7] - x_t_in.pmu_lsu_misaligned <= x_t.pmu_lsu_misaligned @[dec_decode_ctl.scala 568:10] - x_t_in.pmu_divide <= x_t.pmu_divide @[dec_decode_ctl.scala 568:10] - x_t_in.pmu_i0_br_unpred <= x_t.pmu_i0_br_unpred @[dec_decode_ctl.scala 568:10] - x_t_in.pmu_i0_itype <= x_t.pmu_i0_itype @[dec_decode_ctl.scala 568:10] - x_t_in.i0trigger <= x_t.i0trigger @[dec_decode_ctl.scala 568:10] - x_t_in.fence_i <= x_t.fence_i @[dec_decode_ctl.scala 568:10] - x_t_in.icaf_type <= x_t.icaf_type @[dec_decode_ctl.scala 568:10] - x_t_in.icaf_f1 <= x_t.icaf_f1 @[dec_decode_ctl.scala 568:10] - x_t_in.icaf <= x_t.icaf @[dec_decode_ctl.scala 568:10] - x_t_in.legal <= x_t.legal @[dec_decode_ctl.scala 568:10] + x_t.pmu_lsu_misaligned <= _T_529.pmu_lsu_misaligned @[dec_decode_ctl.scala 560:7] + x_t.pmu_divide <= _T_529.pmu_divide @[dec_decode_ctl.scala 560:7] + x_t.pmu_i0_br_unpred <= _T_529.pmu_i0_br_unpred @[dec_decode_ctl.scala 560:7] + x_t.pmu_i0_itype <= _T_529.pmu_i0_itype @[dec_decode_ctl.scala 560:7] + x_t.i0trigger <= _T_529.i0trigger @[dec_decode_ctl.scala 560:7] + x_t.fence_i <= _T_529.fence_i @[dec_decode_ctl.scala 560:7] + x_t.icaf_type <= _T_529.icaf_type @[dec_decode_ctl.scala 560:7] + x_t.icaf_f1 <= _T_529.icaf_f1 @[dec_decode_ctl.scala 560:7] + x_t.icaf <= _T_529.icaf @[dec_decode_ctl.scala 560:7] + x_t.legal <= _T_529.legal @[dec_decode_ctl.scala 560:7] + x_t_in.pmu_lsu_misaligned <= x_t.pmu_lsu_misaligned @[dec_decode_ctl.scala 562:10] + x_t_in.pmu_divide <= x_t.pmu_divide @[dec_decode_ctl.scala 562:10] + x_t_in.pmu_i0_br_unpred <= x_t.pmu_i0_br_unpred @[dec_decode_ctl.scala 562:10] + x_t_in.pmu_i0_itype <= x_t.pmu_i0_itype @[dec_decode_ctl.scala 562:10] + x_t_in.i0trigger <= x_t.i0trigger @[dec_decode_ctl.scala 562:10] + x_t_in.fence_i <= x_t.fence_i @[dec_decode_ctl.scala 562:10] + x_t_in.icaf_type <= x_t.icaf_type @[dec_decode_ctl.scala 562:10] + x_t_in.icaf_f1 <= x_t.icaf_f1 @[dec_decode_ctl.scala 562:10] + x_t_in.icaf <= x_t.icaf @[dec_decode_ctl.scala 562:10] + x_t_in.legal <= x_t.legal @[dec_decode_ctl.scala 562:10] wire _T_530 : UInt<1>[4] @[lib.scala 12:48] _T_530[0] <= io.dec_tlu_flush_lower_wb @[lib.scala 12:48] _T_530[1] <= io.dec_tlu_flush_lower_wb @[lib.scala 12:48] @@ -68326,10 +68324,10 @@ circuit quasar_wrapper : node _T_531 = cat(_T_530[0], _T_530[1]) @[Cat.scala 29:58] node _T_532 = cat(_T_531, _T_530[2]) @[Cat.scala 29:58] node _T_533 = cat(_T_532, _T_530[3]) @[Cat.scala 29:58] - node _T_534 = not(_T_533) @[dec_decode_ctl.scala 569:39] - node _T_535 = and(x_t.i0trigger, _T_534) @[dec_decode_ctl.scala 569:37] - x_t_in.i0trigger <= _T_535 @[dec_decode_ctl.scala 569:20] - node _T_536 = bits(i0_x_ctl_en, 0, 0) @[dec_decode_ctl.scala 571:36] + node _T_534 = not(_T_533) @[dec_decode_ctl.scala 563:39] + node _T_535 = and(x_t.i0trigger, _T_534) @[dec_decode_ctl.scala 563:37] + x_t_in.i0trigger <= _T_535 @[dec_decode_ctl.scala 563:20] + node _T_536 = bits(i0_x_ctl_en, 0, 0) @[dec_decode_ctl.scala 565:36] inst rvclkhdr_6 of rvclkhdr_667 @[lib.scala 378:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset @@ -68358,31 +68356,31 @@ circuit quasar_wrapper : _T_538.icaf_f1 <= x_t_in.icaf_f1 @[lib.scala 384:16] _T_538.icaf <= x_t_in.icaf @[lib.scala 384:16] _T_538.legal <= x_t_in.legal @[lib.scala 384:16] - r_t.pmu_lsu_misaligned <= _T_538.pmu_lsu_misaligned @[dec_decode_ctl.scala 571:7] - r_t.pmu_divide <= _T_538.pmu_divide @[dec_decode_ctl.scala 571:7] - r_t.pmu_i0_br_unpred <= _T_538.pmu_i0_br_unpred @[dec_decode_ctl.scala 571:7] - r_t.pmu_i0_itype <= _T_538.pmu_i0_itype @[dec_decode_ctl.scala 571:7] - r_t.i0trigger <= _T_538.i0trigger @[dec_decode_ctl.scala 571:7] - r_t.fence_i <= _T_538.fence_i @[dec_decode_ctl.scala 571:7] - r_t.icaf_type <= _T_538.icaf_type @[dec_decode_ctl.scala 571:7] - r_t.icaf_f1 <= _T_538.icaf_f1 @[dec_decode_ctl.scala 571:7] - r_t.icaf <= _T_538.icaf @[dec_decode_ctl.scala 571:7] - r_t.legal <= _T_538.legal @[dec_decode_ctl.scala 571:7] - reg lsu_trigger_match_r : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 572:36] - lsu_trigger_match_r <= io.lsu_trigger_match_m @[dec_decode_ctl.scala 572:36] - reg lsu_pmu_misaligned_r : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 573:37] - lsu_pmu_misaligned_r <= io.lsu_pmu_misaligned_m @[dec_decode_ctl.scala 573:37] - r_t_in.pmu_lsu_misaligned <= r_t.pmu_lsu_misaligned @[dec_decode_ctl.scala 575:10] - r_t_in.pmu_divide <= r_t.pmu_divide @[dec_decode_ctl.scala 575:10] - r_t_in.pmu_i0_br_unpred <= r_t.pmu_i0_br_unpred @[dec_decode_ctl.scala 575:10] - r_t_in.pmu_i0_itype <= r_t.pmu_i0_itype @[dec_decode_ctl.scala 575:10] - r_t_in.i0trigger <= r_t.i0trigger @[dec_decode_ctl.scala 575:10] - r_t_in.fence_i <= r_t.fence_i @[dec_decode_ctl.scala 575:10] - r_t_in.icaf_type <= r_t.icaf_type @[dec_decode_ctl.scala 575:10] - r_t_in.icaf_f1 <= r_t.icaf_f1 @[dec_decode_ctl.scala 575:10] - r_t_in.icaf <= r_t.icaf @[dec_decode_ctl.scala 575:10] - r_t_in.legal <= r_t.legal @[dec_decode_ctl.scala 575:10] - node _T_539 = or(r_d.bits.i0load, r_d.bits.i0store) @[dec_decode_ctl.scala 577:61] + r_t.pmu_lsu_misaligned <= _T_538.pmu_lsu_misaligned @[dec_decode_ctl.scala 565:7] + r_t.pmu_divide <= _T_538.pmu_divide @[dec_decode_ctl.scala 565:7] + r_t.pmu_i0_br_unpred <= _T_538.pmu_i0_br_unpred @[dec_decode_ctl.scala 565:7] + r_t.pmu_i0_itype <= _T_538.pmu_i0_itype @[dec_decode_ctl.scala 565:7] + r_t.i0trigger <= _T_538.i0trigger @[dec_decode_ctl.scala 565:7] + r_t.fence_i <= _T_538.fence_i @[dec_decode_ctl.scala 565:7] + r_t.icaf_type <= _T_538.icaf_type @[dec_decode_ctl.scala 565:7] + r_t.icaf_f1 <= _T_538.icaf_f1 @[dec_decode_ctl.scala 565:7] + r_t.icaf <= _T_538.icaf @[dec_decode_ctl.scala 565:7] + r_t.legal <= _T_538.legal @[dec_decode_ctl.scala 565:7] + reg lsu_trigger_match_r : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 566:36] + lsu_trigger_match_r <= io.lsu_trigger_match_m @[dec_decode_ctl.scala 566:36] + reg lsu_pmu_misaligned_r : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 567:37] + lsu_pmu_misaligned_r <= io.lsu_pmu_misaligned_m @[dec_decode_ctl.scala 567:37] + r_t_in.pmu_lsu_misaligned <= r_t.pmu_lsu_misaligned @[dec_decode_ctl.scala 569:10] + r_t_in.pmu_divide <= r_t.pmu_divide @[dec_decode_ctl.scala 569:10] + r_t_in.pmu_i0_br_unpred <= r_t.pmu_i0_br_unpred @[dec_decode_ctl.scala 569:10] + r_t_in.pmu_i0_itype <= r_t.pmu_i0_itype @[dec_decode_ctl.scala 569:10] + r_t_in.i0trigger <= r_t.i0trigger @[dec_decode_ctl.scala 569:10] + r_t_in.fence_i <= r_t.fence_i @[dec_decode_ctl.scala 569:10] + r_t_in.icaf_type <= r_t.icaf_type @[dec_decode_ctl.scala 569:10] + r_t_in.icaf_f1 <= r_t.icaf_f1 @[dec_decode_ctl.scala 569:10] + r_t_in.icaf <= r_t.icaf @[dec_decode_ctl.scala 569:10] + r_t_in.legal <= r_t.legal @[dec_decode_ctl.scala 569:10] + node _T_539 = or(r_d.bits.i0load, r_d.bits.i0store) @[dec_decode_ctl.scala 571:61] wire _T_540 : UInt<1>[4] @[lib.scala 12:48] _T_540[0] <= _T_539 @[lib.scala 12:48] _T_540[1] <= _T_539 @[lib.scala 12:48] @@ -68391,83 +68389,83 @@ circuit quasar_wrapper : node _T_541 = cat(_T_540[0], _T_540[1]) @[Cat.scala 29:58] node _T_542 = cat(_T_541, _T_540[2]) @[Cat.scala 29:58] node _T_543 = cat(_T_542, _T_540[3]) @[Cat.scala 29:58] - node _T_544 = and(_T_543, lsu_trigger_match_r) @[dec_decode_ctl.scala 577:82] - node _T_545 = or(_T_544, r_t.i0trigger) @[dec_decode_ctl.scala 577:105] - r_t_in.i0trigger <= _T_545 @[dec_decode_ctl.scala 577:33] - r_t_in.pmu_lsu_misaligned <= lsu_pmu_misaligned_r @[dec_decode_ctl.scala 578:33] - node _T_546 = bits(io.dec_tlu_flush_lower_wb, 0, 0) @[dec_decode_ctl.scala 580:35] - when _T_546 : @[dec_decode_ctl.scala 580:43] - wire _T_547 : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[dec_decode_ctl.scala 580:66] - _T_547.pmu_lsu_misaligned <= UInt<1>("h00") @[dec_decode_ctl.scala 580:66] - _T_547.pmu_divide <= UInt<1>("h00") @[dec_decode_ctl.scala 580:66] - _T_547.pmu_i0_br_unpred <= UInt<1>("h00") @[dec_decode_ctl.scala 580:66] - _T_547.pmu_i0_itype <= UInt<4>("h00") @[dec_decode_ctl.scala 580:66] - _T_547.i0trigger <= UInt<4>("h00") @[dec_decode_ctl.scala 580:66] - _T_547.fence_i <= UInt<1>("h00") @[dec_decode_ctl.scala 580:66] - _T_547.icaf_type <= UInt<2>("h00") @[dec_decode_ctl.scala 580:66] - _T_547.icaf_f1 <= UInt<1>("h00") @[dec_decode_ctl.scala 580:66] - _T_547.icaf <= UInt<1>("h00") @[dec_decode_ctl.scala 580:66] - _T_547.legal <= UInt<1>("h00") @[dec_decode_ctl.scala 580:66] - r_t_in.pmu_lsu_misaligned <= _T_547.pmu_lsu_misaligned @[dec_decode_ctl.scala 580:51] - r_t_in.pmu_divide <= _T_547.pmu_divide @[dec_decode_ctl.scala 580:51] - r_t_in.pmu_i0_br_unpred <= _T_547.pmu_i0_br_unpred @[dec_decode_ctl.scala 580:51] - r_t_in.pmu_i0_itype <= _T_547.pmu_i0_itype @[dec_decode_ctl.scala 580:51] - r_t_in.i0trigger <= _T_547.i0trigger @[dec_decode_ctl.scala 580:51] - r_t_in.fence_i <= _T_547.fence_i @[dec_decode_ctl.scala 580:51] - r_t_in.icaf_type <= _T_547.icaf_type @[dec_decode_ctl.scala 580:51] - r_t_in.icaf_f1 <= _T_547.icaf_f1 @[dec_decode_ctl.scala 580:51] - r_t_in.icaf <= _T_547.icaf @[dec_decode_ctl.scala 580:51] - r_t_in.legal <= _T_547.legal @[dec_decode_ctl.scala 580:51] - skip @[dec_decode_ctl.scala 580:43] - io.dec_tlu_packet_r.pmu_lsu_misaligned <= r_t_in.pmu_lsu_misaligned @[dec_decode_ctl.scala 582:39] - io.dec_tlu_packet_r.pmu_divide <= r_t_in.pmu_divide @[dec_decode_ctl.scala 582:39] - io.dec_tlu_packet_r.pmu_i0_br_unpred <= r_t_in.pmu_i0_br_unpred @[dec_decode_ctl.scala 582:39] - io.dec_tlu_packet_r.pmu_i0_itype <= r_t_in.pmu_i0_itype @[dec_decode_ctl.scala 582:39] - io.dec_tlu_packet_r.i0trigger <= r_t_in.i0trigger @[dec_decode_ctl.scala 582:39] - io.dec_tlu_packet_r.fence_i <= r_t_in.fence_i @[dec_decode_ctl.scala 582:39] - io.dec_tlu_packet_r.icaf_type <= r_t_in.icaf_type @[dec_decode_ctl.scala 582:39] - io.dec_tlu_packet_r.icaf_f1 <= r_t_in.icaf_f1 @[dec_decode_ctl.scala 582:39] - io.dec_tlu_packet_r.icaf <= r_t_in.icaf @[dec_decode_ctl.scala 582:39] - io.dec_tlu_packet_r.legal <= r_t_in.legal @[dec_decode_ctl.scala 582:39] - node _T_548 = and(r_d.bits.i0div, r_d.valid) @[dec_decode_ctl.scala 583:58] - io.dec_tlu_packet_r.pmu_divide <= _T_548 @[dec_decode_ctl.scala 583:39] - reg _T_549 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 586:52] - _T_549 <= io.exu_flush_final @[dec_decode_ctl.scala 586:52] - flush_final_r <= _T_549 @[dec_decode_ctl.scala 586:17] - node _T_550 = eq(i0_block_d, UInt<1>("h00")) @[dec_decode_ctl.scala 588:54] - node _T_551 = and(io.dec_ib0_valid_d, _T_550) @[dec_decode_ctl.scala 588:52] - node _T_552 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[dec_decode_ctl.scala 588:68] - node _T_553 = and(_T_551, _T_552) @[dec_decode_ctl.scala 588:66] - node _T_554 = eq(flush_final_r, UInt<1>("h00")) @[dec_decode_ctl.scala 588:96] - node _T_555 = and(_T_553, _T_554) @[dec_decode_ctl.scala 588:94] - io.dec_aln.dec_i0_decode_d <= _T_555 @[dec_decode_ctl.scala 588:30] - node _T_556 = bits(io.dec_i0_instr_d, 19, 15) @[dec_decode_ctl.scala 590:16] - i0r.rs1 <= _T_556 @[dec_decode_ctl.scala 590:11] - node _T_557 = bits(io.dec_i0_instr_d, 24, 20) @[dec_decode_ctl.scala 591:16] - i0r.rs2 <= _T_557 @[dec_decode_ctl.scala 591:11] - node _T_558 = bits(io.dec_i0_instr_d, 11, 7) @[dec_decode_ctl.scala 592:16] - i0r.rd <= _T_558 @[dec_decode_ctl.scala 592:11] - node _T_559 = neq(i0r.rs1, UInt<5>("h00")) @[dec_decode_ctl.scala 594:60] - node _T_560 = and(i0_dp.rs1, _T_559) @[dec_decode_ctl.scala 594:49] - io.decode_exu.dec_i0_rs1_en_d <= _T_560 @[dec_decode_ctl.scala 594:35] - node _T_561 = neq(i0r.rs2, UInt<5>("h00")) @[dec_decode_ctl.scala 595:60] - node _T_562 = and(i0_dp.rs2, _T_561) @[dec_decode_ctl.scala 595:49] - io.decode_exu.dec_i0_rs2_en_d <= _T_562 @[dec_decode_ctl.scala 595:35] - node _T_563 = neq(i0r.rd, UInt<5>("h00")) @[dec_decode_ctl.scala 596:48] - node i0_rd_en_d = and(i0_dp.rd, _T_563) @[dec_decode_ctl.scala 596:37] - io.dec_i0_rs1_d <= i0r.rs1 @[dec_decode_ctl.scala 597:19] - io.dec_i0_rs2_d <= i0r.rs2 @[dec_decode_ctl.scala 598:19] - node i0_jalimm20 = and(i0_dp.jal, i0_dp.imm20) @[dec_decode_ctl.scala 600:38] - node _T_564 = eq(i0_dp.jal, UInt<1>("h00")) @[dec_decode_ctl.scala 601:27] - node i0_uiimm20 = and(_T_564, i0_dp.imm20) @[dec_decode_ctl.scala 601:38] - node _T_565 = eq(i0_dp.csr_read, UInt<1>("h00")) @[dec_decode_ctl.scala 605:5] + node _T_544 = and(_T_543, lsu_trigger_match_r) @[dec_decode_ctl.scala 571:82] + node _T_545 = or(_T_544, r_t.i0trigger) @[dec_decode_ctl.scala 571:105] + r_t_in.i0trigger <= _T_545 @[dec_decode_ctl.scala 571:33] + r_t_in.pmu_lsu_misaligned <= lsu_pmu_misaligned_r @[dec_decode_ctl.scala 572:33] + node _T_546 = bits(io.dec_tlu_flush_lower_wb, 0, 0) @[dec_decode_ctl.scala 574:35] + when _T_546 : @[dec_decode_ctl.scala 574:43] + wire _T_547 : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[dec_decode_ctl.scala 574:66] + _T_547.pmu_lsu_misaligned <= UInt<1>("h00") @[dec_decode_ctl.scala 574:66] + _T_547.pmu_divide <= UInt<1>("h00") @[dec_decode_ctl.scala 574:66] + _T_547.pmu_i0_br_unpred <= UInt<1>("h00") @[dec_decode_ctl.scala 574:66] + _T_547.pmu_i0_itype <= UInt<4>("h00") @[dec_decode_ctl.scala 574:66] + _T_547.i0trigger <= UInt<4>("h00") @[dec_decode_ctl.scala 574:66] + _T_547.fence_i <= UInt<1>("h00") @[dec_decode_ctl.scala 574:66] + _T_547.icaf_type <= UInt<2>("h00") @[dec_decode_ctl.scala 574:66] + _T_547.icaf_f1 <= UInt<1>("h00") @[dec_decode_ctl.scala 574:66] + _T_547.icaf <= UInt<1>("h00") @[dec_decode_ctl.scala 574:66] + _T_547.legal <= UInt<1>("h00") @[dec_decode_ctl.scala 574:66] + r_t_in.pmu_lsu_misaligned <= _T_547.pmu_lsu_misaligned @[dec_decode_ctl.scala 574:51] + r_t_in.pmu_divide <= _T_547.pmu_divide @[dec_decode_ctl.scala 574:51] + r_t_in.pmu_i0_br_unpred <= _T_547.pmu_i0_br_unpred @[dec_decode_ctl.scala 574:51] + r_t_in.pmu_i0_itype <= _T_547.pmu_i0_itype @[dec_decode_ctl.scala 574:51] + r_t_in.i0trigger <= _T_547.i0trigger @[dec_decode_ctl.scala 574:51] + r_t_in.fence_i <= _T_547.fence_i @[dec_decode_ctl.scala 574:51] + r_t_in.icaf_type <= _T_547.icaf_type @[dec_decode_ctl.scala 574:51] + r_t_in.icaf_f1 <= _T_547.icaf_f1 @[dec_decode_ctl.scala 574:51] + r_t_in.icaf <= _T_547.icaf @[dec_decode_ctl.scala 574:51] + r_t_in.legal <= _T_547.legal @[dec_decode_ctl.scala 574:51] + skip @[dec_decode_ctl.scala 574:43] + io.dec_tlu_packet_r.pmu_lsu_misaligned <= r_t_in.pmu_lsu_misaligned @[dec_decode_ctl.scala 576:39] + io.dec_tlu_packet_r.pmu_divide <= r_t_in.pmu_divide @[dec_decode_ctl.scala 576:39] + io.dec_tlu_packet_r.pmu_i0_br_unpred <= r_t_in.pmu_i0_br_unpred @[dec_decode_ctl.scala 576:39] + io.dec_tlu_packet_r.pmu_i0_itype <= r_t_in.pmu_i0_itype @[dec_decode_ctl.scala 576:39] + io.dec_tlu_packet_r.i0trigger <= r_t_in.i0trigger @[dec_decode_ctl.scala 576:39] + io.dec_tlu_packet_r.fence_i <= r_t_in.fence_i @[dec_decode_ctl.scala 576:39] + io.dec_tlu_packet_r.icaf_type <= r_t_in.icaf_type @[dec_decode_ctl.scala 576:39] + io.dec_tlu_packet_r.icaf_f1 <= r_t_in.icaf_f1 @[dec_decode_ctl.scala 576:39] + io.dec_tlu_packet_r.icaf <= r_t_in.icaf @[dec_decode_ctl.scala 576:39] + io.dec_tlu_packet_r.legal <= r_t_in.legal @[dec_decode_ctl.scala 576:39] + node _T_548 = and(r_d.bits.i0div, r_d.valid) @[dec_decode_ctl.scala 577:58] + io.dec_tlu_packet_r.pmu_divide <= _T_548 @[dec_decode_ctl.scala 577:39] + reg _T_549 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 580:52] + _T_549 <= io.exu_flush_final @[dec_decode_ctl.scala 580:52] + flush_final_r <= _T_549 @[dec_decode_ctl.scala 580:17] + node _T_550 = eq(i0_block_d, UInt<1>("h00")) @[dec_decode_ctl.scala 582:54] + node _T_551 = and(io.dec_ib0_valid_d, _T_550) @[dec_decode_ctl.scala 582:52] + node _T_552 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[dec_decode_ctl.scala 582:68] + node _T_553 = and(_T_551, _T_552) @[dec_decode_ctl.scala 582:66] + node _T_554 = eq(flush_final_r, UInt<1>("h00")) @[dec_decode_ctl.scala 582:96] + node _T_555 = and(_T_553, _T_554) @[dec_decode_ctl.scala 582:94] + io.dec_aln.dec_i0_decode_d <= _T_555 @[dec_decode_ctl.scala 582:30] + node _T_556 = bits(io.dec_i0_instr_d, 19, 15) @[dec_decode_ctl.scala 584:16] + i0r.rs1 <= _T_556 @[dec_decode_ctl.scala 584:11] + node _T_557 = bits(io.dec_i0_instr_d, 24, 20) @[dec_decode_ctl.scala 585:16] + i0r.rs2 <= _T_557 @[dec_decode_ctl.scala 585:11] + node _T_558 = bits(io.dec_i0_instr_d, 11, 7) @[dec_decode_ctl.scala 586:16] + i0r.rd <= _T_558 @[dec_decode_ctl.scala 586:11] + node _T_559 = neq(i0r.rs1, UInt<5>("h00")) @[dec_decode_ctl.scala 588:60] + node _T_560 = and(i0_dp.rs1, _T_559) @[dec_decode_ctl.scala 588:49] + io.decode_exu.dec_i0_rs1_en_d <= _T_560 @[dec_decode_ctl.scala 588:35] + node _T_561 = neq(i0r.rs2, UInt<5>("h00")) @[dec_decode_ctl.scala 589:60] + node _T_562 = and(i0_dp.rs2, _T_561) @[dec_decode_ctl.scala 589:49] + io.decode_exu.dec_i0_rs2_en_d <= _T_562 @[dec_decode_ctl.scala 589:35] + node _T_563 = neq(i0r.rd, UInt<5>("h00")) @[dec_decode_ctl.scala 590:48] + node i0_rd_en_d = and(i0_dp.rd, _T_563) @[dec_decode_ctl.scala 590:37] + io.dec_i0_rs1_d <= i0r.rs1 @[dec_decode_ctl.scala 591:19] + io.dec_i0_rs2_d <= i0r.rs2 @[dec_decode_ctl.scala 592:19] + node i0_jalimm20 = and(i0_dp.jal, i0_dp.imm20) @[dec_decode_ctl.scala 594:38] + node _T_564 = eq(i0_dp.jal, UInt<1>("h00")) @[dec_decode_ctl.scala 595:27] + node i0_uiimm20 = and(_T_564, i0_dp.imm20) @[dec_decode_ctl.scala 595:38] + node _T_565 = eq(i0_dp.csr_read, UInt<1>("h00")) @[dec_decode_ctl.scala 599:5] node _T_566 = mux(i0_dp.csr_read, io.dec_csr_rddata_d, UInt<1>("h00")) @[Mux.scala 27:72] node _T_567 = mux(_T_565, i0_immed_d, UInt<1>("h00")) @[Mux.scala 27:72] node _T_568 = or(_T_566, _T_567) @[Mux.scala 27:72] wire _T_569 : UInt<32> @[Mux.scala 27:72] _T_569 <= _T_568 @[Mux.scala 27:72] - io.decode_exu.dec_i0_immed_d <= _T_569 @[dec_decode_ctl.scala 603:32] - node _T_570 = bits(io.dec_i0_instr_d, 31, 31) @[dec_decode_ctl.scala 608:38] + io.decode_exu.dec_i0_immed_d <= _T_569 @[dec_decode_ctl.scala 597:32] + node _T_570 = bits(io.dec_i0_instr_d, 31, 31) @[dec_decode_ctl.scala 602:38] wire _T_571 : UInt<1>[20] @[lib.scala 12:48] _T_571[0] <= _T_570 @[lib.scala 12:48] _T_571[1] <= _T_570 @[lib.scala 12:48] @@ -68508,7 +68506,7 @@ circuit quasar_wrapper : node _T_588 = cat(_T_587, _T_571[17]) @[Cat.scala 29:58] node _T_589 = cat(_T_588, _T_571[18]) @[Cat.scala 29:58] node _T_590 = cat(_T_589, _T_571[19]) @[Cat.scala 29:58] - node _T_591 = bits(io.dec_i0_instr_d, 31, 20) @[dec_decode_ctl.scala 608:46] + node _T_591 = bits(io.dec_i0_instr_d, 31, 20) @[dec_decode_ctl.scala 602:46] node _T_592 = cat(_T_590, _T_591) @[Cat.scala 29:58] wire _T_593 : UInt<1>[27] @[lib.scala 12:48] _T_593[0] <= UInt<1>("h00") @[lib.scala 12:48] @@ -68564,9 +68562,9 @@ circuit quasar_wrapper : node _T_617 = cat(_T_616, _T_593[24]) @[Cat.scala 29:58] node _T_618 = cat(_T_617, _T_593[25]) @[Cat.scala 29:58] node _T_619 = cat(_T_618, _T_593[26]) @[Cat.scala 29:58] - node _T_620 = bits(io.dec_i0_instr_d, 24, 20) @[dec_decode_ctl.scala 609:43] + node _T_620 = bits(io.dec_i0_instr_d, 24, 20) @[dec_decode_ctl.scala 603:43] node _T_621 = cat(_T_619, _T_620) @[Cat.scala 29:58] - node _T_622 = bits(io.dec_i0_instr_d, 31, 31) @[dec_decode_ctl.scala 610:38] + node _T_622 = bits(io.dec_i0_instr_d, 31, 31) @[dec_decode_ctl.scala 604:38] wire _T_623 : UInt<1>[12] @[lib.scala 12:48] _T_623[0] <= _T_622 @[lib.scala 12:48] _T_623[1] <= _T_622 @[lib.scala 12:48] @@ -68591,14 +68589,14 @@ circuit quasar_wrapper : node _T_632 = cat(_T_631, _T_623[9]) @[Cat.scala 29:58] node _T_633 = cat(_T_632, _T_623[10]) @[Cat.scala 29:58] node _T_634 = cat(_T_633, _T_623[11]) @[Cat.scala 29:58] - node _T_635 = bits(io.dec_i0_instr_d, 19, 12) @[dec_decode_ctl.scala 610:46] - node _T_636 = bits(io.dec_i0_instr_d, 20, 20) @[dec_decode_ctl.scala 610:56] - node _T_637 = bits(io.dec_i0_instr_d, 30, 21) @[dec_decode_ctl.scala 610:63] + node _T_635 = bits(io.dec_i0_instr_d, 19, 12) @[dec_decode_ctl.scala 604:46] + node _T_636 = bits(io.dec_i0_instr_d, 20, 20) @[dec_decode_ctl.scala 604:56] + node _T_637 = bits(io.dec_i0_instr_d, 30, 21) @[dec_decode_ctl.scala 604:63] node _T_638 = cat(_T_637, UInt<1>("h00")) @[Cat.scala 29:58] node _T_639 = cat(_T_634, _T_635) @[Cat.scala 29:58] node _T_640 = cat(_T_639, _T_636) @[Cat.scala 29:58] node _T_641 = cat(_T_640, _T_638) @[Cat.scala 29:58] - node _T_642 = bits(io.dec_i0_instr_d, 31, 12) @[dec_decode_ctl.scala 611:30] + node _T_642 = bits(io.dec_i0_instr_d, 31, 12) @[dec_decode_ctl.scala 605:30] wire _T_643 : UInt<1>[12] @[lib.scala 12:48] _T_643[0] <= UInt<1>("h00") @[lib.scala 12:48] _T_643[1] <= UInt<1>("h00") @[lib.scala 12:48] @@ -68624,8 +68622,8 @@ circuit quasar_wrapper : node _T_653 = cat(_T_652, _T_643[10]) @[Cat.scala 29:58] node _T_654 = cat(_T_653, _T_643[11]) @[Cat.scala 29:58] node _T_655 = cat(_T_642, _T_654) @[Cat.scala 29:58] - node _T_656 = and(i0_csr_write_only_d, i0_dp.csr_imm) @[dec_decode_ctl.scala 612:26] - node _T_657 = bits(_T_656, 0, 0) @[dec_decode_ctl.scala 612:43] + node _T_656 = and(i0_csr_write_only_d, i0_dp.csr_imm) @[dec_decode_ctl.scala 606:26] + node _T_657 = bits(_T_656, 0, 0) @[dec_decode_ctl.scala 606:43] wire _T_658 : UInt<1>[27] @[lib.scala 12:48] _T_658[0] <= UInt<1>("h00") @[lib.scala 12:48] _T_658[1] <= UInt<1>("h00") @[lib.scala 12:48] @@ -68680,7 +68678,7 @@ circuit quasar_wrapper : node _T_682 = cat(_T_681, _T_658[24]) @[Cat.scala 29:58] node _T_683 = cat(_T_682, _T_658[25]) @[Cat.scala 29:58] node _T_684 = cat(_T_683, _T_658[26]) @[Cat.scala 29:58] - node _T_685 = bits(io.dec_i0_instr_d, 19, 15) @[dec_decode_ctl.scala 612:72] + node _T_685 = bits(io.dec_i0_instr_d, 19, 15) @[dec_decode_ctl.scala 606:72] node _T_686 = cat(_T_684, _T_685) @[Cat.scala 29:58] node _T_687 = mux(i0_dp.imm12, _T_592, UInt<1>("h00")) @[Mux.scala 27:72] node _T_688 = mux(i0_dp.shimm5, _T_621, UInt<1>("h00")) @[Mux.scala 27:72] @@ -68693,649 +68691,657 @@ circuit quasar_wrapper : node _T_695 = or(_T_694, _T_691) @[Mux.scala 27:72] wire _T_696 : UInt<32> @[Mux.scala 27:72] _T_696 <= _T_695 @[Mux.scala 27:72] - i0_immed_d <= _T_696 @[dec_decode_ctl.scala 607:14] - node _T_697 = and(io.dec_aln.dec_i0_decode_d, i0_legal) @[dec_decode_ctl.scala 614:54] - i0_legal_decode_d <= _T_697 @[dec_decode_ctl.scala 614:24] - node _T_698 = and(i0_dp.mul, i0_legal_decode_d) @[dec_decode_ctl.scala 616:44] - i0_d_c.mul <= _T_698 @[dec_decode_ctl.scala 616:29] - node _T_699 = and(i0_dp.load, i0_legal_decode_d) @[dec_decode_ctl.scala 617:44] - i0_d_c.load <= _T_699 @[dec_decode_ctl.scala 617:29] - node _T_700 = and(i0_dp.alu, i0_legal_decode_d) @[dec_decode_ctl.scala 618:44] - i0_d_c.alu <= _T_700 @[dec_decode_ctl.scala 618:29] - node _T_701 = bits(i0_x_ctl_en, 0, 0) @[dec_decode_ctl.scala 620:71] - reg i0_x_c : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>}, io.active_clk @[Reg.scala 15:16] - when _T_701 : @[Reg.scala 16:19] - i0_x_c.alu <= i0_d_c.alu @[Reg.scala 16:23] - i0_x_c.load <= i0_d_c.load @[Reg.scala 16:23] - i0_x_c.mul <= i0_d_c.mul @[Reg.scala 16:23] - skip @[Reg.scala 16:19] - node _T_702 = bits(i0_r_ctl_en, 0, 0) @[dec_decode_ctl.scala 621:71] - reg i0_r_c : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>}, io.active_clk @[Reg.scala 15:16] - when _T_702 : @[Reg.scala 16:19] - i0_r_c.alu <= i0_x_c.alu @[Reg.scala 16:23] - i0_r_c.load <= i0_x_c.load @[Reg.scala 16:23] - i0_r_c.mul <= i0_x_c.mul @[Reg.scala 16:23] - skip @[Reg.scala 16:19] - node _T_703 = bits(i0_pipe_en, 3, 1) @[dec_decode_ctl.scala 622:91] - reg _T_704 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 622:80] - _T_704 <= _T_703 @[dec_decode_ctl.scala 622:80] - node _T_705 = cat(io.dec_aln.dec_i0_decode_d, _T_704) @[Cat.scala 29:58] - i0_pipe_en <= _T_705 @[dec_decode_ctl.scala 622:14] - node _T_706 = bits(i0_pipe_en, 3, 2) @[dec_decode_ctl.scala 624:43] - node _T_707 = orr(_T_706) @[dec_decode_ctl.scala 624:49] - node _T_708 = or(_T_707, io.clk_override) @[dec_decode_ctl.scala 624:53] - i0_x_ctl_en <= _T_708 @[dec_decode_ctl.scala 624:29] - node _T_709 = bits(i0_pipe_en, 2, 1) @[dec_decode_ctl.scala 625:43] - node _T_710 = orr(_T_709) @[dec_decode_ctl.scala 625:49] - node _T_711 = or(_T_710, io.clk_override) @[dec_decode_ctl.scala 625:53] - i0_r_ctl_en <= _T_711 @[dec_decode_ctl.scala 625:29] - node _T_712 = bits(i0_pipe_en, 1, 0) @[dec_decode_ctl.scala 626:43] - node _T_713 = orr(_T_712) @[dec_decode_ctl.scala 626:49] - node _T_714 = or(_T_713, io.clk_override) @[dec_decode_ctl.scala 626:53] - i0_wb_ctl_en <= _T_714 @[dec_decode_ctl.scala 626:29] - node _T_715 = bits(i0_pipe_en, 3, 3) @[dec_decode_ctl.scala 627:44] - node _T_716 = or(_T_715, io.clk_override) @[dec_decode_ctl.scala 627:50] - i0_x_data_en <= _T_716 @[dec_decode_ctl.scala 627:29] - node _T_717 = bits(i0_pipe_en, 2, 2) @[dec_decode_ctl.scala 628:44] - node _T_718 = or(_T_717, io.clk_override) @[dec_decode_ctl.scala 628:50] - i0_r_data_en <= _T_718 @[dec_decode_ctl.scala 628:29] - node _T_719 = bits(i0_pipe_en, 1, 1) @[dec_decode_ctl.scala 629:44] - node _T_720 = or(_T_719, io.clk_override) @[dec_decode_ctl.scala 629:50] - i0_wb_data_en <= _T_720 @[dec_decode_ctl.scala 629:29] - node _T_721 = bits(i0_pipe_en, 0, 0) @[dec_decode_ctl.scala 630:44] - node _T_722 = or(_T_721, io.clk_override) @[dec_decode_ctl.scala 630:50] - i0_wb1_data_en <= _T_722 @[dec_decode_ctl.scala 630:29] - node _T_723 = cat(i0_x_data_en, i0_r_data_en) @[Cat.scala 29:58] - io.decode_exu.dec_data_en <= _T_723 @[dec_decode_ctl.scala 632:38] - node _T_724 = cat(i0_x_ctl_en, i0_r_ctl_en) @[Cat.scala 29:58] - io.decode_exu.dec_ctl_en <= _T_724 @[dec_decode_ctl.scala 633:38] - d_d.bits.i0rd <= i0r.rd @[dec_decode_ctl.scala 635:34] - node _T_725 = and(i0_rd_en_d, i0_legal_decode_d) @[dec_decode_ctl.scala 636:50] - d_d.bits.i0v <= _T_725 @[dec_decode_ctl.scala 636:34] - d_d.valid <= io.dec_aln.dec_i0_decode_d @[dec_decode_ctl.scala 637:27] - node _T_726 = and(i0_dp.load, i0_legal_decode_d) @[dec_decode_ctl.scala 639:50] - d_d.bits.i0load <= _T_726 @[dec_decode_ctl.scala 639:34] - node _T_727 = and(i0_dp.store, i0_legal_decode_d) @[dec_decode_ctl.scala 640:50] - d_d.bits.i0store <= _T_727 @[dec_decode_ctl.scala 640:34] - node _T_728 = and(i0_dp.div, i0_legal_decode_d) @[dec_decode_ctl.scala 641:50] - d_d.bits.i0div <= _T_728 @[dec_decode_ctl.scala 641:34] - node _T_729 = and(io.dec_csr_wen_unq_d, i0_legal_decode_d) @[dec_decode_ctl.scala 643:61] - d_d.bits.csrwen <= _T_729 @[dec_decode_ctl.scala 643:34] - node _T_730 = and(i0_csr_write_only_d, io.dec_aln.dec_i0_decode_d) @[dec_decode_ctl.scala 644:58] - d_d.bits.csrwonly <= _T_730 @[dec_decode_ctl.scala 644:34] - node _T_731 = bits(io.dec_i0_instr_d, 31, 20) @[dec_decode_ctl.scala 645:40] - d_d.bits.csrwaddr <= _T_731 @[dec_decode_ctl.scala 645:34] - node _T_732 = bits(i0_x_ctl_en, 0, 0) @[dec_decode_ctl.scala 647:34] + i0_immed_d <= _T_696 @[dec_decode_ctl.scala 601:14] + node _T_697 = and(io.dec_aln.dec_i0_decode_d, i0_legal) @[dec_decode_ctl.scala 608:54] + i0_legal_decode_d <= _T_697 @[dec_decode_ctl.scala 608:24] + node _T_698 = and(i0_dp.mul, i0_legal_decode_d) @[dec_decode_ctl.scala 610:44] + i0_d_c.mul <= _T_698 @[dec_decode_ctl.scala 610:29] + node _T_699 = and(i0_dp.load, i0_legal_decode_d) @[dec_decode_ctl.scala 611:44] + i0_d_c.load <= _T_699 @[dec_decode_ctl.scala 611:29] + node _T_700 = and(i0_dp.alu, i0_legal_decode_d) @[dec_decode_ctl.scala 612:44] + i0_d_c.alu <= _T_700 @[dec_decode_ctl.scala 612:29] + wire _T_701 : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[dec_decode_ctl.scala 614:70] + _T_701.alu <= UInt<1>("h00") @[dec_decode_ctl.scala 614:70] + _T_701.load <= UInt<1>("h00") @[dec_decode_ctl.scala 614:70] + _T_701.mul <= UInt<1>("h00") @[dec_decode_ctl.scala 614:70] + node _T_702 = bits(i0_x_ctl_en, 0, 0) @[dec_decode_ctl.scala 614:92] + reg i0_x_c : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>}, io.active_clk with : (reset => (reset, _T_701)) @[Reg.scala 27:20] + when _T_702 : @[Reg.scala 28:19] + i0_x_c.alu <= i0_d_c.alu @[Reg.scala 28:23] + i0_x_c.load <= i0_d_c.load @[Reg.scala 28:23] + i0_x_c.mul <= i0_d_c.mul @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + wire _T_703 : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[dec_decode_ctl.scala 615:70] + _T_703.alu <= UInt<1>("h00") @[dec_decode_ctl.scala 615:70] + _T_703.load <= UInt<1>("h00") @[dec_decode_ctl.scala 615:70] + _T_703.mul <= UInt<1>("h00") @[dec_decode_ctl.scala 615:70] + node _T_704 = bits(i0_r_ctl_en, 0, 0) @[dec_decode_ctl.scala 615:92] + reg i0_r_c : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>}, io.active_clk with : (reset => (reset, _T_703)) @[Reg.scala 27:20] + when _T_704 : @[Reg.scala 28:19] + i0_r_c.alu <= i0_x_c.alu @[Reg.scala 28:23] + i0_r_c.load <= i0_x_c.load @[Reg.scala 28:23] + i0_r_c.mul <= i0_x_c.mul @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_705 = bits(i0_pipe_en, 3, 1) @[dec_decode_ctl.scala 616:91] + reg _T_706 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 616:80] + _T_706 <= _T_705 @[dec_decode_ctl.scala 616:80] + node _T_707 = cat(io.dec_aln.dec_i0_decode_d, _T_706) @[Cat.scala 29:58] + i0_pipe_en <= _T_707 @[dec_decode_ctl.scala 616:14] + node _T_708 = bits(i0_pipe_en, 3, 2) @[dec_decode_ctl.scala 618:43] + node _T_709 = orr(_T_708) @[dec_decode_ctl.scala 618:49] + node _T_710 = or(_T_709, io.clk_override) @[dec_decode_ctl.scala 618:53] + i0_x_ctl_en <= _T_710 @[dec_decode_ctl.scala 618:29] + node _T_711 = bits(i0_pipe_en, 2, 1) @[dec_decode_ctl.scala 619:43] + node _T_712 = orr(_T_711) @[dec_decode_ctl.scala 619:49] + node _T_713 = or(_T_712, io.clk_override) @[dec_decode_ctl.scala 619:53] + i0_r_ctl_en <= _T_713 @[dec_decode_ctl.scala 619:29] + node _T_714 = bits(i0_pipe_en, 1, 0) @[dec_decode_ctl.scala 620:43] + node _T_715 = orr(_T_714) @[dec_decode_ctl.scala 620:49] + node _T_716 = or(_T_715, io.clk_override) @[dec_decode_ctl.scala 620:53] + i0_wb_ctl_en <= _T_716 @[dec_decode_ctl.scala 620:29] + node _T_717 = bits(i0_pipe_en, 3, 3) @[dec_decode_ctl.scala 621:44] + node _T_718 = or(_T_717, io.clk_override) @[dec_decode_ctl.scala 621:50] + i0_x_data_en <= _T_718 @[dec_decode_ctl.scala 621:29] + node _T_719 = bits(i0_pipe_en, 2, 2) @[dec_decode_ctl.scala 622:44] + node _T_720 = or(_T_719, io.clk_override) @[dec_decode_ctl.scala 622:50] + i0_r_data_en <= _T_720 @[dec_decode_ctl.scala 622:29] + node _T_721 = bits(i0_pipe_en, 1, 1) @[dec_decode_ctl.scala 623:44] + node _T_722 = or(_T_721, io.clk_override) @[dec_decode_ctl.scala 623:50] + i0_wb_data_en <= _T_722 @[dec_decode_ctl.scala 623:29] + node _T_723 = bits(i0_pipe_en, 0, 0) @[dec_decode_ctl.scala 624:44] + node _T_724 = or(_T_723, io.clk_override) @[dec_decode_ctl.scala 624:50] + i0_wb1_data_en <= _T_724 @[dec_decode_ctl.scala 624:29] + node _T_725 = cat(i0_x_data_en, i0_r_data_en) @[Cat.scala 29:58] + io.decode_exu.dec_data_en <= _T_725 @[dec_decode_ctl.scala 626:38] + node _T_726 = cat(i0_x_ctl_en, i0_r_ctl_en) @[Cat.scala 29:58] + io.decode_exu.dec_ctl_en <= _T_726 @[dec_decode_ctl.scala 627:38] + d_d.bits.i0rd <= i0r.rd @[dec_decode_ctl.scala 629:34] + node _T_727 = and(i0_rd_en_d, i0_legal_decode_d) @[dec_decode_ctl.scala 630:50] + d_d.bits.i0v <= _T_727 @[dec_decode_ctl.scala 630:34] + d_d.valid <= io.dec_aln.dec_i0_decode_d @[dec_decode_ctl.scala 631:27] + node _T_728 = and(i0_dp.load, i0_legal_decode_d) @[dec_decode_ctl.scala 633:50] + d_d.bits.i0load <= _T_728 @[dec_decode_ctl.scala 633:34] + node _T_729 = and(i0_dp.store, i0_legal_decode_d) @[dec_decode_ctl.scala 634:50] + d_d.bits.i0store <= _T_729 @[dec_decode_ctl.scala 634:34] + node _T_730 = and(i0_dp.div, i0_legal_decode_d) @[dec_decode_ctl.scala 635:50] + d_d.bits.i0div <= _T_730 @[dec_decode_ctl.scala 635:34] + node _T_731 = and(io.dec_csr_wen_unq_d, i0_legal_decode_d) @[dec_decode_ctl.scala 637:61] + d_d.bits.csrwen <= _T_731 @[dec_decode_ctl.scala 637:34] + node _T_732 = and(i0_csr_write_only_d, io.dec_aln.dec_i0_decode_d) @[dec_decode_ctl.scala 638:58] + d_d.bits.csrwonly <= _T_732 @[dec_decode_ctl.scala 638:34] + node _T_733 = bits(io.dec_i0_instr_d, 31, 20) @[dec_decode_ctl.scala 639:40] + d_d.bits.csrwaddr <= _T_733 @[dec_decode_ctl.scala 639:34] + node _T_734 = bits(i0_x_ctl_en, 0, 0) @[dec_decode_ctl.scala 641:34] inst rvclkhdr_7 of rvclkhdr_668 @[lib.scala 378:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset rvclkhdr_7.io.clk <= clock @[lib.scala 380:18] - rvclkhdr_7.io.en <= _T_732 @[lib.scala 381:17] + rvclkhdr_7.io.en <= _T_734 @[lib.scala 381:17] rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 382:24] - wire _T_733 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[lib.scala 384:33] - _T_733.bits.csrwaddr <= UInt<12>("h00") @[lib.scala 384:33] - _T_733.bits.csrwonly <= UInt<1>("h00") @[lib.scala 384:33] - _T_733.bits.csrwen <= UInt<1>("h00") @[lib.scala 384:33] - _T_733.bits.i0v <= UInt<1>("h00") @[lib.scala 384:33] - _T_733.bits.i0div <= UInt<1>("h00") @[lib.scala 384:33] - _T_733.bits.i0store <= UInt<1>("h00") @[lib.scala 384:33] - _T_733.bits.i0load <= UInt<1>("h00") @[lib.scala 384:33] - _T_733.bits.i0rd <= UInt<5>("h00") @[lib.scala 384:33] - _T_733.valid <= UInt<1>("h00") @[lib.scala 384:33] - reg _T_734 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}}, rvclkhdr_7.io.l1clk with : (reset => (reset, _T_733)) @[lib.scala 384:16] - _T_734.bits.csrwaddr <= d_d.bits.csrwaddr @[lib.scala 384:16] - _T_734.bits.csrwonly <= d_d.bits.csrwonly @[lib.scala 384:16] - _T_734.bits.csrwen <= d_d.bits.csrwen @[lib.scala 384:16] - _T_734.bits.i0v <= d_d.bits.i0v @[lib.scala 384:16] - _T_734.bits.i0div <= d_d.bits.i0div @[lib.scala 384:16] - _T_734.bits.i0store <= d_d.bits.i0store @[lib.scala 384:16] - _T_734.bits.i0load <= d_d.bits.i0load @[lib.scala 384:16] - _T_734.bits.i0rd <= d_d.bits.i0rd @[lib.scala 384:16] - _T_734.valid <= d_d.valid @[lib.scala 384:16] - x_d.bits.csrwaddr <= _T_734.bits.csrwaddr @[dec_decode_ctl.scala 647:7] - x_d.bits.csrwonly <= _T_734.bits.csrwonly @[dec_decode_ctl.scala 647:7] - x_d.bits.csrwen <= _T_734.bits.csrwen @[dec_decode_ctl.scala 647:7] - x_d.bits.i0v <= _T_734.bits.i0v @[dec_decode_ctl.scala 647:7] - x_d.bits.i0div <= _T_734.bits.i0div @[dec_decode_ctl.scala 647:7] - x_d.bits.i0store <= _T_734.bits.i0store @[dec_decode_ctl.scala 647:7] - x_d.bits.i0load <= _T_734.bits.i0load @[dec_decode_ctl.scala 647:7] - x_d.bits.i0rd <= _T_734.bits.i0rd @[dec_decode_ctl.scala 647:7] - x_d.valid <= _T_734.valid @[dec_decode_ctl.scala 647:7] - wire x_d_in : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[dec_decode_ctl.scala 648:20] - x_d_in.bits.csrwaddr <= x_d.bits.csrwaddr @[dec_decode_ctl.scala 649:10] - x_d_in.bits.csrwonly <= x_d.bits.csrwonly @[dec_decode_ctl.scala 649:10] - x_d_in.bits.csrwen <= x_d.bits.csrwen @[dec_decode_ctl.scala 649:10] - x_d_in.bits.i0v <= x_d.bits.i0v @[dec_decode_ctl.scala 649:10] - x_d_in.bits.i0div <= x_d.bits.i0div @[dec_decode_ctl.scala 649:10] - x_d_in.bits.i0store <= x_d.bits.i0store @[dec_decode_ctl.scala 649:10] - x_d_in.bits.i0load <= x_d.bits.i0load @[dec_decode_ctl.scala 649:10] - x_d_in.bits.i0rd <= x_d.bits.i0rd @[dec_decode_ctl.scala 649:10] - x_d_in.valid <= x_d.valid @[dec_decode_ctl.scala 649:10] - node _T_735 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[dec_decode_ctl.scala 650:49] - node _T_736 = and(x_d.bits.i0v, _T_735) @[dec_decode_ctl.scala 650:47] - node _T_737 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[dec_decode_ctl.scala 650:78] - node _T_738 = and(_T_736, _T_737) @[dec_decode_ctl.scala 650:76] - x_d_in.bits.i0v <= _T_738 @[dec_decode_ctl.scala 650:27] - node _T_739 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[dec_decode_ctl.scala 651:35] - node _T_740 = and(x_d.valid, _T_739) @[dec_decode_ctl.scala 651:33] - node _T_741 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[dec_decode_ctl.scala 651:64] - node _T_742 = and(_T_740, _T_741) @[dec_decode_ctl.scala 651:62] - x_d_in.valid <= _T_742 @[dec_decode_ctl.scala 651:20] - node _T_743 = bits(i0_r_ctl_en, 0, 0) @[dec_decode_ctl.scala 653:36] + wire _T_735 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[lib.scala 384:33] + _T_735.bits.csrwaddr <= UInt<12>("h00") @[lib.scala 384:33] + _T_735.bits.csrwonly <= UInt<1>("h00") @[lib.scala 384:33] + _T_735.bits.csrwen <= UInt<1>("h00") @[lib.scala 384:33] + _T_735.bits.i0v <= UInt<1>("h00") @[lib.scala 384:33] + _T_735.bits.i0div <= UInt<1>("h00") @[lib.scala 384:33] + _T_735.bits.i0store <= UInt<1>("h00") @[lib.scala 384:33] + _T_735.bits.i0load <= UInt<1>("h00") @[lib.scala 384:33] + _T_735.bits.i0rd <= UInt<5>("h00") @[lib.scala 384:33] + _T_735.valid <= UInt<1>("h00") @[lib.scala 384:33] + reg _T_736 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}}, rvclkhdr_7.io.l1clk with : (reset => (reset, _T_735)) @[lib.scala 384:16] + _T_736.bits.csrwaddr <= d_d.bits.csrwaddr @[lib.scala 384:16] + _T_736.bits.csrwonly <= d_d.bits.csrwonly @[lib.scala 384:16] + _T_736.bits.csrwen <= d_d.bits.csrwen @[lib.scala 384:16] + _T_736.bits.i0v <= d_d.bits.i0v @[lib.scala 384:16] + _T_736.bits.i0div <= d_d.bits.i0div @[lib.scala 384:16] + _T_736.bits.i0store <= d_d.bits.i0store @[lib.scala 384:16] + _T_736.bits.i0load <= d_d.bits.i0load @[lib.scala 384:16] + _T_736.bits.i0rd <= d_d.bits.i0rd @[lib.scala 384:16] + _T_736.valid <= d_d.valid @[lib.scala 384:16] + x_d.bits.csrwaddr <= _T_736.bits.csrwaddr @[dec_decode_ctl.scala 641:7] + x_d.bits.csrwonly <= _T_736.bits.csrwonly @[dec_decode_ctl.scala 641:7] + x_d.bits.csrwen <= _T_736.bits.csrwen @[dec_decode_ctl.scala 641:7] + x_d.bits.i0v <= _T_736.bits.i0v @[dec_decode_ctl.scala 641:7] + x_d.bits.i0div <= _T_736.bits.i0div @[dec_decode_ctl.scala 641:7] + x_d.bits.i0store <= _T_736.bits.i0store @[dec_decode_ctl.scala 641:7] + x_d.bits.i0load <= _T_736.bits.i0load @[dec_decode_ctl.scala 641:7] + x_d.bits.i0rd <= _T_736.bits.i0rd @[dec_decode_ctl.scala 641:7] + x_d.valid <= _T_736.valid @[dec_decode_ctl.scala 641:7] + wire x_d_in : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[dec_decode_ctl.scala 642:20] + x_d_in.bits.csrwaddr <= x_d.bits.csrwaddr @[dec_decode_ctl.scala 643:10] + x_d_in.bits.csrwonly <= x_d.bits.csrwonly @[dec_decode_ctl.scala 643:10] + x_d_in.bits.csrwen <= x_d.bits.csrwen @[dec_decode_ctl.scala 643:10] + x_d_in.bits.i0v <= x_d.bits.i0v @[dec_decode_ctl.scala 643:10] + x_d_in.bits.i0div <= x_d.bits.i0div @[dec_decode_ctl.scala 643:10] + x_d_in.bits.i0store <= x_d.bits.i0store @[dec_decode_ctl.scala 643:10] + x_d_in.bits.i0load <= x_d.bits.i0load @[dec_decode_ctl.scala 643:10] + x_d_in.bits.i0rd <= x_d.bits.i0rd @[dec_decode_ctl.scala 643:10] + x_d_in.valid <= x_d.valid @[dec_decode_ctl.scala 643:10] + node _T_737 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[dec_decode_ctl.scala 644:49] + node _T_738 = and(x_d.bits.i0v, _T_737) @[dec_decode_ctl.scala 644:47] + node _T_739 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[dec_decode_ctl.scala 644:78] + node _T_740 = and(_T_738, _T_739) @[dec_decode_ctl.scala 644:76] + x_d_in.bits.i0v <= _T_740 @[dec_decode_ctl.scala 644:27] + node _T_741 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[dec_decode_ctl.scala 645:35] + node _T_742 = and(x_d.valid, _T_741) @[dec_decode_ctl.scala 645:33] + node _T_743 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[dec_decode_ctl.scala 645:64] + node _T_744 = and(_T_742, _T_743) @[dec_decode_ctl.scala 645:62] + x_d_in.valid <= _T_744 @[dec_decode_ctl.scala 645:20] + node _T_745 = bits(i0_r_ctl_en, 0, 0) @[dec_decode_ctl.scala 647:36] inst rvclkhdr_8 of rvclkhdr_669 @[lib.scala 378:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset rvclkhdr_8.io.clk <= clock @[lib.scala 380:18] - rvclkhdr_8.io.en <= _T_743 @[lib.scala 381:17] + rvclkhdr_8.io.en <= _T_745 @[lib.scala 381:17] rvclkhdr_8.io.scan_mode <= io.scan_mode @[lib.scala 382:24] - wire _T_744 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[lib.scala 384:33] - _T_744.bits.csrwaddr <= UInt<12>("h00") @[lib.scala 384:33] - _T_744.bits.csrwonly <= UInt<1>("h00") @[lib.scala 384:33] - _T_744.bits.csrwen <= UInt<1>("h00") @[lib.scala 384:33] - _T_744.bits.i0v <= UInt<1>("h00") @[lib.scala 384:33] - _T_744.bits.i0div <= UInt<1>("h00") @[lib.scala 384:33] - _T_744.bits.i0store <= UInt<1>("h00") @[lib.scala 384:33] - _T_744.bits.i0load <= UInt<1>("h00") @[lib.scala 384:33] - _T_744.bits.i0rd <= UInt<5>("h00") @[lib.scala 384:33] - _T_744.valid <= UInt<1>("h00") @[lib.scala 384:33] - reg _T_745 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}}, rvclkhdr_8.io.l1clk with : (reset => (reset, _T_744)) @[lib.scala 384:16] - _T_745.bits.csrwaddr <= x_d_in.bits.csrwaddr @[lib.scala 384:16] - _T_745.bits.csrwonly <= x_d_in.bits.csrwonly @[lib.scala 384:16] - _T_745.bits.csrwen <= x_d_in.bits.csrwen @[lib.scala 384:16] - _T_745.bits.i0v <= x_d_in.bits.i0v @[lib.scala 384:16] - _T_745.bits.i0div <= x_d_in.bits.i0div @[lib.scala 384:16] - _T_745.bits.i0store <= x_d_in.bits.i0store @[lib.scala 384:16] - _T_745.bits.i0load <= x_d_in.bits.i0load @[lib.scala 384:16] - _T_745.bits.i0rd <= x_d_in.bits.i0rd @[lib.scala 384:16] - _T_745.valid <= x_d_in.valid @[lib.scala 384:16] - r_d.bits.csrwaddr <= _T_745.bits.csrwaddr @[dec_decode_ctl.scala 653:7] - r_d.bits.csrwonly <= _T_745.bits.csrwonly @[dec_decode_ctl.scala 653:7] - r_d.bits.csrwen <= _T_745.bits.csrwen @[dec_decode_ctl.scala 653:7] - r_d.bits.i0v <= _T_745.bits.i0v @[dec_decode_ctl.scala 653:7] - r_d.bits.i0div <= _T_745.bits.i0div @[dec_decode_ctl.scala 653:7] - r_d.bits.i0store <= _T_745.bits.i0store @[dec_decode_ctl.scala 653:7] - r_d.bits.i0load <= _T_745.bits.i0load @[dec_decode_ctl.scala 653:7] - r_d.bits.i0rd <= _T_745.bits.i0rd @[dec_decode_ctl.scala 653:7] - r_d.valid <= _T_745.valid @[dec_decode_ctl.scala 653:7] - r_d_in.bits.csrwaddr <= r_d.bits.csrwaddr @[dec_decode_ctl.scala 654:10] - r_d_in.bits.csrwonly <= r_d.bits.csrwonly @[dec_decode_ctl.scala 654:10] - r_d_in.bits.csrwen <= r_d.bits.csrwen @[dec_decode_ctl.scala 654:10] - r_d_in.bits.i0v <= r_d.bits.i0v @[dec_decode_ctl.scala 654:10] - r_d_in.bits.i0div <= r_d.bits.i0div @[dec_decode_ctl.scala 654:10] - r_d_in.bits.i0store <= r_d.bits.i0store @[dec_decode_ctl.scala 654:10] - r_d_in.bits.i0load <= r_d.bits.i0load @[dec_decode_ctl.scala 654:10] - r_d_in.bits.i0rd <= r_d.bits.i0rd @[dec_decode_ctl.scala 654:10] - r_d_in.valid <= r_d.valid @[dec_decode_ctl.scala 654:10] - r_d_in.bits.i0rd <= r_d.bits.i0rd @[dec_decode_ctl.scala 655:22] - node _T_746 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[dec_decode_ctl.scala 657:51] - node _T_747 = and(r_d.bits.i0v, _T_746) @[dec_decode_ctl.scala 657:49] - r_d_in.bits.i0v <= _T_747 @[dec_decode_ctl.scala 657:27] - node _T_748 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[dec_decode_ctl.scala 658:37] - node _T_749 = and(r_d.valid, _T_748) @[dec_decode_ctl.scala 658:35] - r_d_in.valid <= _T_749 @[dec_decode_ctl.scala 658:20] - node _T_750 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[dec_decode_ctl.scala 659:51] - node _T_751 = and(r_d.bits.i0load, _T_750) @[dec_decode_ctl.scala 659:49] - r_d_in.bits.i0load <= _T_751 @[dec_decode_ctl.scala 659:27] - node _T_752 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[dec_decode_ctl.scala 660:51] - node _T_753 = and(r_d.bits.i0store, _T_752) @[dec_decode_ctl.scala 660:49] - r_d_in.bits.i0store <= _T_753 @[dec_decode_ctl.scala 660:27] - node _T_754 = bits(i0_wb_ctl_en, 0, 0) @[dec_decode_ctl.scala 662:37] + wire _T_746 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[lib.scala 384:33] + _T_746.bits.csrwaddr <= UInt<12>("h00") @[lib.scala 384:33] + _T_746.bits.csrwonly <= UInt<1>("h00") @[lib.scala 384:33] + _T_746.bits.csrwen <= UInt<1>("h00") @[lib.scala 384:33] + _T_746.bits.i0v <= UInt<1>("h00") @[lib.scala 384:33] + _T_746.bits.i0div <= UInt<1>("h00") @[lib.scala 384:33] + _T_746.bits.i0store <= UInt<1>("h00") @[lib.scala 384:33] + _T_746.bits.i0load <= UInt<1>("h00") @[lib.scala 384:33] + _T_746.bits.i0rd <= UInt<5>("h00") @[lib.scala 384:33] + _T_746.valid <= UInt<1>("h00") @[lib.scala 384:33] + reg _T_747 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}}, rvclkhdr_8.io.l1clk with : (reset => (reset, _T_746)) @[lib.scala 384:16] + _T_747.bits.csrwaddr <= x_d_in.bits.csrwaddr @[lib.scala 384:16] + _T_747.bits.csrwonly <= x_d_in.bits.csrwonly @[lib.scala 384:16] + _T_747.bits.csrwen <= x_d_in.bits.csrwen @[lib.scala 384:16] + _T_747.bits.i0v <= x_d_in.bits.i0v @[lib.scala 384:16] + _T_747.bits.i0div <= x_d_in.bits.i0div @[lib.scala 384:16] + _T_747.bits.i0store <= x_d_in.bits.i0store @[lib.scala 384:16] + _T_747.bits.i0load <= x_d_in.bits.i0load @[lib.scala 384:16] + _T_747.bits.i0rd <= x_d_in.bits.i0rd @[lib.scala 384:16] + _T_747.valid <= x_d_in.valid @[lib.scala 384:16] + r_d.bits.csrwaddr <= _T_747.bits.csrwaddr @[dec_decode_ctl.scala 647:7] + r_d.bits.csrwonly <= _T_747.bits.csrwonly @[dec_decode_ctl.scala 647:7] + r_d.bits.csrwen <= _T_747.bits.csrwen @[dec_decode_ctl.scala 647:7] + r_d.bits.i0v <= _T_747.bits.i0v @[dec_decode_ctl.scala 647:7] + r_d.bits.i0div <= _T_747.bits.i0div @[dec_decode_ctl.scala 647:7] + r_d.bits.i0store <= _T_747.bits.i0store @[dec_decode_ctl.scala 647:7] + r_d.bits.i0load <= _T_747.bits.i0load @[dec_decode_ctl.scala 647:7] + r_d.bits.i0rd <= _T_747.bits.i0rd @[dec_decode_ctl.scala 647:7] + r_d.valid <= _T_747.valid @[dec_decode_ctl.scala 647:7] + r_d_in.bits.csrwaddr <= r_d.bits.csrwaddr @[dec_decode_ctl.scala 648:10] + r_d_in.bits.csrwonly <= r_d.bits.csrwonly @[dec_decode_ctl.scala 648:10] + r_d_in.bits.csrwen <= r_d.bits.csrwen @[dec_decode_ctl.scala 648:10] + r_d_in.bits.i0v <= r_d.bits.i0v @[dec_decode_ctl.scala 648:10] + r_d_in.bits.i0div <= r_d.bits.i0div @[dec_decode_ctl.scala 648:10] + r_d_in.bits.i0store <= r_d.bits.i0store @[dec_decode_ctl.scala 648:10] + r_d_in.bits.i0load <= r_d.bits.i0load @[dec_decode_ctl.scala 648:10] + r_d_in.bits.i0rd <= r_d.bits.i0rd @[dec_decode_ctl.scala 648:10] + r_d_in.valid <= r_d.valid @[dec_decode_ctl.scala 648:10] + r_d_in.bits.i0rd <= r_d.bits.i0rd @[dec_decode_ctl.scala 649:22] + node _T_748 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[dec_decode_ctl.scala 651:51] + node _T_749 = and(r_d.bits.i0v, _T_748) @[dec_decode_ctl.scala 651:49] + r_d_in.bits.i0v <= _T_749 @[dec_decode_ctl.scala 651:27] + node _T_750 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[dec_decode_ctl.scala 652:37] + node _T_751 = and(r_d.valid, _T_750) @[dec_decode_ctl.scala 652:35] + r_d_in.valid <= _T_751 @[dec_decode_ctl.scala 652:20] + node _T_752 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[dec_decode_ctl.scala 653:51] + node _T_753 = and(r_d.bits.i0load, _T_752) @[dec_decode_ctl.scala 653:49] + r_d_in.bits.i0load <= _T_753 @[dec_decode_ctl.scala 653:27] + node _T_754 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[dec_decode_ctl.scala 654:51] + node _T_755 = and(r_d.bits.i0store, _T_754) @[dec_decode_ctl.scala 654:49] + r_d_in.bits.i0store <= _T_755 @[dec_decode_ctl.scala 654:27] + node _T_756 = bits(i0_wb_ctl_en, 0, 0) @[dec_decode_ctl.scala 656:37] inst rvclkhdr_9 of rvclkhdr_670 @[lib.scala 378:23] rvclkhdr_9.clock <= clock rvclkhdr_9.reset <= reset rvclkhdr_9.io.clk <= clock @[lib.scala 380:18] - rvclkhdr_9.io.en <= _T_754 @[lib.scala 381:17] + rvclkhdr_9.io.en <= _T_756 @[lib.scala 381:17] rvclkhdr_9.io.scan_mode <= io.scan_mode @[lib.scala 382:24] - wire _T_755 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[lib.scala 384:33] - _T_755.bits.csrwaddr <= UInt<12>("h00") @[lib.scala 384:33] - _T_755.bits.csrwonly <= UInt<1>("h00") @[lib.scala 384:33] - _T_755.bits.csrwen <= UInt<1>("h00") @[lib.scala 384:33] - _T_755.bits.i0v <= UInt<1>("h00") @[lib.scala 384:33] - _T_755.bits.i0div <= UInt<1>("h00") @[lib.scala 384:33] - _T_755.bits.i0store <= UInt<1>("h00") @[lib.scala 384:33] - _T_755.bits.i0load <= UInt<1>("h00") @[lib.scala 384:33] - _T_755.bits.i0rd <= UInt<5>("h00") @[lib.scala 384:33] - _T_755.valid <= UInt<1>("h00") @[lib.scala 384:33] - reg _T_756 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}}, rvclkhdr_9.io.l1clk with : (reset => (reset, _T_755)) @[lib.scala 384:16] - _T_756.bits.csrwaddr <= r_d_in.bits.csrwaddr @[lib.scala 384:16] - _T_756.bits.csrwonly <= r_d_in.bits.csrwonly @[lib.scala 384:16] - _T_756.bits.csrwen <= r_d_in.bits.csrwen @[lib.scala 384:16] - _T_756.bits.i0v <= r_d_in.bits.i0v @[lib.scala 384:16] - _T_756.bits.i0div <= r_d_in.bits.i0div @[lib.scala 384:16] - _T_756.bits.i0store <= r_d_in.bits.i0store @[lib.scala 384:16] - _T_756.bits.i0load <= r_d_in.bits.i0load @[lib.scala 384:16] - _T_756.bits.i0rd <= r_d_in.bits.i0rd @[lib.scala 384:16] - _T_756.valid <= r_d_in.valid @[lib.scala 384:16] - wbd.bits.csrwaddr <= _T_756.bits.csrwaddr @[dec_decode_ctl.scala 662:7] - wbd.bits.csrwonly <= _T_756.bits.csrwonly @[dec_decode_ctl.scala 662:7] - wbd.bits.csrwen <= _T_756.bits.csrwen @[dec_decode_ctl.scala 662:7] - wbd.bits.i0v <= _T_756.bits.i0v @[dec_decode_ctl.scala 662:7] - wbd.bits.i0div <= _T_756.bits.i0div @[dec_decode_ctl.scala 662:7] - wbd.bits.i0store <= _T_756.bits.i0store @[dec_decode_ctl.scala 662:7] - wbd.bits.i0load <= _T_756.bits.i0load @[dec_decode_ctl.scala 662:7] - wbd.bits.i0rd <= _T_756.bits.i0rd @[dec_decode_ctl.scala 662:7] - wbd.valid <= _T_756.valid @[dec_decode_ctl.scala 662:7] - io.dec_i0_waddr_r <= r_d_in.bits.i0rd @[dec_decode_ctl.scala 664:27] - node _T_757 = eq(io.dec_tlu_i0_kill_writeb_r, UInt<1>("h00")) @[dec_decode_ctl.scala 665:47] - node _T_758 = and(r_d_in.bits.i0v, _T_757) @[dec_decode_ctl.scala 665:45] - i0_wen_r <= _T_758 @[dec_decode_ctl.scala 665:25] - node _T_759 = eq(r_d_in.bits.i0div, UInt<1>("h00")) @[dec_decode_ctl.scala 666:49] - node _T_760 = and(i0_wen_r, _T_759) @[dec_decode_ctl.scala 666:47] - node _T_761 = eq(i0_load_kill_wen_r, UInt<1>("h00")) @[dec_decode_ctl.scala 666:70] - node _T_762 = and(_T_760, _T_761) @[dec_decode_ctl.scala 666:68] - io.dec_i0_wen_r <= _T_762 @[dec_decode_ctl.scala 666:32] - io.dec_i0_wdata_r <= i0_result_corr_r @[dec_decode_ctl.scala 667:26] - node _T_763 = bits(i0_r_data_en, 0, 0) @[dec_decode_ctl.scala 669:57] + wire _T_757 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[lib.scala 384:33] + _T_757.bits.csrwaddr <= UInt<12>("h00") @[lib.scala 384:33] + _T_757.bits.csrwonly <= UInt<1>("h00") @[lib.scala 384:33] + _T_757.bits.csrwen <= UInt<1>("h00") @[lib.scala 384:33] + _T_757.bits.i0v <= UInt<1>("h00") @[lib.scala 384:33] + _T_757.bits.i0div <= UInt<1>("h00") @[lib.scala 384:33] + _T_757.bits.i0store <= UInt<1>("h00") @[lib.scala 384:33] + _T_757.bits.i0load <= UInt<1>("h00") @[lib.scala 384:33] + _T_757.bits.i0rd <= UInt<5>("h00") @[lib.scala 384:33] + _T_757.valid <= UInt<1>("h00") @[lib.scala 384:33] + reg _T_758 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}}, rvclkhdr_9.io.l1clk with : (reset => (reset, _T_757)) @[lib.scala 384:16] + _T_758.bits.csrwaddr <= r_d_in.bits.csrwaddr @[lib.scala 384:16] + _T_758.bits.csrwonly <= r_d_in.bits.csrwonly @[lib.scala 384:16] + _T_758.bits.csrwen <= r_d_in.bits.csrwen @[lib.scala 384:16] + _T_758.bits.i0v <= r_d_in.bits.i0v @[lib.scala 384:16] + _T_758.bits.i0div <= r_d_in.bits.i0div @[lib.scala 384:16] + _T_758.bits.i0store <= r_d_in.bits.i0store @[lib.scala 384:16] + _T_758.bits.i0load <= r_d_in.bits.i0load @[lib.scala 384:16] + _T_758.bits.i0rd <= r_d_in.bits.i0rd @[lib.scala 384:16] + _T_758.valid <= r_d_in.valid @[lib.scala 384:16] + wbd.bits.csrwaddr <= _T_758.bits.csrwaddr @[dec_decode_ctl.scala 656:7] + wbd.bits.csrwonly <= _T_758.bits.csrwonly @[dec_decode_ctl.scala 656:7] + wbd.bits.csrwen <= _T_758.bits.csrwen @[dec_decode_ctl.scala 656:7] + wbd.bits.i0v <= _T_758.bits.i0v @[dec_decode_ctl.scala 656:7] + wbd.bits.i0div <= _T_758.bits.i0div @[dec_decode_ctl.scala 656:7] + wbd.bits.i0store <= _T_758.bits.i0store @[dec_decode_ctl.scala 656:7] + wbd.bits.i0load <= _T_758.bits.i0load @[dec_decode_ctl.scala 656:7] + wbd.bits.i0rd <= _T_758.bits.i0rd @[dec_decode_ctl.scala 656:7] + wbd.valid <= _T_758.valid @[dec_decode_ctl.scala 656:7] + io.dec_i0_waddr_r <= r_d_in.bits.i0rd @[dec_decode_ctl.scala 658:27] + node _T_759 = eq(io.dec_tlu_i0_kill_writeb_r, UInt<1>("h00")) @[dec_decode_ctl.scala 659:47] + node _T_760 = and(r_d_in.bits.i0v, _T_759) @[dec_decode_ctl.scala 659:45] + i0_wen_r <= _T_760 @[dec_decode_ctl.scala 659:25] + node _T_761 = eq(r_d_in.bits.i0div, UInt<1>("h00")) @[dec_decode_ctl.scala 660:49] + node _T_762 = and(i0_wen_r, _T_761) @[dec_decode_ctl.scala 660:47] + node _T_763 = eq(i0_load_kill_wen_r, UInt<1>("h00")) @[dec_decode_ctl.scala 660:70] + node _T_764 = and(_T_762, _T_763) @[dec_decode_ctl.scala 660:68] + io.dec_i0_wen_r <= _T_764 @[dec_decode_ctl.scala 660:32] + io.dec_i0_wdata_r <= i0_result_corr_r @[dec_decode_ctl.scala 661:26] + node _T_765 = bits(i0_r_data_en, 0, 0) @[dec_decode_ctl.scala 663:57] inst rvclkhdr_10 of rvclkhdr_671 @[lib.scala 368:23] rvclkhdr_10.clock <= clock rvclkhdr_10.reset <= reset rvclkhdr_10.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_10.io.en <= _T_763 @[lib.scala 371:17] + rvclkhdr_10.io.en <= _T_765 @[lib.scala 371:17] rvclkhdr_10.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg i0_result_r_raw : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] i0_result_r_raw <= i0_result_x @[lib.scala 374:16] - node _T_764 = and(x_d.bits.i0v, x_d.bits.i0load) @[dec_decode_ctl.scala 675:47] - node _T_765 = bits(_T_764, 0, 0) @[dec_decode_ctl.scala 675:66] - node _T_766 = mux(_T_765, io.lsu_result_m, io.decode_exu.exu_i0_result_x) @[dec_decode_ctl.scala 675:32] - i0_result_x <= _T_766 @[dec_decode_ctl.scala 675:26] - i0_result_r <= i0_result_r_raw @[dec_decode_ctl.scala 676:26] - node _T_767 = and(r_d.bits.i0v, r_d.bits.i0load) @[dec_decode_ctl.scala 680:42] - node _T_768 = bits(_T_767, 0, 0) @[dec_decode_ctl.scala 680:61] - node _T_769 = mux(_T_768, io.lsu_result_corr_r, i0_result_r_raw) @[dec_decode_ctl.scala 680:27] - i0_result_corr_r <= _T_769 @[dec_decode_ctl.scala 680:21] - node _T_770 = eq(i0_dp.jal, UInt<1>("h00")) @[dec_decode_ctl.scala 681:73] - node _T_771 = and(io.decode_exu.i0_ap.predict_nt, _T_770) @[dec_decode_ctl.scala 681:71] - node _T_772 = bits(_T_771, 0, 0) @[dec_decode_ctl.scala 681:85] - wire _T_773 : UInt<1>[10] @[lib.scala 12:48] - _T_773[0] <= UInt<1>("h00") @[lib.scala 12:48] - _T_773[1] <= UInt<1>("h00") @[lib.scala 12:48] - _T_773[2] <= UInt<1>("h00") @[lib.scala 12:48] - _T_773[3] <= UInt<1>("h00") @[lib.scala 12:48] - _T_773[4] <= UInt<1>("h00") @[lib.scala 12:48] - _T_773[5] <= UInt<1>("h00") @[lib.scala 12:48] - _T_773[6] <= UInt<1>("h00") @[lib.scala 12:48] - _T_773[7] <= UInt<1>("h00") @[lib.scala 12:48] - _T_773[8] <= UInt<1>("h00") @[lib.scala 12:48] - _T_773[9] <= UInt<1>("h00") @[lib.scala 12:48] - node _T_774 = cat(_T_773[0], _T_773[1]) @[Cat.scala 29:58] - node _T_775 = cat(_T_774, _T_773[2]) @[Cat.scala 29:58] - node _T_776 = cat(_T_775, _T_773[3]) @[Cat.scala 29:58] - node _T_777 = cat(_T_776, _T_773[4]) @[Cat.scala 29:58] - node _T_778 = cat(_T_777, _T_773[5]) @[Cat.scala 29:58] - node _T_779 = cat(_T_778, _T_773[6]) @[Cat.scala 29:58] - node _T_780 = cat(_T_779, _T_773[7]) @[Cat.scala 29:58] - node _T_781 = cat(_T_780, _T_773[8]) @[Cat.scala 29:58] - node _T_782 = cat(_T_781, _T_773[9]) @[Cat.scala 29:58] - node _T_783 = cat(_T_782, io.dec_i0_pc4_d) @[Cat.scala 29:58] - node _T_784 = cat(_T_783, i0_ap_pc2) @[Cat.scala 29:58] - node _T_785 = mux(_T_772, i0_br_offset, _T_784) @[dec_decode_ctl.scala 681:38] - io.dec_alu.dec_i0_br_immed_d <= _T_785 @[dec_decode_ctl.scala 681:32] + node _T_766 = and(x_d.bits.i0v, x_d.bits.i0load) @[dec_decode_ctl.scala 669:47] + node _T_767 = bits(_T_766, 0, 0) @[dec_decode_ctl.scala 669:66] + node _T_768 = mux(_T_767, io.lsu_result_m, io.decode_exu.exu_i0_result_x) @[dec_decode_ctl.scala 669:32] + i0_result_x <= _T_768 @[dec_decode_ctl.scala 669:26] + i0_result_r <= i0_result_r_raw @[dec_decode_ctl.scala 670:26] + node _T_769 = and(r_d.bits.i0v, r_d.bits.i0load) @[dec_decode_ctl.scala 674:42] + node _T_770 = bits(_T_769, 0, 0) @[dec_decode_ctl.scala 674:61] + node _T_771 = mux(_T_770, io.lsu_result_corr_r, i0_result_r_raw) @[dec_decode_ctl.scala 674:27] + i0_result_corr_r <= _T_771 @[dec_decode_ctl.scala 674:21] + node _T_772 = eq(i0_dp.jal, UInt<1>("h00")) @[dec_decode_ctl.scala 675:73] + node _T_773 = and(io.decode_exu.i0_ap.predict_nt, _T_772) @[dec_decode_ctl.scala 675:71] + node _T_774 = bits(_T_773, 0, 0) @[dec_decode_ctl.scala 675:85] + wire _T_775 : UInt<1>[10] @[lib.scala 12:48] + _T_775[0] <= UInt<1>("h00") @[lib.scala 12:48] + _T_775[1] <= UInt<1>("h00") @[lib.scala 12:48] + _T_775[2] <= UInt<1>("h00") @[lib.scala 12:48] + _T_775[3] <= UInt<1>("h00") @[lib.scala 12:48] + _T_775[4] <= UInt<1>("h00") @[lib.scala 12:48] + _T_775[5] <= UInt<1>("h00") @[lib.scala 12:48] + _T_775[6] <= UInt<1>("h00") @[lib.scala 12:48] + _T_775[7] <= UInt<1>("h00") @[lib.scala 12:48] + _T_775[8] <= UInt<1>("h00") @[lib.scala 12:48] + _T_775[9] <= UInt<1>("h00") @[lib.scala 12:48] + node _T_776 = cat(_T_775[0], _T_775[1]) @[Cat.scala 29:58] + node _T_777 = cat(_T_776, _T_775[2]) @[Cat.scala 29:58] + node _T_778 = cat(_T_777, _T_775[3]) @[Cat.scala 29:58] + node _T_779 = cat(_T_778, _T_775[4]) @[Cat.scala 29:58] + node _T_780 = cat(_T_779, _T_775[5]) @[Cat.scala 29:58] + node _T_781 = cat(_T_780, _T_775[6]) @[Cat.scala 29:58] + node _T_782 = cat(_T_781, _T_775[7]) @[Cat.scala 29:58] + node _T_783 = cat(_T_782, _T_775[8]) @[Cat.scala 29:58] + node _T_784 = cat(_T_783, _T_775[9]) @[Cat.scala 29:58] + node _T_785 = cat(_T_784, io.dec_i0_pc4_d) @[Cat.scala 29:58] + node _T_786 = cat(_T_785, i0_ap_pc2) @[Cat.scala 29:58] + node _T_787 = mux(_T_774, i0_br_offset, _T_786) @[dec_decode_ctl.scala 675:38] + io.dec_alu.dec_i0_br_immed_d <= _T_787 @[dec_decode_ctl.scala 675:32] wire last_br_immed_d : UInt<12> last_br_immed_d <= UInt<1>("h00") - node _T_786 = bits(io.decode_exu.i0_ap.predict_nt, 0, 0) @[dec_decode_ctl.scala 683:59] - wire _T_787 : UInt<1>[10] @[lib.scala 12:48] - _T_787[0] <= UInt<1>("h00") @[lib.scala 12:48] - _T_787[1] <= UInt<1>("h00") @[lib.scala 12:48] - _T_787[2] <= UInt<1>("h00") @[lib.scala 12:48] - _T_787[3] <= UInt<1>("h00") @[lib.scala 12:48] - _T_787[4] <= UInt<1>("h00") @[lib.scala 12:48] - _T_787[5] <= UInt<1>("h00") @[lib.scala 12:48] - _T_787[6] <= UInt<1>("h00") @[lib.scala 12:48] - _T_787[7] <= UInt<1>("h00") @[lib.scala 12:48] - _T_787[8] <= UInt<1>("h00") @[lib.scala 12:48] - _T_787[9] <= UInt<1>("h00") @[lib.scala 12:48] - node _T_788 = cat(_T_787[0], _T_787[1]) @[Cat.scala 29:58] - node _T_789 = cat(_T_788, _T_787[2]) @[Cat.scala 29:58] - node _T_790 = cat(_T_789, _T_787[3]) @[Cat.scala 29:58] - node _T_791 = cat(_T_790, _T_787[4]) @[Cat.scala 29:58] - node _T_792 = cat(_T_791, _T_787[5]) @[Cat.scala 29:58] - node _T_793 = cat(_T_792, _T_787[6]) @[Cat.scala 29:58] - node _T_794 = cat(_T_793, _T_787[7]) @[Cat.scala 29:58] - node _T_795 = cat(_T_794, _T_787[8]) @[Cat.scala 29:58] - node _T_796 = cat(_T_795, _T_787[9]) @[Cat.scala 29:58] - node _T_797 = cat(_T_796, io.dec_i0_pc4_d) @[Cat.scala 29:58] - node _T_798 = cat(_T_797, i0_ap_pc2) @[Cat.scala 29:58] - node _T_799 = mux(_T_786, _T_798, i0_br_offset) @[dec_decode_ctl.scala 683:25] - last_br_immed_d <= _T_799 @[dec_decode_ctl.scala 683:19] + node _T_788 = bits(io.decode_exu.i0_ap.predict_nt, 0, 0) @[dec_decode_ctl.scala 677:59] + wire _T_789 : UInt<1>[10] @[lib.scala 12:48] + _T_789[0] <= UInt<1>("h00") @[lib.scala 12:48] + _T_789[1] <= UInt<1>("h00") @[lib.scala 12:48] + _T_789[2] <= UInt<1>("h00") @[lib.scala 12:48] + _T_789[3] <= UInt<1>("h00") @[lib.scala 12:48] + _T_789[4] <= UInt<1>("h00") @[lib.scala 12:48] + _T_789[5] <= UInt<1>("h00") @[lib.scala 12:48] + _T_789[6] <= UInt<1>("h00") @[lib.scala 12:48] + _T_789[7] <= UInt<1>("h00") @[lib.scala 12:48] + _T_789[8] <= UInt<1>("h00") @[lib.scala 12:48] + _T_789[9] <= UInt<1>("h00") @[lib.scala 12:48] + node _T_790 = cat(_T_789[0], _T_789[1]) @[Cat.scala 29:58] + node _T_791 = cat(_T_790, _T_789[2]) @[Cat.scala 29:58] + node _T_792 = cat(_T_791, _T_789[3]) @[Cat.scala 29:58] + node _T_793 = cat(_T_792, _T_789[4]) @[Cat.scala 29:58] + node _T_794 = cat(_T_793, _T_789[5]) @[Cat.scala 29:58] + node _T_795 = cat(_T_794, _T_789[6]) @[Cat.scala 29:58] + node _T_796 = cat(_T_795, _T_789[7]) @[Cat.scala 29:58] + node _T_797 = cat(_T_796, _T_789[8]) @[Cat.scala 29:58] + node _T_798 = cat(_T_797, _T_789[9]) @[Cat.scala 29:58] + node _T_799 = cat(_T_798, io.dec_i0_pc4_d) @[Cat.scala 29:58] + node _T_800 = cat(_T_799, i0_ap_pc2) @[Cat.scala 29:58] + node _T_801 = mux(_T_788, _T_800, i0_br_offset) @[dec_decode_ctl.scala 677:25] + last_br_immed_d <= _T_801 @[dec_decode_ctl.scala 677:19] wire last_br_immed_x : UInt<12> last_br_immed_x <= UInt<1>("h00") - node _T_800 = bits(i0_x_data_en, 0, 0) @[dec_decode_ctl.scala 685:58] + node _T_802 = bits(i0_x_data_en, 0, 0) @[dec_decode_ctl.scala 679:58] inst rvclkhdr_11 of rvclkhdr_672 @[lib.scala 368:23] rvclkhdr_11.clock <= clock rvclkhdr_11.reset <= reset rvclkhdr_11.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_11.io.en <= _T_800 @[lib.scala 371:17] + rvclkhdr_11.io.en <= _T_802 @[lib.scala 371:17] rvclkhdr_11.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_801 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_801 <= last_br_immed_d @[lib.scala 374:16] - last_br_immed_x <= _T_801 @[dec_decode_ctl.scala 685:19] - node _T_802 = and(x_d.bits.i0div, x_d.valid) @[dec_decode_ctl.scala 689:45] - node _T_803 = and(r_d.bits.i0div, r_d.valid) @[dec_decode_ctl.scala 689:76] - node div_e1_to_r = or(_T_802, _T_803) @[dec_decode_ctl.scala 689:58] - node _T_804 = and(x_d.bits.i0div, x_d.valid) @[dec_decode_ctl.scala 691:48] - node _T_805 = eq(x_d.bits.i0rd, UInt<5>("h00")) @[dec_decode_ctl.scala 691:77] - node _T_806 = and(_T_804, _T_805) @[dec_decode_ctl.scala 691:60] - node _T_807 = and(x_d.bits.i0div, x_d.valid) @[dec_decode_ctl.scala 692:21] - node _T_808 = and(_T_807, io.dec_tlu_flush_lower_r) @[dec_decode_ctl.scala 692:33] - node _T_809 = or(_T_806, _T_808) @[dec_decode_ctl.scala 691:94] - node _T_810 = and(r_d.bits.i0div, r_d.valid) @[dec_decode_ctl.scala 693:21] - node _T_811 = and(_T_810, io.dec_tlu_flush_lower_r) @[dec_decode_ctl.scala 693:33] - node _T_812 = and(_T_811, io.dec_tlu_i0_kill_writeb_r) @[dec_decode_ctl.scala 693:60] - node div_flush = or(_T_809, _T_812) @[dec_decode_ctl.scala 692:62] - node _T_813 = and(io.dec_div_active, div_flush) @[dec_decode_ctl.scala 697:51] - node _T_814 = eq(div_e1_to_r, UInt<1>("h00")) @[dec_decode_ctl.scala 698:26] - node _T_815 = and(io.dec_div_active, _T_814) @[dec_decode_ctl.scala 698:24] - node _T_816 = eq(r_d.bits.i0rd, io.div_waddr_wb) @[dec_decode_ctl.scala 698:56] - node _T_817 = and(_T_815, _T_816) @[dec_decode_ctl.scala 698:39] - node _T_818 = and(_T_817, i0_wen_r) @[dec_decode_ctl.scala 698:77] - node nonblock_div_cancel = or(_T_813, _T_818) @[dec_decode_ctl.scala 697:65] - node _T_819 = bits(nonblock_div_cancel, 0, 0) @[dec_decode_ctl.scala 700:61] - io.dec_div.dec_div_cancel <= _T_819 @[dec_decode_ctl.scala 700:37] - node i0_div_decode_d = and(i0_legal_decode_d, i0_dp.div) @[dec_decode_ctl.scala 701:55] - node _T_820 = eq(io.exu_div_wren, UInt<1>("h00")) @[dec_decode_ctl.scala 703:62] - node _T_821 = and(io.dec_div_active, _T_820) @[dec_decode_ctl.scala 703:60] - node _T_822 = eq(nonblock_div_cancel, UInt<1>("h00")) @[dec_decode_ctl.scala 703:81] - node _T_823 = and(_T_821, _T_822) @[dec_decode_ctl.scala 703:79] - node div_active_in = or(i0_div_decode_d, _T_823) @[dec_decode_ctl.scala 703:39] - reg _T_824 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 705:54] - _T_824 <= div_active_in @[dec_decode_ctl.scala 705:54] - io.dec_div_active <= _T_824 @[dec_decode_ctl.scala 705:21] - node _T_825 = and(io.decode_exu.dec_i0_rs1_en_d, io.dec_div_active) @[dec_decode_ctl.scala 708:60] - node _T_826 = eq(io.div_waddr_wb, i0r.rs1) @[dec_decode_ctl.scala 708:99] - node _T_827 = and(_T_825, _T_826) @[dec_decode_ctl.scala 708:80] - node _T_828 = and(io.decode_exu.dec_i0_rs2_en_d, io.dec_div_active) @[dec_decode_ctl.scala 709:36] - node _T_829 = eq(io.div_waddr_wb, i0r.rs2) @[dec_decode_ctl.scala 709:75] - node _T_830 = and(_T_828, _T_829) @[dec_decode_ctl.scala 709:56] - node _T_831 = or(_T_827, _T_830) @[dec_decode_ctl.scala 708:113] - i0_nonblock_div_stall <= _T_831 @[dec_decode_ctl.scala 708:26] - node _T_832 = bits(i0_div_decode_d, 0, 0) @[dec_decode_ctl.scala 711:59] - reg _T_833 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_832 : @[Reg.scala 28:19] - _T_833 <= i0r.rd @[Reg.scala 28:23] + reg _T_803 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_803 <= last_br_immed_d @[lib.scala 374:16] + last_br_immed_x <= _T_803 @[dec_decode_ctl.scala 679:19] + node _T_804 = and(x_d.bits.i0div, x_d.valid) @[dec_decode_ctl.scala 683:45] + node _T_805 = and(r_d.bits.i0div, r_d.valid) @[dec_decode_ctl.scala 683:76] + node div_e1_to_r = or(_T_804, _T_805) @[dec_decode_ctl.scala 683:58] + node _T_806 = and(x_d.bits.i0div, x_d.valid) @[dec_decode_ctl.scala 685:48] + node _T_807 = eq(x_d.bits.i0rd, UInt<5>("h00")) @[dec_decode_ctl.scala 685:77] + node _T_808 = and(_T_806, _T_807) @[dec_decode_ctl.scala 685:60] + node _T_809 = and(x_d.bits.i0div, x_d.valid) @[dec_decode_ctl.scala 686:21] + node _T_810 = and(_T_809, io.dec_tlu_flush_lower_r) @[dec_decode_ctl.scala 686:33] + node _T_811 = or(_T_808, _T_810) @[dec_decode_ctl.scala 685:94] + node _T_812 = and(r_d.bits.i0div, r_d.valid) @[dec_decode_ctl.scala 687:21] + node _T_813 = and(_T_812, io.dec_tlu_flush_lower_r) @[dec_decode_ctl.scala 687:33] + node _T_814 = and(_T_813, io.dec_tlu_i0_kill_writeb_r) @[dec_decode_ctl.scala 687:60] + node div_flush = or(_T_811, _T_814) @[dec_decode_ctl.scala 686:62] + node _T_815 = and(io.dec_div_active, div_flush) @[dec_decode_ctl.scala 691:51] + node _T_816 = eq(div_e1_to_r, UInt<1>("h00")) @[dec_decode_ctl.scala 692:26] + node _T_817 = and(io.dec_div_active, _T_816) @[dec_decode_ctl.scala 692:24] + node _T_818 = eq(r_d.bits.i0rd, io.div_waddr_wb) @[dec_decode_ctl.scala 692:56] + node _T_819 = and(_T_817, _T_818) @[dec_decode_ctl.scala 692:39] + node _T_820 = and(_T_819, i0_wen_r) @[dec_decode_ctl.scala 692:77] + node nonblock_div_cancel = or(_T_815, _T_820) @[dec_decode_ctl.scala 691:65] + node _T_821 = bits(nonblock_div_cancel, 0, 0) @[dec_decode_ctl.scala 694:61] + io.dec_div.dec_div_cancel <= _T_821 @[dec_decode_ctl.scala 694:37] + node i0_div_decode_d = and(i0_legal_decode_d, i0_dp.div) @[dec_decode_ctl.scala 695:55] + node _T_822 = eq(io.exu_div_wren, UInt<1>("h00")) @[dec_decode_ctl.scala 697:62] + node _T_823 = and(io.dec_div_active, _T_822) @[dec_decode_ctl.scala 697:60] + node _T_824 = eq(nonblock_div_cancel, UInt<1>("h00")) @[dec_decode_ctl.scala 697:81] + node _T_825 = and(_T_823, _T_824) @[dec_decode_ctl.scala 697:79] + node div_active_in = or(i0_div_decode_d, _T_825) @[dec_decode_ctl.scala 697:39] + reg _T_826 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_decode_ctl.scala 699:54] + _T_826 <= div_active_in @[dec_decode_ctl.scala 699:54] + io.dec_div_active <= _T_826 @[dec_decode_ctl.scala 699:21] + node _T_827 = and(io.decode_exu.dec_i0_rs1_en_d, io.dec_div_active) @[dec_decode_ctl.scala 702:60] + node _T_828 = eq(io.div_waddr_wb, i0r.rs1) @[dec_decode_ctl.scala 702:99] + node _T_829 = and(_T_827, _T_828) @[dec_decode_ctl.scala 702:80] + node _T_830 = and(io.decode_exu.dec_i0_rs2_en_d, io.dec_div_active) @[dec_decode_ctl.scala 703:36] + node _T_831 = eq(io.div_waddr_wb, i0r.rs2) @[dec_decode_ctl.scala 703:75] + node _T_832 = and(_T_830, _T_831) @[dec_decode_ctl.scala 703:56] + node _T_833 = or(_T_829, _T_832) @[dec_decode_ctl.scala 702:113] + i0_nonblock_div_stall <= _T_833 @[dec_decode_ctl.scala 702:26] + node _T_834 = bits(i0_div_decode_d, 0, 0) @[dec_decode_ctl.scala 705:59] + reg _T_835 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_834 : @[Reg.scala 28:19] + _T_835 <= i0r.rd @[Reg.scala 28:23] skip @[Reg.scala 28:19] - io.div_waddr_wb <= _T_833 @[dec_decode_ctl.scala 711:19] - node _T_834 = bits(i0_inst_d, 24, 7) @[dec_decode_ctl.scala 718:34] - node _T_835 = bits(i0_div_decode_d, 0, 0) @[dec_decode_ctl.scala 718:57] + io.div_waddr_wb <= _T_835 @[dec_decode_ctl.scala 705:19] + node _T_836 = bits(i0_inst_d, 24, 7) @[dec_decode_ctl.scala 712:34] + node _T_837 = bits(i0_div_decode_d, 0, 0) @[dec_decode_ctl.scala 712:57] inst rvclkhdr_12 of rvclkhdr_673 @[lib.scala 368:23] rvclkhdr_12.clock <= clock rvclkhdr_12.reset <= reset rvclkhdr_12.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_12.io.en <= _T_835 @[lib.scala 371:17] + rvclkhdr_12.io.en <= _T_837 @[lib.scala 371:17] rvclkhdr_12.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg div_inst : UInt, rvclkhdr_12.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - div_inst <= _T_834 @[lib.scala 374:16] - node _T_836 = bits(i0_x_data_en, 0, 0) @[dec_decode_ctl.scala 719:49] + div_inst <= _T_836 @[lib.scala 374:16] + node _T_838 = bits(i0_x_data_en, 0, 0) @[dec_decode_ctl.scala 713:49] inst rvclkhdr_13 of rvclkhdr_674 @[lib.scala 368:23] rvclkhdr_13.clock <= clock rvclkhdr_13.reset <= reset rvclkhdr_13.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_13.io.en <= _T_836 @[lib.scala 371:17] + rvclkhdr_13.io.en <= _T_838 @[lib.scala 371:17] rvclkhdr_13.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg i0_inst_x : UInt, rvclkhdr_13.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] i0_inst_x <= i0_inst_d @[lib.scala 374:16] - node _T_837 = bits(i0_r_data_en, 0, 0) @[dec_decode_ctl.scala 720:49] + node _T_839 = bits(i0_r_data_en, 0, 0) @[dec_decode_ctl.scala 714:49] inst rvclkhdr_14 of rvclkhdr_675 @[lib.scala 368:23] rvclkhdr_14.clock <= clock rvclkhdr_14.reset <= reset rvclkhdr_14.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_14.io.en <= _T_837 @[lib.scala 371:17] + rvclkhdr_14.io.en <= _T_839 @[lib.scala 371:17] rvclkhdr_14.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg i0_inst_r : UInt, rvclkhdr_14.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] i0_inst_r <= i0_inst_x @[lib.scala 374:16] - node _T_838 = bits(i0_wb_data_en, 0, 0) @[dec_decode_ctl.scala 722:50] + node _T_840 = bits(i0_wb_data_en, 0, 0) @[dec_decode_ctl.scala 716:50] inst rvclkhdr_15 of rvclkhdr_676 @[lib.scala 368:23] rvclkhdr_15.clock <= clock rvclkhdr_15.reset <= reset rvclkhdr_15.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_15.io.en <= _T_838 @[lib.scala 371:17] + rvclkhdr_15.io.en <= _T_840 @[lib.scala 371:17] rvclkhdr_15.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg i0_inst_wb : UInt, rvclkhdr_15.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] i0_inst_wb <= i0_inst_r @[lib.scala 374:16] - node _T_839 = bits(i0_wb1_data_en, 0, 0) @[dec_decode_ctl.scala 723:53] + node _T_841 = bits(i0_wb1_data_en, 0, 0) @[dec_decode_ctl.scala 717:53] inst rvclkhdr_16 of rvclkhdr_677 @[lib.scala 368:23] rvclkhdr_16.clock <= clock rvclkhdr_16.reset <= reset rvclkhdr_16.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_16.io.en <= _T_839 @[lib.scala 371:17] + rvclkhdr_16.io.en <= _T_841 @[lib.scala 371:17] rvclkhdr_16.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_840 : UInt, rvclkhdr_16.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_840 <= i0_inst_wb @[lib.scala 374:16] - io.dec_i0_inst_wb1 <= _T_840 @[dec_decode_ctl.scala 723:22] - node _T_841 = bits(i0_wb_data_en, 0, 0) @[dec_decode_ctl.scala 724:53] + reg _T_842 : UInt, rvclkhdr_16.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_842 <= i0_inst_wb @[lib.scala 374:16] + io.dec_i0_inst_wb1 <= _T_842 @[dec_decode_ctl.scala 717:22] + node _T_843 = bits(i0_wb_data_en, 0, 0) @[dec_decode_ctl.scala 718:53] inst rvclkhdr_17 of rvclkhdr_678 @[lib.scala 368:23] rvclkhdr_17.clock <= clock rvclkhdr_17.reset <= reset rvclkhdr_17.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_17.io.en <= _T_841 @[lib.scala 371:17] + rvclkhdr_17.io.en <= _T_843 @[lib.scala 371:17] rvclkhdr_17.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg i0_pc_wb : UInt, rvclkhdr_17.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] i0_pc_wb <= io.dec_tlu_i0_pc_r @[lib.scala 374:16] - node _T_842 = bits(i0_wb1_data_en, 0, 0) @[dec_decode_ctl.scala 726:49] + node _T_844 = bits(i0_wb1_data_en, 0, 0) @[dec_decode_ctl.scala 720:49] inst rvclkhdr_18 of rvclkhdr_679 @[lib.scala 368:23] rvclkhdr_18.clock <= clock rvclkhdr_18.reset <= reset rvclkhdr_18.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_18.io.en <= _T_842 @[lib.scala 371:17] + rvclkhdr_18.io.en <= _T_844 @[lib.scala 371:17] rvclkhdr_18.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_843 : UInt, rvclkhdr_18.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_843 <= i0_pc_wb @[lib.scala 374:16] - io.dec_i0_pc_wb1 <= _T_843 @[dec_decode_ctl.scala 726:20] - node _T_844 = bits(i0_r_data_en, 0, 0) @[dec_decode_ctl.scala 727:64] + reg _T_845 : UInt, rvclkhdr_18.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_845 <= i0_pc_wb @[lib.scala 374:16] + io.dec_i0_pc_wb1 <= _T_845 @[dec_decode_ctl.scala 720:20] + node _T_846 = bits(i0_r_data_en, 0, 0) @[dec_decode_ctl.scala 721:64] inst rvclkhdr_19 of rvclkhdr_680 @[lib.scala 368:23] rvclkhdr_19.clock <= clock rvclkhdr_19.reset <= reset rvclkhdr_19.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_19.io.en <= _T_844 @[lib.scala 371:17] + rvclkhdr_19.io.en <= _T_846 @[lib.scala 371:17] rvclkhdr_19.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg dec_i0_pc_r : UInt, rvclkhdr_19.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] dec_i0_pc_r <= io.dec_alu.exu_i0_pc_x @[lib.scala 374:16] - io.dec_tlu_i0_pc_r <= dec_i0_pc_r @[dec_decode_ctl.scala 729:27] - node _T_845 = cat(io.dec_alu.exu_i0_pc_x, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_846 = cat(last_br_immed_x, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_847 = bits(_T_845, 12, 1) @[lib.scala 68:24] - node _T_848 = bits(_T_846, 12, 1) @[lib.scala 68:40] - node _T_849 = add(_T_847, _T_848) @[lib.scala 68:31] - node _T_850 = bits(_T_845, 31, 13) @[lib.scala 69:20] - node _T_851 = add(_T_850, UInt<1>("h01")) @[lib.scala 69:27] - node _T_852 = tail(_T_851, 1) @[lib.scala 69:27] - node _T_853 = bits(_T_845, 31, 13) @[lib.scala 70:20] - node _T_854 = sub(_T_853, UInt<1>("h01")) @[lib.scala 70:27] - node _T_855 = tail(_T_854, 1) @[lib.scala 70:27] - node _T_856 = bits(_T_846, 12, 12) @[lib.scala 71:22] - node _T_857 = bits(_T_849, 12, 12) @[lib.scala 72:39] - node _T_858 = eq(_T_857, UInt<1>("h00")) @[lib.scala 72:28] - node _T_859 = xor(_T_856, _T_858) @[lib.scala 72:26] - node _T_860 = bits(_T_859, 0, 0) @[lib.scala 72:64] - node _T_861 = bits(_T_845, 31, 13) @[lib.scala 72:76] - node _T_862 = eq(_T_856, UInt<1>("h00")) @[lib.scala 73:20] - node _T_863 = bits(_T_849, 12, 12) @[lib.scala 73:39] - node _T_864 = and(_T_862, _T_863) @[lib.scala 73:26] - node _T_865 = bits(_T_864, 0, 0) @[lib.scala 73:64] - node _T_866 = bits(_T_849, 12, 12) @[lib.scala 74:39] - node _T_867 = eq(_T_866, UInt<1>("h00")) @[lib.scala 74:28] - node _T_868 = and(_T_856, _T_867) @[lib.scala 74:26] - node _T_869 = bits(_T_868, 0, 0) @[lib.scala 74:64] - node _T_870 = mux(_T_860, _T_861, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_871 = mux(_T_865, _T_852, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_872 = mux(_T_869, _T_855, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_873 = or(_T_870, _T_871) @[Mux.scala 27:72] - node _T_874 = or(_T_873, _T_872) @[Mux.scala 27:72] - wire _T_875 : UInt<19> @[Mux.scala 27:72] - _T_875 <= _T_874 @[Mux.scala 27:72] - node _T_876 = bits(_T_849, 11, 0) @[lib.scala 74:94] - node _T_877 = cat(_T_875, _T_876) @[Cat.scala 29:58] - node temp_pred_correct_npc_x = cat(_T_877, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_878 = bits(temp_pred_correct_npc_x, 31, 1) @[dec_decode_ctl.scala 734:62] - io.decode_exu.pred_correct_npc_x <= _T_878 @[dec_decode_ctl.scala 734:36] - node _T_879 = and(io.decode_exu.dec_i0_rs1_en_d, x_d.bits.i0v) @[dec_decode_ctl.scala 738:59] - node _T_880 = eq(x_d.bits.i0rd, i0r.rs1) @[dec_decode_ctl.scala 738:91] - node i0_rs1_depend_i0_x = and(_T_879, _T_880) @[dec_decode_ctl.scala 738:74] - node _T_881 = and(io.decode_exu.dec_i0_rs1_en_d, r_d.bits.i0v) @[dec_decode_ctl.scala 739:59] - node _T_882 = eq(r_d.bits.i0rd, i0r.rs1) @[dec_decode_ctl.scala 739:91] - node i0_rs1_depend_i0_r = and(_T_881, _T_882) @[dec_decode_ctl.scala 739:74] - node _T_883 = and(io.decode_exu.dec_i0_rs2_en_d, x_d.bits.i0v) @[dec_decode_ctl.scala 741:59] - node _T_884 = eq(x_d.bits.i0rd, i0r.rs2) @[dec_decode_ctl.scala 741:91] - node i0_rs2_depend_i0_x = and(_T_883, _T_884) @[dec_decode_ctl.scala 741:74] - node _T_885 = and(io.decode_exu.dec_i0_rs2_en_d, r_d.bits.i0v) @[dec_decode_ctl.scala 742:59] - node _T_886 = eq(r_d.bits.i0rd, i0r.rs2) @[dec_decode_ctl.scala 742:91] - node i0_rs2_depend_i0_r = and(_T_885, _T_886) @[dec_decode_ctl.scala 742:74] - node _T_887 = bits(i0_rs1_depend_i0_x, 0, 0) @[dec_decode_ctl.scala 744:44] - node _T_888 = bits(i0_rs1_depend_i0_r, 0, 0) @[dec_decode_ctl.scala 744:81] - wire _T_889 : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[dec_decode_ctl.scala 744:109] - _T_889.alu <= UInt<1>("h00") @[dec_decode_ctl.scala 744:109] - _T_889.load <= UInt<1>("h00") @[dec_decode_ctl.scala 744:109] - _T_889.mul <= UInt<1>("h00") @[dec_decode_ctl.scala 744:109] - node _T_890 = mux(_T_888, i0_r_c, _T_889) @[dec_decode_ctl.scala 744:61] - node _T_891 = mux(_T_887, i0_x_c, _T_890) @[dec_decode_ctl.scala 744:24] - i0_rs1_class_d.alu <= _T_891.alu @[dec_decode_ctl.scala 744:18] - i0_rs1_class_d.load <= _T_891.load @[dec_decode_ctl.scala 744:18] - i0_rs1_class_d.mul <= _T_891.mul @[dec_decode_ctl.scala 744:18] - node _T_892 = bits(i0_rs1_depend_i0_x, 0, 0) @[dec_decode_ctl.scala 745:44] - node _T_893 = bits(i0_rs1_depend_i0_r, 0, 0) @[dec_decode_ctl.scala 745:83] - node _T_894 = mux(_T_893, UInt<2>("h02"), UInt<1>("h00")) @[dec_decode_ctl.scala 745:63] - node _T_895 = mux(_T_892, UInt<2>("h01"), _T_894) @[dec_decode_ctl.scala 745:24] - i0_rs1_depth_d <= _T_895 @[dec_decode_ctl.scala 745:18] - node _T_896 = bits(i0_rs2_depend_i0_x, 0, 0) @[dec_decode_ctl.scala 746:44] - node _T_897 = bits(i0_rs2_depend_i0_r, 0, 0) @[dec_decode_ctl.scala 746:81] - wire _T_898 : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[dec_decode_ctl.scala 746:109] - _T_898.alu <= UInt<1>("h00") @[dec_decode_ctl.scala 746:109] - _T_898.load <= UInt<1>("h00") @[dec_decode_ctl.scala 746:109] - _T_898.mul <= UInt<1>("h00") @[dec_decode_ctl.scala 746:109] - node _T_899 = mux(_T_897, i0_r_c, _T_898) @[dec_decode_ctl.scala 746:61] - node _T_900 = mux(_T_896, i0_x_c, _T_899) @[dec_decode_ctl.scala 746:24] - i0_rs2_class_d.alu <= _T_900.alu @[dec_decode_ctl.scala 746:18] - i0_rs2_class_d.load <= _T_900.load @[dec_decode_ctl.scala 746:18] - i0_rs2_class_d.mul <= _T_900.mul @[dec_decode_ctl.scala 746:18] - node _T_901 = bits(i0_rs2_depend_i0_x, 0, 0) @[dec_decode_ctl.scala 747:44] - node _T_902 = bits(i0_rs2_depend_i0_r, 0, 0) @[dec_decode_ctl.scala 747:83] - node _T_903 = mux(_T_902, UInt<2>("h02"), UInt<1>("h00")) @[dec_decode_ctl.scala 747:63] - node _T_904 = mux(_T_901, UInt<2>("h01"), _T_903) @[dec_decode_ctl.scala 747:24] - i0_rs2_depth_d <= _T_904 @[dec_decode_ctl.scala 747:18] - i0_load_block_d <= UInt<1>("h00") @[dec_decode_ctl.scala 757:21] - node _T_905 = or(i0_dp.load, i0_dp.store) @[dec_decode_ctl.scala 758:43] - node _T_906 = bits(i0_rs1_depth_d, 0, 0) @[dec_decode_ctl.scala 758:74] - node _T_907 = and(_T_905, _T_906) @[dec_decode_ctl.scala 758:58] - node _T_908 = and(_T_907, i0_rs1_class_d.load) @[dec_decode_ctl.scala 758:78] - load_ldst_bypass_d <= _T_908 @[dec_decode_ctl.scala 758:27] - node _T_909 = bits(i0_rs2_depth_d, 0, 0) @[dec_decode_ctl.scala 759:59] - node _T_910 = and(i0_dp.store, _T_909) @[dec_decode_ctl.scala 759:43] - node _T_911 = and(_T_910, i0_rs2_class_d.load) @[dec_decode_ctl.scala 759:63] - store_data_bypass_d <= _T_911 @[dec_decode_ctl.scala 759:25] - store_data_bypass_m <= UInt<1>("h00") @[dec_decode_ctl.scala 760:25] - node _T_912 = and(io.decode_exu.dec_i0_rs1_en_d, io.dec_nonblock_load_wen) @[dec_decode_ctl.scala 764:73] - node _T_913 = eq(io.dec_nonblock_load_waddr, i0r.rs1) @[dec_decode_ctl.scala 764:130] - node i0_rs1_nonblock_load_bypass_en_d = and(_T_912, _T_913) @[dec_decode_ctl.scala 764:100] - node _T_914 = and(io.decode_exu.dec_i0_rs2_en_d, io.dec_nonblock_load_wen) @[dec_decode_ctl.scala 766:73] - node _T_915 = eq(io.dec_nonblock_load_waddr, i0r.rs2) @[dec_decode_ctl.scala 766:130] - node i0_rs2_nonblock_load_bypass_en_d = and(_T_914, _T_915) @[dec_decode_ctl.scala 766:100] - node _T_916 = bits(i0_rs1_depth_d, 0, 0) @[dec_decode_ctl.scala 769:41] - node _T_917 = or(i0_rs1_class_d.alu, i0_rs1_class_d.mul) @[dec_decode_ctl.scala 769:66] - node _T_918 = and(_T_916, _T_917) @[dec_decode_ctl.scala 769:45] - node _T_919 = bits(i0_rs1_depth_d, 0, 0) @[dec_decode_ctl.scala 769:104] - node _T_920 = and(_T_919, i0_rs1_class_d.load) @[dec_decode_ctl.scala 769:108] - node _T_921 = bits(i0_rs1_depth_d, 1, 1) @[dec_decode_ctl.scala 769:149] - node _T_922 = or(i0_rs1_class_d.alu, i0_rs1_class_d.mul) @[dec_decode_ctl.scala 769:175] - node _T_923 = or(_T_922, i0_rs1_class_d.load) @[dec_decode_ctl.scala 769:196] - node _T_924 = and(_T_921, _T_923) @[dec_decode_ctl.scala 769:153] - node _T_925 = cat(_T_918, _T_920) @[Cat.scala 29:58] - node _T_926 = cat(_T_925, _T_924) @[Cat.scala 29:58] - i0_rs1bypass <= _T_926 @[dec_decode_ctl.scala 769:18] - node _T_927 = bits(i0_rs2_depth_d, 0, 0) @[dec_decode_ctl.scala 771:41] - node _T_928 = or(i0_rs2_class_d.alu, i0_rs2_class_d.mul) @[dec_decode_ctl.scala 771:67] - node _T_929 = and(_T_927, _T_928) @[dec_decode_ctl.scala 771:45] - node _T_930 = bits(i0_rs2_depth_d, 0, 0) @[dec_decode_ctl.scala 771:105] - node _T_931 = and(_T_930, i0_rs2_class_d.load) @[dec_decode_ctl.scala 771:109] - node _T_932 = bits(i0_rs2_depth_d, 1, 1) @[dec_decode_ctl.scala 771:149] - node _T_933 = or(i0_rs2_class_d.alu, i0_rs2_class_d.mul) @[dec_decode_ctl.scala 771:175] - node _T_934 = or(_T_933, i0_rs2_class_d.load) @[dec_decode_ctl.scala 771:196] - node _T_935 = and(_T_932, _T_934) @[dec_decode_ctl.scala 771:153] - node _T_936 = cat(_T_929, _T_931) @[Cat.scala 29:58] - node _T_937 = cat(_T_936, _T_935) @[Cat.scala 29:58] - i0_rs2bypass <= _T_937 @[dec_decode_ctl.scala 771:18] - node _T_938 = bits(i0_rs1bypass, 2, 2) @[dec_decode_ctl.scala 773:65] - node _T_939 = bits(i0_rs1bypass, 1, 1) @[dec_decode_ctl.scala 773:82] - node _T_940 = bits(i0_rs1bypass, 0, 0) @[dec_decode_ctl.scala 773:100] - node _T_941 = or(_T_939, _T_940) @[dec_decode_ctl.scala 773:86] - node _T_942 = bits(i0_rs1bypass, 2, 2) @[dec_decode_ctl.scala 773:120] - node _T_943 = eq(_T_942, UInt<1>("h00")) @[dec_decode_ctl.scala 773:107] - node _T_944 = and(_T_943, i0_rs1_nonblock_load_bypass_en_d) @[dec_decode_ctl.scala 773:124] - node _T_945 = or(_T_941, _T_944) @[dec_decode_ctl.scala 773:104] - node _T_946 = cat(_T_938, _T_945) @[Cat.scala 29:58] - io.decode_exu.dec_i0_rs1_bypass_en_d <= _T_946 @[dec_decode_ctl.scala 773:45] - node _T_947 = bits(i0_rs2bypass, 2, 2) @[dec_decode_ctl.scala 774:65] - node _T_948 = bits(i0_rs2bypass, 1, 1) @[dec_decode_ctl.scala 774:82] - node _T_949 = bits(i0_rs2bypass, 0, 0) @[dec_decode_ctl.scala 774:100] - node _T_950 = or(_T_948, _T_949) @[dec_decode_ctl.scala 774:86] - node _T_951 = bits(i0_rs2bypass, 2, 2) @[dec_decode_ctl.scala 774:120] - node _T_952 = eq(_T_951, UInt<1>("h00")) @[dec_decode_ctl.scala 774:107] - node _T_953 = and(_T_952, i0_rs2_nonblock_load_bypass_en_d) @[dec_decode_ctl.scala 774:124] - node _T_954 = or(_T_950, _T_953) @[dec_decode_ctl.scala 774:104] - node _T_955 = cat(_T_947, _T_954) @[Cat.scala 29:58] - io.decode_exu.dec_i0_rs2_bypass_en_d <= _T_955 @[dec_decode_ctl.scala 774:45] - node _T_956 = bits(i0_rs1bypass, 1, 1) @[dec_decode_ctl.scala 778:17] - node _T_957 = bits(_T_956, 0, 0) @[dec_decode_ctl.scala 778:21] - node _T_958 = bits(i0_rs1bypass, 0, 0) @[dec_decode_ctl.scala 779:17] - node _T_959 = bits(_T_958, 0, 0) @[dec_decode_ctl.scala 779:21] - node _T_960 = bits(i0_rs1bypass, 1, 1) @[dec_decode_ctl.scala 780:19] - node _T_961 = eq(_T_960, UInt<1>("h00")) @[dec_decode_ctl.scala 780:6] - node _T_962 = bits(i0_rs1bypass, 0, 0) @[dec_decode_ctl.scala 780:38] - node _T_963 = eq(_T_962, UInt<1>("h00")) @[dec_decode_ctl.scala 780:25] - node _T_964 = and(_T_961, _T_963) @[dec_decode_ctl.scala 780:23] - node _T_965 = and(_T_964, i0_rs1_nonblock_load_bypass_en_d) @[dec_decode_ctl.scala 780:42] - node _T_966 = bits(_T_965, 0, 0) @[dec_decode_ctl.scala 780:78] - node _T_967 = mux(_T_957, io.lsu_result_m, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_968 = mux(_T_959, i0_result_r, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_969 = mux(_T_966, io.dctl_busbuff.lsu_nonblock_load_data, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_970 = or(_T_967, _T_968) @[Mux.scala 27:72] - node _T_971 = or(_T_970, _T_969) @[Mux.scala 27:72] - wire _T_972 : UInt<32> @[Mux.scala 27:72] - _T_972 <= _T_971 @[Mux.scala 27:72] - io.decode_exu.dec_i0_rs1_bypass_data_d <= _T_972 @[dec_decode_ctl.scala 777:42] - node _T_973 = bits(i0_rs2bypass, 1, 1) @[dec_decode_ctl.scala 783:17] - node _T_974 = bits(_T_973, 0, 0) @[dec_decode_ctl.scala 783:21] - node _T_975 = bits(i0_rs2bypass, 0, 0) @[dec_decode_ctl.scala 784:17] - node _T_976 = bits(_T_975, 0, 0) @[dec_decode_ctl.scala 784:21] - node _T_977 = bits(i0_rs2bypass, 1, 1) @[dec_decode_ctl.scala 785:19] - node _T_978 = eq(_T_977, UInt<1>("h00")) @[dec_decode_ctl.scala 785:6] - node _T_979 = bits(i0_rs2bypass, 0, 0) @[dec_decode_ctl.scala 785:38] - node _T_980 = eq(_T_979, UInt<1>("h00")) @[dec_decode_ctl.scala 785:25] - node _T_981 = and(_T_978, _T_980) @[dec_decode_ctl.scala 785:23] - node _T_982 = and(_T_981, i0_rs2_nonblock_load_bypass_en_d) @[dec_decode_ctl.scala 785:42] - node _T_983 = bits(_T_982, 0, 0) @[dec_decode_ctl.scala 785:78] - node _T_984 = mux(_T_974, io.lsu_result_m, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_985 = mux(_T_976, i0_result_r, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_986 = mux(_T_983, io.dctl_busbuff.lsu_nonblock_load_data, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_987 = or(_T_984, _T_985) @[Mux.scala 27:72] - node _T_988 = or(_T_987, _T_986) @[Mux.scala 27:72] - wire _T_989 : UInt<32> @[Mux.scala 27:72] - _T_989 <= _T_988 @[Mux.scala 27:72] - io.decode_exu.dec_i0_rs2_bypass_data_d <= _T_989 @[dec_decode_ctl.scala 782:42] - node _T_990 = or(i0_dp_raw.load, i0_dp_raw.store) @[dec_decode_ctl.scala 787:68] - node _T_991 = and(io.dec_ib0_valid_d, _T_990) @[dec_decode_ctl.scala 787:50] - node _T_992 = eq(io.dctl_dma.dma_dccm_stall_any, UInt<1>("h00")) @[dec_decode_ctl.scala 787:89] - node _T_993 = and(_T_991, _T_992) @[dec_decode_ctl.scala 787:87] - node _T_994 = eq(i0_block_raw_d, UInt<1>("h00")) @[dec_decode_ctl.scala 787:123] - node _T_995 = and(_T_993, _T_994) @[dec_decode_ctl.scala 787:121] - node _T_996 = or(_T_995, io.decode_exu.dec_extint_stall) @[dec_decode_ctl.scala 787:140] - io.dec_lsu_valid_raw_d <= _T_996 @[dec_decode_ctl.scala 787:26] - node _T_997 = eq(io.decode_exu.dec_extint_stall, UInt<1>("h00")) @[dec_decode_ctl.scala 789:6] - node _T_998 = and(_T_997, i0_dp.lsu) @[dec_decode_ctl.scala 789:38] - node _T_999 = and(_T_998, i0_dp.load) @[dec_decode_ctl.scala 789:50] - node _T_1000 = bits(_T_999, 0, 0) @[dec_decode_ctl.scala 789:64] - node _T_1001 = bits(io.dec_i0_instr_d, 31, 20) @[dec_decode_ctl.scala 789:81] - node _T_1002 = eq(io.decode_exu.dec_extint_stall, UInt<1>("h00")) @[dec_decode_ctl.scala 790:6] - node _T_1003 = and(_T_1002, i0_dp.lsu) @[dec_decode_ctl.scala 790:38] - node _T_1004 = and(_T_1003, i0_dp.store) @[dec_decode_ctl.scala 790:50] - node _T_1005 = bits(_T_1004, 0, 0) @[dec_decode_ctl.scala 790:65] - node _T_1006 = bits(io.dec_i0_instr_d, 31, 25) @[dec_decode_ctl.scala 790:85] - node _T_1007 = bits(io.dec_i0_instr_d, 11, 7) @[dec_decode_ctl.scala 790:95] - node _T_1008 = cat(_T_1006, _T_1007) @[Cat.scala 29:58] - node _T_1009 = mux(_T_1000, _T_1001, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1010 = mux(_T_1005, _T_1008, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1011 = or(_T_1009, _T_1010) @[Mux.scala 27:72] - wire _T_1012 : UInt<12> @[Mux.scala 27:72] - _T_1012 <= _T_1011 @[Mux.scala 27:72] - io.dec_lsu_offset_d <= _T_1012 @[dec_decode_ctl.scala 788:23] + io.dec_tlu_i0_pc_r <= dec_i0_pc_r @[dec_decode_ctl.scala 723:27] + node _T_847 = cat(io.dec_alu.exu_i0_pc_x, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_848 = cat(last_br_immed_x, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_849 = bits(_T_847, 12, 1) @[lib.scala 68:24] + node _T_850 = bits(_T_848, 12, 1) @[lib.scala 68:40] + node _T_851 = add(_T_849, _T_850) @[lib.scala 68:31] + node _T_852 = bits(_T_847, 31, 13) @[lib.scala 69:20] + node _T_853 = add(_T_852, UInt<1>("h01")) @[lib.scala 69:27] + node _T_854 = tail(_T_853, 1) @[lib.scala 69:27] + node _T_855 = bits(_T_847, 31, 13) @[lib.scala 70:20] + node _T_856 = sub(_T_855, UInt<1>("h01")) @[lib.scala 70:27] + node _T_857 = tail(_T_856, 1) @[lib.scala 70:27] + node _T_858 = bits(_T_848, 12, 12) @[lib.scala 71:22] + node _T_859 = bits(_T_851, 12, 12) @[lib.scala 72:39] + node _T_860 = eq(_T_859, UInt<1>("h00")) @[lib.scala 72:28] + node _T_861 = xor(_T_858, _T_860) @[lib.scala 72:26] + node _T_862 = bits(_T_861, 0, 0) @[lib.scala 72:64] + node _T_863 = bits(_T_847, 31, 13) @[lib.scala 72:76] + node _T_864 = eq(_T_858, UInt<1>("h00")) @[lib.scala 73:20] + node _T_865 = bits(_T_851, 12, 12) @[lib.scala 73:39] + node _T_866 = and(_T_864, _T_865) @[lib.scala 73:26] + node _T_867 = bits(_T_866, 0, 0) @[lib.scala 73:64] + node _T_868 = bits(_T_851, 12, 12) @[lib.scala 74:39] + node _T_869 = eq(_T_868, UInt<1>("h00")) @[lib.scala 74:28] + node _T_870 = and(_T_858, _T_869) @[lib.scala 74:26] + node _T_871 = bits(_T_870, 0, 0) @[lib.scala 74:64] + node _T_872 = mux(_T_862, _T_863, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_873 = mux(_T_867, _T_854, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_874 = mux(_T_871, _T_857, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_875 = or(_T_872, _T_873) @[Mux.scala 27:72] + node _T_876 = or(_T_875, _T_874) @[Mux.scala 27:72] + wire _T_877 : UInt<19> @[Mux.scala 27:72] + _T_877 <= _T_876 @[Mux.scala 27:72] + node _T_878 = bits(_T_851, 11, 0) @[lib.scala 74:94] + node _T_879 = cat(_T_877, _T_878) @[Cat.scala 29:58] + node temp_pred_correct_npc_x = cat(_T_879, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_880 = bits(temp_pred_correct_npc_x, 31, 1) @[dec_decode_ctl.scala 728:62] + io.decode_exu.pred_correct_npc_x <= _T_880 @[dec_decode_ctl.scala 728:36] + node _T_881 = and(io.decode_exu.dec_i0_rs1_en_d, x_d.bits.i0v) @[dec_decode_ctl.scala 732:59] + node _T_882 = eq(x_d.bits.i0rd, i0r.rs1) @[dec_decode_ctl.scala 732:91] + node i0_rs1_depend_i0_x = and(_T_881, _T_882) @[dec_decode_ctl.scala 732:74] + node _T_883 = and(io.decode_exu.dec_i0_rs1_en_d, r_d.bits.i0v) @[dec_decode_ctl.scala 733:59] + node _T_884 = eq(r_d.bits.i0rd, i0r.rs1) @[dec_decode_ctl.scala 733:91] + node i0_rs1_depend_i0_r = and(_T_883, _T_884) @[dec_decode_ctl.scala 733:74] + node _T_885 = and(io.decode_exu.dec_i0_rs2_en_d, x_d.bits.i0v) @[dec_decode_ctl.scala 735:59] + node _T_886 = eq(x_d.bits.i0rd, i0r.rs2) @[dec_decode_ctl.scala 735:91] + node i0_rs2_depend_i0_x = and(_T_885, _T_886) @[dec_decode_ctl.scala 735:74] + node _T_887 = and(io.decode_exu.dec_i0_rs2_en_d, r_d.bits.i0v) @[dec_decode_ctl.scala 736:59] + node _T_888 = eq(r_d.bits.i0rd, i0r.rs2) @[dec_decode_ctl.scala 736:91] + node i0_rs2_depend_i0_r = and(_T_887, _T_888) @[dec_decode_ctl.scala 736:74] + node _T_889 = bits(i0_rs1_depend_i0_x, 0, 0) @[dec_decode_ctl.scala 738:44] + node _T_890 = bits(i0_rs1_depend_i0_r, 0, 0) @[dec_decode_ctl.scala 738:81] + wire _T_891 : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[dec_decode_ctl.scala 738:109] + _T_891.alu <= UInt<1>("h00") @[dec_decode_ctl.scala 738:109] + _T_891.load <= UInt<1>("h00") @[dec_decode_ctl.scala 738:109] + _T_891.mul <= UInt<1>("h00") @[dec_decode_ctl.scala 738:109] + node _T_892 = mux(_T_890, i0_r_c, _T_891) @[dec_decode_ctl.scala 738:61] + node _T_893 = mux(_T_889, i0_x_c, _T_892) @[dec_decode_ctl.scala 738:24] + i0_rs1_class_d.alu <= _T_893.alu @[dec_decode_ctl.scala 738:18] + i0_rs1_class_d.load <= _T_893.load @[dec_decode_ctl.scala 738:18] + i0_rs1_class_d.mul <= _T_893.mul @[dec_decode_ctl.scala 738:18] + node _T_894 = bits(i0_rs1_depend_i0_x, 0, 0) @[dec_decode_ctl.scala 739:44] + node _T_895 = bits(i0_rs1_depend_i0_r, 0, 0) @[dec_decode_ctl.scala 739:83] + node _T_896 = mux(_T_895, UInt<2>("h02"), UInt<1>("h00")) @[dec_decode_ctl.scala 739:63] + node _T_897 = mux(_T_894, UInt<2>("h01"), _T_896) @[dec_decode_ctl.scala 739:24] + i0_rs1_depth_d <= _T_897 @[dec_decode_ctl.scala 739:18] + node _T_898 = bits(i0_rs2_depend_i0_x, 0, 0) @[dec_decode_ctl.scala 740:44] + node _T_899 = bits(i0_rs2_depend_i0_r, 0, 0) @[dec_decode_ctl.scala 740:81] + wire _T_900 : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[dec_decode_ctl.scala 740:109] + _T_900.alu <= UInt<1>("h00") @[dec_decode_ctl.scala 740:109] + _T_900.load <= UInt<1>("h00") @[dec_decode_ctl.scala 740:109] + _T_900.mul <= UInt<1>("h00") @[dec_decode_ctl.scala 740:109] + node _T_901 = mux(_T_899, i0_r_c, _T_900) @[dec_decode_ctl.scala 740:61] + node _T_902 = mux(_T_898, i0_x_c, _T_901) @[dec_decode_ctl.scala 740:24] + i0_rs2_class_d.alu <= _T_902.alu @[dec_decode_ctl.scala 740:18] + i0_rs2_class_d.load <= _T_902.load @[dec_decode_ctl.scala 740:18] + i0_rs2_class_d.mul <= _T_902.mul @[dec_decode_ctl.scala 740:18] + node _T_903 = bits(i0_rs2_depend_i0_x, 0, 0) @[dec_decode_ctl.scala 741:44] + node _T_904 = bits(i0_rs2_depend_i0_r, 0, 0) @[dec_decode_ctl.scala 741:83] + node _T_905 = mux(_T_904, UInt<2>("h02"), UInt<1>("h00")) @[dec_decode_ctl.scala 741:63] + node _T_906 = mux(_T_903, UInt<2>("h01"), _T_905) @[dec_decode_ctl.scala 741:24] + i0_rs2_depth_d <= _T_906 @[dec_decode_ctl.scala 741:18] + i0_load_block_d <= UInt<1>("h00") @[dec_decode_ctl.scala 751:21] + node _T_907 = or(i0_dp.load, i0_dp.store) @[dec_decode_ctl.scala 752:43] + node _T_908 = bits(i0_rs1_depth_d, 0, 0) @[dec_decode_ctl.scala 752:74] + node _T_909 = and(_T_907, _T_908) @[dec_decode_ctl.scala 752:58] + node _T_910 = and(_T_909, i0_rs1_class_d.load) @[dec_decode_ctl.scala 752:78] + load_ldst_bypass_d <= _T_910 @[dec_decode_ctl.scala 752:27] + node _T_911 = bits(i0_rs2_depth_d, 0, 0) @[dec_decode_ctl.scala 753:59] + node _T_912 = and(i0_dp.store, _T_911) @[dec_decode_ctl.scala 753:43] + node _T_913 = and(_T_912, i0_rs2_class_d.load) @[dec_decode_ctl.scala 753:63] + store_data_bypass_d <= _T_913 @[dec_decode_ctl.scala 753:25] + store_data_bypass_m <= UInt<1>("h00") @[dec_decode_ctl.scala 754:25] + node _T_914 = and(io.decode_exu.dec_i0_rs1_en_d, io.dec_nonblock_load_wen) @[dec_decode_ctl.scala 758:73] + node _T_915 = eq(io.dec_nonblock_load_waddr, i0r.rs1) @[dec_decode_ctl.scala 758:130] + node i0_rs1_nonblock_load_bypass_en_d = and(_T_914, _T_915) @[dec_decode_ctl.scala 758:100] + node _T_916 = and(io.decode_exu.dec_i0_rs2_en_d, io.dec_nonblock_load_wen) @[dec_decode_ctl.scala 760:73] + node _T_917 = eq(io.dec_nonblock_load_waddr, i0r.rs2) @[dec_decode_ctl.scala 760:130] + node i0_rs2_nonblock_load_bypass_en_d = and(_T_916, _T_917) @[dec_decode_ctl.scala 760:100] + node _T_918 = bits(i0_rs1_depth_d, 0, 0) @[dec_decode_ctl.scala 763:41] + node _T_919 = or(i0_rs1_class_d.alu, i0_rs1_class_d.mul) @[dec_decode_ctl.scala 763:66] + node _T_920 = and(_T_918, _T_919) @[dec_decode_ctl.scala 763:45] + node _T_921 = bits(i0_rs1_depth_d, 0, 0) @[dec_decode_ctl.scala 763:104] + node _T_922 = and(_T_921, i0_rs1_class_d.load) @[dec_decode_ctl.scala 763:108] + node _T_923 = bits(i0_rs1_depth_d, 1, 1) @[dec_decode_ctl.scala 763:149] + node _T_924 = or(i0_rs1_class_d.alu, i0_rs1_class_d.mul) @[dec_decode_ctl.scala 763:175] + node _T_925 = or(_T_924, i0_rs1_class_d.load) @[dec_decode_ctl.scala 763:196] + node _T_926 = and(_T_923, _T_925) @[dec_decode_ctl.scala 763:153] + node _T_927 = cat(_T_920, _T_922) @[Cat.scala 29:58] + node _T_928 = cat(_T_927, _T_926) @[Cat.scala 29:58] + i0_rs1bypass <= _T_928 @[dec_decode_ctl.scala 763:18] + node _T_929 = bits(i0_rs2_depth_d, 0, 0) @[dec_decode_ctl.scala 765:41] + node _T_930 = or(i0_rs2_class_d.alu, i0_rs2_class_d.mul) @[dec_decode_ctl.scala 765:67] + node _T_931 = and(_T_929, _T_930) @[dec_decode_ctl.scala 765:45] + node _T_932 = bits(i0_rs2_depth_d, 0, 0) @[dec_decode_ctl.scala 765:105] + node _T_933 = and(_T_932, i0_rs2_class_d.load) @[dec_decode_ctl.scala 765:109] + node _T_934 = bits(i0_rs2_depth_d, 1, 1) @[dec_decode_ctl.scala 765:149] + node _T_935 = or(i0_rs2_class_d.alu, i0_rs2_class_d.mul) @[dec_decode_ctl.scala 765:175] + node _T_936 = or(_T_935, i0_rs2_class_d.load) @[dec_decode_ctl.scala 765:196] + node _T_937 = and(_T_934, _T_936) @[dec_decode_ctl.scala 765:153] + node _T_938 = cat(_T_931, _T_933) @[Cat.scala 29:58] + node _T_939 = cat(_T_938, _T_937) @[Cat.scala 29:58] + i0_rs2bypass <= _T_939 @[dec_decode_ctl.scala 765:18] + node _T_940 = bits(i0_rs1bypass, 2, 2) @[dec_decode_ctl.scala 767:65] + node _T_941 = bits(i0_rs1bypass, 1, 1) @[dec_decode_ctl.scala 767:82] + node _T_942 = bits(i0_rs1bypass, 0, 0) @[dec_decode_ctl.scala 767:100] + node _T_943 = or(_T_941, _T_942) @[dec_decode_ctl.scala 767:86] + node _T_944 = bits(i0_rs1bypass, 2, 2) @[dec_decode_ctl.scala 767:120] + node _T_945 = eq(_T_944, UInt<1>("h00")) @[dec_decode_ctl.scala 767:107] + node _T_946 = and(_T_945, i0_rs1_nonblock_load_bypass_en_d) @[dec_decode_ctl.scala 767:124] + node _T_947 = or(_T_943, _T_946) @[dec_decode_ctl.scala 767:104] + node _T_948 = cat(_T_940, _T_947) @[Cat.scala 29:58] + io.decode_exu.dec_i0_rs1_bypass_en_d <= _T_948 @[dec_decode_ctl.scala 767:45] + node _T_949 = bits(i0_rs2bypass, 2, 2) @[dec_decode_ctl.scala 768:65] + node _T_950 = bits(i0_rs2bypass, 1, 1) @[dec_decode_ctl.scala 768:82] + node _T_951 = bits(i0_rs2bypass, 0, 0) @[dec_decode_ctl.scala 768:100] + node _T_952 = or(_T_950, _T_951) @[dec_decode_ctl.scala 768:86] + node _T_953 = bits(i0_rs2bypass, 2, 2) @[dec_decode_ctl.scala 768:120] + node _T_954 = eq(_T_953, UInt<1>("h00")) @[dec_decode_ctl.scala 768:107] + node _T_955 = and(_T_954, i0_rs2_nonblock_load_bypass_en_d) @[dec_decode_ctl.scala 768:124] + node _T_956 = or(_T_952, _T_955) @[dec_decode_ctl.scala 768:104] + node _T_957 = cat(_T_949, _T_956) @[Cat.scala 29:58] + io.decode_exu.dec_i0_rs2_bypass_en_d <= _T_957 @[dec_decode_ctl.scala 768:45] + node _T_958 = bits(i0_rs1bypass, 1, 1) @[dec_decode_ctl.scala 772:17] + node _T_959 = bits(_T_958, 0, 0) @[dec_decode_ctl.scala 772:21] + node _T_960 = bits(i0_rs1bypass, 0, 0) @[dec_decode_ctl.scala 773:17] + node _T_961 = bits(_T_960, 0, 0) @[dec_decode_ctl.scala 773:21] + node _T_962 = bits(i0_rs1bypass, 1, 1) @[dec_decode_ctl.scala 774:19] + node _T_963 = eq(_T_962, UInt<1>("h00")) @[dec_decode_ctl.scala 774:6] + node _T_964 = bits(i0_rs1bypass, 0, 0) @[dec_decode_ctl.scala 774:38] + node _T_965 = eq(_T_964, UInt<1>("h00")) @[dec_decode_ctl.scala 774:25] + node _T_966 = and(_T_963, _T_965) @[dec_decode_ctl.scala 774:23] + node _T_967 = and(_T_966, i0_rs1_nonblock_load_bypass_en_d) @[dec_decode_ctl.scala 774:42] + node _T_968 = bits(_T_967, 0, 0) @[dec_decode_ctl.scala 774:78] + node _T_969 = mux(_T_959, io.lsu_result_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_970 = mux(_T_961, i0_result_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_971 = mux(_T_968, io.dctl_busbuff.lsu_nonblock_load_data, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_972 = or(_T_969, _T_970) @[Mux.scala 27:72] + node _T_973 = or(_T_972, _T_971) @[Mux.scala 27:72] + wire _T_974 : UInt<32> @[Mux.scala 27:72] + _T_974 <= _T_973 @[Mux.scala 27:72] + io.decode_exu.dec_i0_rs1_bypass_data_d <= _T_974 @[dec_decode_ctl.scala 771:42] + node _T_975 = bits(i0_rs2bypass, 1, 1) @[dec_decode_ctl.scala 777:17] + node _T_976 = bits(_T_975, 0, 0) @[dec_decode_ctl.scala 777:21] + node _T_977 = bits(i0_rs2bypass, 0, 0) @[dec_decode_ctl.scala 778:17] + node _T_978 = bits(_T_977, 0, 0) @[dec_decode_ctl.scala 778:21] + node _T_979 = bits(i0_rs2bypass, 1, 1) @[dec_decode_ctl.scala 779:19] + node _T_980 = eq(_T_979, UInt<1>("h00")) @[dec_decode_ctl.scala 779:6] + node _T_981 = bits(i0_rs2bypass, 0, 0) @[dec_decode_ctl.scala 779:38] + node _T_982 = eq(_T_981, UInt<1>("h00")) @[dec_decode_ctl.scala 779:25] + node _T_983 = and(_T_980, _T_982) @[dec_decode_ctl.scala 779:23] + node _T_984 = and(_T_983, i0_rs2_nonblock_load_bypass_en_d) @[dec_decode_ctl.scala 779:42] + node _T_985 = bits(_T_984, 0, 0) @[dec_decode_ctl.scala 779:78] + node _T_986 = mux(_T_976, io.lsu_result_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_987 = mux(_T_978, i0_result_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_988 = mux(_T_985, io.dctl_busbuff.lsu_nonblock_load_data, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_989 = or(_T_986, _T_987) @[Mux.scala 27:72] + node _T_990 = or(_T_989, _T_988) @[Mux.scala 27:72] + wire _T_991 : UInt<32> @[Mux.scala 27:72] + _T_991 <= _T_990 @[Mux.scala 27:72] + io.decode_exu.dec_i0_rs2_bypass_data_d <= _T_991 @[dec_decode_ctl.scala 776:42] + node _T_992 = or(i0_dp_raw.load, i0_dp_raw.store) @[dec_decode_ctl.scala 781:68] + node _T_993 = and(io.dec_ib0_valid_d, _T_992) @[dec_decode_ctl.scala 781:50] + node _T_994 = eq(io.dctl_dma.dma_dccm_stall_any, UInt<1>("h00")) @[dec_decode_ctl.scala 781:89] + node _T_995 = and(_T_993, _T_994) @[dec_decode_ctl.scala 781:87] + node _T_996 = eq(i0_block_raw_d, UInt<1>("h00")) @[dec_decode_ctl.scala 781:123] + node _T_997 = and(_T_995, _T_996) @[dec_decode_ctl.scala 781:121] + node _T_998 = or(_T_997, io.decode_exu.dec_extint_stall) @[dec_decode_ctl.scala 781:140] + io.dec_lsu_valid_raw_d <= _T_998 @[dec_decode_ctl.scala 781:26] + node _T_999 = eq(io.decode_exu.dec_extint_stall, UInt<1>("h00")) @[dec_decode_ctl.scala 783:6] + node _T_1000 = and(_T_999, i0_dp.lsu) @[dec_decode_ctl.scala 783:38] + node _T_1001 = and(_T_1000, i0_dp.load) @[dec_decode_ctl.scala 783:50] + node _T_1002 = bits(_T_1001, 0, 0) @[dec_decode_ctl.scala 783:64] + node _T_1003 = bits(io.dec_i0_instr_d, 31, 20) @[dec_decode_ctl.scala 783:81] + node _T_1004 = eq(io.decode_exu.dec_extint_stall, UInt<1>("h00")) @[dec_decode_ctl.scala 784:6] + node _T_1005 = and(_T_1004, i0_dp.lsu) @[dec_decode_ctl.scala 784:38] + node _T_1006 = and(_T_1005, i0_dp.store) @[dec_decode_ctl.scala 784:50] + node _T_1007 = bits(_T_1006, 0, 0) @[dec_decode_ctl.scala 784:65] + node _T_1008 = bits(io.dec_i0_instr_d, 31, 25) @[dec_decode_ctl.scala 784:85] + node _T_1009 = bits(io.dec_i0_instr_d, 11, 7) @[dec_decode_ctl.scala 784:95] + node _T_1010 = cat(_T_1008, _T_1009) @[Cat.scala 29:58] + node _T_1011 = mux(_T_1002, _T_1003, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1012 = mux(_T_1007, _T_1010, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1013 = or(_T_1011, _T_1012) @[Mux.scala 27:72] + wire _T_1014 : UInt<12> @[Mux.scala 27:72] + _T_1014 <= _T_1013 @[Mux.scala 27:72] + io.dec_lsu_offset_d <= _T_1014 @[dec_decode_ctl.scala 782:23] extmodule gated_latch_681 : output Q : Clock @@ -71683,32 +71689,32 @@ circuit quasar_wrapper : mitcnt1 <= UInt<1>("h00") wire mitcnt0 : UInt<32> mitcnt0 <= UInt<1>("h00") - node mit0_match_ns = geq(mitcnt0, mitb0) @[dec_tlu_ctl.scala 2673:36] - node mit1_match_ns = geq(mitcnt1, mitb1) @[dec_tlu_ctl.scala 2674:36] - io.dec_timer_t0_pulse <= mit0_match_ns @[dec_tlu_ctl.scala 2676:31] - io.dec_timer_t1_pulse <= mit1_match_ns @[dec_tlu_ctl.scala 2677:31] - node _T = eq(io.dec_csr_wraddr_r, UInt<12>("h07d2")) @[dec_tlu_ctl.scala 2684:72] - node wr_mitcnt0_r = and(io.dec_csr_wen_r_mod, _T) @[dec_tlu_ctl.scala 2684:49] - node _T_1 = bits(mitctl0, 0, 0) @[dec_tlu_ctl.scala 2686:37] - node _T_2 = not(io.dec_pause_state) @[dec_tlu_ctl.scala 2686:56] - node _T_3 = bits(mitctl0, 2, 2) @[dec_tlu_ctl.scala 2686:85] - node _T_4 = or(_T_2, _T_3) @[dec_tlu_ctl.scala 2686:76] - node _T_5 = and(_T_1, _T_4) @[dec_tlu_ctl.scala 2686:53] - node _T_6 = not(io.dec_tlu_pmu_fw_halted) @[dec_tlu_ctl.scala 2686:112] - node _T_7 = bits(mitctl0, 1, 1) @[dec_tlu_ctl.scala 2686:147] - node _T_8 = or(_T_6, _T_7) @[dec_tlu_ctl.scala 2686:138] - node _T_9 = and(_T_5, _T_8) @[dec_tlu_ctl.scala 2686:109] - node _T_10 = not(io.internal_dbg_halt_timers) @[dec_tlu_ctl.scala 2686:173] - node mitcnt0_inc_ok = and(_T_9, _T_10) @[dec_tlu_ctl.scala 2686:171] - node _T_11 = add(mitcnt0, UInt<32>("h01")) @[dec_tlu_ctl.scala 2687:35] - node mitcnt0_inc = tail(_T_11, 1) @[dec_tlu_ctl.scala 2687:35] - node _T_12 = bits(mit0_match_ns, 0, 0) @[dec_tlu_ctl.scala 2688:44] - node _T_13 = bits(wr_mitcnt0_r, 0, 0) @[dec_tlu_ctl.scala 2688:74] - node _T_14 = mux(_T_13, io.dec_csr_wrdata_r, mitcnt0_inc) @[dec_tlu_ctl.scala 2688:60] - node mitcnt0_ns = mux(_T_12, UInt<1>("h00"), _T_14) @[dec_tlu_ctl.scala 2688:29] - node _T_15 = or(wr_mitcnt0_r, mitcnt0_inc_ok) @[dec_tlu_ctl.scala 2689:59] - node _T_16 = or(_T_15, mit0_match_ns) @[dec_tlu_ctl.scala 2689:76] - node _T_17 = bits(_T_16, 0, 0) @[dec_tlu_ctl.scala 2689:93] + node mit0_match_ns = geq(mitcnt0, mitb0) @[dec_tlu_ctl.scala 2655:36] + node mit1_match_ns = geq(mitcnt1, mitb1) @[dec_tlu_ctl.scala 2656:36] + io.dec_timer_t0_pulse <= mit0_match_ns @[dec_tlu_ctl.scala 2658:31] + io.dec_timer_t1_pulse <= mit1_match_ns @[dec_tlu_ctl.scala 2659:31] + node _T = eq(io.dec_csr_wraddr_r, UInt<12>("h07d2")) @[dec_tlu_ctl.scala 2666:72] + node wr_mitcnt0_r = and(io.dec_csr_wen_r_mod, _T) @[dec_tlu_ctl.scala 2666:49] + node _T_1 = bits(mitctl0, 0, 0) @[dec_tlu_ctl.scala 2668:37] + node _T_2 = not(io.dec_pause_state) @[dec_tlu_ctl.scala 2668:56] + node _T_3 = bits(mitctl0, 2, 2) @[dec_tlu_ctl.scala 2668:85] + node _T_4 = or(_T_2, _T_3) @[dec_tlu_ctl.scala 2668:76] + node _T_5 = and(_T_1, _T_4) @[dec_tlu_ctl.scala 2668:53] + node _T_6 = not(io.dec_tlu_pmu_fw_halted) @[dec_tlu_ctl.scala 2668:112] + node _T_7 = bits(mitctl0, 1, 1) @[dec_tlu_ctl.scala 2668:147] + node _T_8 = or(_T_6, _T_7) @[dec_tlu_ctl.scala 2668:138] + node _T_9 = and(_T_5, _T_8) @[dec_tlu_ctl.scala 2668:109] + node _T_10 = not(io.internal_dbg_halt_timers) @[dec_tlu_ctl.scala 2668:173] + node mitcnt0_inc_ok = and(_T_9, _T_10) @[dec_tlu_ctl.scala 2668:171] + node _T_11 = add(mitcnt0, UInt<32>("h01")) @[dec_tlu_ctl.scala 2669:35] + node mitcnt0_inc = tail(_T_11, 1) @[dec_tlu_ctl.scala 2669:35] + node _T_12 = bits(mit0_match_ns, 0, 0) @[dec_tlu_ctl.scala 2670:44] + node _T_13 = bits(wr_mitcnt0_r, 0, 0) @[dec_tlu_ctl.scala 2670:74] + node _T_14 = mux(_T_13, io.dec_csr_wrdata_r, mitcnt0_inc) @[dec_tlu_ctl.scala 2670:60] + node mitcnt0_ns = mux(_T_12, UInt<1>("h00"), _T_14) @[dec_tlu_ctl.scala 2670:29] + node _T_15 = or(wr_mitcnt0_r, mitcnt0_inc_ok) @[dec_tlu_ctl.scala 2671:59] + node _T_16 = or(_T_15, mit0_match_ns) @[dec_tlu_ctl.scala 2671:76] + node _T_17 = bits(_T_16, 0, 0) @[dec_tlu_ctl.scala 2671:93] inst rvclkhdr of rvclkhdr_712 @[lib.scala 368:23] rvclkhdr.clock <= clock rvclkhdr.reset <= reset @@ -71717,34 +71723,34 @@ circuit quasar_wrapper : rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_18 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_18 <= mitcnt0_ns @[lib.scala 374:16] - mitcnt0 <= _T_18 @[dec_tlu_ctl.scala 2689:25] - node _T_19 = eq(io.dec_csr_wraddr_r, UInt<12>("h07d5")) @[dec_tlu_ctl.scala 2696:72] - node wr_mitcnt1_r = and(io.dec_csr_wen_r_mod, _T_19) @[dec_tlu_ctl.scala 2696:49] - node _T_20 = bits(mitctl1, 0, 0) @[dec_tlu_ctl.scala 2698:37] - node _T_21 = not(io.dec_pause_state) @[dec_tlu_ctl.scala 2698:56] - node _T_22 = bits(mitctl1, 2, 2) @[dec_tlu_ctl.scala 2698:85] - node _T_23 = or(_T_21, _T_22) @[dec_tlu_ctl.scala 2698:76] - node _T_24 = and(_T_20, _T_23) @[dec_tlu_ctl.scala 2698:53] - node _T_25 = not(io.dec_tlu_pmu_fw_halted) @[dec_tlu_ctl.scala 2698:112] - node _T_26 = bits(mitctl1, 1, 1) @[dec_tlu_ctl.scala 2698:147] - node _T_27 = or(_T_25, _T_26) @[dec_tlu_ctl.scala 2698:138] - node _T_28 = and(_T_24, _T_27) @[dec_tlu_ctl.scala 2698:109] - node _T_29 = not(io.internal_dbg_halt_timers) @[dec_tlu_ctl.scala 2698:173] - node mitcnt1_inc_ok = and(_T_28, _T_29) @[dec_tlu_ctl.scala 2698:171] + mitcnt0 <= _T_18 @[dec_tlu_ctl.scala 2671:25] + node _T_19 = eq(io.dec_csr_wraddr_r, UInt<12>("h07d5")) @[dec_tlu_ctl.scala 2678:72] + node wr_mitcnt1_r = and(io.dec_csr_wen_r_mod, _T_19) @[dec_tlu_ctl.scala 2678:49] + node _T_20 = bits(mitctl1, 0, 0) @[dec_tlu_ctl.scala 2680:37] + node _T_21 = not(io.dec_pause_state) @[dec_tlu_ctl.scala 2680:56] + node _T_22 = bits(mitctl1, 2, 2) @[dec_tlu_ctl.scala 2680:85] + node _T_23 = or(_T_21, _T_22) @[dec_tlu_ctl.scala 2680:76] + node _T_24 = and(_T_20, _T_23) @[dec_tlu_ctl.scala 2680:53] + node _T_25 = not(io.dec_tlu_pmu_fw_halted) @[dec_tlu_ctl.scala 2680:112] + node _T_26 = bits(mitctl1, 1, 1) @[dec_tlu_ctl.scala 2680:147] + node _T_27 = or(_T_25, _T_26) @[dec_tlu_ctl.scala 2680:138] + node _T_28 = and(_T_24, _T_27) @[dec_tlu_ctl.scala 2680:109] + node _T_29 = not(io.internal_dbg_halt_timers) @[dec_tlu_ctl.scala 2680:173] + node mitcnt1_inc_ok = and(_T_28, _T_29) @[dec_tlu_ctl.scala 2680:171] node _T_30 = mux(UInt<1>("h00"), UInt<31>("h07fffffff"), UInt<31>("h00")) @[Bitwise.scala 72:12] - node _T_31 = bits(mitctl1, 3, 3) @[dec_tlu_ctl.scala 2701:68] - node _T_32 = not(_T_31) @[dec_tlu_ctl.scala 2701:60] - node _T_33 = or(_T_32, mit0_match_ns) @[dec_tlu_ctl.scala 2701:72] + node _T_31 = bits(mitctl1, 3, 3) @[dec_tlu_ctl.scala 2683:68] + node _T_32 = not(_T_31) @[dec_tlu_ctl.scala 2683:60] + node _T_33 = or(_T_32, mit0_match_ns) @[dec_tlu_ctl.scala 2683:72] node _T_34 = cat(_T_30, _T_33) @[Cat.scala 29:58] - node _T_35 = add(mitcnt1, _T_34) @[dec_tlu_ctl.scala 2701:35] - node mitcnt1_inc = tail(_T_35, 1) @[dec_tlu_ctl.scala 2701:35] - node _T_36 = bits(mit1_match_ns, 0, 0) @[dec_tlu_ctl.scala 2702:45] - node _T_37 = bits(wr_mitcnt1_r, 0, 0) @[dec_tlu_ctl.scala 2702:75] - node _T_38 = mux(_T_37, io.dec_csr_wrdata_r, mitcnt1_inc) @[dec_tlu_ctl.scala 2702:61] - node mitcnt1_ns = mux(_T_36, UInt<1>("h00"), _T_38) @[dec_tlu_ctl.scala 2702:30] - node _T_39 = or(wr_mitcnt1_r, mitcnt1_inc_ok) @[dec_tlu_ctl.scala 2703:60] - node _T_40 = or(_T_39, mit1_match_ns) @[dec_tlu_ctl.scala 2703:77] - node _T_41 = bits(_T_40, 0, 0) @[dec_tlu_ctl.scala 2703:94] + node _T_35 = add(mitcnt1, _T_34) @[dec_tlu_ctl.scala 2683:35] + node mitcnt1_inc = tail(_T_35, 1) @[dec_tlu_ctl.scala 2683:35] + node _T_36 = bits(mit1_match_ns, 0, 0) @[dec_tlu_ctl.scala 2684:45] + node _T_37 = bits(wr_mitcnt1_r, 0, 0) @[dec_tlu_ctl.scala 2684:75] + node _T_38 = mux(_T_37, io.dec_csr_wrdata_r, mitcnt1_inc) @[dec_tlu_ctl.scala 2684:61] + node mitcnt1_ns = mux(_T_36, UInt<1>("h00"), _T_38) @[dec_tlu_ctl.scala 2684:30] + node _T_39 = or(wr_mitcnt1_r, mitcnt1_inc_ok) @[dec_tlu_ctl.scala 2685:60] + node _T_40 = or(_T_39, mit1_match_ns) @[dec_tlu_ctl.scala 2685:77] + node _T_41 = bits(_T_40, 0, 0) @[dec_tlu_ctl.scala 2685:94] inst rvclkhdr_1 of rvclkhdr_713 @[lib.scala 368:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset @@ -71753,11 +71759,11 @@ circuit quasar_wrapper : rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_42 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_42 <= mitcnt1_ns @[lib.scala 374:16] - mitcnt1 <= _T_42 @[dec_tlu_ctl.scala 2703:25] - node _T_43 = eq(io.dec_csr_wraddr_r, UInt<12>("h07d3")) @[dec_tlu_ctl.scala 2710:70] - node wr_mitb0_r = and(io.dec_csr_wen_r_mod, _T_43) @[dec_tlu_ctl.scala 2710:47] - node _T_44 = not(io.dec_csr_wrdata_r) @[dec_tlu_ctl.scala 2711:38] - node _T_45 = bits(wr_mitb0_r, 0, 0) @[dec_tlu_ctl.scala 2711:71] + mitcnt1 <= _T_42 @[dec_tlu_ctl.scala 2685:25] + node _T_43 = eq(io.dec_csr_wraddr_r, UInt<12>("h07d3")) @[dec_tlu_ctl.scala 2692:70] + node wr_mitb0_r = and(io.dec_csr_wen_r_mod, _T_43) @[dec_tlu_ctl.scala 2692:47] + node _T_44 = not(io.dec_csr_wrdata_r) @[dec_tlu_ctl.scala 2693:38] + node _T_45 = bits(wr_mitb0_r, 0, 0) @[dec_tlu_ctl.scala 2693:71] inst rvclkhdr_2 of rvclkhdr_714 @[lib.scala 368:23] rvclkhdr_2.clock <= clock rvclkhdr_2.reset <= reset @@ -71766,12 +71772,12 @@ circuit quasar_wrapper : rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg mitb0_b : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] mitb0_b <= _T_44 @[lib.scala 374:16] - node _T_46 = not(mitb0_b) @[dec_tlu_ctl.scala 2712:22] - mitb0 <= _T_46 @[dec_tlu_ctl.scala 2712:19] - node _T_47 = eq(io.dec_csr_wraddr_r, UInt<12>("h07d6")) @[dec_tlu_ctl.scala 2719:69] - node wr_mitb1_r = and(io.dec_csr_wen_r_mod, _T_47) @[dec_tlu_ctl.scala 2719:47] - node _T_48 = not(io.dec_csr_wrdata_r) @[dec_tlu_ctl.scala 2720:29] - node _T_49 = bits(wr_mitb1_r, 0, 0) @[dec_tlu_ctl.scala 2720:62] + node _T_46 = not(mitb0_b) @[dec_tlu_ctl.scala 2694:22] + mitb0 <= _T_46 @[dec_tlu_ctl.scala 2694:19] + node _T_47 = eq(io.dec_csr_wraddr_r, UInt<12>("h07d6")) @[dec_tlu_ctl.scala 2701:69] + node wr_mitb1_r = and(io.dec_csr_wen_r_mod, _T_47) @[dec_tlu_ctl.scala 2701:47] + node _T_48 = not(io.dec_csr_wrdata_r) @[dec_tlu_ctl.scala 2702:29] + node _T_49 = bits(wr_mitb1_r, 0, 0) @[dec_tlu_ctl.scala 2702:62] inst rvclkhdr_3 of rvclkhdr_715 @[lib.scala 368:23] rvclkhdr_3.clock <= clock rvclkhdr_3.reset <= reset @@ -71780,55 +71786,55 @@ circuit quasar_wrapper : rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg mitb1_b : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] mitb1_b <= _T_48 @[lib.scala 374:16] - node _T_50 = not(mitb1_b) @[dec_tlu_ctl.scala 2721:18] - mitb1 <= _T_50 @[dec_tlu_ctl.scala 2721:15] - node _T_51 = eq(io.dec_csr_wraddr_r, UInt<12>("h07d4")) @[dec_tlu_ctl.scala 2732:72] - node wr_mitctl0_r = and(io.dec_csr_wen_r_mod, _T_51) @[dec_tlu_ctl.scala 2732:49] - node _T_52 = bits(wr_mitctl0_r, 0, 0) @[dec_tlu_ctl.scala 2733:45] - node _T_53 = bits(io.dec_csr_wrdata_r, 2, 0) @[dec_tlu_ctl.scala 2733:72] - node _T_54 = bits(mitctl0, 2, 0) @[dec_tlu_ctl.scala 2733:86] - node mitctl0_ns = mux(_T_52, _T_53, _T_54) @[dec_tlu_ctl.scala 2733:31] - node _T_55 = bits(mitctl0_ns, 0, 0) @[dec_tlu_ctl.scala 2735:41] - node mitctl0_0_b_ns = not(_T_55) @[dec_tlu_ctl.scala 2735:30] - reg mitctl0_0_b : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2736:60] - mitctl0_0_b <= mitctl0_0_b_ns @[dec_tlu_ctl.scala 2736:60] - node _T_56 = bits(mitctl0_ns, 2, 1) @[dec_tlu_ctl.scala 2737:78] - reg _T_57 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2737:67] - _T_57 <= _T_56 @[dec_tlu_ctl.scala 2737:67] - node _T_58 = not(mitctl0_0_b) @[dec_tlu_ctl.scala 2737:90] + node _T_50 = not(mitb1_b) @[dec_tlu_ctl.scala 2703:18] + mitb1 <= _T_50 @[dec_tlu_ctl.scala 2703:15] + node _T_51 = eq(io.dec_csr_wraddr_r, UInt<12>("h07d4")) @[dec_tlu_ctl.scala 2714:72] + node wr_mitctl0_r = and(io.dec_csr_wen_r_mod, _T_51) @[dec_tlu_ctl.scala 2714:49] + node _T_52 = bits(wr_mitctl0_r, 0, 0) @[dec_tlu_ctl.scala 2715:45] + node _T_53 = bits(io.dec_csr_wrdata_r, 2, 0) @[dec_tlu_ctl.scala 2715:72] + node _T_54 = bits(mitctl0, 2, 0) @[dec_tlu_ctl.scala 2715:86] + node mitctl0_ns = mux(_T_52, _T_53, _T_54) @[dec_tlu_ctl.scala 2715:31] + node _T_55 = bits(mitctl0_ns, 0, 0) @[dec_tlu_ctl.scala 2717:41] + node mitctl0_0_b_ns = not(_T_55) @[dec_tlu_ctl.scala 2717:30] + reg mitctl0_0_b : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2718:60] + mitctl0_0_b <= mitctl0_0_b_ns @[dec_tlu_ctl.scala 2718:60] + node _T_56 = bits(mitctl0_ns, 2, 1) @[dec_tlu_ctl.scala 2719:78] + reg _T_57 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2719:67] + _T_57 <= _T_56 @[dec_tlu_ctl.scala 2719:67] + node _T_58 = not(mitctl0_0_b) @[dec_tlu_ctl.scala 2719:90] node _T_59 = cat(_T_57, _T_58) @[Cat.scala 29:58] - mitctl0 <= _T_59 @[dec_tlu_ctl.scala 2737:31] - node _T_60 = eq(io.dec_csr_wraddr_r, UInt<12>("h07d7")) @[dec_tlu_ctl.scala 2747:71] - node wr_mitctl1_r = and(io.dec_csr_wen_r_mod, _T_60) @[dec_tlu_ctl.scala 2747:49] - node _T_61 = bits(wr_mitctl1_r, 0, 0) @[dec_tlu_ctl.scala 2748:45] - node _T_62 = bits(io.dec_csr_wrdata_r, 3, 0) @[dec_tlu_ctl.scala 2748:71] - node _T_63 = bits(mitctl1, 3, 0) @[dec_tlu_ctl.scala 2748:85] - node mitctl1_ns = mux(_T_61, _T_62, _T_63) @[dec_tlu_ctl.scala 2748:31] - node _T_64 = bits(mitctl1_ns, 0, 0) @[dec_tlu_ctl.scala 2749:40] - node mitctl1_0_b_ns = not(_T_64) @[dec_tlu_ctl.scala 2749:29] - reg mitctl1_0_b : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2750:55] - mitctl1_0_b <= mitctl1_0_b_ns @[dec_tlu_ctl.scala 2750:55] - node _T_65 = bits(mitctl1_ns, 3, 1) @[dec_tlu_ctl.scala 2751:63] - reg _T_66 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2751:52] - _T_66 <= _T_65 @[dec_tlu_ctl.scala 2751:52] - node _T_67 = not(mitctl1_0_b) @[dec_tlu_ctl.scala 2751:75] + mitctl0 <= _T_59 @[dec_tlu_ctl.scala 2719:31] + node _T_60 = eq(io.dec_csr_wraddr_r, UInt<12>("h07d7")) @[dec_tlu_ctl.scala 2729:71] + node wr_mitctl1_r = and(io.dec_csr_wen_r_mod, _T_60) @[dec_tlu_ctl.scala 2729:49] + node _T_61 = bits(wr_mitctl1_r, 0, 0) @[dec_tlu_ctl.scala 2730:45] + node _T_62 = bits(io.dec_csr_wrdata_r, 3, 0) @[dec_tlu_ctl.scala 2730:71] + node _T_63 = bits(mitctl1, 3, 0) @[dec_tlu_ctl.scala 2730:85] + node mitctl1_ns = mux(_T_61, _T_62, _T_63) @[dec_tlu_ctl.scala 2730:31] + node _T_64 = bits(mitctl1_ns, 0, 0) @[dec_tlu_ctl.scala 2731:40] + node mitctl1_0_b_ns = not(_T_64) @[dec_tlu_ctl.scala 2731:29] + reg mitctl1_0_b : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2732:55] + mitctl1_0_b <= mitctl1_0_b_ns @[dec_tlu_ctl.scala 2732:55] + node _T_65 = bits(mitctl1_ns, 3, 1) @[dec_tlu_ctl.scala 2733:63] + reg _T_66 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2733:52] + _T_66 <= _T_65 @[dec_tlu_ctl.scala 2733:52] + node _T_67 = not(mitctl1_0_b) @[dec_tlu_ctl.scala 2733:75] node _T_68 = cat(_T_66, _T_67) @[Cat.scala 29:58] - mitctl1 <= _T_68 @[dec_tlu_ctl.scala 2751:16] - node _T_69 = or(io.csr_mitcnt1, io.csr_mitcnt0) @[dec_tlu_ctl.scala 2753:51] - node _T_70 = or(_T_69, io.csr_mitb1) @[dec_tlu_ctl.scala 2753:68] - node _T_71 = or(_T_70, io.csr_mitb0) @[dec_tlu_ctl.scala 2753:83] - node _T_72 = or(_T_71, io.csr_mitctl0) @[dec_tlu_ctl.scala 2753:98] - node _T_73 = or(_T_72, io.csr_mitctl1) @[dec_tlu_ctl.scala 2753:115] - io.dec_timer_read_d <= _T_73 @[dec_tlu_ctl.scala 2753:33] - node _T_74 = bits(io.csr_mitcnt0, 0, 0) @[dec_tlu_ctl.scala 2755:25] - node _T_75 = bits(mitcnt0, 31, 0) @[dec_tlu_ctl.scala 2755:44] - node _T_76 = bits(io.csr_mitcnt1, 0, 0) @[dec_tlu_ctl.scala 2756:32] - node _T_77 = bits(io.csr_mitb0, 0, 0) @[dec_tlu_ctl.scala 2757:30] - node _T_78 = bits(io.csr_mitb1, 0, 0) @[dec_tlu_ctl.scala 2758:30] - node _T_79 = bits(io.csr_mitctl0, 0, 0) @[dec_tlu_ctl.scala 2759:32] + mitctl1 <= _T_68 @[dec_tlu_ctl.scala 2733:16] + node _T_69 = or(io.csr_mitcnt1, io.csr_mitcnt0) @[dec_tlu_ctl.scala 2735:51] + node _T_70 = or(_T_69, io.csr_mitb1) @[dec_tlu_ctl.scala 2735:68] + node _T_71 = or(_T_70, io.csr_mitb0) @[dec_tlu_ctl.scala 2735:83] + node _T_72 = or(_T_71, io.csr_mitctl0) @[dec_tlu_ctl.scala 2735:98] + node _T_73 = or(_T_72, io.csr_mitctl1) @[dec_tlu_ctl.scala 2735:115] + io.dec_timer_read_d <= _T_73 @[dec_tlu_ctl.scala 2735:33] + node _T_74 = bits(io.csr_mitcnt0, 0, 0) @[dec_tlu_ctl.scala 2737:25] + node _T_75 = bits(mitcnt0, 31, 0) @[dec_tlu_ctl.scala 2737:44] + node _T_76 = bits(io.csr_mitcnt1, 0, 0) @[dec_tlu_ctl.scala 2738:32] + node _T_77 = bits(io.csr_mitb0, 0, 0) @[dec_tlu_ctl.scala 2739:30] + node _T_78 = bits(io.csr_mitb1, 0, 0) @[dec_tlu_ctl.scala 2740:30] + node _T_79 = bits(io.csr_mitctl0, 0, 0) @[dec_tlu_ctl.scala 2741:32] node _T_80 = mux(UInt<1>("h00"), UInt<29>("h01fffffff"), UInt<29>("h00")) @[Bitwise.scala 72:12] node _T_81 = cat(_T_80, mitctl0) @[Cat.scala 29:58] - node _T_82 = bits(io.csr_mitctl1, 0, 0) @[dec_tlu_ctl.scala 2760:32] + node _T_82 = bits(io.csr_mitctl1, 0, 0) @[dec_tlu_ctl.scala 2742:32] node _T_83 = mux(UInt<1>("h00"), UInt<28>("h0fffffff"), UInt<28>("h00")) @[Bitwise.scala 72:12] node _T_84 = cat(_T_83, mitctl1) @[Cat.scala 29:58] node _T_85 = mux(_T_74, _T_75, UInt<1>("h00")) @[Mux.scala 27:72] @@ -71844,7 +71850,7 @@ circuit quasar_wrapper : node _T_95 = or(_T_94, _T_90) @[Mux.scala 27:72] wire _T_96 : UInt<32> @[Mux.scala 27:72] _T_96 <= _T_95 @[Mux.scala 27:72] - io.dec_timer_rddata_d <= _T_96 @[dec_tlu_ctl.scala 2754:33] + io.dec_timer_rddata_d <= _T_96 @[dec_tlu_ctl.scala 2736:33] extmodule gated_latch_716 : output Q : Clock @@ -72827,8 +72833,8 @@ circuit quasar_wrapper : perfcnt_halted <= UInt<1>("h00") wire mhpmc3_incr : UInt<64> mhpmc3_incr <= UInt<1>("h00") - wire mhpme_vec : UInt<10>[4] @[dec_tlu_ctl.scala 1394:41] - wire mtdata2_t : UInt<32>[4] @[dec_tlu_ctl.scala 1395:65] + wire mhpme_vec : UInt<10>[4] @[dec_tlu_ctl.scala 1376:41] + wire mtdata2_t : UInt<32>[4] @[dec_tlu_ctl.scala 1377:65] wire wr_meicpct_r : UInt<1> wr_meicpct_r <= UInt<1>("h00") wire force_halt_ctr_f : UInt<32> @@ -72903,48 +72909,48 @@ circuit quasar_wrapper : mpmc <= UInt<1>("h00") wire dicad1 : UInt<32> dicad1 <= UInt<1>("h00") - node _T = eq(io.i0_trigger_hit_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1450:45] - node _T_1 = and(io.dec_csr_wen_r, _T) @[dec_tlu_ctl.scala 1450:43] - node _T_2 = eq(io.rfpc_i0_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1450:68] - node _T_3 = and(_T_1, _T_2) @[dec_tlu_ctl.scala 1450:66] - io.dec_csr_wen_r_mod <= _T_3 @[dec_tlu_ctl.scala 1450:23] - node _T_4 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1451:64] - node _T_5 = eq(_T_4, UInt<12>("h0300")) @[dec_tlu_ctl.scala 1451:71] - node wr_mstatus_r = and(io.dec_csr_wen_r_mod, _T_5) @[dec_tlu_ctl.scala 1451:42] - node _T_6 = eq(mpmc_b_ns, UInt<1>("h00")) @[dec_tlu_ctl.scala 1454:28] - node set_mie_pmu_fw_halt = and(_T_6, io.fw_halt_req) @[dec_tlu_ctl.scala 1454:39] - node _T_7 = eq(wr_mstatus_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1457:5] - node _T_8 = and(_T_7, io.exc_or_int_valid_r) @[dec_tlu_ctl.scala 1457:19] - node _T_9 = bits(_T_8, 0, 0) @[dec_tlu_ctl.scala 1457:44] - node _T_10 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 1457:68] - node _T_11 = bits(_T_10, 0, 0) @[dec_tlu_ctl.scala 1457:68] + node _T = eq(io.i0_trigger_hit_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1431:45] + node _T_1 = and(io.dec_csr_wen_r, _T) @[dec_tlu_ctl.scala 1431:43] + node _T_2 = eq(io.rfpc_i0_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1431:68] + node _T_3 = and(_T_1, _T_2) @[dec_tlu_ctl.scala 1431:66] + io.dec_csr_wen_r_mod <= _T_3 @[dec_tlu_ctl.scala 1431:23] + node _T_4 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1432:64] + node _T_5 = eq(_T_4, UInt<12>("h0300")) @[dec_tlu_ctl.scala 1432:71] + node wr_mstatus_r = and(io.dec_csr_wen_r_mod, _T_5) @[dec_tlu_ctl.scala 1432:42] + node _T_6 = eq(mpmc_b_ns, UInt<1>("h00")) @[dec_tlu_ctl.scala 1435:28] + node set_mie_pmu_fw_halt = and(_T_6, io.fw_halt_req) @[dec_tlu_ctl.scala 1435:39] + node _T_7 = eq(wr_mstatus_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1438:5] + node _T_8 = and(_T_7, io.exc_or_int_valid_r) @[dec_tlu_ctl.scala 1438:19] + node _T_9 = bits(_T_8, 0, 0) @[dec_tlu_ctl.scala 1438:44] + node _T_10 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 1438:68] + node _T_11 = bits(_T_10, 0, 0) @[dec_tlu_ctl.scala 1438:68] node _T_12 = cat(_T_11, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_13 = and(wr_mstatus_r, io.exc_or_int_valid_r) @[dec_tlu_ctl.scala 1458:18] - node _T_14 = bits(_T_13, 0, 0) @[dec_tlu_ctl.scala 1458:43] - node _T_15 = bits(io.dec_csr_wrdata_r, 3, 3) @[dec_tlu_ctl.scala 1458:76] + node _T_13 = and(wr_mstatus_r, io.exc_or_int_valid_r) @[dec_tlu_ctl.scala 1439:18] + node _T_14 = bits(_T_13, 0, 0) @[dec_tlu_ctl.scala 1439:43] + node _T_15 = bits(io.dec_csr_wrdata_r, 3, 3) @[dec_tlu_ctl.scala 1439:76] node _T_16 = cat(_T_15, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_17 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1459:17] - node _T_18 = and(io.mret_r, _T_17) @[dec_tlu_ctl.scala 1459:15] - node _T_19 = bits(_T_18, 0, 0) @[dec_tlu_ctl.scala 1459:41] - node _T_20 = bits(io.mstatus, 1, 1) @[dec_tlu_ctl.scala 1459:70] + node _T_17 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1440:17] + node _T_18 = and(io.mret_r, _T_17) @[dec_tlu_ctl.scala 1440:15] + node _T_19 = bits(_T_18, 0, 0) @[dec_tlu_ctl.scala 1440:41] + node _T_20 = bits(io.mstatus, 1, 1) @[dec_tlu_ctl.scala 1440:70] node _T_21 = cat(UInt<1>("h01"), _T_20) @[Cat.scala 29:58] - node _T_22 = bits(set_mie_pmu_fw_halt, 0, 0) @[dec_tlu_ctl.scala 1460:26] - node _T_23 = bits(io.mstatus, 1, 1) @[dec_tlu_ctl.scala 1460:50] + node _T_22 = bits(set_mie_pmu_fw_halt, 0, 0) @[dec_tlu_ctl.scala 1441:26] + node _T_23 = bits(io.mstatus, 1, 1) @[dec_tlu_ctl.scala 1441:50] node _T_24 = cat(_T_23, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_25 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1461:20] - node _T_26 = and(wr_mstatus_r, _T_25) @[dec_tlu_ctl.scala 1461:18] - node _T_27 = bits(_T_26, 0, 0) @[dec_tlu_ctl.scala 1461:44] - node _T_28 = bits(io.dec_csr_wrdata_r, 7, 7) @[dec_tlu_ctl.scala 1461:77] - node _T_29 = bits(io.dec_csr_wrdata_r, 3, 3) @[dec_tlu_ctl.scala 1461:101] + node _T_25 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1442:20] + node _T_26 = and(wr_mstatus_r, _T_25) @[dec_tlu_ctl.scala 1442:18] + node _T_27 = bits(_T_26, 0, 0) @[dec_tlu_ctl.scala 1442:44] + node _T_28 = bits(io.dec_csr_wrdata_r, 7, 7) @[dec_tlu_ctl.scala 1442:77] + node _T_29 = bits(io.dec_csr_wrdata_r, 3, 3) @[dec_tlu_ctl.scala 1442:101] node _T_30 = cat(_T_28, _T_29) @[Cat.scala 29:58] - node _T_31 = eq(wr_mstatus_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1462:5] - node _T_32 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1462:21] - node _T_33 = and(_T_31, _T_32) @[dec_tlu_ctl.scala 1462:19] - node _T_34 = eq(io.mret_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1462:46] - node _T_35 = and(_T_33, _T_34) @[dec_tlu_ctl.scala 1462:44] - node _T_36 = eq(set_mie_pmu_fw_halt, UInt<1>("h00")) @[dec_tlu_ctl.scala 1462:59] - node _T_37 = and(_T_35, _T_36) @[dec_tlu_ctl.scala 1462:57] - node _T_38 = bits(_T_37, 0, 0) @[dec_tlu_ctl.scala 1462:81] + node _T_31 = eq(wr_mstatus_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1443:5] + node _T_32 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1443:21] + node _T_33 = and(_T_31, _T_32) @[dec_tlu_ctl.scala 1443:19] + node _T_34 = eq(io.mret_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1443:46] + node _T_35 = and(_T_33, _T_34) @[dec_tlu_ctl.scala 1443:44] + node _T_36 = eq(set_mie_pmu_fw_halt, UInt<1>("h00")) @[dec_tlu_ctl.scala 1443:59] + node _T_37 = and(_T_35, _T_36) @[dec_tlu_ctl.scala 1443:57] + node _T_38 = bits(_T_37, 0, 0) @[dec_tlu_ctl.scala 1443:81] node _T_39 = mux(_T_9, _T_12, UInt<1>("h00")) @[Mux.scala 27:72] node _T_40 = mux(_T_14, _T_16, UInt<1>("h00")) @[Mux.scala 27:72] node _T_41 = mux(_T_19, _T_21, UInt<1>("h00")) @[Mux.scala 27:72] @@ -72958,23 +72964,23 @@ circuit quasar_wrapper : node _T_49 = or(_T_48, _T_44) @[Mux.scala 27:72] wire mstatus_ns : UInt<2> @[Mux.scala 27:72] mstatus_ns <= _T_49 @[Mux.scala 27:72] - node _T_50 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 1465:33] - node _T_51 = bits(_T_50, 0, 0) @[dec_tlu_ctl.scala 1465:33] - node _T_52 = not(io.dcsr_single_step_running_f) @[dec_tlu_ctl.scala 1465:50] - node _T_53 = bits(io.dcsr, 11, 11) @[dec_tlu_ctl.scala 1465:90] - node _T_54 = or(_T_52, _T_53) @[dec_tlu_ctl.scala 1465:81] - node _T_55 = and(_T_51, _T_54) @[dec_tlu_ctl.scala 1465:47] - io.mstatus_mie_ns <= _T_55 @[dec_tlu_ctl.scala 1465:20] - reg _T_56 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1467:11] - _T_56 <= mstatus_ns @[dec_tlu_ctl.scala 1467:11] - io.mstatus <= _T_56 @[dec_tlu_ctl.scala 1466:13] - node _T_57 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1476:62] - node _T_58 = eq(_T_57, UInt<12>("h0305")) @[dec_tlu_ctl.scala 1476:69] - node wr_mtvec_r = and(io.dec_csr_wen_r_mod, _T_58) @[dec_tlu_ctl.scala 1476:40] - node _T_59 = bits(io.dec_csr_wrdata_r, 31, 2) @[dec_tlu_ctl.scala 1477:40] - node _T_60 = bits(io.dec_csr_wrdata_r, 0, 0) @[dec_tlu_ctl.scala 1477:68] + node _T_50 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 1446:33] + node _T_51 = bits(_T_50, 0, 0) @[dec_tlu_ctl.scala 1446:33] + node _T_52 = not(io.dcsr_single_step_running_f) @[dec_tlu_ctl.scala 1446:50] + node _T_53 = bits(io.dcsr, 11, 11) @[dec_tlu_ctl.scala 1446:90] + node _T_54 = or(_T_52, _T_53) @[dec_tlu_ctl.scala 1446:81] + node _T_55 = and(_T_51, _T_54) @[dec_tlu_ctl.scala 1446:47] + io.mstatus_mie_ns <= _T_55 @[dec_tlu_ctl.scala 1446:20] + reg _T_56 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1448:11] + _T_56 <= mstatus_ns @[dec_tlu_ctl.scala 1448:11] + io.mstatus <= _T_56 @[dec_tlu_ctl.scala 1447:13] + node _T_57 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1457:62] + node _T_58 = eq(_T_57, UInt<12>("h0305")) @[dec_tlu_ctl.scala 1457:69] + node wr_mtvec_r = and(io.dec_csr_wen_r_mod, _T_58) @[dec_tlu_ctl.scala 1457:40] + node _T_59 = bits(io.dec_csr_wrdata_r, 31, 2) @[dec_tlu_ctl.scala 1458:40] + node _T_60 = bits(io.dec_csr_wrdata_r, 0, 0) @[dec_tlu_ctl.scala 1458:68] node mtvec_ns = cat(_T_59, _T_60) @[Cat.scala 29:58] - node _T_61 = bits(wr_mtvec_r, 0, 0) @[dec_tlu_ctl.scala 1478:42] + node _T_61 = bits(wr_mtvec_r, 0, 0) @[dec_tlu_ctl.scala 1459:42] inst rvclkhdr of rvclkhdr_720 @[lib.scala 368:23] rvclkhdr.clock <= clock rvclkhdr.reset <= reset @@ -72983,57 +72989,57 @@ circuit quasar_wrapper : rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_62 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_62 <= mtvec_ns @[lib.scala 374:16] - io.mtvec <= _T_62 @[dec_tlu_ctl.scala 1478:11] - node _T_63 = or(mdccme_ce_req, miccme_ce_req) @[dec_tlu_ctl.scala 1490:30] - node ce_int = or(_T_63, mice_ce_req) @[dec_tlu_ctl.scala 1490:46] + io.mtvec <= _T_62 @[dec_tlu_ctl.scala 1459:11] + node _T_63 = or(mdccme_ce_req, miccme_ce_req) @[dec_tlu_ctl.scala 1471:30] + node ce_int = or(_T_63, mice_ce_req) @[dec_tlu_ctl.scala 1471:46] node _T_64 = cat(io.mexintpend, io.timer_int_sync) @[Cat.scala 29:58] node _T_65 = cat(_T_64, io.soft_int_sync) @[Cat.scala 29:58] node _T_66 = cat(ce_int, io.dec_timer_t0_pulse) @[Cat.scala 29:58] node _T_67 = cat(_T_66, io.dec_timer_t1_pulse) @[Cat.scala 29:58] node mip_ns = cat(_T_67, _T_65) @[Cat.scala 29:58] - reg _T_68 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1494:11] - _T_68 <= mip_ns @[dec_tlu_ctl.scala 1494:11] - io.mip <= _T_68 @[dec_tlu_ctl.scala 1493:9] - node _T_69 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1506:60] - node _T_70 = eq(_T_69, UInt<12>("h0304")) @[dec_tlu_ctl.scala 1506:67] - node wr_mie_r = and(io.dec_csr_wen_r_mod, _T_70) @[dec_tlu_ctl.scala 1506:38] - node _T_71 = bits(wr_mie_r, 0, 0) @[dec_tlu_ctl.scala 1507:28] - node _T_72 = bits(io.dec_csr_wrdata_r, 30, 28) @[dec_tlu_ctl.scala 1507:59] - node _T_73 = bits(io.dec_csr_wrdata_r, 11, 11) @[dec_tlu_ctl.scala 1507:88] - node _T_74 = bits(io.dec_csr_wrdata_r, 7, 7) @[dec_tlu_ctl.scala 1507:113] - node _T_75 = bits(io.dec_csr_wrdata_r, 3, 3) @[dec_tlu_ctl.scala 1507:137] + reg _T_68 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1475:11] + _T_68 <= mip_ns @[dec_tlu_ctl.scala 1475:11] + io.mip <= _T_68 @[dec_tlu_ctl.scala 1474:9] + node _T_69 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1487:60] + node _T_70 = eq(_T_69, UInt<12>("h0304")) @[dec_tlu_ctl.scala 1487:67] + node wr_mie_r = and(io.dec_csr_wen_r_mod, _T_70) @[dec_tlu_ctl.scala 1487:38] + node _T_71 = bits(wr_mie_r, 0, 0) @[dec_tlu_ctl.scala 1488:28] + node _T_72 = bits(io.dec_csr_wrdata_r, 30, 28) @[dec_tlu_ctl.scala 1488:59] + node _T_73 = bits(io.dec_csr_wrdata_r, 11, 11) @[dec_tlu_ctl.scala 1488:88] + node _T_74 = bits(io.dec_csr_wrdata_r, 7, 7) @[dec_tlu_ctl.scala 1488:113] + node _T_75 = bits(io.dec_csr_wrdata_r, 3, 3) @[dec_tlu_ctl.scala 1488:137] node _T_76 = cat(_T_74, _T_75) @[Cat.scala 29:58] node _T_77 = cat(_T_72, _T_73) @[Cat.scala 29:58] node _T_78 = cat(_T_77, _T_76) @[Cat.scala 29:58] - node _T_79 = mux(_T_71, _T_78, mie) @[dec_tlu_ctl.scala 1507:18] - io.mie_ns <= _T_79 @[dec_tlu_ctl.scala 1507:12] - reg _T_80 : UInt, io.csr_wr_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1509:11] - _T_80 <= io.mie_ns @[dec_tlu_ctl.scala 1509:11] - mie <= _T_80 @[dec_tlu_ctl.scala 1508:6] - node _T_81 = bits(io.dcsr, 10, 10) @[dec_tlu_ctl.scala 1516:63] - node kill_ebreak_count_r = and(io.ebreak_to_debug_mode_r, _T_81) @[dec_tlu_ctl.scala 1516:54] - node _T_82 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1518:64] - node _T_83 = eq(_T_82, UInt<12>("h0b00")) @[dec_tlu_ctl.scala 1518:71] - node wr_mcyclel_r = and(io.dec_csr_wen_r_mod, _T_83) @[dec_tlu_ctl.scala 1518:42] - node _T_84 = bits(io.dcsr, 10, 10) @[dec_tlu_ctl.scala 1520:80] - node _T_85 = and(io.dec_tlu_dbg_halted, _T_84) @[dec_tlu_ctl.scala 1520:71] - node _T_86 = or(kill_ebreak_count_r, _T_85) @[dec_tlu_ctl.scala 1520:46] - node _T_87 = or(_T_86, io.dec_tlu_pmu_fw_halted) @[dec_tlu_ctl.scala 1520:94] - node _T_88 = bits(mcountinhibit, 0, 0) @[dec_tlu_ctl.scala 1520:136] - node _T_89 = or(_T_87, _T_88) @[dec_tlu_ctl.scala 1520:121] - node mcyclel_cout_in = not(_T_89) @[dec_tlu_ctl.scala 1520:24] + node _T_79 = mux(_T_71, _T_78, mie) @[dec_tlu_ctl.scala 1488:18] + io.mie_ns <= _T_79 @[dec_tlu_ctl.scala 1488:12] + reg _T_80 : UInt, io.csr_wr_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1490:11] + _T_80 <= io.mie_ns @[dec_tlu_ctl.scala 1490:11] + mie <= _T_80 @[dec_tlu_ctl.scala 1489:6] + node _T_81 = bits(io.dcsr, 10, 10) @[dec_tlu_ctl.scala 1497:63] + node kill_ebreak_count_r = and(io.ebreak_to_debug_mode_r, _T_81) @[dec_tlu_ctl.scala 1497:54] + node _T_82 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1499:64] + node _T_83 = eq(_T_82, UInt<12>("h0b00")) @[dec_tlu_ctl.scala 1499:71] + node wr_mcyclel_r = and(io.dec_csr_wen_r_mod, _T_83) @[dec_tlu_ctl.scala 1499:42] + node _T_84 = bits(io.dcsr, 10, 10) @[dec_tlu_ctl.scala 1501:80] + node _T_85 = and(io.dec_tlu_dbg_halted, _T_84) @[dec_tlu_ctl.scala 1501:71] + node _T_86 = or(kill_ebreak_count_r, _T_85) @[dec_tlu_ctl.scala 1501:46] + node _T_87 = or(_T_86, io.dec_tlu_pmu_fw_halted) @[dec_tlu_ctl.scala 1501:94] + node _T_88 = bits(mcountinhibit, 0, 0) @[dec_tlu_ctl.scala 1501:136] + node _T_89 = or(_T_87, _T_88) @[dec_tlu_ctl.scala 1501:121] + node mcyclel_cout_in = not(_T_89) @[dec_tlu_ctl.scala 1501:24] wire mcyclel_inc : UInt<33> mcyclel_inc <= UInt<1>("h00") node _T_90 = cat(UInt<31>("h00"), mcyclel_cout_in) @[Cat.scala 29:58] - node _T_91 = add(mcyclel, _T_90) @[dec_tlu_ctl.scala 1524:25] - mcyclel_inc <= _T_91 @[dec_tlu_ctl.scala 1524:14] - node _T_92 = bits(wr_mcyclel_r, 0, 0) @[dec_tlu_ctl.scala 1525:36] - node _T_93 = bits(mcyclel_inc, 31, 0) @[dec_tlu_ctl.scala 1525:76] - node mcyclel_ns = mux(_T_92, io.dec_csr_wrdata_r, _T_93) @[dec_tlu_ctl.scala 1525:22] - node _T_94 = bits(mcyclel_inc, 32, 32) @[dec_tlu_ctl.scala 1526:32] - node mcyclel_cout = bits(_T_94, 0, 0) @[dec_tlu_ctl.scala 1526:37] - node _T_95 = or(wr_mcyclel_r, mcyclel_cout_in) @[dec_tlu_ctl.scala 1527:46] - node _T_96 = bits(_T_95, 0, 0) @[dec_tlu_ctl.scala 1527:72] + node _T_91 = add(mcyclel, _T_90) @[dec_tlu_ctl.scala 1505:25] + mcyclel_inc <= _T_91 @[dec_tlu_ctl.scala 1505:14] + node _T_92 = bits(wr_mcyclel_r, 0, 0) @[dec_tlu_ctl.scala 1506:36] + node _T_93 = bits(mcyclel_inc, 31, 0) @[dec_tlu_ctl.scala 1506:76] + node mcyclel_ns = mux(_T_92, io.dec_csr_wrdata_r, _T_93) @[dec_tlu_ctl.scala 1506:22] + node _T_94 = bits(mcyclel_inc, 32, 32) @[dec_tlu_ctl.scala 1507:32] + node mcyclel_cout = bits(_T_94, 0, 0) @[dec_tlu_ctl.scala 1507:37] + node _T_95 = or(wr_mcyclel_r, mcyclel_cout_in) @[dec_tlu_ctl.scala 1508:46] + node _T_96 = bits(_T_95, 0, 0) @[dec_tlu_ctl.scala 1508:72] inst rvclkhdr_1 of rvclkhdr_721 @[lib.scala 368:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset @@ -73042,22 +73048,22 @@ circuit quasar_wrapper : rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_97 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_97 <= mcyclel_ns @[lib.scala 374:16] - mcyclel <= _T_97 @[dec_tlu_ctl.scala 1527:10] - node _T_98 = eq(wr_mcycleh_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1528:71] - node _T_99 = and(mcyclel_cout, _T_98) @[dec_tlu_ctl.scala 1528:69] - reg mcyclel_cout_f : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1528:54] - mcyclel_cout_f <= _T_99 @[dec_tlu_ctl.scala 1528:54] - node _T_100 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1534:61] - node _T_101 = eq(_T_100, UInt<12>("h0b80")) @[dec_tlu_ctl.scala 1534:68] - node _T_102 = and(io.dec_csr_wen_r_mod, _T_101) @[dec_tlu_ctl.scala 1534:39] - wr_mcycleh_r <= _T_102 @[dec_tlu_ctl.scala 1534:15] + mcyclel <= _T_97 @[dec_tlu_ctl.scala 1508:10] + node _T_98 = eq(wr_mcycleh_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1509:71] + node _T_99 = and(mcyclel_cout, _T_98) @[dec_tlu_ctl.scala 1509:69] + reg mcyclel_cout_f : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1509:54] + mcyclel_cout_f <= _T_99 @[dec_tlu_ctl.scala 1509:54] + node _T_100 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1515:61] + node _T_101 = eq(_T_100, UInt<12>("h0b80")) @[dec_tlu_ctl.scala 1515:68] + node _T_102 = and(io.dec_csr_wen_r_mod, _T_101) @[dec_tlu_ctl.scala 1515:39] + wr_mcycleh_r <= _T_102 @[dec_tlu_ctl.scala 1515:15] node _T_103 = cat(UInt<31>("h00"), mcyclel_cout_f) @[Cat.scala 29:58] - node _T_104 = add(mcycleh, _T_103) @[dec_tlu_ctl.scala 1536:28] - node mcycleh_inc = tail(_T_104, 1) @[dec_tlu_ctl.scala 1536:28] - node _T_105 = bits(wr_mcycleh_r, 0, 0) @[dec_tlu_ctl.scala 1537:36] - node mcycleh_ns = mux(_T_105, io.dec_csr_wrdata_r, mcycleh_inc) @[dec_tlu_ctl.scala 1537:22] - node _T_106 = or(wr_mcycleh_r, mcyclel_cout_f) @[dec_tlu_ctl.scala 1539:46] - node _T_107 = bits(_T_106, 0, 0) @[dec_tlu_ctl.scala 1539:64] + node _T_104 = add(mcycleh, _T_103) @[dec_tlu_ctl.scala 1517:28] + node mcycleh_inc = tail(_T_104, 1) @[dec_tlu_ctl.scala 1517:28] + node _T_105 = bits(wr_mcycleh_r, 0, 0) @[dec_tlu_ctl.scala 1518:36] + node mcycleh_ns = mux(_T_105, io.dec_csr_wrdata_r, mcycleh_inc) @[dec_tlu_ctl.scala 1518:22] + node _T_106 = or(wr_mcycleh_r, mcyclel_cout_f) @[dec_tlu_ctl.scala 1520:46] + node _T_107 = bits(_T_106, 0, 0) @[dec_tlu_ctl.scala 1520:64] inst rvclkhdr_2 of rvclkhdr_722 @[lib.scala 368:23] rvclkhdr_2.clock <= clock rvclkhdr_2.reset <= reset @@ -73066,28 +73072,28 @@ circuit quasar_wrapper : rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_108 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_108 <= mcycleh_ns @[lib.scala 374:16] - mcycleh <= _T_108 @[dec_tlu_ctl.scala 1539:10] - node _T_109 = or(io.ebreak_r, io.ecall_r) @[dec_tlu_ctl.scala 1553:72] - node _T_110 = or(_T_109, io.ebreak_to_debug_mode_r) @[dec_tlu_ctl.scala 1553:85] - node _T_111 = or(_T_110, io.illegal_r) @[dec_tlu_ctl.scala 1553:113] - node _T_112 = bits(mcountinhibit, 2, 2) @[dec_tlu_ctl.scala 1553:143] - node _T_113 = or(_T_111, _T_112) @[dec_tlu_ctl.scala 1553:128] - node _T_114 = bits(_T_113, 0, 0) @[dec_tlu_ctl.scala 1553:148] - node _T_115 = not(_T_114) @[dec_tlu_ctl.scala 1553:58] - node i0_valid_no_ebreak_ecall_r = and(io.tlu_i0_commit_cmt, _T_115) @[dec_tlu_ctl.scala 1553:56] - node _T_116 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1555:66] - node _T_117 = eq(_T_116, UInt<12>("h0b02")) @[dec_tlu_ctl.scala 1555:73] - node wr_minstretl_r = and(io.dec_csr_wen_r_mod, _T_117) @[dec_tlu_ctl.scala 1555:44] + mcycleh <= _T_108 @[dec_tlu_ctl.scala 1520:10] + node _T_109 = or(io.ebreak_r, io.ecall_r) @[dec_tlu_ctl.scala 1534:72] + node _T_110 = or(_T_109, io.ebreak_to_debug_mode_r) @[dec_tlu_ctl.scala 1534:85] + node _T_111 = or(_T_110, io.illegal_r) @[dec_tlu_ctl.scala 1534:113] + node _T_112 = bits(mcountinhibit, 2, 2) @[dec_tlu_ctl.scala 1534:143] + node _T_113 = or(_T_111, _T_112) @[dec_tlu_ctl.scala 1534:128] + node _T_114 = bits(_T_113, 0, 0) @[dec_tlu_ctl.scala 1534:148] + node _T_115 = not(_T_114) @[dec_tlu_ctl.scala 1534:58] + node i0_valid_no_ebreak_ecall_r = and(io.tlu_i0_commit_cmt, _T_115) @[dec_tlu_ctl.scala 1534:56] + node _T_116 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1536:66] + node _T_117 = eq(_T_116, UInt<12>("h0b02")) @[dec_tlu_ctl.scala 1536:73] + node wr_minstretl_r = and(io.dec_csr_wen_r_mod, _T_117) @[dec_tlu_ctl.scala 1536:44] node _T_118 = cat(UInt<31>("h00"), i0_valid_no_ebreak_ecall_r) @[Cat.scala 29:58] - node _T_119 = add(minstretl, _T_118) @[dec_tlu_ctl.scala 1557:29] - minstretl_inc <= _T_119 @[dec_tlu_ctl.scala 1557:16] - node minstretl_cout = bits(minstretl_inc, 32, 32) @[dec_tlu_ctl.scala 1558:36] - node _T_120 = or(i0_valid_no_ebreak_ecall_r, wr_minstretl_r) @[dec_tlu_ctl.scala 1559:52] - node minstret_enable = bits(_T_120, 0, 0) @[dec_tlu_ctl.scala 1559:70] - node _T_121 = bits(wr_minstretl_r, 0, 0) @[dec_tlu_ctl.scala 1561:40] - node _T_122 = bits(minstretl_inc, 31, 0) @[dec_tlu_ctl.scala 1561:83] - node minstretl_ns = mux(_T_121, io.dec_csr_wrdata_r, _T_122) @[dec_tlu_ctl.scala 1561:24] - node _T_123 = bits(minstret_enable, 0, 0) @[dec_tlu_ctl.scala 1562:51] + node _T_119 = add(minstretl, _T_118) @[dec_tlu_ctl.scala 1538:29] + minstretl_inc <= _T_119 @[dec_tlu_ctl.scala 1538:16] + node minstretl_cout = bits(minstretl_inc, 32, 32) @[dec_tlu_ctl.scala 1539:36] + node _T_120 = or(i0_valid_no_ebreak_ecall_r, wr_minstretl_r) @[dec_tlu_ctl.scala 1540:52] + node minstret_enable = bits(_T_120, 0, 0) @[dec_tlu_ctl.scala 1540:70] + node _T_121 = bits(wr_minstretl_r, 0, 0) @[dec_tlu_ctl.scala 1542:40] + node _T_122 = bits(minstretl_inc, 31, 0) @[dec_tlu_ctl.scala 1542:83] + node minstretl_ns = mux(_T_121, io.dec_csr_wrdata_r, _T_122) @[dec_tlu_ctl.scala 1542:24] + node _T_123 = bits(minstret_enable, 0, 0) @[dec_tlu_ctl.scala 1543:51] inst rvclkhdr_3 of rvclkhdr_723 @[lib.scala 368:23] rvclkhdr_3.clock <= clock rvclkhdr_3.reset <= reset @@ -73096,26 +73102,26 @@ circuit quasar_wrapper : rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_124 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_124 <= minstretl_ns @[lib.scala 374:16] - minstretl <= _T_124 @[dec_tlu_ctl.scala 1562:12] - reg minstret_enable_f : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1563:56] - minstret_enable_f <= minstret_enable @[dec_tlu_ctl.scala 1563:56] - node _T_125 = not(wr_minstreth_r) @[dec_tlu_ctl.scala 1564:75] - node _T_126 = and(minstretl_cout, _T_125) @[dec_tlu_ctl.scala 1564:73] - reg minstretl_cout_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1564:56] - minstretl_cout_f <= _T_126 @[dec_tlu_ctl.scala 1564:56] - node _T_127 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1572:64] - node _T_128 = eq(_T_127, UInt<12>("h0b82")) @[dec_tlu_ctl.scala 1572:71] - node _T_129 = and(io.dec_csr_wen_r_mod, _T_128) @[dec_tlu_ctl.scala 1572:42] - node _T_130 = bits(_T_129, 0, 0) @[dec_tlu_ctl.scala 1572:87] - wr_minstreth_r <= _T_130 @[dec_tlu_ctl.scala 1572:17] + minstretl <= _T_124 @[dec_tlu_ctl.scala 1543:12] + reg minstret_enable_f : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1544:56] + minstret_enable_f <= minstret_enable @[dec_tlu_ctl.scala 1544:56] + node _T_125 = not(wr_minstreth_r) @[dec_tlu_ctl.scala 1545:75] + node _T_126 = and(minstretl_cout, _T_125) @[dec_tlu_ctl.scala 1545:73] + reg minstretl_cout_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1545:56] + minstretl_cout_f <= _T_126 @[dec_tlu_ctl.scala 1545:56] + node _T_127 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1553:64] + node _T_128 = eq(_T_127, UInt<12>("h0b82")) @[dec_tlu_ctl.scala 1553:71] + node _T_129 = and(io.dec_csr_wen_r_mod, _T_128) @[dec_tlu_ctl.scala 1553:42] + node _T_130 = bits(_T_129, 0, 0) @[dec_tlu_ctl.scala 1553:87] + wr_minstreth_r <= _T_130 @[dec_tlu_ctl.scala 1553:17] node _T_131 = cat(UInt<31>("h00"), minstretl_cout_f) @[Cat.scala 29:58] - node _T_132 = add(minstreth, _T_131) @[dec_tlu_ctl.scala 1575:29] - node _T_133 = tail(_T_132, 1) @[dec_tlu_ctl.scala 1575:29] - minstreth_inc <= _T_133 @[dec_tlu_ctl.scala 1575:16] - node _T_134 = bits(wr_minstreth_r, 0, 0) @[dec_tlu_ctl.scala 1576:41] - node minstreth_ns = mux(_T_134, io.dec_csr_wrdata_r, minstreth_inc) @[dec_tlu_ctl.scala 1576:25] - node _T_135 = or(minstret_enable_f, wr_minstreth_r) @[dec_tlu_ctl.scala 1578:55] - node _T_136 = bits(_T_135, 0, 0) @[dec_tlu_ctl.scala 1578:73] + node _T_132 = add(minstreth, _T_131) @[dec_tlu_ctl.scala 1556:29] + node _T_133 = tail(_T_132, 1) @[dec_tlu_ctl.scala 1556:29] + minstreth_inc <= _T_133 @[dec_tlu_ctl.scala 1556:16] + node _T_134 = bits(wr_minstreth_r, 0, 0) @[dec_tlu_ctl.scala 1557:41] + node minstreth_ns = mux(_T_134, io.dec_csr_wrdata_r, minstreth_inc) @[dec_tlu_ctl.scala 1557:25] + node _T_135 = or(minstret_enable_f, wr_minstreth_r) @[dec_tlu_ctl.scala 1559:55] + node _T_136 = bits(_T_135, 0, 0) @[dec_tlu_ctl.scala 1559:73] inst rvclkhdr_4 of rvclkhdr_724 @[lib.scala 368:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset @@ -73124,11 +73130,11 @@ circuit quasar_wrapper : rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_137 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_137 <= minstreth_ns @[lib.scala 374:16] - minstreth <= _T_137 @[dec_tlu_ctl.scala 1578:12] - node _T_138 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1586:65] - node _T_139 = eq(_T_138, UInt<12>("h0340")) @[dec_tlu_ctl.scala 1586:72] - node wr_mscratch_r = and(io.dec_csr_wen_r_mod, _T_139) @[dec_tlu_ctl.scala 1586:43] - node _T_140 = bits(wr_mscratch_r, 0, 0) @[dec_tlu_ctl.scala 1588:55] + minstreth <= _T_137 @[dec_tlu_ctl.scala 1559:12] + node _T_138 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1567:65] + node _T_139 = eq(_T_138, UInt<12>("h0340")) @[dec_tlu_ctl.scala 1567:72] + node wr_mscratch_r = and(io.dec_csr_wen_r_mod, _T_139) @[dec_tlu_ctl.scala 1567:43] + node _T_140 = bits(wr_mscratch_r, 0, 0) @[dec_tlu_ctl.scala 1569:55] inst rvclkhdr_5 of rvclkhdr_725 @[lib.scala 368:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset @@ -73137,24 +73143,24 @@ circuit quasar_wrapper : rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_141 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_141 <= io.dec_csr_wrdata_r @[lib.scala 374:16] - mscratch <= _T_141 @[dec_tlu_ctl.scala 1588:11] - node _T_142 = eq(io.dec_tlu_dbg_halted, UInt<1>("h00")) @[dec_tlu_ctl.scala 1597:22] - node _T_143 = eq(io.tlu_flush_lower_r_d1, UInt<1>("h00")) @[dec_tlu_ctl.scala 1597:47] - node _T_144 = and(_T_142, _T_143) @[dec_tlu_ctl.scala 1597:45] - node sel_exu_npc_r = and(_T_144, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 1597:72] - node _T_145 = eq(io.dec_tlu_dbg_halted, UInt<1>("h00")) @[dec_tlu_ctl.scala 1598:24] - node _T_146 = and(_T_145, io.tlu_flush_lower_r_d1) @[dec_tlu_ctl.scala 1598:47] - node _T_147 = eq(io.dec_tlu_flush_noredir_r_d1, UInt<1>("h00")) @[dec_tlu_ctl.scala 1598:75] - node sel_flush_npc_r = and(_T_146, _T_147) @[dec_tlu_ctl.scala 1598:73] - node _T_148 = eq(sel_exu_npc_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1599:23] - node _T_149 = eq(sel_flush_npc_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1599:40] - node sel_hold_npc_r = and(_T_148, _T_149) @[dec_tlu_ctl.scala 1599:38] - node _T_150 = bits(sel_exu_npc_r, 0, 0) @[dec_tlu_ctl.scala 1602:26] - node _T_151 = eq(io.mpc_reset_run_req, UInt<1>("h00")) @[dec_tlu_ctl.scala 1603:13] - node _T_152 = and(_T_151, io.reset_delayed) @[dec_tlu_ctl.scala 1603:35] - node _T_153 = bits(_T_152, 0, 0) @[dec_tlu_ctl.scala 1603:55] - node _T_154 = bits(sel_flush_npc_r, 0, 0) @[dec_tlu_ctl.scala 1604:28] - node _T_155 = bits(sel_hold_npc_r, 0, 0) @[dec_tlu_ctl.scala 1605:27] + mscratch <= _T_141 @[dec_tlu_ctl.scala 1569:11] + node _T_142 = eq(io.dec_tlu_dbg_halted, UInt<1>("h00")) @[dec_tlu_ctl.scala 1578:22] + node _T_143 = eq(io.tlu_flush_lower_r_d1, UInt<1>("h00")) @[dec_tlu_ctl.scala 1578:47] + node _T_144 = and(_T_142, _T_143) @[dec_tlu_ctl.scala 1578:45] + node sel_exu_npc_r = and(_T_144, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 1578:72] + node _T_145 = eq(io.dec_tlu_dbg_halted, UInt<1>("h00")) @[dec_tlu_ctl.scala 1579:24] + node _T_146 = and(_T_145, io.tlu_flush_lower_r_d1) @[dec_tlu_ctl.scala 1579:47] + node _T_147 = eq(io.dec_tlu_flush_noredir_r_d1, UInt<1>("h00")) @[dec_tlu_ctl.scala 1579:75] + node sel_flush_npc_r = and(_T_146, _T_147) @[dec_tlu_ctl.scala 1579:73] + node _T_148 = eq(sel_exu_npc_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1580:23] + node _T_149 = eq(sel_flush_npc_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1580:40] + node sel_hold_npc_r = and(_T_148, _T_149) @[dec_tlu_ctl.scala 1580:38] + node _T_150 = bits(sel_exu_npc_r, 0, 0) @[dec_tlu_ctl.scala 1583:26] + node _T_151 = eq(io.mpc_reset_run_req, UInt<1>("h00")) @[dec_tlu_ctl.scala 1584:13] + node _T_152 = and(_T_151, io.reset_delayed) @[dec_tlu_ctl.scala 1584:35] + node _T_153 = bits(_T_152, 0, 0) @[dec_tlu_ctl.scala 1584:55] + node _T_154 = bits(sel_flush_npc_r, 0, 0) @[dec_tlu_ctl.scala 1585:28] + node _T_155 = bits(sel_hold_npc_r, 0, 0) @[dec_tlu_ctl.scala 1586:27] node _T_156 = mux(_T_150, io.exu_npc_r, UInt<1>("h00")) @[Mux.scala 27:72] node _T_157 = mux(_T_153, io.rst_vec, UInt<1>("h00")) @[Mux.scala 27:72] node _T_158 = mux(_T_154, io.tlu_flush_path_r_d1, UInt<1>("h00")) @[Mux.scala 27:72] @@ -73164,10 +73170,10 @@ circuit quasar_wrapper : node _T_162 = or(_T_161, _T_159) @[Mux.scala 27:72] wire _T_163 : UInt<31> @[Mux.scala 27:72] _T_163 <= _T_162 @[Mux.scala 27:72] - io.npc_r <= _T_163 @[dec_tlu_ctl.scala 1601:11] - node _T_164 = or(sel_exu_npc_r, sel_flush_npc_r) @[dec_tlu_ctl.scala 1607:48] - node _T_165 = or(_T_164, io.reset_delayed) @[dec_tlu_ctl.scala 1607:66] - node _T_166 = bits(_T_165, 0, 0) @[dec_tlu_ctl.scala 1607:86] + io.npc_r <= _T_163 @[dec_tlu_ctl.scala 1582:11] + node _T_164 = or(sel_exu_npc_r, sel_flush_npc_r) @[dec_tlu_ctl.scala 1588:48] + node _T_165 = or(_T_164, io.reset_delayed) @[dec_tlu_ctl.scala 1588:66] + node _T_166 = bits(_T_165, 0, 0) @[dec_tlu_ctl.scala 1588:86] inst rvclkhdr_6 of rvclkhdr_726 @[lib.scala 368:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset @@ -73176,11 +73182,11 @@ circuit quasar_wrapper : rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_167 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_167 <= io.npc_r @[lib.scala 374:16] - io.npc_r_d1 <= _T_167 @[dec_tlu_ctl.scala 1607:14] - node _T_168 = eq(io.dec_tlu_dbg_halted, UInt<1>("h00")) @[dec_tlu_ctl.scala 1610:21] - node _T_169 = and(_T_168, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 1610:44] - node pc0_valid_r = bits(_T_169, 0, 0) @[dec_tlu_ctl.scala 1610:69] - node _T_170 = not(pc0_valid_r) @[dec_tlu_ctl.scala 1614:22] + io.npc_r_d1 <= _T_167 @[dec_tlu_ctl.scala 1588:14] + node _T_168 = eq(io.dec_tlu_dbg_halted, UInt<1>("h00")) @[dec_tlu_ctl.scala 1591:21] + node _T_169 = and(_T_168, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 1591:44] + node pc0_valid_r = bits(_T_169, 0, 0) @[dec_tlu_ctl.scala 1591:69] + node _T_170 = not(pc0_valid_r) @[dec_tlu_ctl.scala 1595:22] node _T_171 = mux(pc0_valid_r, io.dec_tlu_i0_pc_r, UInt<1>("h00")) @[Mux.scala 27:72] node _T_172 = mux(_T_170, pc_r_d1, UInt<1>("h00")) @[Mux.scala 27:72] node _T_173 = or(_T_171, _T_172) @[Mux.scala 27:72] @@ -73194,22 +73200,22 @@ circuit quasar_wrapper : rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_174 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_174 <= pc_r @[lib.scala 374:16] - pc_r_d1 <= _T_174 @[dec_tlu_ctl.scala 1616:10] - node _T_175 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1618:61] - node _T_176 = eq(_T_175, UInt<12>("h0341")) @[dec_tlu_ctl.scala 1618:68] - node wr_mepc_r = and(io.dec_csr_wen_r_mod, _T_176) @[dec_tlu_ctl.scala 1618:39] - node _T_177 = or(io.i0_exception_valid_r, io.lsu_exc_valid_r) @[dec_tlu_ctl.scala 1621:27] - node _T_178 = or(_T_177, io.mepc_trigger_hit_sel_pc_r) @[dec_tlu_ctl.scala 1621:48] - node _T_179 = bits(_T_178, 0, 0) @[dec_tlu_ctl.scala 1621:80] - node _T_180 = bits(io.interrupt_valid_r, 0, 0) @[dec_tlu_ctl.scala 1622:25] - node _T_181 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1623:15] - node _T_182 = and(wr_mepc_r, _T_181) @[dec_tlu_ctl.scala 1623:13] - node _T_183 = bits(_T_182, 0, 0) @[dec_tlu_ctl.scala 1623:39] - node _T_184 = bits(io.dec_csr_wrdata_r, 31, 1) @[dec_tlu_ctl.scala 1623:104] - node _T_185 = eq(wr_mepc_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1624:3] - node _T_186 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1624:16] - node _T_187 = and(_T_185, _T_186) @[dec_tlu_ctl.scala 1624:14] - node _T_188 = bits(_T_187, 0, 0) @[dec_tlu_ctl.scala 1624:40] + pc_r_d1 <= _T_174 @[dec_tlu_ctl.scala 1597:10] + node _T_175 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1599:61] + node _T_176 = eq(_T_175, UInt<12>("h0341")) @[dec_tlu_ctl.scala 1599:68] + node wr_mepc_r = and(io.dec_csr_wen_r_mod, _T_176) @[dec_tlu_ctl.scala 1599:39] + node _T_177 = or(io.i0_exception_valid_r, io.lsu_exc_valid_r) @[dec_tlu_ctl.scala 1602:27] + node _T_178 = or(_T_177, io.mepc_trigger_hit_sel_pc_r) @[dec_tlu_ctl.scala 1602:48] + node _T_179 = bits(_T_178, 0, 0) @[dec_tlu_ctl.scala 1602:80] + node _T_180 = bits(io.interrupt_valid_r, 0, 0) @[dec_tlu_ctl.scala 1603:25] + node _T_181 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1604:15] + node _T_182 = and(wr_mepc_r, _T_181) @[dec_tlu_ctl.scala 1604:13] + node _T_183 = bits(_T_182, 0, 0) @[dec_tlu_ctl.scala 1604:39] + node _T_184 = bits(io.dec_csr_wrdata_r, 31, 1) @[dec_tlu_ctl.scala 1604:104] + node _T_185 = eq(wr_mepc_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1605:3] + node _T_186 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1605:16] + node _T_187 = and(_T_185, _T_186) @[dec_tlu_ctl.scala 1605:14] + node _T_188 = bits(_T_187, 0, 0) @[dec_tlu_ctl.scala 1605:40] node _T_189 = mux(_T_179, pc_r, UInt<1>("h00")) @[Mux.scala 27:72] node _T_190 = mux(_T_180, io.npc_r, UInt<1>("h00")) @[Mux.scala 27:72] node _T_191 = mux(_T_183, _T_184, UInt<1>("h00")) @[Mux.scala 27:72] @@ -73219,42 +73225,42 @@ circuit quasar_wrapper : node _T_195 = or(_T_194, _T_192) @[Mux.scala 27:72] wire mepc_ns : UInt<31> @[Mux.scala 27:72] mepc_ns <= _T_195 @[Mux.scala 27:72] - reg _T_196 : UInt, io.e4e5_int_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1626:47] - _T_196 <= mepc_ns @[dec_tlu_ctl.scala 1626:47] - io.mepc <= _T_196 @[dec_tlu_ctl.scala 1626:10] - node _T_197 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1633:65] - node _T_198 = eq(_T_197, UInt<12>("h0342")) @[dec_tlu_ctl.scala 1633:72] - node wr_mcause_r = and(io.dec_csr_wen_r_mod, _T_198) @[dec_tlu_ctl.scala 1633:43] - node _T_199 = and(io.exc_or_int_valid_r, io.take_nmi) @[dec_tlu_ctl.scala 1634:53] - node mcause_sel_nmi_store = and(_T_199, io.nmi_lsu_store_type) @[dec_tlu_ctl.scala 1634:67] - node _T_200 = and(io.exc_or_int_valid_r, io.take_nmi) @[dec_tlu_ctl.scala 1635:52] - node mcause_sel_nmi_load = and(_T_200, io.nmi_lsu_load_type) @[dec_tlu_ctl.scala 1635:66] - node _T_201 = and(io.exc_or_int_valid_r, io.take_nmi) @[dec_tlu_ctl.scala 1636:51] - node _T_202 = orr(io.lsu_fir_error) @[dec_tlu_ctl.scala 1636:84] - node mcause_sel_nmi_ext = and(_T_201, _T_202) @[dec_tlu_ctl.scala 1636:65] - node _T_203 = andr(io.lsu_fir_error) @[dec_tlu_ctl.scala 1642:53] - node _T_204 = bits(io.lsu_fir_error, 1, 1) @[dec_tlu_ctl.scala 1642:76] - node _T_205 = bits(io.lsu_fir_error, 0, 0) @[dec_tlu_ctl.scala 1642:99] - node _T_206 = not(_T_205) @[dec_tlu_ctl.scala 1642:82] - node _T_207 = and(_T_204, _T_206) @[dec_tlu_ctl.scala 1642:80] + reg _T_196 : UInt, io.e4e5_int_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1607:47] + _T_196 <= mepc_ns @[dec_tlu_ctl.scala 1607:47] + io.mepc <= _T_196 @[dec_tlu_ctl.scala 1607:10] + node _T_197 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1614:65] + node _T_198 = eq(_T_197, UInt<12>("h0342")) @[dec_tlu_ctl.scala 1614:72] + node wr_mcause_r = and(io.dec_csr_wen_r_mod, _T_198) @[dec_tlu_ctl.scala 1614:43] + node _T_199 = and(io.exc_or_int_valid_r, io.take_nmi) @[dec_tlu_ctl.scala 1615:53] + node mcause_sel_nmi_store = and(_T_199, io.nmi_lsu_store_type) @[dec_tlu_ctl.scala 1615:67] + node _T_200 = and(io.exc_or_int_valid_r, io.take_nmi) @[dec_tlu_ctl.scala 1616:52] + node mcause_sel_nmi_load = and(_T_200, io.nmi_lsu_load_type) @[dec_tlu_ctl.scala 1616:66] + node _T_201 = and(io.exc_or_int_valid_r, io.take_nmi) @[dec_tlu_ctl.scala 1617:51] + node _T_202 = orr(io.lsu_fir_error) @[dec_tlu_ctl.scala 1617:84] + node mcause_sel_nmi_ext = and(_T_201, _T_202) @[dec_tlu_ctl.scala 1617:65] + node _T_203 = andr(io.lsu_fir_error) @[dec_tlu_ctl.scala 1623:53] + node _T_204 = bits(io.lsu_fir_error, 1, 1) @[dec_tlu_ctl.scala 1623:76] + node _T_205 = bits(io.lsu_fir_error, 0, 0) @[dec_tlu_ctl.scala 1623:99] + node _T_206 = not(_T_205) @[dec_tlu_ctl.scala 1623:82] + node _T_207 = and(_T_204, _T_206) @[dec_tlu_ctl.scala 1623:80] node mcause_fir_error_type = cat(_T_203, _T_207) @[Cat.scala 29:58] - node _T_208 = bits(mcause_sel_nmi_store, 0, 0) @[dec_tlu_ctl.scala 1645:52] - node _T_209 = bits(mcause_sel_nmi_load, 0, 0) @[dec_tlu_ctl.scala 1646:51] - node _T_210 = bits(mcause_sel_nmi_ext, 0, 0) @[dec_tlu_ctl.scala 1647:50] + node _T_208 = bits(mcause_sel_nmi_store, 0, 0) @[dec_tlu_ctl.scala 1626:52] + node _T_209 = bits(mcause_sel_nmi_load, 0, 0) @[dec_tlu_ctl.scala 1627:51] + node _T_210 = bits(mcause_sel_nmi_ext, 0, 0) @[dec_tlu_ctl.scala 1628:50] node _T_211 = cat(UInt<28>("h0f000100"), UInt<2>("h00")) @[Cat.scala 29:58] node _T_212 = cat(_T_211, mcause_fir_error_type) @[Cat.scala 29:58] - node _T_213 = not(io.take_nmi) @[dec_tlu_ctl.scala 1648:56] - node _T_214 = and(io.exc_or_int_valid_r, _T_213) @[dec_tlu_ctl.scala 1648:54] - node _T_215 = bits(_T_214, 0, 0) @[dec_tlu_ctl.scala 1648:70] + node _T_213 = not(io.take_nmi) @[dec_tlu_ctl.scala 1629:56] + node _T_214 = and(io.exc_or_int_valid_r, _T_213) @[dec_tlu_ctl.scala 1629:54] + node _T_215 = bits(_T_214, 0, 0) @[dec_tlu_ctl.scala 1629:70] node _T_216 = cat(io.interrupt_valid_r, UInt<26>("h00")) @[Cat.scala 29:58] node _T_217 = cat(_T_216, io.exc_cause_r) @[Cat.scala 29:58] - node _T_218 = not(io.exc_or_int_valid_r) @[dec_tlu_ctl.scala 1649:46] - node _T_219 = and(wr_mcause_r, _T_218) @[dec_tlu_ctl.scala 1649:44] - node _T_220 = bits(_T_219, 0, 0) @[dec_tlu_ctl.scala 1649:70] - node _T_221 = not(wr_mcause_r) @[dec_tlu_ctl.scala 1650:32] - node _T_222 = not(io.exc_or_int_valid_r) @[dec_tlu_ctl.scala 1650:47] - node _T_223 = and(_T_221, _T_222) @[dec_tlu_ctl.scala 1650:45] - node _T_224 = bits(_T_223, 0, 0) @[dec_tlu_ctl.scala 1650:71] + node _T_218 = not(io.exc_or_int_valid_r) @[dec_tlu_ctl.scala 1630:46] + node _T_219 = and(wr_mcause_r, _T_218) @[dec_tlu_ctl.scala 1630:44] + node _T_220 = bits(_T_219, 0, 0) @[dec_tlu_ctl.scala 1630:70] + node _T_221 = not(wr_mcause_r) @[dec_tlu_ctl.scala 1631:32] + node _T_222 = not(io.exc_or_int_valid_r) @[dec_tlu_ctl.scala 1631:47] + node _T_223 = and(_T_221, _T_222) @[dec_tlu_ctl.scala 1631:45] + node _T_224 = bits(_T_223, 0, 0) @[dec_tlu_ctl.scala 1631:71] node _T_225 = mux(_T_208, UInt<32>("h0f0000000"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_226 = mux(_T_209, UInt<32>("h0f0000001"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_227 = mux(_T_210, _T_212, UInt<1>("h00")) @[Mux.scala 27:72] @@ -73268,19 +73274,19 @@ circuit quasar_wrapper : node _T_235 = or(_T_234, _T_230) @[Mux.scala 27:72] wire mcause_ns : UInt<32> @[Mux.scala 27:72] mcause_ns <= _T_235 @[Mux.scala 27:72] - reg _T_236 : UInt, io.e4e5_int_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1652:49] - _T_236 <= mcause_ns @[dec_tlu_ctl.scala 1652:49] - mcause <= _T_236 @[dec_tlu_ctl.scala 1652:12] - node _T_237 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1659:64] - node _T_238 = eq(_T_237, UInt<12>("h07ff")) @[dec_tlu_ctl.scala 1659:71] - node wr_mscause_r = and(io.dec_csr_wen_r_mod, _T_238) @[dec_tlu_ctl.scala 1659:42] - node _T_239 = eq(io.dec_tlu_packet_r.icaf_type, UInt<2>("h00")) @[dec_tlu_ctl.scala 1661:56] + reg _T_236 : UInt, io.e4e5_int_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1633:49] + _T_236 <= mcause_ns @[dec_tlu_ctl.scala 1633:49] + mcause <= _T_236 @[dec_tlu_ctl.scala 1633:12] + node _T_237 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1640:64] + node _T_238 = eq(_T_237, UInt<12>("h07ff")) @[dec_tlu_ctl.scala 1640:71] + node wr_mscause_r = and(io.dec_csr_wen_r_mod, _T_238) @[dec_tlu_ctl.scala 1640:42] + node _T_239 = eq(io.dec_tlu_packet_r.icaf_type, UInt<2>("h00")) @[dec_tlu_ctl.scala 1642:56] node _T_240 = cat(UInt<2>("h00"), io.dec_tlu_packet_r.icaf_type) @[Cat.scala 29:58] - node ifu_mscause = mux(_T_239, UInt<4>("h09"), _T_240) @[dec_tlu_ctl.scala 1661:24] - node _T_241 = bits(io.lsu_i0_exc_r, 0, 0) @[dec_tlu_ctl.scala 1664:36] - node _T_242 = bits(io.i0_trigger_hit_r, 0, 0) @[dec_tlu_ctl.scala 1665:40] - node _T_243 = bits(io.ebreak_r, 0, 0) @[dec_tlu_ctl.scala 1666:32] - node _T_244 = bits(io.inst_acc_r, 0, 0) @[dec_tlu_ctl.scala 1667:34] + node ifu_mscause = mux(_T_239, UInt<4>("h09"), _T_240) @[dec_tlu_ctl.scala 1642:24] + node _T_241 = bits(io.lsu_i0_exc_r, 0, 0) @[dec_tlu_ctl.scala 1645:36] + node _T_242 = bits(io.i0_trigger_hit_r, 0, 0) @[dec_tlu_ctl.scala 1646:40] + node _T_243 = bits(io.ebreak_r, 0, 0) @[dec_tlu_ctl.scala 1647:32] + node _T_244 = bits(io.inst_acc_r, 0, 0) @[dec_tlu_ctl.scala 1648:34] node _T_245 = mux(_T_241, io.lsu_error_pkt_r.bits.mscause, UInt<1>("h00")) @[Mux.scala 27:72] node _T_246 = mux(_T_242, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_247 = mux(_T_243, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -73290,15 +73296,15 @@ circuit quasar_wrapper : node _T_251 = or(_T_250, _T_248) @[Mux.scala 27:72] wire mscause_type : UInt<4> @[Mux.scala 27:72] mscause_type <= _T_251 @[Mux.scala 27:72] - node _T_252 = bits(io.exc_or_int_valid_r, 0, 0) @[dec_tlu_ctl.scala 1671:48] - node _T_253 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1672:40] - node _T_254 = and(wr_mscause_r, _T_253) @[dec_tlu_ctl.scala 1672:38] - node _T_255 = bits(_T_254, 0, 0) @[dec_tlu_ctl.scala 1672:64] - node _T_256 = bits(io.dec_csr_wrdata_r, 3, 0) @[dec_tlu_ctl.scala 1672:103] - node _T_257 = eq(wr_mscause_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1673:25] - node _T_258 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1673:41] - node _T_259 = and(_T_257, _T_258) @[dec_tlu_ctl.scala 1673:39] - node _T_260 = bits(_T_259, 0, 0) @[dec_tlu_ctl.scala 1673:65] + node _T_252 = bits(io.exc_or_int_valid_r, 0, 0) @[dec_tlu_ctl.scala 1652:48] + node _T_253 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1653:40] + node _T_254 = and(wr_mscause_r, _T_253) @[dec_tlu_ctl.scala 1653:38] + node _T_255 = bits(_T_254, 0, 0) @[dec_tlu_ctl.scala 1653:64] + node _T_256 = bits(io.dec_csr_wrdata_r, 3, 0) @[dec_tlu_ctl.scala 1653:103] + node _T_257 = eq(wr_mscause_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1654:25] + node _T_258 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1654:41] + node _T_259 = and(_T_257, _T_258) @[dec_tlu_ctl.scala 1654:39] + node _T_260 = bits(_T_259, 0, 0) @[dec_tlu_ctl.scala 1654:65] node _T_261 = mux(_T_252, mscause_type, UInt<1>("h00")) @[Mux.scala 27:72] node _T_262 = mux(_T_255, _T_256, UInt<1>("h00")) @[Mux.scala 27:72] node _T_263 = mux(_T_260, mscause, UInt<1>("h00")) @[Mux.scala 27:72] @@ -73306,60 +73312,60 @@ circuit quasar_wrapper : node _T_265 = or(_T_264, _T_263) @[Mux.scala 27:72] wire mscause_ns : UInt<4> @[Mux.scala 27:72] mscause_ns <= _T_265 @[Mux.scala 27:72] - reg _T_266 : UInt, io.e4e5_int_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1675:47] - _T_266 <= mscause_ns @[dec_tlu_ctl.scala 1675:47] - mscause <= _T_266 @[dec_tlu_ctl.scala 1675:10] - node _T_267 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1682:62] - node _T_268 = eq(_T_267, UInt<12>("h0343")) @[dec_tlu_ctl.scala 1682:69] - node wr_mtval_r = and(io.dec_csr_wen_r_mod, _T_268) @[dec_tlu_ctl.scala 1682:40] - node _T_269 = not(io.inst_acc_second_r) @[dec_tlu_ctl.scala 1683:83] - node _T_270 = and(io.inst_acc_r, _T_269) @[dec_tlu_ctl.scala 1683:81] - node _T_271 = or(io.ebreak_r, _T_270) @[dec_tlu_ctl.scala 1683:64] - node _T_272 = or(_T_271, io.mepc_trigger_hit_sel_pc_r) @[dec_tlu_ctl.scala 1683:106] - node _T_273 = and(io.exc_or_int_valid_r, _T_272) @[dec_tlu_ctl.scala 1683:49] - node _T_274 = not(io.take_nmi) @[dec_tlu_ctl.scala 1683:140] - node mtval_capture_pc_r = and(_T_273, _T_274) @[dec_tlu_ctl.scala 1683:138] - node _T_275 = and(io.inst_acc_r, io.inst_acc_second_r) @[dec_tlu_ctl.scala 1684:72] - node _T_276 = and(io.exc_or_int_valid_r, _T_275) @[dec_tlu_ctl.scala 1684:55] - node _T_277 = not(io.take_nmi) @[dec_tlu_ctl.scala 1684:98] - node mtval_capture_pc_plus2_r = and(_T_276, _T_277) @[dec_tlu_ctl.scala 1684:96] - node _T_278 = and(io.exc_or_int_valid_r, io.illegal_r) @[dec_tlu_ctl.scala 1685:51] - node _T_279 = not(io.take_nmi) @[dec_tlu_ctl.scala 1685:68] - node mtval_capture_inst_r = and(_T_278, _T_279) @[dec_tlu_ctl.scala 1685:66] - node _T_280 = and(io.exc_or_int_valid_r, io.lsu_exc_valid_r) @[dec_tlu_ctl.scala 1686:50] - node _T_281 = not(io.take_nmi) @[dec_tlu_ctl.scala 1686:73] - node mtval_capture_lsu_r = and(_T_280, _T_281) @[dec_tlu_ctl.scala 1686:71] - node _T_282 = not(mtval_capture_pc_r) @[dec_tlu_ctl.scala 1687:46] - node _T_283 = and(io.exc_or_int_valid_r, _T_282) @[dec_tlu_ctl.scala 1687:44] - node _T_284 = not(mtval_capture_inst_r) @[dec_tlu_ctl.scala 1687:68] - node _T_285 = and(_T_283, _T_284) @[dec_tlu_ctl.scala 1687:66] - node _T_286 = not(mtval_capture_lsu_r) @[dec_tlu_ctl.scala 1687:92] - node _T_287 = and(_T_285, _T_286) @[dec_tlu_ctl.scala 1687:90] - node _T_288 = not(io.mepc_trigger_hit_sel_pc_r) @[dec_tlu_ctl.scala 1687:115] - node mtval_clear_r = and(_T_287, _T_288) @[dec_tlu_ctl.scala 1687:113] - node _T_289 = bits(mtval_capture_pc_r, 0, 0) @[dec_tlu_ctl.scala 1691:25] + reg _T_266 : UInt, io.e4e5_int_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1656:47] + _T_266 <= mscause_ns @[dec_tlu_ctl.scala 1656:47] + mscause <= _T_266 @[dec_tlu_ctl.scala 1656:10] + node _T_267 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1663:62] + node _T_268 = eq(_T_267, UInt<12>("h0343")) @[dec_tlu_ctl.scala 1663:69] + node wr_mtval_r = and(io.dec_csr_wen_r_mod, _T_268) @[dec_tlu_ctl.scala 1663:40] + node _T_269 = not(io.inst_acc_second_r) @[dec_tlu_ctl.scala 1664:83] + node _T_270 = and(io.inst_acc_r, _T_269) @[dec_tlu_ctl.scala 1664:81] + node _T_271 = or(io.ebreak_r, _T_270) @[dec_tlu_ctl.scala 1664:64] + node _T_272 = or(_T_271, io.mepc_trigger_hit_sel_pc_r) @[dec_tlu_ctl.scala 1664:106] + node _T_273 = and(io.exc_or_int_valid_r, _T_272) @[dec_tlu_ctl.scala 1664:49] + node _T_274 = not(io.take_nmi) @[dec_tlu_ctl.scala 1664:140] + node mtval_capture_pc_r = and(_T_273, _T_274) @[dec_tlu_ctl.scala 1664:138] + node _T_275 = and(io.inst_acc_r, io.inst_acc_second_r) @[dec_tlu_ctl.scala 1665:72] + node _T_276 = and(io.exc_or_int_valid_r, _T_275) @[dec_tlu_ctl.scala 1665:55] + node _T_277 = not(io.take_nmi) @[dec_tlu_ctl.scala 1665:98] + node mtval_capture_pc_plus2_r = and(_T_276, _T_277) @[dec_tlu_ctl.scala 1665:96] + node _T_278 = and(io.exc_or_int_valid_r, io.illegal_r) @[dec_tlu_ctl.scala 1666:51] + node _T_279 = not(io.take_nmi) @[dec_tlu_ctl.scala 1666:68] + node mtval_capture_inst_r = and(_T_278, _T_279) @[dec_tlu_ctl.scala 1666:66] + node _T_280 = and(io.exc_or_int_valid_r, io.lsu_exc_valid_r) @[dec_tlu_ctl.scala 1667:50] + node _T_281 = not(io.take_nmi) @[dec_tlu_ctl.scala 1667:73] + node mtval_capture_lsu_r = and(_T_280, _T_281) @[dec_tlu_ctl.scala 1667:71] + node _T_282 = not(mtval_capture_pc_r) @[dec_tlu_ctl.scala 1668:46] + node _T_283 = and(io.exc_or_int_valid_r, _T_282) @[dec_tlu_ctl.scala 1668:44] + node _T_284 = not(mtval_capture_inst_r) @[dec_tlu_ctl.scala 1668:68] + node _T_285 = and(_T_283, _T_284) @[dec_tlu_ctl.scala 1668:66] + node _T_286 = not(mtval_capture_lsu_r) @[dec_tlu_ctl.scala 1668:92] + node _T_287 = and(_T_285, _T_286) @[dec_tlu_ctl.scala 1668:90] + node _T_288 = not(io.mepc_trigger_hit_sel_pc_r) @[dec_tlu_ctl.scala 1668:115] + node mtval_clear_r = and(_T_287, _T_288) @[dec_tlu_ctl.scala 1668:113] + node _T_289 = bits(mtval_capture_pc_r, 0, 0) @[dec_tlu_ctl.scala 1672:25] node _T_290 = cat(pc_r, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_291 = bits(mtval_capture_pc_plus2_r, 0, 0) @[dec_tlu_ctl.scala 1692:31] - node _T_292 = add(pc_r, UInt<31>("h01")) @[dec_tlu_ctl.scala 1692:83] - node _T_293 = tail(_T_292, 1) @[dec_tlu_ctl.scala 1692:83] + node _T_291 = bits(mtval_capture_pc_plus2_r, 0, 0) @[dec_tlu_ctl.scala 1673:31] + node _T_292 = add(pc_r, UInt<31>("h01")) @[dec_tlu_ctl.scala 1673:83] + node _T_293 = tail(_T_292, 1) @[dec_tlu_ctl.scala 1673:83] node _T_294 = cat(_T_293, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_295 = bits(mtval_capture_inst_r, 0, 0) @[dec_tlu_ctl.scala 1693:27] - node _T_296 = bits(mtval_capture_lsu_r, 0, 0) @[dec_tlu_ctl.scala 1694:26] - node _T_297 = not(io.interrupt_valid_r) @[dec_tlu_ctl.scala 1695:18] - node _T_298 = and(wr_mtval_r, _T_297) @[dec_tlu_ctl.scala 1695:16] - node _T_299 = bits(_T_298, 0, 0) @[dec_tlu_ctl.scala 1695:48] - node _T_300 = not(io.take_nmi) @[dec_tlu_ctl.scala 1696:5] - node _T_301 = not(wr_mtval_r) @[dec_tlu_ctl.scala 1696:20] - node _T_302 = and(_T_300, _T_301) @[dec_tlu_ctl.scala 1696:18] - node _T_303 = not(mtval_capture_pc_r) @[dec_tlu_ctl.scala 1696:34] - node _T_304 = and(_T_302, _T_303) @[dec_tlu_ctl.scala 1696:32] - node _T_305 = not(mtval_capture_inst_r) @[dec_tlu_ctl.scala 1696:56] - node _T_306 = and(_T_304, _T_305) @[dec_tlu_ctl.scala 1696:54] - node _T_307 = not(mtval_clear_r) @[dec_tlu_ctl.scala 1696:80] - node _T_308 = and(_T_306, _T_307) @[dec_tlu_ctl.scala 1696:78] - node _T_309 = not(mtval_capture_lsu_r) @[dec_tlu_ctl.scala 1696:97] - node _T_310 = and(_T_308, _T_309) @[dec_tlu_ctl.scala 1696:95] - node _T_311 = bits(_T_310, 0, 0) @[dec_tlu_ctl.scala 1696:119] + node _T_295 = bits(mtval_capture_inst_r, 0, 0) @[dec_tlu_ctl.scala 1674:27] + node _T_296 = bits(mtval_capture_lsu_r, 0, 0) @[dec_tlu_ctl.scala 1675:26] + node _T_297 = not(io.interrupt_valid_r) @[dec_tlu_ctl.scala 1676:18] + node _T_298 = and(wr_mtval_r, _T_297) @[dec_tlu_ctl.scala 1676:16] + node _T_299 = bits(_T_298, 0, 0) @[dec_tlu_ctl.scala 1676:48] + node _T_300 = not(io.take_nmi) @[dec_tlu_ctl.scala 1677:5] + node _T_301 = not(wr_mtval_r) @[dec_tlu_ctl.scala 1677:20] + node _T_302 = and(_T_300, _T_301) @[dec_tlu_ctl.scala 1677:18] + node _T_303 = not(mtval_capture_pc_r) @[dec_tlu_ctl.scala 1677:34] + node _T_304 = and(_T_302, _T_303) @[dec_tlu_ctl.scala 1677:32] + node _T_305 = not(mtval_capture_inst_r) @[dec_tlu_ctl.scala 1677:56] + node _T_306 = and(_T_304, _T_305) @[dec_tlu_ctl.scala 1677:54] + node _T_307 = not(mtval_clear_r) @[dec_tlu_ctl.scala 1677:80] + node _T_308 = and(_T_306, _T_307) @[dec_tlu_ctl.scala 1677:78] + node _T_309 = not(mtval_capture_lsu_r) @[dec_tlu_ctl.scala 1677:97] + node _T_310 = and(_T_308, _T_309) @[dec_tlu_ctl.scala 1677:95] + node _T_311 = bits(_T_310, 0, 0) @[dec_tlu_ctl.scala 1677:119] node _T_312 = mux(_T_289, _T_290, UInt<1>("h00")) @[Mux.scala 27:72] node _T_313 = mux(_T_291, _T_294, UInt<1>("h00")) @[Mux.scala 27:72] node _T_314 = mux(_T_295, io.dec_illegal_inst, UInt<1>("h00")) @[Mux.scala 27:72] @@ -73373,14 +73379,14 @@ circuit quasar_wrapper : node _T_322 = or(_T_321, _T_317) @[Mux.scala 27:72] wire mtval_ns : UInt<32> @[Mux.scala 27:72] mtval_ns <= _T_322 @[Mux.scala 27:72] - reg _T_323 : UInt, io.e4e5_int_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1698:46] - _T_323 <= mtval_ns @[dec_tlu_ctl.scala 1698:46] - mtval <= _T_323 @[dec_tlu_ctl.scala 1698:8] - node _T_324 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1713:61] - node _T_325 = eq(_T_324, UInt<12>("h07f8")) @[dec_tlu_ctl.scala 1713:68] - node wr_mcgc_r = and(io.dec_csr_wen_r_mod, _T_325) @[dec_tlu_ctl.scala 1713:39] - node _T_326 = bits(io.dec_csr_wrdata_r, 8, 0) @[dec_tlu_ctl.scala 1715:39] - node _T_327 = bits(wr_mcgc_r, 0, 0) @[dec_tlu_ctl.scala 1715:55] + reg _T_323 : UInt, io.e4e5_int_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1679:46] + _T_323 <= mtval_ns @[dec_tlu_ctl.scala 1679:46] + mtval <= _T_323 @[dec_tlu_ctl.scala 1679:8] + node _T_324 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1694:61] + node _T_325 = eq(_T_324, UInt<12>("h07f8")) @[dec_tlu_ctl.scala 1694:68] + node wr_mcgc_r = and(io.dec_csr_wen_r_mod, _T_325) @[dec_tlu_ctl.scala 1694:39] + node _T_326 = bits(io.dec_csr_wrdata_r, 8, 0) @[dec_tlu_ctl.scala 1696:39] + node _T_327 = bits(wr_mcgc_r, 0, 0) @[dec_tlu_ctl.scala 1696:55] inst rvclkhdr_8 of rvclkhdr_728 @[lib.scala 368:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset @@ -73389,26 +73395,26 @@ circuit quasar_wrapper : rvclkhdr_8.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg mcgc : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] mcgc <= _T_326 @[lib.scala 374:16] - node _T_328 = bits(mcgc, 8, 8) @[dec_tlu_ctl.scala 1717:38] - io.dec_tlu_misc_clk_override <= _T_328 @[dec_tlu_ctl.scala 1717:31] - node _T_329 = bits(mcgc, 7, 7) @[dec_tlu_ctl.scala 1718:38] - io.dec_tlu_dec_clk_override <= _T_329 @[dec_tlu_ctl.scala 1718:31] - node _T_330 = bits(mcgc, 5, 5) @[dec_tlu_ctl.scala 1719:38] - io.dec_tlu_ifu_clk_override <= _T_330 @[dec_tlu_ctl.scala 1719:31] - node _T_331 = bits(mcgc, 4, 4) @[dec_tlu_ctl.scala 1720:38] - io.dec_tlu_lsu_clk_override <= _T_331 @[dec_tlu_ctl.scala 1720:31] - node _T_332 = bits(mcgc, 3, 3) @[dec_tlu_ctl.scala 1721:38] - io.dec_tlu_bus_clk_override <= _T_332 @[dec_tlu_ctl.scala 1721:31] - node _T_333 = bits(mcgc, 2, 2) @[dec_tlu_ctl.scala 1722:38] - io.dec_tlu_pic_clk_override <= _T_333 @[dec_tlu_ctl.scala 1722:31] - node _T_334 = bits(mcgc, 1, 1) @[dec_tlu_ctl.scala 1723:38] - io.dec_tlu_dccm_clk_override <= _T_334 @[dec_tlu_ctl.scala 1723:31] - node _T_335 = bits(mcgc, 0, 0) @[dec_tlu_ctl.scala 1724:38] - io.dec_tlu_icm_clk_override <= _T_335 @[dec_tlu_ctl.scala 1724:31] - node _T_336 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1743:61] - node _T_337 = eq(_T_336, UInt<12>("h07f9")) @[dec_tlu_ctl.scala 1743:68] - node wr_mfdc_r = and(io.dec_csr_wen_r_mod, _T_337) @[dec_tlu_ctl.scala 1743:39] - node _T_338 = bits(wr_mfdc_r, 0, 0) @[dec_tlu_ctl.scala 1747:39] + node _T_328 = bits(mcgc, 8, 8) @[dec_tlu_ctl.scala 1698:38] + io.dec_tlu_misc_clk_override <= _T_328 @[dec_tlu_ctl.scala 1698:31] + node _T_329 = bits(mcgc, 7, 7) @[dec_tlu_ctl.scala 1699:38] + io.dec_tlu_dec_clk_override <= _T_329 @[dec_tlu_ctl.scala 1699:31] + node _T_330 = bits(mcgc, 5, 5) @[dec_tlu_ctl.scala 1700:38] + io.dec_tlu_ifu_clk_override <= _T_330 @[dec_tlu_ctl.scala 1700:31] + node _T_331 = bits(mcgc, 4, 4) @[dec_tlu_ctl.scala 1701:38] + io.dec_tlu_lsu_clk_override <= _T_331 @[dec_tlu_ctl.scala 1701:31] + node _T_332 = bits(mcgc, 3, 3) @[dec_tlu_ctl.scala 1702:38] + io.dec_tlu_bus_clk_override <= _T_332 @[dec_tlu_ctl.scala 1702:31] + node _T_333 = bits(mcgc, 2, 2) @[dec_tlu_ctl.scala 1703:38] + io.dec_tlu_pic_clk_override <= _T_333 @[dec_tlu_ctl.scala 1703:31] + node _T_334 = bits(mcgc, 1, 1) @[dec_tlu_ctl.scala 1704:38] + io.dec_tlu_dccm_clk_override <= _T_334 @[dec_tlu_ctl.scala 1704:31] + node _T_335 = bits(mcgc, 0, 0) @[dec_tlu_ctl.scala 1705:38] + io.dec_tlu_icm_clk_override <= _T_335 @[dec_tlu_ctl.scala 1705:31] + node _T_336 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1724:61] + node _T_337 = eq(_T_336, UInt<12>("h07f9")) @[dec_tlu_ctl.scala 1724:68] + node wr_mfdc_r = and(io.dec_csr_wen_r_mod, _T_337) @[dec_tlu_ctl.scala 1724:39] + node _T_338 = bits(wr_mfdc_r, 0, 0) @[dec_tlu_ctl.scala 1728:39] inst rvclkhdr_9 of rvclkhdr_729 @[lib.scala 368:23] rvclkhdr_9.clock <= clock rvclkhdr_9.reset <= reset @@ -73417,1224 +73423,1224 @@ circuit quasar_wrapper : rvclkhdr_9.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_339 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_339 <= mfdc_ns @[lib.scala 374:16] - mfdc_int <= _T_339 @[dec_tlu_ctl.scala 1747:11] - node _T_340 = bits(io.dec_csr_wrdata_r, 18, 16) @[dec_tlu_ctl.scala 1752:40] - node _T_341 = not(_T_340) @[dec_tlu_ctl.scala 1752:20] - node _T_342 = bits(io.dec_csr_wrdata_r, 11, 7) @[dec_tlu_ctl.scala 1752:67] - node _T_343 = bits(io.dec_csr_wrdata_r, 6, 6) @[dec_tlu_ctl.scala 1752:95] - node _T_344 = not(_T_343) @[dec_tlu_ctl.scala 1752:75] - node _T_345 = bits(io.dec_csr_wrdata_r, 5, 0) @[dec_tlu_ctl.scala 1752:119] - node _T_346 = cat(_T_344, _T_345) @[Cat.scala 29:58] - node _T_347 = cat(_T_341, _T_342) @[Cat.scala 29:58] + mfdc_int <= _T_339 @[dec_tlu_ctl.scala 1728:11] + node _T_340 = bits(io.dec_csr_wrdata_r, 18, 16) @[dec_tlu_ctl.scala 1737:39] + node _T_341 = not(_T_340) @[dec_tlu_ctl.scala 1737:19] + node _T_342 = bits(io.dec_csr_wrdata_r, 11, 0) @[dec_tlu_ctl.scala 1737:66] + node _T_343 = cat(_T_341, _T_342) @[Cat.scala 29:58] + mfdc_ns <= _T_343 @[dec_tlu_ctl.scala 1737:12] + node _T_344 = bits(mfdc_int, 14, 12) @[dec_tlu_ctl.scala 1738:28] + node _T_345 = not(_T_344) @[dec_tlu_ctl.scala 1738:19] + node _T_346 = bits(mfdc_int, 11, 0) @[dec_tlu_ctl.scala 1738:54] + node _T_347 = cat(_T_345, UInt<4>("h00")) @[Cat.scala 29:58] node _T_348 = cat(_T_347, _T_346) @[Cat.scala 29:58] - mfdc_ns <= _T_348 @[dec_tlu_ctl.scala 1752:13] - node _T_349 = bits(mfdc_int, 14, 12) @[dec_tlu_ctl.scala 1753:29] - node _T_350 = not(_T_349) @[dec_tlu_ctl.scala 1753:20] - node _T_351 = bits(mfdc_int, 11, 7) @[dec_tlu_ctl.scala 1753:55] - node _T_352 = bits(mfdc_int, 6, 6) @[dec_tlu_ctl.scala 1753:72] - node _T_353 = not(_T_352) @[dec_tlu_ctl.scala 1753:63] - node _T_354 = bits(mfdc_int, 5, 0) @[dec_tlu_ctl.scala 1753:85] - node _T_355 = cat(_T_353, _T_354) @[Cat.scala 29:58] - node _T_356 = cat(_T_350, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_357 = cat(_T_356, _T_351) @[Cat.scala 29:58] - node _T_358 = cat(_T_357, _T_355) @[Cat.scala 29:58] - mfdc <= _T_358 @[dec_tlu_ctl.scala 1753:13] - node _T_359 = bits(mfdc, 18, 16) @[dec_tlu_ctl.scala 1761:46] - io.dec_tlu_dma_qos_prty <= _T_359 @[dec_tlu_ctl.scala 1761:39] - node _T_360 = bits(mfdc, 11, 11) @[dec_tlu_ctl.scala 1762:46] - io.dec_tlu_external_ldfwd_disable <= _T_360 @[dec_tlu_ctl.scala 1762:39] - node _T_361 = bits(mfdc, 8, 8) @[dec_tlu_ctl.scala 1763:46] - io.dec_tlu_core_ecc_disable <= _T_361 @[dec_tlu_ctl.scala 1763:39] - node _T_362 = bits(mfdc, 6, 6) @[dec_tlu_ctl.scala 1764:46] - io.dec_tlu_sideeffect_posted_disable <= _T_362 @[dec_tlu_ctl.scala 1764:39] - node _T_363 = bits(mfdc, 3, 3) @[dec_tlu_ctl.scala 1765:46] - io.dec_tlu_bpred_disable <= _T_363 @[dec_tlu_ctl.scala 1765:39] - node _T_364 = bits(mfdc, 2, 2) @[dec_tlu_ctl.scala 1766:46] - io.dec_tlu_wb_coalescing_disable <= _T_364 @[dec_tlu_ctl.scala 1766:39] - node _T_365 = bits(mfdc, 0, 0) @[dec_tlu_ctl.scala 1767:46] - io.dec_tlu_pipelining_disable <= _T_365 @[dec_tlu_ctl.scala 1767:39] - node _T_366 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1776:70] - node _T_367 = eq(_T_366, UInt<12>("h07c2")) @[dec_tlu_ctl.scala 1776:77] - node _T_368 = and(io.dec_csr_wen_r_mod, _T_367) @[dec_tlu_ctl.scala 1776:48] - node _T_369 = not(io.interrupt_valid_r) @[dec_tlu_ctl.scala 1776:89] - node _T_370 = and(_T_368, _T_369) @[dec_tlu_ctl.scala 1776:87] - node _T_371 = not(io.take_ext_int_start) @[dec_tlu_ctl.scala 1776:113] - node _T_372 = and(_T_370, _T_371) @[dec_tlu_ctl.scala 1776:111] - io.dec_tlu_wr_pause_r <= _T_372 @[dec_tlu_ctl.scala 1776:24] - node _T_373 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1783:61] - node _T_374 = eq(_T_373, UInt<12>("h07c0")) @[dec_tlu_ctl.scala 1783:68] - node wr_mrac_r = and(io.dec_csr_wen_r_mod, _T_374) @[dec_tlu_ctl.scala 1783:39] - node _T_375 = bits(io.dec_csr_wrdata_r, 31, 31) @[dec_tlu_ctl.scala 1786:39] - node _T_376 = bits(io.dec_csr_wrdata_r, 30, 30) @[dec_tlu_ctl.scala 1786:64] - node _T_377 = bits(io.dec_csr_wrdata_r, 31, 31) @[dec_tlu_ctl.scala 1786:91] - node _T_378 = not(_T_377) @[dec_tlu_ctl.scala 1786:71] - node _T_379 = and(_T_376, _T_378) @[dec_tlu_ctl.scala 1786:69] - node _T_380 = bits(io.dec_csr_wrdata_r, 29, 29) @[dec_tlu_ctl.scala 1787:41] - node _T_381 = bits(io.dec_csr_wrdata_r, 28, 28) @[dec_tlu_ctl.scala 1787:66] - node _T_382 = bits(io.dec_csr_wrdata_r, 29, 29) @[dec_tlu_ctl.scala 1787:93] - node _T_383 = not(_T_382) @[dec_tlu_ctl.scala 1787:73] - node _T_384 = and(_T_381, _T_383) @[dec_tlu_ctl.scala 1787:71] - node _T_385 = bits(io.dec_csr_wrdata_r, 27, 27) @[dec_tlu_ctl.scala 1788:41] - node _T_386 = bits(io.dec_csr_wrdata_r, 26, 26) @[dec_tlu_ctl.scala 1788:66] - node _T_387 = bits(io.dec_csr_wrdata_r, 27, 27) @[dec_tlu_ctl.scala 1788:93] - node _T_388 = not(_T_387) @[dec_tlu_ctl.scala 1788:73] - node _T_389 = and(_T_386, _T_388) @[dec_tlu_ctl.scala 1788:71] - node _T_390 = bits(io.dec_csr_wrdata_r, 25, 25) @[dec_tlu_ctl.scala 1789:41] - node _T_391 = bits(io.dec_csr_wrdata_r, 24, 24) @[dec_tlu_ctl.scala 1789:66] - node _T_392 = bits(io.dec_csr_wrdata_r, 25, 25) @[dec_tlu_ctl.scala 1789:93] - node _T_393 = not(_T_392) @[dec_tlu_ctl.scala 1789:73] - node _T_394 = and(_T_391, _T_393) @[dec_tlu_ctl.scala 1789:71] - node _T_395 = bits(io.dec_csr_wrdata_r, 23, 23) @[dec_tlu_ctl.scala 1790:41] - node _T_396 = bits(io.dec_csr_wrdata_r, 22, 22) @[dec_tlu_ctl.scala 1790:66] - node _T_397 = bits(io.dec_csr_wrdata_r, 23, 23) @[dec_tlu_ctl.scala 1790:93] - node _T_398 = not(_T_397) @[dec_tlu_ctl.scala 1790:73] - node _T_399 = and(_T_396, _T_398) @[dec_tlu_ctl.scala 1790:71] - node _T_400 = bits(io.dec_csr_wrdata_r, 21, 21) @[dec_tlu_ctl.scala 1791:41] - node _T_401 = bits(io.dec_csr_wrdata_r, 20, 20) @[dec_tlu_ctl.scala 1791:66] - node _T_402 = bits(io.dec_csr_wrdata_r, 21, 21) @[dec_tlu_ctl.scala 1791:93] - node _T_403 = not(_T_402) @[dec_tlu_ctl.scala 1791:73] - node _T_404 = and(_T_401, _T_403) @[dec_tlu_ctl.scala 1791:71] - node _T_405 = bits(io.dec_csr_wrdata_r, 19, 19) @[dec_tlu_ctl.scala 1792:41] - node _T_406 = bits(io.dec_csr_wrdata_r, 18, 18) @[dec_tlu_ctl.scala 1792:66] - node _T_407 = bits(io.dec_csr_wrdata_r, 19, 19) @[dec_tlu_ctl.scala 1792:93] - node _T_408 = not(_T_407) @[dec_tlu_ctl.scala 1792:73] - node _T_409 = and(_T_406, _T_408) @[dec_tlu_ctl.scala 1792:71] - node _T_410 = bits(io.dec_csr_wrdata_r, 17, 17) @[dec_tlu_ctl.scala 1793:41] - node _T_411 = bits(io.dec_csr_wrdata_r, 16, 16) @[dec_tlu_ctl.scala 1793:66] - node _T_412 = bits(io.dec_csr_wrdata_r, 17, 17) @[dec_tlu_ctl.scala 1793:93] - node _T_413 = not(_T_412) @[dec_tlu_ctl.scala 1793:73] - node _T_414 = and(_T_411, _T_413) @[dec_tlu_ctl.scala 1793:71] - node _T_415 = bits(io.dec_csr_wrdata_r, 15, 15) @[dec_tlu_ctl.scala 1794:41] - node _T_416 = bits(io.dec_csr_wrdata_r, 14, 14) @[dec_tlu_ctl.scala 1794:66] - node _T_417 = bits(io.dec_csr_wrdata_r, 15, 15) @[dec_tlu_ctl.scala 1794:93] - node _T_418 = not(_T_417) @[dec_tlu_ctl.scala 1794:73] - node _T_419 = and(_T_416, _T_418) @[dec_tlu_ctl.scala 1794:71] - node _T_420 = bits(io.dec_csr_wrdata_r, 13, 13) @[dec_tlu_ctl.scala 1795:41] - node _T_421 = bits(io.dec_csr_wrdata_r, 12, 12) @[dec_tlu_ctl.scala 1795:66] - node _T_422 = bits(io.dec_csr_wrdata_r, 13, 13) @[dec_tlu_ctl.scala 1795:93] - node _T_423 = not(_T_422) @[dec_tlu_ctl.scala 1795:73] - node _T_424 = and(_T_421, _T_423) @[dec_tlu_ctl.scala 1795:71] - node _T_425 = bits(io.dec_csr_wrdata_r, 11, 11) @[dec_tlu_ctl.scala 1796:41] - node _T_426 = bits(io.dec_csr_wrdata_r, 10, 10) @[dec_tlu_ctl.scala 1796:66] - node _T_427 = bits(io.dec_csr_wrdata_r, 11, 11) @[dec_tlu_ctl.scala 1796:93] - node _T_428 = not(_T_427) @[dec_tlu_ctl.scala 1796:73] - node _T_429 = and(_T_426, _T_428) @[dec_tlu_ctl.scala 1796:71] - node _T_430 = bits(io.dec_csr_wrdata_r, 9, 9) @[dec_tlu_ctl.scala 1797:41] - node _T_431 = bits(io.dec_csr_wrdata_r, 8, 8) @[dec_tlu_ctl.scala 1797:66] - node _T_432 = bits(io.dec_csr_wrdata_r, 9, 9) @[dec_tlu_ctl.scala 1797:93] - node _T_433 = not(_T_432) @[dec_tlu_ctl.scala 1797:73] - node _T_434 = and(_T_431, _T_433) @[dec_tlu_ctl.scala 1797:70] - node _T_435 = bits(io.dec_csr_wrdata_r, 7, 7) @[dec_tlu_ctl.scala 1798:41] - node _T_436 = bits(io.dec_csr_wrdata_r, 6, 6) @[dec_tlu_ctl.scala 1798:66] - node _T_437 = bits(io.dec_csr_wrdata_r, 7, 7) @[dec_tlu_ctl.scala 1798:93] - node _T_438 = not(_T_437) @[dec_tlu_ctl.scala 1798:73] - node _T_439 = and(_T_436, _T_438) @[dec_tlu_ctl.scala 1798:70] - node _T_440 = bits(io.dec_csr_wrdata_r, 5, 5) @[dec_tlu_ctl.scala 1799:41] - node _T_441 = bits(io.dec_csr_wrdata_r, 4, 4) @[dec_tlu_ctl.scala 1799:66] - node _T_442 = bits(io.dec_csr_wrdata_r, 5, 5) @[dec_tlu_ctl.scala 1799:93] - node _T_443 = not(_T_442) @[dec_tlu_ctl.scala 1799:73] - node _T_444 = and(_T_441, _T_443) @[dec_tlu_ctl.scala 1799:70] - node _T_445 = bits(io.dec_csr_wrdata_r, 3, 3) @[dec_tlu_ctl.scala 1800:41] - node _T_446 = bits(io.dec_csr_wrdata_r, 2, 2) @[dec_tlu_ctl.scala 1800:66] - node _T_447 = bits(io.dec_csr_wrdata_r, 3, 3) @[dec_tlu_ctl.scala 1800:93] - node _T_448 = not(_T_447) @[dec_tlu_ctl.scala 1800:73] - node _T_449 = and(_T_446, _T_448) @[dec_tlu_ctl.scala 1800:70] - node _T_450 = bits(io.dec_csr_wrdata_r, 1, 1) @[dec_tlu_ctl.scala 1801:41] - node _T_451 = bits(io.dec_csr_wrdata_r, 0, 0) @[dec_tlu_ctl.scala 1801:66] - node _T_452 = bits(io.dec_csr_wrdata_r, 1, 1) @[dec_tlu_ctl.scala 1801:93] - node _T_453 = not(_T_452) @[dec_tlu_ctl.scala 1801:73] - node _T_454 = and(_T_451, _T_453) @[dec_tlu_ctl.scala 1801:70] - node _T_455 = cat(_T_450, _T_454) @[Cat.scala 29:58] - node _T_456 = cat(_T_445, _T_449) @[Cat.scala 29:58] + mfdc <= _T_348 @[dec_tlu_ctl.scala 1738:12] + node _T_349 = bits(mfdc, 18, 16) @[dec_tlu_ctl.scala 1742:46] + io.dec_tlu_dma_qos_prty <= _T_349 @[dec_tlu_ctl.scala 1742:39] + node _T_350 = bits(mfdc, 11, 11) @[dec_tlu_ctl.scala 1743:46] + io.dec_tlu_external_ldfwd_disable <= _T_350 @[dec_tlu_ctl.scala 1743:39] + node _T_351 = bits(mfdc, 8, 8) @[dec_tlu_ctl.scala 1744:46] + io.dec_tlu_core_ecc_disable <= _T_351 @[dec_tlu_ctl.scala 1744:39] + node _T_352 = bits(mfdc, 6, 6) @[dec_tlu_ctl.scala 1745:46] + io.dec_tlu_sideeffect_posted_disable <= _T_352 @[dec_tlu_ctl.scala 1745:39] + node _T_353 = bits(mfdc, 3, 3) @[dec_tlu_ctl.scala 1746:46] + io.dec_tlu_bpred_disable <= _T_353 @[dec_tlu_ctl.scala 1746:39] + node _T_354 = bits(mfdc, 2, 2) @[dec_tlu_ctl.scala 1747:46] + io.dec_tlu_wb_coalescing_disable <= _T_354 @[dec_tlu_ctl.scala 1747:39] + node _T_355 = bits(mfdc, 0, 0) @[dec_tlu_ctl.scala 1748:46] + io.dec_tlu_pipelining_disable <= _T_355 @[dec_tlu_ctl.scala 1748:39] + node _T_356 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1757:70] + node _T_357 = eq(_T_356, UInt<12>("h07c2")) @[dec_tlu_ctl.scala 1757:77] + node _T_358 = and(io.dec_csr_wen_r_mod, _T_357) @[dec_tlu_ctl.scala 1757:48] + node _T_359 = not(io.interrupt_valid_r) @[dec_tlu_ctl.scala 1757:89] + node _T_360 = and(_T_358, _T_359) @[dec_tlu_ctl.scala 1757:87] + node _T_361 = not(io.take_ext_int_start) @[dec_tlu_ctl.scala 1757:113] + node _T_362 = and(_T_360, _T_361) @[dec_tlu_ctl.scala 1757:111] + io.dec_tlu_wr_pause_r <= _T_362 @[dec_tlu_ctl.scala 1757:24] + node _T_363 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1764:61] + node _T_364 = eq(_T_363, UInt<12>("h07c0")) @[dec_tlu_ctl.scala 1764:68] + node wr_mrac_r = and(io.dec_csr_wen_r_mod, _T_364) @[dec_tlu_ctl.scala 1764:39] + node _T_365 = bits(io.dec_csr_wrdata_r, 31, 31) @[dec_tlu_ctl.scala 1767:39] + node _T_366 = bits(io.dec_csr_wrdata_r, 30, 30) @[dec_tlu_ctl.scala 1767:64] + node _T_367 = bits(io.dec_csr_wrdata_r, 31, 31) @[dec_tlu_ctl.scala 1767:91] + node _T_368 = not(_T_367) @[dec_tlu_ctl.scala 1767:71] + node _T_369 = and(_T_366, _T_368) @[dec_tlu_ctl.scala 1767:69] + node _T_370 = bits(io.dec_csr_wrdata_r, 29, 29) @[dec_tlu_ctl.scala 1768:41] + node _T_371 = bits(io.dec_csr_wrdata_r, 28, 28) @[dec_tlu_ctl.scala 1768:66] + node _T_372 = bits(io.dec_csr_wrdata_r, 29, 29) @[dec_tlu_ctl.scala 1768:93] + node _T_373 = not(_T_372) @[dec_tlu_ctl.scala 1768:73] + node _T_374 = and(_T_371, _T_373) @[dec_tlu_ctl.scala 1768:71] + node _T_375 = bits(io.dec_csr_wrdata_r, 27, 27) @[dec_tlu_ctl.scala 1769:41] + node _T_376 = bits(io.dec_csr_wrdata_r, 26, 26) @[dec_tlu_ctl.scala 1769:66] + node _T_377 = bits(io.dec_csr_wrdata_r, 27, 27) @[dec_tlu_ctl.scala 1769:93] + node _T_378 = not(_T_377) @[dec_tlu_ctl.scala 1769:73] + node _T_379 = and(_T_376, _T_378) @[dec_tlu_ctl.scala 1769:71] + node _T_380 = bits(io.dec_csr_wrdata_r, 25, 25) @[dec_tlu_ctl.scala 1770:41] + node _T_381 = bits(io.dec_csr_wrdata_r, 24, 24) @[dec_tlu_ctl.scala 1770:66] + node _T_382 = bits(io.dec_csr_wrdata_r, 25, 25) @[dec_tlu_ctl.scala 1770:93] + node _T_383 = not(_T_382) @[dec_tlu_ctl.scala 1770:73] + node _T_384 = and(_T_381, _T_383) @[dec_tlu_ctl.scala 1770:71] + node _T_385 = bits(io.dec_csr_wrdata_r, 23, 23) @[dec_tlu_ctl.scala 1771:41] + node _T_386 = bits(io.dec_csr_wrdata_r, 22, 22) @[dec_tlu_ctl.scala 1771:66] + node _T_387 = bits(io.dec_csr_wrdata_r, 23, 23) @[dec_tlu_ctl.scala 1771:93] + node _T_388 = not(_T_387) @[dec_tlu_ctl.scala 1771:73] + node _T_389 = and(_T_386, _T_388) @[dec_tlu_ctl.scala 1771:71] + node _T_390 = bits(io.dec_csr_wrdata_r, 21, 21) @[dec_tlu_ctl.scala 1772:41] + node _T_391 = bits(io.dec_csr_wrdata_r, 20, 20) @[dec_tlu_ctl.scala 1772:66] + node _T_392 = bits(io.dec_csr_wrdata_r, 21, 21) @[dec_tlu_ctl.scala 1772:93] + node _T_393 = not(_T_392) @[dec_tlu_ctl.scala 1772:73] + node _T_394 = and(_T_391, _T_393) @[dec_tlu_ctl.scala 1772:71] + node _T_395 = bits(io.dec_csr_wrdata_r, 19, 19) @[dec_tlu_ctl.scala 1773:41] + node _T_396 = bits(io.dec_csr_wrdata_r, 18, 18) @[dec_tlu_ctl.scala 1773:66] + node _T_397 = bits(io.dec_csr_wrdata_r, 19, 19) @[dec_tlu_ctl.scala 1773:93] + node _T_398 = not(_T_397) @[dec_tlu_ctl.scala 1773:73] + node _T_399 = and(_T_396, _T_398) @[dec_tlu_ctl.scala 1773:71] + node _T_400 = bits(io.dec_csr_wrdata_r, 17, 17) @[dec_tlu_ctl.scala 1774:41] + node _T_401 = bits(io.dec_csr_wrdata_r, 16, 16) @[dec_tlu_ctl.scala 1774:66] + node _T_402 = bits(io.dec_csr_wrdata_r, 17, 17) @[dec_tlu_ctl.scala 1774:93] + node _T_403 = not(_T_402) @[dec_tlu_ctl.scala 1774:73] + node _T_404 = and(_T_401, _T_403) @[dec_tlu_ctl.scala 1774:71] + node _T_405 = bits(io.dec_csr_wrdata_r, 15, 15) @[dec_tlu_ctl.scala 1775:41] + node _T_406 = bits(io.dec_csr_wrdata_r, 14, 14) @[dec_tlu_ctl.scala 1775:66] + node _T_407 = bits(io.dec_csr_wrdata_r, 15, 15) @[dec_tlu_ctl.scala 1775:93] + node _T_408 = not(_T_407) @[dec_tlu_ctl.scala 1775:73] + node _T_409 = and(_T_406, _T_408) @[dec_tlu_ctl.scala 1775:71] + node _T_410 = bits(io.dec_csr_wrdata_r, 13, 13) @[dec_tlu_ctl.scala 1776:41] + node _T_411 = bits(io.dec_csr_wrdata_r, 12, 12) @[dec_tlu_ctl.scala 1776:66] + node _T_412 = bits(io.dec_csr_wrdata_r, 13, 13) @[dec_tlu_ctl.scala 1776:93] + node _T_413 = not(_T_412) @[dec_tlu_ctl.scala 1776:73] + node _T_414 = and(_T_411, _T_413) @[dec_tlu_ctl.scala 1776:71] + node _T_415 = bits(io.dec_csr_wrdata_r, 11, 11) @[dec_tlu_ctl.scala 1777:41] + node _T_416 = bits(io.dec_csr_wrdata_r, 10, 10) @[dec_tlu_ctl.scala 1777:66] + node _T_417 = bits(io.dec_csr_wrdata_r, 11, 11) @[dec_tlu_ctl.scala 1777:93] + node _T_418 = not(_T_417) @[dec_tlu_ctl.scala 1777:73] + node _T_419 = and(_T_416, _T_418) @[dec_tlu_ctl.scala 1777:71] + node _T_420 = bits(io.dec_csr_wrdata_r, 9, 9) @[dec_tlu_ctl.scala 1778:41] + node _T_421 = bits(io.dec_csr_wrdata_r, 8, 8) @[dec_tlu_ctl.scala 1778:66] + node _T_422 = bits(io.dec_csr_wrdata_r, 9, 9) @[dec_tlu_ctl.scala 1778:93] + node _T_423 = not(_T_422) @[dec_tlu_ctl.scala 1778:73] + node _T_424 = and(_T_421, _T_423) @[dec_tlu_ctl.scala 1778:70] + node _T_425 = bits(io.dec_csr_wrdata_r, 7, 7) @[dec_tlu_ctl.scala 1779:41] + node _T_426 = bits(io.dec_csr_wrdata_r, 6, 6) @[dec_tlu_ctl.scala 1779:66] + node _T_427 = bits(io.dec_csr_wrdata_r, 7, 7) @[dec_tlu_ctl.scala 1779:93] + node _T_428 = not(_T_427) @[dec_tlu_ctl.scala 1779:73] + node _T_429 = and(_T_426, _T_428) @[dec_tlu_ctl.scala 1779:70] + node _T_430 = bits(io.dec_csr_wrdata_r, 5, 5) @[dec_tlu_ctl.scala 1780:41] + node _T_431 = bits(io.dec_csr_wrdata_r, 4, 4) @[dec_tlu_ctl.scala 1780:66] + node _T_432 = bits(io.dec_csr_wrdata_r, 5, 5) @[dec_tlu_ctl.scala 1780:93] + node _T_433 = not(_T_432) @[dec_tlu_ctl.scala 1780:73] + node _T_434 = and(_T_431, _T_433) @[dec_tlu_ctl.scala 1780:70] + node _T_435 = bits(io.dec_csr_wrdata_r, 3, 3) @[dec_tlu_ctl.scala 1781:41] + node _T_436 = bits(io.dec_csr_wrdata_r, 2, 2) @[dec_tlu_ctl.scala 1781:66] + node _T_437 = bits(io.dec_csr_wrdata_r, 3, 3) @[dec_tlu_ctl.scala 1781:93] + node _T_438 = not(_T_437) @[dec_tlu_ctl.scala 1781:73] + node _T_439 = and(_T_436, _T_438) @[dec_tlu_ctl.scala 1781:70] + node _T_440 = bits(io.dec_csr_wrdata_r, 1, 1) @[dec_tlu_ctl.scala 1782:41] + node _T_441 = bits(io.dec_csr_wrdata_r, 0, 0) @[dec_tlu_ctl.scala 1782:66] + node _T_442 = bits(io.dec_csr_wrdata_r, 1, 1) @[dec_tlu_ctl.scala 1782:93] + node _T_443 = not(_T_442) @[dec_tlu_ctl.scala 1782:73] + node _T_444 = and(_T_441, _T_443) @[dec_tlu_ctl.scala 1782:70] + node _T_445 = cat(_T_440, _T_444) @[Cat.scala 29:58] + node _T_446 = cat(_T_435, _T_439) @[Cat.scala 29:58] + node _T_447 = cat(_T_446, _T_445) @[Cat.scala 29:58] + node _T_448 = cat(_T_430, _T_434) @[Cat.scala 29:58] + node _T_449 = cat(_T_425, _T_429) @[Cat.scala 29:58] + node _T_450 = cat(_T_449, _T_448) @[Cat.scala 29:58] + node _T_451 = cat(_T_450, _T_447) @[Cat.scala 29:58] + node _T_452 = cat(_T_420, _T_424) @[Cat.scala 29:58] + node _T_453 = cat(_T_415, _T_419) @[Cat.scala 29:58] + node _T_454 = cat(_T_453, _T_452) @[Cat.scala 29:58] + node _T_455 = cat(_T_410, _T_414) @[Cat.scala 29:58] + node _T_456 = cat(_T_405, _T_409) @[Cat.scala 29:58] node _T_457 = cat(_T_456, _T_455) @[Cat.scala 29:58] - node _T_458 = cat(_T_440, _T_444) @[Cat.scala 29:58] - node _T_459 = cat(_T_435, _T_439) @[Cat.scala 29:58] - node _T_460 = cat(_T_459, _T_458) @[Cat.scala 29:58] - node _T_461 = cat(_T_460, _T_457) @[Cat.scala 29:58] - node _T_462 = cat(_T_430, _T_434) @[Cat.scala 29:58] - node _T_463 = cat(_T_425, _T_429) @[Cat.scala 29:58] - node _T_464 = cat(_T_463, _T_462) @[Cat.scala 29:58] - node _T_465 = cat(_T_420, _T_424) @[Cat.scala 29:58] - node _T_466 = cat(_T_415, _T_419) @[Cat.scala 29:58] - node _T_467 = cat(_T_466, _T_465) @[Cat.scala 29:58] - node _T_468 = cat(_T_467, _T_464) @[Cat.scala 29:58] - node _T_469 = cat(_T_468, _T_461) @[Cat.scala 29:58] - node _T_470 = cat(_T_410, _T_414) @[Cat.scala 29:58] - node _T_471 = cat(_T_405, _T_409) @[Cat.scala 29:58] + node _T_458 = cat(_T_457, _T_454) @[Cat.scala 29:58] + node _T_459 = cat(_T_458, _T_451) @[Cat.scala 29:58] + node _T_460 = cat(_T_400, _T_404) @[Cat.scala 29:58] + node _T_461 = cat(_T_395, _T_399) @[Cat.scala 29:58] + node _T_462 = cat(_T_461, _T_460) @[Cat.scala 29:58] + node _T_463 = cat(_T_390, _T_394) @[Cat.scala 29:58] + node _T_464 = cat(_T_385, _T_389) @[Cat.scala 29:58] + node _T_465 = cat(_T_464, _T_463) @[Cat.scala 29:58] + node _T_466 = cat(_T_465, _T_462) @[Cat.scala 29:58] + node _T_467 = cat(_T_380, _T_384) @[Cat.scala 29:58] + node _T_468 = cat(_T_375, _T_379) @[Cat.scala 29:58] + node _T_469 = cat(_T_468, _T_467) @[Cat.scala 29:58] + node _T_470 = cat(_T_370, _T_374) @[Cat.scala 29:58] + node _T_471 = cat(_T_365, _T_369) @[Cat.scala 29:58] node _T_472 = cat(_T_471, _T_470) @[Cat.scala 29:58] - node _T_473 = cat(_T_400, _T_404) @[Cat.scala 29:58] - node _T_474 = cat(_T_395, _T_399) @[Cat.scala 29:58] - node _T_475 = cat(_T_474, _T_473) @[Cat.scala 29:58] - node _T_476 = cat(_T_475, _T_472) @[Cat.scala 29:58] - node _T_477 = cat(_T_390, _T_394) @[Cat.scala 29:58] - node _T_478 = cat(_T_385, _T_389) @[Cat.scala 29:58] - node _T_479 = cat(_T_478, _T_477) @[Cat.scala 29:58] - node _T_480 = cat(_T_380, _T_384) @[Cat.scala 29:58] - node _T_481 = cat(_T_375, _T_379) @[Cat.scala 29:58] - node _T_482 = cat(_T_481, _T_480) @[Cat.scala 29:58] - node _T_483 = cat(_T_482, _T_479) @[Cat.scala 29:58] - node _T_484 = cat(_T_483, _T_476) @[Cat.scala 29:58] - node mrac_in = cat(_T_484, _T_469) @[Cat.scala 29:58] - node _T_485 = bits(wr_mrac_r, 0, 0) @[dec_tlu_ctl.scala 1804:38] + node _T_473 = cat(_T_472, _T_469) @[Cat.scala 29:58] + node _T_474 = cat(_T_473, _T_466) @[Cat.scala 29:58] + node mrac_in = cat(_T_474, _T_459) @[Cat.scala 29:58] + node _T_475 = bits(wr_mrac_r, 0, 0) @[dec_tlu_ctl.scala 1785:38] inst rvclkhdr_10 of rvclkhdr_730 @[lib.scala 368:23] rvclkhdr_10.clock <= clock rvclkhdr_10.reset <= reset rvclkhdr_10.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_10.io.en <= _T_485 @[lib.scala 371:17] + rvclkhdr_10.io.en <= _T_475 @[lib.scala 371:17] rvclkhdr_10.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg mrac : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] mrac <= mrac_in @[lib.scala 374:16] - io.dec_tlu_mrac_ff <= mrac @[dec_tlu_ctl.scala 1806:21] - node _T_486 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1814:62] - node _T_487 = eq(_T_486, UInt<12>("h0bc0")) @[dec_tlu_ctl.scala 1814:69] - node wr_mdeau_r = and(io.dec_csr_wen_r_mod, _T_487) @[dec_tlu_ctl.scala 1814:40] - node _T_488 = not(wr_mdeau_r) @[dec_tlu_ctl.scala 1824:59] - node _T_489 = and(io.mdseac_locked_f, _T_488) @[dec_tlu_ctl.scala 1824:57] - node _T_490 = or(mdseac_en, _T_489) @[dec_tlu_ctl.scala 1824:35] - io.mdseac_locked_ns <= _T_490 @[dec_tlu_ctl.scala 1824:22] - node _T_491 = or(io.lsu_imprecise_error_store_any, io.lsu_imprecise_error_load_any) @[dec_tlu_ctl.scala 1826:49] - node _T_492 = not(io.nmi_int_detected_f) @[dec_tlu_ctl.scala 1826:86] - node _T_493 = and(_T_491, _T_492) @[dec_tlu_ctl.scala 1826:84] - node _T_494 = not(io.mdseac_locked_f) @[dec_tlu_ctl.scala 1826:111] - node _T_495 = and(_T_493, _T_494) @[dec_tlu_ctl.scala 1826:109] - mdseac_en <= _T_495 @[dec_tlu_ctl.scala 1826:12] - node _T_496 = bits(mdseac_en, 0, 0) @[dec_tlu_ctl.scala 1828:64] + io.dec_tlu_mrac_ff <= mrac @[dec_tlu_ctl.scala 1787:21] + node _T_476 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1795:62] + node _T_477 = eq(_T_476, UInt<12>("h0bc0")) @[dec_tlu_ctl.scala 1795:69] + node wr_mdeau_r = and(io.dec_csr_wen_r_mod, _T_477) @[dec_tlu_ctl.scala 1795:40] + node _T_478 = not(wr_mdeau_r) @[dec_tlu_ctl.scala 1805:59] + node _T_479 = and(io.mdseac_locked_f, _T_478) @[dec_tlu_ctl.scala 1805:57] + node _T_480 = or(mdseac_en, _T_479) @[dec_tlu_ctl.scala 1805:35] + io.mdseac_locked_ns <= _T_480 @[dec_tlu_ctl.scala 1805:22] + node _T_481 = or(io.lsu_imprecise_error_store_any, io.lsu_imprecise_error_load_any) @[dec_tlu_ctl.scala 1807:49] + node _T_482 = not(io.nmi_int_detected_f) @[dec_tlu_ctl.scala 1807:86] + node _T_483 = and(_T_481, _T_482) @[dec_tlu_ctl.scala 1807:84] + node _T_484 = not(io.mdseac_locked_f) @[dec_tlu_ctl.scala 1807:111] + node _T_485 = and(_T_483, _T_484) @[dec_tlu_ctl.scala 1807:109] + mdseac_en <= _T_485 @[dec_tlu_ctl.scala 1807:12] + node _T_486 = bits(mdseac_en, 0, 0) @[dec_tlu_ctl.scala 1809:64] inst rvclkhdr_11 of rvclkhdr_731 @[lib.scala 368:23] rvclkhdr_11.clock <= clock rvclkhdr_11.reset <= reset rvclkhdr_11.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_11.io.en <= _T_496 @[lib.scala 371:17] + rvclkhdr_11.io.en <= _T_486 @[lib.scala 371:17] rvclkhdr_11.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg mdseac : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] mdseac <= io.lsu_imprecise_error_addr_any @[lib.scala 374:16] - node _T_497 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1837:61] - node _T_498 = eq(_T_497, UInt<12>("h07c6")) @[dec_tlu_ctl.scala 1837:68] - node wr_mpmc_r = and(io.dec_csr_wen_r_mod, _T_498) @[dec_tlu_ctl.scala 1837:39] - node _T_499 = bits(io.dec_csr_wrdata_r, 0, 0) @[dec_tlu_ctl.scala 1841:51] - node _T_500 = and(wr_mpmc_r, _T_499) @[dec_tlu_ctl.scala 1841:30] - node _T_501 = not(io.internal_dbg_halt_mode_f2) @[dec_tlu_ctl.scala 1841:57] - node _T_502 = and(_T_500, _T_501) @[dec_tlu_ctl.scala 1841:55] - node _T_503 = not(io.ext_int_freeze_d1) @[dec_tlu_ctl.scala 1841:89] - node _T_504 = and(_T_502, _T_503) @[dec_tlu_ctl.scala 1841:87] - io.fw_halt_req <= _T_504 @[dec_tlu_ctl.scala 1841:17] + node _T_487 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1818:61] + node _T_488 = eq(_T_487, UInt<12>("h07c6")) @[dec_tlu_ctl.scala 1818:68] + node wr_mpmc_r = and(io.dec_csr_wen_r_mod, _T_488) @[dec_tlu_ctl.scala 1818:39] + node _T_489 = bits(io.dec_csr_wrdata_r, 0, 0) @[dec_tlu_ctl.scala 1822:51] + node _T_490 = and(wr_mpmc_r, _T_489) @[dec_tlu_ctl.scala 1822:30] + node _T_491 = not(io.internal_dbg_halt_mode_f2) @[dec_tlu_ctl.scala 1822:57] + node _T_492 = and(_T_490, _T_491) @[dec_tlu_ctl.scala 1822:55] + node _T_493 = not(io.ext_int_freeze_d1) @[dec_tlu_ctl.scala 1822:89] + node _T_494 = and(_T_492, _T_493) @[dec_tlu_ctl.scala 1822:87] + io.fw_halt_req <= _T_494 @[dec_tlu_ctl.scala 1822:17] wire fw_halted_ns : UInt<1> fw_halted_ns <= UInt<1>("h00") - reg fw_halted : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1843:48] - fw_halted <= fw_halted_ns @[dec_tlu_ctl.scala 1843:48] - node _T_505 = or(io.fw_halt_req, fw_halted) @[dec_tlu_ctl.scala 1844:34] - node _T_506 = not(set_mie_pmu_fw_halt) @[dec_tlu_ctl.scala 1844:49] - node _T_507 = and(_T_505, _T_506) @[dec_tlu_ctl.scala 1844:47] - fw_halted_ns <= _T_507 @[dec_tlu_ctl.scala 1844:15] - node _T_508 = bits(wr_mpmc_r, 0, 0) @[dec_tlu_ctl.scala 1845:29] - node _T_509 = bits(io.dec_csr_wrdata_r, 1, 1) @[dec_tlu_ctl.scala 1845:57] - node _T_510 = not(_T_509) @[dec_tlu_ctl.scala 1845:37] - node _T_511 = not(mpmc) @[dec_tlu_ctl.scala 1845:62] - node _T_512 = mux(_T_508, _T_510, _T_511) @[dec_tlu_ctl.scala 1845:18] - mpmc_b_ns <= _T_512 @[dec_tlu_ctl.scala 1845:12] - reg _T_513 : UInt, io.csr_wr_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1847:44] - _T_513 <= mpmc_b_ns @[dec_tlu_ctl.scala 1847:44] - mpmc_b <= _T_513 @[dec_tlu_ctl.scala 1847:9] - node _T_514 = not(mpmc_b) @[dec_tlu_ctl.scala 1850:10] - mpmc <= _T_514 @[dec_tlu_ctl.scala 1850:7] - node _T_515 = bits(io.dec_csr_wrdata_r, 31, 27) @[dec_tlu_ctl.scala 1859:40] - node _T_516 = gt(_T_515, UInt<5>("h01a")) @[dec_tlu_ctl.scala 1859:48] - node _T_517 = bits(io.dec_csr_wrdata_r, 31, 27) @[dec_tlu_ctl.scala 1859:92] - node csr_sat = mux(_T_516, UInt<5>("h01a"), _T_517) @[dec_tlu_ctl.scala 1859:19] - node _T_518 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1861:63] - node _T_519 = eq(_T_518, UInt<12>("h07f0")) @[dec_tlu_ctl.scala 1861:70] - node wr_micect_r = and(io.dec_csr_wen_r_mod, _T_519) @[dec_tlu_ctl.scala 1861:41] - node _T_520 = cat(UInt<26>("h00"), io.ic_perr_r_d1) @[Cat.scala 29:58] - node _T_521 = add(micect, _T_520) @[dec_tlu_ctl.scala 1862:23] - node _T_522 = tail(_T_521, 1) @[dec_tlu_ctl.scala 1862:23] - micect_inc <= _T_522 @[dec_tlu_ctl.scala 1862:13] - node _T_523 = bits(wr_micect_r, 0, 0) @[dec_tlu_ctl.scala 1863:35] - node _T_524 = bits(io.dec_csr_wrdata_r, 26, 0) @[dec_tlu_ctl.scala 1863:75] - node _T_525 = cat(csr_sat, _T_524) @[Cat.scala 29:58] - node _T_526 = bits(micect, 31, 27) @[dec_tlu_ctl.scala 1863:95] - node _T_527 = cat(_T_526, micect_inc) @[Cat.scala 29:58] - node micect_ns = mux(_T_523, _T_525, _T_527) @[dec_tlu_ctl.scala 1863:22] - node _T_528 = or(wr_micect_r, io.ic_perr_r_d1) @[dec_tlu_ctl.scala 1865:42] - node _T_529 = bits(_T_528, 0, 0) @[dec_tlu_ctl.scala 1865:61] + reg fw_halted : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1824:48] + fw_halted <= fw_halted_ns @[dec_tlu_ctl.scala 1824:48] + node _T_495 = or(io.fw_halt_req, fw_halted) @[dec_tlu_ctl.scala 1825:34] + node _T_496 = not(set_mie_pmu_fw_halt) @[dec_tlu_ctl.scala 1825:49] + node _T_497 = and(_T_495, _T_496) @[dec_tlu_ctl.scala 1825:47] + fw_halted_ns <= _T_497 @[dec_tlu_ctl.scala 1825:15] + node _T_498 = bits(wr_mpmc_r, 0, 0) @[dec_tlu_ctl.scala 1826:29] + node _T_499 = bits(io.dec_csr_wrdata_r, 1, 1) @[dec_tlu_ctl.scala 1826:57] + node _T_500 = not(_T_499) @[dec_tlu_ctl.scala 1826:37] + node _T_501 = not(mpmc) @[dec_tlu_ctl.scala 1826:62] + node _T_502 = mux(_T_498, _T_500, _T_501) @[dec_tlu_ctl.scala 1826:18] + mpmc_b_ns <= _T_502 @[dec_tlu_ctl.scala 1826:12] + reg _T_503 : UInt, io.csr_wr_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1828:44] + _T_503 <= mpmc_b_ns @[dec_tlu_ctl.scala 1828:44] + mpmc_b <= _T_503 @[dec_tlu_ctl.scala 1828:9] + node _T_504 = not(mpmc_b) @[dec_tlu_ctl.scala 1831:10] + mpmc <= _T_504 @[dec_tlu_ctl.scala 1831:7] + node _T_505 = bits(io.dec_csr_wrdata_r, 31, 27) @[dec_tlu_ctl.scala 1840:40] + node _T_506 = gt(_T_505, UInt<5>("h01a")) @[dec_tlu_ctl.scala 1840:48] + node _T_507 = bits(io.dec_csr_wrdata_r, 31, 27) @[dec_tlu_ctl.scala 1840:92] + node csr_sat = mux(_T_506, UInt<5>("h01a"), _T_507) @[dec_tlu_ctl.scala 1840:19] + node _T_508 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1842:63] + node _T_509 = eq(_T_508, UInt<12>("h07f0")) @[dec_tlu_ctl.scala 1842:70] + node wr_micect_r = and(io.dec_csr_wen_r_mod, _T_509) @[dec_tlu_ctl.scala 1842:41] + node _T_510 = cat(UInt<26>("h00"), io.ic_perr_r_d1) @[Cat.scala 29:58] + node _T_511 = add(micect, _T_510) @[dec_tlu_ctl.scala 1843:23] + node _T_512 = tail(_T_511, 1) @[dec_tlu_ctl.scala 1843:23] + micect_inc <= _T_512 @[dec_tlu_ctl.scala 1843:13] + node _T_513 = bits(wr_micect_r, 0, 0) @[dec_tlu_ctl.scala 1844:35] + node _T_514 = bits(io.dec_csr_wrdata_r, 26, 0) @[dec_tlu_ctl.scala 1844:75] + node _T_515 = cat(csr_sat, _T_514) @[Cat.scala 29:58] + node _T_516 = bits(micect, 31, 27) @[dec_tlu_ctl.scala 1844:95] + node _T_517 = cat(_T_516, micect_inc) @[Cat.scala 29:58] + node micect_ns = mux(_T_513, _T_515, _T_517) @[dec_tlu_ctl.scala 1844:22] + node _T_518 = or(wr_micect_r, io.ic_perr_r_d1) @[dec_tlu_ctl.scala 1846:42] + node _T_519 = bits(_T_518, 0, 0) @[dec_tlu_ctl.scala 1846:61] inst rvclkhdr_12 of rvclkhdr_732 @[lib.scala 368:23] rvclkhdr_12.clock <= clock rvclkhdr_12.reset <= reset rvclkhdr_12.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_12.io.en <= _T_529 @[lib.scala 371:17] + rvclkhdr_12.io.en <= _T_519 @[lib.scala 371:17] rvclkhdr_12.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_530 : UInt, rvclkhdr_12.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_530 <= micect_ns @[lib.scala 374:16] - micect <= _T_530 @[dec_tlu_ctl.scala 1865:9] - node _T_531 = bits(micect, 31, 27) @[dec_tlu_ctl.scala 1867:48] - node _T_532 = dshl(UInt<32>("h0ffffffff"), _T_531) @[dec_tlu_ctl.scala 1867:39] - node _T_533 = bits(micect, 26, 0) @[dec_tlu_ctl.scala 1867:79] - node _T_534 = cat(UInt<5>("h00"), _T_533) @[Cat.scala 29:58] - node _T_535 = and(_T_532, _T_534) @[dec_tlu_ctl.scala 1867:57] - node _T_536 = orr(_T_535) @[dec_tlu_ctl.scala 1867:88] - mice_ce_req <= _T_536 @[dec_tlu_ctl.scala 1867:14] - node _T_537 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1876:69] - node _T_538 = eq(_T_537, UInt<12>("h07f1")) @[dec_tlu_ctl.scala 1876:76] - node wr_miccmect_r = and(io.dec_csr_wen_r_mod, _T_538) @[dec_tlu_ctl.scala 1876:47] - node _T_539 = bits(miccmect, 26, 0) @[dec_tlu_ctl.scala 1877:26] - node _T_540 = or(io.iccm_sbecc_r_d1, io.iccm_dma_sb_error) @[dec_tlu_ctl.scala 1877:70] - node _T_541 = cat(UInt<26>("h00"), _T_540) @[Cat.scala 29:58] - node _T_542 = add(_T_539, _T_541) @[dec_tlu_ctl.scala 1877:33] - node _T_543 = tail(_T_542, 1) @[dec_tlu_ctl.scala 1877:33] - miccmect_inc <= _T_543 @[dec_tlu_ctl.scala 1877:15] - node _T_544 = bits(wr_miccmect_r, 0, 0) @[dec_tlu_ctl.scala 1878:45] - node _T_545 = bits(io.dec_csr_wrdata_r, 26, 0) @[dec_tlu_ctl.scala 1878:85] - node _T_546 = cat(csr_sat, _T_545) @[Cat.scala 29:58] - node _T_547 = bits(miccmect, 31, 27) @[dec_tlu_ctl.scala 1878:107] - node _T_548 = cat(_T_547, miccmect_inc) @[Cat.scala 29:58] - node miccmect_ns = mux(_T_544, _T_546, _T_548) @[dec_tlu_ctl.scala 1878:30] - node _T_549 = or(wr_miccmect_r, io.iccm_sbecc_r_d1) @[dec_tlu_ctl.scala 1880:48] - node _T_550 = or(_T_549, io.iccm_dma_sb_error) @[dec_tlu_ctl.scala 1880:69] - node _T_551 = bits(_T_550, 0, 0) @[dec_tlu_ctl.scala 1880:93] + reg _T_520 : UInt, rvclkhdr_12.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_520 <= micect_ns @[lib.scala 374:16] + micect <= _T_520 @[dec_tlu_ctl.scala 1846:9] + node _T_521 = bits(micect, 31, 27) @[dec_tlu_ctl.scala 1848:48] + node _T_522 = dshl(UInt<32>("h0ffffffff"), _T_521) @[dec_tlu_ctl.scala 1848:39] + node _T_523 = bits(micect, 26, 0) @[dec_tlu_ctl.scala 1848:79] + node _T_524 = cat(UInt<5>("h00"), _T_523) @[Cat.scala 29:58] + node _T_525 = and(_T_522, _T_524) @[dec_tlu_ctl.scala 1848:57] + node _T_526 = orr(_T_525) @[dec_tlu_ctl.scala 1848:88] + mice_ce_req <= _T_526 @[dec_tlu_ctl.scala 1848:14] + node _T_527 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1857:69] + node _T_528 = eq(_T_527, UInt<12>("h07f1")) @[dec_tlu_ctl.scala 1857:76] + node wr_miccmect_r = and(io.dec_csr_wen_r_mod, _T_528) @[dec_tlu_ctl.scala 1857:47] + node _T_529 = bits(miccmect, 26, 0) @[dec_tlu_ctl.scala 1858:26] + node _T_530 = or(io.iccm_sbecc_r_d1, io.iccm_dma_sb_error) @[dec_tlu_ctl.scala 1858:70] + node _T_531 = cat(UInt<26>("h00"), _T_530) @[Cat.scala 29:58] + node _T_532 = add(_T_529, _T_531) @[dec_tlu_ctl.scala 1858:33] + node _T_533 = tail(_T_532, 1) @[dec_tlu_ctl.scala 1858:33] + miccmect_inc <= _T_533 @[dec_tlu_ctl.scala 1858:15] + node _T_534 = bits(wr_miccmect_r, 0, 0) @[dec_tlu_ctl.scala 1859:45] + node _T_535 = bits(io.dec_csr_wrdata_r, 26, 0) @[dec_tlu_ctl.scala 1859:85] + node _T_536 = cat(csr_sat, _T_535) @[Cat.scala 29:58] + node _T_537 = bits(miccmect, 31, 27) @[dec_tlu_ctl.scala 1859:107] + node _T_538 = cat(_T_537, miccmect_inc) @[Cat.scala 29:58] + node miccmect_ns = mux(_T_534, _T_536, _T_538) @[dec_tlu_ctl.scala 1859:30] + node _T_539 = or(wr_miccmect_r, io.iccm_sbecc_r_d1) @[dec_tlu_ctl.scala 1861:48] + node _T_540 = or(_T_539, io.iccm_dma_sb_error) @[dec_tlu_ctl.scala 1861:69] + node _T_541 = bits(_T_540, 0, 0) @[dec_tlu_ctl.scala 1861:93] inst rvclkhdr_13 of rvclkhdr_733 @[lib.scala 368:23] rvclkhdr_13.clock <= clock rvclkhdr_13.reset <= reset rvclkhdr_13.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_13.io.en <= _T_551 @[lib.scala 371:17] + rvclkhdr_13.io.en <= _T_541 @[lib.scala 371:17] rvclkhdr_13.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_552 : UInt, rvclkhdr_13.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_552 <= miccmect_ns @[lib.scala 374:16] - miccmect <= _T_552 @[dec_tlu_ctl.scala 1880:11] - node _T_553 = bits(miccmect, 31, 27) @[dec_tlu_ctl.scala 1882:51] - node _T_554 = dshl(UInt<32>("h0ffffffff"), _T_553) @[dec_tlu_ctl.scala 1882:40] - node _T_555 = bits(miccmect, 26, 0) @[dec_tlu_ctl.scala 1882:84] - node _T_556 = cat(UInt<5>("h00"), _T_555) @[Cat.scala 29:58] - node _T_557 = and(_T_554, _T_556) @[dec_tlu_ctl.scala 1882:60] - node _T_558 = orr(_T_557) @[dec_tlu_ctl.scala 1882:93] - miccme_ce_req <= _T_558 @[dec_tlu_ctl.scala 1882:15] - node _T_559 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1891:69] - node _T_560 = eq(_T_559, UInt<12>("h07f2")) @[dec_tlu_ctl.scala 1891:76] - node wr_mdccmect_r = and(io.dec_csr_wen_r_mod, _T_560) @[dec_tlu_ctl.scala 1891:47] - node _T_561 = bits(mdccmect, 26, 0) @[dec_tlu_ctl.scala 1892:26] - node _T_562 = cat(UInt<26>("h00"), io.lsu_single_ecc_error_r_d1) @[Cat.scala 29:58] - node _T_563 = add(_T_561, _T_562) @[dec_tlu_ctl.scala 1892:33] - node _T_564 = tail(_T_563, 1) @[dec_tlu_ctl.scala 1892:33] - mdccmect_inc <= _T_564 @[dec_tlu_ctl.scala 1892:15] - node _T_565 = bits(wr_mdccmect_r, 0, 0) @[dec_tlu_ctl.scala 1893:45] - node _T_566 = bits(io.dec_csr_wrdata_r, 26, 0) @[dec_tlu_ctl.scala 1893:85] - node _T_567 = cat(csr_sat, _T_566) @[Cat.scala 29:58] - node _T_568 = bits(mdccmect, 31, 27) @[dec_tlu_ctl.scala 1893:107] - node _T_569 = cat(_T_568, mdccmect_inc) @[Cat.scala 29:58] - node mdccmect_ns = mux(_T_565, _T_567, _T_569) @[dec_tlu_ctl.scala 1893:30] - node _T_570 = or(wr_mdccmect_r, io.lsu_single_ecc_error_r_d1) @[dec_tlu_ctl.scala 1895:49] - node _T_571 = bits(_T_570, 0, 0) @[dec_tlu_ctl.scala 1895:81] + reg _T_542 : UInt, rvclkhdr_13.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_542 <= miccmect_ns @[lib.scala 374:16] + miccmect <= _T_542 @[dec_tlu_ctl.scala 1861:11] + node _T_543 = bits(miccmect, 31, 27) @[dec_tlu_ctl.scala 1863:51] + node _T_544 = dshl(UInt<32>("h0ffffffff"), _T_543) @[dec_tlu_ctl.scala 1863:40] + node _T_545 = bits(miccmect, 26, 0) @[dec_tlu_ctl.scala 1863:84] + node _T_546 = cat(UInt<5>("h00"), _T_545) @[Cat.scala 29:58] + node _T_547 = and(_T_544, _T_546) @[dec_tlu_ctl.scala 1863:60] + node _T_548 = orr(_T_547) @[dec_tlu_ctl.scala 1863:93] + miccme_ce_req <= _T_548 @[dec_tlu_ctl.scala 1863:15] + node _T_549 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1872:69] + node _T_550 = eq(_T_549, UInt<12>("h07f2")) @[dec_tlu_ctl.scala 1872:76] + node wr_mdccmect_r = and(io.dec_csr_wen_r_mod, _T_550) @[dec_tlu_ctl.scala 1872:47] + node _T_551 = bits(mdccmect, 26, 0) @[dec_tlu_ctl.scala 1873:26] + node _T_552 = cat(UInt<26>("h00"), io.lsu_single_ecc_error_r_d1) @[Cat.scala 29:58] + node _T_553 = add(_T_551, _T_552) @[dec_tlu_ctl.scala 1873:33] + node _T_554 = tail(_T_553, 1) @[dec_tlu_ctl.scala 1873:33] + mdccmect_inc <= _T_554 @[dec_tlu_ctl.scala 1873:15] + node _T_555 = bits(wr_mdccmect_r, 0, 0) @[dec_tlu_ctl.scala 1874:45] + node _T_556 = bits(io.dec_csr_wrdata_r, 26, 0) @[dec_tlu_ctl.scala 1874:85] + node _T_557 = cat(csr_sat, _T_556) @[Cat.scala 29:58] + node _T_558 = bits(mdccmect, 31, 27) @[dec_tlu_ctl.scala 1874:107] + node _T_559 = cat(_T_558, mdccmect_inc) @[Cat.scala 29:58] + node mdccmect_ns = mux(_T_555, _T_557, _T_559) @[dec_tlu_ctl.scala 1874:30] + node _T_560 = or(wr_mdccmect_r, io.lsu_single_ecc_error_r_d1) @[dec_tlu_ctl.scala 1876:49] + node _T_561 = bits(_T_560, 0, 0) @[dec_tlu_ctl.scala 1876:81] inst rvclkhdr_14 of rvclkhdr_734 @[lib.scala 368:23] rvclkhdr_14.clock <= clock rvclkhdr_14.reset <= reset rvclkhdr_14.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_14.io.en <= _T_571 @[lib.scala 371:17] + rvclkhdr_14.io.en <= _T_561 @[lib.scala 371:17] rvclkhdr_14.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_572 : UInt, rvclkhdr_14.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_572 <= mdccmect_ns @[lib.scala 374:16] - mdccmect <= _T_572 @[dec_tlu_ctl.scala 1895:11] - node _T_573 = bits(mdccmect, 31, 27) @[dec_tlu_ctl.scala 1897:52] - node _T_574 = dshl(UInt<32>("h0ffffffff"), _T_573) @[dec_tlu_ctl.scala 1897:41] - node _T_575 = bits(mdccmect, 26, 0) @[dec_tlu_ctl.scala 1897:85] - node _T_576 = cat(UInt<5>("h00"), _T_575) @[Cat.scala 29:58] - node _T_577 = and(_T_574, _T_576) @[dec_tlu_ctl.scala 1897:61] - node _T_578 = orr(_T_577) @[dec_tlu_ctl.scala 1897:94] - mdccme_ce_req <= _T_578 @[dec_tlu_ctl.scala 1897:16] - node _T_579 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1907:62] - node _T_580 = eq(_T_579, UInt<12>("h07ce")) @[dec_tlu_ctl.scala 1907:69] - node wr_mfdht_r = and(io.dec_csr_wen_r_mod, _T_580) @[dec_tlu_ctl.scala 1907:40] - node _T_581 = bits(wr_mfdht_r, 0, 0) @[dec_tlu_ctl.scala 1909:32] - node _T_582 = bits(io.dec_csr_wrdata_r, 5, 0) @[dec_tlu_ctl.scala 1909:59] - node mfdht_ns = mux(_T_581, _T_582, mfdht) @[dec_tlu_ctl.scala 1909:20] - reg _T_583 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1911:43] - _T_583 <= mfdht_ns @[dec_tlu_ctl.scala 1911:43] - mfdht <= _T_583 @[dec_tlu_ctl.scala 1911:8] - node _T_584 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1920:62] - node _T_585 = eq(_T_584, UInt<12>("h07cf")) @[dec_tlu_ctl.scala 1920:69] - node wr_mfdhs_r = and(io.dec_csr_wen_r_mod, _T_585) @[dec_tlu_ctl.scala 1920:40] - node _T_586 = bits(wr_mfdhs_r, 0, 0) @[dec_tlu_ctl.scala 1922:32] - node _T_587 = bits(io.dec_csr_wrdata_r, 1, 0) @[dec_tlu_ctl.scala 1922:60] - node _T_588 = not(io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 1923:43] - node _T_589 = and(io.dbg_tlu_halted, _T_588) @[dec_tlu_ctl.scala 1923:41] - node _T_590 = bits(_T_589, 0, 0) @[dec_tlu_ctl.scala 1923:65] - node _T_591 = not(io.lsu_idle_any_f) @[dec_tlu_ctl.scala 1923:78] - node _T_592 = not(io.ifu_miss_state_idle_f) @[dec_tlu_ctl.scala 1923:98] - node _T_593 = cat(_T_591, _T_592) @[Cat.scala 29:58] - node _T_594 = mux(_T_590, _T_593, mfdhs) @[dec_tlu_ctl.scala 1923:21] - node mfdhs_ns = mux(_T_586, _T_587, _T_594) @[dec_tlu_ctl.scala 1922:20] - node _T_595 = or(wr_mfdhs_r, io.dbg_tlu_halted) @[dec_tlu_ctl.scala 1925:71] - node _T_596 = bits(_T_595, 0, 0) @[dec_tlu_ctl.scala 1925:92] - reg _T_597 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_596 : @[Reg.scala 28:19] - _T_597 <= mfdhs_ns @[Reg.scala 28:23] + reg _T_562 : UInt, rvclkhdr_14.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_562 <= mdccmect_ns @[lib.scala 374:16] + mdccmect <= _T_562 @[dec_tlu_ctl.scala 1876:11] + node _T_563 = bits(mdccmect, 31, 27) @[dec_tlu_ctl.scala 1878:52] + node _T_564 = dshl(UInt<32>("h0ffffffff"), _T_563) @[dec_tlu_ctl.scala 1878:41] + node _T_565 = bits(mdccmect, 26, 0) @[dec_tlu_ctl.scala 1878:85] + node _T_566 = cat(UInt<5>("h00"), _T_565) @[Cat.scala 29:58] + node _T_567 = and(_T_564, _T_566) @[dec_tlu_ctl.scala 1878:61] + node _T_568 = orr(_T_567) @[dec_tlu_ctl.scala 1878:94] + mdccme_ce_req <= _T_568 @[dec_tlu_ctl.scala 1878:16] + node _T_569 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1888:62] + node _T_570 = eq(_T_569, UInt<12>("h07ce")) @[dec_tlu_ctl.scala 1888:69] + node wr_mfdht_r = and(io.dec_csr_wen_r_mod, _T_570) @[dec_tlu_ctl.scala 1888:40] + node _T_571 = bits(wr_mfdht_r, 0, 0) @[dec_tlu_ctl.scala 1890:32] + node _T_572 = bits(io.dec_csr_wrdata_r, 5, 0) @[dec_tlu_ctl.scala 1890:59] + node mfdht_ns = mux(_T_571, _T_572, mfdht) @[dec_tlu_ctl.scala 1890:20] + reg _T_573 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1892:43] + _T_573 <= mfdht_ns @[dec_tlu_ctl.scala 1892:43] + mfdht <= _T_573 @[dec_tlu_ctl.scala 1892:8] + node _T_574 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1901:62] + node _T_575 = eq(_T_574, UInt<12>("h07cf")) @[dec_tlu_ctl.scala 1901:69] + node wr_mfdhs_r = and(io.dec_csr_wen_r_mod, _T_575) @[dec_tlu_ctl.scala 1901:40] + node _T_576 = bits(wr_mfdhs_r, 0, 0) @[dec_tlu_ctl.scala 1903:32] + node _T_577 = bits(io.dec_csr_wrdata_r, 1, 0) @[dec_tlu_ctl.scala 1903:60] + node _T_578 = not(io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 1904:43] + node _T_579 = and(io.dbg_tlu_halted, _T_578) @[dec_tlu_ctl.scala 1904:41] + node _T_580 = bits(_T_579, 0, 0) @[dec_tlu_ctl.scala 1904:65] + node _T_581 = not(io.lsu_idle_any_f) @[dec_tlu_ctl.scala 1904:78] + node _T_582 = not(io.ifu_miss_state_idle_f) @[dec_tlu_ctl.scala 1904:98] + node _T_583 = cat(_T_581, _T_582) @[Cat.scala 29:58] + node _T_584 = mux(_T_580, _T_583, mfdhs) @[dec_tlu_ctl.scala 1904:21] + node mfdhs_ns = mux(_T_576, _T_577, _T_584) @[dec_tlu_ctl.scala 1903:20] + node _T_585 = or(wr_mfdhs_r, io.dbg_tlu_halted) @[dec_tlu_ctl.scala 1906:71] + node _T_586 = bits(_T_585, 0, 0) @[dec_tlu_ctl.scala 1906:92] + reg _T_587 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_586 : @[Reg.scala 28:19] + _T_587 <= mfdhs_ns @[Reg.scala 28:23] skip @[Reg.scala 28:19] - mfdhs <= _T_597 @[dec_tlu_ctl.scala 1925:8] - node _T_598 = bits(io.debug_halt_req_f, 0, 0) @[dec_tlu_ctl.scala 1927:47] - node _T_599 = add(force_halt_ctr_f, UInt<32>("h01")) @[dec_tlu_ctl.scala 1927:74] - node _T_600 = tail(_T_599, 1) @[dec_tlu_ctl.scala 1927:74] - node _T_601 = bits(io.dbg_tlu_halted_f, 0, 0) @[dec_tlu_ctl.scala 1928:48] - node _T_602 = mux(_T_601, UInt<32>("h00"), force_halt_ctr_f) @[dec_tlu_ctl.scala 1928:27] - node force_halt_ctr = mux(_T_598, _T_600, _T_602) @[dec_tlu_ctl.scala 1927:26] - node _T_603 = bits(mfdht, 0, 0) @[dec_tlu_ctl.scala 1930:81] - reg _T_604 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_603 : @[Reg.scala 28:19] - _T_604 <= force_halt_ctr @[Reg.scala 28:23] + mfdhs <= _T_587 @[dec_tlu_ctl.scala 1906:8] + node _T_588 = bits(io.debug_halt_req_f, 0, 0) @[dec_tlu_ctl.scala 1908:47] + node _T_589 = add(force_halt_ctr_f, UInt<32>("h01")) @[dec_tlu_ctl.scala 1908:74] + node _T_590 = tail(_T_589, 1) @[dec_tlu_ctl.scala 1908:74] + node _T_591 = bits(io.dbg_tlu_halted_f, 0, 0) @[dec_tlu_ctl.scala 1909:48] + node _T_592 = mux(_T_591, UInt<32>("h00"), force_halt_ctr_f) @[dec_tlu_ctl.scala 1909:27] + node force_halt_ctr = mux(_T_588, _T_590, _T_592) @[dec_tlu_ctl.scala 1908:26] + node _T_593 = bits(mfdht, 0, 0) @[dec_tlu_ctl.scala 1911:81] + reg _T_594 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_593 : @[Reg.scala 28:19] + _T_594 <= force_halt_ctr @[Reg.scala 28:23] skip @[Reg.scala 28:19] - force_halt_ctr_f <= _T_604 @[dec_tlu_ctl.scala 1930:19] - node _T_605 = bits(mfdht, 0, 0) @[dec_tlu_ctl.scala 1932:24] - node _T_606 = bits(mfdht, 5, 1) @[dec_tlu_ctl.scala 1932:79] - node _T_607 = dshl(UInt<32>("h0ffffffff"), _T_606) @[dec_tlu_ctl.scala 1932:71] - node _T_608 = and(force_halt_ctr_f, _T_607) @[dec_tlu_ctl.scala 1932:48] - node _T_609 = orr(_T_608) @[dec_tlu_ctl.scala 1932:87] - node _T_610 = and(_T_605, _T_609) @[dec_tlu_ctl.scala 1932:28] - io.force_halt <= _T_610 @[dec_tlu_ctl.scala 1932:16] - node _T_611 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1940:62] - node _T_612 = eq(_T_611, UInt<12>("h0bc8")) @[dec_tlu_ctl.scala 1940:69] - node wr_meivt_r = and(io.dec_csr_wen_r_mod, _T_612) @[dec_tlu_ctl.scala 1940:40] - node _T_613 = bits(io.dec_csr_wrdata_r, 31, 10) @[dec_tlu_ctl.scala 1942:40] - node _T_614 = bits(wr_meivt_r, 0, 0) @[dec_tlu_ctl.scala 1942:59] + force_halt_ctr_f <= _T_594 @[dec_tlu_ctl.scala 1911:19] + node _T_595 = bits(mfdht, 0, 0) @[dec_tlu_ctl.scala 1913:24] + node _T_596 = bits(mfdht, 5, 1) @[dec_tlu_ctl.scala 1913:79] + node _T_597 = dshl(UInt<32>("h0ffffffff"), _T_596) @[dec_tlu_ctl.scala 1913:71] + node _T_598 = and(force_halt_ctr_f, _T_597) @[dec_tlu_ctl.scala 1913:48] + node _T_599 = orr(_T_598) @[dec_tlu_ctl.scala 1913:87] + node _T_600 = and(_T_595, _T_599) @[dec_tlu_ctl.scala 1913:28] + io.force_halt <= _T_600 @[dec_tlu_ctl.scala 1913:16] + node _T_601 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1921:62] + node _T_602 = eq(_T_601, UInt<12>("h0bc8")) @[dec_tlu_ctl.scala 1921:69] + node wr_meivt_r = and(io.dec_csr_wen_r_mod, _T_602) @[dec_tlu_ctl.scala 1921:40] + node _T_603 = bits(io.dec_csr_wrdata_r, 31, 10) @[dec_tlu_ctl.scala 1923:40] + node _T_604 = bits(wr_meivt_r, 0, 0) @[dec_tlu_ctl.scala 1923:59] inst rvclkhdr_15 of rvclkhdr_735 @[lib.scala 368:23] rvclkhdr_15.clock <= clock rvclkhdr_15.reset <= reset rvclkhdr_15.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_15.io.en <= _T_614 @[lib.scala 371:17] + rvclkhdr_15.io.en <= _T_604 @[lib.scala 371:17] rvclkhdr_15.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg meivt : UInt, rvclkhdr_15.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - meivt <= _T_613 @[lib.scala 374:16] - node _T_615 = bits(wr_meicpct_r, 0, 0) @[dec_tlu_ctl.scala 1954:49] + meivt <= _T_603 @[lib.scala 374:16] + node _T_605 = bits(wr_meicpct_r, 0, 0) @[dec_tlu_ctl.scala 1935:49] inst rvclkhdr_16 of rvclkhdr_736 @[lib.scala 368:23] rvclkhdr_16.clock <= clock rvclkhdr_16.reset <= reset rvclkhdr_16.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_16.io.en <= _T_615 @[lib.scala 371:17] + rvclkhdr_16.io.en <= _T_605 @[lib.scala 371:17] rvclkhdr_16.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg meihap : UInt, rvclkhdr_16.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] meihap <= io.pic_claimid @[lib.scala 374:16] - node _T_616 = cat(meivt, meihap) @[Cat.scala 29:58] - io.dec_tlu_meihap <= _T_616 @[dec_tlu_ctl.scala 1955:20] - node _T_617 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1964:65] - node _T_618 = eq(_T_617, UInt<12>("h0bcc")) @[dec_tlu_ctl.scala 1964:72] - node wr_meicurpl_r = and(io.dec_csr_wen_r_mod, _T_618) @[dec_tlu_ctl.scala 1964:43] - node _T_619 = bits(wr_meicurpl_r, 0, 0) @[dec_tlu_ctl.scala 1965:38] - node _T_620 = bits(io.dec_csr_wrdata_r, 3, 0) @[dec_tlu_ctl.scala 1965:65] - node meicurpl_ns = mux(_T_619, _T_620, meicurpl) @[dec_tlu_ctl.scala 1965:23] - reg _T_621 : UInt, io.csr_wr_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1967:46] - _T_621 <= meicurpl_ns @[dec_tlu_ctl.scala 1967:46] - meicurpl <= _T_621 @[dec_tlu_ctl.scala 1967:11] - io.dec_tlu_meicurpl <= meicurpl @[dec_tlu_ctl.scala 1969:22] - node _T_622 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1979:66] - node _T_623 = eq(_T_622, UInt<12>("h0bcb")) @[dec_tlu_ctl.scala 1979:73] - node _T_624 = and(io.dec_csr_wen_r_mod, _T_623) @[dec_tlu_ctl.scala 1979:44] - node wr_meicidpl_r = or(_T_624, io.take_ext_int_start) @[dec_tlu_ctl.scala 1979:88] - node _T_625 = bits(wr_meicpct_r, 0, 0) @[dec_tlu_ctl.scala 1981:37] - node _T_626 = bits(wr_meicidpl_r, 0, 0) @[dec_tlu_ctl.scala 1982:38] - node _T_627 = bits(io.dec_csr_wrdata_r, 3, 0) @[dec_tlu_ctl.scala 1982:65] - node _T_628 = mux(_T_626, _T_627, meicidpl) @[dec_tlu_ctl.scala 1982:23] - node meicidpl_ns = mux(_T_625, io.pic_pl, _T_628) @[dec_tlu_ctl.scala 1981:23] - reg _T_629 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1984:44] - _T_629 <= meicidpl_ns @[dec_tlu_ctl.scala 1984:44] - meicidpl <= _T_629 @[dec_tlu_ctl.scala 1984:11] - node _T_630 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1991:62] - node _T_631 = eq(_T_630, UInt<12>("h0bca")) @[dec_tlu_ctl.scala 1991:69] - node _T_632 = and(io.dec_csr_wen_r_mod, _T_631) @[dec_tlu_ctl.scala 1991:40] - node _T_633 = or(_T_632, io.take_ext_int_start) @[dec_tlu_ctl.scala 1991:83] - wr_meicpct_r <= _T_633 @[dec_tlu_ctl.scala 1991:15] - node _T_634 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2000:62] - node _T_635 = eq(_T_634, UInt<12>("h0bc9")) @[dec_tlu_ctl.scala 2000:69] - node wr_meipt_r = and(io.dec_csr_wen_r_mod, _T_635) @[dec_tlu_ctl.scala 2000:40] - node _T_636 = bits(wr_meipt_r, 0, 0) @[dec_tlu_ctl.scala 2001:32] - node _T_637 = bits(io.dec_csr_wrdata_r, 3, 0) @[dec_tlu_ctl.scala 2001:59] - node meipt_ns = mux(_T_636, _T_637, meipt) @[dec_tlu_ctl.scala 2001:20] - reg _T_638 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2003:43] - _T_638 <= meipt_ns @[dec_tlu_ctl.scala 2003:43] - meipt <= _T_638 @[dec_tlu_ctl.scala 2003:8] - io.dec_tlu_meipt <= meipt @[dec_tlu_ctl.scala 2005:19] - node _T_639 = and(io.trigger_hit_r_d1, io.dcsr_single_step_done_f) @[dec_tlu_ctl.scala 2031:89] - node trigger_hit_for_dscr_cause_r_d1 = or(io.trigger_hit_dmode_r_d1, _T_639) @[dec_tlu_ctl.scala 2031:66] - node _T_640 = not(io.ebreak_to_debug_mode_r_d1) @[dec_tlu_ctl.scala 2034:31] - node _T_641 = and(io.dcsr_single_step_done_f, _T_640) @[dec_tlu_ctl.scala 2034:29] - node _T_642 = not(trigger_hit_for_dscr_cause_r_d1) @[dec_tlu_ctl.scala 2034:63] - node _T_643 = and(_T_641, _T_642) @[dec_tlu_ctl.scala 2034:61] - node _T_644 = not(io.debug_halt_req) @[dec_tlu_ctl.scala 2034:98] - node _T_645 = and(_T_643, _T_644) @[dec_tlu_ctl.scala 2034:96] - node _T_646 = bits(_T_645, 0, 0) @[dec_tlu_ctl.scala 2034:118] - node _T_647 = not(io.ebreak_to_debug_mode_r_d1) @[dec_tlu_ctl.scala 2035:48] - node _T_648 = and(io.debug_halt_req, _T_647) @[dec_tlu_ctl.scala 2035:46] - node _T_649 = not(trigger_hit_for_dscr_cause_r_d1) @[dec_tlu_ctl.scala 2035:80] - node _T_650 = and(_T_648, _T_649) @[dec_tlu_ctl.scala 2035:78] - node _T_651 = bits(_T_650, 0, 0) @[dec_tlu_ctl.scala 2035:114] - node _T_652 = not(trigger_hit_for_dscr_cause_r_d1) @[dec_tlu_ctl.scala 2036:77] - node _T_653 = and(io.ebreak_to_debug_mode_r_d1, _T_652) @[dec_tlu_ctl.scala 2036:75] - node _T_654 = bits(_T_653, 0, 0) @[dec_tlu_ctl.scala 2036:111] - node _T_655 = bits(trigger_hit_for_dscr_cause_r_d1, 0, 0) @[dec_tlu_ctl.scala 2037:108] - node _T_656 = mux(_T_646, UInt<3>("h04"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_657 = mux(_T_651, UInt<3>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_658 = mux(_T_654, UInt<3>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_659 = mux(_T_655, UInt<3>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_660 = or(_T_656, _T_657) @[Mux.scala 27:72] - node _T_661 = or(_T_660, _T_658) @[Mux.scala 27:72] - node _T_662 = or(_T_661, _T_659) @[Mux.scala 27:72] + node _T_606 = cat(meivt, meihap) @[Cat.scala 29:58] + io.dec_tlu_meihap <= _T_606 @[dec_tlu_ctl.scala 1936:20] + node _T_607 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1945:65] + node _T_608 = eq(_T_607, UInt<12>("h0bcc")) @[dec_tlu_ctl.scala 1945:72] + node wr_meicurpl_r = and(io.dec_csr_wen_r_mod, _T_608) @[dec_tlu_ctl.scala 1945:43] + node _T_609 = bits(wr_meicurpl_r, 0, 0) @[dec_tlu_ctl.scala 1946:38] + node _T_610 = bits(io.dec_csr_wrdata_r, 3, 0) @[dec_tlu_ctl.scala 1946:65] + node meicurpl_ns = mux(_T_609, _T_610, meicurpl) @[dec_tlu_ctl.scala 1946:23] + reg _T_611 : UInt, io.csr_wr_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1948:46] + _T_611 <= meicurpl_ns @[dec_tlu_ctl.scala 1948:46] + meicurpl <= _T_611 @[dec_tlu_ctl.scala 1948:11] + io.dec_tlu_meicurpl <= meicurpl @[dec_tlu_ctl.scala 1950:22] + node _T_612 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1960:66] + node _T_613 = eq(_T_612, UInt<12>("h0bcb")) @[dec_tlu_ctl.scala 1960:73] + node _T_614 = and(io.dec_csr_wen_r_mod, _T_613) @[dec_tlu_ctl.scala 1960:44] + node wr_meicidpl_r = or(_T_614, io.take_ext_int_start) @[dec_tlu_ctl.scala 1960:88] + node _T_615 = bits(wr_meicpct_r, 0, 0) @[dec_tlu_ctl.scala 1962:37] + node _T_616 = bits(wr_meicidpl_r, 0, 0) @[dec_tlu_ctl.scala 1963:38] + node _T_617 = bits(io.dec_csr_wrdata_r, 3, 0) @[dec_tlu_ctl.scala 1963:65] + node _T_618 = mux(_T_616, _T_617, meicidpl) @[dec_tlu_ctl.scala 1963:23] + node meicidpl_ns = mux(_T_615, io.pic_pl, _T_618) @[dec_tlu_ctl.scala 1962:23] + reg _T_619 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1965:44] + _T_619 <= meicidpl_ns @[dec_tlu_ctl.scala 1965:44] + meicidpl <= _T_619 @[dec_tlu_ctl.scala 1965:11] + node _T_620 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1972:62] + node _T_621 = eq(_T_620, UInt<12>("h0bca")) @[dec_tlu_ctl.scala 1972:69] + node _T_622 = and(io.dec_csr_wen_r_mod, _T_621) @[dec_tlu_ctl.scala 1972:40] + node _T_623 = or(_T_622, io.take_ext_int_start) @[dec_tlu_ctl.scala 1972:83] + wr_meicpct_r <= _T_623 @[dec_tlu_ctl.scala 1972:15] + node _T_624 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1981:62] + node _T_625 = eq(_T_624, UInt<12>("h0bc9")) @[dec_tlu_ctl.scala 1981:69] + node wr_meipt_r = and(io.dec_csr_wen_r_mod, _T_625) @[dec_tlu_ctl.scala 1981:40] + node _T_626 = bits(wr_meipt_r, 0, 0) @[dec_tlu_ctl.scala 1982:32] + node _T_627 = bits(io.dec_csr_wrdata_r, 3, 0) @[dec_tlu_ctl.scala 1982:59] + node meipt_ns = mux(_T_626, _T_627, meipt) @[dec_tlu_ctl.scala 1982:20] + reg _T_628 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1984:43] + _T_628 <= meipt_ns @[dec_tlu_ctl.scala 1984:43] + meipt <= _T_628 @[dec_tlu_ctl.scala 1984:8] + io.dec_tlu_meipt <= meipt @[dec_tlu_ctl.scala 1986:19] + node _T_629 = and(io.trigger_hit_r_d1, io.dcsr_single_step_done_f) @[dec_tlu_ctl.scala 2012:89] + node trigger_hit_for_dscr_cause_r_d1 = or(io.trigger_hit_dmode_r_d1, _T_629) @[dec_tlu_ctl.scala 2012:66] + node _T_630 = not(io.ebreak_to_debug_mode_r_d1) @[dec_tlu_ctl.scala 2015:31] + node _T_631 = and(io.dcsr_single_step_done_f, _T_630) @[dec_tlu_ctl.scala 2015:29] + node _T_632 = not(trigger_hit_for_dscr_cause_r_d1) @[dec_tlu_ctl.scala 2015:63] + node _T_633 = and(_T_631, _T_632) @[dec_tlu_ctl.scala 2015:61] + node _T_634 = not(io.debug_halt_req) @[dec_tlu_ctl.scala 2015:98] + node _T_635 = and(_T_633, _T_634) @[dec_tlu_ctl.scala 2015:96] + node _T_636 = bits(_T_635, 0, 0) @[dec_tlu_ctl.scala 2015:118] + node _T_637 = not(io.ebreak_to_debug_mode_r_d1) @[dec_tlu_ctl.scala 2016:48] + node _T_638 = and(io.debug_halt_req, _T_637) @[dec_tlu_ctl.scala 2016:46] + node _T_639 = not(trigger_hit_for_dscr_cause_r_d1) @[dec_tlu_ctl.scala 2016:80] + node _T_640 = and(_T_638, _T_639) @[dec_tlu_ctl.scala 2016:78] + node _T_641 = bits(_T_640, 0, 0) @[dec_tlu_ctl.scala 2016:114] + node _T_642 = not(trigger_hit_for_dscr_cause_r_d1) @[dec_tlu_ctl.scala 2017:77] + node _T_643 = and(io.ebreak_to_debug_mode_r_d1, _T_642) @[dec_tlu_ctl.scala 2017:75] + node _T_644 = bits(_T_643, 0, 0) @[dec_tlu_ctl.scala 2017:111] + node _T_645 = bits(trigger_hit_for_dscr_cause_r_d1, 0, 0) @[dec_tlu_ctl.scala 2018:108] + node _T_646 = mux(_T_636, UInt<3>("h04"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_647 = mux(_T_641, UInt<3>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_648 = mux(_T_644, UInt<3>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_649 = mux(_T_645, UInt<3>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_650 = or(_T_646, _T_647) @[Mux.scala 27:72] + node _T_651 = or(_T_650, _T_648) @[Mux.scala 27:72] + node _T_652 = or(_T_651, _T_649) @[Mux.scala 27:72] wire dcsr_cause : UInt<3> @[Mux.scala 27:72] - dcsr_cause <= _T_662 @[Mux.scala 27:72] - node _T_663 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2039:46] - node _T_664 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2039:91] - node _T_665 = eq(_T_664, UInt<12>("h07b0")) @[dec_tlu_ctl.scala 2039:98] - node wr_dcsr_r = and(_T_663, _T_665) @[dec_tlu_ctl.scala 2039:69] - node _T_666 = bits(io.dcsr, 8, 6) @[dec_tlu_ctl.scala 2045:69] - node _T_667 = eq(_T_666, UInt<3>("h03")) @[dec_tlu_ctl.scala 2045:75] - node dcsr_cause_upgradeable = and(io.internal_dbg_halt_mode_f, _T_667) @[dec_tlu_ctl.scala 2045:59] - node _T_668 = not(io.dbg_tlu_halted) @[dec_tlu_ctl.scala 2046:59] - node _T_669 = or(_T_668, dcsr_cause_upgradeable) @[dec_tlu_ctl.scala 2046:78] - node enter_debug_halt_req_le = and(io.enter_debug_halt_req, _T_669) @[dec_tlu_ctl.scala 2046:56] - node nmi_in_debug_mode = and(io.nmi_int_detected_f, io.internal_dbg_halt_mode_f) @[dec_tlu_ctl.scala 2048:48] - node _T_670 = bits(enter_debug_halt_req_le, 0, 0) @[dec_tlu_ctl.scala 2049:44] - node _T_671 = bits(io.dcsr, 15, 9) @[dec_tlu_ctl.scala 2049:64] - node _T_672 = bits(io.dcsr, 5, 2) @[dec_tlu_ctl.scala 2049:91] + dcsr_cause <= _T_652 @[Mux.scala 27:72] + node _T_653 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2020:46] + node _T_654 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2020:91] + node _T_655 = eq(_T_654, UInt<12>("h07b0")) @[dec_tlu_ctl.scala 2020:98] + node wr_dcsr_r = and(_T_653, _T_655) @[dec_tlu_ctl.scala 2020:69] + node _T_656 = bits(io.dcsr, 8, 6) @[dec_tlu_ctl.scala 2026:69] + node _T_657 = eq(_T_656, UInt<3>("h03")) @[dec_tlu_ctl.scala 2026:75] + node dcsr_cause_upgradeable = and(io.internal_dbg_halt_mode_f, _T_657) @[dec_tlu_ctl.scala 2026:59] + node _T_658 = not(io.dbg_tlu_halted) @[dec_tlu_ctl.scala 2027:59] + node _T_659 = or(_T_658, dcsr_cause_upgradeable) @[dec_tlu_ctl.scala 2027:78] + node enter_debug_halt_req_le = and(io.enter_debug_halt_req, _T_659) @[dec_tlu_ctl.scala 2027:56] + node nmi_in_debug_mode = and(io.nmi_int_detected_f, io.internal_dbg_halt_mode_f) @[dec_tlu_ctl.scala 2029:48] + node _T_660 = bits(enter_debug_halt_req_le, 0, 0) @[dec_tlu_ctl.scala 2030:44] + node _T_661 = bits(io.dcsr, 15, 9) @[dec_tlu_ctl.scala 2030:64] + node _T_662 = bits(io.dcsr, 5, 2) @[dec_tlu_ctl.scala 2030:91] + node _T_663 = cat(_T_662, UInt<2>("h03")) @[Cat.scala 29:58] + node _T_664 = cat(_T_661, dcsr_cause) @[Cat.scala 29:58] + node _T_665 = cat(_T_664, _T_663) @[Cat.scala 29:58] + node _T_666 = bits(wr_dcsr_r, 0, 0) @[dec_tlu_ctl.scala 2031:18] + node _T_667 = bits(io.dec_csr_wrdata_r, 15, 15) @[dec_tlu_ctl.scala 2031:49] + node _T_668 = bits(io.dec_csr_wrdata_r, 11, 10) @[dec_tlu_ctl.scala 2031:84] + node _T_669 = bits(io.dcsr, 8, 6) @[dec_tlu_ctl.scala 2031:110] + node _T_670 = bits(io.dcsr, 3, 3) @[dec_tlu_ctl.scala 2031:154] + node _T_671 = or(nmi_in_debug_mode, _T_670) @[dec_tlu_ctl.scala 2031:145] + node _T_672 = bits(io.dec_csr_wrdata_r, 2, 2) @[dec_tlu_ctl.scala 2031:178] node _T_673 = cat(_T_672, UInt<2>("h03")) @[Cat.scala 29:58] - node _T_674 = cat(_T_671, dcsr_cause) @[Cat.scala 29:58] + node _T_674 = cat(UInt<2>("h00"), _T_671) @[Cat.scala 29:58] node _T_675 = cat(_T_674, _T_673) @[Cat.scala 29:58] - node _T_676 = bits(wr_dcsr_r, 0, 0) @[dec_tlu_ctl.scala 2050:18] - node _T_677 = bits(io.dec_csr_wrdata_r, 15, 15) @[dec_tlu_ctl.scala 2050:49] - node _T_678 = bits(io.dec_csr_wrdata_r, 11, 10) @[dec_tlu_ctl.scala 2050:84] - node _T_679 = bits(io.dcsr, 8, 6) @[dec_tlu_ctl.scala 2050:110] - node _T_680 = bits(io.dcsr, 3, 3) @[dec_tlu_ctl.scala 2050:154] - node _T_681 = or(nmi_in_debug_mode, _T_680) @[dec_tlu_ctl.scala 2050:145] - node _T_682 = bits(io.dec_csr_wrdata_r, 2, 2) @[dec_tlu_ctl.scala 2050:178] + node _T_676 = cat(UInt<1>("h00"), _T_669) @[Cat.scala 29:58] + node _T_677 = cat(_T_667, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_678 = cat(_T_677, _T_668) @[Cat.scala 29:58] + node _T_679 = cat(_T_678, _T_676) @[Cat.scala 29:58] + node _T_680 = cat(_T_679, _T_675) @[Cat.scala 29:58] + node _T_681 = bits(io.dcsr, 15, 4) @[dec_tlu_ctl.scala 2031:211] + node _T_682 = bits(io.dcsr, 2, 2) @[dec_tlu_ctl.scala 2031:245] node _T_683 = cat(_T_682, UInt<2>("h03")) @[Cat.scala 29:58] - node _T_684 = cat(UInt<2>("h00"), _T_681) @[Cat.scala 29:58] + node _T_684 = cat(_T_681, nmi_in_debug_mode) @[Cat.scala 29:58] node _T_685 = cat(_T_684, _T_683) @[Cat.scala 29:58] - node _T_686 = cat(UInt<1>("h00"), _T_679) @[Cat.scala 29:58] - node _T_687 = cat(_T_677, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_688 = cat(_T_687, _T_678) @[Cat.scala 29:58] - node _T_689 = cat(_T_688, _T_686) @[Cat.scala 29:58] - node _T_690 = cat(_T_689, _T_685) @[Cat.scala 29:58] - node _T_691 = bits(io.dcsr, 15, 4) @[dec_tlu_ctl.scala 2050:211] - node _T_692 = bits(io.dcsr, 2, 2) @[dec_tlu_ctl.scala 2050:245] - node _T_693 = cat(_T_692, UInt<2>("h03")) @[Cat.scala 29:58] - node _T_694 = cat(_T_691, nmi_in_debug_mode) @[Cat.scala 29:58] - node _T_695 = cat(_T_694, _T_693) @[Cat.scala 29:58] - node _T_696 = mux(_T_676, _T_690, _T_695) @[dec_tlu_ctl.scala 2050:7] - node dcsr_ns = mux(_T_670, _T_675, _T_696) @[dec_tlu_ctl.scala 2049:19] - node _T_697 = or(enter_debug_halt_req_le, wr_dcsr_r) @[dec_tlu_ctl.scala 2052:54] - node _T_698 = or(_T_697, io.internal_dbg_halt_mode) @[dec_tlu_ctl.scala 2052:66] - node _T_699 = or(_T_698, io.take_nmi) @[dec_tlu_ctl.scala 2052:94] - node _T_700 = bits(_T_699, 0, 0) @[dec_tlu_ctl.scala 2052:109] + node _T_686 = mux(_T_666, _T_680, _T_685) @[dec_tlu_ctl.scala 2031:7] + node dcsr_ns = mux(_T_660, _T_665, _T_686) @[dec_tlu_ctl.scala 2030:19] + node _T_687 = or(enter_debug_halt_req_le, wr_dcsr_r) @[dec_tlu_ctl.scala 2033:54] + node _T_688 = or(_T_687, io.internal_dbg_halt_mode) @[dec_tlu_ctl.scala 2033:66] + node _T_689 = or(_T_688, io.take_nmi) @[dec_tlu_ctl.scala 2033:94] + node _T_690 = bits(_T_689, 0, 0) @[dec_tlu_ctl.scala 2033:109] inst rvclkhdr_17 of rvclkhdr_737 @[lib.scala 368:23] rvclkhdr_17.clock <= clock rvclkhdr_17.reset <= reset rvclkhdr_17.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_17.io.en <= _T_700 @[lib.scala 371:17] + rvclkhdr_17.io.en <= _T_690 @[lib.scala 371:17] rvclkhdr_17.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_701 : UInt, rvclkhdr_17.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_701 <= dcsr_ns @[lib.scala 374:16] - io.dcsr <= _T_701 @[dec_tlu_ctl.scala 2052:10] - node _T_702 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2060:45] - node _T_703 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2060:90] - node _T_704 = eq(_T_703, UInt<12>("h07b1")) @[dec_tlu_ctl.scala 2060:97] - node wr_dpc_r = and(_T_702, _T_704) @[dec_tlu_ctl.scala 2060:68] - node _T_705 = not(io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2061:44] - node _T_706 = and(io.dbg_tlu_halted, _T_705) @[dec_tlu_ctl.scala 2061:42] - node _T_707 = not(io.request_debug_mode_done) @[dec_tlu_ctl.scala 2061:67] - node dpc_capture_npc = and(_T_706, _T_707) @[dec_tlu_ctl.scala 2061:65] - node _T_708 = not(io.request_debug_mode_r) @[dec_tlu_ctl.scala 2065:21] - node _T_709 = not(dpc_capture_npc) @[dec_tlu_ctl.scala 2065:39] - node _T_710 = and(_T_708, _T_709) @[dec_tlu_ctl.scala 2065:37] - node _T_711 = and(_T_710, wr_dpc_r) @[dec_tlu_ctl.scala 2065:56] - node _T_712 = bits(_T_711, 0, 0) @[dec_tlu_ctl.scala 2065:68] - node _T_713 = bits(io.dec_csr_wrdata_r, 31, 1) @[dec_tlu_ctl.scala 2065:97] - node _T_714 = bits(io.request_debug_mode_r, 0, 0) @[dec_tlu_ctl.scala 2066:68] - node _T_715 = not(io.request_debug_mode_r) @[dec_tlu_ctl.scala 2067:33] - node _T_716 = and(_T_715, dpc_capture_npc) @[dec_tlu_ctl.scala 2067:49] - node _T_717 = bits(_T_716, 0, 0) @[dec_tlu_ctl.scala 2067:68] - node _T_718 = mux(_T_712, _T_713, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_719 = mux(_T_714, pc_r, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_720 = mux(_T_717, io.npc_r, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_721 = or(_T_718, _T_719) @[Mux.scala 27:72] - node _T_722 = or(_T_721, _T_720) @[Mux.scala 27:72] + reg _T_691 : UInt, rvclkhdr_17.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_691 <= dcsr_ns @[lib.scala 374:16] + io.dcsr <= _T_691 @[dec_tlu_ctl.scala 2033:10] + node _T_692 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2041:45] + node _T_693 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2041:90] + node _T_694 = eq(_T_693, UInt<12>("h07b1")) @[dec_tlu_ctl.scala 2041:97] + node wr_dpc_r = and(_T_692, _T_694) @[dec_tlu_ctl.scala 2041:68] + node _T_695 = not(io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2042:44] + node _T_696 = and(io.dbg_tlu_halted, _T_695) @[dec_tlu_ctl.scala 2042:42] + node _T_697 = not(io.request_debug_mode_done) @[dec_tlu_ctl.scala 2042:67] + node dpc_capture_npc = and(_T_696, _T_697) @[dec_tlu_ctl.scala 2042:65] + node _T_698 = not(io.request_debug_mode_r) @[dec_tlu_ctl.scala 2046:21] + node _T_699 = not(dpc_capture_npc) @[dec_tlu_ctl.scala 2046:39] + node _T_700 = and(_T_698, _T_699) @[dec_tlu_ctl.scala 2046:37] + node _T_701 = and(_T_700, wr_dpc_r) @[dec_tlu_ctl.scala 2046:56] + node _T_702 = bits(_T_701, 0, 0) @[dec_tlu_ctl.scala 2046:68] + node _T_703 = bits(io.dec_csr_wrdata_r, 31, 1) @[dec_tlu_ctl.scala 2046:97] + node _T_704 = bits(io.request_debug_mode_r, 0, 0) @[dec_tlu_ctl.scala 2047:68] + node _T_705 = not(io.request_debug_mode_r) @[dec_tlu_ctl.scala 2048:33] + node _T_706 = and(_T_705, dpc_capture_npc) @[dec_tlu_ctl.scala 2048:49] + node _T_707 = bits(_T_706, 0, 0) @[dec_tlu_ctl.scala 2048:68] + node _T_708 = mux(_T_702, _T_703, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_709 = mux(_T_704, pc_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_710 = mux(_T_707, io.npc_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_711 = or(_T_708, _T_709) @[Mux.scala 27:72] + node _T_712 = or(_T_711, _T_710) @[Mux.scala 27:72] wire dpc_ns : UInt<31> @[Mux.scala 27:72] - dpc_ns <= _T_722 @[Mux.scala 27:72] - node _T_723 = or(wr_dpc_r, io.request_debug_mode_r) @[dec_tlu_ctl.scala 2069:36] - node _T_724 = or(_T_723, dpc_capture_npc) @[dec_tlu_ctl.scala 2069:53] - node _T_725 = bits(_T_724, 0, 0) @[dec_tlu_ctl.scala 2069:72] + dpc_ns <= _T_712 @[Mux.scala 27:72] + node _T_713 = or(wr_dpc_r, io.request_debug_mode_r) @[dec_tlu_ctl.scala 2050:36] + node _T_714 = or(_T_713, dpc_capture_npc) @[dec_tlu_ctl.scala 2050:53] + node _T_715 = bits(_T_714, 0, 0) @[dec_tlu_ctl.scala 2050:72] inst rvclkhdr_18 of rvclkhdr_738 @[lib.scala 368:23] rvclkhdr_18.clock <= clock rvclkhdr_18.reset <= reset rvclkhdr_18.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_18.io.en <= _T_725 @[lib.scala 371:17] + rvclkhdr_18.io.en <= _T_715 @[lib.scala 371:17] rvclkhdr_18.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_726 : UInt, rvclkhdr_18.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_726 <= dpc_ns @[lib.scala 374:16] - io.dpc <= _T_726 @[dec_tlu_ctl.scala 2069:9] - node _T_727 = bits(io.dec_csr_wrdata_r, 24, 24) @[dec_tlu_ctl.scala 2083:43] - node _T_728 = bits(io.dec_csr_wrdata_r, 21, 20) @[dec_tlu_ctl.scala 2083:68] - node _T_729 = bits(io.dec_csr_wrdata_r, 16, 3) @[dec_tlu_ctl.scala 2083:96] - node _T_730 = cat(_T_727, _T_728) @[Cat.scala 29:58] - node dicawics_ns = cat(_T_730, _T_729) @[Cat.scala 29:58] - node _T_731 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2084:50] - node _T_732 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2084:95] - node _T_733 = eq(_T_732, UInt<12>("h07c8")) @[dec_tlu_ctl.scala 2084:102] - node wr_dicawics_r = and(_T_731, _T_733) @[dec_tlu_ctl.scala 2084:73] - node _T_734 = bits(wr_dicawics_r, 0, 0) @[dec_tlu_ctl.scala 2086:50] + reg _T_716 : UInt, rvclkhdr_18.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_716 <= dpc_ns @[lib.scala 374:16] + io.dpc <= _T_716 @[dec_tlu_ctl.scala 2050:9] + node _T_717 = bits(io.dec_csr_wrdata_r, 24, 24) @[dec_tlu_ctl.scala 2064:43] + node _T_718 = bits(io.dec_csr_wrdata_r, 21, 20) @[dec_tlu_ctl.scala 2064:68] + node _T_719 = bits(io.dec_csr_wrdata_r, 16, 3) @[dec_tlu_ctl.scala 2064:96] + node _T_720 = cat(_T_717, _T_718) @[Cat.scala 29:58] + node dicawics_ns = cat(_T_720, _T_719) @[Cat.scala 29:58] + node _T_721 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2065:50] + node _T_722 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2065:95] + node _T_723 = eq(_T_722, UInt<12>("h07c8")) @[dec_tlu_ctl.scala 2065:102] + node wr_dicawics_r = and(_T_721, _T_723) @[dec_tlu_ctl.scala 2065:73] + node _T_724 = bits(wr_dicawics_r, 0, 0) @[dec_tlu_ctl.scala 2067:50] inst rvclkhdr_19 of rvclkhdr_739 @[lib.scala 368:23] rvclkhdr_19.clock <= clock rvclkhdr_19.reset <= reset rvclkhdr_19.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_19.io.en <= _T_734 @[lib.scala 371:17] + rvclkhdr_19.io.en <= _T_724 @[lib.scala 371:17] rvclkhdr_19.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg dicawics : UInt, rvclkhdr_19.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] dicawics <= dicawics_ns @[lib.scala 374:16] - node _T_735 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2102:48] - node _T_736 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2102:93] - node _T_737 = eq(_T_736, UInt<12>("h07c9")) @[dec_tlu_ctl.scala 2102:100] - node wr_dicad0_r = and(_T_735, _T_737) @[dec_tlu_ctl.scala 2102:71] - node _T_738 = bits(wr_dicad0_r, 0, 0) @[dec_tlu_ctl.scala 2103:34] - node dicad0_ns = mux(_T_738, io.dec_csr_wrdata_r, io.ifu_ic_debug_rd_data) @[dec_tlu_ctl.scala 2103:21] - node _T_739 = or(wr_dicad0_r, io.ifu_ic_debug_rd_data_valid) @[dec_tlu_ctl.scala 2105:46] - node _T_740 = bits(_T_739, 0, 0) @[dec_tlu_ctl.scala 2105:79] + node _T_725 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2083:48] + node _T_726 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2083:93] + node _T_727 = eq(_T_726, UInt<12>("h07c9")) @[dec_tlu_ctl.scala 2083:100] + node wr_dicad0_r = and(_T_725, _T_727) @[dec_tlu_ctl.scala 2083:71] + node _T_728 = bits(wr_dicad0_r, 0, 0) @[dec_tlu_ctl.scala 2084:34] + node dicad0_ns = mux(_T_728, io.dec_csr_wrdata_r, io.ifu_ic_debug_rd_data) @[dec_tlu_ctl.scala 2084:21] + node _T_729 = or(wr_dicad0_r, io.ifu_ic_debug_rd_data_valid) @[dec_tlu_ctl.scala 2086:46] + node _T_730 = bits(_T_729, 0, 0) @[dec_tlu_ctl.scala 2086:79] inst rvclkhdr_20 of rvclkhdr_740 @[lib.scala 368:23] rvclkhdr_20.clock <= clock rvclkhdr_20.reset <= reset rvclkhdr_20.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_20.io.en <= _T_740 @[lib.scala 371:17] + rvclkhdr_20.io.en <= _T_730 @[lib.scala 371:17] rvclkhdr_20.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg dicad0 : UInt, rvclkhdr_20.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] dicad0 <= dicad0_ns @[lib.scala 374:16] - node _T_741 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2115:49] - node _T_742 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2115:94] - node _T_743 = eq(_T_742, UInt<12>("h07cc")) @[dec_tlu_ctl.scala 2115:101] - node wr_dicad0h_r = and(_T_741, _T_743) @[dec_tlu_ctl.scala 2115:72] - node _T_744 = bits(wr_dicad0h_r, 0, 0) @[dec_tlu_ctl.scala 2117:36] - node _T_745 = bits(io.ifu_ic_debug_rd_data, 63, 32) @[dec_tlu_ctl.scala 2117:88] - node dicad0h_ns = mux(_T_744, io.dec_csr_wrdata_r, _T_745) @[dec_tlu_ctl.scala 2117:22] - node _T_746 = or(wr_dicad0h_r, io.ifu_ic_debug_rd_data_valid) @[dec_tlu_ctl.scala 2119:48] - node _T_747 = bits(_T_746, 0, 0) @[dec_tlu_ctl.scala 2119:81] + node _T_731 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2096:49] + node _T_732 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2096:94] + node _T_733 = eq(_T_732, UInt<12>("h07cc")) @[dec_tlu_ctl.scala 2096:101] + node wr_dicad0h_r = and(_T_731, _T_733) @[dec_tlu_ctl.scala 2096:72] + node _T_734 = bits(wr_dicad0h_r, 0, 0) @[dec_tlu_ctl.scala 2098:36] + node _T_735 = bits(io.ifu_ic_debug_rd_data, 63, 32) @[dec_tlu_ctl.scala 2098:88] + node dicad0h_ns = mux(_T_734, io.dec_csr_wrdata_r, _T_735) @[dec_tlu_ctl.scala 2098:22] + node _T_736 = or(wr_dicad0h_r, io.ifu_ic_debug_rd_data_valid) @[dec_tlu_ctl.scala 2100:48] + node _T_737 = bits(_T_736, 0, 0) @[dec_tlu_ctl.scala 2100:81] inst rvclkhdr_21 of rvclkhdr_741 @[lib.scala 368:23] rvclkhdr_21.clock <= clock rvclkhdr_21.reset <= reset rvclkhdr_21.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_21.io.en <= _T_747 @[lib.scala 371:17] + rvclkhdr_21.io.en <= _T_737 @[lib.scala 371:17] rvclkhdr_21.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg dicad0h : UInt, rvclkhdr_21.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] dicad0h <= dicad0h_ns @[lib.scala 374:16] - wire _T_748 : UInt<7> - _T_748 <= UInt<1>("h00") - node _T_749 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2127:48] - node _T_750 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2127:93] - node _T_751 = eq(_T_750, UInt<12>("h07ca")) @[dec_tlu_ctl.scala 2127:100] - node _T_752 = and(_T_749, _T_751) @[dec_tlu_ctl.scala 2127:71] - node _T_753 = bits(_T_752, 0, 0) @[dec_tlu_ctl.scala 2129:34] - node _T_754 = bits(io.dec_csr_wrdata_r, 6, 0) @[dec_tlu_ctl.scala 2129:61] - node _T_755 = bits(io.ifu_ic_debug_rd_data, 70, 64) @[dec_tlu_ctl.scala 2129:91] - node _T_756 = mux(_T_753, _T_754, _T_755) @[dec_tlu_ctl.scala 2129:21] - node _T_757 = or(_T_752, io.ifu_ic_debug_rd_data_valid) @[dec_tlu_ctl.scala 2131:78] - node _T_758 = bits(_T_757, 0, 0) @[dec_tlu_ctl.scala 2131:111] - reg _T_759 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_758 : @[Reg.scala 28:19] - _T_759 <= _T_756 @[Reg.scala 28:23] + wire _T_738 : UInt<7> + _T_738 <= UInt<1>("h00") + node _T_739 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2108:48] + node _T_740 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2108:93] + node _T_741 = eq(_T_740, UInt<12>("h07ca")) @[dec_tlu_ctl.scala 2108:100] + node _T_742 = and(_T_739, _T_741) @[dec_tlu_ctl.scala 2108:71] + node _T_743 = bits(_T_742, 0, 0) @[dec_tlu_ctl.scala 2110:34] + node _T_744 = bits(io.ifu_ic_debug_rd_data, 70, 64) @[dec_tlu_ctl.scala 2110:86] + node _T_745 = mux(_T_743, io.dec_csr_wrdata_r, _T_744) @[dec_tlu_ctl.scala 2110:21] + node _T_746 = or(_T_742, io.ifu_ic_debug_rd_data_valid) @[dec_tlu_ctl.scala 2113:78] + node _T_747 = bits(_T_746, 0, 0) @[dec_tlu_ctl.scala 2113:111] + reg _T_748 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_747 : @[Reg.scala 28:19] + _T_748 <= _T_745 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - _T_748 <= _T_759 @[dec_tlu_ctl.scala 2131:13] - node _T_760 = cat(UInt<25>("h00"), _T_748) @[Cat.scala 29:58] - dicad1 <= _T_760 @[dec_tlu_ctl.scala 2132:9] - node _T_761 = bits(dicad1, 6, 0) @[dec_tlu_ctl.scala 2154:74] - node _T_762 = bits(dicad0h, 31, 0) @[dec_tlu_ctl.scala 2154:88] - node _T_763 = bits(dicad0, 31, 0) @[dec_tlu_ctl.scala 2154:102] - node _T_764 = cat(_T_761, _T_762) @[Cat.scala 29:58] - node _T_765 = cat(_T_764, _T_763) @[Cat.scala 29:58] - io.dec_tlu_ic_diag_pkt.icache_wrdata <= _T_765 @[dec_tlu_ctl.scala 2154:61] - io.dec_tlu_ic_diag_pkt.icache_dicawics <= dicawics @[dec_tlu_ctl.scala 2157:41] - node _T_766 = and(io.allow_dbg_halt_csr_write, io.dec_csr_any_unq_d) @[dec_tlu_ctl.scala 2159:52] - node _T_767 = and(_T_766, io.dec_i0_decode_d) @[dec_tlu_ctl.scala 2159:75] - node _T_768 = not(io.dec_csr_wen_unq_d) @[dec_tlu_ctl.scala 2159:98] - node _T_769 = and(_T_767, _T_768) @[dec_tlu_ctl.scala 2159:96] - node _T_770 = bits(io.dec_csr_rdaddr_d, 11, 0) @[dec_tlu_ctl.scala 2159:142] - node _T_771 = eq(_T_770, UInt<12>("h07cb")) @[dec_tlu_ctl.scala 2159:149] - node icache_rd_valid = and(_T_769, _T_771) @[dec_tlu_ctl.scala 2159:120] - node _T_772 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2160:52] - node _T_773 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2160:97] - node _T_774 = eq(_T_773, UInt<12>("h07cb")) @[dec_tlu_ctl.scala 2160:104] - node icache_wr_valid = and(_T_772, _T_774) @[dec_tlu_ctl.scala 2160:75] - reg icache_rd_valid_f : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2162:58] - icache_rd_valid_f <= icache_rd_valid @[dec_tlu_ctl.scala 2162:58] - reg icache_wr_valid_f : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2163:58] - icache_wr_valid_f <= icache_wr_valid @[dec_tlu_ctl.scala 2163:58] - io.dec_tlu_ic_diag_pkt.icache_rd_valid <= icache_rd_valid_f @[dec_tlu_ctl.scala 2165:41] - io.dec_tlu_ic_diag_pkt.icache_wr_valid <= icache_wr_valid_f @[dec_tlu_ctl.scala 2166:41] - node _T_775 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2174:62] - node _T_776 = eq(_T_775, UInt<12>("h07a0")) @[dec_tlu_ctl.scala 2174:69] - node wr_mtsel_r = and(io.dec_csr_wen_r_mod, _T_776) @[dec_tlu_ctl.scala 2174:40] - node _T_777 = bits(wr_mtsel_r, 0, 0) @[dec_tlu_ctl.scala 2175:32] - node _T_778 = bits(io.dec_csr_wrdata_r, 1, 0) @[dec_tlu_ctl.scala 2175:59] - node mtsel_ns = mux(_T_777, _T_778, mtsel) @[dec_tlu_ctl.scala 2175:20] - reg _T_779 : UInt, io.csr_wr_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2177:43] - _T_779 <= mtsel_ns @[dec_tlu_ctl.scala 2177:43] - mtsel <= _T_779 @[dec_tlu_ctl.scala 2177:8] - node _T_780 = bits(io.dec_csr_wrdata_r, 0, 0) @[dec_tlu_ctl.scala 2212:38] - node _T_781 = bits(io.dec_csr_wrdata_r, 19, 19) @[dec_tlu_ctl.scala 2212:64] - node _T_782 = not(_T_781) @[dec_tlu_ctl.scala 2212:44] - node tdata_load = and(_T_780, _T_782) @[dec_tlu_ctl.scala 2212:42] - node _T_783 = bits(io.dec_csr_wrdata_r, 2, 2) @[dec_tlu_ctl.scala 2214:40] - node _T_784 = bits(io.dec_csr_wrdata_r, 19, 19) @[dec_tlu_ctl.scala 2214:66] - node _T_785 = not(_T_784) @[dec_tlu_ctl.scala 2214:46] - node tdata_opcode = and(_T_783, _T_785) @[dec_tlu_ctl.scala 2214:44] - node _T_786 = bits(io.dec_csr_wrdata_r, 27, 27) @[dec_tlu_ctl.scala 2216:41] - node _T_787 = and(_T_786, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2216:46] - node _T_788 = bits(io.dec_csr_wrdata_r, 12, 12) @[dec_tlu_ctl.scala 2216:90] - node tdata_action = and(_T_787, _T_788) @[dec_tlu_ctl.scala 2216:69] - node _T_789 = bits(io.dec_csr_wrdata_r, 27, 27) @[dec_tlu_ctl.scala 2218:47] - node _T_790 = and(_T_789, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2218:52] - node _T_791 = bits(io.dec_csr_wrdata_r, 20, 19) @[dec_tlu_ctl.scala 2218:94] - node _T_792 = bits(io.dec_csr_wrdata_r, 11, 11) @[dec_tlu_ctl.scala 2218:136] - node _T_793 = bits(io.dec_csr_wrdata_r, 7, 6) @[dec_tlu_ctl.scala 2219:43] - node _T_794 = bits(io.dec_csr_wrdata_r, 1, 1) @[dec_tlu_ctl.scala 2219:83] - node _T_795 = cat(_T_794, tdata_load) @[Cat.scala 29:58] - node _T_796 = cat(_T_793, tdata_opcode) @[Cat.scala 29:58] - node _T_797 = cat(_T_796, _T_795) @[Cat.scala 29:58] - node _T_798 = cat(tdata_action, _T_792) @[Cat.scala 29:58] - node _T_799 = cat(_T_790, _T_791) @[Cat.scala 29:58] - node _T_800 = cat(_T_799, _T_798) @[Cat.scala 29:58] - node tdata_wrdata_r = cat(_T_800, _T_797) @[Cat.scala 29:58] - node _T_801 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2222:92] - node _T_802 = eq(_T_801, UInt<12>("h07a1")) @[dec_tlu_ctl.scala 2222:99] - node _T_803 = and(io.dec_csr_wen_r_mod, _T_802) @[dec_tlu_ctl.scala 2222:70] - node _T_804 = eq(mtsel, UInt<2>("h00")) @[dec_tlu_ctl.scala 2222:121] - node _T_805 = and(_T_803, _T_804) @[dec_tlu_ctl.scala 2222:112] - node _T_806 = bits(io.mtdata1_t[0], 9, 9) @[dec_tlu_ctl.scala 2222:154] - node _T_807 = not(_T_806) @[dec_tlu_ctl.scala 2222:138] - node _T_808 = or(_T_807, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2222:170] - node _T_809 = and(_T_805, _T_808) @[dec_tlu_ctl.scala 2222:135] - node _T_810 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2222:92] - node _T_811 = eq(_T_810, UInt<12>("h07a1")) @[dec_tlu_ctl.scala 2222:99] - node _T_812 = and(io.dec_csr_wen_r_mod, _T_811) @[dec_tlu_ctl.scala 2222:70] - node _T_813 = eq(mtsel, UInt<2>("h01")) @[dec_tlu_ctl.scala 2222:121] - node _T_814 = and(_T_812, _T_813) @[dec_tlu_ctl.scala 2222:112] - node _T_815 = bits(io.mtdata1_t[1], 9, 9) @[dec_tlu_ctl.scala 2222:154] - node _T_816 = not(_T_815) @[dec_tlu_ctl.scala 2222:138] - node _T_817 = or(_T_816, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2222:170] - node _T_818 = and(_T_814, _T_817) @[dec_tlu_ctl.scala 2222:135] - node _T_819 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2222:92] - node _T_820 = eq(_T_819, UInt<12>("h07a1")) @[dec_tlu_ctl.scala 2222:99] - node _T_821 = and(io.dec_csr_wen_r_mod, _T_820) @[dec_tlu_ctl.scala 2222:70] - node _T_822 = eq(mtsel, UInt<2>("h02")) @[dec_tlu_ctl.scala 2222:121] - node _T_823 = and(_T_821, _T_822) @[dec_tlu_ctl.scala 2222:112] - node _T_824 = bits(io.mtdata1_t[2], 9, 9) @[dec_tlu_ctl.scala 2222:154] - node _T_825 = not(_T_824) @[dec_tlu_ctl.scala 2222:138] - node _T_826 = or(_T_825, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2222:170] - node _T_827 = and(_T_823, _T_826) @[dec_tlu_ctl.scala 2222:135] - node _T_828 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2222:92] - node _T_829 = eq(_T_828, UInt<12>("h07a1")) @[dec_tlu_ctl.scala 2222:99] - node _T_830 = and(io.dec_csr_wen_r_mod, _T_829) @[dec_tlu_ctl.scala 2222:70] - node _T_831 = eq(mtsel, UInt<2>("h03")) @[dec_tlu_ctl.scala 2222:121] - node _T_832 = and(_T_830, _T_831) @[dec_tlu_ctl.scala 2222:112] - node _T_833 = bits(io.mtdata1_t[3], 9, 9) @[dec_tlu_ctl.scala 2222:154] - node _T_834 = not(_T_833) @[dec_tlu_ctl.scala 2222:138] - node _T_835 = or(_T_834, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2222:170] - node _T_836 = and(_T_832, _T_835) @[dec_tlu_ctl.scala 2222:135] - wire wr_mtdata1_t_r : UInt<1>[4] @[dec_tlu_ctl.scala 2222:42] - wr_mtdata1_t_r[0] <= _T_809 @[dec_tlu_ctl.scala 2222:42] - wr_mtdata1_t_r[1] <= _T_818 @[dec_tlu_ctl.scala 2222:42] - wr_mtdata1_t_r[2] <= _T_827 @[dec_tlu_ctl.scala 2222:42] - wr_mtdata1_t_r[3] <= _T_836 @[dec_tlu_ctl.scala 2222:42] - node _T_837 = bits(wr_mtdata1_t_r[0], 0, 0) @[dec_tlu_ctl.scala 2223:68] - node _T_838 = bits(io.mtdata1_t[0], 9, 9) @[dec_tlu_ctl.scala 2223:111] - node _T_839 = bits(io.update_hit_bit_r, 0, 0) @[dec_tlu_ctl.scala 2223:135] - node _T_840 = bits(io.mtdata1_t[0], 8, 8) @[dec_tlu_ctl.scala 2223:156] - node _T_841 = or(_T_839, _T_840) @[dec_tlu_ctl.scala 2223:139] - node _T_842 = bits(io.mtdata1_t[0], 7, 0) @[dec_tlu_ctl.scala 2223:176] - node _T_843 = cat(_T_838, _T_841) @[Cat.scala 29:58] - node _T_844 = cat(_T_843, _T_842) @[Cat.scala 29:58] - node _T_845 = mux(_T_837, tdata_wrdata_r, _T_844) @[dec_tlu_ctl.scala 2223:49] - node _T_846 = bits(wr_mtdata1_t_r[1], 0, 0) @[dec_tlu_ctl.scala 2223:68] - node _T_847 = bits(io.mtdata1_t[1], 9, 9) @[dec_tlu_ctl.scala 2223:111] - node _T_848 = bits(io.update_hit_bit_r, 1, 1) @[dec_tlu_ctl.scala 2223:135] - node _T_849 = bits(io.mtdata1_t[1], 8, 8) @[dec_tlu_ctl.scala 2223:156] - node _T_850 = or(_T_848, _T_849) @[dec_tlu_ctl.scala 2223:139] - node _T_851 = bits(io.mtdata1_t[1], 7, 0) @[dec_tlu_ctl.scala 2223:176] - node _T_852 = cat(_T_847, _T_850) @[Cat.scala 29:58] - node _T_853 = cat(_T_852, _T_851) @[Cat.scala 29:58] - node _T_854 = mux(_T_846, tdata_wrdata_r, _T_853) @[dec_tlu_ctl.scala 2223:49] - node _T_855 = bits(wr_mtdata1_t_r[2], 0, 0) @[dec_tlu_ctl.scala 2223:68] - node _T_856 = bits(io.mtdata1_t[2], 9, 9) @[dec_tlu_ctl.scala 2223:111] - node _T_857 = bits(io.update_hit_bit_r, 2, 2) @[dec_tlu_ctl.scala 2223:135] - node _T_858 = bits(io.mtdata1_t[2], 8, 8) @[dec_tlu_ctl.scala 2223:156] - node _T_859 = or(_T_857, _T_858) @[dec_tlu_ctl.scala 2223:139] - node _T_860 = bits(io.mtdata1_t[2], 7, 0) @[dec_tlu_ctl.scala 2223:176] - node _T_861 = cat(_T_856, _T_859) @[Cat.scala 29:58] - node _T_862 = cat(_T_861, _T_860) @[Cat.scala 29:58] - node _T_863 = mux(_T_855, tdata_wrdata_r, _T_862) @[dec_tlu_ctl.scala 2223:49] - node _T_864 = bits(wr_mtdata1_t_r[3], 0, 0) @[dec_tlu_ctl.scala 2223:68] - node _T_865 = bits(io.mtdata1_t[3], 9, 9) @[dec_tlu_ctl.scala 2223:111] - node _T_866 = bits(io.update_hit_bit_r, 3, 3) @[dec_tlu_ctl.scala 2223:135] - node _T_867 = bits(io.mtdata1_t[3], 8, 8) @[dec_tlu_ctl.scala 2223:156] - node _T_868 = or(_T_866, _T_867) @[dec_tlu_ctl.scala 2223:139] - node _T_869 = bits(io.mtdata1_t[3], 7, 0) @[dec_tlu_ctl.scala 2223:176] - node _T_870 = cat(_T_865, _T_868) @[Cat.scala 29:58] - node _T_871 = cat(_T_870, _T_869) @[Cat.scala 29:58] - node _T_872 = mux(_T_864, tdata_wrdata_r, _T_871) @[dec_tlu_ctl.scala 2223:49] - wire mtdata1_t_ns : UInt<10>[4] @[dec_tlu_ctl.scala 2223:40] - mtdata1_t_ns[0] <= _T_845 @[dec_tlu_ctl.scala 2223:40] - mtdata1_t_ns[1] <= _T_854 @[dec_tlu_ctl.scala 2223:40] - mtdata1_t_ns[2] <= _T_863 @[dec_tlu_ctl.scala 2223:40] - mtdata1_t_ns[3] <= _T_872 @[dec_tlu_ctl.scala 2223:40] - reg _T_873 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2225:74] - _T_873 <= mtdata1_t_ns[0] @[dec_tlu_ctl.scala 2225:74] - io.mtdata1_t[0] <= _T_873 @[dec_tlu_ctl.scala 2225:39] - reg _T_874 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2225:74] - _T_874 <= mtdata1_t_ns[1] @[dec_tlu_ctl.scala 2225:74] - io.mtdata1_t[1] <= _T_874 @[dec_tlu_ctl.scala 2225:39] - reg _T_875 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2225:74] - _T_875 <= mtdata1_t_ns[2] @[dec_tlu_ctl.scala 2225:74] - io.mtdata1_t[2] <= _T_875 @[dec_tlu_ctl.scala 2225:39] - reg _T_876 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2225:74] - _T_876 <= mtdata1_t_ns[3] @[dec_tlu_ctl.scala 2225:74] - io.mtdata1_t[3] <= _T_876 @[dec_tlu_ctl.scala 2225:39] - node _T_877 = eq(mtsel, UInt<2>("h00")) @[dec_tlu_ctl.scala 2228:58] - node _T_878 = bits(io.mtdata1_t[0], 9, 9) @[dec_tlu_ctl.scala 2228:104] - node _T_879 = bits(io.mtdata1_t[0], 8, 7) @[dec_tlu_ctl.scala 2228:142] - node _T_880 = bits(io.mtdata1_t[0], 6, 5) @[dec_tlu_ctl.scala 2228:174] - node _T_881 = bits(io.mtdata1_t[0], 4, 3) @[dec_tlu_ctl.scala 2228:206] - node _T_882 = bits(io.mtdata1_t[0], 2, 0) @[dec_tlu_ctl.scala 2228:238] - node _T_883 = cat(UInt<3>("h00"), _T_882) @[Cat.scala 29:58] - node _T_884 = cat(_T_880, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_885 = cat(_T_884, _T_881) @[Cat.scala 29:58] - node _T_886 = cat(_T_885, _T_883) @[Cat.scala 29:58] - node _T_887 = cat(_T_879, UInt<6>("h00")) @[Cat.scala 29:58] - node _T_888 = cat(UInt<4>("h02"), _T_878) @[Cat.scala 29:58] - node _T_889 = cat(_T_888, UInt<6>("h01f")) @[Cat.scala 29:58] + _T_738 <= _T_748 @[dec_tlu_ctl.scala 2113:13] + node _T_749 = cat(UInt<25>("h00"), _T_738) @[Cat.scala 29:58] + dicad1 <= _T_749 @[dec_tlu_ctl.scala 2114:9] + node _T_750 = bits(dicad1, 6, 0) @[dec_tlu_ctl.scala 2136:69] + node _T_751 = bits(dicad0h, 31, 0) @[dec_tlu_ctl.scala 2136:83] + node _T_752 = bits(dicad0, 31, 0) @[dec_tlu_ctl.scala 2136:97] + node _T_753 = cat(_T_750, _T_751) @[Cat.scala 29:58] + node _T_754 = cat(_T_753, _T_752) @[Cat.scala 29:58] + io.dec_tlu_ic_diag_pkt.icache_wrdata <= _T_754 @[dec_tlu_ctl.scala 2136:56] + io.dec_tlu_ic_diag_pkt.icache_dicawics <= dicawics @[dec_tlu_ctl.scala 2139:41] + node _T_755 = and(io.allow_dbg_halt_csr_write, io.dec_csr_any_unq_d) @[dec_tlu_ctl.scala 2141:52] + node _T_756 = and(_T_755, io.dec_i0_decode_d) @[dec_tlu_ctl.scala 2141:75] + node _T_757 = not(io.dec_csr_wen_unq_d) @[dec_tlu_ctl.scala 2141:98] + node _T_758 = and(_T_756, _T_757) @[dec_tlu_ctl.scala 2141:96] + node _T_759 = bits(io.dec_csr_rdaddr_d, 11, 0) @[dec_tlu_ctl.scala 2141:142] + node _T_760 = eq(_T_759, UInt<12>("h07cb")) @[dec_tlu_ctl.scala 2141:149] + node icache_rd_valid = and(_T_758, _T_760) @[dec_tlu_ctl.scala 2141:120] + node _T_761 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2142:52] + node _T_762 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2142:97] + node _T_763 = eq(_T_762, UInt<12>("h07cb")) @[dec_tlu_ctl.scala 2142:104] + node icache_wr_valid = and(_T_761, _T_763) @[dec_tlu_ctl.scala 2142:75] + reg icache_rd_valid_f : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2144:58] + icache_rd_valid_f <= icache_rd_valid @[dec_tlu_ctl.scala 2144:58] + reg icache_wr_valid_f : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2145:58] + icache_wr_valid_f <= icache_wr_valid @[dec_tlu_ctl.scala 2145:58] + io.dec_tlu_ic_diag_pkt.icache_rd_valid <= icache_rd_valid_f @[dec_tlu_ctl.scala 2147:41] + io.dec_tlu_ic_diag_pkt.icache_wr_valid <= icache_wr_valid_f @[dec_tlu_ctl.scala 2148:41] + node _T_764 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2156:62] + node _T_765 = eq(_T_764, UInt<12>("h07a0")) @[dec_tlu_ctl.scala 2156:69] + node wr_mtsel_r = and(io.dec_csr_wen_r_mod, _T_765) @[dec_tlu_ctl.scala 2156:40] + node _T_766 = bits(wr_mtsel_r, 0, 0) @[dec_tlu_ctl.scala 2157:32] + node _T_767 = bits(io.dec_csr_wrdata_r, 1, 0) @[dec_tlu_ctl.scala 2157:59] + node mtsel_ns = mux(_T_766, _T_767, mtsel) @[dec_tlu_ctl.scala 2157:20] + reg _T_768 : UInt, io.csr_wr_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2159:43] + _T_768 <= mtsel_ns @[dec_tlu_ctl.scala 2159:43] + mtsel <= _T_768 @[dec_tlu_ctl.scala 2159:8] + node _T_769 = bits(io.dec_csr_wrdata_r, 0, 0) @[dec_tlu_ctl.scala 2194:38] + node _T_770 = bits(io.dec_csr_wrdata_r, 19, 19) @[dec_tlu_ctl.scala 2194:64] + node _T_771 = not(_T_770) @[dec_tlu_ctl.scala 2194:44] + node tdata_load = and(_T_769, _T_771) @[dec_tlu_ctl.scala 2194:42] + node _T_772 = bits(io.dec_csr_wrdata_r, 2, 2) @[dec_tlu_ctl.scala 2196:40] + node _T_773 = bits(io.dec_csr_wrdata_r, 19, 19) @[dec_tlu_ctl.scala 2196:66] + node _T_774 = not(_T_773) @[dec_tlu_ctl.scala 2196:46] + node tdata_opcode = and(_T_772, _T_774) @[dec_tlu_ctl.scala 2196:44] + node _T_775 = bits(io.dec_csr_wrdata_r, 27, 27) @[dec_tlu_ctl.scala 2198:41] + node _T_776 = and(_T_775, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2198:46] + node _T_777 = bits(io.dec_csr_wrdata_r, 12, 12) @[dec_tlu_ctl.scala 2198:90] + node tdata_action = and(_T_776, _T_777) @[dec_tlu_ctl.scala 2198:69] + node _T_778 = bits(io.dec_csr_wrdata_r, 27, 27) @[dec_tlu_ctl.scala 2200:47] + node _T_779 = and(_T_778, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2200:52] + node _T_780 = bits(io.dec_csr_wrdata_r, 20, 19) @[dec_tlu_ctl.scala 2200:94] + node _T_781 = bits(io.dec_csr_wrdata_r, 11, 11) @[dec_tlu_ctl.scala 2200:136] + node _T_782 = bits(io.dec_csr_wrdata_r, 7, 6) @[dec_tlu_ctl.scala 2201:43] + node _T_783 = bits(io.dec_csr_wrdata_r, 1, 1) @[dec_tlu_ctl.scala 2201:83] + node _T_784 = cat(_T_783, tdata_load) @[Cat.scala 29:58] + node _T_785 = cat(_T_782, tdata_opcode) @[Cat.scala 29:58] + node _T_786 = cat(_T_785, _T_784) @[Cat.scala 29:58] + node _T_787 = cat(tdata_action, _T_781) @[Cat.scala 29:58] + node _T_788 = cat(_T_779, _T_780) @[Cat.scala 29:58] + node _T_789 = cat(_T_788, _T_787) @[Cat.scala 29:58] + node tdata_wrdata_r = cat(_T_789, _T_786) @[Cat.scala 29:58] + node _T_790 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2204:92] + node _T_791 = eq(_T_790, UInt<12>("h07a1")) @[dec_tlu_ctl.scala 2204:99] + node _T_792 = and(io.dec_csr_wen_r_mod, _T_791) @[dec_tlu_ctl.scala 2204:70] + node _T_793 = eq(mtsel, UInt<2>("h00")) @[dec_tlu_ctl.scala 2204:121] + node _T_794 = and(_T_792, _T_793) @[dec_tlu_ctl.scala 2204:112] + node _T_795 = bits(io.mtdata1_t[0], 9, 9) @[dec_tlu_ctl.scala 2204:154] + node _T_796 = not(_T_795) @[dec_tlu_ctl.scala 2204:138] + node _T_797 = or(_T_796, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2204:170] + node _T_798 = and(_T_794, _T_797) @[dec_tlu_ctl.scala 2204:135] + node _T_799 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2204:92] + node _T_800 = eq(_T_799, UInt<12>("h07a1")) @[dec_tlu_ctl.scala 2204:99] + node _T_801 = and(io.dec_csr_wen_r_mod, _T_800) @[dec_tlu_ctl.scala 2204:70] + node _T_802 = eq(mtsel, UInt<2>("h01")) @[dec_tlu_ctl.scala 2204:121] + node _T_803 = and(_T_801, _T_802) @[dec_tlu_ctl.scala 2204:112] + node _T_804 = bits(io.mtdata1_t[1], 9, 9) @[dec_tlu_ctl.scala 2204:154] + node _T_805 = not(_T_804) @[dec_tlu_ctl.scala 2204:138] + node _T_806 = or(_T_805, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2204:170] + node _T_807 = and(_T_803, _T_806) @[dec_tlu_ctl.scala 2204:135] + node _T_808 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2204:92] + node _T_809 = eq(_T_808, UInt<12>("h07a1")) @[dec_tlu_ctl.scala 2204:99] + node _T_810 = and(io.dec_csr_wen_r_mod, _T_809) @[dec_tlu_ctl.scala 2204:70] + node _T_811 = eq(mtsel, UInt<2>("h02")) @[dec_tlu_ctl.scala 2204:121] + node _T_812 = and(_T_810, _T_811) @[dec_tlu_ctl.scala 2204:112] + node _T_813 = bits(io.mtdata1_t[2], 9, 9) @[dec_tlu_ctl.scala 2204:154] + node _T_814 = not(_T_813) @[dec_tlu_ctl.scala 2204:138] + node _T_815 = or(_T_814, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2204:170] + node _T_816 = and(_T_812, _T_815) @[dec_tlu_ctl.scala 2204:135] + node _T_817 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2204:92] + node _T_818 = eq(_T_817, UInt<12>("h07a1")) @[dec_tlu_ctl.scala 2204:99] + node _T_819 = and(io.dec_csr_wen_r_mod, _T_818) @[dec_tlu_ctl.scala 2204:70] + node _T_820 = eq(mtsel, UInt<2>("h03")) @[dec_tlu_ctl.scala 2204:121] + node _T_821 = and(_T_819, _T_820) @[dec_tlu_ctl.scala 2204:112] + node _T_822 = bits(io.mtdata1_t[3], 9, 9) @[dec_tlu_ctl.scala 2204:154] + node _T_823 = not(_T_822) @[dec_tlu_ctl.scala 2204:138] + node _T_824 = or(_T_823, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2204:170] + node _T_825 = and(_T_821, _T_824) @[dec_tlu_ctl.scala 2204:135] + wire wr_mtdata1_t_r : UInt<1>[4] @[dec_tlu_ctl.scala 2204:42] + wr_mtdata1_t_r[0] <= _T_798 @[dec_tlu_ctl.scala 2204:42] + wr_mtdata1_t_r[1] <= _T_807 @[dec_tlu_ctl.scala 2204:42] + wr_mtdata1_t_r[2] <= _T_816 @[dec_tlu_ctl.scala 2204:42] + wr_mtdata1_t_r[3] <= _T_825 @[dec_tlu_ctl.scala 2204:42] + node _T_826 = bits(wr_mtdata1_t_r[0], 0, 0) @[dec_tlu_ctl.scala 2205:68] + node _T_827 = bits(io.mtdata1_t[0], 9, 9) @[dec_tlu_ctl.scala 2205:111] + node _T_828 = bits(io.update_hit_bit_r, 0, 0) @[dec_tlu_ctl.scala 2205:135] + node _T_829 = bits(io.mtdata1_t[0], 8, 8) @[dec_tlu_ctl.scala 2205:156] + node _T_830 = or(_T_828, _T_829) @[dec_tlu_ctl.scala 2205:139] + node _T_831 = bits(io.mtdata1_t[0], 7, 0) @[dec_tlu_ctl.scala 2205:176] + node _T_832 = cat(_T_827, _T_830) @[Cat.scala 29:58] + node _T_833 = cat(_T_832, _T_831) @[Cat.scala 29:58] + node _T_834 = mux(_T_826, tdata_wrdata_r, _T_833) @[dec_tlu_ctl.scala 2205:49] + node _T_835 = bits(wr_mtdata1_t_r[1], 0, 0) @[dec_tlu_ctl.scala 2205:68] + node _T_836 = bits(io.mtdata1_t[1], 9, 9) @[dec_tlu_ctl.scala 2205:111] + node _T_837 = bits(io.update_hit_bit_r, 1, 1) @[dec_tlu_ctl.scala 2205:135] + node _T_838 = bits(io.mtdata1_t[1], 8, 8) @[dec_tlu_ctl.scala 2205:156] + node _T_839 = or(_T_837, _T_838) @[dec_tlu_ctl.scala 2205:139] + node _T_840 = bits(io.mtdata1_t[1], 7, 0) @[dec_tlu_ctl.scala 2205:176] + node _T_841 = cat(_T_836, _T_839) @[Cat.scala 29:58] + node _T_842 = cat(_T_841, _T_840) @[Cat.scala 29:58] + node _T_843 = mux(_T_835, tdata_wrdata_r, _T_842) @[dec_tlu_ctl.scala 2205:49] + node _T_844 = bits(wr_mtdata1_t_r[2], 0, 0) @[dec_tlu_ctl.scala 2205:68] + node _T_845 = bits(io.mtdata1_t[2], 9, 9) @[dec_tlu_ctl.scala 2205:111] + node _T_846 = bits(io.update_hit_bit_r, 2, 2) @[dec_tlu_ctl.scala 2205:135] + node _T_847 = bits(io.mtdata1_t[2], 8, 8) @[dec_tlu_ctl.scala 2205:156] + node _T_848 = or(_T_846, _T_847) @[dec_tlu_ctl.scala 2205:139] + node _T_849 = bits(io.mtdata1_t[2], 7, 0) @[dec_tlu_ctl.scala 2205:176] + node _T_850 = cat(_T_845, _T_848) @[Cat.scala 29:58] + node _T_851 = cat(_T_850, _T_849) @[Cat.scala 29:58] + node _T_852 = mux(_T_844, tdata_wrdata_r, _T_851) @[dec_tlu_ctl.scala 2205:49] + node _T_853 = bits(wr_mtdata1_t_r[3], 0, 0) @[dec_tlu_ctl.scala 2205:68] + node _T_854 = bits(io.mtdata1_t[3], 9, 9) @[dec_tlu_ctl.scala 2205:111] + node _T_855 = bits(io.update_hit_bit_r, 3, 3) @[dec_tlu_ctl.scala 2205:135] + node _T_856 = bits(io.mtdata1_t[3], 8, 8) @[dec_tlu_ctl.scala 2205:156] + node _T_857 = or(_T_855, _T_856) @[dec_tlu_ctl.scala 2205:139] + node _T_858 = bits(io.mtdata1_t[3], 7, 0) @[dec_tlu_ctl.scala 2205:176] + node _T_859 = cat(_T_854, _T_857) @[Cat.scala 29:58] + node _T_860 = cat(_T_859, _T_858) @[Cat.scala 29:58] + node _T_861 = mux(_T_853, tdata_wrdata_r, _T_860) @[dec_tlu_ctl.scala 2205:49] + wire mtdata1_t_ns : UInt<10>[4] @[dec_tlu_ctl.scala 2205:40] + mtdata1_t_ns[0] <= _T_834 @[dec_tlu_ctl.scala 2205:40] + mtdata1_t_ns[1] <= _T_843 @[dec_tlu_ctl.scala 2205:40] + mtdata1_t_ns[2] <= _T_852 @[dec_tlu_ctl.scala 2205:40] + mtdata1_t_ns[3] <= _T_861 @[dec_tlu_ctl.scala 2205:40] + reg _T_862 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2207:74] + _T_862 <= mtdata1_t_ns[0] @[dec_tlu_ctl.scala 2207:74] + io.mtdata1_t[0] <= _T_862 @[dec_tlu_ctl.scala 2207:39] + reg _T_863 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2207:74] + _T_863 <= mtdata1_t_ns[1] @[dec_tlu_ctl.scala 2207:74] + io.mtdata1_t[1] <= _T_863 @[dec_tlu_ctl.scala 2207:39] + reg _T_864 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2207:74] + _T_864 <= mtdata1_t_ns[2] @[dec_tlu_ctl.scala 2207:74] + io.mtdata1_t[2] <= _T_864 @[dec_tlu_ctl.scala 2207:39] + reg _T_865 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2207:74] + _T_865 <= mtdata1_t_ns[3] @[dec_tlu_ctl.scala 2207:74] + io.mtdata1_t[3] <= _T_865 @[dec_tlu_ctl.scala 2207:39] + node _T_866 = eq(mtsel, UInt<2>("h00")) @[dec_tlu_ctl.scala 2210:58] + node _T_867 = bits(io.mtdata1_t[0], 9, 9) @[dec_tlu_ctl.scala 2210:104] + node _T_868 = bits(io.mtdata1_t[0], 8, 7) @[dec_tlu_ctl.scala 2210:142] + node _T_869 = bits(io.mtdata1_t[0], 6, 5) @[dec_tlu_ctl.scala 2210:174] + node _T_870 = bits(io.mtdata1_t[0], 4, 3) @[dec_tlu_ctl.scala 2210:206] + node _T_871 = bits(io.mtdata1_t[0], 2, 0) @[dec_tlu_ctl.scala 2210:238] + node _T_872 = cat(UInt<3>("h00"), _T_871) @[Cat.scala 29:58] + node _T_873 = cat(_T_869, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_874 = cat(_T_873, _T_870) @[Cat.scala 29:58] + node _T_875 = cat(_T_874, _T_872) @[Cat.scala 29:58] + node _T_876 = cat(_T_868, UInt<6>("h00")) @[Cat.scala 29:58] + node _T_877 = cat(UInt<4>("h02"), _T_867) @[Cat.scala 29:58] + node _T_878 = cat(_T_877, UInt<6>("h01f")) @[Cat.scala 29:58] + node _T_879 = cat(_T_878, _T_876) @[Cat.scala 29:58] + node _T_880 = cat(_T_879, _T_875) @[Cat.scala 29:58] + node _T_881 = eq(mtsel, UInt<2>("h01")) @[dec_tlu_ctl.scala 2210:58] + node _T_882 = bits(io.mtdata1_t[1], 9, 9) @[dec_tlu_ctl.scala 2210:104] + node _T_883 = bits(io.mtdata1_t[1], 8, 7) @[dec_tlu_ctl.scala 2210:142] + node _T_884 = bits(io.mtdata1_t[1], 6, 5) @[dec_tlu_ctl.scala 2210:174] + node _T_885 = bits(io.mtdata1_t[1], 4, 3) @[dec_tlu_ctl.scala 2210:206] + node _T_886 = bits(io.mtdata1_t[1], 2, 0) @[dec_tlu_ctl.scala 2210:238] + node _T_887 = cat(UInt<3>("h00"), _T_886) @[Cat.scala 29:58] + node _T_888 = cat(_T_884, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_889 = cat(_T_888, _T_885) @[Cat.scala 29:58] node _T_890 = cat(_T_889, _T_887) @[Cat.scala 29:58] - node _T_891 = cat(_T_890, _T_886) @[Cat.scala 29:58] - node _T_892 = eq(mtsel, UInt<2>("h01")) @[dec_tlu_ctl.scala 2228:58] - node _T_893 = bits(io.mtdata1_t[1], 9, 9) @[dec_tlu_ctl.scala 2228:104] - node _T_894 = bits(io.mtdata1_t[1], 8, 7) @[dec_tlu_ctl.scala 2228:142] - node _T_895 = bits(io.mtdata1_t[1], 6, 5) @[dec_tlu_ctl.scala 2228:174] - node _T_896 = bits(io.mtdata1_t[1], 4, 3) @[dec_tlu_ctl.scala 2228:206] - node _T_897 = bits(io.mtdata1_t[1], 2, 0) @[dec_tlu_ctl.scala 2228:238] - node _T_898 = cat(UInt<3>("h00"), _T_897) @[Cat.scala 29:58] - node _T_899 = cat(_T_895, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_900 = cat(_T_899, _T_896) @[Cat.scala 29:58] - node _T_901 = cat(_T_900, _T_898) @[Cat.scala 29:58] - node _T_902 = cat(_T_894, UInt<6>("h00")) @[Cat.scala 29:58] - node _T_903 = cat(UInt<4>("h02"), _T_893) @[Cat.scala 29:58] - node _T_904 = cat(_T_903, UInt<6>("h01f")) @[Cat.scala 29:58] + node _T_891 = cat(_T_883, UInt<6>("h00")) @[Cat.scala 29:58] + node _T_892 = cat(UInt<4>("h02"), _T_882) @[Cat.scala 29:58] + node _T_893 = cat(_T_892, UInt<6>("h01f")) @[Cat.scala 29:58] + node _T_894 = cat(_T_893, _T_891) @[Cat.scala 29:58] + node _T_895 = cat(_T_894, _T_890) @[Cat.scala 29:58] + node _T_896 = eq(mtsel, UInt<2>("h02")) @[dec_tlu_ctl.scala 2210:58] + node _T_897 = bits(io.mtdata1_t[2], 9, 9) @[dec_tlu_ctl.scala 2210:104] + node _T_898 = bits(io.mtdata1_t[2], 8, 7) @[dec_tlu_ctl.scala 2210:142] + node _T_899 = bits(io.mtdata1_t[2], 6, 5) @[dec_tlu_ctl.scala 2210:174] + node _T_900 = bits(io.mtdata1_t[2], 4, 3) @[dec_tlu_ctl.scala 2210:206] + node _T_901 = bits(io.mtdata1_t[2], 2, 0) @[dec_tlu_ctl.scala 2210:238] + node _T_902 = cat(UInt<3>("h00"), _T_901) @[Cat.scala 29:58] + node _T_903 = cat(_T_899, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_904 = cat(_T_903, _T_900) @[Cat.scala 29:58] node _T_905 = cat(_T_904, _T_902) @[Cat.scala 29:58] - node _T_906 = cat(_T_905, _T_901) @[Cat.scala 29:58] - node _T_907 = eq(mtsel, UInt<2>("h02")) @[dec_tlu_ctl.scala 2228:58] - node _T_908 = bits(io.mtdata1_t[2], 9, 9) @[dec_tlu_ctl.scala 2228:104] - node _T_909 = bits(io.mtdata1_t[2], 8, 7) @[dec_tlu_ctl.scala 2228:142] - node _T_910 = bits(io.mtdata1_t[2], 6, 5) @[dec_tlu_ctl.scala 2228:174] - node _T_911 = bits(io.mtdata1_t[2], 4, 3) @[dec_tlu_ctl.scala 2228:206] - node _T_912 = bits(io.mtdata1_t[2], 2, 0) @[dec_tlu_ctl.scala 2228:238] - node _T_913 = cat(UInt<3>("h00"), _T_912) @[Cat.scala 29:58] - node _T_914 = cat(_T_910, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_915 = cat(_T_914, _T_911) @[Cat.scala 29:58] - node _T_916 = cat(_T_915, _T_913) @[Cat.scala 29:58] - node _T_917 = cat(_T_909, UInt<6>("h00")) @[Cat.scala 29:58] - node _T_918 = cat(UInt<4>("h02"), _T_908) @[Cat.scala 29:58] - node _T_919 = cat(_T_918, UInt<6>("h01f")) @[Cat.scala 29:58] + node _T_906 = cat(_T_898, UInt<6>("h00")) @[Cat.scala 29:58] + node _T_907 = cat(UInt<4>("h02"), _T_897) @[Cat.scala 29:58] + node _T_908 = cat(_T_907, UInt<6>("h01f")) @[Cat.scala 29:58] + node _T_909 = cat(_T_908, _T_906) @[Cat.scala 29:58] + node _T_910 = cat(_T_909, _T_905) @[Cat.scala 29:58] + node _T_911 = eq(mtsel, UInt<2>("h03")) @[dec_tlu_ctl.scala 2210:58] + node _T_912 = bits(io.mtdata1_t[3], 9, 9) @[dec_tlu_ctl.scala 2210:104] + node _T_913 = bits(io.mtdata1_t[3], 8, 7) @[dec_tlu_ctl.scala 2210:142] + node _T_914 = bits(io.mtdata1_t[3], 6, 5) @[dec_tlu_ctl.scala 2210:174] + node _T_915 = bits(io.mtdata1_t[3], 4, 3) @[dec_tlu_ctl.scala 2210:206] + node _T_916 = bits(io.mtdata1_t[3], 2, 0) @[dec_tlu_ctl.scala 2210:238] + node _T_917 = cat(UInt<3>("h00"), _T_916) @[Cat.scala 29:58] + node _T_918 = cat(_T_914, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_919 = cat(_T_918, _T_915) @[Cat.scala 29:58] node _T_920 = cat(_T_919, _T_917) @[Cat.scala 29:58] - node _T_921 = cat(_T_920, _T_916) @[Cat.scala 29:58] - node _T_922 = eq(mtsel, UInt<2>("h03")) @[dec_tlu_ctl.scala 2228:58] - node _T_923 = bits(io.mtdata1_t[3], 9, 9) @[dec_tlu_ctl.scala 2228:104] - node _T_924 = bits(io.mtdata1_t[3], 8, 7) @[dec_tlu_ctl.scala 2228:142] - node _T_925 = bits(io.mtdata1_t[3], 6, 5) @[dec_tlu_ctl.scala 2228:174] - node _T_926 = bits(io.mtdata1_t[3], 4, 3) @[dec_tlu_ctl.scala 2228:206] - node _T_927 = bits(io.mtdata1_t[3], 2, 0) @[dec_tlu_ctl.scala 2228:238] - node _T_928 = cat(UInt<3>("h00"), _T_927) @[Cat.scala 29:58] - node _T_929 = cat(_T_925, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_930 = cat(_T_929, _T_926) @[Cat.scala 29:58] - node _T_931 = cat(_T_930, _T_928) @[Cat.scala 29:58] - node _T_932 = cat(_T_924, UInt<6>("h00")) @[Cat.scala 29:58] - node _T_933 = cat(UInt<4>("h02"), _T_923) @[Cat.scala 29:58] - node _T_934 = cat(_T_933, UInt<6>("h01f")) @[Cat.scala 29:58] - node _T_935 = cat(_T_934, _T_932) @[Cat.scala 29:58] - node _T_936 = cat(_T_935, _T_931) @[Cat.scala 29:58] - node _T_937 = mux(_T_877, _T_891, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_938 = mux(_T_892, _T_906, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_939 = mux(_T_907, _T_921, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_940 = mux(_T_922, _T_936, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_941 = or(_T_937, _T_938) @[Mux.scala 27:72] - node _T_942 = or(_T_941, _T_939) @[Mux.scala 27:72] - node _T_943 = or(_T_942, _T_940) @[Mux.scala 27:72] + node _T_921 = cat(_T_913, UInt<6>("h00")) @[Cat.scala 29:58] + node _T_922 = cat(UInt<4>("h02"), _T_912) @[Cat.scala 29:58] + node _T_923 = cat(_T_922, UInt<6>("h01f")) @[Cat.scala 29:58] + node _T_924 = cat(_T_923, _T_921) @[Cat.scala 29:58] + node _T_925 = cat(_T_924, _T_920) @[Cat.scala 29:58] + node _T_926 = mux(_T_866, _T_880, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_927 = mux(_T_881, _T_895, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_928 = mux(_T_896, _T_910, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_929 = mux(_T_911, _T_925, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_930 = or(_T_926, _T_927) @[Mux.scala 27:72] + node _T_931 = or(_T_930, _T_928) @[Mux.scala 27:72] + node _T_932 = or(_T_931, _T_929) @[Mux.scala 27:72] wire mtdata1_tsel_out : UInt<32> @[Mux.scala 27:72] - mtdata1_tsel_out <= _T_943 @[Mux.scala 27:72] - node _T_944 = bits(io.mtdata1_t[0], 7, 7) @[dec_tlu_ctl.scala 2230:58] - io.trigger_pkt_any[0].select <= _T_944 @[dec_tlu_ctl.scala 2230:40] - node _T_945 = bits(io.mtdata1_t[0], 4, 4) @[dec_tlu_ctl.scala 2231:61] - io.trigger_pkt_any[0].match_pkt <= _T_945 @[dec_tlu_ctl.scala 2231:43] - node _T_946 = bits(io.mtdata1_t[0], 1, 1) @[dec_tlu_ctl.scala 2232:58] - io.trigger_pkt_any[0].store <= _T_946 @[dec_tlu_ctl.scala 2232:40] - node _T_947 = bits(io.mtdata1_t[0], 0, 0) @[dec_tlu_ctl.scala 2233:58] - io.trigger_pkt_any[0].load <= _T_947 @[dec_tlu_ctl.scala 2233:40] - node _T_948 = bits(io.mtdata1_t[0], 2, 2) @[dec_tlu_ctl.scala 2234:58] - io.trigger_pkt_any[0].execute <= _T_948 @[dec_tlu_ctl.scala 2234:40] - node _T_949 = bits(io.mtdata1_t[0], 3, 3) @[dec_tlu_ctl.scala 2235:58] - io.trigger_pkt_any[0].m <= _T_949 @[dec_tlu_ctl.scala 2235:40] - node _T_950 = bits(io.mtdata1_t[1], 7, 7) @[dec_tlu_ctl.scala 2230:58] - io.trigger_pkt_any[1].select <= _T_950 @[dec_tlu_ctl.scala 2230:40] - node _T_951 = bits(io.mtdata1_t[1], 4, 4) @[dec_tlu_ctl.scala 2231:61] - io.trigger_pkt_any[1].match_pkt <= _T_951 @[dec_tlu_ctl.scala 2231:43] - node _T_952 = bits(io.mtdata1_t[1], 1, 1) @[dec_tlu_ctl.scala 2232:58] - io.trigger_pkt_any[1].store <= _T_952 @[dec_tlu_ctl.scala 2232:40] - node _T_953 = bits(io.mtdata1_t[1], 0, 0) @[dec_tlu_ctl.scala 2233:58] - io.trigger_pkt_any[1].load <= _T_953 @[dec_tlu_ctl.scala 2233:40] - node _T_954 = bits(io.mtdata1_t[1], 2, 2) @[dec_tlu_ctl.scala 2234:58] - io.trigger_pkt_any[1].execute <= _T_954 @[dec_tlu_ctl.scala 2234:40] - node _T_955 = bits(io.mtdata1_t[1], 3, 3) @[dec_tlu_ctl.scala 2235:58] - io.trigger_pkt_any[1].m <= _T_955 @[dec_tlu_ctl.scala 2235:40] - node _T_956 = bits(io.mtdata1_t[2], 7, 7) @[dec_tlu_ctl.scala 2230:58] - io.trigger_pkt_any[2].select <= _T_956 @[dec_tlu_ctl.scala 2230:40] - node _T_957 = bits(io.mtdata1_t[2], 4, 4) @[dec_tlu_ctl.scala 2231:61] - io.trigger_pkt_any[2].match_pkt <= _T_957 @[dec_tlu_ctl.scala 2231:43] - node _T_958 = bits(io.mtdata1_t[2], 1, 1) @[dec_tlu_ctl.scala 2232:58] - io.trigger_pkt_any[2].store <= _T_958 @[dec_tlu_ctl.scala 2232:40] - node _T_959 = bits(io.mtdata1_t[2], 0, 0) @[dec_tlu_ctl.scala 2233:58] - io.trigger_pkt_any[2].load <= _T_959 @[dec_tlu_ctl.scala 2233:40] - node _T_960 = bits(io.mtdata1_t[2], 2, 2) @[dec_tlu_ctl.scala 2234:58] - io.trigger_pkt_any[2].execute <= _T_960 @[dec_tlu_ctl.scala 2234:40] - node _T_961 = bits(io.mtdata1_t[2], 3, 3) @[dec_tlu_ctl.scala 2235:58] - io.trigger_pkt_any[2].m <= _T_961 @[dec_tlu_ctl.scala 2235:40] - node _T_962 = bits(io.mtdata1_t[3], 7, 7) @[dec_tlu_ctl.scala 2230:58] - io.trigger_pkt_any[3].select <= _T_962 @[dec_tlu_ctl.scala 2230:40] - node _T_963 = bits(io.mtdata1_t[3], 4, 4) @[dec_tlu_ctl.scala 2231:61] - io.trigger_pkt_any[3].match_pkt <= _T_963 @[dec_tlu_ctl.scala 2231:43] - node _T_964 = bits(io.mtdata1_t[3], 1, 1) @[dec_tlu_ctl.scala 2232:58] - io.trigger_pkt_any[3].store <= _T_964 @[dec_tlu_ctl.scala 2232:40] - node _T_965 = bits(io.mtdata1_t[3], 0, 0) @[dec_tlu_ctl.scala 2233:58] - io.trigger_pkt_any[3].load <= _T_965 @[dec_tlu_ctl.scala 2233:40] - node _T_966 = bits(io.mtdata1_t[3], 2, 2) @[dec_tlu_ctl.scala 2234:58] - io.trigger_pkt_any[3].execute <= _T_966 @[dec_tlu_ctl.scala 2234:40] - node _T_967 = bits(io.mtdata1_t[3], 3, 3) @[dec_tlu_ctl.scala 2235:58] - io.trigger_pkt_any[3].m <= _T_967 @[dec_tlu_ctl.scala 2235:40] - node _T_968 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2242:91] - node _T_969 = eq(_T_968, UInt<12>("h07a2")) @[dec_tlu_ctl.scala 2242:98] - node _T_970 = and(io.dec_csr_wen_r_mod, _T_969) @[dec_tlu_ctl.scala 2242:69] - node _T_971 = eq(mtsel, UInt<2>("h00")) @[dec_tlu_ctl.scala 2242:120] - node _T_972 = and(_T_970, _T_971) @[dec_tlu_ctl.scala 2242:111] - node _T_973 = bits(io.mtdata1_t[0], 9, 9) @[dec_tlu_ctl.scala 2242:153] - node _T_974 = not(_T_973) @[dec_tlu_ctl.scala 2242:137] - node _T_975 = or(_T_974, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2242:169] - node _T_976 = and(_T_972, _T_975) @[dec_tlu_ctl.scala 2242:134] - node _T_977 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2242:91] - node _T_978 = eq(_T_977, UInt<12>("h07a2")) @[dec_tlu_ctl.scala 2242:98] - node _T_979 = and(io.dec_csr_wen_r_mod, _T_978) @[dec_tlu_ctl.scala 2242:69] - node _T_980 = eq(mtsel, UInt<2>("h01")) @[dec_tlu_ctl.scala 2242:120] - node _T_981 = and(_T_979, _T_980) @[dec_tlu_ctl.scala 2242:111] - node _T_982 = bits(io.mtdata1_t[1], 9, 9) @[dec_tlu_ctl.scala 2242:153] - node _T_983 = not(_T_982) @[dec_tlu_ctl.scala 2242:137] - node _T_984 = or(_T_983, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2242:169] - node _T_985 = and(_T_981, _T_984) @[dec_tlu_ctl.scala 2242:134] - node _T_986 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2242:91] - node _T_987 = eq(_T_986, UInt<12>("h07a2")) @[dec_tlu_ctl.scala 2242:98] - node _T_988 = and(io.dec_csr_wen_r_mod, _T_987) @[dec_tlu_ctl.scala 2242:69] - node _T_989 = eq(mtsel, UInt<2>("h02")) @[dec_tlu_ctl.scala 2242:120] - node _T_990 = and(_T_988, _T_989) @[dec_tlu_ctl.scala 2242:111] - node _T_991 = bits(io.mtdata1_t[2], 9, 9) @[dec_tlu_ctl.scala 2242:153] - node _T_992 = not(_T_991) @[dec_tlu_ctl.scala 2242:137] - node _T_993 = or(_T_992, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2242:169] - node _T_994 = and(_T_990, _T_993) @[dec_tlu_ctl.scala 2242:134] - node _T_995 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2242:91] - node _T_996 = eq(_T_995, UInt<12>("h07a2")) @[dec_tlu_ctl.scala 2242:98] - node _T_997 = and(io.dec_csr_wen_r_mod, _T_996) @[dec_tlu_ctl.scala 2242:69] - node _T_998 = eq(mtsel, UInt<2>("h03")) @[dec_tlu_ctl.scala 2242:120] - node _T_999 = and(_T_997, _T_998) @[dec_tlu_ctl.scala 2242:111] - node _T_1000 = bits(io.mtdata1_t[3], 9, 9) @[dec_tlu_ctl.scala 2242:153] - node _T_1001 = not(_T_1000) @[dec_tlu_ctl.scala 2242:137] - node _T_1002 = or(_T_1001, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2242:169] - node _T_1003 = and(_T_999, _T_1002) @[dec_tlu_ctl.scala 2242:134] - wire wr_mtdata2_t_r : UInt<1>[4] @[dec_tlu_ctl.scala 2242:42] - wr_mtdata2_t_r[0] <= _T_976 @[dec_tlu_ctl.scala 2242:42] - wr_mtdata2_t_r[1] <= _T_985 @[dec_tlu_ctl.scala 2242:42] - wr_mtdata2_t_r[2] <= _T_994 @[dec_tlu_ctl.scala 2242:42] - wr_mtdata2_t_r[3] <= _T_1003 @[dec_tlu_ctl.scala 2242:42] - node _T_1004 = bits(wr_mtdata2_t_r[0], 0, 0) @[dec_tlu_ctl.scala 2243:84] + mtdata1_tsel_out <= _T_932 @[Mux.scala 27:72] + node _T_933 = bits(io.mtdata1_t[0], 7, 7) @[dec_tlu_ctl.scala 2212:58] + io.trigger_pkt_any[0].select <= _T_933 @[dec_tlu_ctl.scala 2212:40] + node _T_934 = bits(io.mtdata1_t[0], 4, 4) @[dec_tlu_ctl.scala 2213:61] + io.trigger_pkt_any[0].match_pkt <= _T_934 @[dec_tlu_ctl.scala 2213:43] + node _T_935 = bits(io.mtdata1_t[0], 1, 1) @[dec_tlu_ctl.scala 2214:58] + io.trigger_pkt_any[0].store <= _T_935 @[dec_tlu_ctl.scala 2214:40] + node _T_936 = bits(io.mtdata1_t[0], 0, 0) @[dec_tlu_ctl.scala 2215:58] + io.trigger_pkt_any[0].load <= _T_936 @[dec_tlu_ctl.scala 2215:40] + node _T_937 = bits(io.mtdata1_t[0], 2, 2) @[dec_tlu_ctl.scala 2216:58] + io.trigger_pkt_any[0].execute <= _T_937 @[dec_tlu_ctl.scala 2216:40] + node _T_938 = bits(io.mtdata1_t[0], 3, 3) @[dec_tlu_ctl.scala 2217:58] + io.trigger_pkt_any[0].m <= _T_938 @[dec_tlu_ctl.scala 2217:40] + node _T_939 = bits(io.mtdata1_t[1], 7, 7) @[dec_tlu_ctl.scala 2212:58] + io.trigger_pkt_any[1].select <= _T_939 @[dec_tlu_ctl.scala 2212:40] + node _T_940 = bits(io.mtdata1_t[1], 4, 4) @[dec_tlu_ctl.scala 2213:61] + io.trigger_pkt_any[1].match_pkt <= _T_940 @[dec_tlu_ctl.scala 2213:43] + node _T_941 = bits(io.mtdata1_t[1], 1, 1) @[dec_tlu_ctl.scala 2214:58] + io.trigger_pkt_any[1].store <= _T_941 @[dec_tlu_ctl.scala 2214:40] + node _T_942 = bits(io.mtdata1_t[1], 0, 0) @[dec_tlu_ctl.scala 2215:58] + io.trigger_pkt_any[1].load <= _T_942 @[dec_tlu_ctl.scala 2215:40] + node _T_943 = bits(io.mtdata1_t[1], 2, 2) @[dec_tlu_ctl.scala 2216:58] + io.trigger_pkt_any[1].execute <= _T_943 @[dec_tlu_ctl.scala 2216:40] + node _T_944 = bits(io.mtdata1_t[1], 3, 3) @[dec_tlu_ctl.scala 2217:58] + io.trigger_pkt_any[1].m <= _T_944 @[dec_tlu_ctl.scala 2217:40] + node _T_945 = bits(io.mtdata1_t[2], 7, 7) @[dec_tlu_ctl.scala 2212:58] + io.trigger_pkt_any[2].select <= _T_945 @[dec_tlu_ctl.scala 2212:40] + node _T_946 = bits(io.mtdata1_t[2], 4, 4) @[dec_tlu_ctl.scala 2213:61] + io.trigger_pkt_any[2].match_pkt <= _T_946 @[dec_tlu_ctl.scala 2213:43] + node _T_947 = bits(io.mtdata1_t[2], 1, 1) @[dec_tlu_ctl.scala 2214:58] + io.trigger_pkt_any[2].store <= _T_947 @[dec_tlu_ctl.scala 2214:40] + node _T_948 = bits(io.mtdata1_t[2], 0, 0) @[dec_tlu_ctl.scala 2215:58] + io.trigger_pkt_any[2].load <= _T_948 @[dec_tlu_ctl.scala 2215:40] + node _T_949 = bits(io.mtdata1_t[2], 2, 2) @[dec_tlu_ctl.scala 2216:58] + io.trigger_pkt_any[2].execute <= _T_949 @[dec_tlu_ctl.scala 2216:40] + node _T_950 = bits(io.mtdata1_t[2], 3, 3) @[dec_tlu_ctl.scala 2217:58] + io.trigger_pkt_any[2].m <= _T_950 @[dec_tlu_ctl.scala 2217:40] + node _T_951 = bits(io.mtdata1_t[3], 7, 7) @[dec_tlu_ctl.scala 2212:58] + io.trigger_pkt_any[3].select <= _T_951 @[dec_tlu_ctl.scala 2212:40] + node _T_952 = bits(io.mtdata1_t[3], 4, 4) @[dec_tlu_ctl.scala 2213:61] + io.trigger_pkt_any[3].match_pkt <= _T_952 @[dec_tlu_ctl.scala 2213:43] + node _T_953 = bits(io.mtdata1_t[3], 1, 1) @[dec_tlu_ctl.scala 2214:58] + io.trigger_pkt_any[3].store <= _T_953 @[dec_tlu_ctl.scala 2214:40] + node _T_954 = bits(io.mtdata1_t[3], 0, 0) @[dec_tlu_ctl.scala 2215:58] + io.trigger_pkt_any[3].load <= _T_954 @[dec_tlu_ctl.scala 2215:40] + node _T_955 = bits(io.mtdata1_t[3], 2, 2) @[dec_tlu_ctl.scala 2216:58] + io.trigger_pkt_any[3].execute <= _T_955 @[dec_tlu_ctl.scala 2216:40] + node _T_956 = bits(io.mtdata1_t[3], 3, 3) @[dec_tlu_ctl.scala 2217:58] + io.trigger_pkt_any[3].m <= _T_956 @[dec_tlu_ctl.scala 2217:40] + node _T_957 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2224:91] + node _T_958 = eq(_T_957, UInt<12>("h07a2")) @[dec_tlu_ctl.scala 2224:98] + node _T_959 = and(io.dec_csr_wen_r_mod, _T_958) @[dec_tlu_ctl.scala 2224:69] + node _T_960 = eq(mtsel, UInt<2>("h00")) @[dec_tlu_ctl.scala 2224:120] + node _T_961 = and(_T_959, _T_960) @[dec_tlu_ctl.scala 2224:111] + node _T_962 = bits(io.mtdata1_t[0], 9, 9) @[dec_tlu_ctl.scala 2224:153] + node _T_963 = not(_T_962) @[dec_tlu_ctl.scala 2224:137] + node _T_964 = or(_T_963, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2224:169] + node _T_965 = and(_T_961, _T_964) @[dec_tlu_ctl.scala 2224:134] + node _T_966 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2224:91] + node _T_967 = eq(_T_966, UInt<12>("h07a2")) @[dec_tlu_ctl.scala 2224:98] + node _T_968 = and(io.dec_csr_wen_r_mod, _T_967) @[dec_tlu_ctl.scala 2224:69] + node _T_969 = eq(mtsel, UInt<2>("h01")) @[dec_tlu_ctl.scala 2224:120] + node _T_970 = and(_T_968, _T_969) @[dec_tlu_ctl.scala 2224:111] + node _T_971 = bits(io.mtdata1_t[1], 9, 9) @[dec_tlu_ctl.scala 2224:153] + node _T_972 = not(_T_971) @[dec_tlu_ctl.scala 2224:137] + node _T_973 = or(_T_972, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2224:169] + node _T_974 = and(_T_970, _T_973) @[dec_tlu_ctl.scala 2224:134] + node _T_975 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2224:91] + node _T_976 = eq(_T_975, UInt<12>("h07a2")) @[dec_tlu_ctl.scala 2224:98] + node _T_977 = and(io.dec_csr_wen_r_mod, _T_976) @[dec_tlu_ctl.scala 2224:69] + node _T_978 = eq(mtsel, UInt<2>("h02")) @[dec_tlu_ctl.scala 2224:120] + node _T_979 = and(_T_977, _T_978) @[dec_tlu_ctl.scala 2224:111] + node _T_980 = bits(io.mtdata1_t[2], 9, 9) @[dec_tlu_ctl.scala 2224:153] + node _T_981 = not(_T_980) @[dec_tlu_ctl.scala 2224:137] + node _T_982 = or(_T_981, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2224:169] + node _T_983 = and(_T_979, _T_982) @[dec_tlu_ctl.scala 2224:134] + node _T_984 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2224:91] + node _T_985 = eq(_T_984, UInt<12>("h07a2")) @[dec_tlu_ctl.scala 2224:98] + node _T_986 = and(io.dec_csr_wen_r_mod, _T_985) @[dec_tlu_ctl.scala 2224:69] + node _T_987 = eq(mtsel, UInt<2>("h03")) @[dec_tlu_ctl.scala 2224:120] + node _T_988 = and(_T_986, _T_987) @[dec_tlu_ctl.scala 2224:111] + node _T_989 = bits(io.mtdata1_t[3], 9, 9) @[dec_tlu_ctl.scala 2224:153] + node _T_990 = not(_T_989) @[dec_tlu_ctl.scala 2224:137] + node _T_991 = or(_T_990, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2224:169] + node _T_992 = and(_T_988, _T_991) @[dec_tlu_ctl.scala 2224:134] + wire wr_mtdata2_t_r : UInt<1>[4] @[dec_tlu_ctl.scala 2224:42] + wr_mtdata2_t_r[0] <= _T_965 @[dec_tlu_ctl.scala 2224:42] + wr_mtdata2_t_r[1] <= _T_974 @[dec_tlu_ctl.scala 2224:42] + wr_mtdata2_t_r[2] <= _T_983 @[dec_tlu_ctl.scala 2224:42] + wr_mtdata2_t_r[3] <= _T_992 @[dec_tlu_ctl.scala 2224:42] + node _T_993 = bits(wr_mtdata2_t_r[0], 0, 0) @[dec_tlu_ctl.scala 2225:84] inst rvclkhdr_22 of rvclkhdr_742 @[lib.scala 368:23] rvclkhdr_22.clock <= clock rvclkhdr_22.reset <= reset rvclkhdr_22.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_22.io.en <= _T_1004 @[lib.scala 371:17] + rvclkhdr_22.io.en <= _T_993 @[lib.scala 371:17] rvclkhdr_22.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_1005 : UInt, rvclkhdr_22.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_1005 <= io.dec_csr_wrdata_r @[lib.scala 374:16] - mtdata2_t[0] <= _T_1005 @[dec_tlu_ctl.scala 2243:36] - node _T_1006 = bits(wr_mtdata2_t_r[1], 0, 0) @[dec_tlu_ctl.scala 2243:84] + reg _T_994 : UInt, rvclkhdr_22.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_994 <= io.dec_csr_wrdata_r @[lib.scala 374:16] + mtdata2_t[0] <= _T_994 @[dec_tlu_ctl.scala 2225:36] + node _T_995 = bits(wr_mtdata2_t_r[1], 0, 0) @[dec_tlu_ctl.scala 2225:84] inst rvclkhdr_23 of rvclkhdr_743 @[lib.scala 368:23] rvclkhdr_23.clock <= clock rvclkhdr_23.reset <= reset rvclkhdr_23.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_23.io.en <= _T_1006 @[lib.scala 371:17] + rvclkhdr_23.io.en <= _T_995 @[lib.scala 371:17] rvclkhdr_23.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_1007 : UInt, rvclkhdr_23.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_1007 <= io.dec_csr_wrdata_r @[lib.scala 374:16] - mtdata2_t[1] <= _T_1007 @[dec_tlu_ctl.scala 2243:36] - node _T_1008 = bits(wr_mtdata2_t_r[2], 0, 0) @[dec_tlu_ctl.scala 2243:84] + reg _T_996 : UInt, rvclkhdr_23.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_996 <= io.dec_csr_wrdata_r @[lib.scala 374:16] + mtdata2_t[1] <= _T_996 @[dec_tlu_ctl.scala 2225:36] + node _T_997 = bits(wr_mtdata2_t_r[2], 0, 0) @[dec_tlu_ctl.scala 2225:84] inst rvclkhdr_24 of rvclkhdr_744 @[lib.scala 368:23] rvclkhdr_24.clock <= clock rvclkhdr_24.reset <= reset rvclkhdr_24.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_24.io.en <= _T_1008 @[lib.scala 371:17] + rvclkhdr_24.io.en <= _T_997 @[lib.scala 371:17] rvclkhdr_24.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_1009 : UInt, rvclkhdr_24.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_1009 <= io.dec_csr_wrdata_r @[lib.scala 374:16] - mtdata2_t[2] <= _T_1009 @[dec_tlu_ctl.scala 2243:36] - node _T_1010 = bits(wr_mtdata2_t_r[3], 0, 0) @[dec_tlu_ctl.scala 2243:84] + reg _T_998 : UInt, rvclkhdr_24.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_998 <= io.dec_csr_wrdata_r @[lib.scala 374:16] + mtdata2_t[2] <= _T_998 @[dec_tlu_ctl.scala 2225:36] + node _T_999 = bits(wr_mtdata2_t_r[3], 0, 0) @[dec_tlu_ctl.scala 2225:84] inst rvclkhdr_25 of rvclkhdr_745 @[lib.scala 368:23] rvclkhdr_25.clock <= clock rvclkhdr_25.reset <= reset rvclkhdr_25.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_25.io.en <= _T_1010 @[lib.scala 371:17] + rvclkhdr_25.io.en <= _T_999 @[lib.scala 371:17] rvclkhdr_25.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_1011 : UInt, rvclkhdr_25.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_1011 <= io.dec_csr_wrdata_r @[lib.scala 374:16] - mtdata2_t[3] <= _T_1011 @[dec_tlu_ctl.scala 2243:36] - node _T_1012 = eq(mtsel, UInt<2>("h00")) @[dec_tlu_ctl.scala 2247:57] - node _T_1013 = eq(mtsel, UInt<2>("h01")) @[dec_tlu_ctl.scala 2247:57] - node _T_1014 = eq(mtsel, UInt<2>("h02")) @[dec_tlu_ctl.scala 2247:57] - node _T_1015 = eq(mtsel, UInt<2>("h03")) @[dec_tlu_ctl.scala 2247:57] - node _T_1016 = mux(_T_1012, mtdata2_t[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1017 = mux(_T_1013, mtdata2_t[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1018 = mux(_T_1014, mtdata2_t[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1019 = mux(_T_1015, mtdata2_t[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1020 = or(_T_1016, _T_1017) @[Mux.scala 27:72] - node _T_1021 = or(_T_1020, _T_1018) @[Mux.scala 27:72] - node _T_1022 = or(_T_1021, _T_1019) @[Mux.scala 27:72] + reg _T_1000 : UInt, rvclkhdr_25.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1000 <= io.dec_csr_wrdata_r @[lib.scala 374:16] + mtdata2_t[3] <= _T_1000 @[dec_tlu_ctl.scala 2225:36] + node _T_1001 = eq(mtsel, UInt<2>("h00")) @[dec_tlu_ctl.scala 2229:57] + node _T_1002 = eq(mtsel, UInt<2>("h01")) @[dec_tlu_ctl.scala 2229:57] + node _T_1003 = eq(mtsel, UInt<2>("h02")) @[dec_tlu_ctl.scala 2229:57] + node _T_1004 = eq(mtsel, UInt<2>("h03")) @[dec_tlu_ctl.scala 2229:57] + node _T_1005 = mux(_T_1001, mtdata2_t[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1006 = mux(_T_1002, mtdata2_t[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1007 = mux(_T_1003, mtdata2_t[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1008 = mux(_T_1004, mtdata2_t[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1009 = or(_T_1005, _T_1006) @[Mux.scala 27:72] + node _T_1010 = or(_T_1009, _T_1007) @[Mux.scala 27:72] + node _T_1011 = or(_T_1010, _T_1008) @[Mux.scala 27:72] wire mtdata2_tsel_out : UInt<32> @[Mux.scala 27:72] - mtdata2_tsel_out <= _T_1022 @[Mux.scala 27:72] - io.trigger_pkt_any[0].tdata2 <= mtdata2_t[0] @[dec_tlu_ctl.scala 2248:51] - io.trigger_pkt_any[1].tdata2 <= mtdata2_t[1] @[dec_tlu_ctl.scala 2248:51] - io.trigger_pkt_any[2].tdata2 <= mtdata2_t[2] @[dec_tlu_ctl.scala 2248:51] - io.trigger_pkt_any[3].tdata2 <= mtdata2_t[3] @[dec_tlu_ctl.scala 2248:51] - mhpme_vec[0] <= mhpme3 @[dec_tlu_ctl.scala 2258:15] - mhpme_vec[1] <= mhpme4 @[dec_tlu_ctl.scala 2259:15] - mhpme_vec[2] <= mhpme5 @[dec_tlu_ctl.scala 2260:15] - mhpme_vec[3] <= mhpme6 @[dec_tlu_ctl.scala 2261:15] - node _T_1023 = bits(io.tlu_i0_commit_cmt, 0, 0) @[Bitwise.scala 72:15] - node _T_1024 = mux(_T_1023, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node pmu_i0_itype_qual = and(io.dec_tlu_packet_r.pmu_i0_itype, _T_1024) @[dec_tlu_ctl.scala 2267:59] - wire mhpmc_inc_r : UInt<1>[4] @[dec_tlu_ctl.scala 2268:24] - wire mhpmc_inc_r_d1 : UInt<1>[4] @[dec_tlu_ctl.scala 2269:27] - node _T_1025 = bits(mcountinhibit, 3, 3) @[dec_tlu_ctl.scala 2273:38] - node _T_1026 = not(_T_1025) @[dec_tlu_ctl.scala 2273:24] - node _T_1027 = eq(mhpme_vec[0], UInt<1>("h01")) @[dec_tlu_ctl.scala 2274:34] - node _T_1028 = bits(_T_1027, 0, 0) @[dec_tlu_ctl.scala 2274:62] - node _T_1029 = eq(mhpme_vec[0], UInt<2>("h02")) @[dec_tlu_ctl.scala 2275:34] - node _T_1030 = bits(_T_1029, 0, 0) @[dec_tlu_ctl.scala 2275:62] - node _T_1031 = eq(mhpme_vec[0], UInt<2>("h03")) @[dec_tlu_ctl.scala 2276:34] - node _T_1032 = bits(_T_1031, 0, 0) @[dec_tlu_ctl.scala 2276:62] - node _T_1033 = eq(mhpme_vec[0], UInt<3>("h04")) @[dec_tlu_ctl.scala 2277:34] - node _T_1034 = bits(_T_1033, 0, 0) @[dec_tlu_ctl.scala 2277:62] - node _T_1035 = not(io.illegal_r) @[dec_tlu_ctl.scala 2277:96] - node _T_1036 = and(io.tlu_i0_commit_cmt, _T_1035) @[dec_tlu_ctl.scala 2277:94] - node _T_1037 = eq(mhpme_vec[0], UInt<3>("h05")) @[dec_tlu_ctl.scala 2278:34] - node _T_1038 = bits(_T_1037, 0, 0) @[dec_tlu_ctl.scala 2278:62] - node _T_1039 = not(io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2278:96] - node _T_1040 = and(io.tlu_i0_commit_cmt, _T_1039) @[dec_tlu_ctl.scala 2278:94] - node _T_1041 = not(io.illegal_r) @[dec_tlu_ctl.scala 2278:117] - node _T_1042 = and(_T_1040, _T_1041) @[dec_tlu_ctl.scala 2278:115] - node _T_1043 = eq(mhpme_vec[0], UInt<3>("h06")) @[dec_tlu_ctl.scala 2279:34] - node _T_1044 = bits(_T_1043, 0, 0) @[dec_tlu_ctl.scala 2279:62] - node _T_1045 = and(io.tlu_i0_commit_cmt, io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2279:94] - node _T_1046 = not(io.illegal_r) @[dec_tlu_ctl.scala 2279:117] - node _T_1047 = and(_T_1045, _T_1046) @[dec_tlu_ctl.scala 2279:115] - node _T_1048 = eq(mhpme_vec[0], UInt<3>("h07")) @[dec_tlu_ctl.scala 2280:34] - node _T_1049 = bits(_T_1048, 0, 0) @[dec_tlu_ctl.scala 2280:62] - node _T_1050 = eq(mhpme_vec[0], UInt<4>("h08")) @[dec_tlu_ctl.scala 2281:34] - node _T_1051 = bits(_T_1050, 0, 0) @[dec_tlu_ctl.scala 2281:62] - node _T_1052 = eq(mhpme_vec[0], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2282:34] - node _T_1053 = bits(_T_1052, 0, 0) @[dec_tlu_ctl.scala 2282:62] - node _T_1054 = eq(mhpme_vec[0], UInt<4>("h09")) @[dec_tlu_ctl.scala 2283:34] - node _T_1055 = bits(_T_1054, 0, 0) @[dec_tlu_ctl.scala 2283:62] - node _T_1056 = eq(pmu_i0_itype_qual, UInt<4>("h01")) @[dec_tlu_ctl.scala 2283:91] - node _T_1057 = eq(mhpme_vec[0], UInt<4>("h0a")) @[dec_tlu_ctl.scala 2284:34] - node _T_1058 = bits(_T_1057, 0, 0) @[dec_tlu_ctl.scala 2284:62] - node _T_1059 = and(io.dec_tlu_packet_r.pmu_divide, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2284:105] - node _T_1060 = eq(mhpme_vec[0], UInt<4>("h0b")) @[dec_tlu_ctl.scala 2285:34] - node _T_1061 = bits(_T_1060, 0, 0) @[dec_tlu_ctl.scala 2285:62] - node _T_1062 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2285:91] - node _T_1063 = eq(mhpme_vec[0], UInt<4>("h0c")) @[dec_tlu_ctl.scala 2286:34] - node _T_1064 = bits(_T_1063, 0, 0) @[dec_tlu_ctl.scala 2286:62] - node _T_1065 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2286:91] - node _T_1066 = eq(mhpme_vec[0], UInt<4>("h0d")) @[dec_tlu_ctl.scala 2287:34] - node _T_1067 = bits(_T_1066, 0, 0) @[dec_tlu_ctl.scala 2287:62] - node _T_1068 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2287:91] - node _T_1069 = and(_T_1068, io.dec_tlu_packet_r.pmu_lsu_misaligned) @[dec_tlu_ctl.scala 2287:100] - node _T_1070 = eq(mhpme_vec[0], UInt<4>("h0e")) @[dec_tlu_ctl.scala 2288:34] - node _T_1071 = bits(_T_1070, 0, 0) @[dec_tlu_ctl.scala 2288:62] - node _T_1072 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2288:91] - node _T_1073 = bits(io.dec_tlu_packet_r.pmu_lsu_misaligned, 0, 0) @[dec_tlu_ctl.scala 2288:142] - node _T_1074 = and(_T_1072, _T_1073) @[dec_tlu_ctl.scala 2288:101] - node _T_1075 = eq(mhpme_vec[0], UInt<4>("h0f")) @[dec_tlu_ctl.scala 2289:34] - node _T_1076 = bits(_T_1075, 0, 0) @[dec_tlu_ctl.scala 2289:59] - node _T_1077 = eq(pmu_i0_itype_qual, UInt<4>("h04")) @[dec_tlu_ctl.scala 2289:89] - node _T_1078 = eq(mhpme_vec[0], UInt<5>("h010")) @[dec_tlu_ctl.scala 2290:34] - node _T_1079 = bits(_T_1078, 0, 0) @[dec_tlu_ctl.scala 2290:59] - node _T_1080 = eq(pmu_i0_itype_qual, UInt<4>("h05")) @[dec_tlu_ctl.scala 2290:89] - node _T_1081 = eq(mhpme_vec[0], UInt<5>("h012")) @[dec_tlu_ctl.scala 2291:34] - node _T_1082 = bits(_T_1081, 0, 0) @[dec_tlu_ctl.scala 2291:59] - node _T_1083 = eq(pmu_i0_itype_qual, UInt<4>("h06")) @[dec_tlu_ctl.scala 2291:89] - node _T_1084 = eq(mhpme_vec[0], UInt<5>("h011")) @[dec_tlu_ctl.scala 2292:34] - node _T_1085 = bits(_T_1084, 0, 0) @[dec_tlu_ctl.scala 2292:59] - node _T_1086 = eq(pmu_i0_itype_qual, UInt<4>("h07")) @[dec_tlu_ctl.scala 2292:89] - node _T_1087 = eq(mhpme_vec[0], UInt<5>("h013")) @[dec_tlu_ctl.scala 2293:34] - node _T_1088 = bits(_T_1087, 0, 0) @[dec_tlu_ctl.scala 2293:59] - node _T_1089 = eq(pmu_i0_itype_qual, UInt<4>("h08")) @[dec_tlu_ctl.scala 2293:89] - node _T_1090 = eq(mhpme_vec[0], UInt<5>("h014")) @[dec_tlu_ctl.scala 2294:34] - node _T_1091 = bits(_T_1090, 0, 0) @[dec_tlu_ctl.scala 2294:59] - node _T_1092 = eq(pmu_i0_itype_qual, UInt<4>("h09")) @[dec_tlu_ctl.scala 2294:89] - node _T_1093 = eq(mhpme_vec[0], UInt<5>("h015")) @[dec_tlu_ctl.scala 2295:34] - node _T_1094 = bits(_T_1093, 0, 0) @[dec_tlu_ctl.scala 2295:59] - node _T_1095 = eq(pmu_i0_itype_qual, UInt<4>("h0a")) @[dec_tlu_ctl.scala 2295:89] - node _T_1096 = eq(mhpme_vec[0], UInt<5>("h016")) @[dec_tlu_ctl.scala 2296:34] - node _T_1097 = bits(_T_1096, 0, 0) @[dec_tlu_ctl.scala 2296:59] - node _T_1098 = eq(pmu_i0_itype_qual, UInt<4>("h0b")) @[dec_tlu_ctl.scala 2296:89] - node _T_1099 = eq(mhpme_vec[0], UInt<5>("h017")) @[dec_tlu_ctl.scala 2297:34] - node _T_1100 = bits(_T_1099, 0, 0) @[dec_tlu_ctl.scala 2297:59] - node _T_1101 = eq(pmu_i0_itype_qual, UInt<4>("h0c")) @[dec_tlu_ctl.scala 2297:89] - node _T_1102 = eq(mhpme_vec[0], UInt<5>("h018")) @[dec_tlu_ctl.scala 2298:34] - node _T_1103 = bits(_T_1102, 0, 0) @[dec_tlu_ctl.scala 2298:59] - node _T_1104 = eq(pmu_i0_itype_qual, UInt<4>("h0d")) @[dec_tlu_ctl.scala 2298:89] - node _T_1105 = eq(pmu_i0_itype_qual, UInt<4>("h0e")) @[dec_tlu_ctl.scala 2298:122] - node _T_1106 = or(_T_1104, _T_1105) @[dec_tlu_ctl.scala 2298:101] - node _T_1107 = eq(mhpme_vec[0], UInt<5>("h019")) @[dec_tlu_ctl.scala 2299:34] - node _T_1108 = bits(_T_1107, 0, 0) @[dec_tlu_ctl.scala 2299:62] - node _T_1109 = and(io.exu_pmu_i0_br_misp, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2299:95] - node _T_1110 = eq(mhpme_vec[0], UInt<5>("h01a")) @[dec_tlu_ctl.scala 2300:34] - node _T_1111 = bits(_T_1110, 0, 0) @[dec_tlu_ctl.scala 2300:62] - node _T_1112 = and(io.exu_pmu_i0_br_ataken, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2300:97] - node _T_1113 = eq(mhpme_vec[0], UInt<5>("h01b")) @[dec_tlu_ctl.scala 2301:34] - node _T_1114 = bits(_T_1113, 0, 0) @[dec_tlu_ctl.scala 2301:62] - node _T_1115 = and(io.dec_tlu_packet_r.pmu_i0_br_unpred, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2301:110] - node _T_1116 = eq(mhpme_vec[0], UInt<5>("h01c")) @[dec_tlu_ctl.scala 2302:34] - node _T_1117 = bits(_T_1116, 0, 0) @[dec_tlu_ctl.scala 2302:62] - node _T_1118 = eq(mhpme_vec[0], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2303:34] - node _T_1119 = bits(_T_1118, 0, 0) @[dec_tlu_ctl.scala 2303:62] - node _T_1120 = eq(mhpme_vec[0], UInt<5>("h01f")) @[dec_tlu_ctl.scala 2304:34] - node _T_1121 = bits(_T_1120, 0, 0) @[dec_tlu_ctl.scala 2304:62] - node _T_1122 = eq(mhpme_vec[0], UInt<6>("h020")) @[dec_tlu_ctl.scala 2305:34] - node _T_1123 = bits(_T_1122, 0, 0) @[dec_tlu_ctl.scala 2305:62] - node _T_1124 = eq(mhpme_vec[0], UInt<6>("h022")) @[dec_tlu_ctl.scala 2306:34] - node _T_1125 = bits(_T_1124, 0, 0) @[dec_tlu_ctl.scala 2306:62] - node _T_1126 = eq(mhpme_vec[0], UInt<6>("h023")) @[dec_tlu_ctl.scala 2307:34] - node _T_1127 = bits(_T_1126, 0, 0) @[dec_tlu_ctl.scala 2307:62] - node _T_1128 = eq(mhpme_vec[0], UInt<6>("h024")) @[dec_tlu_ctl.scala 2308:34] - node _T_1129 = bits(_T_1128, 0, 0) @[dec_tlu_ctl.scala 2308:62] - node _T_1130 = eq(mhpme_vec[0], UInt<6>("h025")) @[dec_tlu_ctl.scala 2309:34] - node _T_1131 = bits(_T_1130, 0, 0) @[dec_tlu_ctl.scala 2309:62] - node _T_1132 = or(io.i0_exception_valid_r, io.i0_trigger_hit_r) @[dec_tlu_ctl.scala 2309:98] - node _T_1133 = or(_T_1132, io.lsu_exc_valid_r) @[dec_tlu_ctl.scala 2309:120] - node _T_1134 = eq(mhpme_vec[0], UInt<6>("h026")) @[dec_tlu_ctl.scala 2310:34] - node _T_1135 = bits(_T_1134, 0, 0) @[dec_tlu_ctl.scala 2310:62] - node _T_1136 = or(io.take_timer_int, io.take_int_timer0_int) @[dec_tlu_ctl.scala 2310:92] - node _T_1137 = or(_T_1136, io.take_int_timer1_int) @[dec_tlu_ctl.scala 2310:117] - node _T_1138 = eq(mhpme_vec[0], UInt<6>("h027")) @[dec_tlu_ctl.scala 2311:34] - node _T_1139 = bits(_T_1138, 0, 0) @[dec_tlu_ctl.scala 2311:62] - node _T_1140 = eq(mhpme_vec[0], UInt<6>("h028")) @[dec_tlu_ctl.scala 2312:34] - node _T_1141 = bits(_T_1140, 0, 0) @[dec_tlu_ctl.scala 2312:62] - node _T_1142 = eq(mhpme_vec[0], UInt<6>("h029")) @[dec_tlu_ctl.scala 2313:34] - node _T_1143 = bits(_T_1142, 0, 0) @[dec_tlu_ctl.scala 2313:62] - node _T_1144 = or(io.dec_tlu_br0_error_r, io.dec_tlu_br0_start_error_r) @[dec_tlu_ctl.scala 2313:97] - node _T_1145 = and(_T_1144, io.rfpc_i0_r) @[dec_tlu_ctl.scala 2313:129] - node _T_1146 = eq(mhpme_vec[0], UInt<6>("h02a")) @[dec_tlu_ctl.scala 2314:34] - node _T_1147 = bits(_T_1146, 0, 0) @[dec_tlu_ctl.scala 2314:62] - node _T_1148 = eq(mhpme_vec[0], UInt<6>("h02b")) @[dec_tlu_ctl.scala 2315:34] - node _T_1149 = bits(_T_1148, 0, 0) @[dec_tlu_ctl.scala 2315:62] - node _T_1150 = eq(mhpme_vec[0], UInt<6>("h02c")) @[dec_tlu_ctl.scala 2316:34] - node _T_1151 = bits(_T_1150, 0, 0) @[dec_tlu_ctl.scala 2316:62] - node _T_1152 = eq(mhpme_vec[0], UInt<6>("h02d")) @[dec_tlu_ctl.scala 2317:34] - node _T_1153 = bits(_T_1152, 0, 0) @[dec_tlu_ctl.scala 2317:62] - node _T_1154 = eq(mhpme_vec[0], UInt<6>("h02e")) @[dec_tlu_ctl.scala 2318:34] - node _T_1155 = bits(_T_1154, 0, 0) @[dec_tlu_ctl.scala 2318:62] - node _T_1156 = eq(mhpme_vec[0], UInt<6>("h02f")) @[dec_tlu_ctl.scala 2319:34] - node _T_1157 = bits(_T_1156, 0, 0) @[dec_tlu_ctl.scala 2319:62] - node _T_1158 = eq(mhpme_vec[0], UInt<6>("h030")) @[dec_tlu_ctl.scala 2320:34] - node _T_1159 = bits(_T_1158, 0, 0) @[dec_tlu_ctl.scala 2320:62] - node _T_1160 = eq(mhpme_vec[0], UInt<6>("h031")) @[dec_tlu_ctl.scala 2321:34] - node _T_1161 = bits(_T_1160, 0, 0) @[dec_tlu_ctl.scala 2321:62] - node _T_1162 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2321:84] - node _T_1163 = bits(_T_1162, 0, 0) @[dec_tlu_ctl.scala 2321:84] - node _T_1164 = not(_T_1163) @[dec_tlu_ctl.scala 2321:73] - node _T_1165 = eq(mhpme_vec[0], UInt<6>("h032")) @[dec_tlu_ctl.scala 2322:34] - node _T_1166 = bits(_T_1165, 0, 0) @[dec_tlu_ctl.scala 2322:62] - node _T_1167 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2322:84] - node _T_1168 = bits(_T_1167, 0, 0) @[dec_tlu_ctl.scala 2322:84] - node _T_1169 = not(_T_1168) @[dec_tlu_ctl.scala 2322:73] - node _T_1170 = bits(io.mip, 5, 0) @[dec_tlu_ctl.scala 2322:107] - node _T_1171 = bits(mie, 5, 0) @[dec_tlu_ctl.scala 2322:118] - node _T_1172 = and(_T_1170, _T_1171) @[dec_tlu_ctl.scala 2322:113] - node _T_1173 = orr(_T_1172) @[dec_tlu_ctl.scala 2322:125] - node _T_1174 = and(_T_1169, _T_1173) @[dec_tlu_ctl.scala 2322:98] - node _T_1175 = eq(mhpme_vec[0], UInt<6>("h036")) @[dec_tlu_ctl.scala 2323:34] - node _T_1176 = bits(_T_1175, 0, 0) @[dec_tlu_ctl.scala 2323:62] - node _T_1177 = eq(pmu_i0_itype_qual, UInt<4>("h0f")) @[dec_tlu_ctl.scala 2323:91] - node _T_1178 = eq(mhpme_vec[0], UInt<6>("h037")) @[dec_tlu_ctl.scala 2324:34] - node _T_1179 = bits(_T_1178, 0, 0) @[dec_tlu_ctl.scala 2324:62] - node _T_1180 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_load_external_r) @[dec_tlu_ctl.scala 2324:94] - node _T_1181 = eq(mhpme_vec[0], UInt<6>("h038")) @[dec_tlu_ctl.scala 2325:34] - node _T_1182 = bits(_T_1181, 0, 0) @[dec_tlu_ctl.scala 2325:62] - node _T_1183 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_store_external_r) @[dec_tlu_ctl.scala 2325:94] - node _T_1184 = eq(mhpme_vec[0], UInt<10>("h0200")) @[dec_tlu_ctl.scala 2327:34] - node _T_1185 = bits(_T_1184, 0, 0) @[dec_tlu_ctl.scala 2327:62] - node _T_1186 = eq(mhpme_vec[0], UInt<10>("h0201")) @[dec_tlu_ctl.scala 2328:34] - node _T_1187 = bits(_T_1186, 0, 0) @[dec_tlu_ctl.scala 2328:62] - node _T_1188 = eq(mhpme_vec[0], UInt<10>("h0202")) @[dec_tlu_ctl.scala 2329:34] - node _T_1189 = bits(_T_1188, 0, 0) @[dec_tlu_ctl.scala 2329:62] - node _T_1190 = eq(mhpme_vec[0], UInt<10>("h0203")) @[dec_tlu_ctl.scala 2330:34] - node _T_1191 = bits(_T_1190, 0, 0) @[dec_tlu_ctl.scala 2330:62] - node _T_1192 = eq(mhpme_vec[0], UInt<10>("h0204")) @[dec_tlu_ctl.scala 2331:34] - node _T_1193 = bits(_T_1192, 0, 0) @[dec_tlu_ctl.scala 2331:62] - node _T_1194 = mux(_T_1028, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1195 = mux(_T_1030, io.ifu_pmu_ic_hit, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1196 = mux(_T_1032, io.ifu_pmu_ic_miss, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1197 = mux(_T_1034, _T_1036, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1198 = mux(_T_1038, _T_1042, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1199 = mux(_T_1044, _T_1047, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1200 = mux(_T_1049, io.ifu_pmu_instr_aligned, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1201 = mux(_T_1051, io.dec_pmu_instr_decoded, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1202 = mux(_T_1053, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1203 = mux(_T_1055, _T_1056, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1204 = mux(_T_1058, _T_1059, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1205 = mux(_T_1061, _T_1062, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1206 = mux(_T_1064, _T_1065, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1207 = mux(_T_1067, _T_1069, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1208 = mux(_T_1071, _T_1074, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1209 = mux(_T_1076, _T_1077, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1210 = mux(_T_1079, _T_1080, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1211 = mux(_T_1082, _T_1083, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1212 = mux(_T_1085, _T_1086, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1213 = mux(_T_1088, _T_1089, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1214 = mux(_T_1091, _T_1092, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1215 = mux(_T_1094, _T_1095, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1216 = mux(_T_1097, _T_1098, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1217 = mux(_T_1100, _T_1101, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1218 = mux(_T_1103, _T_1106, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1219 = mux(_T_1108, _T_1109, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1220 = mux(_T_1111, _T_1112, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1221 = mux(_T_1114, _T_1115, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1222 = mux(_T_1117, io.ifu_pmu_fetch_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1223 = mux(_T_1119, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1224 = mux(_T_1121, io.dec_pmu_postsync_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1225 = mux(_T_1123, io.dec_pmu_presync_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1226 = mux(_T_1125, io.lsu_store_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1227 = mux(_T_1127, io.dma_dccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1228 = mux(_T_1129, io.dma_iccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1229 = mux(_T_1131, _T_1133, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1230 = mux(_T_1135, _T_1137, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1231 = mux(_T_1139, io.take_ext_int, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1232 = mux(_T_1141, io.tlu_flush_lower_r, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1233 = mux(_T_1143, _T_1145, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1234 = mux(_T_1147, io.ifu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1235 = mux(_T_1149, io.lsu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1236 = mux(_T_1151, io.lsu_pmu_bus_misaligned, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1237 = mux(_T_1153, io.ifu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1238 = mux(_T_1155, io.lsu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1239 = mux(_T_1157, io.ifu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1240 = mux(_T_1159, io.lsu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1241 = mux(_T_1161, _T_1164, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1242 = mux(_T_1166, _T_1174, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1243 = mux(_T_1176, _T_1177, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1244 = mux(_T_1179, _T_1180, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1245 = mux(_T_1182, _T_1183, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1246 = mux(_T_1185, io.dec_tlu_pmu_fw_halted, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1247 = mux(_T_1187, io.dma_pmu_any_read, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1248 = mux(_T_1189, io.dma_pmu_any_write, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1249 = mux(_T_1191, io.dma_pmu_dccm_read, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1250 = mux(_T_1193, io.dma_pmu_dccm_write, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1251 = or(_T_1194, _T_1195) @[Mux.scala 27:72] + mtdata2_tsel_out <= _T_1011 @[Mux.scala 27:72] + io.trigger_pkt_any[0].tdata2 <= mtdata2_t[0] @[dec_tlu_ctl.scala 2230:51] + io.trigger_pkt_any[1].tdata2 <= mtdata2_t[1] @[dec_tlu_ctl.scala 2230:51] + io.trigger_pkt_any[2].tdata2 <= mtdata2_t[2] @[dec_tlu_ctl.scala 2230:51] + io.trigger_pkt_any[3].tdata2 <= mtdata2_t[3] @[dec_tlu_ctl.scala 2230:51] + mhpme_vec[0] <= mhpme3 @[dec_tlu_ctl.scala 2240:15] + mhpme_vec[1] <= mhpme4 @[dec_tlu_ctl.scala 2241:15] + mhpme_vec[2] <= mhpme5 @[dec_tlu_ctl.scala 2242:15] + mhpme_vec[3] <= mhpme6 @[dec_tlu_ctl.scala 2243:15] + node _T_1012 = bits(io.tlu_i0_commit_cmt, 0, 0) @[Bitwise.scala 72:15] + node _T_1013 = mux(_T_1012, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node pmu_i0_itype_qual = and(io.dec_tlu_packet_r.pmu_i0_itype, _T_1013) @[dec_tlu_ctl.scala 2249:59] + wire mhpmc_inc_r : UInt<1>[4] @[dec_tlu_ctl.scala 2250:24] + wire mhpmc_inc_r_d1 : UInt<1>[4] @[dec_tlu_ctl.scala 2251:27] + node _T_1014 = bits(mcountinhibit, 3, 3) @[dec_tlu_ctl.scala 2255:38] + node _T_1015 = not(_T_1014) @[dec_tlu_ctl.scala 2255:24] + node _T_1016 = eq(mhpme_vec[0], UInt<1>("h01")) @[dec_tlu_ctl.scala 2256:34] + node _T_1017 = bits(_T_1016, 0, 0) @[dec_tlu_ctl.scala 2256:62] + node _T_1018 = eq(mhpme_vec[0], UInt<2>("h02")) @[dec_tlu_ctl.scala 2257:34] + node _T_1019 = bits(_T_1018, 0, 0) @[dec_tlu_ctl.scala 2257:62] + node _T_1020 = eq(mhpme_vec[0], UInt<2>("h03")) @[dec_tlu_ctl.scala 2258:34] + node _T_1021 = bits(_T_1020, 0, 0) @[dec_tlu_ctl.scala 2258:62] + node _T_1022 = eq(mhpme_vec[0], UInt<3>("h04")) @[dec_tlu_ctl.scala 2259:34] + node _T_1023 = bits(_T_1022, 0, 0) @[dec_tlu_ctl.scala 2259:62] + node _T_1024 = not(io.illegal_r) @[dec_tlu_ctl.scala 2259:96] + node _T_1025 = and(io.tlu_i0_commit_cmt, _T_1024) @[dec_tlu_ctl.scala 2259:94] + node _T_1026 = eq(mhpme_vec[0], UInt<3>("h05")) @[dec_tlu_ctl.scala 2260:34] + node _T_1027 = bits(_T_1026, 0, 0) @[dec_tlu_ctl.scala 2260:62] + node _T_1028 = not(io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2260:96] + node _T_1029 = and(io.tlu_i0_commit_cmt, _T_1028) @[dec_tlu_ctl.scala 2260:94] + node _T_1030 = not(io.illegal_r) @[dec_tlu_ctl.scala 2260:117] + node _T_1031 = and(_T_1029, _T_1030) @[dec_tlu_ctl.scala 2260:115] + node _T_1032 = eq(mhpme_vec[0], UInt<3>("h06")) @[dec_tlu_ctl.scala 2261:34] + node _T_1033 = bits(_T_1032, 0, 0) @[dec_tlu_ctl.scala 2261:62] + node _T_1034 = and(io.tlu_i0_commit_cmt, io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2261:94] + node _T_1035 = not(io.illegal_r) @[dec_tlu_ctl.scala 2261:117] + node _T_1036 = and(_T_1034, _T_1035) @[dec_tlu_ctl.scala 2261:115] + node _T_1037 = eq(mhpme_vec[0], UInt<3>("h07")) @[dec_tlu_ctl.scala 2262:34] + node _T_1038 = bits(_T_1037, 0, 0) @[dec_tlu_ctl.scala 2262:62] + node _T_1039 = eq(mhpme_vec[0], UInt<4>("h08")) @[dec_tlu_ctl.scala 2263:34] + node _T_1040 = bits(_T_1039, 0, 0) @[dec_tlu_ctl.scala 2263:62] + node _T_1041 = eq(mhpme_vec[0], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2264:34] + node _T_1042 = bits(_T_1041, 0, 0) @[dec_tlu_ctl.scala 2264:62] + node _T_1043 = eq(mhpme_vec[0], UInt<4>("h09")) @[dec_tlu_ctl.scala 2265:34] + node _T_1044 = bits(_T_1043, 0, 0) @[dec_tlu_ctl.scala 2265:62] + node _T_1045 = eq(pmu_i0_itype_qual, UInt<4>("h01")) @[dec_tlu_ctl.scala 2265:91] + node _T_1046 = eq(mhpme_vec[0], UInt<4>("h0a")) @[dec_tlu_ctl.scala 2266:34] + node _T_1047 = bits(_T_1046, 0, 0) @[dec_tlu_ctl.scala 2266:62] + node _T_1048 = and(io.dec_tlu_packet_r.pmu_divide, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2266:105] + node _T_1049 = eq(mhpme_vec[0], UInt<4>("h0b")) @[dec_tlu_ctl.scala 2267:34] + node _T_1050 = bits(_T_1049, 0, 0) @[dec_tlu_ctl.scala 2267:62] + node _T_1051 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2267:91] + node _T_1052 = eq(mhpme_vec[0], UInt<4>("h0c")) @[dec_tlu_ctl.scala 2268:34] + node _T_1053 = bits(_T_1052, 0, 0) @[dec_tlu_ctl.scala 2268:62] + node _T_1054 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2268:91] + node _T_1055 = eq(mhpme_vec[0], UInt<4>("h0d")) @[dec_tlu_ctl.scala 2269:34] + node _T_1056 = bits(_T_1055, 0, 0) @[dec_tlu_ctl.scala 2269:62] + node _T_1057 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2269:91] + node _T_1058 = and(_T_1057, io.dec_tlu_packet_r.pmu_lsu_misaligned) @[dec_tlu_ctl.scala 2269:100] + node _T_1059 = eq(mhpme_vec[0], UInt<4>("h0e")) @[dec_tlu_ctl.scala 2270:34] + node _T_1060 = bits(_T_1059, 0, 0) @[dec_tlu_ctl.scala 2270:62] + node _T_1061 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2270:91] + node _T_1062 = bits(io.dec_tlu_packet_r.pmu_lsu_misaligned, 0, 0) @[dec_tlu_ctl.scala 2270:142] + node _T_1063 = and(_T_1061, _T_1062) @[dec_tlu_ctl.scala 2270:101] + node _T_1064 = eq(mhpme_vec[0], UInt<4>("h0f")) @[dec_tlu_ctl.scala 2271:34] + node _T_1065 = bits(_T_1064, 0, 0) @[dec_tlu_ctl.scala 2271:59] + node _T_1066 = eq(pmu_i0_itype_qual, UInt<4>("h04")) @[dec_tlu_ctl.scala 2271:89] + node _T_1067 = eq(mhpme_vec[0], UInt<5>("h010")) @[dec_tlu_ctl.scala 2272:34] + node _T_1068 = bits(_T_1067, 0, 0) @[dec_tlu_ctl.scala 2272:59] + node _T_1069 = eq(pmu_i0_itype_qual, UInt<4>("h05")) @[dec_tlu_ctl.scala 2272:89] + node _T_1070 = eq(mhpme_vec[0], UInt<5>("h012")) @[dec_tlu_ctl.scala 2273:34] + node _T_1071 = bits(_T_1070, 0, 0) @[dec_tlu_ctl.scala 2273:59] + node _T_1072 = eq(pmu_i0_itype_qual, UInt<4>("h06")) @[dec_tlu_ctl.scala 2273:89] + node _T_1073 = eq(mhpme_vec[0], UInt<5>("h011")) @[dec_tlu_ctl.scala 2274:34] + node _T_1074 = bits(_T_1073, 0, 0) @[dec_tlu_ctl.scala 2274:59] + node _T_1075 = eq(pmu_i0_itype_qual, UInt<4>("h07")) @[dec_tlu_ctl.scala 2274:89] + node _T_1076 = eq(mhpme_vec[0], UInt<5>("h013")) @[dec_tlu_ctl.scala 2275:34] + node _T_1077 = bits(_T_1076, 0, 0) @[dec_tlu_ctl.scala 2275:59] + node _T_1078 = eq(pmu_i0_itype_qual, UInt<4>("h08")) @[dec_tlu_ctl.scala 2275:89] + node _T_1079 = eq(mhpme_vec[0], UInt<5>("h014")) @[dec_tlu_ctl.scala 2276:34] + node _T_1080 = bits(_T_1079, 0, 0) @[dec_tlu_ctl.scala 2276:59] + node _T_1081 = eq(pmu_i0_itype_qual, UInt<4>("h09")) @[dec_tlu_ctl.scala 2276:89] + node _T_1082 = eq(mhpme_vec[0], UInt<5>("h015")) @[dec_tlu_ctl.scala 2277:34] + node _T_1083 = bits(_T_1082, 0, 0) @[dec_tlu_ctl.scala 2277:59] + node _T_1084 = eq(pmu_i0_itype_qual, UInt<4>("h0a")) @[dec_tlu_ctl.scala 2277:89] + node _T_1085 = eq(mhpme_vec[0], UInt<5>("h016")) @[dec_tlu_ctl.scala 2278:34] + node _T_1086 = bits(_T_1085, 0, 0) @[dec_tlu_ctl.scala 2278:59] + node _T_1087 = eq(pmu_i0_itype_qual, UInt<4>("h0b")) @[dec_tlu_ctl.scala 2278:89] + node _T_1088 = eq(mhpme_vec[0], UInt<5>("h017")) @[dec_tlu_ctl.scala 2279:34] + node _T_1089 = bits(_T_1088, 0, 0) @[dec_tlu_ctl.scala 2279:59] + node _T_1090 = eq(pmu_i0_itype_qual, UInt<4>("h0c")) @[dec_tlu_ctl.scala 2279:89] + node _T_1091 = eq(mhpme_vec[0], UInt<5>("h018")) @[dec_tlu_ctl.scala 2280:34] + node _T_1092 = bits(_T_1091, 0, 0) @[dec_tlu_ctl.scala 2280:59] + node _T_1093 = eq(pmu_i0_itype_qual, UInt<4>("h0d")) @[dec_tlu_ctl.scala 2280:89] + node _T_1094 = eq(pmu_i0_itype_qual, UInt<4>("h0e")) @[dec_tlu_ctl.scala 2280:122] + node _T_1095 = or(_T_1093, _T_1094) @[dec_tlu_ctl.scala 2280:101] + node _T_1096 = eq(mhpme_vec[0], UInt<5>("h019")) @[dec_tlu_ctl.scala 2281:34] + node _T_1097 = bits(_T_1096, 0, 0) @[dec_tlu_ctl.scala 2281:62] + node _T_1098 = and(io.exu_pmu_i0_br_misp, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2281:95] + node _T_1099 = eq(mhpme_vec[0], UInt<5>("h01a")) @[dec_tlu_ctl.scala 2282:34] + node _T_1100 = bits(_T_1099, 0, 0) @[dec_tlu_ctl.scala 2282:62] + node _T_1101 = and(io.exu_pmu_i0_br_ataken, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2282:97] + node _T_1102 = eq(mhpme_vec[0], UInt<5>("h01b")) @[dec_tlu_ctl.scala 2283:34] + node _T_1103 = bits(_T_1102, 0, 0) @[dec_tlu_ctl.scala 2283:62] + node _T_1104 = and(io.dec_tlu_packet_r.pmu_i0_br_unpred, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2283:110] + node _T_1105 = eq(mhpme_vec[0], UInt<5>("h01c")) @[dec_tlu_ctl.scala 2284:34] + node _T_1106 = bits(_T_1105, 0, 0) @[dec_tlu_ctl.scala 2284:62] + node _T_1107 = eq(mhpme_vec[0], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2285:34] + node _T_1108 = bits(_T_1107, 0, 0) @[dec_tlu_ctl.scala 2285:62] + node _T_1109 = eq(mhpme_vec[0], UInt<5>("h01f")) @[dec_tlu_ctl.scala 2286:34] + node _T_1110 = bits(_T_1109, 0, 0) @[dec_tlu_ctl.scala 2286:62] + node _T_1111 = eq(mhpme_vec[0], UInt<6>("h020")) @[dec_tlu_ctl.scala 2287:34] + node _T_1112 = bits(_T_1111, 0, 0) @[dec_tlu_ctl.scala 2287:62] + node _T_1113 = eq(mhpme_vec[0], UInt<6>("h022")) @[dec_tlu_ctl.scala 2288:34] + node _T_1114 = bits(_T_1113, 0, 0) @[dec_tlu_ctl.scala 2288:62] + node _T_1115 = eq(mhpme_vec[0], UInt<6>("h023")) @[dec_tlu_ctl.scala 2289:34] + node _T_1116 = bits(_T_1115, 0, 0) @[dec_tlu_ctl.scala 2289:62] + node _T_1117 = eq(mhpme_vec[0], UInt<6>("h024")) @[dec_tlu_ctl.scala 2290:34] + node _T_1118 = bits(_T_1117, 0, 0) @[dec_tlu_ctl.scala 2290:62] + node _T_1119 = eq(mhpme_vec[0], UInt<6>("h025")) @[dec_tlu_ctl.scala 2291:34] + node _T_1120 = bits(_T_1119, 0, 0) @[dec_tlu_ctl.scala 2291:62] + node _T_1121 = or(io.i0_exception_valid_r, io.i0_trigger_hit_r) @[dec_tlu_ctl.scala 2291:98] + node _T_1122 = or(_T_1121, io.lsu_exc_valid_r) @[dec_tlu_ctl.scala 2291:120] + node _T_1123 = eq(mhpme_vec[0], UInt<6>("h026")) @[dec_tlu_ctl.scala 2292:34] + node _T_1124 = bits(_T_1123, 0, 0) @[dec_tlu_ctl.scala 2292:62] + node _T_1125 = or(io.take_timer_int, io.take_int_timer0_int) @[dec_tlu_ctl.scala 2292:92] + node _T_1126 = or(_T_1125, io.take_int_timer1_int) @[dec_tlu_ctl.scala 2292:117] + node _T_1127 = eq(mhpme_vec[0], UInt<6>("h027")) @[dec_tlu_ctl.scala 2293:34] + node _T_1128 = bits(_T_1127, 0, 0) @[dec_tlu_ctl.scala 2293:62] + node _T_1129 = eq(mhpme_vec[0], UInt<6>("h028")) @[dec_tlu_ctl.scala 2294:34] + node _T_1130 = bits(_T_1129, 0, 0) @[dec_tlu_ctl.scala 2294:62] + node _T_1131 = eq(mhpme_vec[0], UInt<6>("h029")) @[dec_tlu_ctl.scala 2295:34] + node _T_1132 = bits(_T_1131, 0, 0) @[dec_tlu_ctl.scala 2295:62] + node _T_1133 = or(io.dec_tlu_br0_error_r, io.dec_tlu_br0_start_error_r) @[dec_tlu_ctl.scala 2295:97] + node _T_1134 = and(_T_1133, io.rfpc_i0_r) @[dec_tlu_ctl.scala 2295:129] + node _T_1135 = eq(mhpme_vec[0], UInt<6>("h02a")) @[dec_tlu_ctl.scala 2296:34] + node _T_1136 = bits(_T_1135, 0, 0) @[dec_tlu_ctl.scala 2296:62] + node _T_1137 = eq(mhpme_vec[0], UInt<6>("h02b")) @[dec_tlu_ctl.scala 2297:34] + node _T_1138 = bits(_T_1137, 0, 0) @[dec_tlu_ctl.scala 2297:62] + node _T_1139 = eq(mhpme_vec[0], UInt<6>("h02c")) @[dec_tlu_ctl.scala 2298:34] + node _T_1140 = bits(_T_1139, 0, 0) @[dec_tlu_ctl.scala 2298:62] + node _T_1141 = eq(mhpme_vec[0], UInt<6>("h02d")) @[dec_tlu_ctl.scala 2299:34] + node _T_1142 = bits(_T_1141, 0, 0) @[dec_tlu_ctl.scala 2299:62] + node _T_1143 = eq(mhpme_vec[0], UInt<6>("h02e")) @[dec_tlu_ctl.scala 2300:34] + node _T_1144 = bits(_T_1143, 0, 0) @[dec_tlu_ctl.scala 2300:62] + node _T_1145 = eq(mhpme_vec[0], UInt<6>("h02f")) @[dec_tlu_ctl.scala 2301:34] + node _T_1146 = bits(_T_1145, 0, 0) @[dec_tlu_ctl.scala 2301:62] + node _T_1147 = eq(mhpme_vec[0], UInt<6>("h030")) @[dec_tlu_ctl.scala 2302:34] + node _T_1148 = bits(_T_1147, 0, 0) @[dec_tlu_ctl.scala 2302:62] + node _T_1149 = eq(mhpme_vec[0], UInt<6>("h031")) @[dec_tlu_ctl.scala 2303:34] + node _T_1150 = bits(_T_1149, 0, 0) @[dec_tlu_ctl.scala 2303:62] + node _T_1151 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2303:84] + node _T_1152 = bits(_T_1151, 0, 0) @[dec_tlu_ctl.scala 2303:84] + node _T_1153 = not(_T_1152) @[dec_tlu_ctl.scala 2303:73] + node _T_1154 = eq(mhpme_vec[0], UInt<6>("h032")) @[dec_tlu_ctl.scala 2304:34] + node _T_1155 = bits(_T_1154, 0, 0) @[dec_tlu_ctl.scala 2304:62] + node _T_1156 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2304:84] + node _T_1157 = bits(_T_1156, 0, 0) @[dec_tlu_ctl.scala 2304:84] + node _T_1158 = not(_T_1157) @[dec_tlu_ctl.scala 2304:73] + node _T_1159 = bits(io.mip, 5, 0) @[dec_tlu_ctl.scala 2304:107] + node _T_1160 = bits(mie, 5, 0) @[dec_tlu_ctl.scala 2304:118] + node _T_1161 = and(_T_1159, _T_1160) @[dec_tlu_ctl.scala 2304:113] + node _T_1162 = orr(_T_1161) @[dec_tlu_ctl.scala 2304:125] + node _T_1163 = and(_T_1158, _T_1162) @[dec_tlu_ctl.scala 2304:98] + node _T_1164 = eq(mhpme_vec[0], UInt<6>("h036")) @[dec_tlu_ctl.scala 2305:34] + node _T_1165 = bits(_T_1164, 0, 0) @[dec_tlu_ctl.scala 2305:62] + node _T_1166 = eq(pmu_i0_itype_qual, UInt<4>("h0f")) @[dec_tlu_ctl.scala 2305:91] + node _T_1167 = eq(mhpme_vec[0], UInt<6>("h037")) @[dec_tlu_ctl.scala 2306:34] + node _T_1168 = bits(_T_1167, 0, 0) @[dec_tlu_ctl.scala 2306:62] + node _T_1169 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_load_external_r) @[dec_tlu_ctl.scala 2306:94] + node _T_1170 = eq(mhpme_vec[0], UInt<6>("h038")) @[dec_tlu_ctl.scala 2307:34] + node _T_1171 = bits(_T_1170, 0, 0) @[dec_tlu_ctl.scala 2307:62] + node _T_1172 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_store_external_r) @[dec_tlu_ctl.scala 2307:94] + node _T_1173 = eq(mhpme_vec[0], UInt<10>("h0200")) @[dec_tlu_ctl.scala 2309:34] + node _T_1174 = bits(_T_1173, 0, 0) @[dec_tlu_ctl.scala 2309:62] + node _T_1175 = eq(mhpme_vec[0], UInt<10>("h0201")) @[dec_tlu_ctl.scala 2310:34] + node _T_1176 = bits(_T_1175, 0, 0) @[dec_tlu_ctl.scala 2310:62] + node _T_1177 = eq(mhpme_vec[0], UInt<10>("h0202")) @[dec_tlu_ctl.scala 2311:34] + node _T_1178 = bits(_T_1177, 0, 0) @[dec_tlu_ctl.scala 2311:62] + node _T_1179 = eq(mhpme_vec[0], UInt<10>("h0203")) @[dec_tlu_ctl.scala 2312:34] + node _T_1180 = bits(_T_1179, 0, 0) @[dec_tlu_ctl.scala 2312:62] + node _T_1181 = eq(mhpme_vec[0], UInt<10>("h0204")) @[dec_tlu_ctl.scala 2313:34] + node _T_1182 = bits(_T_1181, 0, 0) @[dec_tlu_ctl.scala 2313:62] + node _T_1183 = mux(_T_1017, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1184 = mux(_T_1019, io.ifu_pmu_ic_hit, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1185 = mux(_T_1021, io.ifu_pmu_ic_miss, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1186 = mux(_T_1023, _T_1025, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1187 = mux(_T_1027, _T_1031, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1188 = mux(_T_1033, _T_1036, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1189 = mux(_T_1038, io.ifu_pmu_instr_aligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1190 = mux(_T_1040, io.dec_pmu_instr_decoded, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1191 = mux(_T_1042, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1192 = mux(_T_1044, _T_1045, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1193 = mux(_T_1047, _T_1048, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1194 = mux(_T_1050, _T_1051, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1195 = mux(_T_1053, _T_1054, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1196 = mux(_T_1056, _T_1058, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1197 = mux(_T_1060, _T_1063, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1198 = mux(_T_1065, _T_1066, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1199 = mux(_T_1068, _T_1069, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1200 = mux(_T_1071, _T_1072, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1201 = mux(_T_1074, _T_1075, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1202 = mux(_T_1077, _T_1078, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1203 = mux(_T_1080, _T_1081, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1204 = mux(_T_1083, _T_1084, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1205 = mux(_T_1086, _T_1087, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1206 = mux(_T_1089, _T_1090, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1207 = mux(_T_1092, _T_1095, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1208 = mux(_T_1097, _T_1098, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1209 = mux(_T_1100, _T_1101, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1210 = mux(_T_1103, _T_1104, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1211 = mux(_T_1106, io.ifu_pmu_fetch_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1212 = mux(_T_1108, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1213 = mux(_T_1110, io.dec_pmu_postsync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1214 = mux(_T_1112, io.dec_pmu_presync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1215 = mux(_T_1114, io.lsu_store_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1216 = mux(_T_1116, io.dma_dccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1217 = mux(_T_1118, io.dma_iccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1218 = mux(_T_1120, _T_1122, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1219 = mux(_T_1124, _T_1126, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1220 = mux(_T_1128, io.take_ext_int, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1221 = mux(_T_1130, io.tlu_flush_lower_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1222 = mux(_T_1132, _T_1134, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1223 = mux(_T_1136, io.ifu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1224 = mux(_T_1138, io.lsu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1225 = mux(_T_1140, io.lsu_pmu_bus_misaligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1226 = mux(_T_1142, io.ifu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1227 = mux(_T_1144, io.lsu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1228 = mux(_T_1146, io.ifu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1229 = mux(_T_1148, io.lsu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1230 = mux(_T_1150, _T_1153, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1231 = mux(_T_1155, _T_1163, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1232 = mux(_T_1165, _T_1166, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1233 = mux(_T_1168, _T_1169, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1234 = mux(_T_1171, _T_1172, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1235 = mux(_T_1174, io.dec_tlu_pmu_fw_halted, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1236 = mux(_T_1176, io.dma_pmu_any_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1237 = mux(_T_1178, io.dma_pmu_any_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1238 = mux(_T_1180, io.dma_pmu_dccm_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1239 = mux(_T_1182, io.dma_pmu_dccm_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1240 = or(_T_1183, _T_1184) @[Mux.scala 27:72] + node _T_1241 = or(_T_1240, _T_1185) @[Mux.scala 27:72] + node _T_1242 = or(_T_1241, _T_1186) @[Mux.scala 27:72] + node _T_1243 = or(_T_1242, _T_1187) @[Mux.scala 27:72] + node _T_1244 = or(_T_1243, _T_1188) @[Mux.scala 27:72] + node _T_1245 = or(_T_1244, _T_1189) @[Mux.scala 27:72] + node _T_1246 = or(_T_1245, _T_1190) @[Mux.scala 27:72] + node _T_1247 = or(_T_1246, _T_1191) @[Mux.scala 27:72] + node _T_1248 = or(_T_1247, _T_1192) @[Mux.scala 27:72] + node _T_1249 = or(_T_1248, _T_1193) @[Mux.scala 27:72] + node _T_1250 = or(_T_1249, _T_1194) @[Mux.scala 27:72] + node _T_1251 = or(_T_1250, _T_1195) @[Mux.scala 27:72] node _T_1252 = or(_T_1251, _T_1196) @[Mux.scala 27:72] node _T_1253 = or(_T_1252, _T_1197) @[Mux.scala 27:72] node _T_1254 = or(_T_1253, _T_1198) @[Mux.scala 27:72] @@ -74679,248 +74685,248 @@ circuit quasar_wrapper : node _T_1293 = or(_T_1292, _T_1237) @[Mux.scala 27:72] node _T_1294 = or(_T_1293, _T_1238) @[Mux.scala 27:72] node _T_1295 = or(_T_1294, _T_1239) @[Mux.scala 27:72] - node _T_1296 = or(_T_1295, _T_1240) @[Mux.scala 27:72] - node _T_1297 = or(_T_1296, _T_1241) @[Mux.scala 27:72] - node _T_1298 = or(_T_1297, _T_1242) @[Mux.scala 27:72] - node _T_1299 = or(_T_1298, _T_1243) @[Mux.scala 27:72] - node _T_1300 = or(_T_1299, _T_1244) @[Mux.scala 27:72] - node _T_1301 = or(_T_1300, _T_1245) @[Mux.scala 27:72] - node _T_1302 = or(_T_1301, _T_1246) @[Mux.scala 27:72] - node _T_1303 = or(_T_1302, _T_1247) @[Mux.scala 27:72] - node _T_1304 = or(_T_1303, _T_1248) @[Mux.scala 27:72] - node _T_1305 = or(_T_1304, _T_1249) @[Mux.scala 27:72] - node _T_1306 = or(_T_1305, _T_1250) @[Mux.scala 27:72] - wire _T_1307 : UInt<1> @[Mux.scala 27:72] - _T_1307 <= _T_1306 @[Mux.scala 27:72] - node _T_1308 = and(_T_1026, _T_1307) @[dec_tlu_ctl.scala 2273:44] - mhpmc_inc_r[0] <= _T_1308 @[dec_tlu_ctl.scala 2273:19] - node _T_1309 = bits(mcountinhibit, 4, 4) @[dec_tlu_ctl.scala 2273:38] - node _T_1310 = not(_T_1309) @[dec_tlu_ctl.scala 2273:24] - node _T_1311 = eq(mhpme_vec[1], UInt<1>("h01")) @[dec_tlu_ctl.scala 2274:34] - node _T_1312 = bits(_T_1311, 0, 0) @[dec_tlu_ctl.scala 2274:62] - node _T_1313 = eq(mhpme_vec[1], UInt<2>("h02")) @[dec_tlu_ctl.scala 2275:34] - node _T_1314 = bits(_T_1313, 0, 0) @[dec_tlu_ctl.scala 2275:62] - node _T_1315 = eq(mhpme_vec[1], UInt<2>("h03")) @[dec_tlu_ctl.scala 2276:34] - node _T_1316 = bits(_T_1315, 0, 0) @[dec_tlu_ctl.scala 2276:62] - node _T_1317 = eq(mhpme_vec[1], UInt<3>("h04")) @[dec_tlu_ctl.scala 2277:34] - node _T_1318 = bits(_T_1317, 0, 0) @[dec_tlu_ctl.scala 2277:62] - node _T_1319 = not(io.illegal_r) @[dec_tlu_ctl.scala 2277:96] - node _T_1320 = and(io.tlu_i0_commit_cmt, _T_1319) @[dec_tlu_ctl.scala 2277:94] - node _T_1321 = eq(mhpme_vec[1], UInt<3>("h05")) @[dec_tlu_ctl.scala 2278:34] - node _T_1322 = bits(_T_1321, 0, 0) @[dec_tlu_ctl.scala 2278:62] - node _T_1323 = not(io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2278:96] - node _T_1324 = and(io.tlu_i0_commit_cmt, _T_1323) @[dec_tlu_ctl.scala 2278:94] - node _T_1325 = not(io.illegal_r) @[dec_tlu_ctl.scala 2278:117] - node _T_1326 = and(_T_1324, _T_1325) @[dec_tlu_ctl.scala 2278:115] - node _T_1327 = eq(mhpme_vec[1], UInt<3>("h06")) @[dec_tlu_ctl.scala 2279:34] - node _T_1328 = bits(_T_1327, 0, 0) @[dec_tlu_ctl.scala 2279:62] - node _T_1329 = and(io.tlu_i0_commit_cmt, io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2279:94] - node _T_1330 = not(io.illegal_r) @[dec_tlu_ctl.scala 2279:117] - node _T_1331 = and(_T_1329, _T_1330) @[dec_tlu_ctl.scala 2279:115] - node _T_1332 = eq(mhpme_vec[1], UInt<3>("h07")) @[dec_tlu_ctl.scala 2280:34] - node _T_1333 = bits(_T_1332, 0, 0) @[dec_tlu_ctl.scala 2280:62] - node _T_1334 = eq(mhpme_vec[1], UInt<4>("h08")) @[dec_tlu_ctl.scala 2281:34] - node _T_1335 = bits(_T_1334, 0, 0) @[dec_tlu_ctl.scala 2281:62] - node _T_1336 = eq(mhpme_vec[1], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2282:34] - node _T_1337 = bits(_T_1336, 0, 0) @[dec_tlu_ctl.scala 2282:62] - node _T_1338 = eq(mhpme_vec[1], UInt<4>("h09")) @[dec_tlu_ctl.scala 2283:34] - node _T_1339 = bits(_T_1338, 0, 0) @[dec_tlu_ctl.scala 2283:62] - node _T_1340 = eq(pmu_i0_itype_qual, UInt<4>("h01")) @[dec_tlu_ctl.scala 2283:91] - node _T_1341 = eq(mhpme_vec[1], UInt<4>("h0a")) @[dec_tlu_ctl.scala 2284:34] - node _T_1342 = bits(_T_1341, 0, 0) @[dec_tlu_ctl.scala 2284:62] - node _T_1343 = and(io.dec_tlu_packet_r.pmu_divide, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2284:105] - node _T_1344 = eq(mhpme_vec[1], UInt<4>("h0b")) @[dec_tlu_ctl.scala 2285:34] - node _T_1345 = bits(_T_1344, 0, 0) @[dec_tlu_ctl.scala 2285:62] - node _T_1346 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2285:91] - node _T_1347 = eq(mhpme_vec[1], UInt<4>("h0c")) @[dec_tlu_ctl.scala 2286:34] - node _T_1348 = bits(_T_1347, 0, 0) @[dec_tlu_ctl.scala 2286:62] - node _T_1349 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2286:91] - node _T_1350 = eq(mhpme_vec[1], UInt<4>("h0d")) @[dec_tlu_ctl.scala 2287:34] - node _T_1351 = bits(_T_1350, 0, 0) @[dec_tlu_ctl.scala 2287:62] - node _T_1352 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2287:91] - node _T_1353 = and(_T_1352, io.dec_tlu_packet_r.pmu_lsu_misaligned) @[dec_tlu_ctl.scala 2287:100] - node _T_1354 = eq(mhpme_vec[1], UInt<4>("h0e")) @[dec_tlu_ctl.scala 2288:34] - node _T_1355 = bits(_T_1354, 0, 0) @[dec_tlu_ctl.scala 2288:62] - node _T_1356 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2288:91] - node _T_1357 = bits(io.dec_tlu_packet_r.pmu_lsu_misaligned, 0, 0) @[dec_tlu_ctl.scala 2288:142] - node _T_1358 = and(_T_1356, _T_1357) @[dec_tlu_ctl.scala 2288:101] - node _T_1359 = eq(mhpme_vec[1], UInt<4>("h0f")) @[dec_tlu_ctl.scala 2289:34] - node _T_1360 = bits(_T_1359, 0, 0) @[dec_tlu_ctl.scala 2289:59] - node _T_1361 = eq(pmu_i0_itype_qual, UInt<4>("h04")) @[dec_tlu_ctl.scala 2289:89] - node _T_1362 = eq(mhpme_vec[1], UInt<5>("h010")) @[dec_tlu_ctl.scala 2290:34] - node _T_1363 = bits(_T_1362, 0, 0) @[dec_tlu_ctl.scala 2290:59] - node _T_1364 = eq(pmu_i0_itype_qual, UInt<4>("h05")) @[dec_tlu_ctl.scala 2290:89] - node _T_1365 = eq(mhpme_vec[1], UInt<5>("h012")) @[dec_tlu_ctl.scala 2291:34] - node _T_1366 = bits(_T_1365, 0, 0) @[dec_tlu_ctl.scala 2291:59] - node _T_1367 = eq(pmu_i0_itype_qual, UInt<4>("h06")) @[dec_tlu_ctl.scala 2291:89] - node _T_1368 = eq(mhpme_vec[1], UInt<5>("h011")) @[dec_tlu_ctl.scala 2292:34] - node _T_1369 = bits(_T_1368, 0, 0) @[dec_tlu_ctl.scala 2292:59] - node _T_1370 = eq(pmu_i0_itype_qual, UInt<4>("h07")) @[dec_tlu_ctl.scala 2292:89] - node _T_1371 = eq(mhpme_vec[1], UInt<5>("h013")) @[dec_tlu_ctl.scala 2293:34] - node _T_1372 = bits(_T_1371, 0, 0) @[dec_tlu_ctl.scala 2293:59] - node _T_1373 = eq(pmu_i0_itype_qual, UInt<4>("h08")) @[dec_tlu_ctl.scala 2293:89] - node _T_1374 = eq(mhpme_vec[1], UInt<5>("h014")) @[dec_tlu_ctl.scala 2294:34] - node _T_1375 = bits(_T_1374, 0, 0) @[dec_tlu_ctl.scala 2294:59] - node _T_1376 = eq(pmu_i0_itype_qual, UInt<4>("h09")) @[dec_tlu_ctl.scala 2294:89] - node _T_1377 = eq(mhpme_vec[1], UInt<5>("h015")) @[dec_tlu_ctl.scala 2295:34] - node _T_1378 = bits(_T_1377, 0, 0) @[dec_tlu_ctl.scala 2295:59] - node _T_1379 = eq(pmu_i0_itype_qual, UInt<4>("h0a")) @[dec_tlu_ctl.scala 2295:89] - node _T_1380 = eq(mhpme_vec[1], UInt<5>("h016")) @[dec_tlu_ctl.scala 2296:34] - node _T_1381 = bits(_T_1380, 0, 0) @[dec_tlu_ctl.scala 2296:59] - node _T_1382 = eq(pmu_i0_itype_qual, UInt<4>("h0b")) @[dec_tlu_ctl.scala 2296:89] - node _T_1383 = eq(mhpme_vec[1], UInt<5>("h017")) @[dec_tlu_ctl.scala 2297:34] - node _T_1384 = bits(_T_1383, 0, 0) @[dec_tlu_ctl.scala 2297:59] - node _T_1385 = eq(pmu_i0_itype_qual, UInt<4>("h0c")) @[dec_tlu_ctl.scala 2297:89] - node _T_1386 = eq(mhpme_vec[1], UInt<5>("h018")) @[dec_tlu_ctl.scala 2298:34] - node _T_1387 = bits(_T_1386, 0, 0) @[dec_tlu_ctl.scala 2298:59] - node _T_1388 = eq(pmu_i0_itype_qual, UInt<4>("h0d")) @[dec_tlu_ctl.scala 2298:89] - node _T_1389 = eq(pmu_i0_itype_qual, UInt<4>("h0e")) @[dec_tlu_ctl.scala 2298:122] - node _T_1390 = or(_T_1388, _T_1389) @[dec_tlu_ctl.scala 2298:101] - node _T_1391 = eq(mhpme_vec[1], UInt<5>("h019")) @[dec_tlu_ctl.scala 2299:34] - node _T_1392 = bits(_T_1391, 0, 0) @[dec_tlu_ctl.scala 2299:62] - node _T_1393 = and(io.exu_pmu_i0_br_misp, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2299:95] - node _T_1394 = eq(mhpme_vec[1], UInt<5>("h01a")) @[dec_tlu_ctl.scala 2300:34] - node _T_1395 = bits(_T_1394, 0, 0) @[dec_tlu_ctl.scala 2300:62] - node _T_1396 = and(io.exu_pmu_i0_br_ataken, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2300:97] - node _T_1397 = eq(mhpme_vec[1], UInt<5>("h01b")) @[dec_tlu_ctl.scala 2301:34] - node _T_1398 = bits(_T_1397, 0, 0) @[dec_tlu_ctl.scala 2301:62] - node _T_1399 = and(io.dec_tlu_packet_r.pmu_i0_br_unpred, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2301:110] - node _T_1400 = eq(mhpme_vec[1], UInt<5>("h01c")) @[dec_tlu_ctl.scala 2302:34] - node _T_1401 = bits(_T_1400, 0, 0) @[dec_tlu_ctl.scala 2302:62] - node _T_1402 = eq(mhpme_vec[1], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2303:34] - node _T_1403 = bits(_T_1402, 0, 0) @[dec_tlu_ctl.scala 2303:62] - node _T_1404 = eq(mhpme_vec[1], UInt<5>("h01f")) @[dec_tlu_ctl.scala 2304:34] - node _T_1405 = bits(_T_1404, 0, 0) @[dec_tlu_ctl.scala 2304:62] - node _T_1406 = eq(mhpme_vec[1], UInt<6>("h020")) @[dec_tlu_ctl.scala 2305:34] - node _T_1407 = bits(_T_1406, 0, 0) @[dec_tlu_ctl.scala 2305:62] - node _T_1408 = eq(mhpme_vec[1], UInt<6>("h022")) @[dec_tlu_ctl.scala 2306:34] - node _T_1409 = bits(_T_1408, 0, 0) @[dec_tlu_ctl.scala 2306:62] - node _T_1410 = eq(mhpme_vec[1], UInt<6>("h023")) @[dec_tlu_ctl.scala 2307:34] - node _T_1411 = bits(_T_1410, 0, 0) @[dec_tlu_ctl.scala 2307:62] - node _T_1412 = eq(mhpme_vec[1], UInt<6>("h024")) @[dec_tlu_ctl.scala 2308:34] - node _T_1413 = bits(_T_1412, 0, 0) @[dec_tlu_ctl.scala 2308:62] - node _T_1414 = eq(mhpme_vec[1], UInt<6>("h025")) @[dec_tlu_ctl.scala 2309:34] - node _T_1415 = bits(_T_1414, 0, 0) @[dec_tlu_ctl.scala 2309:62] - node _T_1416 = or(io.i0_exception_valid_r, io.i0_trigger_hit_r) @[dec_tlu_ctl.scala 2309:98] - node _T_1417 = or(_T_1416, io.lsu_exc_valid_r) @[dec_tlu_ctl.scala 2309:120] - node _T_1418 = eq(mhpme_vec[1], UInt<6>("h026")) @[dec_tlu_ctl.scala 2310:34] - node _T_1419 = bits(_T_1418, 0, 0) @[dec_tlu_ctl.scala 2310:62] - node _T_1420 = or(io.take_timer_int, io.take_int_timer0_int) @[dec_tlu_ctl.scala 2310:92] - node _T_1421 = or(_T_1420, io.take_int_timer1_int) @[dec_tlu_ctl.scala 2310:117] - node _T_1422 = eq(mhpme_vec[1], UInt<6>("h027")) @[dec_tlu_ctl.scala 2311:34] - node _T_1423 = bits(_T_1422, 0, 0) @[dec_tlu_ctl.scala 2311:62] - node _T_1424 = eq(mhpme_vec[1], UInt<6>("h028")) @[dec_tlu_ctl.scala 2312:34] - node _T_1425 = bits(_T_1424, 0, 0) @[dec_tlu_ctl.scala 2312:62] - node _T_1426 = eq(mhpme_vec[1], UInt<6>("h029")) @[dec_tlu_ctl.scala 2313:34] - node _T_1427 = bits(_T_1426, 0, 0) @[dec_tlu_ctl.scala 2313:62] - node _T_1428 = or(io.dec_tlu_br0_error_r, io.dec_tlu_br0_start_error_r) @[dec_tlu_ctl.scala 2313:97] - node _T_1429 = and(_T_1428, io.rfpc_i0_r) @[dec_tlu_ctl.scala 2313:129] - node _T_1430 = eq(mhpme_vec[1], UInt<6>("h02a")) @[dec_tlu_ctl.scala 2314:34] - node _T_1431 = bits(_T_1430, 0, 0) @[dec_tlu_ctl.scala 2314:62] - node _T_1432 = eq(mhpme_vec[1], UInt<6>("h02b")) @[dec_tlu_ctl.scala 2315:34] - node _T_1433 = bits(_T_1432, 0, 0) @[dec_tlu_ctl.scala 2315:62] - node _T_1434 = eq(mhpme_vec[1], UInt<6>("h02c")) @[dec_tlu_ctl.scala 2316:34] - node _T_1435 = bits(_T_1434, 0, 0) @[dec_tlu_ctl.scala 2316:62] - node _T_1436 = eq(mhpme_vec[1], UInt<6>("h02d")) @[dec_tlu_ctl.scala 2317:34] - node _T_1437 = bits(_T_1436, 0, 0) @[dec_tlu_ctl.scala 2317:62] - node _T_1438 = eq(mhpme_vec[1], UInt<6>("h02e")) @[dec_tlu_ctl.scala 2318:34] - node _T_1439 = bits(_T_1438, 0, 0) @[dec_tlu_ctl.scala 2318:62] - node _T_1440 = eq(mhpme_vec[1], UInt<6>("h02f")) @[dec_tlu_ctl.scala 2319:34] - node _T_1441 = bits(_T_1440, 0, 0) @[dec_tlu_ctl.scala 2319:62] - node _T_1442 = eq(mhpme_vec[1], UInt<6>("h030")) @[dec_tlu_ctl.scala 2320:34] - node _T_1443 = bits(_T_1442, 0, 0) @[dec_tlu_ctl.scala 2320:62] - node _T_1444 = eq(mhpme_vec[1], UInt<6>("h031")) @[dec_tlu_ctl.scala 2321:34] - node _T_1445 = bits(_T_1444, 0, 0) @[dec_tlu_ctl.scala 2321:62] - node _T_1446 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2321:84] - node _T_1447 = bits(_T_1446, 0, 0) @[dec_tlu_ctl.scala 2321:84] - node _T_1448 = not(_T_1447) @[dec_tlu_ctl.scala 2321:73] - node _T_1449 = eq(mhpme_vec[1], UInt<6>("h032")) @[dec_tlu_ctl.scala 2322:34] - node _T_1450 = bits(_T_1449, 0, 0) @[dec_tlu_ctl.scala 2322:62] - node _T_1451 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2322:84] - node _T_1452 = bits(_T_1451, 0, 0) @[dec_tlu_ctl.scala 2322:84] - node _T_1453 = not(_T_1452) @[dec_tlu_ctl.scala 2322:73] - node _T_1454 = bits(io.mip, 5, 0) @[dec_tlu_ctl.scala 2322:107] - node _T_1455 = bits(mie, 5, 0) @[dec_tlu_ctl.scala 2322:118] - node _T_1456 = and(_T_1454, _T_1455) @[dec_tlu_ctl.scala 2322:113] - node _T_1457 = orr(_T_1456) @[dec_tlu_ctl.scala 2322:125] - node _T_1458 = and(_T_1453, _T_1457) @[dec_tlu_ctl.scala 2322:98] - node _T_1459 = eq(mhpme_vec[1], UInt<6>("h036")) @[dec_tlu_ctl.scala 2323:34] - node _T_1460 = bits(_T_1459, 0, 0) @[dec_tlu_ctl.scala 2323:62] - node _T_1461 = eq(pmu_i0_itype_qual, UInt<4>("h0f")) @[dec_tlu_ctl.scala 2323:91] - node _T_1462 = eq(mhpme_vec[1], UInt<6>("h037")) @[dec_tlu_ctl.scala 2324:34] - node _T_1463 = bits(_T_1462, 0, 0) @[dec_tlu_ctl.scala 2324:62] - node _T_1464 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_load_external_r) @[dec_tlu_ctl.scala 2324:94] - node _T_1465 = eq(mhpme_vec[1], UInt<6>("h038")) @[dec_tlu_ctl.scala 2325:34] - node _T_1466 = bits(_T_1465, 0, 0) @[dec_tlu_ctl.scala 2325:62] - node _T_1467 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_store_external_r) @[dec_tlu_ctl.scala 2325:94] - node _T_1468 = eq(mhpme_vec[1], UInt<10>("h0200")) @[dec_tlu_ctl.scala 2327:34] - node _T_1469 = bits(_T_1468, 0, 0) @[dec_tlu_ctl.scala 2327:62] - node _T_1470 = eq(mhpme_vec[1], UInt<10>("h0201")) @[dec_tlu_ctl.scala 2328:34] - node _T_1471 = bits(_T_1470, 0, 0) @[dec_tlu_ctl.scala 2328:62] - node _T_1472 = eq(mhpme_vec[1], UInt<10>("h0202")) @[dec_tlu_ctl.scala 2329:34] - node _T_1473 = bits(_T_1472, 0, 0) @[dec_tlu_ctl.scala 2329:62] - node _T_1474 = eq(mhpme_vec[1], UInt<10>("h0203")) @[dec_tlu_ctl.scala 2330:34] - node _T_1475 = bits(_T_1474, 0, 0) @[dec_tlu_ctl.scala 2330:62] - node _T_1476 = eq(mhpme_vec[1], UInt<10>("h0204")) @[dec_tlu_ctl.scala 2331:34] - node _T_1477 = bits(_T_1476, 0, 0) @[dec_tlu_ctl.scala 2331:62] - node _T_1478 = mux(_T_1312, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1479 = mux(_T_1314, io.ifu_pmu_ic_hit, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1480 = mux(_T_1316, io.ifu_pmu_ic_miss, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1481 = mux(_T_1318, _T_1320, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1482 = mux(_T_1322, _T_1326, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1483 = mux(_T_1328, _T_1331, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1484 = mux(_T_1333, io.ifu_pmu_instr_aligned, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1485 = mux(_T_1335, io.dec_pmu_instr_decoded, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1486 = mux(_T_1337, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1487 = mux(_T_1339, _T_1340, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1488 = mux(_T_1342, _T_1343, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1489 = mux(_T_1345, _T_1346, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1490 = mux(_T_1348, _T_1349, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1491 = mux(_T_1351, _T_1353, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1492 = mux(_T_1355, _T_1358, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1493 = mux(_T_1360, _T_1361, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1494 = mux(_T_1363, _T_1364, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1495 = mux(_T_1366, _T_1367, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1496 = mux(_T_1369, _T_1370, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1497 = mux(_T_1372, _T_1373, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1498 = mux(_T_1375, _T_1376, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1499 = mux(_T_1378, _T_1379, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1500 = mux(_T_1381, _T_1382, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1501 = mux(_T_1384, _T_1385, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1502 = mux(_T_1387, _T_1390, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1503 = mux(_T_1392, _T_1393, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1504 = mux(_T_1395, _T_1396, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1505 = mux(_T_1398, _T_1399, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1506 = mux(_T_1401, io.ifu_pmu_fetch_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1507 = mux(_T_1403, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1508 = mux(_T_1405, io.dec_pmu_postsync_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1509 = mux(_T_1407, io.dec_pmu_presync_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1510 = mux(_T_1409, io.lsu_store_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1511 = mux(_T_1411, io.dma_dccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1512 = mux(_T_1413, io.dma_iccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1513 = mux(_T_1415, _T_1417, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1514 = mux(_T_1419, _T_1421, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1515 = mux(_T_1423, io.take_ext_int, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1516 = mux(_T_1425, io.tlu_flush_lower_r, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1517 = mux(_T_1427, _T_1429, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1518 = mux(_T_1431, io.ifu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1519 = mux(_T_1433, io.lsu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1520 = mux(_T_1435, io.lsu_pmu_bus_misaligned, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1521 = mux(_T_1437, io.ifu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1522 = mux(_T_1439, io.lsu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1523 = mux(_T_1441, io.ifu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1524 = mux(_T_1443, io.lsu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1525 = mux(_T_1445, _T_1448, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1526 = mux(_T_1450, _T_1458, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1527 = mux(_T_1460, _T_1461, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1528 = mux(_T_1463, _T_1464, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1529 = mux(_T_1466, _T_1467, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1530 = mux(_T_1469, io.dec_tlu_pmu_fw_halted, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1531 = mux(_T_1471, io.dma_pmu_any_read, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1532 = mux(_T_1473, io.dma_pmu_any_write, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1533 = mux(_T_1475, io.dma_pmu_dccm_read, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1534 = mux(_T_1477, io.dma_pmu_dccm_write, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1535 = or(_T_1478, _T_1479) @[Mux.scala 27:72] + wire _T_1296 : UInt<1> @[Mux.scala 27:72] + _T_1296 <= _T_1295 @[Mux.scala 27:72] + node _T_1297 = and(_T_1015, _T_1296) @[dec_tlu_ctl.scala 2255:44] + mhpmc_inc_r[0] <= _T_1297 @[dec_tlu_ctl.scala 2255:19] + node _T_1298 = bits(mcountinhibit, 4, 4) @[dec_tlu_ctl.scala 2255:38] + node _T_1299 = not(_T_1298) @[dec_tlu_ctl.scala 2255:24] + node _T_1300 = eq(mhpme_vec[1], UInt<1>("h01")) @[dec_tlu_ctl.scala 2256:34] + node _T_1301 = bits(_T_1300, 0, 0) @[dec_tlu_ctl.scala 2256:62] + node _T_1302 = eq(mhpme_vec[1], UInt<2>("h02")) @[dec_tlu_ctl.scala 2257:34] + node _T_1303 = bits(_T_1302, 0, 0) @[dec_tlu_ctl.scala 2257:62] + node _T_1304 = eq(mhpme_vec[1], UInt<2>("h03")) @[dec_tlu_ctl.scala 2258:34] + node _T_1305 = bits(_T_1304, 0, 0) @[dec_tlu_ctl.scala 2258:62] + node _T_1306 = eq(mhpme_vec[1], UInt<3>("h04")) @[dec_tlu_ctl.scala 2259:34] + node _T_1307 = bits(_T_1306, 0, 0) @[dec_tlu_ctl.scala 2259:62] + node _T_1308 = not(io.illegal_r) @[dec_tlu_ctl.scala 2259:96] + node _T_1309 = and(io.tlu_i0_commit_cmt, _T_1308) @[dec_tlu_ctl.scala 2259:94] + node _T_1310 = eq(mhpme_vec[1], UInt<3>("h05")) @[dec_tlu_ctl.scala 2260:34] + node _T_1311 = bits(_T_1310, 0, 0) @[dec_tlu_ctl.scala 2260:62] + node _T_1312 = not(io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2260:96] + node _T_1313 = and(io.tlu_i0_commit_cmt, _T_1312) @[dec_tlu_ctl.scala 2260:94] + node _T_1314 = not(io.illegal_r) @[dec_tlu_ctl.scala 2260:117] + node _T_1315 = and(_T_1313, _T_1314) @[dec_tlu_ctl.scala 2260:115] + node _T_1316 = eq(mhpme_vec[1], UInt<3>("h06")) @[dec_tlu_ctl.scala 2261:34] + node _T_1317 = bits(_T_1316, 0, 0) @[dec_tlu_ctl.scala 2261:62] + node _T_1318 = and(io.tlu_i0_commit_cmt, io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2261:94] + node _T_1319 = not(io.illegal_r) @[dec_tlu_ctl.scala 2261:117] + node _T_1320 = and(_T_1318, _T_1319) @[dec_tlu_ctl.scala 2261:115] + node _T_1321 = eq(mhpme_vec[1], UInt<3>("h07")) @[dec_tlu_ctl.scala 2262:34] + node _T_1322 = bits(_T_1321, 0, 0) @[dec_tlu_ctl.scala 2262:62] + node _T_1323 = eq(mhpme_vec[1], UInt<4>("h08")) @[dec_tlu_ctl.scala 2263:34] + node _T_1324 = bits(_T_1323, 0, 0) @[dec_tlu_ctl.scala 2263:62] + node _T_1325 = eq(mhpme_vec[1], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2264:34] + node _T_1326 = bits(_T_1325, 0, 0) @[dec_tlu_ctl.scala 2264:62] + node _T_1327 = eq(mhpme_vec[1], UInt<4>("h09")) @[dec_tlu_ctl.scala 2265:34] + node _T_1328 = bits(_T_1327, 0, 0) @[dec_tlu_ctl.scala 2265:62] + node _T_1329 = eq(pmu_i0_itype_qual, UInt<4>("h01")) @[dec_tlu_ctl.scala 2265:91] + node _T_1330 = eq(mhpme_vec[1], UInt<4>("h0a")) @[dec_tlu_ctl.scala 2266:34] + node _T_1331 = bits(_T_1330, 0, 0) @[dec_tlu_ctl.scala 2266:62] + node _T_1332 = and(io.dec_tlu_packet_r.pmu_divide, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2266:105] + node _T_1333 = eq(mhpme_vec[1], UInt<4>("h0b")) @[dec_tlu_ctl.scala 2267:34] + node _T_1334 = bits(_T_1333, 0, 0) @[dec_tlu_ctl.scala 2267:62] + node _T_1335 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2267:91] + node _T_1336 = eq(mhpme_vec[1], UInt<4>("h0c")) @[dec_tlu_ctl.scala 2268:34] + node _T_1337 = bits(_T_1336, 0, 0) @[dec_tlu_ctl.scala 2268:62] + node _T_1338 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2268:91] + node _T_1339 = eq(mhpme_vec[1], UInt<4>("h0d")) @[dec_tlu_ctl.scala 2269:34] + node _T_1340 = bits(_T_1339, 0, 0) @[dec_tlu_ctl.scala 2269:62] + node _T_1341 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2269:91] + node _T_1342 = and(_T_1341, io.dec_tlu_packet_r.pmu_lsu_misaligned) @[dec_tlu_ctl.scala 2269:100] + node _T_1343 = eq(mhpme_vec[1], UInt<4>("h0e")) @[dec_tlu_ctl.scala 2270:34] + node _T_1344 = bits(_T_1343, 0, 0) @[dec_tlu_ctl.scala 2270:62] + node _T_1345 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2270:91] + node _T_1346 = bits(io.dec_tlu_packet_r.pmu_lsu_misaligned, 0, 0) @[dec_tlu_ctl.scala 2270:142] + node _T_1347 = and(_T_1345, _T_1346) @[dec_tlu_ctl.scala 2270:101] + node _T_1348 = eq(mhpme_vec[1], UInt<4>("h0f")) @[dec_tlu_ctl.scala 2271:34] + node _T_1349 = bits(_T_1348, 0, 0) @[dec_tlu_ctl.scala 2271:59] + node _T_1350 = eq(pmu_i0_itype_qual, UInt<4>("h04")) @[dec_tlu_ctl.scala 2271:89] + node _T_1351 = eq(mhpme_vec[1], UInt<5>("h010")) @[dec_tlu_ctl.scala 2272:34] + node _T_1352 = bits(_T_1351, 0, 0) @[dec_tlu_ctl.scala 2272:59] + node _T_1353 = eq(pmu_i0_itype_qual, UInt<4>("h05")) @[dec_tlu_ctl.scala 2272:89] + node _T_1354 = eq(mhpme_vec[1], UInt<5>("h012")) @[dec_tlu_ctl.scala 2273:34] + node _T_1355 = bits(_T_1354, 0, 0) @[dec_tlu_ctl.scala 2273:59] + node _T_1356 = eq(pmu_i0_itype_qual, UInt<4>("h06")) @[dec_tlu_ctl.scala 2273:89] + node _T_1357 = eq(mhpme_vec[1], UInt<5>("h011")) @[dec_tlu_ctl.scala 2274:34] + node _T_1358 = bits(_T_1357, 0, 0) @[dec_tlu_ctl.scala 2274:59] + node _T_1359 = eq(pmu_i0_itype_qual, UInt<4>("h07")) @[dec_tlu_ctl.scala 2274:89] + node _T_1360 = eq(mhpme_vec[1], UInt<5>("h013")) @[dec_tlu_ctl.scala 2275:34] + node _T_1361 = bits(_T_1360, 0, 0) @[dec_tlu_ctl.scala 2275:59] + node _T_1362 = eq(pmu_i0_itype_qual, UInt<4>("h08")) @[dec_tlu_ctl.scala 2275:89] + node _T_1363 = eq(mhpme_vec[1], UInt<5>("h014")) @[dec_tlu_ctl.scala 2276:34] + node _T_1364 = bits(_T_1363, 0, 0) @[dec_tlu_ctl.scala 2276:59] + node _T_1365 = eq(pmu_i0_itype_qual, UInt<4>("h09")) @[dec_tlu_ctl.scala 2276:89] + node _T_1366 = eq(mhpme_vec[1], UInt<5>("h015")) @[dec_tlu_ctl.scala 2277:34] + node _T_1367 = bits(_T_1366, 0, 0) @[dec_tlu_ctl.scala 2277:59] + node _T_1368 = eq(pmu_i0_itype_qual, UInt<4>("h0a")) @[dec_tlu_ctl.scala 2277:89] + node _T_1369 = eq(mhpme_vec[1], UInt<5>("h016")) @[dec_tlu_ctl.scala 2278:34] + node _T_1370 = bits(_T_1369, 0, 0) @[dec_tlu_ctl.scala 2278:59] + node _T_1371 = eq(pmu_i0_itype_qual, UInt<4>("h0b")) @[dec_tlu_ctl.scala 2278:89] + node _T_1372 = eq(mhpme_vec[1], UInt<5>("h017")) @[dec_tlu_ctl.scala 2279:34] + node _T_1373 = bits(_T_1372, 0, 0) @[dec_tlu_ctl.scala 2279:59] + node _T_1374 = eq(pmu_i0_itype_qual, UInt<4>("h0c")) @[dec_tlu_ctl.scala 2279:89] + node _T_1375 = eq(mhpme_vec[1], UInt<5>("h018")) @[dec_tlu_ctl.scala 2280:34] + node _T_1376 = bits(_T_1375, 0, 0) @[dec_tlu_ctl.scala 2280:59] + node _T_1377 = eq(pmu_i0_itype_qual, UInt<4>("h0d")) @[dec_tlu_ctl.scala 2280:89] + node _T_1378 = eq(pmu_i0_itype_qual, UInt<4>("h0e")) @[dec_tlu_ctl.scala 2280:122] + node _T_1379 = or(_T_1377, _T_1378) @[dec_tlu_ctl.scala 2280:101] + node _T_1380 = eq(mhpme_vec[1], UInt<5>("h019")) @[dec_tlu_ctl.scala 2281:34] + node _T_1381 = bits(_T_1380, 0, 0) @[dec_tlu_ctl.scala 2281:62] + node _T_1382 = and(io.exu_pmu_i0_br_misp, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2281:95] + node _T_1383 = eq(mhpme_vec[1], UInt<5>("h01a")) @[dec_tlu_ctl.scala 2282:34] + node _T_1384 = bits(_T_1383, 0, 0) @[dec_tlu_ctl.scala 2282:62] + node _T_1385 = and(io.exu_pmu_i0_br_ataken, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2282:97] + node _T_1386 = eq(mhpme_vec[1], UInt<5>("h01b")) @[dec_tlu_ctl.scala 2283:34] + node _T_1387 = bits(_T_1386, 0, 0) @[dec_tlu_ctl.scala 2283:62] + node _T_1388 = and(io.dec_tlu_packet_r.pmu_i0_br_unpred, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2283:110] + node _T_1389 = eq(mhpme_vec[1], UInt<5>("h01c")) @[dec_tlu_ctl.scala 2284:34] + node _T_1390 = bits(_T_1389, 0, 0) @[dec_tlu_ctl.scala 2284:62] + node _T_1391 = eq(mhpme_vec[1], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2285:34] + node _T_1392 = bits(_T_1391, 0, 0) @[dec_tlu_ctl.scala 2285:62] + node _T_1393 = eq(mhpme_vec[1], UInt<5>("h01f")) @[dec_tlu_ctl.scala 2286:34] + node _T_1394 = bits(_T_1393, 0, 0) @[dec_tlu_ctl.scala 2286:62] + node _T_1395 = eq(mhpme_vec[1], UInt<6>("h020")) @[dec_tlu_ctl.scala 2287:34] + node _T_1396 = bits(_T_1395, 0, 0) @[dec_tlu_ctl.scala 2287:62] + node _T_1397 = eq(mhpme_vec[1], UInt<6>("h022")) @[dec_tlu_ctl.scala 2288:34] + node _T_1398 = bits(_T_1397, 0, 0) @[dec_tlu_ctl.scala 2288:62] + node _T_1399 = eq(mhpme_vec[1], UInt<6>("h023")) @[dec_tlu_ctl.scala 2289:34] + node _T_1400 = bits(_T_1399, 0, 0) @[dec_tlu_ctl.scala 2289:62] + node _T_1401 = eq(mhpme_vec[1], UInt<6>("h024")) @[dec_tlu_ctl.scala 2290:34] + node _T_1402 = bits(_T_1401, 0, 0) @[dec_tlu_ctl.scala 2290:62] + node _T_1403 = eq(mhpme_vec[1], UInt<6>("h025")) @[dec_tlu_ctl.scala 2291:34] + node _T_1404 = bits(_T_1403, 0, 0) @[dec_tlu_ctl.scala 2291:62] + node _T_1405 = or(io.i0_exception_valid_r, io.i0_trigger_hit_r) @[dec_tlu_ctl.scala 2291:98] + node _T_1406 = or(_T_1405, io.lsu_exc_valid_r) @[dec_tlu_ctl.scala 2291:120] + node _T_1407 = eq(mhpme_vec[1], UInt<6>("h026")) @[dec_tlu_ctl.scala 2292:34] + node _T_1408 = bits(_T_1407, 0, 0) @[dec_tlu_ctl.scala 2292:62] + node _T_1409 = or(io.take_timer_int, io.take_int_timer0_int) @[dec_tlu_ctl.scala 2292:92] + node _T_1410 = or(_T_1409, io.take_int_timer1_int) @[dec_tlu_ctl.scala 2292:117] + node _T_1411 = eq(mhpme_vec[1], UInt<6>("h027")) @[dec_tlu_ctl.scala 2293:34] + node _T_1412 = bits(_T_1411, 0, 0) @[dec_tlu_ctl.scala 2293:62] + node _T_1413 = eq(mhpme_vec[1], UInt<6>("h028")) @[dec_tlu_ctl.scala 2294:34] + node _T_1414 = bits(_T_1413, 0, 0) @[dec_tlu_ctl.scala 2294:62] + node _T_1415 = eq(mhpme_vec[1], UInt<6>("h029")) @[dec_tlu_ctl.scala 2295:34] + node _T_1416 = bits(_T_1415, 0, 0) @[dec_tlu_ctl.scala 2295:62] + node _T_1417 = or(io.dec_tlu_br0_error_r, io.dec_tlu_br0_start_error_r) @[dec_tlu_ctl.scala 2295:97] + node _T_1418 = and(_T_1417, io.rfpc_i0_r) @[dec_tlu_ctl.scala 2295:129] + node _T_1419 = eq(mhpme_vec[1], UInt<6>("h02a")) @[dec_tlu_ctl.scala 2296:34] + node _T_1420 = bits(_T_1419, 0, 0) @[dec_tlu_ctl.scala 2296:62] + node _T_1421 = eq(mhpme_vec[1], UInt<6>("h02b")) @[dec_tlu_ctl.scala 2297:34] + node _T_1422 = bits(_T_1421, 0, 0) @[dec_tlu_ctl.scala 2297:62] + node _T_1423 = eq(mhpme_vec[1], UInt<6>("h02c")) @[dec_tlu_ctl.scala 2298:34] + node _T_1424 = bits(_T_1423, 0, 0) @[dec_tlu_ctl.scala 2298:62] + node _T_1425 = eq(mhpme_vec[1], UInt<6>("h02d")) @[dec_tlu_ctl.scala 2299:34] + node _T_1426 = bits(_T_1425, 0, 0) @[dec_tlu_ctl.scala 2299:62] + node _T_1427 = eq(mhpme_vec[1], UInt<6>("h02e")) @[dec_tlu_ctl.scala 2300:34] + node _T_1428 = bits(_T_1427, 0, 0) @[dec_tlu_ctl.scala 2300:62] + node _T_1429 = eq(mhpme_vec[1], UInt<6>("h02f")) @[dec_tlu_ctl.scala 2301:34] + node _T_1430 = bits(_T_1429, 0, 0) @[dec_tlu_ctl.scala 2301:62] + node _T_1431 = eq(mhpme_vec[1], UInt<6>("h030")) @[dec_tlu_ctl.scala 2302:34] + node _T_1432 = bits(_T_1431, 0, 0) @[dec_tlu_ctl.scala 2302:62] + node _T_1433 = eq(mhpme_vec[1], UInt<6>("h031")) @[dec_tlu_ctl.scala 2303:34] + node _T_1434 = bits(_T_1433, 0, 0) @[dec_tlu_ctl.scala 2303:62] + node _T_1435 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2303:84] + node _T_1436 = bits(_T_1435, 0, 0) @[dec_tlu_ctl.scala 2303:84] + node _T_1437 = not(_T_1436) @[dec_tlu_ctl.scala 2303:73] + node _T_1438 = eq(mhpme_vec[1], UInt<6>("h032")) @[dec_tlu_ctl.scala 2304:34] + node _T_1439 = bits(_T_1438, 0, 0) @[dec_tlu_ctl.scala 2304:62] + node _T_1440 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2304:84] + node _T_1441 = bits(_T_1440, 0, 0) @[dec_tlu_ctl.scala 2304:84] + node _T_1442 = not(_T_1441) @[dec_tlu_ctl.scala 2304:73] + node _T_1443 = bits(io.mip, 5, 0) @[dec_tlu_ctl.scala 2304:107] + node _T_1444 = bits(mie, 5, 0) @[dec_tlu_ctl.scala 2304:118] + node _T_1445 = and(_T_1443, _T_1444) @[dec_tlu_ctl.scala 2304:113] + node _T_1446 = orr(_T_1445) @[dec_tlu_ctl.scala 2304:125] + node _T_1447 = and(_T_1442, _T_1446) @[dec_tlu_ctl.scala 2304:98] + node _T_1448 = eq(mhpme_vec[1], UInt<6>("h036")) @[dec_tlu_ctl.scala 2305:34] + node _T_1449 = bits(_T_1448, 0, 0) @[dec_tlu_ctl.scala 2305:62] + node _T_1450 = eq(pmu_i0_itype_qual, UInt<4>("h0f")) @[dec_tlu_ctl.scala 2305:91] + node _T_1451 = eq(mhpme_vec[1], UInt<6>("h037")) @[dec_tlu_ctl.scala 2306:34] + node _T_1452 = bits(_T_1451, 0, 0) @[dec_tlu_ctl.scala 2306:62] + node _T_1453 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_load_external_r) @[dec_tlu_ctl.scala 2306:94] + node _T_1454 = eq(mhpme_vec[1], UInt<6>("h038")) @[dec_tlu_ctl.scala 2307:34] + node _T_1455 = bits(_T_1454, 0, 0) @[dec_tlu_ctl.scala 2307:62] + node _T_1456 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_store_external_r) @[dec_tlu_ctl.scala 2307:94] + node _T_1457 = eq(mhpme_vec[1], UInt<10>("h0200")) @[dec_tlu_ctl.scala 2309:34] + node _T_1458 = bits(_T_1457, 0, 0) @[dec_tlu_ctl.scala 2309:62] + node _T_1459 = eq(mhpme_vec[1], UInt<10>("h0201")) @[dec_tlu_ctl.scala 2310:34] + node _T_1460 = bits(_T_1459, 0, 0) @[dec_tlu_ctl.scala 2310:62] + node _T_1461 = eq(mhpme_vec[1], UInt<10>("h0202")) @[dec_tlu_ctl.scala 2311:34] + node _T_1462 = bits(_T_1461, 0, 0) @[dec_tlu_ctl.scala 2311:62] + node _T_1463 = eq(mhpme_vec[1], UInt<10>("h0203")) @[dec_tlu_ctl.scala 2312:34] + node _T_1464 = bits(_T_1463, 0, 0) @[dec_tlu_ctl.scala 2312:62] + node _T_1465 = eq(mhpme_vec[1], UInt<10>("h0204")) @[dec_tlu_ctl.scala 2313:34] + node _T_1466 = bits(_T_1465, 0, 0) @[dec_tlu_ctl.scala 2313:62] + node _T_1467 = mux(_T_1301, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1468 = mux(_T_1303, io.ifu_pmu_ic_hit, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1469 = mux(_T_1305, io.ifu_pmu_ic_miss, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1470 = mux(_T_1307, _T_1309, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1471 = mux(_T_1311, _T_1315, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1472 = mux(_T_1317, _T_1320, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1473 = mux(_T_1322, io.ifu_pmu_instr_aligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1474 = mux(_T_1324, io.dec_pmu_instr_decoded, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1475 = mux(_T_1326, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1476 = mux(_T_1328, _T_1329, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1477 = mux(_T_1331, _T_1332, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1478 = mux(_T_1334, _T_1335, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1479 = mux(_T_1337, _T_1338, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1480 = mux(_T_1340, _T_1342, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1481 = mux(_T_1344, _T_1347, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1482 = mux(_T_1349, _T_1350, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1483 = mux(_T_1352, _T_1353, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1484 = mux(_T_1355, _T_1356, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1485 = mux(_T_1358, _T_1359, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1486 = mux(_T_1361, _T_1362, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1487 = mux(_T_1364, _T_1365, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1488 = mux(_T_1367, _T_1368, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1489 = mux(_T_1370, _T_1371, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1490 = mux(_T_1373, _T_1374, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1491 = mux(_T_1376, _T_1379, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1492 = mux(_T_1381, _T_1382, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1493 = mux(_T_1384, _T_1385, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1494 = mux(_T_1387, _T_1388, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1495 = mux(_T_1390, io.ifu_pmu_fetch_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1496 = mux(_T_1392, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1497 = mux(_T_1394, io.dec_pmu_postsync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1498 = mux(_T_1396, io.dec_pmu_presync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1499 = mux(_T_1398, io.lsu_store_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1500 = mux(_T_1400, io.dma_dccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1501 = mux(_T_1402, io.dma_iccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1502 = mux(_T_1404, _T_1406, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1503 = mux(_T_1408, _T_1410, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1504 = mux(_T_1412, io.take_ext_int, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1505 = mux(_T_1414, io.tlu_flush_lower_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1506 = mux(_T_1416, _T_1418, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1507 = mux(_T_1420, io.ifu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1508 = mux(_T_1422, io.lsu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1509 = mux(_T_1424, io.lsu_pmu_bus_misaligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1510 = mux(_T_1426, io.ifu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1511 = mux(_T_1428, io.lsu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1512 = mux(_T_1430, io.ifu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1513 = mux(_T_1432, io.lsu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1514 = mux(_T_1434, _T_1437, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1515 = mux(_T_1439, _T_1447, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1516 = mux(_T_1449, _T_1450, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1517 = mux(_T_1452, _T_1453, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1518 = mux(_T_1455, _T_1456, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1519 = mux(_T_1458, io.dec_tlu_pmu_fw_halted, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1520 = mux(_T_1460, io.dma_pmu_any_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1521 = mux(_T_1462, io.dma_pmu_any_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1522 = mux(_T_1464, io.dma_pmu_dccm_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1523 = mux(_T_1466, io.dma_pmu_dccm_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1524 = or(_T_1467, _T_1468) @[Mux.scala 27:72] + node _T_1525 = or(_T_1524, _T_1469) @[Mux.scala 27:72] + node _T_1526 = or(_T_1525, _T_1470) @[Mux.scala 27:72] + node _T_1527 = or(_T_1526, _T_1471) @[Mux.scala 27:72] + node _T_1528 = or(_T_1527, _T_1472) @[Mux.scala 27:72] + node _T_1529 = or(_T_1528, _T_1473) @[Mux.scala 27:72] + node _T_1530 = or(_T_1529, _T_1474) @[Mux.scala 27:72] + node _T_1531 = or(_T_1530, _T_1475) @[Mux.scala 27:72] + node _T_1532 = or(_T_1531, _T_1476) @[Mux.scala 27:72] + node _T_1533 = or(_T_1532, _T_1477) @[Mux.scala 27:72] + node _T_1534 = or(_T_1533, _T_1478) @[Mux.scala 27:72] + node _T_1535 = or(_T_1534, _T_1479) @[Mux.scala 27:72] node _T_1536 = or(_T_1535, _T_1480) @[Mux.scala 27:72] node _T_1537 = or(_T_1536, _T_1481) @[Mux.scala 27:72] node _T_1538 = or(_T_1537, _T_1482) @[Mux.scala 27:72] @@ -74965,248 +74971,248 @@ circuit quasar_wrapper : node _T_1577 = or(_T_1576, _T_1521) @[Mux.scala 27:72] node _T_1578 = or(_T_1577, _T_1522) @[Mux.scala 27:72] node _T_1579 = or(_T_1578, _T_1523) @[Mux.scala 27:72] - node _T_1580 = or(_T_1579, _T_1524) @[Mux.scala 27:72] - node _T_1581 = or(_T_1580, _T_1525) @[Mux.scala 27:72] - node _T_1582 = or(_T_1581, _T_1526) @[Mux.scala 27:72] - node _T_1583 = or(_T_1582, _T_1527) @[Mux.scala 27:72] - node _T_1584 = or(_T_1583, _T_1528) @[Mux.scala 27:72] - node _T_1585 = or(_T_1584, _T_1529) @[Mux.scala 27:72] - node _T_1586 = or(_T_1585, _T_1530) @[Mux.scala 27:72] - node _T_1587 = or(_T_1586, _T_1531) @[Mux.scala 27:72] - node _T_1588 = or(_T_1587, _T_1532) @[Mux.scala 27:72] - node _T_1589 = or(_T_1588, _T_1533) @[Mux.scala 27:72] - node _T_1590 = or(_T_1589, _T_1534) @[Mux.scala 27:72] - wire _T_1591 : UInt<1> @[Mux.scala 27:72] - _T_1591 <= _T_1590 @[Mux.scala 27:72] - node _T_1592 = and(_T_1310, _T_1591) @[dec_tlu_ctl.scala 2273:44] - mhpmc_inc_r[1] <= _T_1592 @[dec_tlu_ctl.scala 2273:19] - node _T_1593 = bits(mcountinhibit, 5, 5) @[dec_tlu_ctl.scala 2273:38] - node _T_1594 = not(_T_1593) @[dec_tlu_ctl.scala 2273:24] - node _T_1595 = eq(mhpme_vec[2], UInt<1>("h01")) @[dec_tlu_ctl.scala 2274:34] - node _T_1596 = bits(_T_1595, 0, 0) @[dec_tlu_ctl.scala 2274:62] - node _T_1597 = eq(mhpme_vec[2], UInt<2>("h02")) @[dec_tlu_ctl.scala 2275:34] - node _T_1598 = bits(_T_1597, 0, 0) @[dec_tlu_ctl.scala 2275:62] - node _T_1599 = eq(mhpme_vec[2], UInt<2>("h03")) @[dec_tlu_ctl.scala 2276:34] - node _T_1600 = bits(_T_1599, 0, 0) @[dec_tlu_ctl.scala 2276:62] - node _T_1601 = eq(mhpme_vec[2], UInt<3>("h04")) @[dec_tlu_ctl.scala 2277:34] - node _T_1602 = bits(_T_1601, 0, 0) @[dec_tlu_ctl.scala 2277:62] - node _T_1603 = not(io.illegal_r) @[dec_tlu_ctl.scala 2277:96] - node _T_1604 = and(io.tlu_i0_commit_cmt, _T_1603) @[dec_tlu_ctl.scala 2277:94] - node _T_1605 = eq(mhpme_vec[2], UInt<3>("h05")) @[dec_tlu_ctl.scala 2278:34] - node _T_1606 = bits(_T_1605, 0, 0) @[dec_tlu_ctl.scala 2278:62] - node _T_1607 = not(io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2278:96] - node _T_1608 = and(io.tlu_i0_commit_cmt, _T_1607) @[dec_tlu_ctl.scala 2278:94] - node _T_1609 = not(io.illegal_r) @[dec_tlu_ctl.scala 2278:117] - node _T_1610 = and(_T_1608, _T_1609) @[dec_tlu_ctl.scala 2278:115] - node _T_1611 = eq(mhpme_vec[2], UInt<3>("h06")) @[dec_tlu_ctl.scala 2279:34] - node _T_1612 = bits(_T_1611, 0, 0) @[dec_tlu_ctl.scala 2279:62] - node _T_1613 = and(io.tlu_i0_commit_cmt, io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2279:94] - node _T_1614 = not(io.illegal_r) @[dec_tlu_ctl.scala 2279:117] - node _T_1615 = and(_T_1613, _T_1614) @[dec_tlu_ctl.scala 2279:115] - node _T_1616 = eq(mhpme_vec[2], UInt<3>("h07")) @[dec_tlu_ctl.scala 2280:34] - node _T_1617 = bits(_T_1616, 0, 0) @[dec_tlu_ctl.scala 2280:62] - node _T_1618 = eq(mhpme_vec[2], UInt<4>("h08")) @[dec_tlu_ctl.scala 2281:34] - node _T_1619 = bits(_T_1618, 0, 0) @[dec_tlu_ctl.scala 2281:62] - node _T_1620 = eq(mhpme_vec[2], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2282:34] - node _T_1621 = bits(_T_1620, 0, 0) @[dec_tlu_ctl.scala 2282:62] - node _T_1622 = eq(mhpme_vec[2], UInt<4>("h09")) @[dec_tlu_ctl.scala 2283:34] - node _T_1623 = bits(_T_1622, 0, 0) @[dec_tlu_ctl.scala 2283:62] - node _T_1624 = eq(pmu_i0_itype_qual, UInt<4>("h01")) @[dec_tlu_ctl.scala 2283:91] - node _T_1625 = eq(mhpme_vec[2], UInt<4>("h0a")) @[dec_tlu_ctl.scala 2284:34] - node _T_1626 = bits(_T_1625, 0, 0) @[dec_tlu_ctl.scala 2284:62] - node _T_1627 = and(io.dec_tlu_packet_r.pmu_divide, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2284:105] - node _T_1628 = eq(mhpme_vec[2], UInt<4>("h0b")) @[dec_tlu_ctl.scala 2285:34] - node _T_1629 = bits(_T_1628, 0, 0) @[dec_tlu_ctl.scala 2285:62] - node _T_1630 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2285:91] - node _T_1631 = eq(mhpme_vec[2], UInt<4>("h0c")) @[dec_tlu_ctl.scala 2286:34] - node _T_1632 = bits(_T_1631, 0, 0) @[dec_tlu_ctl.scala 2286:62] - node _T_1633 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2286:91] - node _T_1634 = eq(mhpme_vec[2], UInt<4>("h0d")) @[dec_tlu_ctl.scala 2287:34] - node _T_1635 = bits(_T_1634, 0, 0) @[dec_tlu_ctl.scala 2287:62] - node _T_1636 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2287:91] - node _T_1637 = and(_T_1636, io.dec_tlu_packet_r.pmu_lsu_misaligned) @[dec_tlu_ctl.scala 2287:100] - node _T_1638 = eq(mhpme_vec[2], UInt<4>("h0e")) @[dec_tlu_ctl.scala 2288:34] - node _T_1639 = bits(_T_1638, 0, 0) @[dec_tlu_ctl.scala 2288:62] - node _T_1640 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2288:91] - node _T_1641 = bits(io.dec_tlu_packet_r.pmu_lsu_misaligned, 0, 0) @[dec_tlu_ctl.scala 2288:142] - node _T_1642 = and(_T_1640, _T_1641) @[dec_tlu_ctl.scala 2288:101] - node _T_1643 = eq(mhpme_vec[2], UInt<4>("h0f")) @[dec_tlu_ctl.scala 2289:34] - node _T_1644 = bits(_T_1643, 0, 0) @[dec_tlu_ctl.scala 2289:59] - node _T_1645 = eq(pmu_i0_itype_qual, UInt<4>("h04")) @[dec_tlu_ctl.scala 2289:89] - node _T_1646 = eq(mhpme_vec[2], UInt<5>("h010")) @[dec_tlu_ctl.scala 2290:34] - node _T_1647 = bits(_T_1646, 0, 0) @[dec_tlu_ctl.scala 2290:59] - node _T_1648 = eq(pmu_i0_itype_qual, UInt<4>("h05")) @[dec_tlu_ctl.scala 2290:89] - node _T_1649 = eq(mhpme_vec[2], UInt<5>("h012")) @[dec_tlu_ctl.scala 2291:34] - node _T_1650 = bits(_T_1649, 0, 0) @[dec_tlu_ctl.scala 2291:59] - node _T_1651 = eq(pmu_i0_itype_qual, UInt<4>("h06")) @[dec_tlu_ctl.scala 2291:89] - node _T_1652 = eq(mhpme_vec[2], UInt<5>("h011")) @[dec_tlu_ctl.scala 2292:34] - node _T_1653 = bits(_T_1652, 0, 0) @[dec_tlu_ctl.scala 2292:59] - node _T_1654 = eq(pmu_i0_itype_qual, UInt<4>("h07")) @[dec_tlu_ctl.scala 2292:89] - node _T_1655 = eq(mhpme_vec[2], UInt<5>("h013")) @[dec_tlu_ctl.scala 2293:34] - node _T_1656 = bits(_T_1655, 0, 0) @[dec_tlu_ctl.scala 2293:59] - node _T_1657 = eq(pmu_i0_itype_qual, UInt<4>("h08")) @[dec_tlu_ctl.scala 2293:89] - node _T_1658 = eq(mhpme_vec[2], UInt<5>("h014")) @[dec_tlu_ctl.scala 2294:34] - node _T_1659 = bits(_T_1658, 0, 0) @[dec_tlu_ctl.scala 2294:59] - node _T_1660 = eq(pmu_i0_itype_qual, UInt<4>("h09")) @[dec_tlu_ctl.scala 2294:89] - node _T_1661 = eq(mhpme_vec[2], UInt<5>("h015")) @[dec_tlu_ctl.scala 2295:34] - node _T_1662 = bits(_T_1661, 0, 0) @[dec_tlu_ctl.scala 2295:59] - node _T_1663 = eq(pmu_i0_itype_qual, UInt<4>("h0a")) @[dec_tlu_ctl.scala 2295:89] - node _T_1664 = eq(mhpme_vec[2], UInt<5>("h016")) @[dec_tlu_ctl.scala 2296:34] - node _T_1665 = bits(_T_1664, 0, 0) @[dec_tlu_ctl.scala 2296:59] - node _T_1666 = eq(pmu_i0_itype_qual, UInt<4>("h0b")) @[dec_tlu_ctl.scala 2296:89] - node _T_1667 = eq(mhpme_vec[2], UInt<5>("h017")) @[dec_tlu_ctl.scala 2297:34] - node _T_1668 = bits(_T_1667, 0, 0) @[dec_tlu_ctl.scala 2297:59] - node _T_1669 = eq(pmu_i0_itype_qual, UInt<4>("h0c")) @[dec_tlu_ctl.scala 2297:89] - node _T_1670 = eq(mhpme_vec[2], UInt<5>("h018")) @[dec_tlu_ctl.scala 2298:34] - node _T_1671 = bits(_T_1670, 0, 0) @[dec_tlu_ctl.scala 2298:59] - node _T_1672 = eq(pmu_i0_itype_qual, UInt<4>("h0d")) @[dec_tlu_ctl.scala 2298:89] - node _T_1673 = eq(pmu_i0_itype_qual, UInt<4>("h0e")) @[dec_tlu_ctl.scala 2298:122] - node _T_1674 = or(_T_1672, _T_1673) @[dec_tlu_ctl.scala 2298:101] - node _T_1675 = eq(mhpme_vec[2], UInt<5>("h019")) @[dec_tlu_ctl.scala 2299:34] - node _T_1676 = bits(_T_1675, 0, 0) @[dec_tlu_ctl.scala 2299:62] - node _T_1677 = and(io.exu_pmu_i0_br_misp, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2299:95] - node _T_1678 = eq(mhpme_vec[2], UInt<5>("h01a")) @[dec_tlu_ctl.scala 2300:34] - node _T_1679 = bits(_T_1678, 0, 0) @[dec_tlu_ctl.scala 2300:62] - node _T_1680 = and(io.exu_pmu_i0_br_ataken, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2300:97] - node _T_1681 = eq(mhpme_vec[2], UInt<5>("h01b")) @[dec_tlu_ctl.scala 2301:34] - node _T_1682 = bits(_T_1681, 0, 0) @[dec_tlu_ctl.scala 2301:62] - node _T_1683 = and(io.dec_tlu_packet_r.pmu_i0_br_unpred, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2301:110] - node _T_1684 = eq(mhpme_vec[2], UInt<5>("h01c")) @[dec_tlu_ctl.scala 2302:34] - node _T_1685 = bits(_T_1684, 0, 0) @[dec_tlu_ctl.scala 2302:62] - node _T_1686 = eq(mhpme_vec[2], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2303:34] - node _T_1687 = bits(_T_1686, 0, 0) @[dec_tlu_ctl.scala 2303:62] - node _T_1688 = eq(mhpme_vec[2], UInt<5>("h01f")) @[dec_tlu_ctl.scala 2304:34] - node _T_1689 = bits(_T_1688, 0, 0) @[dec_tlu_ctl.scala 2304:62] - node _T_1690 = eq(mhpme_vec[2], UInt<6>("h020")) @[dec_tlu_ctl.scala 2305:34] - node _T_1691 = bits(_T_1690, 0, 0) @[dec_tlu_ctl.scala 2305:62] - node _T_1692 = eq(mhpme_vec[2], UInt<6>("h022")) @[dec_tlu_ctl.scala 2306:34] - node _T_1693 = bits(_T_1692, 0, 0) @[dec_tlu_ctl.scala 2306:62] - node _T_1694 = eq(mhpme_vec[2], UInt<6>("h023")) @[dec_tlu_ctl.scala 2307:34] - node _T_1695 = bits(_T_1694, 0, 0) @[dec_tlu_ctl.scala 2307:62] - node _T_1696 = eq(mhpme_vec[2], UInt<6>("h024")) @[dec_tlu_ctl.scala 2308:34] - node _T_1697 = bits(_T_1696, 0, 0) @[dec_tlu_ctl.scala 2308:62] - node _T_1698 = eq(mhpme_vec[2], UInt<6>("h025")) @[dec_tlu_ctl.scala 2309:34] - node _T_1699 = bits(_T_1698, 0, 0) @[dec_tlu_ctl.scala 2309:62] - node _T_1700 = or(io.i0_exception_valid_r, io.i0_trigger_hit_r) @[dec_tlu_ctl.scala 2309:98] - node _T_1701 = or(_T_1700, io.lsu_exc_valid_r) @[dec_tlu_ctl.scala 2309:120] - node _T_1702 = eq(mhpme_vec[2], UInt<6>("h026")) @[dec_tlu_ctl.scala 2310:34] - node _T_1703 = bits(_T_1702, 0, 0) @[dec_tlu_ctl.scala 2310:62] - node _T_1704 = or(io.take_timer_int, io.take_int_timer0_int) @[dec_tlu_ctl.scala 2310:92] - node _T_1705 = or(_T_1704, io.take_int_timer1_int) @[dec_tlu_ctl.scala 2310:117] - node _T_1706 = eq(mhpme_vec[2], UInt<6>("h027")) @[dec_tlu_ctl.scala 2311:34] - node _T_1707 = bits(_T_1706, 0, 0) @[dec_tlu_ctl.scala 2311:62] - node _T_1708 = eq(mhpme_vec[2], UInt<6>("h028")) @[dec_tlu_ctl.scala 2312:34] - node _T_1709 = bits(_T_1708, 0, 0) @[dec_tlu_ctl.scala 2312:62] - node _T_1710 = eq(mhpme_vec[2], UInt<6>("h029")) @[dec_tlu_ctl.scala 2313:34] - node _T_1711 = bits(_T_1710, 0, 0) @[dec_tlu_ctl.scala 2313:62] - node _T_1712 = or(io.dec_tlu_br0_error_r, io.dec_tlu_br0_start_error_r) @[dec_tlu_ctl.scala 2313:97] - node _T_1713 = and(_T_1712, io.rfpc_i0_r) @[dec_tlu_ctl.scala 2313:129] - node _T_1714 = eq(mhpme_vec[2], UInt<6>("h02a")) @[dec_tlu_ctl.scala 2314:34] - node _T_1715 = bits(_T_1714, 0, 0) @[dec_tlu_ctl.scala 2314:62] - node _T_1716 = eq(mhpme_vec[2], UInt<6>("h02b")) @[dec_tlu_ctl.scala 2315:34] - node _T_1717 = bits(_T_1716, 0, 0) @[dec_tlu_ctl.scala 2315:62] - node _T_1718 = eq(mhpme_vec[2], UInt<6>("h02c")) @[dec_tlu_ctl.scala 2316:34] - node _T_1719 = bits(_T_1718, 0, 0) @[dec_tlu_ctl.scala 2316:62] - node _T_1720 = eq(mhpme_vec[2], UInt<6>("h02d")) @[dec_tlu_ctl.scala 2317:34] - node _T_1721 = bits(_T_1720, 0, 0) @[dec_tlu_ctl.scala 2317:62] - node _T_1722 = eq(mhpme_vec[2], UInt<6>("h02e")) @[dec_tlu_ctl.scala 2318:34] - node _T_1723 = bits(_T_1722, 0, 0) @[dec_tlu_ctl.scala 2318:62] - node _T_1724 = eq(mhpme_vec[2], UInt<6>("h02f")) @[dec_tlu_ctl.scala 2319:34] - node _T_1725 = bits(_T_1724, 0, 0) @[dec_tlu_ctl.scala 2319:62] - node _T_1726 = eq(mhpme_vec[2], UInt<6>("h030")) @[dec_tlu_ctl.scala 2320:34] - node _T_1727 = bits(_T_1726, 0, 0) @[dec_tlu_ctl.scala 2320:62] - node _T_1728 = eq(mhpme_vec[2], UInt<6>("h031")) @[dec_tlu_ctl.scala 2321:34] - node _T_1729 = bits(_T_1728, 0, 0) @[dec_tlu_ctl.scala 2321:62] - node _T_1730 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2321:84] - node _T_1731 = bits(_T_1730, 0, 0) @[dec_tlu_ctl.scala 2321:84] - node _T_1732 = not(_T_1731) @[dec_tlu_ctl.scala 2321:73] - node _T_1733 = eq(mhpme_vec[2], UInt<6>("h032")) @[dec_tlu_ctl.scala 2322:34] - node _T_1734 = bits(_T_1733, 0, 0) @[dec_tlu_ctl.scala 2322:62] - node _T_1735 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2322:84] - node _T_1736 = bits(_T_1735, 0, 0) @[dec_tlu_ctl.scala 2322:84] - node _T_1737 = not(_T_1736) @[dec_tlu_ctl.scala 2322:73] - node _T_1738 = bits(io.mip, 5, 0) @[dec_tlu_ctl.scala 2322:107] - node _T_1739 = bits(mie, 5, 0) @[dec_tlu_ctl.scala 2322:118] - node _T_1740 = and(_T_1738, _T_1739) @[dec_tlu_ctl.scala 2322:113] - node _T_1741 = orr(_T_1740) @[dec_tlu_ctl.scala 2322:125] - node _T_1742 = and(_T_1737, _T_1741) @[dec_tlu_ctl.scala 2322:98] - node _T_1743 = eq(mhpme_vec[2], UInt<6>("h036")) @[dec_tlu_ctl.scala 2323:34] - node _T_1744 = bits(_T_1743, 0, 0) @[dec_tlu_ctl.scala 2323:62] - node _T_1745 = eq(pmu_i0_itype_qual, UInt<4>("h0f")) @[dec_tlu_ctl.scala 2323:91] - node _T_1746 = eq(mhpme_vec[2], UInt<6>("h037")) @[dec_tlu_ctl.scala 2324:34] - node _T_1747 = bits(_T_1746, 0, 0) @[dec_tlu_ctl.scala 2324:62] - node _T_1748 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_load_external_r) @[dec_tlu_ctl.scala 2324:94] - node _T_1749 = eq(mhpme_vec[2], UInt<6>("h038")) @[dec_tlu_ctl.scala 2325:34] - node _T_1750 = bits(_T_1749, 0, 0) @[dec_tlu_ctl.scala 2325:62] - node _T_1751 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_store_external_r) @[dec_tlu_ctl.scala 2325:94] - node _T_1752 = eq(mhpme_vec[2], UInt<10>("h0200")) @[dec_tlu_ctl.scala 2327:34] - node _T_1753 = bits(_T_1752, 0, 0) @[dec_tlu_ctl.scala 2327:62] - node _T_1754 = eq(mhpme_vec[2], UInt<10>("h0201")) @[dec_tlu_ctl.scala 2328:34] - node _T_1755 = bits(_T_1754, 0, 0) @[dec_tlu_ctl.scala 2328:62] - node _T_1756 = eq(mhpme_vec[2], UInt<10>("h0202")) @[dec_tlu_ctl.scala 2329:34] - node _T_1757 = bits(_T_1756, 0, 0) @[dec_tlu_ctl.scala 2329:62] - node _T_1758 = eq(mhpme_vec[2], UInt<10>("h0203")) @[dec_tlu_ctl.scala 2330:34] - node _T_1759 = bits(_T_1758, 0, 0) @[dec_tlu_ctl.scala 2330:62] - node _T_1760 = eq(mhpme_vec[2], UInt<10>("h0204")) @[dec_tlu_ctl.scala 2331:34] - node _T_1761 = bits(_T_1760, 0, 0) @[dec_tlu_ctl.scala 2331:62] - node _T_1762 = mux(_T_1596, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1763 = mux(_T_1598, io.ifu_pmu_ic_hit, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1764 = mux(_T_1600, io.ifu_pmu_ic_miss, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1765 = mux(_T_1602, _T_1604, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1766 = mux(_T_1606, _T_1610, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1767 = mux(_T_1612, _T_1615, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1768 = mux(_T_1617, io.ifu_pmu_instr_aligned, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1769 = mux(_T_1619, io.dec_pmu_instr_decoded, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1770 = mux(_T_1621, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1771 = mux(_T_1623, _T_1624, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1772 = mux(_T_1626, _T_1627, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1773 = mux(_T_1629, _T_1630, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1774 = mux(_T_1632, _T_1633, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1775 = mux(_T_1635, _T_1637, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1776 = mux(_T_1639, _T_1642, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1777 = mux(_T_1644, _T_1645, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1778 = mux(_T_1647, _T_1648, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1779 = mux(_T_1650, _T_1651, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1780 = mux(_T_1653, _T_1654, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1781 = mux(_T_1656, _T_1657, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1782 = mux(_T_1659, _T_1660, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1783 = mux(_T_1662, _T_1663, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1784 = mux(_T_1665, _T_1666, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1785 = mux(_T_1668, _T_1669, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1786 = mux(_T_1671, _T_1674, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1787 = mux(_T_1676, _T_1677, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1788 = mux(_T_1679, _T_1680, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1789 = mux(_T_1682, _T_1683, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1790 = mux(_T_1685, io.ifu_pmu_fetch_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1791 = mux(_T_1687, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1792 = mux(_T_1689, io.dec_pmu_postsync_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1793 = mux(_T_1691, io.dec_pmu_presync_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1794 = mux(_T_1693, io.lsu_store_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1795 = mux(_T_1695, io.dma_dccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1796 = mux(_T_1697, io.dma_iccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1797 = mux(_T_1699, _T_1701, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1798 = mux(_T_1703, _T_1705, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1799 = mux(_T_1707, io.take_ext_int, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1800 = mux(_T_1709, io.tlu_flush_lower_r, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1801 = mux(_T_1711, _T_1713, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1802 = mux(_T_1715, io.ifu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1803 = mux(_T_1717, io.lsu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1804 = mux(_T_1719, io.lsu_pmu_bus_misaligned, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1805 = mux(_T_1721, io.ifu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1806 = mux(_T_1723, io.lsu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1807 = mux(_T_1725, io.ifu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1808 = mux(_T_1727, io.lsu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1809 = mux(_T_1729, _T_1732, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1810 = mux(_T_1734, _T_1742, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1811 = mux(_T_1744, _T_1745, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1812 = mux(_T_1747, _T_1748, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1813 = mux(_T_1750, _T_1751, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1814 = mux(_T_1753, io.dec_tlu_pmu_fw_halted, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1815 = mux(_T_1755, io.dma_pmu_any_read, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1816 = mux(_T_1757, io.dma_pmu_any_write, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1817 = mux(_T_1759, io.dma_pmu_dccm_read, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1818 = mux(_T_1761, io.dma_pmu_dccm_write, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1819 = or(_T_1762, _T_1763) @[Mux.scala 27:72] + wire _T_1580 : UInt<1> @[Mux.scala 27:72] + _T_1580 <= _T_1579 @[Mux.scala 27:72] + node _T_1581 = and(_T_1299, _T_1580) @[dec_tlu_ctl.scala 2255:44] + mhpmc_inc_r[1] <= _T_1581 @[dec_tlu_ctl.scala 2255:19] + node _T_1582 = bits(mcountinhibit, 5, 5) @[dec_tlu_ctl.scala 2255:38] + node _T_1583 = not(_T_1582) @[dec_tlu_ctl.scala 2255:24] + node _T_1584 = eq(mhpme_vec[2], UInt<1>("h01")) @[dec_tlu_ctl.scala 2256:34] + node _T_1585 = bits(_T_1584, 0, 0) @[dec_tlu_ctl.scala 2256:62] + node _T_1586 = eq(mhpme_vec[2], UInt<2>("h02")) @[dec_tlu_ctl.scala 2257:34] + node _T_1587 = bits(_T_1586, 0, 0) @[dec_tlu_ctl.scala 2257:62] + node _T_1588 = eq(mhpme_vec[2], UInt<2>("h03")) @[dec_tlu_ctl.scala 2258:34] + node _T_1589 = bits(_T_1588, 0, 0) @[dec_tlu_ctl.scala 2258:62] + node _T_1590 = eq(mhpme_vec[2], UInt<3>("h04")) @[dec_tlu_ctl.scala 2259:34] + node _T_1591 = bits(_T_1590, 0, 0) @[dec_tlu_ctl.scala 2259:62] + node _T_1592 = not(io.illegal_r) @[dec_tlu_ctl.scala 2259:96] + node _T_1593 = and(io.tlu_i0_commit_cmt, _T_1592) @[dec_tlu_ctl.scala 2259:94] + node _T_1594 = eq(mhpme_vec[2], UInt<3>("h05")) @[dec_tlu_ctl.scala 2260:34] + node _T_1595 = bits(_T_1594, 0, 0) @[dec_tlu_ctl.scala 2260:62] + node _T_1596 = not(io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2260:96] + node _T_1597 = and(io.tlu_i0_commit_cmt, _T_1596) @[dec_tlu_ctl.scala 2260:94] + node _T_1598 = not(io.illegal_r) @[dec_tlu_ctl.scala 2260:117] + node _T_1599 = and(_T_1597, _T_1598) @[dec_tlu_ctl.scala 2260:115] + node _T_1600 = eq(mhpme_vec[2], UInt<3>("h06")) @[dec_tlu_ctl.scala 2261:34] + node _T_1601 = bits(_T_1600, 0, 0) @[dec_tlu_ctl.scala 2261:62] + node _T_1602 = and(io.tlu_i0_commit_cmt, io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2261:94] + node _T_1603 = not(io.illegal_r) @[dec_tlu_ctl.scala 2261:117] + node _T_1604 = and(_T_1602, _T_1603) @[dec_tlu_ctl.scala 2261:115] + node _T_1605 = eq(mhpme_vec[2], UInt<3>("h07")) @[dec_tlu_ctl.scala 2262:34] + node _T_1606 = bits(_T_1605, 0, 0) @[dec_tlu_ctl.scala 2262:62] + node _T_1607 = eq(mhpme_vec[2], UInt<4>("h08")) @[dec_tlu_ctl.scala 2263:34] + node _T_1608 = bits(_T_1607, 0, 0) @[dec_tlu_ctl.scala 2263:62] + node _T_1609 = eq(mhpme_vec[2], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2264:34] + node _T_1610 = bits(_T_1609, 0, 0) @[dec_tlu_ctl.scala 2264:62] + node _T_1611 = eq(mhpme_vec[2], UInt<4>("h09")) @[dec_tlu_ctl.scala 2265:34] + node _T_1612 = bits(_T_1611, 0, 0) @[dec_tlu_ctl.scala 2265:62] + node _T_1613 = eq(pmu_i0_itype_qual, UInt<4>("h01")) @[dec_tlu_ctl.scala 2265:91] + node _T_1614 = eq(mhpme_vec[2], UInt<4>("h0a")) @[dec_tlu_ctl.scala 2266:34] + node _T_1615 = bits(_T_1614, 0, 0) @[dec_tlu_ctl.scala 2266:62] + node _T_1616 = and(io.dec_tlu_packet_r.pmu_divide, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2266:105] + node _T_1617 = eq(mhpme_vec[2], UInt<4>("h0b")) @[dec_tlu_ctl.scala 2267:34] + node _T_1618 = bits(_T_1617, 0, 0) @[dec_tlu_ctl.scala 2267:62] + node _T_1619 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2267:91] + node _T_1620 = eq(mhpme_vec[2], UInt<4>("h0c")) @[dec_tlu_ctl.scala 2268:34] + node _T_1621 = bits(_T_1620, 0, 0) @[dec_tlu_ctl.scala 2268:62] + node _T_1622 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2268:91] + node _T_1623 = eq(mhpme_vec[2], UInt<4>("h0d")) @[dec_tlu_ctl.scala 2269:34] + node _T_1624 = bits(_T_1623, 0, 0) @[dec_tlu_ctl.scala 2269:62] + node _T_1625 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2269:91] + node _T_1626 = and(_T_1625, io.dec_tlu_packet_r.pmu_lsu_misaligned) @[dec_tlu_ctl.scala 2269:100] + node _T_1627 = eq(mhpme_vec[2], UInt<4>("h0e")) @[dec_tlu_ctl.scala 2270:34] + node _T_1628 = bits(_T_1627, 0, 0) @[dec_tlu_ctl.scala 2270:62] + node _T_1629 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2270:91] + node _T_1630 = bits(io.dec_tlu_packet_r.pmu_lsu_misaligned, 0, 0) @[dec_tlu_ctl.scala 2270:142] + node _T_1631 = and(_T_1629, _T_1630) @[dec_tlu_ctl.scala 2270:101] + node _T_1632 = eq(mhpme_vec[2], UInt<4>("h0f")) @[dec_tlu_ctl.scala 2271:34] + node _T_1633 = bits(_T_1632, 0, 0) @[dec_tlu_ctl.scala 2271:59] + node _T_1634 = eq(pmu_i0_itype_qual, UInt<4>("h04")) @[dec_tlu_ctl.scala 2271:89] + node _T_1635 = eq(mhpme_vec[2], UInt<5>("h010")) @[dec_tlu_ctl.scala 2272:34] + node _T_1636 = bits(_T_1635, 0, 0) @[dec_tlu_ctl.scala 2272:59] + node _T_1637 = eq(pmu_i0_itype_qual, UInt<4>("h05")) @[dec_tlu_ctl.scala 2272:89] + node _T_1638 = eq(mhpme_vec[2], UInt<5>("h012")) @[dec_tlu_ctl.scala 2273:34] + node _T_1639 = bits(_T_1638, 0, 0) @[dec_tlu_ctl.scala 2273:59] + node _T_1640 = eq(pmu_i0_itype_qual, UInt<4>("h06")) @[dec_tlu_ctl.scala 2273:89] + node _T_1641 = eq(mhpme_vec[2], UInt<5>("h011")) @[dec_tlu_ctl.scala 2274:34] + node _T_1642 = bits(_T_1641, 0, 0) @[dec_tlu_ctl.scala 2274:59] + node _T_1643 = eq(pmu_i0_itype_qual, UInt<4>("h07")) @[dec_tlu_ctl.scala 2274:89] + node _T_1644 = eq(mhpme_vec[2], UInt<5>("h013")) @[dec_tlu_ctl.scala 2275:34] + node _T_1645 = bits(_T_1644, 0, 0) @[dec_tlu_ctl.scala 2275:59] + node _T_1646 = eq(pmu_i0_itype_qual, UInt<4>("h08")) @[dec_tlu_ctl.scala 2275:89] + node _T_1647 = eq(mhpme_vec[2], UInt<5>("h014")) @[dec_tlu_ctl.scala 2276:34] + node _T_1648 = bits(_T_1647, 0, 0) @[dec_tlu_ctl.scala 2276:59] + node _T_1649 = eq(pmu_i0_itype_qual, UInt<4>("h09")) @[dec_tlu_ctl.scala 2276:89] + node _T_1650 = eq(mhpme_vec[2], UInt<5>("h015")) @[dec_tlu_ctl.scala 2277:34] + node _T_1651 = bits(_T_1650, 0, 0) @[dec_tlu_ctl.scala 2277:59] + node _T_1652 = eq(pmu_i0_itype_qual, UInt<4>("h0a")) @[dec_tlu_ctl.scala 2277:89] + node _T_1653 = eq(mhpme_vec[2], UInt<5>("h016")) @[dec_tlu_ctl.scala 2278:34] + node _T_1654 = bits(_T_1653, 0, 0) @[dec_tlu_ctl.scala 2278:59] + node _T_1655 = eq(pmu_i0_itype_qual, UInt<4>("h0b")) @[dec_tlu_ctl.scala 2278:89] + node _T_1656 = eq(mhpme_vec[2], UInt<5>("h017")) @[dec_tlu_ctl.scala 2279:34] + node _T_1657 = bits(_T_1656, 0, 0) @[dec_tlu_ctl.scala 2279:59] + node _T_1658 = eq(pmu_i0_itype_qual, UInt<4>("h0c")) @[dec_tlu_ctl.scala 2279:89] + node _T_1659 = eq(mhpme_vec[2], UInt<5>("h018")) @[dec_tlu_ctl.scala 2280:34] + node _T_1660 = bits(_T_1659, 0, 0) @[dec_tlu_ctl.scala 2280:59] + node _T_1661 = eq(pmu_i0_itype_qual, UInt<4>("h0d")) @[dec_tlu_ctl.scala 2280:89] + node _T_1662 = eq(pmu_i0_itype_qual, UInt<4>("h0e")) @[dec_tlu_ctl.scala 2280:122] + node _T_1663 = or(_T_1661, _T_1662) @[dec_tlu_ctl.scala 2280:101] + node _T_1664 = eq(mhpme_vec[2], UInt<5>("h019")) @[dec_tlu_ctl.scala 2281:34] + node _T_1665 = bits(_T_1664, 0, 0) @[dec_tlu_ctl.scala 2281:62] + node _T_1666 = and(io.exu_pmu_i0_br_misp, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2281:95] + node _T_1667 = eq(mhpme_vec[2], UInt<5>("h01a")) @[dec_tlu_ctl.scala 2282:34] + node _T_1668 = bits(_T_1667, 0, 0) @[dec_tlu_ctl.scala 2282:62] + node _T_1669 = and(io.exu_pmu_i0_br_ataken, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2282:97] + node _T_1670 = eq(mhpme_vec[2], UInt<5>("h01b")) @[dec_tlu_ctl.scala 2283:34] + node _T_1671 = bits(_T_1670, 0, 0) @[dec_tlu_ctl.scala 2283:62] + node _T_1672 = and(io.dec_tlu_packet_r.pmu_i0_br_unpred, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2283:110] + node _T_1673 = eq(mhpme_vec[2], UInt<5>("h01c")) @[dec_tlu_ctl.scala 2284:34] + node _T_1674 = bits(_T_1673, 0, 0) @[dec_tlu_ctl.scala 2284:62] + node _T_1675 = eq(mhpme_vec[2], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2285:34] + node _T_1676 = bits(_T_1675, 0, 0) @[dec_tlu_ctl.scala 2285:62] + node _T_1677 = eq(mhpme_vec[2], UInt<5>("h01f")) @[dec_tlu_ctl.scala 2286:34] + node _T_1678 = bits(_T_1677, 0, 0) @[dec_tlu_ctl.scala 2286:62] + node _T_1679 = eq(mhpme_vec[2], UInt<6>("h020")) @[dec_tlu_ctl.scala 2287:34] + node _T_1680 = bits(_T_1679, 0, 0) @[dec_tlu_ctl.scala 2287:62] + node _T_1681 = eq(mhpme_vec[2], UInt<6>("h022")) @[dec_tlu_ctl.scala 2288:34] + node _T_1682 = bits(_T_1681, 0, 0) @[dec_tlu_ctl.scala 2288:62] + node _T_1683 = eq(mhpme_vec[2], UInt<6>("h023")) @[dec_tlu_ctl.scala 2289:34] + node _T_1684 = bits(_T_1683, 0, 0) @[dec_tlu_ctl.scala 2289:62] + node _T_1685 = eq(mhpme_vec[2], UInt<6>("h024")) @[dec_tlu_ctl.scala 2290:34] + node _T_1686 = bits(_T_1685, 0, 0) @[dec_tlu_ctl.scala 2290:62] + node _T_1687 = eq(mhpme_vec[2], UInt<6>("h025")) @[dec_tlu_ctl.scala 2291:34] + node _T_1688 = bits(_T_1687, 0, 0) @[dec_tlu_ctl.scala 2291:62] + node _T_1689 = or(io.i0_exception_valid_r, io.i0_trigger_hit_r) @[dec_tlu_ctl.scala 2291:98] + node _T_1690 = or(_T_1689, io.lsu_exc_valid_r) @[dec_tlu_ctl.scala 2291:120] + node _T_1691 = eq(mhpme_vec[2], UInt<6>("h026")) @[dec_tlu_ctl.scala 2292:34] + node _T_1692 = bits(_T_1691, 0, 0) @[dec_tlu_ctl.scala 2292:62] + node _T_1693 = or(io.take_timer_int, io.take_int_timer0_int) @[dec_tlu_ctl.scala 2292:92] + node _T_1694 = or(_T_1693, io.take_int_timer1_int) @[dec_tlu_ctl.scala 2292:117] + node _T_1695 = eq(mhpme_vec[2], UInt<6>("h027")) @[dec_tlu_ctl.scala 2293:34] + node _T_1696 = bits(_T_1695, 0, 0) @[dec_tlu_ctl.scala 2293:62] + node _T_1697 = eq(mhpme_vec[2], UInt<6>("h028")) @[dec_tlu_ctl.scala 2294:34] + node _T_1698 = bits(_T_1697, 0, 0) @[dec_tlu_ctl.scala 2294:62] + node _T_1699 = eq(mhpme_vec[2], UInt<6>("h029")) @[dec_tlu_ctl.scala 2295:34] + node _T_1700 = bits(_T_1699, 0, 0) @[dec_tlu_ctl.scala 2295:62] + node _T_1701 = or(io.dec_tlu_br0_error_r, io.dec_tlu_br0_start_error_r) @[dec_tlu_ctl.scala 2295:97] + node _T_1702 = and(_T_1701, io.rfpc_i0_r) @[dec_tlu_ctl.scala 2295:129] + node _T_1703 = eq(mhpme_vec[2], UInt<6>("h02a")) @[dec_tlu_ctl.scala 2296:34] + node _T_1704 = bits(_T_1703, 0, 0) @[dec_tlu_ctl.scala 2296:62] + node _T_1705 = eq(mhpme_vec[2], UInt<6>("h02b")) @[dec_tlu_ctl.scala 2297:34] + node _T_1706 = bits(_T_1705, 0, 0) @[dec_tlu_ctl.scala 2297:62] + node _T_1707 = eq(mhpme_vec[2], UInt<6>("h02c")) @[dec_tlu_ctl.scala 2298:34] + node _T_1708 = bits(_T_1707, 0, 0) @[dec_tlu_ctl.scala 2298:62] + node _T_1709 = eq(mhpme_vec[2], UInt<6>("h02d")) @[dec_tlu_ctl.scala 2299:34] + node _T_1710 = bits(_T_1709, 0, 0) @[dec_tlu_ctl.scala 2299:62] + node _T_1711 = eq(mhpme_vec[2], UInt<6>("h02e")) @[dec_tlu_ctl.scala 2300:34] + node _T_1712 = bits(_T_1711, 0, 0) @[dec_tlu_ctl.scala 2300:62] + node _T_1713 = eq(mhpme_vec[2], UInt<6>("h02f")) @[dec_tlu_ctl.scala 2301:34] + node _T_1714 = bits(_T_1713, 0, 0) @[dec_tlu_ctl.scala 2301:62] + node _T_1715 = eq(mhpme_vec[2], UInt<6>("h030")) @[dec_tlu_ctl.scala 2302:34] + node _T_1716 = bits(_T_1715, 0, 0) @[dec_tlu_ctl.scala 2302:62] + node _T_1717 = eq(mhpme_vec[2], UInt<6>("h031")) @[dec_tlu_ctl.scala 2303:34] + node _T_1718 = bits(_T_1717, 0, 0) @[dec_tlu_ctl.scala 2303:62] + node _T_1719 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2303:84] + node _T_1720 = bits(_T_1719, 0, 0) @[dec_tlu_ctl.scala 2303:84] + node _T_1721 = not(_T_1720) @[dec_tlu_ctl.scala 2303:73] + node _T_1722 = eq(mhpme_vec[2], UInt<6>("h032")) @[dec_tlu_ctl.scala 2304:34] + node _T_1723 = bits(_T_1722, 0, 0) @[dec_tlu_ctl.scala 2304:62] + node _T_1724 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2304:84] + node _T_1725 = bits(_T_1724, 0, 0) @[dec_tlu_ctl.scala 2304:84] + node _T_1726 = not(_T_1725) @[dec_tlu_ctl.scala 2304:73] + node _T_1727 = bits(io.mip, 5, 0) @[dec_tlu_ctl.scala 2304:107] + node _T_1728 = bits(mie, 5, 0) @[dec_tlu_ctl.scala 2304:118] + node _T_1729 = and(_T_1727, _T_1728) @[dec_tlu_ctl.scala 2304:113] + node _T_1730 = orr(_T_1729) @[dec_tlu_ctl.scala 2304:125] + node _T_1731 = and(_T_1726, _T_1730) @[dec_tlu_ctl.scala 2304:98] + node _T_1732 = eq(mhpme_vec[2], UInt<6>("h036")) @[dec_tlu_ctl.scala 2305:34] + node _T_1733 = bits(_T_1732, 0, 0) @[dec_tlu_ctl.scala 2305:62] + node _T_1734 = eq(pmu_i0_itype_qual, UInt<4>("h0f")) @[dec_tlu_ctl.scala 2305:91] + node _T_1735 = eq(mhpme_vec[2], UInt<6>("h037")) @[dec_tlu_ctl.scala 2306:34] + node _T_1736 = bits(_T_1735, 0, 0) @[dec_tlu_ctl.scala 2306:62] + node _T_1737 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_load_external_r) @[dec_tlu_ctl.scala 2306:94] + node _T_1738 = eq(mhpme_vec[2], UInt<6>("h038")) @[dec_tlu_ctl.scala 2307:34] + node _T_1739 = bits(_T_1738, 0, 0) @[dec_tlu_ctl.scala 2307:62] + node _T_1740 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_store_external_r) @[dec_tlu_ctl.scala 2307:94] + node _T_1741 = eq(mhpme_vec[2], UInt<10>("h0200")) @[dec_tlu_ctl.scala 2309:34] + node _T_1742 = bits(_T_1741, 0, 0) @[dec_tlu_ctl.scala 2309:62] + node _T_1743 = eq(mhpme_vec[2], UInt<10>("h0201")) @[dec_tlu_ctl.scala 2310:34] + node _T_1744 = bits(_T_1743, 0, 0) @[dec_tlu_ctl.scala 2310:62] + node _T_1745 = eq(mhpme_vec[2], UInt<10>("h0202")) @[dec_tlu_ctl.scala 2311:34] + node _T_1746 = bits(_T_1745, 0, 0) @[dec_tlu_ctl.scala 2311:62] + node _T_1747 = eq(mhpme_vec[2], UInt<10>("h0203")) @[dec_tlu_ctl.scala 2312:34] + node _T_1748 = bits(_T_1747, 0, 0) @[dec_tlu_ctl.scala 2312:62] + node _T_1749 = eq(mhpme_vec[2], UInt<10>("h0204")) @[dec_tlu_ctl.scala 2313:34] + node _T_1750 = bits(_T_1749, 0, 0) @[dec_tlu_ctl.scala 2313:62] + node _T_1751 = mux(_T_1585, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1752 = mux(_T_1587, io.ifu_pmu_ic_hit, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1753 = mux(_T_1589, io.ifu_pmu_ic_miss, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1754 = mux(_T_1591, _T_1593, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1755 = mux(_T_1595, _T_1599, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1756 = mux(_T_1601, _T_1604, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1757 = mux(_T_1606, io.ifu_pmu_instr_aligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1758 = mux(_T_1608, io.dec_pmu_instr_decoded, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1759 = mux(_T_1610, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1760 = mux(_T_1612, _T_1613, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1761 = mux(_T_1615, _T_1616, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1762 = mux(_T_1618, _T_1619, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1763 = mux(_T_1621, _T_1622, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1764 = mux(_T_1624, _T_1626, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1765 = mux(_T_1628, _T_1631, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1766 = mux(_T_1633, _T_1634, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1767 = mux(_T_1636, _T_1637, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1768 = mux(_T_1639, _T_1640, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1769 = mux(_T_1642, _T_1643, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1770 = mux(_T_1645, _T_1646, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1771 = mux(_T_1648, _T_1649, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1772 = mux(_T_1651, _T_1652, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1773 = mux(_T_1654, _T_1655, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1774 = mux(_T_1657, _T_1658, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1775 = mux(_T_1660, _T_1663, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1776 = mux(_T_1665, _T_1666, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1777 = mux(_T_1668, _T_1669, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1778 = mux(_T_1671, _T_1672, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1779 = mux(_T_1674, io.ifu_pmu_fetch_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1780 = mux(_T_1676, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1781 = mux(_T_1678, io.dec_pmu_postsync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1782 = mux(_T_1680, io.dec_pmu_presync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1783 = mux(_T_1682, io.lsu_store_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1784 = mux(_T_1684, io.dma_dccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1785 = mux(_T_1686, io.dma_iccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1786 = mux(_T_1688, _T_1690, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1787 = mux(_T_1692, _T_1694, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1788 = mux(_T_1696, io.take_ext_int, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1789 = mux(_T_1698, io.tlu_flush_lower_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1790 = mux(_T_1700, _T_1702, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1791 = mux(_T_1704, io.ifu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1792 = mux(_T_1706, io.lsu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1793 = mux(_T_1708, io.lsu_pmu_bus_misaligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1794 = mux(_T_1710, io.ifu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1795 = mux(_T_1712, io.lsu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1796 = mux(_T_1714, io.ifu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1797 = mux(_T_1716, io.lsu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1798 = mux(_T_1718, _T_1721, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1799 = mux(_T_1723, _T_1731, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1800 = mux(_T_1733, _T_1734, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1801 = mux(_T_1736, _T_1737, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1802 = mux(_T_1739, _T_1740, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1803 = mux(_T_1742, io.dec_tlu_pmu_fw_halted, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1804 = mux(_T_1744, io.dma_pmu_any_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1805 = mux(_T_1746, io.dma_pmu_any_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1806 = mux(_T_1748, io.dma_pmu_dccm_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1807 = mux(_T_1750, io.dma_pmu_dccm_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1808 = or(_T_1751, _T_1752) @[Mux.scala 27:72] + node _T_1809 = or(_T_1808, _T_1753) @[Mux.scala 27:72] + node _T_1810 = or(_T_1809, _T_1754) @[Mux.scala 27:72] + node _T_1811 = or(_T_1810, _T_1755) @[Mux.scala 27:72] + node _T_1812 = or(_T_1811, _T_1756) @[Mux.scala 27:72] + node _T_1813 = or(_T_1812, _T_1757) @[Mux.scala 27:72] + node _T_1814 = or(_T_1813, _T_1758) @[Mux.scala 27:72] + node _T_1815 = or(_T_1814, _T_1759) @[Mux.scala 27:72] + node _T_1816 = or(_T_1815, _T_1760) @[Mux.scala 27:72] + node _T_1817 = or(_T_1816, _T_1761) @[Mux.scala 27:72] + node _T_1818 = or(_T_1817, _T_1762) @[Mux.scala 27:72] + node _T_1819 = or(_T_1818, _T_1763) @[Mux.scala 27:72] node _T_1820 = or(_T_1819, _T_1764) @[Mux.scala 27:72] node _T_1821 = or(_T_1820, _T_1765) @[Mux.scala 27:72] node _T_1822 = or(_T_1821, _T_1766) @[Mux.scala 27:72] @@ -75251,248 +75257,248 @@ circuit quasar_wrapper : node _T_1861 = or(_T_1860, _T_1805) @[Mux.scala 27:72] node _T_1862 = or(_T_1861, _T_1806) @[Mux.scala 27:72] node _T_1863 = or(_T_1862, _T_1807) @[Mux.scala 27:72] - node _T_1864 = or(_T_1863, _T_1808) @[Mux.scala 27:72] - node _T_1865 = or(_T_1864, _T_1809) @[Mux.scala 27:72] - node _T_1866 = or(_T_1865, _T_1810) @[Mux.scala 27:72] - node _T_1867 = or(_T_1866, _T_1811) @[Mux.scala 27:72] - node _T_1868 = or(_T_1867, _T_1812) @[Mux.scala 27:72] - node _T_1869 = or(_T_1868, _T_1813) @[Mux.scala 27:72] - node _T_1870 = or(_T_1869, _T_1814) @[Mux.scala 27:72] - node _T_1871 = or(_T_1870, _T_1815) @[Mux.scala 27:72] - node _T_1872 = or(_T_1871, _T_1816) @[Mux.scala 27:72] - node _T_1873 = or(_T_1872, _T_1817) @[Mux.scala 27:72] - node _T_1874 = or(_T_1873, _T_1818) @[Mux.scala 27:72] - wire _T_1875 : UInt<1> @[Mux.scala 27:72] - _T_1875 <= _T_1874 @[Mux.scala 27:72] - node _T_1876 = and(_T_1594, _T_1875) @[dec_tlu_ctl.scala 2273:44] - mhpmc_inc_r[2] <= _T_1876 @[dec_tlu_ctl.scala 2273:19] - node _T_1877 = bits(mcountinhibit, 6, 6) @[dec_tlu_ctl.scala 2273:38] - node _T_1878 = not(_T_1877) @[dec_tlu_ctl.scala 2273:24] - node _T_1879 = eq(mhpme_vec[3], UInt<1>("h01")) @[dec_tlu_ctl.scala 2274:34] - node _T_1880 = bits(_T_1879, 0, 0) @[dec_tlu_ctl.scala 2274:62] - node _T_1881 = eq(mhpme_vec[3], UInt<2>("h02")) @[dec_tlu_ctl.scala 2275:34] - node _T_1882 = bits(_T_1881, 0, 0) @[dec_tlu_ctl.scala 2275:62] - node _T_1883 = eq(mhpme_vec[3], UInt<2>("h03")) @[dec_tlu_ctl.scala 2276:34] - node _T_1884 = bits(_T_1883, 0, 0) @[dec_tlu_ctl.scala 2276:62] - node _T_1885 = eq(mhpme_vec[3], UInt<3>("h04")) @[dec_tlu_ctl.scala 2277:34] - node _T_1886 = bits(_T_1885, 0, 0) @[dec_tlu_ctl.scala 2277:62] - node _T_1887 = not(io.illegal_r) @[dec_tlu_ctl.scala 2277:96] - node _T_1888 = and(io.tlu_i0_commit_cmt, _T_1887) @[dec_tlu_ctl.scala 2277:94] - node _T_1889 = eq(mhpme_vec[3], UInt<3>("h05")) @[dec_tlu_ctl.scala 2278:34] - node _T_1890 = bits(_T_1889, 0, 0) @[dec_tlu_ctl.scala 2278:62] - node _T_1891 = not(io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2278:96] - node _T_1892 = and(io.tlu_i0_commit_cmt, _T_1891) @[dec_tlu_ctl.scala 2278:94] - node _T_1893 = not(io.illegal_r) @[dec_tlu_ctl.scala 2278:117] - node _T_1894 = and(_T_1892, _T_1893) @[dec_tlu_ctl.scala 2278:115] - node _T_1895 = eq(mhpme_vec[3], UInt<3>("h06")) @[dec_tlu_ctl.scala 2279:34] - node _T_1896 = bits(_T_1895, 0, 0) @[dec_tlu_ctl.scala 2279:62] - node _T_1897 = and(io.tlu_i0_commit_cmt, io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2279:94] - node _T_1898 = not(io.illegal_r) @[dec_tlu_ctl.scala 2279:117] - node _T_1899 = and(_T_1897, _T_1898) @[dec_tlu_ctl.scala 2279:115] - node _T_1900 = eq(mhpme_vec[3], UInt<3>("h07")) @[dec_tlu_ctl.scala 2280:34] - node _T_1901 = bits(_T_1900, 0, 0) @[dec_tlu_ctl.scala 2280:62] - node _T_1902 = eq(mhpme_vec[3], UInt<4>("h08")) @[dec_tlu_ctl.scala 2281:34] - node _T_1903 = bits(_T_1902, 0, 0) @[dec_tlu_ctl.scala 2281:62] - node _T_1904 = eq(mhpme_vec[3], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2282:34] - node _T_1905 = bits(_T_1904, 0, 0) @[dec_tlu_ctl.scala 2282:62] - node _T_1906 = eq(mhpme_vec[3], UInt<4>("h09")) @[dec_tlu_ctl.scala 2283:34] - node _T_1907 = bits(_T_1906, 0, 0) @[dec_tlu_ctl.scala 2283:62] - node _T_1908 = eq(pmu_i0_itype_qual, UInt<4>("h01")) @[dec_tlu_ctl.scala 2283:91] - node _T_1909 = eq(mhpme_vec[3], UInt<4>("h0a")) @[dec_tlu_ctl.scala 2284:34] - node _T_1910 = bits(_T_1909, 0, 0) @[dec_tlu_ctl.scala 2284:62] - node _T_1911 = and(io.dec_tlu_packet_r.pmu_divide, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2284:105] - node _T_1912 = eq(mhpme_vec[3], UInt<4>("h0b")) @[dec_tlu_ctl.scala 2285:34] - node _T_1913 = bits(_T_1912, 0, 0) @[dec_tlu_ctl.scala 2285:62] - node _T_1914 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2285:91] - node _T_1915 = eq(mhpme_vec[3], UInt<4>("h0c")) @[dec_tlu_ctl.scala 2286:34] - node _T_1916 = bits(_T_1915, 0, 0) @[dec_tlu_ctl.scala 2286:62] - node _T_1917 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2286:91] - node _T_1918 = eq(mhpme_vec[3], UInt<4>("h0d")) @[dec_tlu_ctl.scala 2287:34] - node _T_1919 = bits(_T_1918, 0, 0) @[dec_tlu_ctl.scala 2287:62] - node _T_1920 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2287:91] - node _T_1921 = and(_T_1920, io.dec_tlu_packet_r.pmu_lsu_misaligned) @[dec_tlu_ctl.scala 2287:100] - node _T_1922 = eq(mhpme_vec[3], UInt<4>("h0e")) @[dec_tlu_ctl.scala 2288:34] - node _T_1923 = bits(_T_1922, 0, 0) @[dec_tlu_ctl.scala 2288:62] - node _T_1924 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2288:91] - node _T_1925 = bits(io.dec_tlu_packet_r.pmu_lsu_misaligned, 0, 0) @[dec_tlu_ctl.scala 2288:142] - node _T_1926 = and(_T_1924, _T_1925) @[dec_tlu_ctl.scala 2288:101] - node _T_1927 = eq(mhpme_vec[3], UInt<4>("h0f")) @[dec_tlu_ctl.scala 2289:34] - node _T_1928 = bits(_T_1927, 0, 0) @[dec_tlu_ctl.scala 2289:59] - node _T_1929 = eq(pmu_i0_itype_qual, UInt<4>("h04")) @[dec_tlu_ctl.scala 2289:89] - node _T_1930 = eq(mhpme_vec[3], UInt<5>("h010")) @[dec_tlu_ctl.scala 2290:34] - node _T_1931 = bits(_T_1930, 0, 0) @[dec_tlu_ctl.scala 2290:59] - node _T_1932 = eq(pmu_i0_itype_qual, UInt<4>("h05")) @[dec_tlu_ctl.scala 2290:89] - node _T_1933 = eq(mhpme_vec[3], UInt<5>("h012")) @[dec_tlu_ctl.scala 2291:34] - node _T_1934 = bits(_T_1933, 0, 0) @[dec_tlu_ctl.scala 2291:59] - node _T_1935 = eq(pmu_i0_itype_qual, UInt<4>("h06")) @[dec_tlu_ctl.scala 2291:89] - node _T_1936 = eq(mhpme_vec[3], UInt<5>("h011")) @[dec_tlu_ctl.scala 2292:34] - node _T_1937 = bits(_T_1936, 0, 0) @[dec_tlu_ctl.scala 2292:59] - node _T_1938 = eq(pmu_i0_itype_qual, UInt<4>("h07")) @[dec_tlu_ctl.scala 2292:89] - node _T_1939 = eq(mhpme_vec[3], UInt<5>("h013")) @[dec_tlu_ctl.scala 2293:34] - node _T_1940 = bits(_T_1939, 0, 0) @[dec_tlu_ctl.scala 2293:59] - node _T_1941 = eq(pmu_i0_itype_qual, UInt<4>("h08")) @[dec_tlu_ctl.scala 2293:89] - node _T_1942 = eq(mhpme_vec[3], UInt<5>("h014")) @[dec_tlu_ctl.scala 2294:34] - node _T_1943 = bits(_T_1942, 0, 0) @[dec_tlu_ctl.scala 2294:59] - node _T_1944 = eq(pmu_i0_itype_qual, UInt<4>("h09")) @[dec_tlu_ctl.scala 2294:89] - node _T_1945 = eq(mhpme_vec[3], UInt<5>("h015")) @[dec_tlu_ctl.scala 2295:34] - node _T_1946 = bits(_T_1945, 0, 0) @[dec_tlu_ctl.scala 2295:59] - node _T_1947 = eq(pmu_i0_itype_qual, UInt<4>("h0a")) @[dec_tlu_ctl.scala 2295:89] - node _T_1948 = eq(mhpme_vec[3], UInt<5>("h016")) @[dec_tlu_ctl.scala 2296:34] - node _T_1949 = bits(_T_1948, 0, 0) @[dec_tlu_ctl.scala 2296:59] - node _T_1950 = eq(pmu_i0_itype_qual, UInt<4>("h0b")) @[dec_tlu_ctl.scala 2296:89] - node _T_1951 = eq(mhpme_vec[3], UInt<5>("h017")) @[dec_tlu_ctl.scala 2297:34] - node _T_1952 = bits(_T_1951, 0, 0) @[dec_tlu_ctl.scala 2297:59] - node _T_1953 = eq(pmu_i0_itype_qual, UInt<4>("h0c")) @[dec_tlu_ctl.scala 2297:89] - node _T_1954 = eq(mhpme_vec[3], UInt<5>("h018")) @[dec_tlu_ctl.scala 2298:34] - node _T_1955 = bits(_T_1954, 0, 0) @[dec_tlu_ctl.scala 2298:59] - node _T_1956 = eq(pmu_i0_itype_qual, UInt<4>("h0d")) @[dec_tlu_ctl.scala 2298:89] - node _T_1957 = eq(pmu_i0_itype_qual, UInt<4>("h0e")) @[dec_tlu_ctl.scala 2298:122] - node _T_1958 = or(_T_1956, _T_1957) @[dec_tlu_ctl.scala 2298:101] - node _T_1959 = eq(mhpme_vec[3], UInt<5>("h019")) @[dec_tlu_ctl.scala 2299:34] - node _T_1960 = bits(_T_1959, 0, 0) @[dec_tlu_ctl.scala 2299:62] - node _T_1961 = and(io.exu_pmu_i0_br_misp, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2299:95] - node _T_1962 = eq(mhpme_vec[3], UInt<5>("h01a")) @[dec_tlu_ctl.scala 2300:34] - node _T_1963 = bits(_T_1962, 0, 0) @[dec_tlu_ctl.scala 2300:62] - node _T_1964 = and(io.exu_pmu_i0_br_ataken, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2300:97] - node _T_1965 = eq(mhpme_vec[3], UInt<5>("h01b")) @[dec_tlu_ctl.scala 2301:34] - node _T_1966 = bits(_T_1965, 0, 0) @[dec_tlu_ctl.scala 2301:62] - node _T_1967 = and(io.dec_tlu_packet_r.pmu_i0_br_unpred, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2301:110] - node _T_1968 = eq(mhpme_vec[3], UInt<5>("h01c")) @[dec_tlu_ctl.scala 2302:34] - node _T_1969 = bits(_T_1968, 0, 0) @[dec_tlu_ctl.scala 2302:62] - node _T_1970 = eq(mhpme_vec[3], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2303:34] - node _T_1971 = bits(_T_1970, 0, 0) @[dec_tlu_ctl.scala 2303:62] - node _T_1972 = eq(mhpme_vec[3], UInt<5>("h01f")) @[dec_tlu_ctl.scala 2304:34] - node _T_1973 = bits(_T_1972, 0, 0) @[dec_tlu_ctl.scala 2304:62] - node _T_1974 = eq(mhpme_vec[3], UInt<6>("h020")) @[dec_tlu_ctl.scala 2305:34] - node _T_1975 = bits(_T_1974, 0, 0) @[dec_tlu_ctl.scala 2305:62] - node _T_1976 = eq(mhpme_vec[3], UInt<6>("h022")) @[dec_tlu_ctl.scala 2306:34] - node _T_1977 = bits(_T_1976, 0, 0) @[dec_tlu_ctl.scala 2306:62] - node _T_1978 = eq(mhpme_vec[3], UInt<6>("h023")) @[dec_tlu_ctl.scala 2307:34] - node _T_1979 = bits(_T_1978, 0, 0) @[dec_tlu_ctl.scala 2307:62] - node _T_1980 = eq(mhpme_vec[3], UInt<6>("h024")) @[dec_tlu_ctl.scala 2308:34] - node _T_1981 = bits(_T_1980, 0, 0) @[dec_tlu_ctl.scala 2308:62] - node _T_1982 = eq(mhpme_vec[3], UInt<6>("h025")) @[dec_tlu_ctl.scala 2309:34] - node _T_1983 = bits(_T_1982, 0, 0) @[dec_tlu_ctl.scala 2309:62] - node _T_1984 = or(io.i0_exception_valid_r, io.i0_trigger_hit_r) @[dec_tlu_ctl.scala 2309:98] - node _T_1985 = or(_T_1984, io.lsu_exc_valid_r) @[dec_tlu_ctl.scala 2309:120] - node _T_1986 = eq(mhpme_vec[3], UInt<6>("h026")) @[dec_tlu_ctl.scala 2310:34] - node _T_1987 = bits(_T_1986, 0, 0) @[dec_tlu_ctl.scala 2310:62] - node _T_1988 = or(io.take_timer_int, io.take_int_timer0_int) @[dec_tlu_ctl.scala 2310:92] - node _T_1989 = or(_T_1988, io.take_int_timer1_int) @[dec_tlu_ctl.scala 2310:117] - node _T_1990 = eq(mhpme_vec[3], UInt<6>("h027")) @[dec_tlu_ctl.scala 2311:34] - node _T_1991 = bits(_T_1990, 0, 0) @[dec_tlu_ctl.scala 2311:62] - node _T_1992 = eq(mhpme_vec[3], UInt<6>("h028")) @[dec_tlu_ctl.scala 2312:34] - node _T_1993 = bits(_T_1992, 0, 0) @[dec_tlu_ctl.scala 2312:62] - node _T_1994 = eq(mhpme_vec[3], UInt<6>("h029")) @[dec_tlu_ctl.scala 2313:34] - node _T_1995 = bits(_T_1994, 0, 0) @[dec_tlu_ctl.scala 2313:62] - node _T_1996 = or(io.dec_tlu_br0_error_r, io.dec_tlu_br0_start_error_r) @[dec_tlu_ctl.scala 2313:97] - node _T_1997 = and(_T_1996, io.rfpc_i0_r) @[dec_tlu_ctl.scala 2313:129] - node _T_1998 = eq(mhpme_vec[3], UInt<6>("h02a")) @[dec_tlu_ctl.scala 2314:34] - node _T_1999 = bits(_T_1998, 0, 0) @[dec_tlu_ctl.scala 2314:62] - node _T_2000 = eq(mhpme_vec[3], UInt<6>("h02b")) @[dec_tlu_ctl.scala 2315:34] - node _T_2001 = bits(_T_2000, 0, 0) @[dec_tlu_ctl.scala 2315:62] - node _T_2002 = eq(mhpme_vec[3], UInt<6>("h02c")) @[dec_tlu_ctl.scala 2316:34] - node _T_2003 = bits(_T_2002, 0, 0) @[dec_tlu_ctl.scala 2316:62] - node _T_2004 = eq(mhpme_vec[3], UInt<6>("h02d")) @[dec_tlu_ctl.scala 2317:34] - node _T_2005 = bits(_T_2004, 0, 0) @[dec_tlu_ctl.scala 2317:62] - node _T_2006 = eq(mhpme_vec[3], UInt<6>("h02e")) @[dec_tlu_ctl.scala 2318:34] - node _T_2007 = bits(_T_2006, 0, 0) @[dec_tlu_ctl.scala 2318:62] - node _T_2008 = eq(mhpme_vec[3], UInt<6>("h02f")) @[dec_tlu_ctl.scala 2319:34] - node _T_2009 = bits(_T_2008, 0, 0) @[dec_tlu_ctl.scala 2319:62] - node _T_2010 = eq(mhpme_vec[3], UInt<6>("h030")) @[dec_tlu_ctl.scala 2320:34] - node _T_2011 = bits(_T_2010, 0, 0) @[dec_tlu_ctl.scala 2320:62] - node _T_2012 = eq(mhpme_vec[3], UInt<6>("h031")) @[dec_tlu_ctl.scala 2321:34] - node _T_2013 = bits(_T_2012, 0, 0) @[dec_tlu_ctl.scala 2321:62] - node _T_2014 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2321:84] - node _T_2015 = bits(_T_2014, 0, 0) @[dec_tlu_ctl.scala 2321:84] - node _T_2016 = not(_T_2015) @[dec_tlu_ctl.scala 2321:73] - node _T_2017 = eq(mhpme_vec[3], UInt<6>("h032")) @[dec_tlu_ctl.scala 2322:34] - node _T_2018 = bits(_T_2017, 0, 0) @[dec_tlu_ctl.scala 2322:62] - node _T_2019 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2322:84] - node _T_2020 = bits(_T_2019, 0, 0) @[dec_tlu_ctl.scala 2322:84] - node _T_2021 = not(_T_2020) @[dec_tlu_ctl.scala 2322:73] - node _T_2022 = bits(io.mip, 5, 0) @[dec_tlu_ctl.scala 2322:107] - node _T_2023 = bits(mie, 5, 0) @[dec_tlu_ctl.scala 2322:118] - node _T_2024 = and(_T_2022, _T_2023) @[dec_tlu_ctl.scala 2322:113] - node _T_2025 = orr(_T_2024) @[dec_tlu_ctl.scala 2322:125] - node _T_2026 = and(_T_2021, _T_2025) @[dec_tlu_ctl.scala 2322:98] - node _T_2027 = eq(mhpme_vec[3], UInt<6>("h036")) @[dec_tlu_ctl.scala 2323:34] - node _T_2028 = bits(_T_2027, 0, 0) @[dec_tlu_ctl.scala 2323:62] - node _T_2029 = eq(pmu_i0_itype_qual, UInt<4>("h0f")) @[dec_tlu_ctl.scala 2323:91] - node _T_2030 = eq(mhpme_vec[3], UInt<6>("h037")) @[dec_tlu_ctl.scala 2324:34] - node _T_2031 = bits(_T_2030, 0, 0) @[dec_tlu_ctl.scala 2324:62] - node _T_2032 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_load_external_r) @[dec_tlu_ctl.scala 2324:94] - node _T_2033 = eq(mhpme_vec[3], UInt<6>("h038")) @[dec_tlu_ctl.scala 2325:34] - node _T_2034 = bits(_T_2033, 0, 0) @[dec_tlu_ctl.scala 2325:62] - node _T_2035 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_store_external_r) @[dec_tlu_ctl.scala 2325:94] - node _T_2036 = eq(mhpme_vec[3], UInt<10>("h0200")) @[dec_tlu_ctl.scala 2327:34] - node _T_2037 = bits(_T_2036, 0, 0) @[dec_tlu_ctl.scala 2327:62] - node _T_2038 = eq(mhpme_vec[3], UInt<10>("h0201")) @[dec_tlu_ctl.scala 2328:34] - node _T_2039 = bits(_T_2038, 0, 0) @[dec_tlu_ctl.scala 2328:62] - node _T_2040 = eq(mhpme_vec[3], UInt<10>("h0202")) @[dec_tlu_ctl.scala 2329:34] - node _T_2041 = bits(_T_2040, 0, 0) @[dec_tlu_ctl.scala 2329:62] - node _T_2042 = eq(mhpme_vec[3], UInt<10>("h0203")) @[dec_tlu_ctl.scala 2330:34] - node _T_2043 = bits(_T_2042, 0, 0) @[dec_tlu_ctl.scala 2330:62] - node _T_2044 = eq(mhpme_vec[3], UInt<10>("h0204")) @[dec_tlu_ctl.scala 2331:34] - node _T_2045 = bits(_T_2044, 0, 0) @[dec_tlu_ctl.scala 2331:62] - node _T_2046 = mux(_T_1880, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2047 = mux(_T_1882, io.ifu_pmu_ic_hit, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2048 = mux(_T_1884, io.ifu_pmu_ic_miss, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2049 = mux(_T_1886, _T_1888, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2050 = mux(_T_1890, _T_1894, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2051 = mux(_T_1896, _T_1899, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2052 = mux(_T_1901, io.ifu_pmu_instr_aligned, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2053 = mux(_T_1903, io.dec_pmu_instr_decoded, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2054 = mux(_T_1905, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2055 = mux(_T_1907, _T_1908, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2056 = mux(_T_1910, _T_1911, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2057 = mux(_T_1913, _T_1914, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2058 = mux(_T_1916, _T_1917, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2059 = mux(_T_1919, _T_1921, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2060 = mux(_T_1923, _T_1926, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2061 = mux(_T_1928, _T_1929, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2062 = mux(_T_1931, _T_1932, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2063 = mux(_T_1934, _T_1935, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2064 = mux(_T_1937, _T_1938, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2065 = mux(_T_1940, _T_1941, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2066 = mux(_T_1943, _T_1944, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2067 = mux(_T_1946, _T_1947, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2068 = mux(_T_1949, _T_1950, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2069 = mux(_T_1952, _T_1953, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2070 = mux(_T_1955, _T_1958, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2071 = mux(_T_1960, _T_1961, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2072 = mux(_T_1963, _T_1964, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2073 = mux(_T_1966, _T_1967, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2074 = mux(_T_1969, io.ifu_pmu_fetch_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2075 = mux(_T_1971, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2076 = mux(_T_1973, io.dec_pmu_postsync_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2077 = mux(_T_1975, io.dec_pmu_presync_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2078 = mux(_T_1977, io.lsu_store_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2079 = mux(_T_1979, io.dma_dccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2080 = mux(_T_1981, io.dma_iccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2081 = mux(_T_1983, _T_1985, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2082 = mux(_T_1987, _T_1989, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2083 = mux(_T_1991, io.take_ext_int, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2084 = mux(_T_1993, io.tlu_flush_lower_r, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2085 = mux(_T_1995, _T_1997, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2086 = mux(_T_1999, io.ifu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2087 = mux(_T_2001, io.lsu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2088 = mux(_T_2003, io.lsu_pmu_bus_misaligned, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2089 = mux(_T_2005, io.ifu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2090 = mux(_T_2007, io.lsu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2091 = mux(_T_2009, io.ifu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2092 = mux(_T_2011, io.lsu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2093 = mux(_T_2013, _T_2016, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2094 = mux(_T_2018, _T_2026, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2095 = mux(_T_2028, _T_2029, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2096 = mux(_T_2031, _T_2032, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2097 = mux(_T_2034, _T_2035, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2098 = mux(_T_2037, io.dec_tlu_pmu_fw_halted, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2099 = mux(_T_2039, io.dma_pmu_any_read, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2100 = mux(_T_2041, io.dma_pmu_any_write, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2101 = mux(_T_2043, io.dma_pmu_dccm_read, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2102 = mux(_T_2045, io.dma_pmu_dccm_write, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2103 = or(_T_2046, _T_2047) @[Mux.scala 27:72] + wire _T_1864 : UInt<1> @[Mux.scala 27:72] + _T_1864 <= _T_1863 @[Mux.scala 27:72] + node _T_1865 = and(_T_1583, _T_1864) @[dec_tlu_ctl.scala 2255:44] + mhpmc_inc_r[2] <= _T_1865 @[dec_tlu_ctl.scala 2255:19] + node _T_1866 = bits(mcountinhibit, 6, 6) @[dec_tlu_ctl.scala 2255:38] + node _T_1867 = not(_T_1866) @[dec_tlu_ctl.scala 2255:24] + node _T_1868 = eq(mhpme_vec[3], UInt<1>("h01")) @[dec_tlu_ctl.scala 2256:34] + node _T_1869 = bits(_T_1868, 0, 0) @[dec_tlu_ctl.scala 2256:62] + node _T_1870 = eq(mhpme_vec[3], UInt<2>("h02")) @[dec_tlu_ctl.scala 2257:34] + node _T_1871 = bits(_T_1870, 0, 0) @[dec_tlu_ctl.scala 2257:62] + node _T_1872 = eq(mhpme_vec[3], UInt<2>("h03")) @[dec_tlu_ctl.scala 2258:34] + node _T_1873 = bits(_T_1872, 0, 0) @[dec_tlu_ctl.scala 2258:62] + node _T_1874 = eq(mhpme_vec[3], UInt<3>("h04")) @[dec_tlu_ctl.scala 2259:34] + node _T_1875 = bits(_T_1874, 0, 0) @[dec_tlu_ctl.scala 2259:62] + node _T_1876 = not(io.illegal_r) @[dec_tlu_ctl.scala 2259:96] + node _T_1877 = and(io.tlu_i0_commit_cmt, _T_1876) @[dec_tlu_ctl.scala 2259:94] + node _T_1878 = eq(mhpme_vec[3], UInt<3>("h05")) @[dec_tlu_ctl.scala 2260:34] + node _T_1879 = bits(_T_1878, 0, 0) @[dec_tlu_ctl.scala 2260:62] + node _T_1880 = not(io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2260:96] + node _T_1881 = and(io.tlu_i0_commit_cmt, _T_1880) @[dec_tlu_ctl.scala 2260:94] + node _T_1882 = not(io.illegal_r) @[dec_tlu_ctl.scala 2260:117] + node _T_1883 = and(_T_1881, _T_1882) @[dec_tlu_ctl.scala 2260:115] + node _T_1884 = eq(mhpme_vec[3], UInt<3>("h06")) @[dec_tlu_ctl.scala 2261:34] + node _T_1885 = bits(_T_1884, 0, 0) @[dec_tlu_ctl.scala 2261:62] + node _T_1886 = and(io.tlu_i0_commit_cmt, io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2261:94] + node _T_1887 = not(io.illegal_r) @[dec_tlu_ctl.scala 2261:117] + node _T_1888 = and(_T_1886, _T_1887) @[dec_tlu_ctl.scala 2261:115] + node _T_1889 = eq(mhpme_vec[3], UInt<3>("h07")) @[dec_tlu_ctl.scala 2262:34] + node _T_1890 = bits(_T_1889, 0, 0) @[dec_tlu_ctl.scala 2262:62] + node _T_1891 = eq(mhpme_vec[3], UInt<4>("h08")) @[dec_tlu_ctl.scala 2263:34] + node _T_1892 = bits(_T_1891, 0, 0) @[dec_tlu_ctl.scala 2263:62] + node _T_1893 = eq(mhpme_vec[3], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2264:34] + node _T_1894 = bits(_T_1893, 0, 0) @[dec_tlu_ctl.scala 2264:62] + node _T_1895 = eq(mhpme_vec[3], UInt<4>("h09")) @[dec_tlu_ctl.scala 2265:34] + node _T_1896 = bits(_T_1895, 0, 0) @[dec_tlu_ctl.scala 2265:62] + node _T_1897 = eq(pmu_i0_itype_qual, UInt<4>("h01")) @[dec_tlu_ctl.scala 2265:91] + node _T_1898 = eq(mhpme_vec[3], UInt<4>("h0a")) @[dec_tlu_ctl.scala 2266:34] + node _T_1899 = bits(_T_1898, 0, 0) @[dec_tlu_ctl.scala 2266:62] + node _T_1900 = and(io.dec_tlu_packet_r.pmu_divide, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2266:105] + node _T_1901 = eq(mhpme_vec[3], UInt<4>("h0b")) @[dec_tlu_ctl.scala 2267:34] + node _T_1902 = bits(_T_1901, 0, 0) @[dec_tlu_ctl.scala 2267:62] + node _T_1903 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2267:91] + node _T_1904 = eq(mhpme_vec[3], UInt<4>("h0c")) @[dec_tlu_ctl.scala 2268:34] + node _T_1905 = bits(_T_1904, 0, 0) @[dec_tlu_ctl.scala 2268:62] + node _T_1906 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2268:91] + node _T_1907 = eq(mhpme_vec[3], UInt<4>("h0d")) @[dec_tlu_ctl.scala 2269:34] + node _T_1908 = bits(_T_1907, 0, 0) @[dec_tlu_ctl.scala 2269:62] + node _T_1909 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2269:91] + node _T_1910 = and(_T_1909, io.dec_tlu_packet_r.pmu_lsu_misaligned) @[dec_tlu_ctl.scala 2269:100] + node _T_1911 = eq(mhpme_vec[3], UInt<4>("h0e")) @[dec_tlu_ctl.scala 2270:34] + node _T_1912 = bits(_T_1911, 0, 0) @[dec_tlu_ctl.scala 2270:62] + node _T_1913 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2270:91] + node _T_1914 = bits(io.dec_tlu_packet_r.pmu_lsu_misaligned, 0, 0) @[dec_tlu_ctl.scala 2270:142] + node _T_1915 = and(_T_1913, _T_1914) @[dec_tlu_ctl.scala 2270:101] + node _T_1916 = eq(mhpme_vec[3], UInt<4>("h0f")) @[dec_tlu_ctl.scala 2271:34] + node _T_1917 = bits(_T_1916, 0, 0) @[dec_tlu_ctl.scala 2271:59] + node _T_1918 = eq(pmu_i0_itype_qual, UInt<4>("h04")) @[dec_tlu_ctl.scala 2271:89] + node _T_1919 = eq(mhpme_vec[3], UInt<5>("h010")) @[dec_tlu_ctl.scala 2272:34] + node _T_1920 = bits(_T_1919, 0, 0) @[dec_tlu_ctl.scala 2272:59] + node _T_1921 = eq(pmu_i0_itype_qual, UInt<4>("h05")) @[dec_tlu_ctl.scala 2272:89] + node _T_1922 = eq(mhpme_vec[3], UInt<5>("h012")) @[dec_tlu_ctl.scala 2273:34] + node _T_1923 = bits(_T_1922, 0, 0) @[dec_tlu_ctl.scala 2273:59] + node _T_1924 = eq(pmu_i0_itype_qual, UInt<4>("h06")) @[dec_tlu_ctl.scala 2273:89] + node _T_1925 = eq(mhpme_vec[3], UInt<5>("h011")) @[dec_tlu_ctl.scala 2274:34] + node _T_1926 = bits(_T_1925, 0, 0) @[dec_tlu_ctl.scala 2274:59] + node _T_1927 = eq(pmu_i0_itype_qual, UInt<4>("h07")) @[dec_tlu_ctl.scala 2274:89] + node _T_1928 = eq(mhpme_vec[3], UInt<5>("h013")) @[dec_tlu_ctl.scala 2275:34] + node _T_1929 = bits(_T_1928, 0, 0) @[dec_tlu_ctl.scala 2275:59] + node _T_1930 = eq(pmu_i0_itype_qual, UInt<4>("h08")) @[dec_tlu_ctl.scala 2275:89] + node _T_1931 = eq(mhpme_vec[3], UInt<5>("h014")) @[dec_tlu_ctl.scala 2276:34] + node _T_1932 = bits(_T_1931, 0, 0) @[dec_tlu_ctl.scala 2276:59] + node _T_1933 = eq(pmu_i0_itype_qual, UInt<4>("h09")) @[dec_tlu_ctl.scala 2276:89] + node _T_1934 = eq(mhpme_vec[3], UInt<5>("h015")) @[dec_tlu_ctl.scala 2277:34] + node _T_1935 = bits(_T_1934, 0, 0) @[dec_tlu_ctl.scala 2277:59] + node _T_1936 = eq(pmu_i0_itype_qual, UInt<4>("h0a")) @[dec_tlu_ctl.scala 2277:89] + node _T_1937 = eq(mhpme_vec[3], UInt<5>("h016")) @[dec_tlu_ctl.scala 2278:34] + node _T_1938 = bits(_T_1937, 0, 0) @[dec_tlu_ctl.scala 2278:59] + node _T_1939 = eq(pmu_i0_itype_qual, UInt<4>("h0b")) @[dec_tlu_ctl.scala 2278:89] + node _T_1940 = eq(mhpme_vec[3], UInt<5>("h017")) @[dec_tlu_ctl.scala 2279:34] + node _T_1941 = bits(_T_1940, 0, 0) @[dec_tlu_ctl.scala 2279:59] + node _T_1942 = eq(pmu_i0_itype_qual, UInt<4>("h0c")) @[dec_tlu_ctl.scala 2279:89] + node _T_1943 = eq(mhpme_vec[3], UInt<5>("h018")) @[dec_tlu_ctl.scala 2280:34] + node _T_1944 = bits(_T_1943, 0, 0) @[dec_tlu_ctl.scala 2280:59] + node _T_1945 = eq(pmu_i0_itype_qual, UInt<4>("h0d")) @[dec_tlu_ctl.scala 2280:89] + node _T_1946 = eq(pmu_i0_itype_qual, UInt<4>("h0e")) @[dec_tlu_ctl.scala 2280:122] + node _T_1947 = or(_T_1945, _T_1946) @[dec_tlu_ctl.scala 2280:101] + node _T_1948 = eq(mhpme_vec[3], UInt<5>("h019")) @[dec_tlu_ctl.scala 2281:34] + node _T_1949 = bits(_T_1948, 0, 0) @[dec_tlu_ctl.scala 2281:62] + node _T_1950 = and(io.exu_pmu_i0_br_misp, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2281:95] + node _T_1951 = eq(mhpme_vec[3], UInt<5>("h01a")) @[dec_tlu_ctl.scala 2282:34] + node _T_1952 = bits(_T_1951, 0, 0) @[dec_tlu_ctl.scala 2282:62] + node _T_1953 = and(io.exu_pmu_i0_br_ataken, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2282:97] + node _T_1954 = eq(mhpme_vec[3], UInt<5>("h01b")) @[dec_tlu_ctl.scala 2283:34] + node _T_1955 = bits(_T_1954, 0, 0) @[dec_tlu_ctl.scala 2283:62] + node _T_1956 = and(io.dec_tlu_packet_r.pmu_i0_br_unpred, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2283:110] + node _T_1957 = eq(mhpme_vec[3], UInt<5>("h01c")) @[dec_tlu_ctl.scala 2284:34] + node _T_1958 = bits(_T_1957, 0, 0) @[dec_tlu_ctl.scala 2284:62] + node _T_1959 = eq(mhpme_vec[3], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2285:34] + node _T_1960 = bits(_T_1959, 0, 0) @[dec_tlu_ctl.scala 2285:62] + node _T_1961 = eq(mhpme_vec[3], UInt<5>("h01f")) @[dec_tlu_ctl.scala 2286:34] + node _T_1962 = bits(_T_1961, 0, 0) @[dec_tlu_ctl.scala 2286:62] + node _T_1963 = eq(mhpme_vec[3], UInt<6>("h020")) @[dec_tlu_ctl.scala 2287:34] + node _T_1964 = bits(_T_1963, 0, 0) @[dec_tlu_ctl.scala 2287:62] + node _T_1965 = eq(mhpme_vec[3], UInt<6>("h022")) @[dec_tlu_ctl.scala 2288:34] + node _T_1966 = bits(_T_1965, 0, 0) @[dec_tlu_ctl.scala 2288:62] + node _T_1967 = eq(mhpme_vec[3], UInt<6>("h023")) @[dec_tlu_ctl.scala 2289:34] + node _T_1968 = bits(_T_1967, 0, 0) @[dec_tlu_ctl.scala 2289:62] + node _T_1969 = eq(mhpme_vec[3], UInt<6>("h024")) @[dec_tlu_ctl.scala 2290:34] + node _T_1970 = bits(_T_1969, 0, 0) @[dec_tlu_ctl.scala 2290:62] + node _T_1971 = eq(mhpme_vec[3], UInt<6>("h025")) @[dec_tlu_ctl.scala 2291:34] + node _T_1972 = bits(_T_1971, 0, 0) @[dec_tlu_ctl.scala 2291:62] + node _T_1973 = or(io.i0_exception_valid_r, io.i0_trigger_hit_r) @[dec_tlu_ctl.scala 2291:98] + node _T_1974 = or(_T_1973, io.lsu_exc_valid_r) @[dec_tlu_ctl.scala 2291:120] + node _T_1975 = eq(mhpme_vec[3], UInt<6>("h026")) @[dec_tlu_ctl.scala 2292:34] + node _T_1976 = bits(_T_1975, 0, 0) @[dec_tlu_ctl.scala 2292:62] + node _T_1977 = or(io.take_timer_int, io.take_int_timer0_int) @[dec_tlu_ctl.scala 2292:92] + node _T_1978 = or(_T_1977, io.take_int_timer1_int) @[dec_tlu_ctl.scala 2292:117] + node _T_1979 = eq(mhpme_vec[3], UInt<6>("h027")) @[dec_tlu_ctl.scala 2293:34] + node _T_1980 = bits(_T_1979, 0, 0) @[dec_tlu_ctl.scala 2293:62] + node _T_1981 = eq(mhpme_vec[3], UInt<6>("h028")) @[dec_tlu_ctl.scala 2294:34] + node _T_1982 = bits(_T_1981, 0, 0) @[dec_tlu_ctl.scala 2294:62] + node _T_1983 = eq(mhpme_vec[3], UInt<6>("h029")) @[dec_tlu_ctl.scala 2295:34] + node _T_1984 = bits(_T_1983, 0, 0) @[dec_tlu_ctl.scala 2295:62] + node _T_1985 = or(io.dec_tlu_br0_error_r, io.dec_tlu_br0_start_error_r) @[dec_tlu_ctl.scala 2295:97] + node _T_1986 = and(_T_1985, io.rfpc_i0_r) @[dec_tlu_ctl.scala 2295:129] + node _T_1987 = eq(mhpme_vec[3], UInt<6>("h02a")) @[dec_tlu_ctl.scala 2296:34] + node _T_1988 = bits(_T_1987, 0, 0) @[dec_tlu_ctl.scala 2296:62] + node _T_1989 = eq(mhpme_vec[3], UInt<6>("h02b")) @[dec_tlu_ctl.scala 2297:34] + node _T_1990 = bits(_T_1989, 0, 0) @[dec_tlu_ctl.scala 2297:62] + node _T_1991 = eq(mhpme_vec[3], UInt<6>("h02c")) @[dec_tlu_ctl.scala 2298:34] + node _T_1992 = bits(_T_1991, 0, 0) @[dec_tlu_ctl.scala 2298:62] + node _T_1993 = eq(mhpme_vec[3], UInt<6>("h02d")) @[dec_tlu_ctl.scala 2299:34] + node _T_1994 = bits(_T_1993, 0, 0) @[dec_tlu_ctl.scala 2299:62] + node _T_1995 = eq(mhpme_vec[3], UInt<6>("h02e")) @[dec_tlu_ctl.scala 2300:34] + node _T_1996 = bits(_T_1995, 0, 0) @[dec_tlu_ctl.scala 2300:62] + node _T_1997 = eq(mhpme_vec[3], UInt<6>("h02f")) @[dec_tlu_ctl.scala 2301:34] + node _T_1998 = bits(_T_1997, 0, 0) @[dec_tlu_ctl.scala 2301:62] + node _T_1999 = eq(mhpme_vec[3], UInt<6>("h030")) @[dec_tlu_ctl.scala 2302:34] + node _T_2000 = bits(_T_1999, 0, 0) @[dec_tlu_ctl.scala 2302:62] + node _T_2001 = eq(mhpme_vec[3], UInt<6>("h031")) @[dec_tlu_ctl.scala 2303:34] + node _T_2002 = bits(_T_2001, 0, 0) @[dec_tlu_ctl.scala 2303:62] + node _T_2003 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2303:84] + node _T_2004 = bits(_T_2003, 0, 0) @[dec_tlu_ctl.scala 2303:84] + node _T_2005 = not(_T_2004) @[dec_tlu_ctl.scala 2303:73] + node _T_2006 = eq(mhpme_vec[3], UInt<6>("h032")) @[dec_tlu_ctl.scala 2304:34] + node _T_2007 = bits(_T_2006, 0, 0) @[dec_tlu_ctl.scala 2304:62] + node _T_2008 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2304:84] + node _T_2009 = bits(_T_2008, 0, 0) @[dec_tlu_ctl.scala 2304:84] + node _T_2010 = not(_T_2009) @[dec_tlu_ctl.scala 2304:73] + node _T_2011 = bits(io.mip, 5, 0) @[dec_tlu_ctl.scala 2304:107] + node _T_2012 = bits(mie, 5, 0) @[dec_tlu_ctl.scala 2304:118] + node _T_2013 = and(_T_2011, _T_2012) @[dec_tlu_ctl.scala 2304:113] + node _T_2014 = orr(_T_2013) @[dec_tlu_ctl.scala 2304:125] + node _T_2015 = and(_T_2010, _T_2014) @[dec_tlu_ctl.scala 2304:98] + node _T_2016 = eq(mhpme_vec[3], UInt<6>("h036")) @[dec_tlu_ctl.scala 2305:34] + node _T_2017 = bits(_T_2016, 0, 0) @[dec_tlu_ctl.scala 2305:62] + node _T_2018 = eq(pmu_i0_itype_qual, UInt<4>("h0f")) @[dec_tlu_ctl.scala 2305:91] + node _T_2019 = eq(mhpme_vec[3], UInt<6>("h037")) @[dec_tlu_ctl.scala 2306:34] + node _T_2020 = bits(_T_2019, 0, 0) @[dec_tlu_ctl.scala 2306:62] + node _T_2021 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_load_external_r) @[dec_tlu_ctl.scala 2306:94] + node _T_2022 = eq(mhpme_vec[3], UInt<6>("h038")) @[dec_tlu_ctl.scala 2307:34] + node _T_2023 = bits(_T_2022, 0, 0) @[dec_tlu_ctl.scala 2307:62] + node _T_2024 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_store_external_r) @[dec_tlu_ctl.scala 2307:94] + node _T_2025 = eq(mhpme_vec[3], UInt<10>("h0200")) @[dec_tlu_ctl.scala 2309:34] + node _T_2026 = bits(_T_2025, 0, 0) @[dec_tlu_ctl.scala 2309:62] + node _T_2027 = eq(mhpme_vec[3], UInt<10>("h0201")) @[dec_tlu_ctl.scala 2310:34] + node _T_2028 = bits(_T_2027, 0, 0) @[dec_tlu_ctl.scala 2310:62] + node _T_2029 = eq(mhpme_vec[3], UInt<10>("h0202")) @[dec_tlu_ctl.scala 2311:34] + node _T_2030 = bits(_T_2029, 0, 0) @[dec_tlu_ctl.scala 2311:62] + node _T_2031 = eq(mhpme_vec[3], UInt<10>("h0203")) @[dec_tlu_ctl.scala 2312:34] + node _T_2032 = bits(_T_2031, 0, 0) @[dec_tlu_ctl.scala 2312:62] + node _T_2033 = eq(mhpme_vec[3], UInt<10>("h0204")) @[dec_tlu_ctl.scala 2313:34] + node _T_2034 = bits(_T_2033, 0, 0) @[dec_tlu_ctl.scala 2313:62] + node _T_2035 = mux(_T_1869, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2036 = mux(_T_1871, io.ifu_pmu_ic_hit, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2037 = mux(_T_1873, io.ifu_pmu_ic_miss, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2038 = mux(_T_1875, _T_1877, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2039 = mux(_T_1879, _T_1883, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2040 = mux(_T_1885, _T_1888, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2041 = mux(_T_1890, io.ifu_pmu_instr_aligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2042 = mux(_T_1892, io.dec_pmu_instr_decoded, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2043 = mux(_T_1894, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2044 = mux(_T_1896, _T_1897, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2045 = mux(_T_1899, _T_1900, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2046 = mux(_T_1902, _T_1903, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2047 = mux(_T_1905, _T_1906, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2048 = mux(_T_1908, _T_1910, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2049 = mux(_T_1912, _T_1915, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2050 = mux(_T_1917, _T_1918, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2051 = mux(_T_1920, _T_1921, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2052 = mux(_T_1923, _T_1924, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2053 = mux(_T_1926, _T_1927, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2054 = mux(_T_1929, _T_1930, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2055 = mux(_T_1932, _T_1933, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2056 = mux(_T_1935, _T_1936, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2057 = mux(_T_1938, _T_1939, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2058 = mux(_T_1941, _T_1942, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2059 = mux(_T_1944, _T_1947, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2060 = mux(_T_1949, _T_1950, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2061 = mux(_T_1952, _T_1953, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2062 = mux(_T_1955, _T_1956, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2063 = mux(_T_1958, io.ifu_pmu_fetch_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2064 = mux(_T_1960, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2065 = mux(_T_1962, io.dec_pmu_postsync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2066 = mux(_T_1964, io.dec_pmu_presync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2067 = mux(_T_1966, io.lsu_store_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2068 = mux(_T_1968, io.dma_dccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2069 = mux(_T_1970, io.dma_iccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2070 = mux(_T_1972, _T_1974, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2071 = mux(_T_1976, _T_1978, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2072 = mux(_T_1980, io.take_ext_int, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2073 = mux(_T_1982, io.tlu_flush_lower_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2074 = mux(_T_1984, _T_1986, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2075 = mux(_T_1988, io.ifu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2076 = mux(_T_1990, io.lsu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2077 = mux(_T_1992, io.lsu_pmu_bus_misaligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2078 = mux(_T_1994, io.ifu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2079 = mux(_T_1996, io.lsu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2080 = mux(_T_1998, io.ifu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2081 = mux(_T_2000, io.lsu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2082 = mux(_T_2002, _T_2005, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2083 = mux(_T_2007, _T_2015, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2084 = mux(_T_2017, _T_2018, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2085 = mux(_T_2020, _T_2021, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2086 = mux(_T_2023, _T_2024, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2087 = mux(_T_2026, io.dec_tlu_pmu_fw_halted, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2088 = mux(_T_2028, io.dma_pmu_any_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2089 = mux(_T_2030, io.dma_pmu_any_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2090 = mux(_T_2032, io.dma_pmu_dccm_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2091 = mux(_T_2034, io.dma_pmu_dccm_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2092 = or(_T_2035, _T_2036) @[Mux.scala 27:72] + node _T_2093 = or(_T_2092, _T_2037) @[Mux.scala 27:72] + node _T_2094 = or(_T_2093, _T_2038) @[Mux.scala 27:72] + node _T_2095 = or(_T_2094, _T_2039) @[Mux.scala 27:72] + node _T_2096 = or(_T_2095, _T_2040) @[Mux.scala 27:72] + node _T_2097 = or(_T_2096, _T_2041) @[Mux.scala 27:72] + node _T_2098 = or(_T_2097, _T_2042) @[Mux.scala 27:72] + node _T_2099 = or(_T_2098, _T_2043) @[Mux.scala 27:72] + node _T_2100 = or(_T_2099, _T_2044) @[Mux.scala 27:72] + node _T_2101 = or(_T_2100, _T_2045) @[Mux.scala 27:72] + node _T_2102 = or(_T_2101, _T_2046) @[Mux.scala 27:72] + node _T_2103 = or(_T_2102, _T_2047) @[Mux.scala 27:72] node _T_2104 = or(_T_2103, _T_2048) @[Mux.scala 27:72] node _T_2105 = or(_T_2104, _T_2049) @[Mux.scala 27:72] node _T_2106 = or(_T_2105, _T_2050) @[Mux.scala 27:72] @@ -75537,586 +75543,586 @@ circuit quasar_wrapper : node _T_2145 = or(_T_2144, _T_2089) @[Mux.scala 27:72] node _T_2146 = or(_T_2145, _T_2090) @[Mux.scala 27:72] node _T_2147 = or(_T_2146, _T_2091) @[Mux.scala 27:72] - node _T_2148 = or(_T_2147, _T_2092) @[Mux.scala 27:72] - node _T_2149 = or(_T_2148, _T_2093) @[Mux.scala 27:72] - node _T_2150 = or(_T_2149, _T_2094) @[Mux.scala 27:72] - node _T_2151 = or(_T_2150, _T_2095) @[Mux.scala 27:72] - node _T_2152 = or(_T_2151, _T_2096) @[Mux.scala 27:72] - node _T_2153 = or(_T_2152, _T_2097) @[Mux.scala 27:72] - node _T_2154 = or(_T_2153, _T_2098) @[Mux.scala 27:72] - node _T_2155 = or(_T_2154, _T_2099) @[Mux.scala 27:72] - node _T_2156 = or(_T_2155, _T_2100) @[Mux.scala 27:72] - node _T_2157 = or(_T_2156, _T_2101) @[Mux.scala 27:72] - node _T_2158 = or(_T_2157, _T_2102) @[Mux.scala 27:72] - wire _T_2159 : UInt<1> @[Mux.scala 27:72] - _T_2159 <= _T_2158 @[Mux.scala 27:72] - node _T_2160 = and(_T_1878, _T_2159) @[dec_tlu_ctl.scala 2273:44] - mhpmc_inc_r[3] <= _T_2160 @[dec_tlu_ctl.scala 2273:19] - reg _T_2161 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2334:53] - _T_2161 <= mhpmc_inc_r[0] @[dec_tlu_ctl.scala 2334:53] - mhpmc_inc_r_d1[0] <= _T_2161 @[dec_tlu_ctl.scala 2334:20] - reg _T_2162 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2335:53] - _T_2162 <= mhpmc_inc_r[1] @[dec_tlu_ctl.scala 2335:53] - mhpmc_inc_r_d1[1] <= _T_2162 @[dec_tlu_ctl.scala 2335:20] - reg _T_2163 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2336:53] - _T_2163 <= mhpmc_inc_r[2] @[dec_tlu_ctl.scala 2336:53] - mhpmc_inc_r_d1[2] <= _T_2163 @[dec_tlu_ctl.scala 2336:20] - reg _T_2164 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2337:53] - _T_2164 <= mhpmc_inc_r[3] @[dec_tlu_ctl.scala 2337:53] - mhpmc_inc_r_d1[3] <= _T_2164 @[dec_tlu_ctl.scala 2337:20] - reg perfcnt_halted_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2338:56] - perfcnt_halted_d1 <= perfcnt_halted @[dec_tlu_ctl.scala 2338:56] - node _T_2165 = bits(io.dcsr, 10, 10) @[dec_tlu_ctl.scala 2341:53] - node _T_2166 = and(io.dec_tlu_dbg_halted, _T_2165) @[dec_tlu_ctl.scala 2341:44] - node _T_2167 = or(_T_2166, io.dec_tlu_pmu_fw_halted) @[dec_tlu_ctl.scala 2341:67] - perfcnt_halted <= _T_2167 @[dec_tlu_ctl.scala 2341:17] - node _T_2168 = bits(io.dcsr, 10, 10) @[dec_tlu_ctl.scala 2342:70] - node _T_2169 = and(io.dec_tlu_dbg_halted, _T_2168) @[dec_tlu_ctl.scala 2342:61] - node _T_2170 = not(_T_2169) @[dec_tlu_ctl.scala 2342:37] - node _T_2171 = bits(_T_2170, 0, 0) @[Bitwise.scala 72:15] - node _T_2172 = mux(_T_2171, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_2173 = bits(mhpme_vec[3], 9, 9) @[dec_tlu_ctl.scala 2342:104] - node _T_2174 = bits(mhpme_vec[2], 9, 9) @[dec_tlu_ctl.scala 2342:120] - node _T_2175 = bits(mhpme_vec[1], 9, 9) @[dec_tlu_ctl.scala 2342:136] - node _T_2176 = bits(mhpme_vec[0], 9, 9) @[dec_tlu_ctl.scala 2342:152] - node _T_2177 = cat(_T_2175, _T_2176) @[Cat.scala 29:58] - node _T_2178 = cat(_T_2173, _T_2174) @[Cat.scala 29:58] - node _T_2179 = cat(_T_2178, _T_2177) @[Cat.scala 29:58] - node perfcnt_during_sleep = and(_T_2172, _T_2179) @[dec_tlu_ctl.scala 2342:86] - node _T_2180 = bits(perfcnt_during_sleep, 0, 0) @[dec_tlu_ctl.scala 2344:88] - node _T_2181 = not(_T_2180) @[dec_tlu_ctl.scala 2344:67] - node _T_2182 = and(perfcnt_halted_d1, _T_2181) @[dec_tlu_ctl.scala 2344:65] - node _T_2183 = not(_T_2182) @[dec_tlu_ctl.scala 2344:45] - node _T_2184 = and(mhpmc_inc_r_d1[0], _T_2183) @[dec_tlu_ctl.scala 2344:43] - io.dec_tlu_perfcnt0 <= _T_2184 @[dec_tlu_ctl.scala 2344:22] - node _T_2185 = bits(perfcnt_during_sleep, 1, 1) @[dec_tlu_ctl.scala 2345:88] - node _T_2186 = not(_T_2185) @[dec_tlu_ctl.scala 2345:67] - node _T_2187 = and(perfcnt_halted_d1, _T_2186) @[dec_tlu_ctl.scala 2345:65] - node _T_2188 = not(_T_2187) @[dec_tlu_ctl.scala 2345:45] - node _T_2189 = and(mhpmc_inc_r_d1[1], _T_2188) @[dec_tlu_ctl.scala 2345:43] - io.dec_tlu_perfcnt1 <= _T_2189 @[dec_tlu_ctl.scala 2345:22] - node _T_2190 = bits(perfcnt_during_sleep, 2, 2) @[dec_tlu_ctl.scala 2346:88] - node _T_2191 = not(_T_2190) @[dec_tlu_ctl.scala 2346:67] - node _T_2192 = and(perfcnt_halted_d1, _T_2191) @[dec_tlu_ctl.scala 2346:65] - node _T_2193 = not(_T_2192) @[dec_tlu_ctl.scala 2346:45] - node _T_2194 = and(mhpmc_inc_r_d1[2], _T_2193) @[dec_tlu_ctl.scala 2346:43] - io.dec_tlu_perfcnt2 <= _T_2194 @[dec_tlu_ctl.scala 2346:22] - node _T_2195 = bits(perfcnt_during_sleep, 3, 3) @[dec_tlu_ctl.scala 2347:88] - node _T_2196 = not(_T_2195) @[dec_tlu_ctl.scala 2347:67] - node _T_2197 = and(perfcnt_halted_d1, _T_2196) @[dec_tlu_ctl.scala 2347:65] - node _T_2198 = not(_T_2197) @[dec_tlu_ctl.scala 2347:45] - node _T_2199 = and(mhpmc_inc_r_d1[3], _T_2198) @[dec_tlu_ctl.scala 2347:43] - io.dec_tlu_perfcnt3 <= _T_2199 @[dec_tlu_ctl.scala 2347:22] - node _T_2200 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2353:65] - node _T_2201 = eq(_T_2200, UInt<12>("h0b03")) @[dec_tlu_ctl.scala 2353:72] - node mhpmc3_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2201) @[dec_tlu_ctl.scala 2353:43] - node _T_2202 = not(perfcnt_halted) @[dec_tlu_ctl.scala 2354:23] - node _T_2203 = bits(perfcnt_during_sleep, 0, 0) @[dec_tlu_ctl.scala 2354:61] - node _T_2204 = or(_T_2202, _T_2203) @[dec_tlu_ctl.scala 2354:39] - node _T_2205 = orr(mhpmc_inc_r[0]) @[dec_tlu_ctl.scala 2354:86] - node mhpmc3_wr_en1 = and(_T_2204, _T_2205) @[dec_tlu_ctl.scala 2354:66] - node mhpmc3_wr_en = or(mhpmc3_wr_en0, mhpmc3_wr_en1) @[dec_tlu_ctl.scala 2355:36] - node _T_2206 = bits(mhpmc3h, 31, 0) @[dec_tlu_ctl.scala 2358:28] - node _T_2207 = bits(mhpmc3, 31, 0) @[dec_tlu_ctl.scala 2358:41] - node _T_2208 = cat(_T_2206, _T_2207) @[Cat.scala 29:58] - node _T_2209 = cat(UInt<63>("h00"), mhpmc_inc_r[0]) @[Cat.scala 29:58] - node _T_2210 = add(_T_2208, _T_2209) @[dec_tlu_ctl.scala 2358:49] - node _T_2211 = tail(_T_2210, 1) @[dec_tlu_ctl.scala 2358:49] - mhpmc3_incr <= _T_2211 @[dec_tlu_ctl.scala 2358:14] - node _T_2212 = bits(mhpmc3_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2359:36] - node _T_2213 = bits(mhpmc3_incr, 31, 0) @[dec_tlu_ctl.scala 2359:76] - node mhpmc3_ns = mux(_T_2212, io.dec_csr_wrdata_r, _T_2213) @[dec_tlu_ctl.scala 2359:21] - node _T_2214 = bits(mhpmc3_wr_en, 0, 0) @[dec_tlu_ctl.scala 2361:42] + wire _T_2148 : UInt<1> @[Mux.scala 27:72] + _T_2148 <= _T_2147 @[Mux.scala 27:72] + node _T_2149 = and(_T_1867, _T_2148) @[dec_tlu_ctl.scala 2255:44] + mhpmc_inc_r[3] <= _T_2149 @[dec_tlu_ctl.scala 2255:19] + reg _T_2150 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2316:53] + _T_2150 <= mhpmc_inc_r[0] @[dec_tlu_ctl.scala 2316:53] + mhpmc_inc_r_d1[0] <= _T_2150 @[dec_tlu_ctl.scala 2316:20] + reg _T_2151 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2317:53] + _T_2151 <= mhpmc_inc_r[1] @[dec_tlu_ctl.scala 2317:53] + mhpmc_inc_r_d1[1] <= _T_2151 @[dec_tlu_ctl.scala 2317:20] + reg _T_2152 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2318:53] + _T_2152 <= mhpmc_inc_r[2] @[dec_tlu_ctl.scala 2318:53] + mhpmc_inc_r_d1[2] <= _T_2152 @[dec_tlu_ctl.scala 2318:20] + reg _T_2153 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2319:53] + _T_2153 <= mhpmc_inc_r[3] @[dec_tlu_ctl.scala 2319:53] + mhpmc_inc_r_d1[3] <= _T_2153 @[dec_tlu_ctl.scala 2319:20] + reg perfcnt_halted_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2320:56] + perfcnt_halted_d1 <= perfcnt_halted @[dec_tlu_ctl.scala 2320:56] + node _T_2154 = bits(io.dcsr, 10, 10) @[dec_tlu_ctl.scala 2323:53] + node _T_2155 = and(io.dec_tlu_dbg_halted, _T_2154) @[dec_tlu_ctl.scala 2323:44] + node _T_2156 = or(_T_2155, io.dec_tlu_pmu_fw_halted) @[dec_tlu_ctl.scala 2323:67] + perfcnt_halted <= _T_2156 @[dec_tlu_ctl.scala 2323:17] + node _T_2157 = bits(io.dcsr, 10, 10) @[dec_tlu_ctl.scala 2324:70] + node _T_2158 = and(io.dec_tlu_dbg_halted, _T_2157) @[dec_tlu_ctl.scala 2324:61] + node _T_2159 = not(_T_2158) @[dec_tlu_ctl.scala 2324:37] + node _T_2160 = bits(_T_2159, 0, 0) @[Bitwise.scala 72:15] + node _T_2161 = mux(_T_2160, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2162 = bits(mhpme_vec[3], 9, 9) @[dec_tlu_ctl.scala 2324:104] + node _T_2163 = bits(mhpme_vec[2], 9, 9) @[dec_tlu_ctl.scala 2324:120] + node _T_2164 = bits(mhpme_vec[1], 9, 9) @[dec_tlu_ctl.scala 2324:136] + node _T_2165 = bits(mhpme_vec[0], 9, 9) @[dec_tlu_ctl.scala 2324:152] + node _T_2166 = cat(_T_2164, _T_2165) @[Cat.scala 29:58] + node _T_2167 = cat(_T_2162, _T_2163) @[Cat.scala 29:58] + node _T_2168 = cat(_T_2167, _T_2166) @[Cat.scala 29:58] + node perfcnt_during_sleep = and(_T_2161, _T_2168) @[dec_tlu_ctl.scala 2324:86] + node _T_2169 = bits(perfcnt_during_sleep, 0, 0) @[dec_tlu_ctl.scala 2326:88] + node _T_2170 = not(_T_2169) @[dec_tlu_ctl.scala 2326:67] + node _T_2171 = and(perfcnt_halted_d1, _T_2170) @[dec_tlu_ctl.scala 2326:65] + node _T_2172 = not(_T_2171) @[dec_tlu_ctl.scala 2326:45] + node _T_2173 = and(mhpmc_inc_r_d1[0], _T_2172) @[dec_tlu_ctl.scala 2326:43] + io.dec_tlu_perfcnt0 <= _T_2173 @[dec_tlu_ctl.scala 2326:22] + node _T_2174 = bits(perfcnt_during_sleep, 1, 1) @[dec_tlu_ctl.scala 2327:88] + node _T_2175 = not(_T_2174) @[dec_tlu_ctl.scala 2327:67] + node _T_2176 = and(perfcnt_halted_d1, _T_2175) @[dec_tlu_ctl.scala 2327:65] + node _T_2177 = not(_T_2176) @[dec_tlu_ctl.scala 2327:45] + node _T_2178 = and(mhpmc_inc_r_d1[1], _T_2177) @[dec_tlu_ctl.scala 2327:43] + io.dec_tlu_perfcnt1 <= _T_2178 @[dec_tlu_ctl.scala 2327:22] + node _T_2179 = bits(perfcnt_during_sleep, 2, 2) @[dec_tlu_ctl.scala 2328:88] + node _T_2180 = not(_T_2179) @[dec_tlu_ctl.scala 2328:67] + node _T_2181 = and(perfcnt_halted_d1, _T_2180) @[dec_tlu_ctl.scala 2328:65] + node _T_2182 = not(_T_2181) @[dec_tlu_ctl.scala 2328:45] + node _T_2183 = and(mhpmc_inc_r_d1[2], _T_2182) @[dec_tlu_ctl.scala 2328:43] + io.dec_tlu_perfcnt2 <= _T_2183 @[dec_tlu_ctl.scala 2328:22] + node _T_2184 = bits(perfcnt_during_sleep, 3, 3) @[dec_tlu_ctl.scala 2329:88] + node _T_2185 = not(_T_2184) @[dec_tlu_ctl.scala 2329:67] + node _T_2186 = and(perfcnt_halted_d1, _T_2185) @[dec_tlu_ctl.scala 2329:65] + node _T_2187 = not(_T_2186) @[dec_tlu_ctl.scala 2329:45] + node _T_2188 = and(mhpmc_inc_r_d1[3], _T_2187) @[dec_tlu_ctl.scala 2329:43] + io.dec_tlu_perfcnt3 <= _T_2188 @[dec_tlu_ctl.scala 2329:22] + node _T_2189 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2335:65] + node _T_2190 = eq(_T_2189, UInt<12>("h0b03")) @[dec_tlu_ctl.scala 2335:72] + node mhpmc3_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2190) @[dec_tlu_ctl.scala 2335:43] + node _T_2191 = not(perfcnt_halted) @[dec_tlu_ctl.scala 2336:23] + node _T_2192 = bits(perfcnt_during_sleep, 0, 0) @[dec_tlu_ctl.scala 2336:61] + node _T_2193 = or(_T_2191, _T_2192) @[dec_tlu_ctl.scala 2336:39] + node _T_2194 = orr(mhpmc_inc_r[0]) @[dec_tlu_ctl.scala 2336:86] + node mhpmc3_wr_en1 = and(_T_2193, _T_2194) @[dec_tlu_ctl.scala 2336:66] + node mhpmc3_wr_en = or(mhpmc3_wr_en0, mhpmc3_wr_en1) @[dec_tlu_ctl.scala 2337:36] + node _T_2195 = bits(mhpmc3h, 31, 0) @[dec_tlu_ctl.scala 2340:28] + node _T_2196 = bits(mhpmc3, 31, 0) @[dec_tlu_ctl.scala 2340:41] + node _T_2197 = cat(_T_2195, _T_2196) @[Cat.scala 29:58] + node _T_2198 = cat(UInt<63>("h00"), mhpmc_inc_r[0]) @[Cat.scala 29:58] + node _T_2199 = add(_T_2197, _T_2198) @[dec_tlu_ctl.scala 2340:49] + node _T_2200 = tail(_T_2199, 1) @[dec_tlu_ctl.scala 2340:49] + mhpmc3_incr <= _T_2200 @[dec_tlu_ctl.scala 2340:14] + node _T_2201 = bits(mhpmc3_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2341:36] + node _T_2202 = bits(mhpmc3_incr, 31, 0) @[dec_tlu_ctl.scala 2341:76] + node mhpmc3_ns = mux(_T_2201, io.dec_csr_wrdata_r, _T_2202) @[dec_tlu_ctl.scala 2341:21] + node _T_2203 = bits(mhpmc3_wr_en, 0, 0) @[dec_tlu_ctl.scala 2343:42] inst rvclkhdr_26 of rvclkhdr_746 @[lib.scala 368:23] rvclkhdr_26.clock <= clock rvclkhdr_26.reset <= reset rvclkhdr_26.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_26.io.en <= _T_2214 @[lib.scala 371:17] + rvclkhdr_26.io.en <= _T_2203 @[lib.scala 371:17] rvclkhdr_26.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_2215 : UInt, rvclkhdr_26.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_2215 <= mhpmc3_ns @[lib.scala 374:16] - mhpmc3 <= _T_2215 @[dec_tlu_ctl.scala 2361:9] - node _T_2216 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2363:66] - node _T_2217 = eq(_T_2216, UInt<12>("h0b83")) @[dec_tlu_ctl.scala 2363:73] - node mhpmc3h_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2217) @[dec_tlu_ctl.scala 2363:44] - node mhpmc3h_wr_en = or(mhpmc3h_wr_en0, mhpmc3_wr_en1) @[dec_tlu_ctl.scala 2364:38] - node _T_2218 = bits(mhpmc3h_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2365:38] - node _T_2219 = bits(mhpmc3_incr, 63, 32) @[dec_tlu_ctl.scala 2365:78] - node mhpmc3h_ns = mux(_T_2218, io.dec_csr_wrdata_r, _T_2219) @[dec_tlu_ctl.scala 2365:22] - node _T_2220 = bits(mhpmc3h_wr_en, 0, 0) @[dec_tlu_ctl.scala 2367:46] + reg _T_2204 : UInt, rvclkhdr_26.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_2204 <= mhpmc3_ns @[lib.scala 374:16] + mhpmc3 <= _T_2204 @[dec_tlu_ctl.scala 2343:9] + node _T_2205 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2345:66] + node _T_2206 = eq(_T_2205, UInt<12>("h0b83")) @[dec_tlu_ctl.scala 2345:73] + node mhpmc3h_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2206) @[dec_tlu_ctl.scala 2345:44] + node mhpmc3h_wr_en = or(mhpmc3h_wr_en0, mhpmc3_wr_en1) @[dec_tlu_ctl.scala 2346:38] + node _T_2207 = bits(mhpmc3h_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2347:38] + node _T_2208 = bits(mhpmc3_incr, 63, 32) @[dec_tlu_ctl.scala 2347:78] + node mhpmc3h_ns = mux(_T_2207, io.dec_csr_wrdata_r, _T_2208) @[dec_tlu_ctl.scala 2347:22] + node _T_2209 = bits(mhpmc3h_wr_en, 0, 0) @[dec_tlu_ctl.scala 2349:46] inst rvclkhdr_27 of rvclkhdr_747 @[lib.scala 368:23] rvclkhdr_27.clock <= clock rvclkhdr_27.reset <= reset rvclkhdr_27.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_27.io.en <= _T_2220 @[lib.scala 371:17] + rvclkhdr_27.io.en <= _T_2209 @[lib.scala 371:17] rvclkhdr_27.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_2221 : UInt, rvclkhdr_27.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_2221 <= mhpmc3h_ns @[lib.scala 374:16] - mhpmc3h <= _T_2221 @[dec_tlu_ctl.scala 2367:10] - node _T_2222 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2372:65] - node _T_2223 = eq(_T_2222, UInt<12>("h0b04")) @[dec_tlu_ctl.scala 2372:72] - node mhpmc4_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2223) @[dec_tlu_ctl.scala 2372:43] - node _T_2224 = not(perfcnt_halted) @[dec_tlu_ctl.scala 2373:23] - node _T_2225 = bits(perfcnt_during_sleep, 1, 1) @[dec_tlu_ctl.scala 2373:61] - node _T_2226 = or(_T_2224, _T_2225) @[dec_tlu_ctl.scala 2373:39] - node _T_2227 = orr(mhpmc_inc_r[1]) @[dec_tlu_ctl.scala 2373:86] - node mhpmc4_wr_en1 = and(_T_2226, _T_2227) @[dec_tlu_ctl.scala 2373:66] - node mhpmc4_wr_en = or(mhpmc4_wr_en0, mhpmc4_wr_en1) @[dec_tlu_ctl.scala 2374:36] - node _T_2228 = bits(mhpmc4h, 31, 0) @[dec_tlu_ctl.scala 2378:28] - node _T_2229 = bits(mhpmc4, 31, 0) @[dec_tlu_ctl.scala 2378:41] - node _T_2230 = cat(_T_2228, _T_2229) @[Cat.scala 29:58] - node _T_2231 = cat(UInt<63>("h00"), mhpmc_inc_r[1]) @[Cat.scala 29:58] - node _T_2232 = add(_T_2230, _T_2231) @[dec_tlu_ctl.scala 2378:49] - node _T_2233 = tail(_T_2232, 1) @[dec_tlu_ctl.scala 2378:49] - mhpmc4_incr <= _T_2233 @[dec_tlu_ctl.scala 2378:14] - node _T_2234 = bits(mhpmc4_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2379:36] - node _T_2235 = bits(io.dec_csr_wrdata_r, 31, 0) @[dec_tlu_ctl.scala 2379:63] - node _T_2236 = bits(mhpmc4_incr, 31, 0) @[dec_tlu_ctl.scala 2379:82] - node mhpmc4_ns = mux(_T_2234, _T_2235, _T_2236) @[dec_tlu_ctl.scala 2379:21] - node _T_2237 = bits(mhpmc4_wr_en, 0, 0) @[dec_tlu_ctl.scala 2380:43] + reg _T_2210 : UInt, rvclkhdr_27.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_2210 <= mhpmc3h_ns @[lib.scala 374:16] + mhpmc3h <= _T_2210 @[dec_tlu_ctl.scala 2349:10] + node _T_2211 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2354:65] + node _T_2212 = eq(_T_2211, UInt<12>("h0b04")) @[dec_tlu_ctl.scala 2354:72] + node mhpmc4_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2212) @[dec_tlu_ctl.scala 2354:43] + node _T_2213 = not(perfcnt_halted) @[dec_tlu_ctl.scala 2355:23] + node _T_2214 = bits(perfcnt_during_sleep, 1, 1) @[dec_tlu_ctl.scala 2355:61] + node _T_2215 = or(_T_2213, _T_2214) @[dec_tlu_ctl.scala 2355:39] + node _T_2216 = orr(mhpmc_inc_r[1]) @[dec_tlu_ctl.scala 2355:86] + node mhpmc4_wr_en1 = and(_T_2215, _T_2216) @[dec_tlu_ctl.scala 2355:66] + node mhpmc4_wr_en = or(mhpmc4_wr_en0, mhpmc4_wr_en1) @[dec_tlu_ctl.scala 2356:36] + node _T_2217 = bits(mhpmc4h, 31, 0) @[dec_tlu_ctl.scala 2360:28] + node _T_2218 = bits(mhpmc4, 31, 0) @[dec_tlu_ctl.scala 2360:41] + node _T_2219 = cat(_T_2217, _T_2218) @[Cat.scala 29:58] + node _T_2220 = cat(UInt<63>("h00"), mhpmc_inc_r[1]) @[Cat.scala 29:58] + node _T_2221 = add(_T_2219, _T_2220) @[dec_tlu_ctl.scala 2360:49] + node _T_2222 = tail(_T_2221, 1) @[dec_tlu_ctl.scala 2360:49] + mhpmc4_incr <= _T_2222 @[dec_tlu_ctl.scala 2360:14] + node _T_2223 = bits(mhpmc4_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2361:36] + node _T_2224 = bits(io.dec_csr_wrdata_r, 31, 0) @[dec_tlu_ctl.scala 2361:63] + node _T_2225 = bits(mhpmc4_incr, 31, 0) @[dec_tlu_ctl.scala 2361:82] + node mhpmc4_ns = mux(_T_2223, _T_2224, _T_2225) @[dec_tlu_ctl.scala 2361:21] + node _T_2226 = bits(mhpmc4_wr_en, 0, 0) @[dec_tlu_ctl.scala 2362:43] inst rvclkhdr_28 of rvclkhdr_748 @[lib.scala 368:23] rvclkhdr_28.clock <= clock rvclkhdr_28.reset <= reset rvclkhdr_28.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_28.io.en <= _T_2237 @[lib.scala 371:17] + rvclkhdr_28.io.en <= _T_2226 @[lib.scala 371:17] rvclkhdr_28.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_2238 : UInt, rvclkhdr_28.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_2238 <= mhpmc4_ns @[lib.scala 374:16] - mhpmc4 <= _T_2238 @[dec_tlu_ctl.scala 2380:9] - node _T_2239 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2382:66] - node _T_2240 = eq(_T_2239, UInt<12>("h0b84")) @[dec_tlu_ctl.scala 2382:73] - node mhpmc4h_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2240) @[dec_tlu_ctl.scala 2382:44] - node mhpmc4h_wr_en = or(mhpmc4h_wr_en0, mhpmc4_wr_en1) @[dec_tlu_ctl.scala 2383:38] - node _T_2241 = bits(mhpmc4h_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2384:38] - node _T_2242 = bits(mhpmc4_incr, 63, 32) @[dec_tlu_ctl.scala 2384:78] - node mhpmc4h_ns = mux(_T_2241, io.dec_csr_wrdata_r, _T_2242) @[dec_tlu_ctl.scala 2384:22] - node _T_2243 = bits(mhpmc4h_wr_en, 0, 0) @[dec_tlu_ctl.scala 2385:46] + reg _T_2227 : UInt, rvclkhdr_28.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_2227 <= mhpmc4_ns @[lib.scala 374:16] + mhpmc4 <= _T_2227 @[dec_tlu_ctl.scala 2362:9] + node _T_2228 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2364:66] + node _T_2229 = eq(_T_2228, UInt<12>("h0b84")) @[dec_tlu_ctl.scala 2364:73] + node mhpmc4h_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2229) @[dec_tlu_ctl.scala 2364:44] + node mhpmc4h_wr_en = or(mhpmc4h_wr_en0, mhpmc4_wr_en1) @[dec_tlu_ctl.scala 2365:38] + node _T_2230 = bits(mhpmc4h_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2366:38] + node _T_2231 = bits(mhpmc4_incr, 63, 32) @[dec_tlu_ctl.scala 2366:78] + node mhpmc4h_ns = mux(_T_2230, io.dec_csr_wrdata_r, _T_2231) @[dec_tlu_ctl.scala 2366:22] + node _T_2232 = bits(mhpmc4h_wr_en, 0, 0) @[dec_tlu_ctl.scala 2367:46] inst rvclkhdr_29 of rvclkhdr_749 @[lib.scala 368:23] rvclkhdr_29.clock <= clock rvclkhdr_29.reset <= reset rvclkhdr_29.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_29.io.en <= _T_2243 @[lib.scala 371:17] + rvclkhdr_29.io.en <= _T_2232 @[lib.scala 371:17] rvclkhdr_29.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_2244 : UInt, rvclkhdr_29.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_2244 <= mhpmc4h_ns @[lib.scala 374:16] - mhpmc4h <= _T_2244 @[dec_tlu_ctl.scala 2385:10] - node _T_2245 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2391:65] - node _T_2246 = eq(_T_2245, UInt<12>("h0b05")) @[dec_tlu_ctl.scala 2391:72] - node mhpmc5_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2246) @[dec_tlu_ctl.scala 2391:43] - node _T_2247 = not(perfcnt_halted) @[dec_tlu_ctl.scala 2392:23] - node _T_2248 = bits(perfcnt_during_sleep, 2, 2) @[dec_tlu_ctl.scala 2392:61] - node _T_2249 = or(_T_2247, _T_2248) @[dec_tlu_ctl.scala 2392:39] - node _T_2250 = orr(mhpmc_inc_r[2]) @[dec_tlu_ctl.scala 2392:86] - node mhpmc5_wr_en1 = and(_T_2249, _T_2250) @[dec_tlu_ctl.scala 2392:66] - node mhpmc5_wr_en = or(mhpmc5_wr_en0, mhpmc5_wr_en1) @[dec_tlu_ctl.scala 2393:36] - node _T_2251 = bits(mhpmc5h, 31, 0) @[dec_tlu_ctl.scala 2395:28] - node _T_2252 = bits(mhpmc5, 31, 0) @[dec_tlu_ctl.scala 2395:41] - node _T_2253 = cat(_T_2251, _T_2252) @[Cat.scala 29:58] - node _T_2254 = cat(UInt<63>("h00"), mhpmc_inc_r[2]) @[Cat.scala 29:58] - node _T_2255 = add(_T_2253, _T_2254) @[dec_tlu_ctl.scala 2395:49] - node _T_2256 = tail(_T_2255, 1) @[dec_tlu_ctl.scala 2395:49] - mhpmc5_incr <= _T_2256 @[dec_tlu_ctl.scala 2395:14] - node _T_2257 = bits(mhpmc5_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2396:36] - node _T_2258 = bits(mhpmc5_incr, 31, 0) @[dec_tlu_ctl.scala 2396:76] - node mhpmc5_ns = mux(_T_2257, io.dec_csr_wrdata_r, _T_2258) @[dec_tlu_ctl.scala 2396:21] - node _T_2259 = bits(mhpmc5_wr_en, 0, 0) @[dec_tlu_ctl.scala 2398:43] + reg _T_2233 : UInt, rvclkhdr_29.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_2233 <= mhpmc4h_ns @[lib.scala 374:16] + mhpmc4h <= _T_2233 @[dec_tlu_ctl.scala 2367:10] + node _T_2234 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2373:65] + node _T_2235 = eq(_T_2234, UInt<12>("h0b05")) @[dec_tlu_ctl.scala 2373:72] + node mhpmc5_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2235) @[dec_tlu_ctl.scala 2373:43] + node _T_2236 = not(perfcnt_halted) @[dec_tlu_ctl.scala 2374:23] + node _T_2237 = bits(perfcnt_during_sleep, 2, 2) @[dec_tlu_ctl.scala 2374:61] + node _T_2238 = or(_T_2236, _T_2237) @[dec_tlu_ctl.scala 2374:39] + node _T_2239 = orr(mhpmc_inc_r[2]) @[dec_tlu_ctl.scala 2374:86] + node mhpmc5_wr_en1 = and(_T_2238, _T_2239) @[dec_tlu_ctl.scala 2374:66] + node mhpmc5_wr_en = or(mhpmc5_wr_en0, mhpmc5_wr_en1) @[dec_tlu_ctl.scala 2375:36] + node _T_2240 = bits(mhpmc5h, 31, 0) @[dec_tlu_ctl.scala 2377:28] + node _T_2241 = bits(mhpmc5, 31, 0) @[dec_tlu_ctl.scala 2377:41] + node _T_2242 = cat(_T_2240, _T_2241) @[Cat.scala 29:58] + node _T_2243 = cat(UInt<63>("h00"), mhpmc_inc_r[2]) @[Cat.scala 29:58] + node _T_2244 = add(_T_2242, _T_2243) @[dec_tlu_ctl.scala 2377:49] + node _T_2245 = tail(_T_2244, 1) @[dec_tlu_ctl.scala 2377:49] + mhpmc5_incr <= _T_2245 @[dec_tlu_ctl.scala 2377:14] + node _T_2246 = bits(mhpmc5_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2378:36] + node _T_2247 = bits(mhpmc5_incr, 31, 0) @[dec_tlu_ctl.scala 2378:76] + node mhpmc5_ns = mux(_T_2246, io.dec_csr_wrdata_r, _T_2247) @[dec_tlu_ctl.scala 2378:21] + node _T_2248 = bits(mhpmc5_wr_en, 0, 0) @[dec_tlu_ctl.scala 2380:43] inst rvclkhdr_30 of rvclkhdr_750 @[lib.scala 368:23] rvclkhdr_30.clock <= clock rvclkhdr_30.reset <= reset rvclkhdr_30.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_30.io.en <= _T_2259 @[lib.scala 371:17] + rvclkhdr_30.io.en <= _T_2248 @[lib.scala 371:17] rvclkhdr_30.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_2260 : UInt, rvclkhdr_30.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_2260 <= mhpmc5_ns @[lib.scala 374:16] - mhpmc5 <= _T_2260 @[dec_tlu_ctl.scala 2398:9] - node _T_2261 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2400:66] - node _T_2262 = eq(_T_2261, UInt<12>("h0b85")) @[dec_tlu_ctl.scala 2400:73] - node mhpmc5h_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2262) @[dec_tlu_ctl.scala 2400:44] - node mhpmc5h_wr_en = or(mhpmc5h_wr_en0, mhpmc5_wr_en1) @[dec_tlu_ctl.scala 2401:38] - node _T_2263 = bits(mhpmc5h_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2402:38] - node _T_2264 = bits(mhpmc5_incr, 63, 32) @[dec_tlu_ctl.scala 2402:78] - node mhpmc5h_ns = mux(_T_2263, io.dec_csr_wrdata_r, _T_2264) @[dec_tlu_ctl.scala 2402:22] - node _T_2265 = bits(mhpmc5h_wr_en, 0, 0) @[dec_tlu_ctl.scala 2404:46] + reg _T_2249 : UInt, rvclkhdr_30.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_2249 <= mhpmc5_ns @[lib.scala 374:16] + mhpmc5 <= _T_2249 @[dec_tlu_ctl.scala 2380:9] + node _T_2250 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2382:66] + node _T_2251 = eq(_T_2250, UInt<12>("h0b85")) @[dec_tlu_ctl.scala 2382:73] + node mhpmc5h_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2251) @[dec_tlu_ctl.scala 2382:44] + node mhpmc5h_wr_en = or(mhpmc5h_wr_en0, mhpmc5_wr_en1) @[dec_tlu_ctl.scala 2383:38] + node _T_2252 = bits(mhpmc5h_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2384:38] + node _T_2253 = bits(mhpmc5_incr, 63, 32) @[dec_tlu_ctl.scala 2384:78] + node mhpmc5h_ns = mux(_T_2252, io.dec_csr_wrdata_r, _T_2253) @[dec_tlu_ctl.scala 2384:22] + node _T_2254 = bits(mhpmc5h_wr_en, 0, 0) @[dec_tlu_ctl.scala 2386:46] inst rvclkhdr_31 of rvclkhdr_751 @[lib.scala 368:23] rvclkhdr_31.clock <= clock rvclkhdr_31.reset <= reset rvclkhdr_31.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_31.io.en <= _T_2265 @[lib.scala 371:17] + rvclkhdr_31.io.en <= _T_2254 @[lib.scala 371:17] rvclkhdr_31.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_2266 : UInt, rvclkhdr_31.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_2266 <= mhpmc5h_ns @[lib.scala 374:16] - mhpmc5h <= _T_2266 @[dec_tlu_ctl.scala 2404:10] - node _T_2267 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2409:65] - node _T_2268 = eq(_T_2267, UInt<12>("h0b06")) @[dec_tlu_ctl.scala 2409:72] - node mhpmc6_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2268) @[dec_tlu_ctl.scala 2409:43] - node _T_2269 = not(perfcnt_halted) @[dec_tlu_ctl.scala 2410:23] - node _T_2270 = bits(perfcnt_during_sleep, 3, 3) @[dec_tlu_ctl.scala 2410:61] - node _T_2271 = or(_T_2269, _T_2270) @[dec_tlu_ctl.scala 2410:39] - node _T_2272 = orr(mhpmc_inc_r[3]) @[dec_tlu_ctl.scala 2410:86] - node mhpmc6_wr_en1 = and(_T_2271, _T_2272) @[dec_tlu_ctl.scala 2410:66] - node mhpmc6_wr_en = or(mhpmc6_wr_en0, mhpmc6_wr_en1) @[dec_tlu_ctl.scala 2411:36] - node _T_2273 = bits(mhpmc6h, 31, 0) @[dec_tlu_ctl.scala 2413:28] - node _T_2274 = bits(mhpmc6, 31, 0) @[dec_tlu_ctl.scala 2413:41] - node _T_2275 = cat(_T_2273, _T_2274) @[Cat.scala 29:58] - node _T_2276 = cat(UInt<63>("h00"), mhpmc_inc_r[3]) @[Cat.scala 29:58] - node _T_2277 = add(_T_2275, _T_2276) @[dec_tlu_ctl.scala 2413:49] - node _T_2278 = tail(_T_2277, 1) @[dec_tlu_ctl.scala 2413:49] - mhpmc6_incr <= _T_2278 @[dec_tlu_ctl.scala 2413:14] - node _T_2279 = bits(mhpmc6_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2414:36] - node _T_2280 = bits(mhpmc6_incr, 31, 0) @[dec_tlu_ctl.scala 2414:76] - node mhpmc6_ns = mux(_T_2279, io.dec_csr_wrdata_r, _T_2280) @[dec_tlu_ctl.scala 2414:21] - node _T_2281 = bits(mhpmc6_wr_en, 0, 0) @[dec_tlu_ctl.scala 2416:43] + reg _T_2255 : UInt, rvclkhdr_31.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_2255 <= mhpmc5h_ns @[lib.scala 374:16] + mhpmc5h <= _T_2255 @[dec_tlu_ctl.scala 2386:10] + node _T_2256 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2391:65] + node _T_2257 = eq(_T_2256, UInt<12>("h0b06")) @[dec_tlu_ctl.scala 2391:72] + node mhpmc6_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2257) @[dec_tlu_ctl.scala 2391:43] + node _T_2258 = not(perfcnt_halted) @[dec_tlu_ctl.scala 2392:23] + node _T_2259 = bits(perfcnt_during_sleep, 3, 3) @[dec_tlu_ctl.scala 2392:61] + node _T_2260 = or(_T_2258, _T_2259) @[dec_tlu_ctl.scala 2392:39] + node _T_2261 = orr(mhpmc_inc_r[3]) @[dec_tlu_ctl.scala 2392:86] + node mhpmc6_wr_en1 = and(_T_2260, _T_2261) @[dec_tlu_ctl.scala 2392:66] + node mhpmc6_wr_en = or(mhpmc6_wr_en0, mhpmc6_wr_en1) @[dec_tlu_ctl.scala 2393:36] + node _T_2262 = bits(mhpmc6h, 31, 0) @[dec_tlu_ctl.scala 2395:28] + node _T_2263 = bits(mhpmc6, 31, 0) @[dec_tlu_ctl.scala 2395:41] + node _T_2264 = cat(_T_2262, _T_2263) @[Cat.scala 29:58] + node _T_2265 = cat(UInt<63>("h00"), mhpmc_inc_r[3]) @[Cat.scala 29:58] + node _T_2266 = add(_T_2264, _T_2265) @[dec_tlu_ctl.scala 2395:49] + node _T_2267 = tail(_T_2266, 1) @[dec_tlu_ctl.scala 2395:49] + mhpmc6_incr <= _T_2267 @[dec_tlu_ctl.scala 2395:14] + node _T_2268 = bits(mhpmc6_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2396:36] + node _T_2269 = bits(mhpmc6_incr, 31, 0) @[dec_tlu_ctl.scala 2396:76] + node mhpmc6_ns = mux(_T_2268, io.dec_csr_wrdata_r, _T_2269) @[dec_tlu_ctl.scala 2396:21] + node _T_2270 = bits(mhpmc6_wr_en, 0, 0) @[dec_tlu_ctl.scala 2398:43] inst rvclkhdr_32 of rvclkhdr_752 @[lib.scala 368:23] rvclkhdr_32.clock <= clock rvclkhdr_32.reset <= reset rvclkhdr_32.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_32.io.en <= _T_2281 @[lib.scala 371:17] + rvclkhdr_32.io.en <= _T_2270 @[lib.scala 371:17] rvclkhdr_32.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_2282 : UInt, rvclkhdr_32.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_2282 <= mhpmc6_ns @[lib.scala 374:16] - mhpmc6 <= _T_2282 @[dec_tlu_ctl.scala 2416:9] - node _T_2283 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2418:66] - node _T_2284 = eq(_T_2283, UInt<12>("h0b86")) @[dec_tlu_ctl.scala 2418:73] - node mhpmc6h_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2284) @[dec_tlu_ctl.scala 2418:44] - node mhpmc6h_wr_en = or(mhpmc6h_wr_en0, mhpmc6_wr_en1) @[dec_tlu_ctl.scala 2419:38] - node _T_2285 = bits(mhpmc6h_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2420:38] - node _T_2286 = bits(mhpmc6_incr, 63, 32) @[dec_tlu_ctl.scala 2420:78] - node mhpmc6h_ns = mux(_T_2285, io.dec_csr_wrdata_r, _T_2286) @[dec_tlu_ctl.scala 2420:22] - node _T_2287 = bits(mhpmc6h_wr_en, 0, 0) @[dec_tlu_ctl.scala 2422:46] + reg _T_2271 : UInt, rvclkhdr_32.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_2271 <= mhpmc6_ns @[lib.scala 374:16] + mhpmc6 <= _T_2271 @[dec_tlu_ctl.scala 2398:9] + node _T_2272 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2400:66] + node _T_2273 = eq(_T_2272, UInt<12>("h0b86")) @[dec_tlu_ctl.scala 2400:73] + node mhpmc6h_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2273) @[dec_tlu_ctl.scala 2400:44] + node mhpmc6h_wr_en = or(mhpmc6h_wr_en0, mhpmc6_wr_en1) @[dec_tlu_ctl.scala 2401:38] + node _T_2274 = bits(mhpmc6h_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2402:38] + node _T_2275 = bits(mhpmc6_incr, 63, 32) @[dec_tlu_ctl.scala 2402:78] + node mhpmc6h_ns = mux(_T_2274, io.dec_csr_wrdata_r, _T_2275) @[dec_tlu_ctl.scala 2402:22] + node _T_2276 = bits(mhpmc6h_wr_en, 0, 0) @[dec_tlu_ctl.scala 2404:46] inst rvclkhdr_33 of rvclkhdr_753 @[lib.scala 368:23] rvclkhdr_33.clock <= clock rvclkhdr_33.reset <= reset rvclkhdr_33.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_33.io.en <= _T_2287 @[lib.scala 371:17] + rvclkhdr_33.io.en <= _T_2276 @[lib.scala 371:17] rvclkhdr_33.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_2288 : UInt, rvclkhdr_33.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_2288 <= mhpmc6h_ns @[lib.scala 374:16] - mhpmc6h <= _T_2288 @[dec_tlu_ctl.scala 2422:10] - node _T_2289 = bits(io.dec_csr_wrdata_r, 9, 0) @[dec_tlu_ctl.scala 2429:50] - node _T_2290 = gt(_T_2289, UInt<10>("h0204")) @[dec_tlu_ctl.scala 2429:56] - node _T_2291 = bits(io.dec_csr_wrdata_r, 31, 10) @[dec_tlu_ctl.scala 2429:93] - node _T_2292 = orr(_T_2291) @[dec_tlu_ctl.scala 2429:102] - node _T_2293 = or(_T_2290, _T_2292) @[dec_tlu_ctl.scala 2429:71] - node _T_2294 = bits(io.dec_csr_wrdata_r, 9, 0) @[dec_tlu_ctl.scala 2429:141] - node event_saturate_r = mux(_T_2293, UInt<10>("h0204"), _T_2294) @[dec_tlu_ctl.scala 2429:28] - node _T_2295 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2431:63] - node _T_2296 = eq(_T_2295, UInt<12>("h0323")) @[dec_tlu_ctl.scala 2431:70] - node wr_mhpme3_r = and(io.dec_csr_wen_r_mod, _T_2296) @[dec_tlu_ctl.scala 2431:41] - node _T_2297 = bits(wr_mhpme3_r, 0, 0) @[dec_tlu_ctl.scala 2433:80] - reg _T_2298 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_2297 : @[Reg.scala 28:19] - _T_2298 <= event_saturate_r @[Reg.scala 28:23] + reg _T_2277 : UInt, rvclkhdr_33.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_2277 <= mhpmc6h_ns @[lib.scala 374:16] + mhpmc6h <= _T_2277 @[dec_tlu_ctl.scala 2404:10] + node _T_2278 = bits(io.dec_csr_wrdata_r, 9, 0) @[dec_tlu_ctl.scala 2411:50] + node _T_2279 = gt(_T_2278, UInt<10>("h0204")) @[dec_tlu_ctl.scala 2411:56] + node _T_2280 = bits(io.dec_csr_wrdata_r, 31, 10) @[dec_tlu_ctl.scala 2411:93] + node _T_2281 = orr(_T_2280) @[dec_tlu_ctl.scala 2411:102] + node _T_2282 = or(_T_2279, _T_2281) @[dec_tlu_ctl.scala 2411:71] + node _T_2283 = bits(io.dec_csr_wrdata_r, 9, 0) @[dec_tlu_ctl.scala 2411:141] + node event_saturate_r = mux(_T_2282, UInt<10>("h0204"), _T_2283) @[dec_tlu_ctl.scala 2411:28] + node _T_2284 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2413:63] + node _T_2285 = eq(_T_2284, UInt<12>("h0323")) @[dec_tlu_ctl.scala 2413:70] + node wr_mhpme3_r = and(io.dec_csr_wen_r_mod, _T_2285) @[dec_tlu_ctl.scala 2413:41] + node _T_2286 = bits(wr_mhpme3_r, 0, 0) @[dec_tlu_ctl.scala 2415:80] + reg _T_2287 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_2286 : @[Reg.scala 28:19] + _T_2287 <= event_saturate_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - mhpme3 <= _T_2298 @[dec_tlu_ctl.scala 2433:9] - node _T_2299 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2438:63] - node _T_2300 = eq(_T_2299, UInt<12>("h0324")) @[dec_tlu_ctl.scala 2438:70] - node wr_mhpme4_r = and(io.dec_csr_wen_r_mod, _T_2300) @[dec_tlu_ctl.scala 2438:41] - node _T_2301 = bits(wr_mhpme4_r, 0, 0) @[dec_tlu_ctl.scala 2439:80] - reg _T_2302 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_2301 : @[Reg.scala 28:19] - _T_2302 <= event_saturate_r @[Reg.scala 28:23] + mhpme3 <= _T_2287 @[dec_tlu_ctl.scala 2415:9] + node _T_2288 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2420:63] + node _T_2289 = eq(_T_2288, UInt<12>("h0324")) @[dec_tlu_ctl.scala 2420:70] + node wr_mhpme4_r = and(io.dec_csr_wen_r_mod, _T_2289) @[dec_tlu_ctl.scala 2420:41] + node _T_2290 = bits(wr_mhpme4_r, 0, 0) @[dec_tlu_ctl.scala 2421:80] + reg _T_2291 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_2290 : @[Reg.scala 28:19] + _T_2291 <= event_saturate_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - mhpme4 <= _T_2302 @[dec_tlu_ctl.scala 2439:9] - node _T_2303 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2445:63] - node _T_2304 = eq(_T_2303, UInt<12>("h0325")) @[dec_tlu_ctl.scala 2445:70] - node wr_mhpme5_r = and(io.dec_csr_wen_r_mod, _T_2304) @[dec_tlu_ctl.scala 2445:41] - node _T_2305 = bits(wr_mhpme5_r, 0, 0) @[dec_tlu_ctl.scala 2446:80] - reg _T_2306 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_2305 : @[Reg.scala 28:19] - _T_2306 <= event_saturate_r @[Reg.scala 28:23] + mhpme4 <= _T_2291 @[dec_tlu_ctl.scala 2421:9] + node _T_2292 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2427:63] + node _T_2293 = eq(_T_2292, UInt<12>("h0325")) @[dec_tlu_ctl.scala 2427:70] + node wr_mhpme5_r = and(io.dec_csr_wen_r_mod, _T_2293) @[dec_tlu_ctl.scala 2427:41] + node _T_2294 = bits(wr_mhpme5_r, 0, 0) @[dec_tlu_ctl.scala 2428:80] + reg _T_2295 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_2294 : @[Reg.scala 28:19] + _T_2295 <= event_saturate_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - mhpme5 <= _T_2306 @[dec_tlu_ctl.scala 2446:9] - node _T_2307 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2452:63] - node _T_2308 = eq(_T_2307, UInt<12>("h0326")) @[dec_tlu_ctl.scala 2452:70] - node wr_mhpme6_r = and(io.dec_csr_wen_r_mod, _T_2308) @[dec_tlu_ctl.scala 2452:41] - node _T_2309 = bits(wr_mhpme6_r, 0, 0) @[dec_tlu_ctl.scala 2453:80] + mhpme5 <= _T_2295 @[dec_tlu_ctl.scala 2428:9] + node _T_2296 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2434:63] + node _T_2297 = eq(_T_2296, UInt<12>("h0326")) @[dec_tlu_ctl.scala 2434:70] + node wr_mhpme6_r = and(io.dec_csr_wen_r_mod, _T_2297) @[dec_tlu_ctl.scala 2434:41] + node _T_2298 = bits(wr_mhpme6_r, 0, 0) @[dec_tlu_ctl.scala 2435:80] + reg _T_2299 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_2298 : @[Reg.scala 28:19] + _T_2299 <= event_saturate_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + mhpme6 <= _T_2299 @[dec_tlu_ctl.scala 2435:9] + node _T_2300 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2451:70] + node _T_2301 = eq(_T_2300, UInt<12>("h0320")) @[dec_tlu_ctl.scala 2451:77] + node wr_mcountinhibit_r = and(io.dec_csr_wen_r_mod, _T_2301) @[dec_tlu_ctl.scala 2451:48] + node _T_2302 = bits(mcountinhibit, 0, 0) @[dec_tlu_ctl.scala 2453:54] + wire temp_ncount0 : UInt<1> + temp_ncount0 <= _T_2302 + node _T_2303 = bits(mcountinhibit, 1, 1) @[dec_tlu_ctl.scala 2454:54] + wire temp_ncount1 : UInt<1> + temp_ncount1 <= _T_2303 + node _T_2304 = bits(mcountinhibit, 6, 2) @[dec_tlu_ctl.scala 2455:55] + wire temp_ncount6_2 : UInt<5> + temp_ncount6_2 <= _T_2304 + node _T_2305 = bits(io.dec_csr_wrdata_r, 6, 2) @[dec_tlu_ctl.scala 2456:74] + node _T_2306 = bits(wr_mcountinhibit_r, 0, 0) @[dec_tlu_ctl.scala 2456:103] + reg _T_2307 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_2306 : @[Reg.scala 28:19] + _T_2307 <= _T_2305 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + temp_ncount6_2 <= _T_2307 @[dec_tlu_ctl.scala 2456:17] + node _T_2308 = bits(io.dec_csr_wrdata_r, 0, 0) @[dec_tlu_ctl.scala 2458:72] + node _T_2309 = bits(wr_mcountinhibit_r, 0, 0) @[dec_tlu_ctl.scala 2458:99] reg _T_2310 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_2309 : @[Reg.scala 28:19] - _T_2310 <= event_saturate_r @[Reg.scala 28:23] + _T_2310 <= _T_2308 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - mhpme6 <= _T_2310 @[dec_tlu_ctl.scala 2453:9] - node _T_2311 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2469:70] - node _T_2312 = eq(_T_2311, UInt<12>("h0320")) @[dec_tlu_ctl.scala 2469:77] - node wr_mcountinhibit_r = and(io.dec_csr_wen_r_mod, _T_2312) @[dec_tlu_ctl.scala 2469:48] - node _T_2313 = bits(mcountinhibit, 0, 0) @[dec_tlu_ctl.scala 2471:54] - wire temp_ncount0 : UInt<1> - temp_ncount0 <= _T_2313 - node _T_2314 = bits(mcountinhibit, 1, 1) @[dec_tlu_ctl.scala 2472:54] - wire temp_ncount1 : UInt<1> - temp_ncount1 <= _T_2314 - node _T_2315 = bits(mcountinhibit, 6, 2) @[dec_tlu_ctl.scala 2473:55] - wire temp_ncount6_2 : UInt<5> - temp_ncount6_2 <= _T_2315 - node _T_2316 = bits(io.dec_csr_wrdata_r, 6, 2) @[dec_tlu_ctl.scala 2474:74] - node _T_2317 = bits(wr_mcountinhibit_r, 0, 0) @[dec_tlu_ctl.scala 2474:103] - reg _T_2318 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_2317 : @[Reg.scala 28:19] - _T_2318 <= _T_2316 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - temp_ncount6_2 <= _T_2318 @[dec_tlu_ctl.scala 2474:17] - node _T_2319 = bits(io.dec_csr_wrdata_r, 0, 0) @[dec_tlu_ctl.scala 2476:72] - node _T_2320 = bits(wr_mcountinhibit_r, 0, 0) @[dec_tlu_ctl.scala 2476:99] - reg _T_2321 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_2320 : @[Reg.scala 28:19] - _T_2321 <= _T_2319 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - temp_ncount0 <= _T_2321 @[dec_tlu_ctl.scala 2476:15] - node _T_2322 = cat(temp_ncount6_2, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2323 = cat(_T_2322, temp_ncount0) @[Cat.scala 29:58] - mcountinhibit <= _T_2323 @[dec_tlu_ctl.scala 2477:16] - node _T_2324 = or(io.i0_valid_wb, io.exc_or_int_valid_r_d1) @[dec_tlu_ctl.scala 2484:51] - node _T_2325 = or(_T_2324, io.interrupt_valid_r_d1) @[dec_tlu_ctl.scala 2484:78] - node _T_2326 = or(_T_2325, io.dec_tlu_i0_valid_wb1) @[dec_tlu_ctl.scala 2484:104] - node _T_2327 = or(_T_2326, io.dec_tlu_i0_exc_valid_wb1) @[dec_tlu_ctl.scala 2484:130] - node _T_2328 = or(_T_2327, io.dec_tlu_int_valid_wb1) @[dec_tlu_ctl.scala 2485:32] - node _T_2329 = or(_T_2328, io.clk_override) @[dec_tlu_ctl.scala 2485:59] - node _T_2330 = bits(_T_2329, 0, 0) @[dec_tlu_ctl.scala 2485:78] + temp_ncount0 <= _T_2310 @[dec_tlu_ctl.scala 2458:15] + node _T_2311 = cat(temp_ncount6_2, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2312 = cat(_T_2311, temp_ncount0) @[Cat.scala 29:58] + mcountinhibit <= _T_2312 @[dec_tlu_ctl.scala 2459:16] + node _T_2313 = or(io.i0_valid_wb, io.exc_or_int_valid_r_d1) @[dec_tlu_ctl.scala 2466:51] + node _T_2314 = or(_T_2313, io.interrupt_valid_r_d1) @[dec_tlu_ctl.scala 2466:78] + node _T_2315 = or(_T_2314, io.dec_tlu_i0_valid_wb1) @[dec_tlu_ctl.scala 2466:104] + node _T_2316 = or(_T_2315, io.dec_tlu_i0_exc_valid_wb1) @[dec_tlu_ctl.scala 2466:130] + node _T_2317 = or(_T_2316, io.dec_tlu_int_valid_wb1) @[dec_tlu_ctl.scala 2467:32] + node _T_2318 = or(_T_2317, io.clk_override) @[dec_tlu_ctl.scala 2467:59] + node _T_2319 = bits(_T_2318, 0, 0) @[dec_tlu_ctl.scala 2467:78] inst rvclkhdr_34 of rvclkhdr_754 @[lib.scala 343:22] rvclkhdr_34.clock <= clock rvclkhdr_34.reset <= reset rvclkhdr_34.io.clk <= clock @[lib.scala 344:17] - rvclkhdr_34.io.en <= _T_2330 @[lib.scala 345:16] + rvclkhdr_34.io.en <= _T_2319 @[lib.scala 345:16] rvclkhdr_34.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - reg _T_2331 : UInt, rvclkhdr_34.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2487:62] - _T_2331 <= io.i0_valid_wb @[dec_tlu_ctl.scala 2487:62] - io.dec_tlu_i0_valid_wb1 <= _T_2331 @[dec_tlu_ctl.scala 2487:30] - node _T_2332 = or(io.i0_exception_valid_r_d1, io.lsu_i0_exc_r_d1) @[dec_tlu_ctl.scala 2488:91] - node _T_2333 = not(io.trigger_hit_dmode_r_d1) @[dec_tlu_ctl.scala 2488:137] - node _T_2334 = and(io.trigger_hit_r_d1, _T_2333) @[dec_tlu_ctl.scala 2488:135] - node _T_2335 = or(_T_2332, _T_2334) @[dec_tlu_ctl.scala 2488:112] - reg _T_2336 : UInt, rvclkhdr_34.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2488:62] - _T_2336 <= _T_2335 @[dec_tlu_ctl.scala 2488:62] - io.dec_tlu_i0_exc_valid_wb1 <= _T_2336 @[dec_tlu_ctl.scala 2488:30] - reg _T_2337 : UInt, rvclkhdr_34.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2489:62] - _T_2337 <= io.exc_cause_wb @[dec_tlu_ctl.scala 2489:62] - io.dec_tlu_exc_cause_wb1 <= _T_2337 @[dec_tlu_ctl.scala 2489:30] - reg _T_2338 : UInt, rvclkhdr_34.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2490:62] - _T_2338 <= io.interrupt_valid_r_d1 @[dec_tlu_ctl.scala 2490:62] - io.dec_tlu_int_valid_wb1 <= _T_2338 @[dec_tlu_ctl.scala 2490:30] - io.dec_tlu_mtval_wb1 <= mtval @[dec_tlu_ctl.scala 2492:24] - node _T_2339 = bits(io.csr_pkt.csr_misa, 0, 0) @[dec_tlu_ctl.scala 2498:61] - node _T_2340 = bits(io.csr_pkt.csr_mvendorid, 0, 0) @[dec_tlu_ctl.scala 2499:42] - node _T_2341 = bits(io.csr_pkt.csr_marchid, 0, 0) @[dec_tlu_ctl.scala 2500:40] - node _T_2342 = bits(io.csr_pkt.csr_mimpid, 0, 0) @[dec_tlu_ctl.scala 2501:39] - node _T_2343 = bits(io.csr_pkt.csr_mhartid, 0, 0) @[dec_tlu_ctl.scala 2502:40] - node _T_2344 = cat(io.core_id, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_2345 = bits(io.csr_pkt.csr_mstatus, 0, 0) @[dec_tlu_ctl.scala 2503:40] - node _T_2346 = bits(io.mstatus, 1, 1) @[dec_tlu_ctl.scala 2503:103] - node _T_2347 = bits(io.mstatus, 0, 0) @[dec_tlu_ctl.scala 2503:128] - node _T_2348 = cat(UInt<3>("h00"), _T_2347) @[Cat.scala 29:58] - node _T_2349 = cat(_T_2348, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_2350 = cat(UInt<3>("h00"), _T_2346) @[Cat.scala 29:58] - node _T_2351 = cat(UInt<19>("h00"), UInt<2>("h03")) @[Cat.scala 29:58] - node _T_2352 = cat(_T_2351, _T_2350) @[Cat.scala 29:58] - node _T_2353 = cat(_T_2352, _T_2349) @[Cat.scala 29:58] - node _T_2354 = bits(io.csr_pkt.csr_mtvec, 0, 0) @[dec_tlu_ctl.scala 2504:38] - node _T_2355 = bits(io.mtvec, 30, 1) @[dec_tlu_ctl.scala 2504:70] - node _T_2356 = bits(io.mtvec, 0, 0) @[dec_tlu_ctl.scala 2504:96] - node _T_2357 = cat(_T_2355, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2358 = cat(_T_2357, _T_2356) @[Cat.scala 29:58] - node _T_2359 = bits(io.csr_pkt.csr_mip, 0, 0) @[dec_tlu_ctl.scala 2505:36] - node _T_2360 = bits(io.mip, 5, 3) @[dec_tlu_ctl.scala 2505:78] - node _T_2361 = bits(io.mip, 2, 2) @[dec_tlu_ctl.scala 2505:102] - node _T_2362 = bits(io.mip, 1, 1) @[dec_tlu_ctl.scala 2505:123] - node _T_2363 = bits(io.mip, 0, 0) @[dec_tlu_ctl.scala 2505:144] - node _T_2364 = cat(_T_2363, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_2365 = cat(_T_2362, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_2366 = cat(_T_2365, _T_2364) @[Cat.scala 29:58] - node _T_2367 = cat(_T_2361, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_2368 = cat(UInt<1>("h00"), _T_2360) @[Cat.scala 29:58] - node _T_2369 = cat(_T_2368, UInt<16>("h00")) @[Cat.scala 29:58] - node _T_2370 = cat(_T_2369, _T_2367) @[Cat.scala 29:58] - node _T_2371 = cat(_T_2370, _T_2366) @[Cat.scala 29:58] - node _T_2372 = bits(io.csr_pkt.csr_mie, 0, 0) @[dec_tlu_ctl.scala 2506:36] - node _T_2373 = bits(mie, 5, 3) @[dec_tlu_ctl.scala 2506:75] - node _T_2374 = bits(mie, 2, 2) @[dec_tlu_ctl.scala 2506:96] - node _T_2375 = bits(mie, 1, 1) @[dec_tlu_ctl.scala 2506:114] - node _T_2376 = bits(mie, 0, 0) @[dec_tlu_ctl.scala 2506:132] - node _T_2377 = cat(_T_2376, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_2378 = cat(_T_2375, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_2379 = cat(_T_2378, _T_2377) @[Cat.scala 29:58] - node _T_2380 = cat(_T_2374, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_2381 = cat(UInt<1>("h00"), _T_2373) @[Cat.scala 29:58] - node _T_2382 = cat(_T_2381, UInt<16>("h00")) @[Cat.scala 29:58] - node _T_2383 = cat(_T_2382, _T_2380) @[Cat.scala 29:58] - node _T_2384 = cat(_T_2383, _T_2379) @[Cat.scala 29:58] - node _T_2385 = bits(io.csr_pkt.csr_mcyclel, 0, 0) @[dec_tlu_ctl.scala 2507:40] - node _T_2386 = bits(mcyclel, 31, 0) @[dec_tlu_ctl.scala 2507:65] - node _T_2387 = bits(io.csr_pkt.csr_mcycleh, 0, 0) @[dec_tlu_ctl.scala 2508:40] - node _T_2388 = bits(mcycleh_inc, 31, 0) @[dec_tlu_ctl.scala 2508:69] - node _T_2389 = bits(io.csr_pkt.csr_minstretl, 0, 0) @[dec_tlu_ctl.scala 2509:42] - node _T_2390 = bits(minstretl, 31, 0) @[dec_tlu_ctl.scala 2509:72] - node _T_2391 = bits(io.csr_pkt.csr_minstreth, 0, 0) @[dec_tlu_ctl.scala 2510:42] - node _T_2392 = bits(minstreth_inc, 31, 0) @[dec_tlu_ctl.scala 2510:72] - node _T_2393 = bits(io.csr_pkt.csr_mscratch, 0, 0) @[dec_tlu_ctl.scala 2511:41] - node _T_2394 = bits(mscratch, 31, 0) @[dec_tlu_ctl.scala 2511:66] - node _T_2395 = bits(io.csr_pkt.csr_mepc, 0, 0) @[dec_tlu_ctl.scala 2512:37] - node _T_2396 = cat(io.mepc, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2397 = bits(io.csr_pkt.csr_mcause, 0, 0) @[dec_tlu_ctl.scala 2513:39] - node _T_2398 = bits(mcause, 31, 0) @[dec_tlu_ctl.scala 2513:64] - node _T_2399 = bits(io.csr_pkt.csr_mscause, 0, 0) @[dec_tlu_ctl.scala 2514:40] - node _T_2400 = bits(mscause, 3, 0) @[dec_tlu_ctl.scala 2514:80] - node _T_2401 = cat(UInt<28>("h00"), _T_2400) @[Cat.scala 29:58] - node _T_2402 = bits(io.csr_pkt.csr_mtval, 0, 0) @[dec_tlu_ctl.scala 2515:38] - node _T_2403 = bits(mtval, 31, 0) @[dec_tlu_ctl.scala 2515:63] - node _T_2404 = bits(io.csr_pkt.csr_mrac, 0, 0) @[dec_tlu_ctl.scala 2516:37] - node _T_2405 = bits(mrac, 31, 0) @[dec_tlu_ctl.scala 2516:62] - node _T_2406 = bits(io.csr_pkt.csr_mdseac, 0, 0) @[dec_tlu_ctl.scala 2517:39] - node _T_2407 = bits(mdseac, 31, 0) @[dec_tlu_ctl.scala 2517:64] - node _T_2408 = bits(io.csr_pkt.csr_meivt, 0, 0) @[dec_tlu_ctl.scala 2518:38] - node _T_2409 = cat(meivt, UInt<10>("h00")) @[Cat.scala 29:58] - node _T_2410 = bits(io.csr_pkt.csr_meihap, 0, 0) @[dec_tlu_ctl.scala 2519:39] - node _T_2411 = cat(meivt, meihap) @[Cat.scala 29:58] - node _T_2412 = cat(_T_2411, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_2413 = bits(io.csr_pkt.csr_meicurpl, 0, 0) @[dec_tlu_ctl.scala 2520:41] - node _T_2414 = bits(meicurpl, 3, 0) @[dec_tlu_ctl.scala 2520:81] - node _T_2415 = cat(UInt<28>("h00"), _T_2414) @[Cat.scala 29:58] - node _T_2416 = bits(io.csr_pkt.csr_meicidpl, 0, 0) @[dec_tlu_ctl.scala 2521:41] - node _T_2417 = bits(meicidpl, 3, 0) @[dec_tlu_ctl.scala 2521:81] - node _T_2418 = cat(UInt<28>("h00"), _T_2417) @[Cat.scala 29:58] - node _T_2419 = bits(io.csr_pkt.csr_meipt, 0, 0) @[dec_tlu_ctl.scala 2522:38] - node _T_2420 = bits(meipt, 3, 0) @[dec_tlu_ctl.scala 2522:78] - node _T_2421 = cat(UInt<28>("h00"), _T_2420) @[Cat.scala 29:58] - node _T_2422 = bits(io.csr_pkt.csr_mcgc, 0, 0) @[dec_tlu_ctl.scala 2523:37] - node _T_2423 = bits(mcgc, 8, 0) @[dec_tlu_ctl.scala 2523:77] - node _T_2424 = cat(UInt<23>("h00"), _T_2423) @[Cat.scala 29:58] - node _T_2425 = bits(io.csr_pkt.csr_mfdc, 0, 0) @[dec_tlu_ctl.scala 2524:37] - node _T_2426 = bits(mfdc, 18, 0) @[dec_tlu_ctl.scala 2524:77] - node _T_2427 = cat(UInt<13>("h00"), _T_2426) @[Cat.scala 29:58] - node _T_2428 = bits(io.csr_pkt.csr_dcsr, 0, 0) @[dec_tlu_ctl.scala 2525:37] - node _T_2429 = bits(io.dcsr, 15, 2) @[dec_tlu_ctl.scala 2525:85] - node _T_2430 = cat(UInt<16>("h04000"), _T_2429) @[Cat.scala 29:58] - node _T_2431 = cat(_T_2430, UInt<2>("h03")) @[Cat.scala 29:58] - node _T_2432 = bits(io.csr_pkt.csr_dpc, 0, 0) @[dec_tlu_ctl.scala 2526:36] - node _T_2433 = cat(io.dpc, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2434 = bits(io.csr_pkt.csr_dicad0, 0, 0) @[dec_tlu_ctl.scala 2527:39] - node _T_2435 = bits(dicad0, 31, 0) @[dec_tlu_ctl.scala 2527:64] - node _T_2436 = bits(io.csr_pkt.csr_dicad0h, 0, 0) @[dec_tlu_ctl.scala 2528:40] - node _T_2437 = bits(dicad0h, 31, 0) @[dec_tlu_ctl.scala 2528:65] - node _T_2438 = bits(io.csr_pkt.csr_dicad1, 0, 0) @[dec_tlu_ctl.scala 2529:39] - node _T_2439 = bits(dicad1, 31, 0) @[dec_tlu_ctl.scala 2529:64] - node _T_2440 = bits(io.csr_pkt.csr_dicawics, 0, 0) @[dec_tlu_ctl.scala 2530:41] - node _T_2441 = bits(dicawics, 16, 16) @[dec_tlu_ctl.scala 2530:80] - node _T_2442 = bits(dicawics, 15, 14) @[dec_tlu_ctl.scala 2530:104] - node _T_2443 = bits(dicawics, 13, 0) @[dec_tlu_ctl.scala 2530:131] - node _T_2444 = cat(UInt<3>("h00"), _T_2443) @[Cat.scala 29:58] - node _T_2445 = cat(_T_2444, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_2446 = cat(UInt<2>("h00"), _T_2442) @[Cat.scala 29:58] - node _T_2447 = cat(UInt<7>("h00"), _T_2441) @[Cat.scala 29:58] - node _T_2448 = cat(_T_2447, _T_2446) @[Cat.scala 29:58] - node _T_2449 = cat(_T_2448, _T_2445) @[Cat.scala 29:58] - node _T_2450 = bits(io.csr_pkt.csr_mtsel, 0, 0) @[dec_tlu_ctl.scala 2531:38] - node _T_2451 = bits(mtsel, 1, 0) @[dec_tlu_ctl.scala 2531:78] - node _T_2452 = cat(UInt<30>("h00"), _T_2451) @[Cat.scala 29:58] - node _T_2453 = bits(io.csr_pkt.csr_mtdata1, 0, 0) @[dec_tlu_ctl.scala 2532:40] - node _T_2454 = bits(mtdata1_tsel_out, 31, 0) @[dec_tlu_ctl.scala 2532:74] - node _T_2455 = bits(io.csr_pkt.csr_mtdata2, 0, 0) @[dec_tlu_ctl.scala 2533:40] - node _T_2456 = bits(mtdata2_tsel_out, 31, 0) @[dec_tlu_ctl.scala 2533:74] - node _T_2457 = bits(io.csr_pkt.csr_micect, 0, 0) @[dec_tlu_ctl.scala 2534:39] - node _T_2458 = bits(micect, 31, 0) @[dec_tlu_ctl.scala 2534:64] - node _T_2459 = bits(io.csr_pkt.csr_miccmect, 0, 0) @[dec_tlu_ctl.scala 2535:41] - node _T_2460 = bits(miccmect, 31, 0) @[dec_tlu_ctl.scala 2535:66] - node _T_2461 = bits(io.csr_pkt.csr_mdccmect, 0, 0) @[dec_tlu_ctl.scala 2536:41] - node _T_2462 = bits(mdccmect, 31, 0) @[dec_tlu_ctl.scala 2536:66] - node _T_2463 = bits(io.csr_pkt.csr_mhpmc3, 0, 0) @[dec_tlu_ctl.scala 2537:39] - node _T_2464 = bits(mhpmc3, 31, 0) @[dec_tlu_ctl.scala 2537:64] - node _T_2465 = bits(io.csr_pkt.csr_mhpmc4, 0, 0) @[dec_tlu_ctl.scala 2538:39] - node _T_2466 = bits(mhpmc4, 31, 0) @[dec_tlu_ctl.scala 2538:64] - node _T_2467 = bits(io.csr_pkt.csr_mhpmc5, 0, 0) @[dec_tlu_ctl.scala 2539:39] - node _T_2468 = bits(mhpmc5, 31, 0) @[dec_tlu_ctl.scala 2539:64] - node _T_2469 = bits(io.csr_pkt.csr_mhpmc6, 0, 0) @[dec_tlu_ctl.scala 2540:39] - node _T_2470 = bits(mhpmc6, 31, 0) @[dec_tlu_ctl.scala 2540:64] - node _T_2471 = bits(io.csr_pkt.csr_mhpmc3h, 0, 0) @[dec_tlu_ctl.scala 2541:40] - node _T_2472 = bits(mhpmc3h, 31, 0) @[dec_tlu_ctl.scala 2541:65] - node _T_2473 = bits(io.csr_pkt.csr_mhpmc4h, 0, 0) @[dec_tlu_ctl.scala 2542:40] - node _T_2474 = bits(mhpmc4h, 31, 0) @[dec_tlu_ctl.scala 2542:65] - node _T_2475 = bits(io.csr_pkt.csr_mhpmc5h, 0, 0) @[dec_tlu_ctl.scala 2543:40] - node _T_2476 = bits(mhpmc5h, 31, 0) @[dec_tlu_ctl.scala 2543:65] - node _T_2477 = bits(io.csr_pkt.csr_mhpmc6h, 0, 0) @[dec_tlu_ctl.scala 2544:40] - node _T_2478 = bits(mhpmc6h, 31, 0) @[dec_tlu_ctl.scala 2544:65] - node _T_2479 = bits(io.csr_pkt.csr_mfdht, 0, 0) @[dec_tlu_ctl.scala 2545:38] - node _T_2480 = bits(mfdht, 5, 0) @[dec_tlu_ctl.scala 2545:78] - node _T_2481 = cat(UInt<26>("h00"), _T_2480) @[Cat.scala 29:58] - node _T_2482 = bits(io.csr_pkt.csr_mfdhs, 0, 0) @[dec_tlu_ctl.scala 2546:38] - node _T_2483 = bits(mfdhs, 1, 0) @[dec_tlu_ctl.scala 2546:78] - node _T_2484 = cat(UInt<30>("h00"), _T_2483) @[Cat.scala 29:58] - node _T_2485 = bits(io.csr_pkt.csr_mhpme3, 0, 0) @[dec_tlu_ctl.scala 2547:39] - node _T_2486 = bits(mhpme3, 9, 0) @[dec_tlu_ctl.scala 2547:79] - node _T_2487 = cat(UInt<22>("h00"), _T_2486) @[Cat.scala 29:58] - node _T_2488 = bits(io.csr_pkt.csr_mhpme4, 0, 0) @[dec_tlu_ctl.scala 2548:39] - node _T_2489 = bits(mhpme4, 9, 0) @[dec_tlu_ctl.scala 2548:79] - node _T_2490 = cat(UInt<22>("h00"), _T_2489) @[Cat.scala 29:58] - node _T_2491 = bits(io.csr_pkt.csr_mhpme5, 0, 0) @[dec_tlu_ctl.scala 2549:39] - node _T_2492 = bits(mhpme5, 9, 0) @[dec_tlu_ctl.scala 2549:78] - node _T_2493 = cat(UInt<22>("h00"), _T_2492) @[Cat.scala 29:58] - node _T_2494 = bits(io.csr_pkt.csr_mhpme6, 0, 0) @[dec_tlu_ctl.scala 2550:39] - node _T_2495 = bits(mhpme6, 9, 0) @[dec_tlu_ctl.scala 2550:78] - node _T_2496 = cat(UInt<22>("h00"), _T_2495) @[Cat.scala 29:58] - node _T_2497 = bits(io.csr_pkt.csr_mcountinhibit, 0, 0) @[dec_tlu_ctl.scala 2551:46] - node _T_2498 = bits(mcountinhibit, 6, 0) @[dec_tlu_ctl.scala 2551:86] - node _T_2499 = cat(UInt<25>("h00"), _T_2498) @[Cat.scala 29:58] - node _T_2500 = bits(io.csr_pkt.csr_mpmc, 0, 0) @[dec_tlu_ctl.scala 2552:37] - node _T_2501 = cat(UInt<30>("h00"), mpmc) @[Cat.scala 29:58] - node _T_2502 = cat(_T_2501, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2503 = bits(io.dec_timer_read_d, 0, 0) @[dec_tlu_ctl.scala 2553:37] - node _T_2504 = bits(io.dec_timer_rddata_d, 31, 0) @[dec_tlu_ctl.scala 2553:76] - node _T_2505 = mux(_T_2339, UInt<32>("h040001104"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2506 = mux(_T_2340, UInt<32>("h045"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2507 = mux(_T_2341, UInt<32>("h010"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2508 = mux(_T_2342, UInt<32>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2509 = mux(_T_2343, _T_2344, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2510 = mux(_T_2345, _T_2353, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2511 = mux(_T_2354, _T_2358, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2512 = mux(_T_2359, _T_2371, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2513 = mux(_T_2372, _T_2384, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2514 = mux(_T_2385, _T_2386, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2515 = mux(_T_2387, _T_2388, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2516 = mux(_T_2389, _T_2390, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2517 = mux(_T_2391, _T_2392, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2518 = mux(_T_2393, _T_2394, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2519 = mux(_T_2395, _T_2396, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2520 = mux(_T_2397, _T_2398, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2521 = mux(_T_2399, _T_2401, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2522 = mux(_T_2402, _T_2403, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2523 = mux(_T_2404, _T_2405, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2524 = mux(_T_2406, _T_2407, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2525 = mux(_T_2408, _T_2409, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2526 = mux(_T_2410, _T_2412, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2527 = mux(_T_2413, _T_2415, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2528 = mux(_T_2416, _T_2418, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2529 = mux(_T_2419, _T_2421, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2530 = mux(_T_2422, _T_2424, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2531 = mux(_T_2425, _T_2427, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2532 = mux(_T_2428, _T_2431, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2533 = mux(_T_2432, _T_2433, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2534 = mux(_T_2434, _T_2435, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2535 = mux(_T_2436, _T_2437, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2536 = mux(_T_2438, _T_2439, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2537 = mux(_T_2440, _T_2449, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2538 = mux(_T_2450, _T_2452, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2539 = mux(_T_2453, _T_2454, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2540 = mux(_T_2455, _T_2456, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2541 = mux(_T_2457, _T_2458, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2542 = mux(_T_2459, _T_2460, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2543 = mux(_T_2461, _T_2462, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2544 = mux(_T_2463, _T_2464, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2545 = mux(_T_2465, _T_2466, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2546 = mux(_T_2467, _T_2468, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2547 = mux(_T_2469, _T_2470, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2548 = mux(_T_2471, _T_2472, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2549 = mux(_T_2473, _T_2474, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2550 = mux(_T_2475, _T_2476, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2551 = mux(_T_2477, _T_2478, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2552 = mux(_T_2479, _T_2481, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2553 = mux(_T_2482, _T_2484, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2554 = mux(_T_2485, _T_2487, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2555 = mux(_T_2488, _T_2490, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2556 = mux(_T_2491, _T_2493, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2557 = mux(_T_2494, _T_2496, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2558 = mux(_T_2497, _T_2499, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2559 = mux(_T_2500, _T_2502, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2560 = mux(_T_2503, _T_2504, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2561 = or(_T_2505, _T_2506) @[Mux.scala 27:72] + reg _T_2320 : UInt, rvclkhdr_34.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2469:62] + _T_2320 <= io.i0_valid_wb @[dec_tlu_ctl.scala 2469:62] + io.dec_tlu_i0_valid_wb1 <= _T_2320 @[dec_tlu_ctl.scala 2469:30] + node _T_2321 = or(io.i0_exception_valid_r_d1, io.lsu_i0_exc_r_d1) @[dec_tlu_ctl.scala 2470:91] + node _T_2322 = not(io.trigger_hit_dmode_r_d1) @[dec_tlu_ctl.scala 2470:137] + node _T_2323 = and(io.trigger_hit_r_d1, _T_2322) @[dec_tlu_ctl.scala 2470:135] + node _T_2324 = or(_T_2321, _T_2323) @[dec_tlu_ctl.scala 2470:112] + reg _T_2325 : UInt, rvclkhdr_34.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2470:62] + _T_2325 <= _T_2324 @[dec_tlu_ctl.scala 2470:62] + io.dec_tlu_i0_exc_valid_wb1 <= _T_2325 @[dec_tlu_ctl.scala 2470:30] + reg _T_2326 : UInt, rvclkhdr_34.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2471:62] + _T_2326 <= io.exc_cause_wb @[dec_tlu_ctl.scala 2471:62] + io.dec_tlu_exc_cause_wb1 <= _T_2326 @[dec_tlu_ctl.scala 2471:30] + reg _T_2327 : UInt, rvclkhdr_34.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2472:62] + _T_2327 <= io.interrupt_valid_r_d1 @[dec_tlu_ctl.scala 2472:62] + io.dec_tlu_int_valid_wb1 <= _T_2327 @[dec_tlu_ctl.scala 2472:30] + io.dec_tlu_mtval_wb1 <= mtval @[dec_tlu_ctl.scala 2474:24] + node _T_2328 = bits(io.csr_pkt.csr_misa, 0, 0) @[dec_tlu_ctl.scala 2480:61] + node _T_2329 = bits(io.csr_pkt.csr_mvendorid, 0, 0) @[dec_tlu_ctl.scala 2481:42] + node _T_2330 = bits(io.csr_pkt.csr_marchid, 0, 0) @[dec_tlu_ctl.scala 2482:40] + node _T_2331 = bits(io.csr_pkt.csr_mimpid, 0, 0) @[dec_tlu_ctl.scala 2483:39] + node _T_2332 = bits(io.csr_pkt.csr_mhartid, 0, 0) @[dec_tlu_ctl.scala 2484:40] + node _T_2333 = cat(io.core_id, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_2334 = bits(io.csr_pkt.csr_mstatus, 0, 0) @[dec_tlu_ctl.scala 2485:40] + node _T_2335 = bits(io.mstatus, 1, 1) @[dec_tlu_ctl.scala 2485:103] + node _T_2336 = bits(io.mstatus, 0, 0) @[dec_tlu_ctl.scala 2485:128] + node _T_2337 = cat(UInt<3>("h00"), _T_2336) @[Cat.scala 29:58] + node _T_2338 = cat(_T_2337, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2339 = cat(UInt<3>("h00"), _T_2335) @[Cat.scala 29:58] + node _T_2340 = cat(UInt<19>("h00"), UInt<2>("h03")) @[Cat.scala 29:58] + node _T_2341 = cat(_T_2340, _T_2339) @[Cat.scala 29:58] + node _T_2342 = cat(_T_2341, _T_2338) @[Cat.scala 29:58] + node _T_2343 = bits(io.csr_pkt.csr_mtvec, 0, 0) @[dec_tlu_ctl.scala 2486:38] + node _T_2344 = bits(io.mtvec, 30, 1) @[dec_tlu_ctl.scala 2486:70] + node _T_2345 = bits(io.mtvec, 0, 0) @[dec_tlu_ctl.scala 2486:96] + node _T_2346 = cat(_T_2344, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2347 = cat(_T_2346, _T_2345) @[Cat.scala 29:58] + node _T_2348 = bits(io.csr_pkt.csr_mip, 0, 0) @[dec_tlu_ctl.scala 2487:36] + node _T_2349 = bits(io.mip, 5, 3) @[dec_tlu_ctl.scala 2487:78] + node _T_2350 = bits(io.mip, 2, 2) @[dec_tlu_ctl.scala 2487:102] + node _T_2351 = bits(io.mip, 1, 1) @[dec_tlu_ctl.scala 2487:123] + node _T_2352 = bits(io.mip, 0, 0) @[dec_tlu_ctl.scala 2487:144] + node _T_2353 = cat(_T_2352, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2354 = cat(_T_2351, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2355 = cat(_T_2354, _T_2353) @[Cat.scala 29:58] + node _T_2356 = cat(_T_2350, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2357 = cat(UInt<1>("h00"), _T_2349) @[Cat.scala 29:58] + node _T_2358 = cat(_T_2357, UInt<16>("h00")) @[Cat.scala 29:58] + node _T_2359 = cat(_T_2358, _T_2356) @[Cat.scala 29:58] + node _T_2360 = cat(_T_2359, _T_2355) @[Cat.scala 29:58] + node _T_2361 = bits(io.csr_pkt.csr_mie, 0, 0) @[dec_tlu_ctl.scala 2488:36] + node _T_2362 = bits(mie, 5, 3) @[dec_tlu_ctl.scala 2488:75] + node _T_2363 = bits(mie, 2, 2) @[dec_tlu_ctl.scala 2488:96] + node _T_2364 = bits(mie, 1, 1) @[dec_tlu_ctl.scala 2488:114] + node _T_2365 = bits(mie, 0, 0) @[dec_tlu_ctl.scala 2488:132] + node _T_2366 = cat(_T_2365, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2367 = cat(_T_2364, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2368 = cat(_T_2367, _T_2366) @[Cat.scala 29:58] + node _T_2369 = cat(_T_2363, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2370 = cat(UInt<1>("h00"), _T_2362) @[Cat.scala 29:58] + node _T_2371 = cat(_T_2370, UInt<16>("h00")) @[Cat.scala 29:58] + node _T_2372 = cat(_T_2371, _T_2369) @[Cat.scala 29:58] + node _T_2373 = cat(_T_2372, _T_2368) @[Cat.scala 29:58] + node _T_2374 = bits(io.csr_pkt.csr_mcyclel, 0, 0) @[dec_tlu_ctl.scala 2489:40] + node _T_2375 = bits(mcyclel, 31, 0) @[dec_tlu_ctl.scala 2489:65] + node _T_2376 = bits(io.csr_pkt.csr_mcycleh, 0, 0) @[dec_tlu_ctl.scala 2490:40] + node _T_2377 = bits(mcycleh_inc, 31, 0) @[dec_tlu_ctl.scala 2490:69] + node _T_2378 = bits(io.csr_pkt.csr_minstretl, 0, 0) @[dec_tlu_ctl.scala 2491:42] + node _T_2379 = bits(minstretl, 31, 0) @[dec_tlu_ctl.scala 2491:72] + node _T_2380 = bits(io.csr_pkt.csr_minstreth, 0, 0) @[dec_tlu_ctl.scala 2492:42] + node _T_2381 = bits(minstreth_inc, 31, 0) @[dec_tlu_ctl.scala 2492:72] + node _T_2382 = bits(io.csr_pkt.csr_mscratch, 0, 0) @[dec_tlu_ctl.scala 2493:41] + node _T_2383 = bits(mscratch, 31, 0) @[dec_tlu_ctl.scala 2493:66] + node _T_2384 = bits(io.csr_pkt.csr_mepc, 0, 0) @[dec_tlu_ctl.scala 2494:37] + node _T_2385 = cat(io.mepc, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2386 = bits(io.csr_pkt.csr_mcause, 0, 0) @[dec_tlu_ctl.scala 2495:39] + node _T_2387 = bits(mcause, 31, 0) @[dec_tlu_ctl.scala 2495:64] + node _T_2388 = bits(io.csr_pkt.csr_mscause, 0, 0) @[dec_tlu_ctl.scala 2496:40] + node _T_2389 = bits(mscause, 3, 0) @[dec_tlu_ctl.scala 2496:80] + node _T_2390 = cat(UInt<28>("h00"), _T_2389) @[Cat.scala 29:58] + node _T_2391 = bits(io.csr_pkt.csr_mtval, 0, 0) @[dec_tlu_ctl.scala 2497:38] + node _T_2392 = bits(mtval, 31, 0) @[dec_tlu_ctl.scala 2497:63] + node _T_2393 = bits(io.csr_pkt.csr_mrac, 0, 0) @[dec_tlu_ctl.scala 2498:37] + node _T_2394 = bits(mrac, 31, 0) @[dec_tlu_ctl.scala 2498:62] + node _T_2395 = bits(io.csr_pkt.csr_mdseac, 0, 0) @[dec_tlu_ctl.scala 2499:39] + node _T_2396 = bits(mdseac, 31, 0) @[dec_tlu_ctl.scala 2499:64] + node _T_2397 = bits(io.csr_pkt.csr_meivt, 0, 0) @[dec_tlu_ctl.scala 2500:38] + node _T_2398 = cat(meivt, UInt<10>("h00")) @[Cat.scala 29:58] + node _T_2399 = bits(io.csr_pkt.csr_meihap, 0, 0) @[dec_tlu_ctl.scala 2501:39] + node _T_2400 = cat(meivt, meihap) @[Cat.scala 29:58] + node _T_2401 = cat(_T_2400, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_2402 = bits(io.csr_pkt.csr_meicurpl, 0, 0) @[dec_tlu_ctl.scala 2502:41] + node _T_2403 = bits(meicurpl, 3, 0) @[dec_tlu_ctl.scala 2502:81] + node _T_2404 = cat(UInt<28>("h00"), _T_2403) @[Cat.scala 29:58] + node _T_2405 = bits(io.csr_pkt.csr_meicidpl, 0, 0) @[dec_tlu_ctl.scala 2503:41] + node _T_2406 = bits(meicidpl, 3, 0) @[dec_tlu_ctl.scala 2503:81] + node _T_2407 = cat(UInt<28>("h00"), _T_2406) @[Cat.scala 29:58] + node _T_2408 = bits(io.csr_pkt.csr_meipt, 0, 0) @[dec_tlu_ctl.scala 2504:38] + node _T_2409 = bits(meipt, 3, 0) @[dec_tlu_ctl.scala 2504:78] + node _T_2410 = cat(UInt<28>("h00"), _T_2409) @[Cat.scala 29:58] + node _T_2411 = bits(io.csr_pkt.csr_mcgc, 0, 0) @[dec_tlu_ctl.scala 2505:37] + node _T_2412 = bits(mcgc, 8, 0) @[dec_tlu_ctl.scala 2505:77] + node _T_2413 = cat(UInt<23>("h00"), _T_2412) @[Cat.scala 29:58] + node _T_2414 = bits(io.csr_pkt.csr_mfdc, 0, 0) @[dec_tlu_ctl.scala 2506:37] + node _T_2415 = bits(mfdc, 18, 0) @[dec_tlu_ctl.scala 2506:77] + node _T_2416 = cat(UInt<13>("h00"), _T_2415) @[Cat.scala 29:58] + node _T_2417 = bits(io.csr_pkt.csr_dcsr, 0, 0) @[dec_tlu_ctl.scala 2507:37] + node _T_2418 = bits(io.dcsr, 15, 2) @[dec_tlu_ctl.scala 2507:85] + node _T_2419 = cat(UInt<16>("h04000"), _T_2418) @[Cat.scala 29:58] + node _T_2420 = cat(_T_2419, UInt<2>("h03")) @[Cat.scala 29:58] + node _T_2421 = bits(io.csr_pkt.csr_dpc, 0, 0) @[dec_tlu_ctl.scala 2508:36] + node _T_2422 = cat(io.dpc, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2423 = bits(io.csr_pkt.csr_dicad0, 0, 0) @[dec_tlu_ctl.scala 2509:39] + node _T_2424 = bits(dicad0, 31, 0) @[dec_tlu_ctl.scala 2509:64] + node _T_2425 = bits(io.csr_pkt.csr_dicad0h, 0, 0) @[dec_tlu_ctl.scala 2510:40] + node _T_2426 = bits(dicad0h, 31, 0) @[dec_tlu_ctl.scala 2510:65] + node _T_2427 = bits(io.csr_pkt.csr_dicad1, 0, 0) @[dec_tlu_ctl.scala 2511:39] + node _T_2428 = bits(dicad1, 31, 0) @[dec_tlu_ctl.scala 2511:64] + node _T_2429 = bits(io.csr_pkt.csr_dicawics, 0, 0) @[dec_tlu_ctl.scala 2512:41] + node _T_2430 = bits(dicawics, 16, 16) @[dec_tlu_ctl.scala 2512:80] + node _T_2431 = bits(dicawics, 15, 14) @[dec_tlu_ctl.scala 2512:104] + node _T_2432 = bits(dicawics, 13, 0) @[dec_tlu_ctl.scala 2512:131] + node _T_2433 = cat(UInt<3>("h00"), _T_2432) @[Cat.scala 29:58] + node _T_2434 = cat(_T_2433, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2435 = cat(UInt<2>("h00"), _T_2431) @[Cat.scala 29:58] + node _T_2436 = cat(UInt<7>("h00"), _T_2430) @[Cat.scala 29:58] + node _T_2437 = cat(_T_2436, _T_2435) @[Cat.scala 29:58] + node _T_2438 = cat(_T_2437, _T_2434) @[Cat.scala 29:58] + node _T_2439 = bits(io.csr_pkt.csr_mtsel, 0, 0) @[dec_tlu_ctl.scala 2513:38] + node _T_2440 = bits(mtsel, 1, 0) @[dec_tlu_ctl.scala 2513:78] + node _T_2441 = cat(UInt<30>("h00"), _T_2440) @[Cat.scala 29:58] + node _T_2442 = bits(io.csr_pkt.csr_mtdata1, 0, 0) @[dec_tlu_ctl.scala 2514:40] + node _T_2443 = bits(mtdata1_tsel_out, 31, 0) @[dec_tlu_ctl.scala 2514:74] + node _T_2444 = bits(io.csr_pkt.csr_mtdata2, 0, 0) @[dec_tlu_ctl.scala 2515:40] + node _T_2445 = bits(mtdata2_tsel_out, 31, 0) @[dec_tlu_ctl.scala 2515:74] + node _T_2446 = bits(io.csr_pkt.csr_micect, 0, 0) @[dec_tlu_ctl.scala 2516:39] + node _T_2447 = bits(micect, 31, 0) @[dec_tlu_ctl.scala 2516:64] + node _T_2448 = bits(io.csr_pkt.csr_miccmect, 0, 0) @[dec_tlu_ctl.scala 2517:41] + node _T_2449 = bits(miccmect, 31, 0) @[dec_tlu_ctl.scala 2517:66] + node _T_2450 = bits(io.csr_pkt.csr_mdccmect, 0, 0) @[dec_tlu_ctl.scala 2518:41] + node _T_2451 = bits(mdccmect, 31, 0) @[dec_tlu_ctl.scala 2518:66] + node _T_2452 = bits(io.csr_pkt.csr_mhpmc3, 0, 0) @[dec_tlu_ctl.scala 2519:39] + node _T_2453 = bits(mhpmc3, 31, 0) @[dec_tlu_ctl.scala 2519:64] + node _T_2454 = bits(io.csr_pkt.csr_mhpmc4, 0, 0) @[dec_tlu_ctl.scala 2520:39] + node _T_2455 = bits(mhpmc4, 31, 0) @[dec_tlu_ctl.scala 2520:64] + node _T_2456 = bits(io.csr_pkt.csr_mhpmc5, 0, 0) @[dec_tlu_ctl.scala 2521:39] + node _T_2457 = bits(mhpmc5, 31, 0) @[dec_tlu_ctl.scala 2521:64] + node _T_2458 = bits(io.csr_pkt.csr_mhpmc6, 0, 0) @[dec_tlu_ctl.scala 2522:39] + node _T_2459 = bits(mhpmc6, 31, 0) @[dec_tlu_ctl.scala 2522:64] + node _T_2460 = bits(io.csr_pkt.csr_mhpmc3h, 0, 0) @[dec_tlu_ctl.scala 2523:40] + node _T_2461 = bits(mhpmc3h, 31, 0) @[dec_tlu_ctl.scala 2523:65] + node _T_2462 = bits(io.csr_pkt.csr_mhpmc4h, 0, 0) @[dec_tlu_ctl.scala 2524:40] + node _T_2463 = bits(mhpmc4h, 31, 0) @[dec_tlu_ctl.scala 2524:65] + node _T_2464 = bits(io.csr_pkt.csr_mhpmc5h, 0, 0) @[dec_tlu_ctl.scala 2525:40] + node _T_2465 = bits(mhpmc5h, 31, 0) @[dec_tlu_ctl.scala 2525:65] + node _T_2466 = bits(io.csr_pkt.csr_mhpmc6h, 0, 0) @[dec_tlu_ctl.scala 2526:40] + node _T_2467 = bits(mhpmc6h, 31, 0) @[dec_tlu_ctl.scala 2526:65] + node _T_2468 = bits(io.csr_pkt.csr_mfdht, 0, 0) @[dec_tlu_ctl.scala 2527:38] + node _T_2469 = bits(mfdht, 5, 0) @[dec_tlu_ctl.scala 2527:78] + node _T_2470 = cat(UInt<26>("h00"), _T_2469) @[Cat.scala 29:58] + node _T_2471 = bits(io.csr_pkt.csr_mfdhs, 0, 0) @[dec_tlu_ctl.scala 2528:38] + node _T_2472 = bits(mfdhs, 1, 0) @[dec_tlu_ctl.scala 2528:78] + node _T_2473 = cat(UInt<30>("h00"), _T_2472) @[Cat.scala 29:58] + node _T_2474 = bits(io.csr_pkt.csr_mhpme3, 0, 0) @[dec_tlu_ctl.scala 2529:39] + node _T_2475 = bits(mhpme3, 9, 0) @[dec_tlu_ctl.scala 2529:79] + node _T_2476 = cat(UInt<22>("h00"), _T_2475) @[Cat.scala 29:58] + node _T_2477 = bits(io.csr_pkt.csr_mhpme4, 0, 0) @[dec_tlu_ctl.scala 2530:39] + node _T_2478 = bits(mhpme4, 9, 0) @[dec_tlu_ctl.scala 2530:79] + node _T_2479 = cat(UInt<22>("h00"), _T_2478) @[Cat.scala 29:58] + node _T_2480 = bits(io.csr_pkt.csr_mhpme5, 0, 0) @[dec_tlu_ctl.scala 2531:39] + node _T_2481 = bits(mhpme5, 9, 0) @[dec_tlu_ctl.scala 2531:78] + node _T_2482 = cat(UInt<22>("h00"), _T_2481) @[Cat.scala 29:58] + node _T_2483 = bits(io.csr_pkt.csr_mhpme6, 0, 0) @[dec_tlu_ctl.scala 2532:39] + node _T_2484 = bits(mhpme6, 9, 0) @[dec_tlu_ctl.scala 2532:78] + node _T_2485 = cat(UInt<22>("h00"), _T_2484) @[Cat.scala 29:58] + node _T_2486 = bits(io.csr_pkt.csr_mcountinhibit, 0, 0) @[dec_tlu_ctl.scala 2533:46] + node _T_2487 = bits(mcountinhibit, 6, 0) @[dec_tlu_ctl.scala 2533:86] + node _T_2488 = cat(UInt<25>("h00"), _T_2487) @[Cat.scala 29:58] + node _T_2489 = bits(io.csr_pkt.csr_mpmc, 0, 0) @[dec_tlu_ctl.scala 2534:37] + node _T_2490 = cat(UInt<30>("h00"), mpmc) @[Cat.scala 29:58] + node _T_2491 = cat(_T_2490, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2492 = bits(io.dec_timer_read_d, 0, 0) @[dec_tlu_ctl.scala 2535:37] + node _T_2493 = bits(io.dec_timer_rddata_d, 31, 0) @[dec_tlu_ctl.scala 2535:76] + node _T_2494 = mux(_T_2328, UInt<32>("h040001104"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2495 = mux(_T_2329, UInt<32>("h045"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2496 = mux(_T_2330, UInt<32>("h010"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2497 = mux(_T_2331, UInt<32>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2498 = mux(_T_2332, _T_2333, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2499 = mux(_T_2334, _T_2342, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2500 = mux(_T_2343, _T_2347, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2501 = mux(_T_2348, _T_2360, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2502 = mux(_T_2361, _T_2373, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2503 = mux(_T_2374, _T_2375, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2504 = mux(_T_2376, _T_2377, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2505 = mux(_T_2378, _T_2379, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2506 = mux(_T_2380, _T_2381, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2507 = mux(_T_2382, _T_2383, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2508 = mux(_T_2384, _T_2385, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2509 = mux(_T_2386, _T_2387, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2510 = mux(_T_2388, _T_2390, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2511 = mux(_T_2391, _T_2392, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2512 = mux(_T_2393, _T_2394, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2513 = mux(_T_2395, _T_2396, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2514 = mux(_T_2397, _T_2398, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2515 = mux(_T_2399, _T_2401, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2516 = mux(_T_2402, _T_2404, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2517 = mux(_T_2405, _T_2407, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2518 = mux(_T_2408, _T_2410, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2519 = mux(_T_2411, _T_2413, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2520 = mux(_T_2414, _T_2416, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2521 = mux(_T_2417, _T_2420, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2522 = mux(_T_2421, _T_2422, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2523 = mux(_T_2423, _T_2424, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2524 = mux(_T_2425, _T_2426, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2525 = mux(_T_2427, _T_2428, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2526 = mux(_T_2429, _T_2438, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2527 = mux(_T_2439, _T_2441, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2528 = mux(_T_2442, _T_2443, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2529 = mux(_T_2444, _T_2445, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2530 = mux(_T_2446, _T_2447, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2531 = mux(_T_2448, _T_2449, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2532 = mux(_T_2450, _T_2451, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2533 = mux(_T_2452, _T_2453, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2534 = mux(_T_2454, _T_2455, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2535 = mux(_T_2456, _T_2457, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2536 = mux(_T_2458, _T_2459, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2537 = mux(_T_2460, _T_2461, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2538 = mux(_T_2462, _T_2463, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2539 = mux(_T_2464, _T_2465, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2540 = mux(_T_2466, _T_2467, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2541 = mux(_T_2468, _T_2470, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2542 = mux(_T_2471, _T_2473, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2543 = mux(_T_2474, _T_2476, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2544 = mux(_T_2477, _T_2479, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2545 = mux(_T_2480, _T_2482, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2546 = mux(_T_2483, _T_2485, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2547 = mux(_T_2486, _T_2488, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2548 = mux(_T_2489, _T_2491, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2549 = mux(_T_2492, _T_2493, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2550 = or(_T_2494, _T_2495) @[Mux.scala 27:72] + node _T_2551 = or(_T_2550, _T_2496) @[Mux.scala 27:72] + node _T_2552 = or(_T_2551, _T_2497) @[Mux.scala 27:72] + node _T_2553 = or(_T_2552, _T_2498) @[Mux.scala 27:72] + node _T_2554 = or(_T_2553, _T_2499) @[Mux.scala 27:72] + node _T_2555 = or(_T_2554, _T_2500) @[Mux.scala 27:72] + node _T_2556 = or(_T_2555, _T_2501) @[Mux.scala 27:72] + node _T_2557 = or(_T_2556, _T_2502) @[Mux.scala 27:72] + node _T_2558 = or(_T_2557, _T_2503) @[Mux.scala 27:72] + node _T_2559 = or(_T_2558, _T_2504) @[Mux.scala 27:72] + node _T_2560 = or(_T_2559, _T_2505) @[Mux.scala 27:72] + node _T_2561 = or(_T_2560, _T_2506) @[Mux.scala 27:72] node _T_2562 = or(_T_2561, _T_2507) @[Mux.scala 27:72] node _T_2563 = or(_T_2562, _T_2508) @[Mux.scala 27:72] node _T_2564 = or(_T_2563, _T_2509) @[Mux.scala 27:72] @@ -76160,1708 +76166,1697 @@ circuit quasar_wrapper : node _T_2602 = or(_T_2601, _T_2547) @[Mux.scala 27:72] node _T_2603 = or(_T_2602, _T_2548) @[Mux.scala 27:72] node _T_2604 = or(_T_2603, _T_2549) @[Mux.scala 27:72] - node _T_2605 = or(_T_2604, _T_2550) @[Mux.scala 27:72] - node _T_2606 = or(_T_2605, _T_2551) @[Mux.scala 27:72] - node _T_2607 = or(_T_2606, _T_2552) @[Mux.scala 27:72] - node _T_2608 = or(_T_2607, _T_2553) @[Mux.scala 27:72] - node _T_2609 = or(_T_2608, _T_2554) @[Mux.scala 27:72] - node _T_2610 = or(_T_2609, _T_2555) @[Mux.scala 27:72] - node _T_2611 = or(_T_2610, _T_2556) @[Mux.scala 27:72] - node _T_2612 = or(_T_2611, _T_2557) @[Mux.scala 27:72] - node _T_2613 = or(_T_2612, _T_2558) @[Mux.scala 27:72] - node _T_2614 = or(_T_2613, _T_2559) @[Mux.scala 27:72] - node _T_2615 = or(_T_2614, _T_2560) @[Mux.scala 27:72] - wire _T_2616 : UInt @[Mux.scala 27:72] - _T_2616 <= _T_2615 @[Mux.scala 27:72] - io.dec_csr_rddata_d <= _T_2616 @[dec_tlu_ctl.scala 2497:21] + wire _T_2605 : UInt @[Mux.scala 27:72] + _T_2605 <= _T_2604 @[Mux.scala 27:72] + io.dec_csr_rddata_d <= _T_2605 @[dec_tlu_ctl.scala 2479:21] module dec_decode_csr_read : input clock : Clock input reset : AsyncReset output io : {flip dec_csr_rdaddr_d : UInt<12>, csr_pkt : {csr_misa : UInt<1>, csr_mvendorid : UInt<1>, csr_marchid : UInt<1>, csr_mimpid : UInt<1>, csr_mhartid : UInt<1>, csr_mstatus : UInt<1>, csr_mtvec : UInt<1>, csr_mip : UInt<1>, csr_mie : UInt<1>, csr_mcyclel : UInt<1>, csr_mcycleh : UInt<1>, csr_minstretl : UInt<1>, csr_minstreth : UInt<1>, csr_mscratch : UInt<1>, csr_mepc : UInt<1>, csr_mcause : UInt<1>, csr_mscause : UInt<1>, csr_mtval : UInt<1>, csr_mrac : UInt<1>, csr_dmst : UInt<1>, csr_mdseac : UInt<1>, csr_meihap : UInt<1>, csr_meivt : UInt<1>, csr_meipt : UInt<1>, csr_meicurpl : UInt<1>, csr_meicidpl : UInt<1>, csr_dcsr : UInt<1>, csr_mcgc : UInt<1>, csr_mfdc : UInt<1>, csr_dpc : UInt<1>, csr_mtsel : UInt<1>, csr_mtdata1 : UInt<1>, csr_mtdata2 : UInt<1>, csr_mhpmc3 : UInt<1>, csr_mhpmc4 : UInt<1>, csr_mhpmc5 : UInt<1>, csr_mhpmc6 : UInt<1>, csr_mhpmc3h : UInt<1>, csr_mhpmc4h : UInt<1>, csr_mhpmc5h : UInt<1>, csr_mhpmc6h : UInt<1>, csr_mhpme3 : UInt<1>, csr_mhpme4 : UInt<1>, csr_mhpme5 : UInt<1>, csr_mhpme6 : UInt<1>, csr_mcountinhibit : UInt<1>, csr_mitctl0 : UInt<1>, csr_mitctl1 : UInt<1>, csr_mitb0 : UInt<1>, csr_mitb1 : UInt<1>, csr_mitcnt0 : UInt<1>, csr_mitcnt1 : UInt<1>, csr_mpmc : UInt<1>, csr_mcpc : UInt<1>, csr_meicpct : UInt<1>, csr_mdeau : UInt<1>, csr_micect : UInt<1>, csr_miccmect : UInt<1>, csr_mdccmect : UInt<1>, csr_mfdht : UInt<1>, csr_mfdhs : UInt<1>, csr_dicawics : UInt<1>, csr_dicad0h : UInt<1>, csr_dicad0 : UInt<1>, csr_dicad1 : UInt<1>, csr_dicago : UInt<1>, presync : UInt<1>, postsync : UInt<1>, legal : UInt<1>}} - node _T = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:149] - node _T_1 = eq(_T, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_2 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:149] - node _T_3 = eq(_T_2, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_4 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:149] - node _T_5 = eq(_T_4, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_6 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:149] - node _T_7 = eq(_T_6, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_8 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:106] - node _T_9 = and(_T_1, _T_3) @[dec_tlu_ctl.scala 2569:198] - node _T_10 = and(_T_9, _T_5) @[dec_tlu_ctl.scala 2569:198] - node _T_11 = and(_T_10, _T_7) @[dec_tlu_ctl.scala 2569:198] - node _T_12 = and(_T_11, _T_8) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_misa <= _T_12 @[dec_tlu_ctl.scala 2571:57] - node _T_13 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:106] - node _T_14 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:149] - node _T_15 = eq(_T_14, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_16 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:149] - node _T_17 = eq(_T_16, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_18 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:106] - node _T_19 = and(_T_13, _T_15) @[dec_tlu_ctl.scala 2569:198] - node _T_20 = and(_T_19, _T_17) @[dec_tlu_ctl.scala 2569:198] - node _T_21 = and(_T_20, _T_18) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mvendorid <= _T_21 @[dec_tlu_ctl.scala 2572:57] - node _T_22 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:106] - node _T_23 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:149] - node _T_24 = eq(_T_23, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_25 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:106] - node _T_26 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:185] - node _T_27 = eq(_T_26, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:165] - node _T_28 = and(_T_22, _T_24) @[dec_tlu_ctl.scala 2569:198] - node _T_29 = and(_T_28, _T_25) @[dec_tlu_ctl.scala 2569:198] - node _T_30 = and(_T_29, _T_27) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_marchid <= _T_30 @[dec_tlu_ctl.scala 2573:57] - node _T_31 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:106] - node _T_32 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:149] - node _T_33 = eq(_T_32, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_34 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:106] - node _T_35 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:106] - node _T_36 = and(_T_31, _T_33) @[dec_tlu_ctl.scala 2569:198] - node _T_37 = and(_T_36, _T_34) @[dec_tlu_ctl.scala 2569:198] - node _T_38 = and(_T_37, _T_35) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mimpid <= _T_38 @[dec_tlu_ctl.scala 2574:57] - node _T_39 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:106] - node _T_40 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:149] - node _T_41 = eq(_T_40, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_42 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:106] - node _T_43 = and(_T_39, _T_41) @[dec_tlu_ctl.scala 2569:198] - node _T_44 = and(_T_43, _T_42) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mhartid <= _T_44 @[dec_tlu_ctl.scala 2575:57] - node _T_45 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:149] - node _T_46 = eq(_T_45, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_47 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:149] - node _T_48 = eq(_T_47, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_49 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:149] - node _T_50 = eq(_T_49, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_51 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:149] - node _T_52 = eq(_T_51, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_53 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:185] - node _T_54 = eq(_T_53, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:165] - node _T_55 = and(_T_46, _T_48) @[dec_tlu_ctl.scala 2569:198] - node _T_56 = and(_T_55, _T_50) @[dec_tlu_ctl.scala 2569:198] - node _T_57 = and(_T_56, _T_52) @[dec_tlu_ctl.scala 2569:198] - node _T_58 = and(_T_57, _T_54) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mstatus <= _T_58 @[dec_tlu_ctl.scala 2576:57] - node _T_59 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:149] - node _T_60 = eq(_T_59, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_61 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:149] - node _T_62 = eq(_T_61, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_63 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:149] - node _T_64 = eq(_T_63, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_65 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:106] - node _T_66 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:106] - node _T_67 = and(_T_60, _T_62) @[dec_tlu_ctl.scala 2569:198] - node _T_68 = and(_T_67, _T_64) @[dec_tlu_ctl.scala 2569:198] - node _T_69 = and(_T_68, _T_65) @[dec_tlu_ctl.scala 2569:198] - node _T_70 = and(_T_69, _T_66) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mtvec <= _T_70 @[dec_tlu_ctl.scala 2577:57] - node _T_71 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:149] - node _T_72 = eq(_T_71, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_73 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:106] - node _T_74 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:106] - node _T_75 = and(_T_72, _T_73) @[dec_tlu_ctl.scala 2569:198] - node _T_76 = and(_T_75, _T_74) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mip <= _T_76 @[dec_tlu_ctl.scala 2578:65] - node _T_77 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:149] - node _T_78 = eq(_T_77, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_79 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:149] - node _T_80 = eq(_T_79, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_81 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:149] - node _T_82 = eq(_T_81, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_83 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:106] - node _T_84 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:185] - node _T_85 = eq(_T_84, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:165] - node _T_86 = and(_T_78, _T_80) @[dec_tlu_ctl.scala 2569:198] - node _T_87 = and(_T_86, _T_82) @[dec_tlu_ctl.scala 2569:198] - node _T_88 = and(_T_87, _T_83) @[dec_tlu_ctl.scala 2569:198] - node _T_89 = and(_T_88, _T_85) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mie <= _T_89 @[dec_tlu_ctl.scala 2579:65] - node _T_90 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:106] - node _T_91 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:149] - node _T_92 = eq(_T_91, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_93 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_94 = eq(_T_93, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_95 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_96 = eq(_T_95, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_97 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:149] - node _T_98 = eq(_T_97, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_99 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:149] - node _T_100 = eq(_T_99, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_101 = and(_T_90, _T_92) @[dec_tlu_ctl.scala 2569:198] - node _T_102 = and(_T_101, _T_94) @[dec_tlu_ctl.scala 2569:198] - node _T_103 = and(_T_102, _T_96) @[dec_tlu_ctl.scala 2569:198] - node _T_104 = and(_T_103, _T_98) @[dec_tlu_ctl.scala 2569:198] - node _T_105 = and(_T_104, _T_100) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mcyclel <= _T_105 @[dec_tlu_ctl.scala 2580:57] - node _T_106 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:106] - node _T_107 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:149] - node _T_108 = eq(_T_107, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_109 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:149] - node _T_110 = eq(_T_109, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_111 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_112 = eq(_T_111, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_113 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_114 = eq(_T_113, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_115 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:149] - node _T_116 = eq(_T_115, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_117 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:149] - node _T_118 = eq(_T_117, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_119 = and(_T_106, _T_108) @[dec_tlu_ctl.scala 2569:198] - node _T_120 = and(_T_119, _T_110) @[dec_tlu_ctl.scala 2569:198] - node _T_121 = and(_T_120, _T_112) @[dec_tlu_ctl.scala 2569:198] - node _T_122 = and(_T_121, _T_114) @[dec_tlu_ctl.scala 2569:198] - node _T_123 = and(_T_122, _T_116) @[dec_tlu_ctl.scala 2569:198] - node _T_124 = and(_T_123, _T_118) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mcycleh <= _T_124 @[dec_tlu_ctl.scala 2581:57] - node _T_125 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:149] - node _T_126 = eq(_T_125, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_127 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:149] - node _T_128 = eq(_T_127, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_129 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_130 = eq(_T_129, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_131 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_132 = eq(_T_131, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_133 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:149] - node _T_134 = eq(_T_133, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_135 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:106] - node _T_136 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:185] - node _T_137 = eq(_T_136, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:165] - node _T_138 = and(_T_126, _T_128) @[dec_tlu_ctl.scala 2569:198] - node _T_139 = and(_T_138, _T_130) @[dec_tlu_ctl.scala 2569:198] - node _T_140 = and(_T_139, _T_132) @[dec_tlu_ctl.scala 2569:198] - node _T_141 = and(_T_140, _T_134) @[dec_tlu_ctl.scala 2569:198] - node _T_142 = and(_T_141, _T_135) @[dec_tlu_ctl.scala 2569:198] - node _T_143 = and(_T_142, _T_137) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_minstretl <= _T_143 @[dec_tlu_ctl.scala 2582:57] - node _T_144 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:149] - node _T_145 = eq(_T_144, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_146 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:106] - node _T_147 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_148 = eq(_T_147, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_149 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_150 = eq(_T_149, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_151 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:149] - node _T_152 = eq(_T_151, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_153 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:106] - node _T_154 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:185] - node _T_155 = eq(_T_154, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:165] - node _T_156 = and(_T_145, _T_146) @[dec_tlu_ctl.scala 2569:198] - node _T_157 = and(_T_156, _T_148) @[dec_tlu_ctl.scala 2569:198] - node _T_158 = and(_T_157, _T_150) @[dec_tlu_ctl.scala 2569:198] - node _T_159 = and(_T_158, _T_152) @[dec_tlu_ctl.scala 2569:198] - node _T_160 = and(_T_159, _T_153) @[dec_tlu_ctl.scala 2569:198] - node _T_161 = and(_T_160, _T_155) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_minstreth <= _T_161 @[dec_tlu_ctl.scala 2583:57] - node _T_162 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:149] - node _T_163 = eq(_T_162, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_164 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:106] - node _T_165 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:149] - node _T_166 = eq(_T_165, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_167 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:149] - node _T_168 = eq(_T_167, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_169 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:185] - node _T_170 = eq(_T_169, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:165] - node _T_171 = and(_T_163, _T_164) @[dec_tlu_ctl.scala 2569:198] - node _T_172 = and(_T_171, _T_166) @[dec_tlu_ctl.scala 2569:198] - node _T_173 = and(_T_172, _T_168) @[dec_tlu_ctl.scala 2569:198] - node _T_174 = and(_T_173, _T_170) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mscratch <= _T_174 @[dec_tlu_ctl.scala 2584:57] - node _T_175 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:149] - node _T_176 = eq(_T_175, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_177 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:106] - node _T_178 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:149] - node _T_179 = eq(_T_178, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_180 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:106] - node _T_181 = and(_T_176, _T_177) @[dec_tlu_ctl.scala 2569:198] - node _T_182 = and(_T_181, _T_179) @[dec_tlu_ctl.scala 2569:198] - node _T_183 = and(_T_182, _T_180) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mepc <= _T_183 @[dec_tlu_ctl.scala 2585:57] - node _T_184 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:149] - node _T_185 = eq(_T_184, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_186 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:106] - node _T_187 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:106] - node _T_188 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:185] - node _T_189 = eq(_T_188, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:165] - node _T_190 = and(_T_185, _T_186) @[dec_tlu_ctl.scala 2569:198] - node _T_191 = and(_T_190, _T_187) @[dec_tlu_ctl.scala 2569:198] - node _T_192 = and(_T_191, _T_189) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mcause <= _T_192 @[dec_tlu_ctl.scala 2586:57] - node _T_193 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:106] - node _T_194 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:106] - node _T_195 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:106] - node _T_196 = and(_T_193, _T_194) @[dec_tlu_ctl.scala 2569:198] - node _T_197 = and(_T_196, _T_195) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mscause <= _T_197 @[dec_tlu_ctl.scala 2587:57] - node _T_198 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:149] - node _T_199 = eq(_T_198, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_200 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:106] - node _T_201 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:106] - node _T_202 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:106] - node _T_203 = and(_T_199, _T_200) @[dec_tlu_ctl.scala 2569:198] - node _T_204 = and(_T_203, _T_201) @[dec_tlu_ctl.scala 2569:198] - node _T_205 = and(_T_204, _T_202) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mtval <= _T_205 @[dec_tlu_ctl.scala 2588:57] - node _T_206 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:149] - node _T_207 = eq(_T_206, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_208 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:106] - node _T_209 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:149] - node _T_210 = eq(_T_209, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_211 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_212 = eq(_T_211, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_213 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:149] - node _T_214 = eq(_T_213, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_215 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:149] - node _T_216 = eq(_T_215, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_217 = and(_T_207, _T_208) @[dec_tlu_ctl.scala 2569:198] - node _T_218 = and(_T_217, _T_210) @[dec_tlu_ctl.scala 2569:198] - node _T_219 = and(_T_218, _T_212) @[dec_tlu_ctl.scala 2569:198] - node _T_220 = and(_T_219, _T_214) @[dec_tlu_ctl.scala 2569:198] - node _T_221 = and(_T_220, _T_216) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mrac <= _T_221 @[dec_tlu_ctl.scala 2589:57] - node _T_222 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:106] - node _T_223 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_224 = eq(_T_223, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_225 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_226 = eq(_T_225, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_227 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:106] - node _T_228 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:149] - node _T_229 = eq(_T_228, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_230 = and(_T_222, _T_224) @[dec_tlu_ctl.scala 2569:198] - node _T_231 = and(_T_230, _T_226) @[dec_tlu_ctl.scala 2569:198] - node _T_232 = and(_T_231, _T_227) @[dec_tlu_ctl.scala 2569:198] - node _T_233 = and(_T_232, _T_229) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_dmst <= _T_233 @[dec_tlu_ctl.scala 2590:57] - node _T_234 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:106] - node _T_235 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:106] - node _T_236 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_237 = eq(_T_236, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_238 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_239 = eq(_T_238, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_240 = and(_T_234, _T_235) @[dec_tlu_ctl.scala 2569:198] - node _T_241 = and(_T_240, _T_237) @[dec_tlu_ctl.scala 2569:198] - node _T_242 = and(_T_241, _T_239) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mdseac <= _T_242 @[dec_tlu_ctl.scala 2591:57] - node _T_243 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:106] - node _T_244 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:106] - node _T_245 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:106] - node _T_246 = and(_T_243, _T_244) @[dec_tlu_ctl.scala 2569:198] - node _T_247 = and(_T_246, _T_245) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_meihap <= _T_247 @[dec_tlu_ctl.scala 2592:57] - node _T_248 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:149] - node _T_249 = eq(_T_248, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_250 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:106] - node _T_251 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:106] - node _T_252 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:149] - node _T_253 = eq(_T_252, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_254 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:149] - node _T_255 = eq(_T_254, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_256 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:185] - node _T_257 = eq(_T_256, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:165] - node _T_258 = and(_T_249, _T_250) @[dec_tlu_ctl.scala 2569:198] - node _T_259 = and(_T_258, _T_251) @[dec_tlu_ctl.scala 2569:198] - node _T_260 = and(_T_259, _T_253) @[dec_tlu_ctl.scala 2569:198] - node _T_261 = and(_T_260, _T_255) @[dec_tlu_ctl.scala 2569:198] - node _T_262 = and(_T_261, _T_257) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_meivt <= _T_262 @[dec_tlu_ctl.scala 2593:57] - node _T_263 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:106] - node _T_264 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:106] - node _T_265 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:149] - node _T_266 = eq(_T_265, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_267 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:106] - node _T_268 = and(_T_263, _T_264) @[dec_tlu_ctl.scala 2569:198] - node _T_269 = and(_T_268, _T_266) @[dec_tlu_ctl.scala 2569:198] - node _T_270 = and(_T_269, _T_267) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_meipt <= _T_270 @[dec_tlu_ctl.scala 2594:57] - node _T_271 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:106] - node _T_272 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:106] - node _T_273 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:106] - node _T_274 = and(_T_271, _T_272) @[dec_tlu_ctl.scala 2569:198] - node _T_275 = and(_T_274, _T_273) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_meicurpl <= _T_275 @[dec_tlu_ctl.scala 2595:57] - node _T_276 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:106] - node _T_277 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:106] - node _T_278 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:106] - node _T_279 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:106] - node _T_280 = and(_T_276, _T_277) @[dec_tlu_ctl.scala 2569:198] - node _T_281 = and(_T_280, _T_278) @[dec_tlu_ctl.scala 2569:198] - node _T_282 = and(_T_281, _T_279) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_meicidpl <= _T_282 @[dec_tlu_ctl.scala 2596:57] - node _T_283 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:106] - node _T_284 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:149] - node _T_285 = eq(_T_284, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_286 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:106] - node _T_287 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:106] - node _T_288 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:185] - node _T_289 = eq(_T_288, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:165] - node _T_290 = and(_T_283, _T_285) @[dec_tlu_ctl.scala 2569:198] - node _T_291 = and(_T_290, _T_286) @[dec_tlu_ctl.scala 2569:198] - node _T_292 = and(_T_291, _T_287) @[dec_tlu_ctl.scala 2569:198] - node _T_293 = and(_T_292, _T_289) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_dcsr <= _T_293 @[dec_tlu_ctl.scala 2597:57] - node _T_294 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:106] - node _T_295 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:106] - node _T_296 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:106] - node _T_297 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:185] - node _T_298 = eq(_T_297, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:165] - node _T_299 = and(_T_294, _T_295) @[dec_tlu_ctl.scala 2569:198] - node _T_300 = and(_T_299, _T_296) @[dec_tlu_ctl.scala 2569:198] - node _T_301 = and(_T_300, _T_298) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mcgc <= _T_301 @[dec_tlu_ctl.scala 2598:57] - node _T_302 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:106] - node _T_303 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:106] - node _T_304 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:106] - node _T_305 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:149] - node _T_306 = eq(_T_305, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_307 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:106] - node _T_308 = and(_T_302, _T_303) @[dec_tlu_ctl.scala 2569:198] - node _T_309 = and(_T_308, _T_304) @[dec_tlu_ctl.scala 2569:198] - node _T_310 = and(_T_309, _T_306) @[dec_tlu_ctl.scala 2569:198] - node _T_311 = and(_T_310, _T_307) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mfdc <= _T_311 @[dec_tlu_ctl.scala 2599:57] - node _T_312 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:106] - node _T_313 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:149] - node _T_314 = eq(_T_313, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_315 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:106] - node _T_316 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:106] - node _T_317 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:106] - node _T_318 = and(_T_312, _T_314) @[dec_tlu_ctl.scala 2569:198] - node _T_319 = and(_T_318, _T_315) @[dec_tlu_ctl.scala 2569:198] - node _T_320 = and(_T_319, _T_316) @[dec_tlu_ctl.scala 2569:198] - node _T_321 = and(_T_320, _T_317) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_dpc <= _T_321 @[dec_tlu_ctl.scala 2600:65] - node _T_322 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:106] - node _T_323 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:106] - node _T_324 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_325 = eq(_T_324, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_326 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:149] - node _T_327 = eq(_T_326, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_328 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:185] - node _T_329 = eq(_T_328, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:165] - node _T_330 = and(_T_322, _T_323) @[dec_tlu_ctl.scala 2569:198] - node _T_331 = and(_T_330, _T_325) @[dec_tlu_ctl.scala 2569:198] - node _T_332 = and(_T_331, _T_327) @[dec_tlu_ctl.scala 2569:198] - node _T_333 = and(_T_332, _T_329) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mtsel <= _T_333 @[dec_tlu_ctl.scala 2601:57] - node _T_334 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:106] - node _T_335 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_336 = eq(_T_335, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_337 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_338 = eq(_T_337, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_339 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:106] - node _T_340 = and(_T_334, _T_336) @[dec_tlu_ctl.scala 2569:198] - node _T_341 = and(_T_340, _T_338) @[dec_tlu_ctl.scala 2569:198] - node _T_342 = and(_T_341, _T_339) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mtdata1 <= _T_342 @[dec_tlu_ctl.scala 2602:57] - node _T_343 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:106] - node _T_344 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:106] - node _T_345 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_346 = eq(_T_345, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_347 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:106] - node _T_348 = and(_T_343, _T_344) @[dec_tlu_ctl.scala 2569:198] - node _T_349 = and(_T_348, _T_346) @[dec_tlu_ctl.scala 2569:198] - node _T_350 = and(_T_349, _T_347) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mtdata2 <= _T_350 @[dec_tlu_ctl.scala 2603:57] - node _T_351 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:106] - node _T_352 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:149] - node _T_353 = eq(_T_352, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_354 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_355 = eq(_T_354, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_356 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_357 = eq(_T_356, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_358 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:149] - node _T_359 = eq(_T_358, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_360 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:106] - node _T_361 = and(_T_351, _T_353) @[dec_tlu_ctl.scala 2569:198] - node _T_362 = and(_T_361, _T_355) @[dec_tlu_ctl.scala 2569:198] - node _T_363 = and(_T_362, _T_357) @[dec_tlu_ctl.scala 2569:198] - node _T_364 = and(_T_363, _T_359) @[dec_tlu_ctl.scala 2569:198] - node _T_365 = and(_T_364, _T_360) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mhpmc3 <= _T_365 @[dec_tlu_ctl.scala 2604:57] - node _T_366 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:106] - node _T_367 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:149] - node _T_368 = eq(_T_367, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_369 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_370 = eq(_T_369, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_371 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_372 = eq(_T_371, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_373 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:106] - node _T_374 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:149] - node _T_375 = eq(_T_374, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_376 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:185] - node _T_377 = eq(_T_376, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:165] - node _T_378 = and(_T_366, _T_368) @[dec_tlu_ctl.scala 2569:198] - node _T_379 = and(_T_378, _T_370) @[dec_tlu_ctl.scala 2569:198] - node _T_380 = and(_T_379, _T_372) @[dec_tlu_ctl.scala 2569:198] - node _T_381 = and(_T_380, _T_373) @[dec_tlu_ctl.scala 2569:198] - node _T_382 = and(_T_381, _T_375) @[dec_tlu_ctl.scala 2569:198] - node _T_383 = and(_T_382, _T_377) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mhpmc4 <= _T_383 @[dec_tlu_ctl.scala 2605:57] - node _T_384 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:106] - node _T_385 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:149] - node _T_386 = eq(_T_385, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_387 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_388 = eq(_T_387, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_389 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_390 = eq(_T_389, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_391 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:149] - node _T_392 = eq(_T_391, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_393 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:106] - node _T_394 = and(_T_384, _T_386) @[dec_tlu_ctl.scala 2569:198] - node _T_395 = and(_T_394, _T_388) @[dec_tlu_ctl.scala 2569:198] - node _T_396 = and(_T_395, _T_390) @[dec_tlu_ctl.scala 2569:198] - node _T_397 = and(_T_396, _T_392) @[dec_tlu_ctl.scala 2569:198] - node _T_398 = and(_T_397, _T_393) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mhpmc5 <= _T_398 @[dec_tlu_ctl.scala 2606:57] - node _T_399 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:149] - node _T_400 = eq(_T_399, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_401 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:149] - node _T_402 = eq(_T_401, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_403 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_404 = eq(_T_403, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_405 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_406 = eq(_T_405, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_407 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:106] - node _T_408 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:106] - node _T_409 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:185] - node _T_410 = eq(_T_409, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:165] - node _T_411 = and(_T_400, _T_402) @[dec_tlu_ctl.scala 2569:198] - node _T_412 = and(_T_411, _T_404) @[dec_tlu_ctl.scala 2569:198] - node _T_413 = and(_T_412, _T_406) @[dec_tlu_ctl.scala 2569:198] - node _T_414 = and(_T_413, _T_407) @[dec_tlu_ctl.scala 2569:198] - node _T_415 = and(_T_414, _T_408) @[dec_tlu_ctl.scala 2569:198] - node _T_416 = and(_T_415, _T_410) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mhpmc6 <= _T_416 @[dec_tlu_ctl.scala 2607:57] - node _T_417 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:106] - node _T_418 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_419 = eq(_T_418, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_420 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_421 = eq(_T_420, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_422 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:149] - node _T_423 = eq(_T_422, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_424 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:106] - node _T_425 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:106] - node _T_426 = and(_T_417, _T_419) @[dec_tlu_ctl.scala 2569:198] - node _T_427 = and(_T_426, _T_421) @[dec_tlu_ctl.scala 2569:198] - node _T_428 = and(_T_427, _T_423) @[dec_tlu_ctl.scala 2569:198] - node _T_429 = and(_T_428, _T_424) @[dec_tlu_ctl.scala 2569:198] - node _T_430 = and(_T_429, _T_425) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mhpmc3h <= _T_430 @[dec_tlu_ctl.scala 2608:57] - node _T_431 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:106] - node _T_432 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:149] - node _T_433 = eq(_T_432, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_434 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_435 = eq(_T_434, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_436 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_437 = eq(_T_436, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_438 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:106] - node _T_439 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:149] - node _T_440 = eq(_T_439, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_441 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:185] - node _T_442 = eq(_T_441, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:165] - node _T_443 = and(_T_431, _T_433) @[dec_tlu_ctl.scala 2569:198] - node _T_444 = and(_T_443, _T_435) @[dec_tlu_ctl.scala 2569:198] - node _T_445 = and(_T_444, _T_437) @[dec_tlu_ctl.scala 2569:198] - node _T_446 = and(_T_445, _T_438) @[dec_tlu_ctl.scala 2569:198] - node _T_447 = and(_T_446, _T_440) @[dec_tlu_ctl.scala 2569:198] - node _T_448 = and(_T_447, _T_442) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mhpmc4h <= _T_448 @[dec_tlu_ctl.scala 2609:57] - node _T_449 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:106] - node _T_450 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_451 = eq(_T_450, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_452 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_453 = eq(_T_452, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_454 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:106] - node _T_455 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:149] - node _T_456 = eq(_T_455, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_457 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:106] - node _T_458 = and(_T_449, _T_451) @[dec_tlu_ctl.scala 2569:198] - node _T_459 = and(_T_458, _T_453) @[dec_tlu_ctl.scala 2569:198] - node _T_460 = and(_T_459, _T_454) @[dec_tlu_ctl.scala 2569:198] - node _T_461 = and(_T_460, _T_456) @[dec_tlu_ctl.scala 2569:198] - node _T_462 = and(_T_461, _T_457) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mhpmc5h <= _T_462 @[dec_tlu_ctl.scala 2610:57] - node _T_463 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:106] - node _T_464 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:149] - node _T_465 = eq(_T_464, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_466 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_467 = eq(_T_466, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_468 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_469 = eq(_T_468, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_470 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:106] - node _T_471 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:106] - node _T_472 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:185] - node _T_473 = eq(_T_472, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:165] - node _T_474 = and(_T_463, _T_465) @[dec_tlu_ctl.scala 2569:198] - node _T_475 = and(_T_474, _T_467) @[dec_tlu_ctl.scala 2569:198] - node _T_476 = and(_T_475, _T_469) @[dec_tlu_ctl.scala 2569:198] - node _T_477 = and(_T_476, _T_470) @[dec_tlu_ctl.scala 2569:198] - node _T_478 = and(_T_477, _T_471) @[dec_tlu_ctl.scala 2569:198] - node _T_479 = and(_T_478, _T_473) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mhpmc6h <= _T_479 @[dec_tlu_ctl.scala 2611:57] - node _T_480 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:149] - node _T_481 = eq(_T_480, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_482 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:106] - node _T_483 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_484 = eq(_T_483, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_485 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_486 = eq(_T_485, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_487 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:149] - node _T_488 = eq(_T_487, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_489 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:106] - node _T_490 = and(_T_481, _T_482) @[dec_tlu_ctl.scala 2569:198] - node _T_491 = and(_T_490, _T_484) @[dec_tlu_ctl.scala 2569:198] - node _T_492 = and(_T_491, _T_486) @[dec_tlu_ctl.scala 2569:198] - node _T_493 = and(_T_492, _T_488) @[dec_tlu_ctl.scala 2569:198] - node _T_494 = and(_T_493, _T_489) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mhpme3 <= _T_494 @[dec_tlu_ctl.scala 2612:57] - node _T_495 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:106] - node _T_496 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_497 = eq(_T_496, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_498 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_499 = eq(_T_498, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_500 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:106] - node _T_501 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:149] - node _T_502 = eq(_T_501, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_503 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:185] - node _T_504 = eq(_T_503, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:165] - node _T_505 = and(_T_495, _T_497) @[dec_tlu_ctl.scala 2569:198] - node _T_506 = and(_T_505, _T_499) @[dec_tlu_ctl.scala 2569:198] - node _T_507 = and(_T_506, _T_500) @[dec_tlu_ctl.scala 2569:198] - node _T_508 = and(_T_507, _T_502) @[dec_tlu_ctl.scala 2569:198] - node _T_509 = and(_T_508, _T_504) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mhpme4 <= _T_509 @[dec_tlu_ctl.scala 2613:57] - node _T_510 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:106] - node _T_511 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_512 = eq(_T_511, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_513 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_514 = eq(_T_513, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_515 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:106] - node _T_516 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:149] - node _T_517 = eq(_T_516, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_518 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:106] - node _T_519 = and(_T_510, _T_512) @[dec_tlu_ctl.scala 2569:198] - node _T_520 = and(_T_519, _T_514) @[dec_tlu_ctl.scala 2569:198] - node _T_521 = and(_T_520, _T_515) @[dec_tlu_ctl.scala 2569:198] - node _T_522 = and(_T_521, _T_517) @[dec_tlu_ctl.scala 2569:198] - node _T_523 = and(_T_522, _T_518) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mhpme5 <= _T_523 @[dec_tlu_ctl.scala 2614:57] - node _T_524 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:106] - node _T_525 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_526 = eq(_T_525, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_527 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_528 = eq(_T_527, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_529 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:106] - node _T_530 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:106] - node _T_531 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:185] - node _T_532 = eq(_T_531, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:165] - node _T_533 = and(_T_524, _T_526) @[dec_tlu_ctl.scala 2569:198] - node _T_534 = and(_T_533, _T_528) @[dec_tlu_ctl.scala 2569:198] - node _T_535 = and(_T_534, _T_529) @[dec_tlu_ctl.scala 2569:198] - node _T_536 = and(_T_535, _T_530) @[dec_tlu_ctl.scala 2569:198] - node _T_537 = and(_T_536, _T_532) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mhpme6 <= _T_537 @[dec_tlu_ctl.scala 2615:57] - node _T_538 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:149] - node _T_539 = eq(_T_538, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_540 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:106] - node _T_541 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_542 = eq(_T_541, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_543 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_544 = eq(_T_543, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_545 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:149] - node _T_546 = eq(_T_545, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_547 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:185] - node _T_548 = eq(_T_547, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:165] - node _T_549 = and(_T_539, _T_540) @[dec_tlu_ctl.scala 2569:198] - node _T_550 = and(_T_549, _T_542) @[dec_tlu_ctl.scala 2569:198] - node _T_551 = and(_T_550, _T_544) @[dec_tlu_ctl.scala 2569:198] - node _T_552 = and(_T_551, _T_546) @[dec_tlu_ctl.scala 2569:198] - node _T_553 = and(_T_552, _T_548) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mcountinhibit <= _T_553 @[dec_tlu_ctl.scala 2616:49] - node _T_554 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:106] - node _T_555 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:149] - node _T_556 = eq(_T_555, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_557 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:106] - node _T_558 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:149] - node _T_559 = eq(_T_558, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_560 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:185] - node _T_561 = eq(_T_560, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:165] - node _T_562 = and(_T_554, _T_556) @[dec_tlu_ctl.scala 2569:198] - node _T_563 = and(_T_562, _T_557) @[dec_tlu_ctl.scala 2569:198] - node _T_564 = and(_T_563, _T_559) @[dec_tlu_ctl.scala 2569:198] - node _T_565 = and(_T_564, _T_561) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mitctl0 <= _T_565 @[dec_tlu_ctl.scala 2617:57] - node _T_566 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:106] - node _T_567 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_568 = eq(_T_567, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_569 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:106] - node _T_570 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:106] - node _T_571 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:106] - node _T_572 = and(_T_566, _T_568) @[dec_tlu_ctl.scala 2569:198] - node _T_573 = and(_T_572, _T_569) @[dec_tlu_ctl.scala 2569:198] - node _T_574 = and(_T_573, _T_570) @[dec_tlu_ctl.scala 2569:198] - node _T_575 = and(_T_574, _T_571) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mitctl1 <= _T_575 @[dec_tlu_ctl.scala 2618:57] - node _T_576 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:106] - node _T_577 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:149] - node _T_578 = eq(_T_577, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_579 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:106] - node _T_580 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:149] - node _T_581 = eq(_T_580, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_582 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:106] - node _T_583 = and(_T_576, _T_578) @[dec_tlu_ctl.scala 2569:198] - node _T_584 = and(_T_583, _T_579) @[dec_tlu_ctl.scala 2569:198] - node _T_585 = and(_T_584, _T_581) @[dec_tlu_ctl.scala 2569:198] - node _T_586 = and(_T_585, _T_582) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mitb0 <= _T_586 @[dec_tlu_ctl.scala 2619:57] - node _T_587 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:106] - node _T_588 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:106] - node _T_589 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:106] - node _T_590 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:106] - node _T_591 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:185] - node _T_592 = eq(_T_591, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:165] - node _T_593 = and(_T_587, _T_588) @[dec_tlu_ctl.scala 2569:198] - node _T_594 = and(_T_593, _T_589) @[dec_tlu_ctl.scala 2569:198] - node _T_595 = and(_T_594, _T_590) @[dec_tlu_ctl.scala 2569:198] - node _T_596 = and(_T_595, _T_592) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mitb1 <= _T_596 @[dec_tlu_ctl.scala 2620:57] - node _T_597 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:106] - node _T_598 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:149] - node _T_599 = eq(_T_598, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_600 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:106] - node _T_601 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:149] - node _T_602 = eq(_T_601, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_603 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:185] - node _T_604 = eq(_T_603, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:165] - node _T_605 = and(_T_597, _T_599) @[dec_tlu_ctl.scala 2569:198] - node _T_606 = and(_T_605, _T_600) @[dec_tlu_ctl.scala 2569:198] - node _T_607 = and(_T_606, _T_602) @[dec_tlu_ctl.scala 2569:198] - node _T_608 = and(_T_607, _T_604) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mitcnt0 <= _T_608 @[dec_tlu_ctl.scala 2621:57] - node _T_609 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:106] - node _T_610 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:106] - node _T_611 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:149] - node _T_612 = eq(_T_611, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_613 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:106] - node _T_614 = and(_T_609, _T_610) @[dec_tlu_ctl.scala 2569:198] - node _T_615 = and(_T_614, _T_612) @[dec_tlu_ctl.scala 2569:198] - node _T_616 = and(_T_615, _T_613) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mitcnt1 <= _T_616 @[dec_tlu_ctl.scala 2622:57] - node _T_617 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:106] - node _T_618 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_619 = eq(_T_618, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_620 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_621 = eq(_T_620, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_622 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:106] - node _T_623 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:106] - node _T_624 = and(_T_617, _T_619) @[dec_tlu_ctl.scala 2569:198] - node _T_625 = and(_T_624, _T_621) @[dec_tlu_ctl.scala 2569:198] - node _T_626 = and(_T_625, _T_622) @[dec_tlu_ctl.scala 2569:198] - node _T_627 = and(_T_626, _T_623) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mpmc <= _T_627 @[dec_tlu_ctl.scala 2623:57] - node _T_628 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:106] - node _T_629 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:106] - node _T_630 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_631 = eq(_T_630, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_632 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_633 = eq(_T_632, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_634 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:149] - node _T_635 = eq(_T_634, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_636 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:106] - node _T_637 = and(_T_628, _T_629) @[dec_tlu_ctl.scala 2569:198] - node _T_638 = and(_T_637, _T_631) @[dec_tlu_ctl.scala 2569:198] - node _T_639 = and(_T_638, _T_633) @[dec_tlu_ctl.scala 2569:198] - node _T_640 = and(_T_639, _T_635) @[dec_tlu_ctl.scala 2569:198] - node _T_641 = and(_T_640, _T_636) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mcpc <= _T_641 @[dec_tlu_ctl.scala 2624:57] - node _T_642 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:106] - node _T_643 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:106] - node _T_644 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:106] - node _T_645 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:185] - node _T_646 = eq(_T_645, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:165] - node _T_647 = and(_T_642, _T_643) @[dec_tlu_ctl.scala 2569:198] - node _T_648 = and(_T_647, _T_644) @[dec_tlu_ctl.scala 2569:198] - node _T_649 = and(_T_648, _T_646) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_meicpct <= _T_649 @[dec_tlu_ctl.scala 2625:57] - node _T_650 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:149] - node _T_651 = eq(_T_650, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_652 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:106] - node _T_653 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:106] - node _T_654 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_655 = eq(_T_654, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_656 = and(_T_651, _T_652) @[dec_tlu_ctl.scala 2569:198] - node _T_657 = and(_T_656, _T_653) @[dec_tlu_ctl.scala 2569:198] - node _T_658 = and(_T_657, _T_655) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mdeau <= _T_658 @[dec_tlu_ctl.scala 2626:57] - node _T_659 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:106] - node _T_660 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:106] - node _T_661 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_662 = eq(_T_661, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_663 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:149] - node _T_664 = eq(_T_663, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_665 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:185] - node _T_666 = eq(_T_665, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:165] - node _T_667 = and(_T_659, _T_660) @[dec_tlu_ctl.scala 2569:198] - node _T_668 = and(_T_667, _T_662) @[dec_tlu_ctl.scala 2569:198] - node _T_669 = and(_T_668, _T_664) @[dec_tlu_ctl.scala 2569:198] - node _T_670 = and(_T_669, _T_666) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_micect <= _T_670 @[dec_tlu_ctl.scala 2627:57] - node _T_671 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:106] - node _T_672 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:106] - node _T_673 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_674 = eq(_T_673, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_675 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:106] - node _T_676 = and(_T_671, _T_672) @[dec_tlu_ctl.scala 2569:198] - node _T_677 = and(_T_676, _T_674) @[dec_tlu_ctl.scala 2569:198] - node _T_678 = and(_T_677, _T_675) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_miccmect <= _T_678 @[dec_tlu_ctl.scala 2628:57] - node _T_679 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:106] - node _T_680 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:106] - node _T_681 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:106] - node _T_682 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:185] - node _T_683 = eq(_T_682, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:165] - node _T_684 = and(_T_679, _T_680) @[dec_tlu_ctl.scala 2569:198] - node _T_685 = and(_T_684, _T_681) @[dec_tlu_ctl.scala 2569:198] - node _T_686 = and(_T_685, _T_683) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mdccmect <= _T_686 @[dec_tlu_ctl.scala 2629:57] - node _T_687 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:106] - node _T_688 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:106] - node _T_689 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:106] - node _T_690 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:106] - node _T_691 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:185] - node _T_692 = eq(_T_691, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:165] - node _T_693 = and(_T_687, _T_688) @[dec_tlu_ctl.scala 2569:198] - node _T_694 = and(_T_693, _T_689) @[dec_tlu_ctl.scala 2569:198] - node _T_695 = and(_T_694, _T_690) @[dec_tlu_ctl.scala 2569:198] - node _T_696 = and(_T_695, _T_692) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mfdht <= _T_696 @[dec_tlu_ctl.scala 2630:57] - node _T_697 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:106] - node _T_698 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_699 = eq(_T_698, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_700 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:106] - node _T_701 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:106] - node _T_702 = and(_T_697, _T_699) @[dec_tlu_ctl.scala 2569:198] - node _T_703 = and(_T_702, _T_700) @[dec_tlu_ctl.scala 2569:198] - node _T_704 = and(_T_703, _T_701) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_mfdhs <= _T_704 @[dec_tlu_ctl.scala 2631:57] - node _T_705 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:149] - node _T_706 = eq(_T_705, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_707 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:149] - node _T_708 = eq(_T_707, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_709 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:106] - node _T_710 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:149] - node _T_711 = eq(_T_710, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_712 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:149] - node _T_713 = eq(_T_712, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_714 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:185] - node _T_715 = eq(_T_714, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:165] - node _T_716 = and(_T_706, _T_708) @[dec_tlu_ctl.scala 2569:198] - node _T_717 = and(_T_716, _T_709) @[dec_tlu_ctl.scala 2569:198] - node _T_718 = and(_T_717, _T_711) @[dec_tlu_ctl.scala 2569:198] - node _T_719 = and(_T_718, _T_713) @[dec_tlu_ctl.scala 2569:198] - node _T_720 = and(_T_719, _T_715) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_dicawics <= _T_720 @[dec_tlu_ctl.scala 2632:57] - node _T_721 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:106] - node _T_722 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:106] - node _T_723 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:106] - node _T_724 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:149] - node _T_725 = eq(_T_724, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_726 = and(_T_721, _T_722) @[dec_tlu_ctl.scala 2569:198] - node _T_727 = and(_T_726, _T_723) @[dec_tlu_ctl.scala 2569:198] - node _T_728 = and(_T_727, _T_725) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_dicad0h <= _T_728 @[dec_tlu_ctl.scala 2633:57] - node _T_729 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:106] - node _T_730 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_731 = eq(_T_730, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_732 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:106] - node _T_733 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:149] - node _T_734 = eq(_T_733, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_735 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:106] - node _T_736 = and(_T_729, _T_731) @[dec_tlu_ctl.scala 2569:198] - node _T_737 = and(_T_736, _T_732) @[dec_tlu_ctl.scala 2569:198] - node _T_738 = and(_T_737, _T_734) @[dec_tlu_ctl.scala 2569:198] - node _T_739 = and(_T_738, _T_735) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_dicad0 <= _T_739 @[dec_tlu_ctl.scala 2634:57] - node _T_740 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:106] - node _T_741 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:106] - node _T_742 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:149] - node _T_743 = eq(_T_742, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_744 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:106] - node _T_745 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:185] - node _T_746 = eq(_T_745, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:165] - node _T_747 = and(_T_740, _T_741) @[dec_tlu_ctl.scala 2569:198] - node _T_748 = and(_T_747, _T_743) @[dec_tlu_ctl.scala 2569:198] - node _T_749 = and(_T_748, _T_744) @[dec_tlu_ctl.scala 2569:198] - node _T_750 = and(_T_749, _T_746) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_dicad1 <= _T_750 @[dec_tlu_ctl.scala 2635:57] - node _T_751 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:106] - node _T_752 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:106] - node _T_753 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:149] - node _T_754 = eq(_T_753, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_755 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:106] - node _T_756 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:106] - node _T_757 = and(_T_751, _T_752) @[dec_tlu_ctl.scala 2569:198] - node _T_758 = and(_T_757, _T_754) @[dec_tlu_ctl.scala 2569:198] - node _T_759 = and(_T_758, _T_755) @[dec_tlu_ctl.scala 2569:198] - node _T_760 = and(_T_759, _T_756) @[dec_tlu_ctl.scala 2569:198] - io.csr_pkt.csr_dicago <= _T_760 @[dec_tlu_ctl.scala 2636:57] - node _T_761 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:106] - node _T_762 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:106] - node _T_763 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:106] - node _T_764 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:149] - node _T_765 = eq(_T_764, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_766 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:106] - node _T_767 = and(_T_761, _T_762) @[dec_tlu_ctl.scala 2569:198] - node _T_768 = and(_T_767, _T_763) @[dec_tlu_ctl.scala 2569:198] - node _T_769 = and(_T_768, _T_765) @[dec_tlu_ctl.scala 2569:198] - node _T_770 = and(_T_769, _T_766) @[dec_tlu_ctl.scala 2569:198] - node _T_771 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:149] - node _T_772 = eq(_T_771, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_773 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:106] - node _T_774 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_775 = eq(_T_774, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_776 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_777 = eq(_T_776, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_778 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:149] - node _T_779 = eq(_T_778, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_780 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:185] - node _T_781 = eq(_T_780, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:165] - node _T_782 = and(_T_772, _T_773) @[dec_tlu_ctl.scala 2569:198] - node _T_783 = and(_T_782, _T_775) @[dec_tlu_ctl.scala 2569:198] - node _T_784 = and(_T_783, _T_777) @[dec_tlu_ctl.scala 2569:198] - node _T_785 = and(_T_784, _T_779) @[dec_tlu_ctl.scala 2569:198] - node _T_786 = and(_T_785, _T_781) @[dec_tlu_ctl.scala 2569:198] - node _T_787 = or(_T_770, _T_786) @[dec_tlu_ctl.scala 2637:81] - node _T_788 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:149] - node _T_789 = eq(_T_788, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_790 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:149] - node _T_791 = eq(_T_790, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_792 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_793 = eq(_T_792, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_794 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_795 = eq(_T_794, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_796 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:149] - node _T_797 = eq(_T_796, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_798 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:106] - node _T_799 = and(_T_789, _T_791) @[dec_tlu_ctl.scala 2569:198] - node _T_800 = and(_T_799, _T_793) @[dec_tlu_ctl.scala 2569:198] - node _T_801 = and(_T_800, _T_795) @[dec_tlu_ctl.scala 2569:198] - node _T_802 = and(_T_801, _T_797) @[dec_tlu_ctl.scala 2569:198] - node _T_803 = and(_T_802, _T_798) @[dec_tlu_ctl.scala 2569:198] - node _T_804 = or(_T_787, _T_803) @[dec_tlu_ctl.scala 2637:121] - node _T_805 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:106] - node _T_806 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_807 = eq(_T_806, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_808 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_809 = eq(_T_808, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_810 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:106] - node _T_811 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:149] - node _T_812 = eq(_T_811, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_813 = and(_T_805, _T_807) @[dec_tlu_ctl.scala 2569:198] - node _T_814 = and(_T_813, _T_809) @[dec_tlu_ctl.scala 2569:198] - node _T_815 = and(_T_814, _T_810) @[dec_tlu_ctl.scala 2569:198] - node _T_816 = and(_T_815, _T_812) @[dec_tlu_ctl.scala 2569:198] - node _T_817 = or(_T_804, _T_816) @[dec_tlu_ctl.scala 2637:155] - node _T_818 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:106] - node _T_819 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_820 = eq(_T_819, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_821 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_822 = eq(_T_821, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_823 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:106] - node _T_824 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:185] - node _T_825 = eq(_T_824, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:165] - node _T_826 = and(_T_818, _T_820) @[dec_tlu_ctl.scala 2569:198] - node _T_827 = and(_T_826, _T_822) @[dec_tlu_ctl.scala 2569:198] - node _T_828 = and(_T_827, _T_823) @[dec_tlu_ctl.scala 2569:198] - node _T_829 = and(_T_828, _T_825) @[dec_tlu_ctl.scala 2569:198] - node _T_830 = or(_T_817, _T_829) @[dec_tlu_ctl.scala 2638:97] - node _T_831 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:106] - node _T_832 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:149] - node _T_833 = eq(_T_832, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_834 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_835 = eq(_T_834, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_836 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_837 = eq(_T_836, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_838 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:149] - node _T_839 = eq(_T_838, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_840 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:106] - node _T_841 = and(_T_831, _T_833) @[dec_tlu_ctl.scala 2569:198] - node _T_842 = and(_T_841, _T_835) @[dec_tlu_ctl.scala 2569:198] - node _T_843 = and(_T_842, _T_837) @[dec_tlu_ctl.scala 2569:198] - node _T_844 = and(_T_843, _T_839) @[dec_tlu_ctl.scala 2569:198] - node _T_845 = and(_T_844, _T_840) @[dec_tlu_ctl.scala 2569:198] - node _T_846 = or(_T_830, _T_845) @[dec_tlu_ctl.scala 2638:137] - io.csr_pkt.presync <= _T_846 @[dec_tlu_ctl.scala 2637:34] - node _T_847 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:106] - node _T_848 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:106] - node _T_849 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:106] - node _T_850 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:149] - node _T_851 = eq(_T_850, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_852 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:106] - node _T_853 = and(_T_847, _T_848) @[dec_tlu_ctl.scala 2569:198] - node _T_854 = and(_T_853, _T_849) @[dec_tlu_ctl.scala 2569:198] - node _T_855 = and(_T_854, _T_851) @[dec_tlu_ctl.scala 2569:198] - node _T_856 = and(_T_855, _T_852) @[dec_tlu_ctl.scala 2569:198] - node _T_857 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:149] - node _T_858 = eq(_T_857, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_859 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:149] - node _T_860 = eq(_T_859, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_861 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:149] - node _T_862 = eq(_T_861, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_863 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:106] - node _T_864 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:106] - node _T_865 = and(_T_858, _T_860) @[dec_tlu_ctl.scala 2569:198] - node _T_866 = and(_T_865, _T_862) @[dec_tlu_ctl.scala 2569:198] - node _T_867 = and(_T_866, _T_863) @[dec_tlu_ctl.scala 2569:198] - node _T_868 = and(_T_867, _T_864) @[dec_tlu_ctl.scala 2569:198] - node _T_869 = or(_T_856, _T_868) @[dec_tlu_ctl.scala 2639:81] - node _T_870 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:149] - node _T_871 = eq(_T_870, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_872 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:106] - node _T_873 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:149] - node _T_874 = eq(_T_873, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_875 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:106] - node _T_876 = and(_T_871, _T_872) @[dec_tlu_ctl.scala 2569:198] - node _T_877 = and(_T_876, _T_874) @[dec_tlu_ctl.scala 2569:198] - node _T_878 = and(_T_877, _T_875) @[dec_tlu_ctl.scala 2569:198] - node _T_879 = or(_T_869, _T_878) @[dec_tlu_ctl.scala 2639:121] - node _T_880 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:106] - node _T_881 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_882 = eq(_T_881, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_883 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_884 = eq(_T_883, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_885 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:106] - node _T_886 = and(_T_880, _T_882) @[dec_tlu_ctl.scala 2569:198] - node _T_887 = and(_T_886, _T_884) @[dec_tlu_ctl.scala 2569:198] - node _T_888 = and(_T_887, _T_885) @[dec_tlu_ctl.scala 2569:198] - node _T_889 = or(_T_879, _T_888) @[dec_tlu_ctl.scala 2639:162] - node _T_890 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:149] - node _T_891 = eq(_T_890, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_892 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:149] - node _T_893 = eq(_T_892, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_894 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:149] - node _T_895 = eq(_T_894, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_896 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_897 = eq(_T_896, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_898 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_899 = eq(_T_898, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_900 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:149] - node _T_901 = eq(_T_900, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_902 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:185] - node _T_903 = eq(_T_902, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:165] - node _T_904 = and(_T_891, _T_893) @[dec_tlu_ctl.scala 2569:198] - node _T_905 = and(_T_904, _T_895) @[dec_tlu_ctl.scala 2569:198] - node _T_906 = and(_T_905, _T_897) @[dec_tlu_ctl.scala 2569:198] - node _T_907 = and(_T_906, _T_899) @[dec_tlu_ctl.scala 2569:198] - node _T_908 = and(_T_907, _T_901) @[dec_tlu_ctl.scala 2569:198] - node _T_909 = and(_T_908, _T_903) @[dec_tlu_ctl.scala 2569:198] - node _T_910 = or(_T_889, _T_909) @[dec_tlu_ctl.scala 2640:105] - node _T_911 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:149] - node _T_912 = eq(_T_911, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_913 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:106] - node _T_914 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:106] - node _T_915 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_916 = eq(_T_915, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_917 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_918 = eq(_T_917, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_919 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:149] - node _T_920 = eq(_T_919, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_921 = and(_T_912, _T_913) @[dec_tlu_ctl.scala 2569:198] - node _T_922 = and(_T_921, _T_914) @[dec_tlu_ctl.scala 2569:198] - node _T_923 = and(_T_922, _T_916) @[dec_tlu_ctl.scala 2569:198] - node _T_924 = and(_T_923, _T_918) @[dec_tlu_ctl.scala 2569:198] - node _T_925 = and(_T_924, _T_920) @[dec_tlu_ctl.scala 2569:198] - node _T_926 = or(_T_910, _T_925) @[dec_tlu_ctl.scala 2640:145] - node _T_927 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:106] - node _T_928 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_929 = eq(_T_928, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_930 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_931 = eq(_T_930, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_932 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:149] - node _T_933 = eq(_T_932, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_934 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:106] - node _T_935 = and(_T_927, _T_929) @[dec_tlu_ctl.scala 2569:198] - node _T_936 = and(_T_935, _T_931) @[dec_tlu_ctl.scala 2569:198] - node _T_937 = and(_T_936, _T_933) @[dec_tlu_ctl.scala 2569:198] - node _T_938 = and(_T_937, _T_934) @[dec_tlu_ctl.scala 2569:198] - node _T_939 = or(_T_926, _T_938) @[dec_tlu_ctl.scala 2640:178] - io.csr_pkt.postsync <= _T_939 @[dec_tlu_ctl.scala 2639:30] - node _T_940 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:149] - node _T_941 = eq(_T_940, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_942 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:106] - node _T_943 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2569:106] - node _T_944 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2569:106] - node _T_945 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:106] - node _T_946 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:106] - node _T_947 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:106] - node _T_948 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_949 = eq(_T_948, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_950 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:149] - node _T_951 = eq(_T_950, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_952 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:106] - node _T_953 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:185] - node _T_954 = eq(_T_953, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:165] - node _T_955 = and(_T_941, _T_942) @[dec_tlu_ctl.scala 2569:198] - node _T_956 = and(_T_955, _T_943) @[dec_tlu_ctl.scala 2569:198] - node _T_957 = and(_T_956, _T_944) @[dec_tlu_ctl.scala 2569:198] - node _T_958 = and(_T_957, _T_945) @[dec_tlu_ctl.scala 2569:198] - node _T_959 = and(_T_958, _T_946) @[dec_tlu_ctl.scala 2569:198] - node _T_960 = and(_T_959, _T_947) @[dec_tlu_ctl.scala 2569:198] - node _T_961 = and(_T_960, _T_949) @[dec_tlu_ctl.scala 2569:198] - node _T_962 = and(_T_961, _T_951) @[dec_tlu_ctl.scala 2569:198] - node _T_963 = and(_T_962, _T_952) @[dec_tlu_ctl.scala 2569:198] - node _T_964 = and(_T_963, _T_954) @[dec_tlu_ctl.scala 2569:198] - node _T_965 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:149] - node _T_966 = eq(_T_965, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_967 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:149] - node _T_968 = eq(_T_967, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_969 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2569:106] - node _T_970 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2569:106] - node _T_971 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:149] - node _T_972 = eq(_T_971, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_973 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:149] - node _T_974 = eq(_T_973, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_975 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:149] - node _T_976 = eq(_T_975, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_977 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_978 = eq(_T_977, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_979 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_980 = eq(_T_979, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_981 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:149] - node _T_982 = eq(_T_981, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_983 = and(_T_966, _T_968) @[dec_tlu_ctl.scala 2569:198] - node _T_984 = and(_T_983, _T_969) @[dec_tlu_ctl.scala 2569:198] - node _T_985 = and(_T_984, _T_970) @[dec_tlu_ctl.scala 2569:198] - node _T_986 = and(_T_985, _T_972) @[dec_tlu_ctl.scala 2569:198] - node _T_987 = and(_T_986, _T_974) @[dec_tlu_ctl.scala 2569:198] - node _T_988 = and(_T_987, _T_976) @[dec_tlu_ctl.scala 2569:198] - node _T_989 = and(_T_988, _T_978) @[dec_tlu_ctl.scala 2569:198] - node _T_990 = and(_T_989, _T_980) @[dec_tlu_ctl.scala 2569:198] - node _T_991 = and(_T_990, _T_982) @[dec_tlu_ctl.scala 2569:198] - node _T_992 = or(_T_964, _T_991) @[dec_tlu_ctl.scala 2642:81] - node _T_993 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:149] - node _T_994 = eq(_T_993, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_995 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:149] - node _T_996 = eq(_T_995, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_997 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2569:106] - node _T_998 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2569:106] - node _T_999 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:149] - node _T_1000 = eq(_T_999, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1001 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:149] - node _T_1002 = eq(_T_1001, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1003 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:106] - node _T_1004 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:149] - node _T_1005 = eq(_T_1004, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1006 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:185] - node _T_1007 = eq(_T_1006, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:165] - node _T_1008 = and(_T_994, _T_996) @[dec_tlu_ctl.scala 2569:198] - node _T_1009 = and(_T_1008, _T_997) @[dec_tlu_ctl.scala 2569:198] - node _T_1010 = and(_T_1009, _T_998) @[dec_tlu_ctl.scala 2569:198] - node _T_1011 = and(_T_1010, _T_1000) @[dec_tlu_ctl.scala 2569:198] - node _T_1012 = and(_T_1011, _T_1002) @[dec_tlu_ctl.scala 2569:198] - node _T_1013 = and(_T_1012, _T_1003) @[dec_tlu_ctl.scala 2569:198] - node _T_1014 = and(_T_1013, _T_1005) @[dec_tlu_ctl.scala 2569:198] - node _T_1015 = and(_T_1014, _T_1007) @[dec_tlu_ctl.scala 2569:198] - node _T_1016 = or(_T_992, _T_1015) @[dec_tlu_ctl.scala 2642:129] - node _T_1017 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:106] - node _T_1018 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2569:106] - node _T_1019 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2569:106] - node _T_1020 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:106] - node _T_1021 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:106] - node _T_1022 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:149] - node _T_1023 = eq(_T_1022, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1024 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_1025 = eq(_T_1024, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1026 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:149] - node _T_1027 = eq(_T_1026, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1028 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:149] - node _T_1029 = eq(_T_1028, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1030 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:185] - node _T_1031 = eq(_T_1030, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:165] - node _T_1032 = and(_T_1017, _T_1018) @[dec_tlu_ctl.scala 2569:198] - node _T_1033 = and(_T_1032, _T_1019) @[dec_tlu_ctl.scala 2569:198] - node _T_1034 = and(_T_1033, _T_1020) @[dec_tlu_ctl.scala 2569:198] - node _T_1035 = and(_T_1034, _T_1021) @[dec_tlu_ctl.scala 2569:198] - node _T_1036 = and(_T_1035, _T_1023) @[dec_tlu_ctl.scala 2569:198] - node _T_1037 = and(_T_1036, _T_1025) @[dec_tlu_ctl.scala 2569:198] - node _T_1038 = and(_T_1037, _T_1027) @[dec_tlu_ctl.scala 2569:198] - node _T_1039 = and(_T_1038, _T_1029) @[dec_tlu_ctl.scala 2569:198] - node _T_1040 = and(_T_1039, _T_1031) @[dec_tlu_ctl.scala 2569:198] - node _T_1041 = or(_T_1016, _T_1040) @[dec_tlu_ctl.scala 2643:105] - node _T_1042 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:106] - node _T_1043 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:149] - node _T_1044 = eq(_T_1043, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1045 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2569:106] - node _T_1046 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2569:106] - node _T_1047 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:149] - node _T_1048 = eq(_T_1047, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1049 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:149] - node _T_1050 = eq(_T_1049, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1051 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:185] - node _T_1052 = eq(_T_1051, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:165] - node _T_1053 = and(_T_1042, _T_1044) @[dec_tlu_ctl.scala 2569:198] - node _T_1054 = and(_T_1053, _T_1045) @[dec_tlu_ctl.scala 2569:198] - node _T_1055 = and(_T_1054, _T_1046) @[dec_tlu_ctl.scala 2569:198] - node _T_1056 = and(_T_1055, _T_1048) @[dec_tlu_ctl.scala 2569:198] - node _T_1057 = and(_T_1056, _T_1050) @[dec_tlu_ctl.scala 2569:198] - node _T_1058 = and(_T_1057, _T_1052) @[dec_tlu_ctl.scala 2569:198] - node _T_1059 = or(_T_1041, _T_1058) @[dec_tlu_ctl.scala 2643:153] - node _T_1060 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:149] - node _T_1061 = eq(_T_1060, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1062 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:106] - node _T_1063 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2569:106] - node _T_1064 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2569:106] - node _T_1065 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:106] - node _T_1066 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:106] - node _T_1067 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:106] - node _T_1068 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:106] - node _T_1069 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:106] - node _T_1070 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:106] - node _T_1071 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:106] - node _T_1072 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:106] - node _T_1073 = and(_T_1061, _T_1062) @[dec_tlu_ctl.scala 2569:198] - node _T_1074 = and(_T_1073, _T_1063) @[dec_tlu_ctl.scala 2569:198] - node _T_1075 = and(_T_1074, _T_1064) @[dec_tlu_ctl.scala 2569:198] - node _T_1076 = and(_T_1075, _T_1065) @[dec_tlu_ctl.scala 2569:198] - node _T_1077 = and(_T_1076, _T_1066) @[dec_tlu_ctl.scala 2569:198] - node _T_1078 = and(_T_1077, _T_1067) @[dec_tlu_ctl.scala 2569:198] - node _T_1079 = and(_T_1078, _T_1068) @[dec_tlu_ctl.scala 2569:198] - node _T_1080 = and(_T_1079, _T_1069) @[dec_tlu_ctl.scala 2569:198] - node _T_1081 = and(_T_1080, _T_1070) @[dec_tlu_ctl.scala 2569:198] - node _T_1082 = and(_T_1081, _T_1071) @[dec_tlu_ctl.scala 2569:198] - node _T_1083 = and(_T_1082, _T_1072) @[dec_tlu_ctl.scala 2569:198] - node _T_1084 = or(_T_1059, _T_1083) @[dec_tlu_ctl.scala 2644:105] - node _T_1085 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:149] - node _T_1086 = eq(_T_1085, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1087 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:106] - node _T_1088 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2569:106] - node _T_1089 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2569:106] - node _T_1090 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:106] - node _T_1091 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:106] - node _T_1092 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:106] - node _T_1093 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:106] - node _T_1094 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:149] - node _T_1095 = eq(_T_1094, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1096 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:149] - node _T_1097 = eq(_T_1096, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1098 = and(_T_1086, _T_1087) @[dec_tlu_ctl.scala 2569:198] - node _T_1099 = and(_T_1098, _T_1088) @[dec_tlu_ctl.scala 2569:198] - node _T_1100 = and(_T_1099, _T_1089) @[dec_tlu_ctl.scala 2569:198] - node _T_1101 = and(_T_1100, _T_1090) @[dec_tlu_ctl.scala 2569:198] - node _T_1102 = and(_T_1101, _T_1091) @[dec_tlu_ctl.scala 2569:198] - node _T_1103 = and(_T_1102, _T_1092) @[dec_tlu_ctl.scala 2569:198] - node _T_1104 = and(_T_1103, _T_1093) @[dec_tlu_ctl.scala 2569:198] - node _T_1105 = and(_T_1104, _T_1095) @[dec_tlu_ctl.scala 2569:198] - node _T_1106 = and(_T_1105, _T_1097) @[dec_tlu_ctl.scala 2569:198] - node _T_1107 = or(_T_1084, _T_1106) @[dec_tlu_ctl.scala 2644:153] - node _T_1108 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:106] - node _T_1109 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2569:106] - node _T_1110 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2569:106] - node _T_1111 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:149] - node _T_1112 = eq(_T_1111, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1113 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:149] - node _T_1114 = eq(_T_1113, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1115 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:149] - node _T_1116 = eq(_T_1115, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1117 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:106] - node _T_1118 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_1119 = eq(_T_1118, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1120 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:149] - node _T_1121 = eq(_T_1120, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1122 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:106] - node _T_1123 = and(_T_1108, _T_1109) @[dec_tlu_ctl.scala 2569:198] - node _T_1124 = and(_T_1123, _T_1110) @[dec_tlu_ctl.scala 2569:198] - node _T_1125 = and(_T_1124, _T_1112) @[dec_tlu_ctl.scala 2569:198] - node _T_1126 = and(_T_1125, _T_1114) @[dec_tlu_ctl.scala 2569:198] - node _T_1127 = and(_T_1126, _T_1116) @[dec_tlu_ctl.scala 2569:198] - node _T_1128 = and(_T_1127, _T_1117) @[dec_tlu_ctl.scala 2569:198] - node _T_1129 = and(_T_1128, _T_1119) @[dec_tlu_ctl.scala 2569:198] - node _T_1130 = and(_T_1129, _T_1121) @[dec_tlu_ctl.scala 2569:198] - node _T_1131 = and(_T_1130, _T_1122) @[dec_tlu_ctl.scala 2569:198] - node _T_1132 = or(_T_1107, _T_1131) @[dec_tlu_ctl.scala 2645:105] - node _T_1133 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:149] - node _T_1134 = eq(_T_1133, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1135 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:106] - node _T_1136 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2569:106] - node _T_1137 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2569:106] - node _T_1138 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:106] - node _T_1139 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:149] - node _T_1140 = eq(_T_1139, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1141 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:106] - node _T_1142 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_1143 = eq(_T_1142, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1144 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:149] - node _T_1145 = eq(_T_1144, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1146 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:149] - node _T_1147 = eq(_T_1146, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1148 = and(_T_1134, _T_1135) @[dec_tlu_ctl.scala 2569:198] - node _T_1149 = and(_T_1148, _T_1136) @[dec_tlu_ctl.scala 2569:198] - node _T_1150 = and(_T_1149, _T_1137) @[dec_tlu_ctl.scala 2569:198] - node _T_1151 = and(_T_1150, _T_1138) @[dec_tlu_ctl.scala 2569:198] - node _T_1152 = and(_T_1151, _T_1140) @[dec_tlu_ctl.scala 2569:198] - node _T_1153 = and(_T_1152, _T_1141) @[dec_tlu_ctl.scala 2569:198] - node _T_1154 = and(_T_1153, _T_1143) @[dec_tlu_ctl.scala 2569:198] - node _T_1155 = and(_T_1154, _T_1145) @[dec_tlu_ctl.scala 2569:198] - node _T_1156 = and(_T_1155, _T_1147) @[dec_tlu_ctl.scala 2569:198] - node _T_1157 = or(_T_1132, _T_1156) @[dec_tlu_ctl.scala 2645:161] - node _T_1158 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:149] - node _T_1159 = eq(_T_1158, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1160 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:149] - node _T_1161 = eq(_T_1160, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1162 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2569:106] - node _T_1163 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2569:106] - node _T_1164 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:149] - node _T_1165 = eq(_T_1164, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1166 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:149] - node _T_1167 = eq(_T_1166, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1168 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:106] - node _T_1169 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:106] - node _T_1170 = and(_T_1159, _T_1161) @[dec_tlu_ctl.scala 2569:198] - node _T_1171 = and(_T_1170, _T_1162) @[dec_tlu_ctl.scala 2569:198] - node _T_1172 = and(_T_1171, _T_1163) @[dec_tlu_ctl.scala 2569:198] - node _T_1173 = and(_T_1172, _T_1165) @[dec_tlu_ctl.scala 2569:198] - node _T_1174 = and(_T_1173, _T_1167) @[dec_tlu_ctl.scala 2569:198] - node _T_1175 = and(_T_1174, _T_1168) @[dec_tlu_ctl.scala 2569:198] - node _T_1176 = and(_T_1175, _T_1169) @[dec_tlu_ctl.scala 2569:198] - node _T_1177 = or(_T_1157, _T_1176) @[dec_tlu_ctl.scala 2646:105] - node _T_1178 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:106] - node _T_1179 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2569:106] - node _T_1180 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2569:106] - node _T_1181 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:149] - node _T_1182 = eq(_T_1181, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1183 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:149] - node _T_1184 = eq(_T_1183, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1185 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:149] - node _T_1186 = eq(_T_1185, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1187 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:106] - node _T_1188 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_1189 = eq(_T_1188, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1190 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:106] - node _T_1191 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:149] - node _T_1192 = eq(_T_1191, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1193 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:185] - node _T_1194 = eq(_T_1193, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:165] - node _T_1195 = and(_T_1178, _T_1179) @[dec_tlu_ctl.scala 2569:198] - node _T_1196 = and(_T_1195, _T_1180) @[dec_tlu_ctl.scala 2569:198] - node _T_1197 = and(_T_1196, _T_1182) @[dec_tlu_ctl.scala 2569:198] - node _T_1198 = and(_T_1197, _T_1184) @[dec_tlu_ctl.scala 2569:198] - node _T_1199 = and(_T_1198, _T_1186) @[dec_tlu_ctl.scala 2569:198] - node _T_1200 = and(_T_1199, _T_1187) @[dec_tlu_ctl.scala 2569:198] - node _T_1201 = and(_T_1200, _T_1189) @[dec_tlu_ctl.scala 2569:198] - node _T_1202 = and(_T_1201, _T_1190) @[dec_tlu_ctl.scala 2569:198] - node _T_1203 = and(_T_1202, _T_1192) @[dec_tlu_ctl.scala 2569:198] - node _T_1204 = and(_T_1203, _T_1194) @[dec_tlu_ctl.scala 2569:198] - node _T_1205 = or(_T_1177, _T_1204) @[dec_tlu_ctl.scala 2646:161] - node _T_1206 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:149] - node _T_1207 = eq(_T_1206, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1208 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:106] - node _T_1209 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2569:106] - node _T_1210 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2569:106] - node _T_1211 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:106] - node _T_1212 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:106] - node _T_1213 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:149] - node _T_1214 = eq(_T_1213, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1215 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_1216 = eq(_T_1215, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1217 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:106] - node _T_1218 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:106] - node _T_1219 = and(_T_1207, _T_1208) @[dec_tlu_ctl.scala 2569:198] - node _T_1220 = and(_T_1219, _T_1209) @[dec_tlu_ctl.scala 2569:198] - node _T_1221 = and(_T_1220, _T_1210) @[dec_tlu_ctl.scala 2569:198] - node _T_1222 = and(_T_1221, _T_1211) @[dec_tlu_ctl.scala 2569:198] - node _T_1223 = and(_T_1222, _T_1212) @[dec_tlu_ctl.scala 2569:198] - node _T_1224 = and(_T_1223, _T_1214) @[dec_tlu_ctl.scala 2569:198] - node _T_1225 = and(_T_1224, _T_1216) @[dec_tlu_ctl.scala 2569:198] - node _T_1226 = and(_T_1225, _T_1217) @[dec_tlu_ctl.scala 2569:198] - node _T_1227 = and(_T_1226, _T_1218) @[dec_tlu_ctl.scala 2569:198] - node _T_1228 = or(_T_1205, _T_1227) @[dec_tlu_ctl.scala 2647:97] - node _T_1229 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:149] - node _T_1230 = eq(_T_1229, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1231 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:106] - node _T_1232 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2569:106] - node _T_1233 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2569:106] - node _T_1234 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:106] - node _T_1235 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:106] - node _T_1236 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:149] - node _T_1237 = eq(_T_1236, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1238 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:106] - node _T_1239 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_1240 = eq(_T_1239, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1241 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:106] - node _T_1242 = and(_T_1230, _T_1231) @[dec_tlu_ctl.scala 2569:198] - node _T_1243 = and(_T_1242, _T_1232) @[dec_tlu_ctl.scala 2569:198] - node _T_1244 = and(_T_1243, _T_1233) @[dec_tlu_ctl.scala 2569:198] - node _T_1245 = and(_T_1244, _T_1234) @[dec_tlu_ctl.scala 2569:198] - node _T_1246 = and(_T_1245, _T_1235) @[dec_tlu_ctl.scala 2569:198] - node _T_1247 = and(_T_1246, _T_1237) @[dec_tlu_ctl.scala 2569:198] - node _T_1248 = and(_T_1247, _T_1238) @[dec_tlu_ctl.scala 2569:198] - node _T_1249 = and(_T_1248, _T_1240) @[dec_tlu_ctl.scala 2569:198] - node _T_1250 = and(_T_1249, _T_1241) @[dec_tlu_ctl.scala 2569:198] - node _T_1251 = or(_T_1228, _T_1250) @[dec_tlu_ctl.scala 2647:153] - node _T_1252 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:106] - node _T_1253 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2569:106] - node _T_1254 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2569:106] - node _T_1255 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:149] - node _T_1256 = eq(_T_1255, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1257 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:149] - node _T_1258 = eq(_T_1257, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1259 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:149] - node _T_1260 = eq(_T_1259, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1261 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:106] - node _T_1262 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_1263 = eq(_T_1262, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1264 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:149] - node _T_1265 = eq(_T_1264, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1266 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:106] - node _T_1267 = and(_T_1252, _T_1253) @[dec_tlu_ctl.scala 2569:198] - node _T_1268 = and(_T_1267, _T_1254) @[dec_tlu_ctl.scala 2569:198] - node _T_1269 = and(_T_1268, _T_1256) @[dec_tlu_ctl.scala 2569:198] - node _T_1270 = and(_T_1269, _T_1258) @[dec_tlu_ctl.scala 2569:198] - node _T_1271 = and(_T_1270, _T_1260) @[dec_tlu_ctl.scala 2569:198] - node _T_1272 = and(_T_1271, _T_1261) @[dec_tlu_ctl.scala 2569:198] - node _T_1273 = and(_T_1272, _T_1263) @[dec_tlu_ctl.scala 2569:198] - node _T_1274 = and(_T_1273, _T_1265) @[dec_tlu_ctl.scala 2569:198] - node _T_1275 = and(_T_1274, _T_1266) @[dec_tlu_ctl.scala 2569:198] - node _T_1276 = or(_T_1251, _T_1275) @[dec_tlu_ctl.scala 2648:105] - node _T_1277 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:149] - node _T_1278 = eq(_T_1277, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1279 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:149] - node _T_1280 = eq(_T_1279, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1281 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2569:106] - node _T_1282 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2569:106] - node _T_1283 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:149] - node _T_1284 = eq(_T_1283, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1285 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:149] - node _T_1286 = eq(_T_1285, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1287 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:106] - node _T_1288 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:106] - node _T_1289 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:106] - node _T_1290 = and(_T_1278, _T_1280) @[dec_tlu_ctl.scala 2569:198] - node _T_1291 = and(_T_1290, _T_1281) @[dec_tlu_ctl.scala 2569:198] - node _T_1292 = and(_T_1291, _T_1282) @[dec_tlu_ctl.scala 2569:198] - node _T_1293 = and(_T_1292, _T_1284) @[dec_tlu_ctl.scala 2569:198] - node _T_1294 = and(_T_1293, _T_1286) @[dec_tlu_ctl.scala 2569:198] - node _T_1295 = and(_T_1294, _T_1287) @[dec_tlu_ctl.scala 2569:198] - node _T_1296 = and(_T_1295, _T_1288) @[dec_tlu_ctl.scala 2569:198] - node _T_1297 = and(_T_1296, _T_1289) @[dec_tlu_ctl.scala 2569:198] - node _T_1298 = or(_T_1276, _T_1297) @[dec_tlu_ctl.scala 2648:161] - node _T_1299 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:106] - node _T_1300 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:149] - node _T_1301 = eq(_T_1300, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1302 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2569:106] - node _T_1303 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2569:106] - node _T_1304 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:106] - node _T_1305 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:149] - node _T_1306 = eq(_T_1305, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1307 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_1308 = eq(_T_1307, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1309 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:106] - node _T_1310 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:149] - node _T_1311 = eq(_T_1310, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1312 = and(_T_1299, _T_1301) @[dec_tlu_ctl.scala 2569:198] - node _T_1313 = and(_T_1312, _T_1302) @[dec_tlu_ctl.scala 2569:198] - node _T_1314 = and(_T_1313, _T_1303) @[dec_tlu_ctl.scala 2569:198] - node _T_1315 = and(_T_1314, _T_1304) @[dec_tlu_ctl.scala 2569:198] - node _T_1316 = and(_T_1315, _T_1306) @[dec_tlu_ctl.scala 2569:198] - node _T_1317 = and(_T_1316, _T_1308) @[dec_tlu_ctl.scala 2569:198] - node _T_1318 = and(_T_1317, _T_1309) @[dec_tlu_ctl.scala 2569:198] - node _T_1319 = and(_T_1318, _T_1311) @[dec_tlu_ctl.scala 2569:198] - node _T_1320 = or(_T_1298, _T_1319) @[dec_tlu_ctl.scala 2649:105] - node _T_1321 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:106] - node _T_1322 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:149] - node _T_1323 = eq(_T_1322, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1324 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2569:106] - node _T_1325 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2569:106] - node _T_1326 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:106] - node _T_1327 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:149] - node _T_1328 = eq(_T_1327, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1329 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_1330 = eq(_T_1329, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1331 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:106] - node _T_1332 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:149] - node _T_1333 = eq(_T_1332, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1334 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:185] - node _T_1335 = eq(_T_1334, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:165] - node _T_1336 = and(_T_1321, _T_1323) @[dec_tlu_ctl.scala 2569:198] - node _T_1337 = and(_T_1336, _T_1324) @[dec_tlu_ctl.scala 2569:198] - node _T_1338 = and(_T_1337, _T_1325) @[dec_tlu_ctl.scala 2569:198] - node _T_1339 = and(_T_1338, _T_1326) @[dec_tlu_ctl.scala 2569:198] - node _T_1340 = and(_T_1339, _T_1328) @[dec_tlu_ctl.scala 2569:198] - node _T_1341 = and(_T_1340, _T_1330) @[dec_tlu_ctl.scala 2569:198] - node _T_1342 = and(_T_1341, _T_1331) @[dec_tlu_ctl.scala 2569:198] - node _T_1343 = and(_T_1342, _T_1333) @[dec_tlu_ctl.scala 2569:198] - node _T_1344 = and(_T_1343, _T_1335) @[dec_tlu_ctl.scala 2569:198] - node _T_1345 = or(_T_1320, _T_1344) @[dec_tlu_ctl.scala 2649:161] - node _T_1346 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:106] - node _T_1347 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:149] - node _T_1348 = eq(_T_1347, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1349 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2569:106] - node _T_1350 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2569:106] - node _T_1351 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:149] - node _T_1352 = eq(_T_1351, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1353 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:149] - node _T_1354 = eq(_T_1353, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1355 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:106] - node _T_1356 = and(_T_1346, _T_1348) @[dec_tlu_ctl.scala 2569:198] - node _T_1357 = and(_T_1356, _T_1349) @[dec_tlu_ctl.scala 2569:198] - node _T_1358 = and(_T_1357, _T_1350) @[dec_tlu_ctl.scala 2569:198] - node _T_1359 = and(_T_1358, _T_1352) @[dec_tlu_ctl.scala 2569:198] - node _T_1360 = and(_T_1359, _T_1354) @[dec_tlu_ctl.scala 2569:198] - node _T_1361 = and(_T_1360, _T_1355) @[dec_tlu_ctl.scala 2569:198] - node _T_1362 = or(_T_1345, _T_1361) @[dec_tlu_ctl.scala 2650:105] - node _T_1363 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:149] - node _T_1364 = eq(_T_1363, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1365 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:106] - node _T_1366 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2569:106] - node _T_1367 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2569:106] - node _T_1368 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:106] - node _T_1369 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:106] - node _T_1370 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:149] - node _T_1371 = eq(_T_1370, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1372 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:106] - node _T_1373 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_1374 = eq(_T_1373, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1375 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:106] - node _T_1376 = and(_T_1364, _T_1365) @[dec_tlu_ctl.scala 2569:198] - node _T_1377 = and(_T_1376, _T_1366) @[dec_tlu_ctl.scala 2569:198] - node _T_1378 = and(_T_1377, _T_1367) @[dec_tlu_ctl.scala 2569:198] - node _T_1379 = and(_T_1378, _T_1368) @[dec_tlu_ctl.scala 2569:198] - node _T_1380 = and(_T_1379, _T_1369) @[dec_tlu_ctl.scala 2569:198] - node _T_1381 = and(_T_1380, _T_1371) @[dec_tlu_ctl.scala 2569:198] - node _T_1382 = and(_T_1381, _T_1372) @[dec_tlu_ctl.scala 2569:198] - node _T_1383 = and(_T_1382, _T_1374) @[dec_tlu_ctl.scala 2569:198] - node _T_1384 = and(_T_1383, _T_1375) @[dec_tlu_ctl.scala 2569:198] - node _T_1385 = or(_T_1362, _T_1384) @[dec_tlu_ctl.scala 2650:161] - node _T_1386 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:149] - node _T_1387 = eq(_T_1386, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1388 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:106] - node _T_1389 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2569:106] - node _T_1390 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2569:106] - node _T_1391 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:106] - node _T_1392 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:106] - node _T_1393 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:149] - node _T_1394 = eq(_T_1393, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1395 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_1396 = eq(_T_1395, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1397 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:185] - node _T_1398 = eq(_T_1397, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:165] - node _T_1399 = and(_T_1387, _T_1388) @[dec_tlu_ctl.scala 2569:198] - node _T_1400 = and(_T_1399, _T_1389) @[dec_tlu_ctl.scala 2569:198] - node _T_1401 = and(_T_1400, _T_1390) @[dec_tlu_ctl.scala 2569:198] - node _T_1402 = and(_T_1401, _T_1391) @[dec_tlu_ctl.scala 2569:198] - node _T_1403 = and(_T_1402, _T_1392) @[dec_tlu_ctl.scala 2569:198] - node _T_1404 = and(_T_1403, _T_1394) @[dec_tlu_ctl.scala 2569:198] - node _T_1405 = and(_T_1404, _T_1396) @[dec_tlu_ctl.scala 2569:198] - node _T_1406 = and(_T_1405, _T_1398) @[dec_tlu_ctl.scala 2569:198] - node _T_1407 = or(_T_1385, _T_1406) @[dec_tlu_ctl.scala 2651:105] - node _T_1408 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:149] - node _T_1409 = eq(_T_1408, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1410 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:106] - node _T_1411 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2569:106] - node _T_1412 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2569:106] - node _T_1413 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:106] - node _T_1414 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:106] - node _T_1415 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:149] - node _T_1416 = eq(_T_1415, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1417 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_1418 = eq(_T_1417, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1419 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:106] - node _T_1420 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:149] - node _T_1421 = eq(_T_1420, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1422 = and(_T_1409, _T_1410) @[dec_tlu_ctl.scala 2569:198] - node _T_1423 = and(_T_1422, _T_1411) @[dec_tlu_ctl.scala 2569:198] - node _T_1424 = and(_T_1423, _T_1412) @[dec_tlu_ctl.scala 2569:198] - node _T_1425 = and(_T_1424, _T_1413) @[dec_tlu_ctl.scala 2569:198] - node _T_1426 = and(_T_1425, _T_1414) @[dec_tlu_ctl.scala 2569:198] - node _T_1427 = and(_T_1426, _T_1416) @[dec_tlu_ctl.scala 2569:198] - node _T_1428 = and(_T_1427, _T_1418) @[dec_tlu_ctl.scala 2569:198] - node _T_1429 = and(_T_1428, _T_1419) @[dec_tlu_ctl.scala 2569:198] - node _T_1430 = and(_T_1429, _T_1421) @[dec_tlu_ctl.scala 2569:198] - node _T_1431 = or(_T_1407, _T_1430) @[dec_tlu_ctl.scala 2651:161] - node _T_1432 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:149] - node _T_1433 = eq(_T_1432, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1434 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:106] - node _T_1435 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2569:106] - node _T_1436 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2569:106] - node _T_1437 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:106] - node _T_1438 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:149] - node _T_1439 = eq(_T_1438, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1440 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:106] - node _T_1441 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_1442 = eq(_T_1441, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1443 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_1444 = eq(_T_1443, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1445 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:149] - node _T_1446 = eq(_T_1445, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1447 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:185] - node _T_1448 = eq(_T_1447, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:165] - node _T_1449 = and(_T_1433, _T_1434) @[dec_tlu_ctl.scala 2569:198] - node _T_1450 = and(_T_1449, _T_1435) @[dec_tlu_ctl.scala 2569:198] - node _T_1451 = and(_T_1450, _T_1436) @[dec_tlu_ctl.scala 2569:198] - node _T_1452 = and(_T_1451, _T_1437) @[dec_tlu_ctl.scala 2569:198] - node _T_1453 = and(_T_1452, _T_1439) @[dec_tlu_ctl.scala 2569:198] - node _T_1454 = and(_T_1453, _T_1440) @[dec_tlu_ctl.scala 2569:198] - node _T_1455 = and(_T_1454, _T_1442) @[dec_tlu_ctl.scala 2569:198] - node _T_1456 = and(_T_1455, _T_1444) @[dec_tlu_ctl.scala 2569:198] - node _T_1457 = and(_T_1456, _T_1446) @[dec_tlu_ctl.scala 2569:198] - node _T_1458 = and(_T_1457, _T_1448) @[dec_tlu_ctl.scala 2569:198] - node _T_1459 = or(_T_1431, _T_1458) @[dec_tlu_ctl.scala 2652:105] - node _T_1460 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:106] - node _T_1461 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:149] - node _T_1462 = eq(_T_1461, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1463 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2569:106] - node _T_1464 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2569:106] - node _T_1465 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:149] - node _T_1466 = eq(_T_1465, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1467 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:149] - node _T_1468 = eq(_T_1467, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1469 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:106] - node _T_1470 = and(_T_1460, _T_1462) @[dec_tlu_ctl.scala 2569:198] - node _T_1471 = and(_T_1470, _T_1463) @[dec_tlu_ctl.scala 2569:198] - node _T_1472 = and(_T_1471, _T_1464) @[dec_tlu_ctl.scala 2569:198] - node _T_1473 = and(_T_1472, _T_1466) @[dec_tlu_ctl.scala 2569:198] - node _T_1474 = and(_T_1473, _T_1468) @[dec_tlu_ctl.scala 2569:198] - node _T_1475 = and(_T_1474, _T_1469) @[dec_tlu_ctl.scala 2569:198] - node _T_1476 = or(_T_1459, _T_1475) @[dec_tlu_ctl.scala 2652:153] - node _T_1477 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:149] - node _T_1478 = eq(_T_1477, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1479 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:149] - node _T_1480 = eq(_T_1479, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1481 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2569:106] - node _T_1482 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2569:106] - node _T_1483 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:149] - node _T_1484 = eq(_T_1483, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1485 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:106] - node _T_1486 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:149] - node _T_1487 = eq(_T_1486, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1488 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_1489 = eq(_T_1488, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1490 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_1491 = eq(_T_1490, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1492 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2569:149] - node _T_1493 = eq(_T_1492, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1494 = and(_T_1478, _T_1480) @[dec_tlu_ctl.scala 2569:198] - node _T_1495 = and(_T_1494, _T_1481) @[dec_tlu_ctl.scala 2569:198] - node _T_1496 = and(_T_1495, _T_1482) @[dec_tlu_ctl.scala 2569:198] - node _T_1497 = and(_T_1496, _T_1484) @[dec_tlu_ctl.scala 2569:198] - node _T_1498 = and(_T_1497, _T_1485) @[dec_tlu_ctl.scala 2569:198] - node _T_1499 = and(_T_1498, _T_1487) @[dec_tlu_ctl.scala 2569:198] - node _T_1500 = and(_T_1499, _T_1489) @[dec_tlu_ctl.scala 2569:198] - node _T_1501 = and(_T_1500, _T_1491) @[dec_tlu_ctl.scala 2569:198] - node _T_1502 = and(_T_1501, _T_1493) @[dec_tlu_ctl.scala 2569:198] - node _T_1503 = or(_T_1476, _T_1502) @[dec_tlu_ctl.scala 2653:113] - node _T_1504 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:149] - node _T_1505 = eq(_T_1504, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1506 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:149] - node _T_1507 = eq(_T_1506, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1508 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2569:106] - node _T_1509 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2569:106] - node _T_1510 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:149] - node _T_1511 = eq(_T_1510, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1512 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:149] - node _T_1513 = eq(_T_1512, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1514 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:149] - node _T_1515 = eq(_T_1514, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1516 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:149] - node _T_1517 = eq(_T_1516, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1518 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2569:149] - node _T_1519 = eq(_T_1518, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1520 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2569:185] - node _T_1521 = eq(_T_1520, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:165] - node _T_1522 = and(_T_1505, _T_1507) @[dec_tlu_ctl.scala 2569:198] - node _T_1523 = and(_T_1522, _T_1508) @[dec_tlu_ctl.scala 2569:198] - node _T_1524 = and(_T_1523, _T_1509) @[dec_tlu_ctl.scala 2569:198] - node _T_1525 = and(_T_1524, _T_1511) @[dec_tlu_ctl.scala 2569:198] - node _T_1526 = and(_T_1525, _T_1513) @[dec_tlu_ctl.scala 2569:198] - node _T_1527 = and(_T_1526, _T_1515) @[dec_tlu_ctl.scala 2569:198] - node _T_1528 = and(_T_1527, _T_1517) @[dec_tlu_ctl.scala 2569:198] - node _T_1529 = and(_T_1528, _T_1519) @[dec_tlu_ctl.scala 2569:198] - node _T_1530 = and(_T_1529, _T_1521) @[dec_tlu_ctl.scala 2569:198] - node _T_1531 = or(_T_1503, _T_1530) @[dec_tlu_ctl.scala 2653:161] - node _T_1532 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:149] - node _T_1533 = eq(_T_1532, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1534 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:149] - node _T_1535 = eq(_T_1534, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1536 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2569:106] - node _T_1537 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2569:106] - node _T_1538 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:149] - node _T_1539 = eq(_T_1538, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1540 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:149] - node _T_1541 = eq(_T_1540, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1542 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:106] - node _T_1543 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:106] - node _T_1544 = and(_T_1533, _T_1535) @[dec_tlu_ctl.scala 2569:198] - node _T_1545 = and(_T_1544, _T_1536) @[dec_tlu_ctl.scala 2569:198] - node _T_1546 = and(_T_1545, _T_1537) @[dec_tlu_ctl.scala 2569:198] - node _T_1547 = and(_T_1546, _T_1539) @[dec_tlu_ctl.scala 2569:198] - node _T_1548 = and(_T_1547, _T_1541) @[dec_tlu_ctl.scala 2569:198] - node _T_1549 = and(_T_1548, _T_1542) @[dec_tlu_ctl.scala 2569:198] - node _T_1550 = and(_T_1549, _T_1543) @[dec_tlu_ctl.scala 2569:198] - node _T_1551 = or(_T_1531, _T_1550) @[dec_tlu_ctl.scala 2654:97] - node _T_1552 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:106] - node _T_1553 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:149] - node _T_1554 = eq(_T_1553, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1555 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2569:106] - node _T_1556 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2569:106] - node _T_1557 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:149] - node _T_1558 = eq(_T_1557, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1559 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:149] - node _T_1560 = eq(_T_1559, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1561 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2569:106] - node _T_1562 = and(_T_1552, _T_1554) @[dec_tlu_ctl.scala 2569:198] - node _T_1563 = and(_T_1562, _T_1555) @[dec_tlu_ctl.scala 2569:198] - node _T_1564 = and(_T_1563, _T_1556) @[dec_tlu_ctl.scala 2569:198] - node _T_1565 = and(_T_1564, _T_1558) @[dec_tlu_ctl.scala 2569:198] - node _T_1566 = and(_T_1565, _T_1560) @[dec_tlu_ctl.scala 2569:198] - node _T_1567 = and(_T_1566, _T_1561) @[dec_tlu_ctl.scala 2569:198] - node _T_1568 = or(_T_1551, _T_1567) @[dec_tlu_ctl.scala 2654:153] - node _T_1569 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:149] - node _T_1570 = eq(_T_1569, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1571 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:149] - node _T_1572 = eq(_T_1571, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1573 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2569:106] - node _T_1574 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2569:106] - node _T_1575 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2569:149] - node _T_1576 = eq(_T_1575, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1577 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:149] - node _T_1578 = eq(_T_1577, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1579 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:106] - node _T_1580 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:106] - node _T_1581 = and(_T_1570, _T_1572) @[dec_tlu_ctl.scala 2569:198] - node _T_1582 = and(_T_1581, _T_1573) @[dec_tlu_ctl.scala 2569:198] - node _T_1583 = and(_T_1582, _T_1574) @[dec_tlu_ctl.scala 2569:198] - node _T_1584 = and(_T_1583, _T_1576) @[dec_tlu_ctl.scala 2569:198] - node _T_1585 = and(_T_1584, _T_1578) @[dec_tlu_ctl.scala 2569:198] - node _T_1586 = and(_T_1585, _T_1579) @[dec_tlu_ctl.scala 2569:198] - node _T_1587 = and(_T_1586, _T_1580) @[dec_tlu_ctl.scala 2569:198] - node _T_1588 = or(_T_1568, _T_1587) @[dec_tlu_ctl.scala 2655:113] - node _T_1589 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2569:106] - node _T_1590 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2569:149] - node _T_1591 = eq(_T_1590, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1592 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2569:106] - node _T_1593 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2569:106] - node _T_1594 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2569:149] - node _T_1595 = eq(_T_1594, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1596 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2569:149] - node _T_1597 = eq(_T_1596, UInt<1>("h00")) @[dec_tlu_ctl.scala 2569:129] - node _T_1598 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2569:106] - node _T_1599 = and(_T_1589, _T_1591) @[dec_tlu_ctl.scala 2569:198] - node _T_1600 = and(_T_1599, _T_1592) @[dec_tlu_ctl.scala 2569:198] - node _T_1601 = and(_T_1600, _T_1593) @[dec_tlu_ctl.scala 2569:198] - node _T_1602 = and(_T_1601, _T_1595) @[dec_tlu_ctl.scala 2569:198] - node _T_1603 = and(_T_1602, _T_1597) @[dec_tlu_ctl.scala 2569:198] - node _T_1604 = and(_T_1603, _T_1598) @[dec_tlu_ctl.scala 2569:198] - node _T_1605 = or(_T_1588, _T_1604) @[dec_tlu_ctl.scala 2655:169] - io.csr_pkt.legal <= _T_1605 @[dec_tlu_ctl.scala 2642:26] + node _T = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:149] + node _T_1 = eq(_T, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_2 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:149] + node _T_3 = eq(_T_2, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_4 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:149] + node _T_5 = eq(_T_4, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_6 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:149] + node _T_7 = eq(_T_6, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_8 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:106] + node _T_9 = and(_T_1, _T_3) @[dec_tlu_ctl.scala 2551:198] + node _T_10 = and(_T_9, _T_5) @[dec_tlu_ctl.scala 2551:198] + node _T_11 = and(_T_10, _T_7) @[dec_tlu_ctl.scala 2551:198] + node _T_12 = and(_T_11, _T_8) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_misa <= _T_12 @[dec_tlu_ctl.scala 2553:57] + node _T_13 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:106] + node _T_14 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:149] + node _T_15 = eq(_T_14, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_16 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:149] + node _T_17 = eq(_T_16, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_18 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:106] + node _T_19 = and(_T_13, _T_15) @[dec_tlu_ctl.scala 2551:198] + node _T_20 = and(_T_19, _T_17) @[dec_tlu_ctl.scala 2551:198] + node _T_21 = and(_T_20, _T_18) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mvendorid <= _T_21 @[dec_tlu_ctl.scala 2554:57] + node _T_22 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:106] + node _T_23 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:149] + node _T_24 = eq(_T_23, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_25 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:106] + node _T_26 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:185] + node _T_27 = eq(_T_26, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:165] + node _T_28 = and(_T_22, _T_24) @[dec_tlu_ctl.scala 2551:198] + node _T_29 = and(_T_28, _T_25) @[dec_tlu_ctl.scala 2551:198] + node _T_30 = and(_T_29, _T_27) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_marchid <= _T_30 @[dec_tlu_ctl.scala 2555:57] + node _T_31 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:106] + node _T_32 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:149] + node _T_33 = eq(_T_32, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_34 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:106] + node _T_35 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:106] + node _T_36 = and(_T_31, _T_33) @[dec_tlu_ctl.scala 2551:198] + node _T_37 = and(_T_36, _T_34) @[dec_tlu_ctl.scala 2551:198] + node _T_38 = and(_T_37, _T_35) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mimpid <= _T_38 @[dec_tlu_ctl.scala 2556:57] + node _T_39 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:106] + node _T_40 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:149] + node _T_41 = eq(_T_40, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_42 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:106] + node _T_43 = and(_T_39, _T_41) @[dec_tlu_ctl.scala 2551:198] + node _T_44 = and(_T_43, _T_42) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mhartid <= _T_44 @[dec_tlu_ctl.scala 2557:57] + node _T_45 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:149] + node _T_46 = eq(_T_45, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_47 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:149] + node _T_48 = eq(_T_47, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_49 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:149] + node _T_50 = eq(_T_49, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_51 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:149] + node _T_52 = eq(_T_51, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_53 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:185] + node _T_54 = eq(_T_53, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:165] + node _T_55 = and(_T_46, _T_48) @[dec_tlu_ctl.scala 2551:198] + node _T_56 = and(_T_55, _T_50) @[dec_tlu_ctl.scala 2551:198] + node _T_57 = and(_T_56, _T_52) @[dec_tlu_ctl.scala 2551:198] + node _T_58 = and(_T_57, _T_54) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mstatus <= _T_58 @[dec_tlu_ctl.scala 2558:57] + node _T_59 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:149] + node _T_60 = eq(_T_59, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_61 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:149] + node _T_62 = eq(_T_61, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_63 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:149] + node _T_64 = eq(_T_63, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_65 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:106] + node _T_66 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:106] + node _T_67 = and(_T_60, _T_62) @[dec_tlu_ctl.scala 2551:198] + node _T_68 = and(_T_67, _T_64) @[dec_tlu_ctl.scala 2551:198] + node _T_69 = and(_T_68, _T_65) @[dec_tlu_ctl.scala 2551:198] + node _T_70 = and(_T_69, _T_66) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mtvec <= _T_70 @[dec_tlu_ctl.scala 2559:57] + node _T_71 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:149] + node _T_72 = eq(_T_71, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_73 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:106] + node _T_74 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:106] + node _T_75 = and(_T_72, _T_73) @[dec_tlu_ctl.scala 2551:198] + node _T_76 = and(_T_75, _T_74) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mip <= _T_76 @[dec_tlu_ctl.scala 2560:65] + node _T_77 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:149] + node _T_78 = eq(_T_77, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_79 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:149] + node _T_80 = eq(_T_79, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_81 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:149] + node _T_82 = eq(_T_81, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_83 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:106] + node _T_84 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:185] + node _T_85 = eq(_T_84, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:165] + node _T_86 = and(_T_78, _T_80) @[dec_tlu_ctl.scala 2551:198] + node _T_87 = and(_T_86, _T_82) @[dec_tlu_ctl.scala 2551:198] + node _T_88 = and(_T_87, _T_83) @[dec_tlu_ctl.scala 2551:198] + node _T_89 = and(_T_88, _T_85) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mie <= _T_89 @[dec_tlu_ctl.scala 2561:65] + node _T_90 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:106] + node _T_91 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:149] + node _T_92 = eq(_T_91, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_93 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_94 = eq(_T_93, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_95 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_96 = eq(_T_95, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_97 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:149] + node _T_98 = eq(_T_97, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_99 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:149] + node _T_100 = eq(_T_99, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_101 = and(_T_90, _T_92) @[dec_tlu_ctl.scala 2551:198] + node _T_102 = and(_T_101, _T_94) @[dec_tlu_ctl.scala 2551:198] + node _T_103 = and(_T_102, _T_96) @[dec_tlu_ctl.scala 2551:198] + node _T_104 = and(_T_103, _T_98) @[dec_tlu_ctl.scala 2551:198] + node _T_105 = and(_T_104, _T_100) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mcyclel <= _T_105 @[dec_tlu_ctl.scala 2562:57] + node _T_106 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:106] + node _T_107 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:149] + node _T_108 = eq(_T_107, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_109 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:149] + node _T_110 = eq(_T_109, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_111 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_112 = eq(_T_111, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_113 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_114 = eq(_T_113, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_115 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:149] + node _T_116 = eq(_T_115, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_117 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:149] + node _T_118 = eq(_T_117, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_119 = and(_T_106, _T_108) @[dec_tlu_ctl.scala 2551:198] + node _T_120 = and(_T_119, _T_110) @[dec_tlu_ctl.scala 2551:198] + node _T_121 = and(_T_120, _T_112) @[dec_tlu_ctl.scala 2551:198] + node _T_122 = and(_T_121, _T_114) @[dec_tlu_ctl.scala 2551:198] + node _T_123 = and(_T_122, _T_116) @[dec_tlu_ctl.scala 2551:198] + node _T_124 = and(_T_123, _T_118) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mcycleh <= _T_124 @[dec_tlu_ctl.scala 2563:57] + node _T_125 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:149] + node _T_126 = eq(_T_125, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_127 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:149] + node _T_128 = eq(_T_127, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_129 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_130 = eq(_T_129, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_131 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_132 = eq(_T_131, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_133 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:149] + node _T_134 = eq(_T_133, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_135 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:106] + node _T_136 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:185] + node _T_137 = eq(_T_136, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:165] + node _T_138 = and(_T_126, _T_128) @[dec_tlu_ctl.scala 2551:198] + node _T_139 = and(_T_138, _T_130) @[dec_tlu_ctl.scala 2551:198] + node _T_140 = and(_T_139, _T_132) @[dec_tlu_ctl.scala 2551:198] + node _T_141 = and(_T_140, _T_134) @[dec_tlu_ctl.scala 2551:198] + node _T_142 = and(_T_141, _T_135) @[dec_tlu_ctl.scala 2551:198] + node _T_143 = and(_T_142, _T_137) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_minstretl <= _T_143 @[dec_tlu_ctl.scala 2564:57] + node _T_144 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:149] + node _T_145 = eq(_T_144, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_146 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:106] + node _T_147 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_148 = eq(_T_147, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_149 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_150 = eq(_T_149, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_151 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:149] + node _T_152 = eq(_T_151, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_153 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:106] + node _T_154 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:185] + node _T_155 = eq(_T_154, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:165] + node _T_156 = and(_T_145, _T_146) @[dec_tlu_ctl.scala 2551:198] + node _T_157 = and(_T_156, _T_148) @[dec_tlu_ctl.scala 2551:198] + node _T_158 = and(_T_157, _T_150) @[dec_tlu_ctl.scala 2551:198] + node _T_159 = and(_T_158, _T_152) @[dec_tlu_ctl.scala 2551:198] + node _T_160 = and(_T_159, _T_153) @[dec_tlu_ctl.scala 2551:198] + node _T_161 = and(_T_160, _T_155) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_minstreth <= _T_161 @[dec_tlu_ctl.scala 2565:57] + node _T_162 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:149] + node _T_163 = eq(_T_162, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_164 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:106] + node _T_165 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:149] + node _T_166 = eq(_T_165, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_167 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:149] + node _T_168 = eq(_T_167, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_169 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:185] + node _T_170 = eq(_T_169, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:165] + node _T_171 = and(_T_163, _T_164) @[dec_tlu_ctl.scala 2551:198] + node _T_172 = and(_T_171, _T_166) @[dec_tlu_ctl.scala 2551:198] + node _T_173 = and(_T_172, _T_168) @[dec_tlu_ctl.scala 2551:198] + node _T_174 = and(_T_173, _T_170) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mscratch <= _T_174 @[dec_tlu_ctl.scala 2566:57] + node _T_175 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:149] + node _T_176 = eq(_T_175, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_177 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:106] + node _T_178 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:149] + node _T_179 = eq(_T_178, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_180 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:106] + node _T_181 = and(_T_176, _T_177) @[dec_tlu_ctl.scala 2551:198] + node _T_182 = and(_T_181, _T_179) @[dec_tlu_ctl.scala 2551:198] + node _T_183 = and(_T_182, _T_180) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mepc <= _T_183 @[dec_tlu_ctl.scala 2567:57] + node _T_184 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:149] + node _T_185 = eq(_T_184, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_186 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:106] + node _T_187 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:106] + node _T_188 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:185] + node _T_189 = eq(_T_188, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:165] + node _T_190 = and(_T_185, _T_186) @[dec_tlu_ctl.scala 2551:198] + node _T_191 = and(_T_190, _T_187) @[dec_tlu_ctl.scala 2551:198] + node _T_192 = and(_T_191, _T_189) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mcause <= _T_192 @[dec_tlu_ctl.scala 2568:57] + node _T_193 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:106] + node _T_194 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:106] + node _T_195 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:106] + node _T_196 = and(_T_193, _T_194) @[dec_tlu_ctl.scala 2551:198] + node _T_197 = and(_T_196, _T_195) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mscause <= _T_197 @[dec_tlu_ctl.scala 2569:57] + node _T_198 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:149] + node _T_199 = eq(_T_198, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_200 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:106] + node _T_201 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:106] + node _T_202 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:106] + node _T_203 = and(_T_199, _T_200) @[dec_tlu_ctl.scala 2551:198] + node _T_204 = and(_T_203, _T_201) @[dec_tlu_ctl.scala 2551:198] + node _T_205 = and(_T_204, _T_202) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mtval <= _T_205 @[dec_tlu_ctl.scala 2570:57] + node _T_206 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:149] + node _T_207 = eq(_T_206, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_208 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:106] + node _T_209 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:149] + node _T_210 = eq(_T_209, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_211 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_212 = eq(_T_211, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_213 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:149] + node _T_214 = eq(_T_213, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_215 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:149] + node _T_216 = eq(_T_215, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_217 = and(_T_207, _T_208) @[dec_tlu_ctl.scala 2551:198] + node _T_218 = and(_T_217, _T_210) @[dec_tlu_ctl.scala 2551:198] + node _T_219 = and(_T_218, _T_212) @[dec_tlu_ctl.scala 2551:198] + node _T_220 = and(_T_219, _T_214) @[dec_tlu_ctl.scala 2551:198] + node _T_221 = and(_T_220, _T_216) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mrac <= _T_221 @[dec_tlu_ctl.scala 2571:57] + node _T_222 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:106] + node _T_223 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_224 = eq(_T_223, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_225 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_226 = eq(_T_225, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_227 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:106] + node _T_228 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:149] + node _T_229 = eq(_T_228, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_230 = and(_T_222, _T_224) @[dec_tlu_ctl.scala 2551:198] + node _T_231 = and(_T_230, _T_226) @[dec_tlu_ctl.scala 2551:198] + node _T_232 = and(_T_231, _T_227) @[dec_tlu_ctl.scala 2551:198] + node _T_233 = and(_T_232, _T_229) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_dmst <= _T_233 @[dec_tlu_ctl.scala 2572:57] + node _T_234 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:106] + node _T_235 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:106] + node _T_236 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_237 = eq(_T_236, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_238 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_239 = eq(_T_238, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_240 = and(_T_234, _T_235) @[dec_tlu_ctl.scala 2551:198] + node _T_241 = and(_T_240, _T_237) @[dec_tlu_ctl.scala 2551:198] + node _T_242 = and(_T_241, _T_239) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mdseac <= _T_242 @[dec_tlu_ctl.scala 2573:57] + node _T_243 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:106] + node _T_244 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:106] + node _T_245 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:106] + node _T_246 = and(_T_243, _T_244) @[dec_tlu_ctl.scala 2551:198] + node _T_247 = and(_T_246, _T_245) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_meihap <= _T_247 @[dec_tlu_ctl.scala 2574:57] + node _T_248 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:149] + node _T_249 = eq(_T_248, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_250 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:106] + node _T_251 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:106] + node _T_252 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:149] + node _T_253 = eq(_T_252, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_254 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:149] + node _T_255 = eq(_T_254, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_256 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:185] + node _T_257 = eq(_T_256, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:165] + node _T_258 = and(_T_249, _T_250) @[dec_tlu_ctl.scala 2551:198] + node _T_259 = and(_T_258, _T_251) @[dec_tlu_ctl.scala 2551:198] + node _T_260 = and(_T_259, _T_253) @[dec_tlu_ctl.scala 2551:198] + node _T_261 = and(_T_260, _T_255) @[dec_tlu_ctl.scala 2551:198] + node _T_262 = and(_T_261, _T_257) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_meivt <= _T_262 @[dec_tlu_ctl.scala 2575:57] + node _T_263 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:106] + node _T_264 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:106] + node _T_265 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:149] + node _T_266 = eq(_T_265, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_267 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:106] + node _T_268 = and(_T_263, _T_264) @[dec_tlu_ctl.scala 2551:198] + node _T_269 = and(_T_268, _T_266) @[dec_tlu_ctl.scala 2551:198] + node _T_270 = and(_T_269, _T_267) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_meipt <= _T_270 @[dec_tlu_ctl.scala 2576:57] + node _T_271 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:106] + node _T_272 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:106] + node _T_273 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:106] + node _T_274 = and(_T_271, _T_272) @[dec_tlu_ctl.scala 2551:198] + node _T_275 = and(_T_274, _T_273) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_meicurpl <= _T_275 @[dec_tlu_ctl.scala 2577:57] + node _T_276 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:106] + node _T_277 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:106] + node _T_278 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:106] + node _T_279 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:106] + node _T_280 = and(_T_276, _T_277) @[dec_tlu_ctl.scala 2551:198] + node _T_281 = and(_T_280, _T_278) @[dec_tlu_ctl.scala 2551:198] + node _T_282 = and(_T_281, _T_279) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_meicidpl <= _T_282 @[dec_tlu_ctl.scala 2578:57] + node _T_283 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:106] + node _T_284 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:149] + node _T_285 = eq(_T_284, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_286 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:106] + node _T_287 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:106] + node _T_288 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:185] + node _T_289 = eq(_T_288, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:165] + node _T_290 = and(_T_283, _T_285) @[dec_tlu_ctl.scala 2551:198] + node _T_291 = and(_T_290, _T_286) @[dec_tlu_ctl.scala 2551:198] + node _T_292 = and(_T_291, _T_287) @[dec_tlu_ctl.scala 2551:198] + node _T_293 = and(_T_292, _T_289) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_dcsr <= _T_293 @[dec_tlu_ctl.scala 2579:57] + node _T_294 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:106] + node _T_295 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:106] + node _T_296 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:106] + node _T_297 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:185] + node _T_298 = eq(_T_297, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:165] + node _T_299 = and(_T_294, _T_295) @[dec_tlu_ctl.scala 2551:198] + node _T_300 = and(_T_299, _T_296) @[dec_tlu_ctl.scala 2551:198] + node _T_301 = and(_T_300, _T_298) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mcgc <= _T_301 @[dec_tlu_ctl.scala 2580:57] + node _T_302 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:106] + node _T_303 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:106] + node _T_304 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:106] + node _T_305 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:149] + node _T_306 = eq(_T_305, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_307 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:106] + node _T_308 = and(_T_302, _T_303) @[dec_tlu_ctl.scala 2551:198] + node _T_309 = and(_T_308, _T_304) @[dec_tlu_ctl.scala 2551:198] + node _T_310 = and(_T_309, _T_306) @[dec_tlu_ctl.scala 2551:198] + node _T_311 = and(_T_310, _T_307) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mfdc <= _T_311 @[dec_tlu_ctl.scala 2581:57] + node _T_312 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:106] + node _T_313 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:149] + node _T_314 = eq(_T_313, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_315 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:106] + node _T_316 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:106] + node _T_317 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:106] + node _T_318 = and(_T_312, _T_314) @[dec_tlu_ctl.scala 2551:198] + node _T_319 = and(_T_318, _T_315) @[dec_tlu_ctl.scala 2551:198] + node _T_320 = and(_T_319, _T_316) @[dec_tlu_ctl.scala 2551:198] + node _T_321 = and(_T_320, _T_317) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_dpc <= _T_321 @[dec_tlu_ctl.scala 2582:65] + node _T_322 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:106] + node _T_323 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:106] + node _T_324 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_325 = eq(_T_324, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_326 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:149] + node _T_327 = eq(_T_326, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_328 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:185] + node _T_329 = eq(_T_328, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:165] + node _T_330 = and(_T_322, _T_323) @[dec_tlu_ctl.scala 2551:198] + node _T_331 = and(_T_330, _T_325) @[dec_tlu_ctl.scala 2551:198] + node _T_332 = and(_T_331, _T_327) @[dec_tlu_ctl.scala 2551:198] + node _T_333 = and(_T_332, _T_329) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mtsel <= _T_333 @[dec_tlu_ctl.scala 2583:57] + node _T_334 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:106] + node _T_335 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_336 = eq(_T_335, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_337 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_338 = eq(_T_337, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_339 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:106] + node _T_340 = and(_T_334, _T_336) @[dec_tlu_ctl.scala 2551:198] + node _T_341 = and(_T_340, _T_338) @[dec_tlu_ctl.scala 2551:198] + node _T_342 = and(_T_341, _T_339) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mtdata1 <= _T_342 @[dec_tlu_ctl.scala 2584:57] + node _T_343 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:106] + node _T_344 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:106] + node _T_345 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_346 = eq(_T_345, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_347 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:106] + node _T_348 = and(_T_343, _T_344) @[dec_tlu_ctl.scala 2551:198] + node _T_349 = and(_T_348, _T_346) @[dec_tlu_ctl.scala 2551:198] + node _T_350 = and(_T_349, _T_347) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mtdata2 <= _T_350 @[dec_tlu_ctl.scala 2585:57] + node _T_351 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:106] + node _T_352 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:149] + node _T_353 = eq(_T_352, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_354 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_355 = eq(_T_354, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_356 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_357 = eq(_T_356, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_358 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:149] + node _T_359 = eq(_T_358, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_360 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:106] + node _T_361 = and(_T_351, _T_353) @[dec_tlu_ctl.scala 2551:198] + node _T_362 = and(_T_361, _T_355) @[dec_tlu_ctl.scala 2551:198] + node _T_363 = and(_T_362, _T_357) @[dec_tlu_ctl.scala 2551:198] + node _T_364 = and(_T_363, _T_359) @[dec_tlu_ctl.scala 2551:198] + node _T_365 = and(_T_364, _T_360) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mhpmc3 <= _T_365 @[dec_tlu_ctl.scala 2586:57] + node _T_366 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:106] + node _T_367 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:149] + node _T_368 = eq(_T_367, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_369 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_370 = eq(_T_369, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_371 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_372 = eq(_T_371, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_373 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:106] + node _T_374 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:149] + node _T_375 = eq(_T_374, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_376 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:185] + node _T_377 = eq(_T_376, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:165] + node _T_378 = and(_T_366, _T_368) @[dec_tlu_ctl.scala 2551:198] + node _T_379 = and(_T_378, _T_370) @[dec_tlu_ctl.scala 2551:198] + node _T_380 = and(_T_379, _T_372) @[dec_tlu_ctl.scala 2551:198] + node _T_381 = and(_T_380, _T_373) @[dec_tlu_ctl.scala 2551:198] + node _T_382 = and(_T_381, _T_375) @[dec_tlu_ctl.scala 2551:198] + node _T_383 = and(_T_382, _T_377) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mhpmc4 <= _T_383 @[dec_tlu_ctl.scala 2587:57] + node _T_384 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:106] + node _T_385 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:149] + node _T_386 = eq(_T_385, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_387 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_388 = eq(_T_387, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_389 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_390 = eq(_T_389, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_391 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:149] + node _T_392 = eq(_T_391, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_393 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:106] + node _T_394 = and(_T_384, _T_386) @[dec_tlu_ctl.scala 2551:198] + node _T_395 = and(_T_394, _T_388) @[dec_tlu_ctl.scala 2551:198] + node _T_396 = and(_T_395, _T_390) @[dec_tlu_ctl.scala 2551:198] + node _T_397 = and(_T_396, _T_392) @[dec_tlu_ctl.scala 2551:198] + node _T_398 = and(_T_397, _T_393) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mhpmc5 <= _T_398 @[dec_tlu_ctl.scala 2588:57] + node _T_399 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:149] + node _T_400 = eq(_T_399, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_401 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:149] + node _T_402 = eq(_T_401, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_403 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_404 = eq(_T_403, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_405 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_406 = eq(_T_405, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_407 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:106] + node _T_408 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:106] + node _T_409 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:185] + node _T_410 = eq(_T_409, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:165] + node _T_411 = and(_T_400, _T_402) @[dec_tlu_ctl.scala 2551:198] + node _T_412 = and(_T_411, _T_404) @[dec_tlu_ctl.scala 2551:198] + node _T_413 = and(_T_412, _T_406) @[dec_tlu_ctl.scala 2551:198] + node _T_414 = and(_T_413, _T_407) @[dec_tlu_ctl.scala 2551:198] + node _T_415 = and(_T_414, _T_408) @[dec_tlu_ctl.scala 2551:198] + node _T_416 = and(_T_415, _T_410) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mhpmc6 <= _T_416 @[dec_tlu_ctl.scala 2589:57] + node _T_417 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:106] + node _T_418 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_419 = eq(_T_418, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_420 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_421 = eq(_T_420, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_422 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:149] + node _T_423 = eq(_T_422, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_424 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:106] + node _T_425 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:106] + node _T_426 = and(_T_417, _T_419) @[dec_tlu_ctl.scala 2551:198] + node _T_427 = and(_T_426, _T_421) @[dec_tlu_ctl.scala 2551:198] + node _T_428 = and(_T_427, _T_423) @[dec_tlu_ctl.scala 2551:198] + node _T_429 = and(_T_428, _T_424) @[dec_tlu_ctl.scala 2551:198] + node _T_430 = and(_T_429, _T_425) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mhpmc3h <= _T_430 @[dec_tlu_ctl.scala 2590:57] + node _T_431 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:106] + node _T_432 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:149] + node _T_433 = eq(_T_432, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_434 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_435 = eq(_T_434, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_436 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_437 = eq(_T_436, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_438 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:106] + node _T_439 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:149] + node _T_440 = eq(_T_439, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_441 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:185] + node _T_442 = eq(_T_441, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:165] + node _T_443 = and(_T_431, _T_433) @[dec_tlu_ctl.scala 2551:198] + node _T_444 = and(_T_443, _T_435) @[dec_tlu_ctl.scala 2551:198] + node _T_445 = and(_T_444, _T_437) @[dec_tlu_ctl.scala 2551:198] + node _T_446 = and(_T_445, _T_438) @[dec_tlu_ctl.scala 2551:198] + node _T_447 = and(_T_446, _T_440) @[dec_tlu_ctl.scala 2551:198] + node _T_448 = and(_T_447, _T_442) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mhpmc4h <= _T_448 @[dec_tlu_ctl.scala 2591:57] + node _T_449 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:106] + node _T_450 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_451 = eq(_T_450, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_452 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_453 = eq(_T_452, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_454 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:106] + node _T_455 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:149] + node _T_456 = eq(_T_455, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_457 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:106] + node _T_458 = and(_T_449, _T_451) @[dec_tlu_ctl.scala 2551:198] + node _T_459 = and(_T_458, _T_453) @[dec_tlu_ctl.scala 2551:198] + node _T_460 = and(_T_459, _T_454) @[dec_tlu_ctl.scala 2551:198] + node _T_461 = and(_T_460, _T_456) @[dec_tlu_ctl.scala 2551:198] + node _T_462 = and(_T_461, _T_457) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mhpmc5h <= _T_462 @[dec_tlu_ctl.scala 2592:57] + node _T_463 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:106] + node _T_464 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:149] + node _T_465 = eq(_T_464, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_466 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_467 = eq(_T_466, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_468 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_469 = eq(_T_468, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_470 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:106] + node _T_471 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:106] + node _T_472 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:185] + node _T_473 = eq(_T_472, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:165] + node _T_474 = and(_T_463, _T_465) @[dec_tlu_ctl.scala 2551:198] + node _T_475 = and(_T_474, _T_467) @[dec_tlu_ctl.scala 2551:198] + node _T_476 = and(_T_475, _T_469) @[dec_tlu_ctl.scala 2551:198] + node _T_477 = and(_T_476, _T_470) @[dec_tlu_ctl.scala 2551:198] + node _T_478 = and(_T_477, _T_471) @[dec_tlu_ctl.scala 2551:198] + node _T_479 = and(_T_478, _T_473) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mhpmc6h <= _T_479 @[dec_tlu_ctl.scala 2593:57] + node _T_480 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:149] + node _T_481 = eq(_T_480, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_482 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:106] + node _T_483 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_484 = eq(_T_483, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_485 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_486 = eq(_T_485, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_487 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:149] + node _T_488 = eq(_T_487, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_489 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:106] + node _T_490 = and(_T_481, _T_482) @[dec_tlu_ctl.scala 2551:198] + node _T_491 = and(_T_490, _T_484) @[dec_tlu_ctl.scala 2551:198] + node _T_492 = and(_T_491, _T_486) @[dec_tlu_ctl.scala 2551:198] + node _T_493 = and(_T_492, _T_488) @[dec_tlu_ctl.scala 2551:198] + node _T_494 = and(_T_493, _T_489) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mhpme3 <= _T_494 @[dec_tlu_ctl.scala 2594:57] + node _T_495 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:106] + node _T_496 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_497 = eq(_T_496, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_498 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_499 = eq(_T_498, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_500 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:106] + node _T_501 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:149] + node _T_502 = eq(_T_501, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_503 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:185] + node _T_504 = eq(_T_503, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:165] + node _T_505 = and(_T_495, _T_497) @[dec_tlu_ctl.scala 2551:198] + node _T_506 = and(_T_505, _T_499) @[dec_tlu_ctl.scala 2551:198] + node _T_507 = and(_T_506, _T_500) @[dec_tlu_ctl.scala 2551:198] + node _T_508 = and(_T_507, _T_502) @[dec_tlu_ctl.scala 2551:198] + node _T_509 = and(_T_508, _T_504) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mhpme4 <= _T_509 @[dec_tlu_ctl.scala 2595:57] + node _T_510 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:106] + node _T_511 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_512 = eq(_T_511, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_513 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_514 = eq(_T_513, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_515 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:106] + node _T_516 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:149] + node _T_517 = eq(_T_516, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_518 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:106] + node _T_519 = and(_T_510, _T_512) @[dec_tlu_ctl.scala 2551:198] + node _T_520 = and(_T_519, _T_514) @[dec_tlu_ctl.scala 2551:198] + node _T_521 = and(_T_520, _T_515) @[dec_tlu_ctl.scala 2551:198] + node _T_522 = and(_T_521, _T_517) @[dec_tlu_ctl.scala 2551:198] + node _T_523 = and(_T_522, _T_518) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mhpme5 <= _T_523 @[dec_tlu_ctl.scala 2596:57] + node _T_524 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:106] + node _T_525 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_526 = eq(_T_525, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_527 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_528 = eq(_T_527, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_529 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:106] + node _T_530 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:106] + node _T_531 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:185] + node _T_532 = eq(_T_531, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:165] + node _T_533 = and(_T_524, _T_526) @[dec_tlu_ctl.scala 2551:198] + node _T_534 = and(_T_533, _T_528) @[dec_tlu_ctl.scala 2551:198] + node _T_535 = and(_T_534, _T_529) @[dec_tlu_ctl.scala 2551:198] + node _T_536 = and(_T_535, _T_530) @[dec_tlu_ctl.scala 2551:198] + node _T_537 = and(_T_536, _T_532) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mhpme6 <= _T_537 @[dec_tlu_ctl.scala 2597:57] + node _T_538 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:149] + node _T_539 = eq(_T_538, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_540 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:106] + node _T_541 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_542 = eq(_T_541, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_543 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_544 = eq(_T_543, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_545 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:149] + node _T_546 = eq(_T_545, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_547 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:185] + node _T_548 = eq(_T_547, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:165] + node _T_549 = and(_T_539, _T_540) @[dec_tlu_ctl.scala 2551:198] + node _T_550 = and(_T_549, _T_542) @[dec_tlu_ctl.scala 2551:198] + node _T_551 = and(_T_550, _T_544) @[dec_tlu_ctl.scala 2551:198] + node _T_552 = and(_T_551, _T_546) @[dec_tlu_ctl.scala 2551:198] + node _T_553 = and(_T_552, _T_548) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mcountinhibit <= _T_553 @[dec_tlu_ctl.scala 2598:49] + node _T_554 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:106] + node _T_555 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:149] + node _T_556 = eq(_T_555, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_557 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:106] + node _T_558 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:149] + node _T_559 = eq(_T_558, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_560 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:185] + node _T_561 = eq(_T_560, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:165] + node _T_562 = and(_T_554, _T_556) @[dec_tlu_ctl.scala 2551:198] + node _T_563 = and(_T_562, _T_557) @[dec_tlu_ctl.scala 2551:198] + node _T_564 = and(_T_563, _T_559) @[dec_tlu_ctl.scala 2551:198] + node _T_565 = and(_T_564, _T_561) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mitctl0 <= _T_565 @[dec_tlu_ctl.scala 2599:57] + node _T_566 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:106] + node _T_567 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_568 = eq(_T_567, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_569 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:106] + node _T_570 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:106] + node _T_571 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:106] + node _T_572 = and(_T_566, _T_568) @[dec_tlu_ctl.scala 2551:198] + node _T_573 = and(_T_572, _T_569) @[dec_tlu_ctl.scala 2551:198] + node _T_574 = and(_T_573, _T_570) @[dec_tlu_ctl.scala 2551:198] + node _T_575 = and(_T_574, _T_571) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mitctl1 <= _T_575 @[dec_tlu_ctl.scala 2600:57] + node _T_576 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:106] + node _T_577 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:149] + node _T_578 = eq(_T_577, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_579 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:106] + node _T_580 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:149] + node _T_581 = eq(_T_580, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_582 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:106] + node _T_583 = and(_T_576, _T_578) @[dec_tlu_ctl.scala 2551:198] + node _T_584 = and(_T_583, _T_579) @[dec_tlu_ctl.scala 2551:198] + node _T_585 = and(_T_584, _T_581) @[dec_tlu_ctl.scala 2551:198] + node _T_586 = and(_T_585, _T_582) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mitb0 <= _T_586 @[dec_tlu_ctl.scala 2601:57] + node _T_587 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:106] + node _T_588 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:106] + node _T_589 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:106] + node _T_590 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:106] + node _T_591 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:185] + node _T_592 = eq(_T_591, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:165] + node _T_593 = and(_T_587, _T_588) @[dec_tlu_ctl.scala 2551:198] + node _T_594 = and(_T_593, _T_589) @[dec_tlu_ctl.scala 2551:198] + node _T_595 = and(_T_594, _T_590) @[dec_tlu_ctl.scala 2551:198] + node _T_596 = and(_T_595, _T_592) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mitb1 <= _T_596 @[dec_tlu_ctl.scala 2602:57] + node _T_597 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:106] + node _T_598 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:149] + node _T_599 = eq(_T_598, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_600 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:106] + node _T_601 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:149] + node _T_602 = eq(_T_601, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_603 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:185] + node _T_604 = eq(_T_603, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:165] + node _T_605 = and(_T_597, _T_599) @[dec_tlu_ctl.scala 2551:198] + node _T_606 = and(_T_605, _T_600) @[dec_tlu_ctl.scala 2551:198] + node _T_607 = and(_T_606, _T_602) @[dec_tlu_ctl.scala 2551:198] + node _T_608 = and(_T_607, _T_604) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mitcnt0 <= _T_608 @[dec_tlu_ctl.scala 2603:57] + node _T_609 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:106] + node _T_610 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:106] + node _T_611 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:149] + node _T_612 = eq(_T_611, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_613 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:106] + node _T_614 = and(_T_609, _T_610) @[dec_tlu_ctl.scala 2551:198] + node _T_615 = and(_T_614, _T_612) @[dec_tlu_ctl.scala 2551:198] + node _T_616 = and(_T_615, _T_613) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mitcnt1 <= _T_616 @[dec_tlu_ctl.scala 2604:57] + node _T_617 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:106] + node _T_618 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_619 = eq(_T_618, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_620 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_621 = eq(_T_620, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_622 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:106] + node _T_623 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:106] + node _T_624 = and(_T_617, _T_619) @[dec_tlu_ctl.scala 2551:198] + node _T_625 = and(_T_624, _T_621) @[dec_tlu_ctl.scala 2551:198] + node _T_626 = and(_T_625, _T_622) @[dec_tlu_ctl.scala 2551:198] + node _T_627 = and(_T_626, _T_623) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mpmc <= _T_627 @[dec_tlu_ctl.scala 2605:57] + node _T_628 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:106] + node _T_629 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:106] + node _T_630 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_631 = eq(_T_630, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_632 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_633 = eq(_T_632, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_634 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:149] + node _T_635 = eq(_T_634, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_636 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:106] + node _T_637 = and(_T_628, _T_629) @[dec_tlu_ctl.scala 2551:198] + node _T_638 = and(_T_637, _T_631) @[dec_tlu_ctl.scala 2551:198] + node _T_639 = and(_T_638, _T_633) @[dec_tlu_ctl.scala 2551:198] + node _T_640 = and(_T_639, _T_635) @[dec_tlu_ctl.scala 2551:198] + node _T_641 = and(_T_640, _T_636) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mcpc <= _T_641 @[dec_tlu_ctl.scala 2606:57] + node _T_642 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:106] + node _T_643 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:106] + node _T_644 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:106] + node _T_645 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:185] + node _T_646 = eq(_T_645, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:165] + node _T_647 = and(_T_642, _T_643) @[dec_tlu_ctl.scala 2551:198] + node _T_648 = and(_T_647, _T_644) @[dec_tlu_ctl.scala 2551:198] + node _T_649 = and(_T_648, _T_646) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_meicpct <= _T_649 @[dec_tlu_ctl.scala 2607:57] + node _T_650 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:149] + node _T_651 = eq(_T_650, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_652 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:106] + node _T_653 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:106] + node _T_654 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_655 = eq(_T_654, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_656 = and(_T_651, _T_652) @[dec_tlu_ctl.scala 2551:198] + node _T_657 = and(_T_656, _T_653) @[dec_tlu_ctl.scala 2551:198] + node _T_658 = and(_T_657, _T_655) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mdeau <= _T_658 @[dec_tlu_ctl.scala 2608:57] + node _T_659 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:106] + node _T_660 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:106] + node _T_661 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_662 = eq(_T_661, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_663 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:149] + node _T_664 = eq(_T_663, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_665 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:185] + node _T_666 = eq(_T_665, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:165] + node _T_667 = and(_T_659, _T_660) @[dec_tlu_ctl.scala 2551:198] + node _T_668 = and(_T_667, _T_662) @[dec_tlu_ctl.scala 2551:198] + node _T_669 = and(_T_668, _T_664) @[dec_tlu_ctl.scala 2551:198] + node _T_670 = and(_T_669, _T_666) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_micect <= _T_670 @[dec_tlu_ctl.scala 2609:57] + node _T_671 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:106] + node _T_672 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:106] + node _T_673 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_674 = eq(_T_673, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_675 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:106] + node _T_676 = and(_T_671, _T_672) @[dec_tlu_ctl.scala 2551:198] + node _T_677 = and(_T_676, _T_674) @[dec_tlu_ctl.scala 2551:198] + node _T_678 = and(_T_677, _T_675) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_miccmect <= _T_678 @[dec_tlu_ctl.scala 2610:57] + node _T_679 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:106] + node _T_680 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:106] + node _T_681 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:106] + node _T_682 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:185] + node _T_683 = eq(_T_682, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:165] + node _T_684 = and(_T_679, _T_680) @[dec_tlu_ctl.scala 2551:198] + node _T_685 = and(_T_684, _T_681) @[dec_tlu_ctl.scala 2551:198] + node _T_686 = and(_T_685, _T_683) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mdccmect <= _T_686 @[dec_tlu_ctl.scala 2611:57] + node _T_687 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:106] + node _T_688 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:106] + node _T_689 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:106] + node _T_690 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:106] + node _T_691 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:185] + node _T_692 = eq(_T_691, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:165] + node _T_693 = and(_T_687, _T_688) @[dec_tlu_ctl.scala 2551:198] + node _T_694 = and(_T_693, _T_689) @[dec_tlu_ctl.scala 2551:198] + node _T_695 = and(_T_694, _T_690) @[dec_tlu_ctl.scala 2551:198] + node _T_696 = and(_T_695, _T_692) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mfdht <= _T_696 @[dec_tlu_ctl.scala 2612:57] + node _T_697 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:106] + node _T_698 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_699 = eq(_T_698, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_700 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:106] + node _T_701 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:106] + node _T_702 = and(_T_697, _T_699) @[dec_tlu_ctl.scala 2551:198] + node _T_703 = and(_T_702, _T_700) @[dec_tlu_ctl.scala 2551:198] + node _T_704 = and(_T_703, _T_701) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_mfdhs <= _T_704 @[dec_tlu_ctl.scala 2613:57] + node _T_705 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:149] + node _T_706 = eq(_T_705, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_707 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:149] + node _T_708 = eq(_T_707, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_709 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:106] + node _T_710 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:149] + node _T_711 = eq(_T_710, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_712 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:149] + node _T_713 = eq(_T_712, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_714 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:185] + node _T_715 = eq(_T_714, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:165] + node _T_716 = and(_T_706, _T_708) @[dec_tlu_ctl.scala 2551:198] + node _T_717 = and(_T_716, _T_709) @[dec_tlu_ctl.scala 2551:198] + node _T_718 = and(_T_717, _T_711) @[dec_tlu_ctl.scala 2551:198] + node _T_719 = and(_T_718, _T_713) @[dec_tlu_ctl.scala 2551:198] + node _T_720 = and(_T_719, _T_715) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_dicawics <= _T_720 @[dec_tlu_ctl.scala 2614:57] + node _T_721 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:106] + node _T_722 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:106] + node _T_723 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:106] + node _T_724 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:149] + node _T_725 = eq(_T_724, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_726 = and(_T_721, _T_722) @[dec_tlu_ctl.scala 2551:198] + node _T_727 = and(_T_726, _T_723) @[dec_tlu_ctl.scala 2551:198] + node _T_728 = and(_T_727, _T_725) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_dicad0h <= _T_728 @[dec_tlu_ctl.scala 2615:57] + node _T_729 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:106] + node _T_730 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_731 = eq(_T_730, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_732 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:106] + node _T_733 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:149] + node _T_734 = eq(_T_733, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_735 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:106] + node _T_736 = and(_T_729, _T_731) @[dec_tlu_ctl.scala 2551:198] + node _T_737 = and(_T_736, _T_732) @[dec_tlu_ctl.scala 2551:198] + node _T_738 = and(_T_737, _T_734) @[dec_tlu_ctl.scala 2551:198] + node _T_739 = and(_T_738, _T_735) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_dicad0 <= _T_739 @[dec_tlu_ctl.scala 2616:57] + node _T_740 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:106] + node _T_741 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:106] + node _T_742 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:149] + node _T_743 = eq(_T_742, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_744 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:106] + node _T_745 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:185] + node _T_746 = eq(_T_745, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:165] + node _T_747 = and(_T_740, _T_741) @[dec_tlu_ctl.scala 2551:198] + node _T_748 = and(_T_747, _T_743) @[dec_tlu_ctl.scala 2551:198] + node _T_749 = and(_T_748, _T_744) @[dec_tlu_ctl.scala 2551:198] + node _T_750 = and(_T_749, _T_746) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_dicad1 <= _T_750 @[dec_tlu_ctl.scala 2617:57] + node _T_751 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:106] + node _T_752 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:106] + node _T_753 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:149] + node _T_754 = eq(_T_753, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_755 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:106] + node _T_756 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:106] + node _T_757 = and(_T_751, _T_752) @[dec_tlu_ctl.scala 2551:198] + node _T_758 = and(_T_757, _T_754) @[dec_tlu_ctl.scala 2551:198] + node _T_759 = and(_T_758, _T_755) @[dec_tlu_ctl.scala 2551:198] + node _T_760 = and(_T_759, _T_756) @[dec_tlu_ctl.scala 2551:198] + io.csr_pkt.csr_dicago <= _T_760 @[dec_tlu_ctl.scala 2618:57] + node _T_761 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:106] + node _T_762 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:106] + node _T_763 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:106] + node _T_764 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:149] + node _T_765 = eq(_T_764, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_766 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:106] + node _T_767 = and(_T_761, _T_762) @[dec_tlu_ctl.scala 2551:198] + node _T_768 = and(_T_767, _T_763) @[dec_tlu_ctl.scala 2551:198] + node _T_769 = and(_T_768, _T_765) @[dec_tlu_ctl.scala 2551:198] + node _T_770 = and(_T_769, _T_766) @[dec_tlu_ctl.scala 2551:198] + node _T_771 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:149] + node _T_772 = eq(_T_771, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_773 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:106] + node _T_774 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_775 = eq(_T_774, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_776 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_777 = eq(_T_776, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_778 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:149] + node _T_779 = eq(_T_778, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_780 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:185] + node _T_781 = eq(_T_780, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:165] + node _T_782 = and(_T_772, _T_773) @[dec_tlu_ctl.scala 2551:198] + node _T_783 = and(_T_782, _T_775) @[dec_tlu_ctl.scala 2551:198] + node _T_784 = and(_T_783, _T_777) @[dec_tlu_ctl.scala 2551:198] + node _T_785 = and(_T_784, _T_779) @[dec_tlu_ctl.scala 2551:198] + node _T_786 = and(_T_785, _T_781) @[dec_tlu_ctl.scala 2551:198] + node _T_787 = or(_T_770, _T_786) @[dec_tlu_ctl.scala 2619:81] + node _T_788 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:149] + node _T_789 = eq(_T_788, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_790 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:149] + node _T_791 = eq(_T_790, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_792 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_793 = eq(_T_792, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_794 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_795 = eq(_T_794, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_796 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:149] + node _T_797 = eq(_T_796, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_798 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:106] + node _T_799 = and(_T_789, _T_791) @[dec_tlu_ctl.scala 2551:198] + node _T_800 = and(_T_799, _T_793) @[dec_tlu_ctl.scala 2551:198] + node _T_801 = and(_T_800, _T_795) @[dec_tlu_ctl.scala 2551:198] + node _T_802 = and(_T_801, _T_797) @[dec_tlu_ctl.scala 2551:198] + node _T_803 = and(_T_802, _T_798) @[dec_tlu_ctl.scala 2551:198] + node _T_804 = or(_T_787, _T_803) @[dec_tlu_ctl.scala 2619:121] + node _T_805 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:106] + node _T_806 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_807 = eq(_T_806, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_808 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_809 = eq(_T_808, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_810 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:106] + node _T_811 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:149] + node _T_812 = eq(_T_811, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_813 = and(_T_805, _T_807) @[dec_tlu_ctl.scala 2551:198] + node _T_814 = and(_T_813, _T_809) @[dec_tlu_ctl.scala 2551:198] + node _T_815 = and(_T_814, _T_810) @[dec_tlu_ctl.scala 2551:198] + node _T_816 = and(_T_815, _T_812) @[dec_tlu_ctl.scala 2551:198] + node _T_817 = or(_T_804, _T_816) @[dec_tlu_ctl.scala 2619:155] + node _T_818 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:106] + node _T_819 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_820 = eq(_T_819, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_821 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_822 = eq(_T_821, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_823 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:106] + node _T_824 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:185] + node _T_825 = eq(_T_824, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:165] + node _T_826 = and(_T_818, _T_820) @[dec_tlu_ctl.scala 2551:198] + node _T_827 = and(_T_826, _T_822) @[dec_tlu_ctl.scala 2551:198] + node _T_828 = and(_T_827, _T_823) @[dec_tlu_ctl.scala 2551:198] + node _T_829 = and(_T_828, _T_825) @[dec_tlu_ctl.scala 2551:198] + node _T_830 = or(_T_817, _T_829) @[dec_tlu_ctl.scala 2620:97] + node _T_831 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:106] + node _T_832 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:149] + node _T_833 = eq(_T_832, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_834 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_835 = eq(_T_834, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_836 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_837 = eq(_T_836, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_838 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:149] + node _T_839 = eq(_T_838, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_840 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:106] + node _T_841 = and(_T_831, _T_833) @[dec_tlu_ctl.scala 2551:198] + node _T_842 = and(_T_841, _T_835) @[dec_tlu_ctl.scala 2551:198] + node _T_843 = and(_T_842, _T_837) @[dec_tlu_ctl.scala 2551:198] + node _T_844 = and(_T_843, _T_839) @[dec_tlu_ctl.scala 2551:198] + node _T_845 = and(_T_844, _T_840) @[dec_tlu_ctl.scala 2551:198] + node _T_846 = or(_T_830, _T_845) @[dec_tlu_ctl.scala 2620:137] + io.csr_pkt.presync <= _T_846 @[dec_tlu_ctl.scala 2619:34] + node _T_847 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:106] + node _T_848 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:106] + node _T_849 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:106] + node _T_850 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:149] + node _T_851 = eq(_T_850, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_852 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:106] + node _T_853 = and(_T_847, _T_848) @[dec_tlu_ctl.scala 2551:198] + node _T_854 = and(_T_853, _T_849) @[dec_tlu_ctl.scala 2551:198] + node _T_855 = and(_T_854, _T_851) @[dec_tlu_ctl.scala 2551:198] + node _T_856 = and(_T_855, _T_852) @[dec_tlu_ctl.scala 2551:198] + node _T_857 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:149] + node _T_858 = eq(_T_857, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_859 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:149] + node _T_860 = eq(_T_859, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_861 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:149] + node _T_862 = eq(_T_861, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_863 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:106] + node _T_864 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:106] + node _T_865 = and(_T_858, _T_860) @[dec_tlu_ctl.scala 2551:198] + node _T_866 = and(_T_865, _T_862) @[dec_tlu_ctl.scala 2551:198] + node _T_867 = and(_T_866, _T_863) @[dec_tlu_ctl.scala 2551:198] + node _T_868 = and(_T_867, _T_864) @[dec_tlu_ctl.scala 2551:198] + node _T_869 = or(_T_856, _T_868) @[dec_tlu_ctl.scala 2621:81] + node _T_870 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:149] + node _T_871 = eq(_T_870, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_872 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:106] + node _T_873 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:149] + node _T_874 = eq(_T_873, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_875 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:106] + node _T_876 = and(_T_871, _T_872) @[dec_tlu_ctl.scala 2551:198] + node _T_877 = and(_T_876, _T_874) @[dec_tlu_ctl.scala 2551:198] + node _T_878 = and(_T_877, _T_875) @[dec_tlu_ctl.scala 2551:198] + node _T_879 = or(_T_869, _T_878) @[dec_tlu_ctl.scala 2621:121] + node _T_880 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:106] + node _T_881 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_882 = eq(_T_881, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_883 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_884 = eq(_T_883, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_885 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:106] + node _T_886 = and(_T_880, _T_882) @[dec_tlu_ctl.scala 2551:198] + node _T_887 = and(_T_886, _T_884) @[dec_tlu_ctl.scala 2551:198] + node _T_888 = and(_T_887, _T_885) @[dec_tlu_ctl.scala 2551:198] + node _T_889 = or(_T_879, _T_888) @[dec_tlu_ctl.scala 2621:162] + node _T_890 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:149] + node _T_891 = eq(_T_890, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_892 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:149] + node _T_893 = eq(_T_892, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_894 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:149] + node _T_895 = eq(_T_894, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_896 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_897 = eq(_T_896, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_898 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_899 = eq(_T_898, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_900 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:149] + node _T_901 = eq(_T_900, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_902 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:185] + node _T_903 = eq(_T_902, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:165] + node _T_904 = and(_T_891, _T_893) @[dec_tlu_ctl.scala 2551:198] + node _T_905 = and(_T_904, _T_895) @[dec_tlu_ctl.scala 2551:198] + node _T_906 = and(_T_905, _T_897) @[dec_tlu_ctl.scala 2551:198] + node _T_907 = and(_T_906, _T_899) @[dec_tlu_ctl.scala 2551:198] + node _T_908 = and(_T_907, _T_901) @[dec_tlu_ctl.scala 2551:198] + node _T_909 = and(_T_908, _T_903) @[dec_tlu_ctl.scala 2551:198] + node _T_910 = or(_T_889, _T_909) @[dec_tlu_ctl.scala 2622:105] + node _T_911 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:149] + node _T_912 = eq(_T_911, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_913 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:106] + node _T_914 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:106] + node _T_915 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_916 = eq(_T_915, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_917 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_918 = eq(_T_917, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_919 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:149] + node _T_920 = eq(_T_919, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_921 = and(_T_912, _T_913) @[dec_tlu_ctl.scala 2551:198] + node _T_922 = and(_T_921, _T_914) @[dec_tlu_ctl.scala 2551:198] + node _T_923 = and(_T_922, _T_916) @[dec_tlu_ctl.scala 2551:198] + node _T_924 = and(_T_923, _T_918) @[dec_tlu_ctl.scala 2551:198] + node _T_925 = and(_T_924, _T_920) @[dec_tlu_ctl.scala 2551:198] + node _T_926 = or(_T_910, _T_925) @[dec_tlu_ctl.scala 2622:145] + node _T_927 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:106] + node _T_928 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_929 = eq(_T_928, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_930 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_931 = eq(_T_930, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_932 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:149] + node _T_933 = eq(_T_932, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_934 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:106] + node _T_935 = and(_T_927, _T_929) @[dec_tlu_ctl.scala 2551:198] + node _T_936 = and(_T_935, _T_931) @[dec_tlu_ctl.scala 2551:198] + node _T_937 = and(_T_936, _T_933) @[dec_tlu_ctl.scala 2551:198] + node _T_938 = and(_T_937, _T_934) @[dec_tlu_ctl.scala 2551:198] + node _T_939 = or(_T_926, _T_938) @[dec_tlu_ctl.scala 2622:178] + io.csr_pkt.postsync <= _T_939 @[dec_tlu_ctl.scala 2621:30] + node _T_940 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:149] + node _T_941 = eq(_T_940, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_942 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:106] + node _T_943 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2551:106] + node _T_944 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2551:106] + node _T_945 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:106] + node _T_946 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:106] + node _T_947 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:106] + node _T_948 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_949 = eq(_T_948, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_950 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:149] + node _T_951 = eq(_T_950, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_952 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:106] + node _T_953 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:185] + node _T_954 = eq(_T_953, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:165] + node _T_955 = and(_T_941, _T_942) @[dec_tlu_ctl.scala 2551:198] + node _T_956 = and(_T_955, _T_943) @[dec_tlu_ctl.scala 2551:198] + node _T_957 = and(_T_956, _T_944) @[dec_tlu_ctl.scala 2551:198] + node _T_958 = and(_T_957, _T_945) @[dec_tlu_ctl.scala 2551:198] + node _T_959 = and(_T_958, _T_946) @[dec_tlu_ctl.scala 2551:198] + node _T_960 = and(_T_959, _T_947) @[dec_tlu_ctl.scala 2551:198] + node _T_961 = and(_T_960, _T_949) @[dec_tlu_ctl.scala 2551:198] + node _T_962 = and(_T_961, _T_951) @[dec_tlu_ctl.scala 2551:198] + node _T_963 = and(_T_962, _T_952) @[dec_tlu_ctl.scala 2551:198] + node _T_964 = and(_T_963, _T_954) @[dec_tlu_ctl.scala 2551:198] + node _T_965 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:149] + node _T_966 = eq(_T_965, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_967 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:149] + node _T_968 = eq(_T_967, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_969 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2551:106] + node _T_970 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2551:106] + node _T_971 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:149] + node _T_972 = eq(_T_971, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_973 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:149] + node _T_974 = eq(_T_973, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_975 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:149] + node _T_976 = eq(_T_975, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_977 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_978 = eq(_T_977, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_979 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_980 = eq(_T_979, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_981 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:149] + node _T_982 = eq(_T_981, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_983 = and(_T_966, _T_968) @[dec_tlu_ctl.scala 2551:198] + node _T_984 = and(_T_983, _T_969) @[dec_tlu_ctl.scala 2551:198] + node _T_985 = and(_T_984, _T_970) @[dec_tlu_ctl.scala 2551:198] + node _T_986 = and(_T_985, _T_972) @[dec_tlu_ctl.scala 2551:198] + node _T_987 = and(_T_986, _T_974) @[dec_tlu_ctl.scala 2551:198] + node _T_988 = and(_T_987, _T_976) @[dec_tlu_ctl.scala 2551:198] + node _T_989 = and(_T_988, _T_978) @[dec_tlu_ctl.scala 2551:198] + node _T_990 = and(_T_989, _T_980) @[dec_tlu_ctl.scala 2551:198] + node _T_991 = and(_T_990, _T_982) @[dec_tlu_ctl.scala 2551:198] + node _T_992 = or(_T_964, _T_991) @[dec_tlu_ctl.scala 2624:81] + node _T_993 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:149] + node _T_994 = eq(_T_993, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_995 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:149] + node _T_996 = eq(_T_995, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_997 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2551:106] + node _T_998 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2551:106] + node _T_999 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:149] + node _T_1000 = eq(_T_999, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1001 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:149] + node _T_1002 = eq(_T_1001, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1003 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:106] + node _T_1004 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:149] + node _T_1005 = eq(_T_1004, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1006 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:185] + node _T_1007 = eq(_T_1006, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:165] + node _T_1008 = and(_T_994, _T_996) @[dec_tlu_ctl.scala 2551:198] + node _T_1009 = and(_T_1008, _T_997) @[dec_tlu_ctl.scala 2551:198] + node _T_1010 = and(_T_1009, _T_998) @[dec_tlu_ctl.scala 2551:198] + node _T_1011 = and(_T_1010, _T_1000) @[dec_tlu_ctl.scala 2551:198] + node _T_1012 = and(_T_1011, _T_1002) @[dec_tlu_ctl.scala 2551:198] + node _T_1013 = and(_T_1012, _T_1003) @[dec_tlu_ctl.scala 2551:198] + node _T_1014 = and(_T_1013, _T_1005) @[dec_tlu_ctl.scala 2551:198] + node _T_1015 = and(_T_1014, _T_1007) @[dec_tlu_ctl.scala 2551:198] + node _T_1016 = or(_T_992, _T_1015) @[dec_tlu_ctl.scala 2624:129] + node _T_1017 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:106] + node _T_1018 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2551:106] + node _T_1019 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2551:106] + node _T_1020 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:106] + node _T_1021 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:106] + node _T_1022 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:149] + node _T_1023 = eq(_T_1022, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1024 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_1025 = eq(_T_1024, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1026 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:149] + node _T_1027 = eq(_T_1026, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1028 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:149] + node _T_1029 = eq(_T_1028, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1030 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:185] + node _T_1031 = eq(_T_1030, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:165] + node _T_1032 = and(_T_1017, _T_1018) @[dec_tlu_ctl.scala 2551:198] + node _T_1033 = and(_T_1032, _T_1019) @[dec_tlu_ctl.scala 2551:198] + node _T_1034 = and(_T_1033, _T_1020) @[dec_tlu_ctl.scala 2551:198] + node _T_1035 = and(_T_1034, _T_1021) @[dec_tlu_ctl.scala 2551:198] + node _T_1036 = and(_T_1035, _T_1023) @[dec_tlu_ctl.scala 2551:198] + node _T_1037 = and(_T_1036, _T_1025) @[dec_tlu_ctl.scala 2551:198] + node _T_1038 = and(_T_1037, _T_1027) @[dec_tlu_ctl.scala 2551:198] + node _T_1039 = and(_T_1038, _T_1029) @[dec_tlu_ctl.scala 2551:198] + node _T_1040 = and(_T_1039, _T_1031) @[dec_tlu_ctl.scala 2551:198] + node _T_1041 = or(_T_1016, _T_1040) @[dec_tlu_ctl.scala 2625:105] + node _T_1042 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:106] + node _T_1043 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:149] + node _T_1044 = eq(_T_1043, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1045 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2551:106] + node _T_1046 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2551:106] + node _T_1047 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:149] + node _T_1048 = eq(_T_1047, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1049 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:149] + node _T_1050 = eq(_T_1049, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1051 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:185] + node _T_1052 = eq(_T_1051, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:165] + node _T_1053 = and(_T_1042, _T_1044) @[dec_tlu_ctl.scala 2551:198] + node _T_1054 = and(_T_1053, _T_1045) @[dec_tlu_ctl.scala 2551:198] + node _T_1055 = and(_T_1054, _T_1046) @[dec_tlu_ctl.scala 2551:198] + node _T_1056 = and(_T_1055, _T_1048) @[dec_tlu_ctl.scala 2551:198] + node _T_1057 = and(_T_1056, _T_1050) @[dec_tlu_ctl.scala 2551:198] + node _T_1058 = and(_T_1057, _T_1052) @[dec_tlu_ctl.scala 2551:198] + node _T_1059 = or(_T_1041, _T_1058) @[dec_tlu_ctl.scala 2625:153] + node _T_1060 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:149] + node _T_1061 = eq(_T_1060, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1062 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:106] + node _T_1063 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2551:106] + node _T_1064 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2551:106] + node _T_1065 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:106] + node _T_1066 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:106] + node _T_1067 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:106] + node _T_1068 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:106] + node _T_1069 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:106] + node _T_1070 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:106] + node _T_1071 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:106] + node _T_1072 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:106] + node _T_1073 = and(_T_1061, _T_1062) @[dec_tlu_ctl.scala 2551:198] + node _T_1074 = and(_T_1073, _T_1063) @[dec_tlu_ctl.scala 2551:198] + node _T_1075 = and(_T_1074, _T_1064) @[dec_tlu_ctl.scala 2551:198] + node _T_1076 = and(_T_1075, _T_1065) @[dec_tlu_ctl.scala 2551:198] + node _T_1077 = and(_T_1076, _T_1066) @[dec_tlu_ctl.scala 2551:198] + node _T_1078 = and(_T_1077, _T_1067) @[dec_tlu_ctl.scala 2551:198] + node _T_1079 = and(_T_1078, _T_1068) @[dec_tlu_ctl.scala 2551:198] + node _T_1080 = and(_T_1079, _T_1069) @[dec_tlu_ctl.scala 2551:198] + node _T_1081 = and(_T_1080, _T_1070) @[dec_tlu_ctl.scala 2551:198] + node _T_1082 = and(_T_1081, _T_1071) @[dec_tlu_ctl.scala 2551:198] + node _T_1083 = and(_T_1082, _T_1072) @[dec_tlu_ctl.scala 2551:198] + node _T_1084 = or(_T_1059, _T_1083) @[dec_tlu_ctl.scala 2626:105] + node _T_1085 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:149] + node _T_1086 = eq(_T_1085, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1087 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:106] + node _T_1088 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2551:106] + node _T_1089 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2551:106] + node _T_1090 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:106] + node _T_1091 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:106] + node _T_1092 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:106] + node _T_1093 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:106] + node _T_1094 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:149] + node _T_1095 = eq(_T_1094, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1096 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:149] + node _T_1097 = eq(_T_1096, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1098 = and(_T_1086, _T_1087) @[dec_tlu_ctl.scala 2551:198] + node _T_1099 = and(_T_1098, _T_1088) @[dec_tlu_ctl.scala 2551:198] + node _T_1100 = and(_T_1099, _T_1089) @[dec_tlu_ctl.scala 2551:198] + node _T_1101 = and(_T_1100, _T_1090) @[dec_tlu_ctl.scala 2551:198] + node _T_1102 = and(_T_1101, _T_1091) @[dec_tlu_ctl.scala 2551:198] + node _T_1103 = and(_T_1102, _T_1092) @[dec_tlu_ctl.scala 2551:198] + node _T_1104 = and(_T_1103, _T_1093) @[dec_tlu_ctl.scala 2551:198] + node _T_1105 = and(_T_1104, _T_1095) @[dec_tlu_ctl.scala 2551:198] + node _T_1106 = and(_T_1105, _T_1097) @[dec_tlu_ctl.scala 2551:198] + node _T_1107 = or(_T_1084, _T_1106) @[dec_tlu_ctl.scala 2626:153] + node _T_1108 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:106] + node _T_1109 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2551:106] + node _T_1110 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2551:106] + node _T_1111 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:149] + node _T_1112 = eq(_T_1111, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1113 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:149] + node _T_1114 = eq(_T_1113, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1115 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:149] + node _T_1116 = eq(_T_1115, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1117 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:106] + node _T_1118 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_1119 = eq(_T_1118, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1120 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:149] + node _T_1121 = eq(_T_1120, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1122 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:106] + node _T_1123 = and(_T_1108, _T_1109) @[dec_tlu_ctl.scala 2551:198] + node _T_1124 = and(_T_1123, _T_1110) @[dec_tlu_ctl.scala 2551:198] + node _T_1125 = and(_T_1124, _T_1112) @[dec_tlu_ctl.scala 2551:198] + node _T_1126 = and(_T_1125, _T_1114) @[dec_tlu_ctl.scala 2551:198] + node _T_1127 = and(_T_1126, _T_1116) @[dec_tlu_ctl.scala 2551:198] + node _T_1128 = and(_T_1127, _T_1117) @[dec_tlu_ctl.scala 2551:198] + node _T_1129 = and(_T_1128, _T_1119) @[dec_tlu_ctl.scala 2551:198] + node _T_1130 = and(_T_1129, _T_1121) @[dec_tlu_ctl.scala 2551:198] + node _T_1131 = and(_T_1130, _T_1122) @[dec_tlu_ctl.scala 2551:198] + node _T_1132 = or(_T_1107, _T_1131) @[dec_tlu_ctl.scala 2627:105] + node _T_1133 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:149] + node _T_1134 = eq(_T_1133, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1135 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:106] + node _T_1136 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2551:106] + node _T_1137 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2551:106] + node _T_1138 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:106] + node _T_1139 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:149] + node _T_1140 = eq(_T_1139, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1141 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:106] + node _T_1142 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_1143 = eq(_T_1142, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1144 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:149] + node _T_1145 = eq(_T_1144, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1146 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:149] + node _T_1147 = eq(_T_1146, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1148 = and(_T_1134, _T_1135) @[dec_tlu_ctl.scala 2551:198] + node _T_1149 = and(_T_1148, _T_1136) @[dec_tlu_ctl.scala 2551:198] + node _T_1150 = and(_T_1149, _T_1137) @[dec_tlu_ctl.scala 2551:198] + node _T_1151 = and(_T_1150, _T_1138) @[dec_tlu_ctl.scala 2551:198] + node _T_1152 = and(_T_1151, _T_1140) @[dec_tlu_ctl.scala 2551:198] + node _T_1153 = and(_T_1152, _T_1141) @[dec_tlu_ctl.scala 2551:198] + node _T_1154 = and(_T_1153, _T_1143) @[dec_tlu_ctl.scala 2551:198] + node _T_1155 = and(_T_1154, _T_1145) @[dec_tlu_ctl.scala 2551:198] + node _T_1156 = and(_T_1155, _T_1147) @[dec_tlu_ctl.scala 2551:198] + node _T_1157 = or(_T_1132, _T_1156) @[dec_tlu_ctl.scala 2627:161] + node _T_1158 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:149] + node _T_1159 = eq(_T_1158, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1160 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:149] + node _T_1161 = eq(_T_1160, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1162 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2551:106] + node _T_1163 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2551:106] + node _T_1164 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:149] + node _T_1165 = eq(_T_1164, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1166 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:149] + node _T_1167 = eq(_T_1166, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1168 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:106] + node _T_1169 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:106] + node _T_1170 = and(_T_1159, _T_1161) @[dec_tlu_ctl.scala 2551:198] + node _T_1171 = and(_T_1170, _T_1162) @[dec_tlu_ctl.scala 2551:198] + node _T_1172 = and(_T_1171, _T_1163) @[dec_tlu_ctl.scala 2551:198] + node _T_1173 = and(_T_1172, _T_1165) @[dec_tlu_ctl.scala 2551:198] + node _T_1174 = and(_T_1173, _T_1167) @[dec_tlu_ctl.scala 2551:198] + node _T_1175 = and(_T_1174, _T_1168) @[dec_tlu_ctl.scala 2551:198] + node _T_1176 = and(_T_1175, _T_1169) @[dec_tlu_ctl.scala 2551:198] + node _T_1177 = or(_T_1157, _T_1176) @[dec_tlu_ctl.scala 2628:105] + node _T_1178 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:106] + node _T_1179 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2551:106] + node _T_1180 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2551:106] + node _T_1181 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:149] + node _T_1182 = eq(_T_1181, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1183 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:149] + node _T_1184 = eq(_T_1183, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1185 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:149] + node _T_1186 = eq(_T_1185, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1187 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:106] + node _T_1188 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_1189 = eq(_T_1188, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1190 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:106] + node _T_1191 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:149] + node _T_1192 = eq(_T_1191, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1193 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:185] + node _T_1194 = eq(_T_1193, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:165] + node _T_1195 = and(_T_1178, _T_1179) @[dec_tlu_ctl.scala 2551:198] + node _T_1196 = and(_T_1195, _T_1180) @[dec_tlu_ctl.scala 2551:198] + node _T_1197 = and(_T_1196, _T_1182) @[dec_tlu_ctl.scala 2551:198] + node _T_1198 = and(_T_1197, _T_1184) @[dec_tlu_ctl.scala 2551:198] + node _T_1199 = and(_T_1198, _T_1186) @[dec_tlu_ctl.scala 2551:198] + node _T_1200 = and(_T_1199, _T_1187) @[dec_tlu_ctl.scala 2551:198] + node _T_1201 = and(_T_1200, _T_1189) @[dec_tlu_ctl.scala 2551:198] + node _T_1202 = and(_T_1201, _T_1190) @[dec_tlu_ctl.scala 2551:198] + node _T_1203 = and(_T_1202, _T_1192) @[dec_tlu_ctl.scala 2551:198] + node _T_1204 = and(_T_1203, _T_1194) @[dec_tlu_ctl.scala 2551:198] + node _T_1205 = or(_T_1177, _T_1204) @[dec_tlu_ctl.scala 2628:161] + node _T_1206 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:149] + node _T_1207 = eq(_T_1206, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1208 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:106] + node _T_1209 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2551:106] + node _T_1210 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2551:106] + node _T_1211 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:106] + node _T_1212 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:106] + node _T_1213 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:149] + node _T_1214 = eq(_T_1213, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1215 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_1216 = eq(_T_1215, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1217 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:106] + node _T_1218 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:106] + node _T_1219 = and(_T_1207, _T_1208) @[dec_tlu_ctl.scala 2551:198] + node _T_1220 = and(_T_1219, _T_1209) @[dec_tlu_ctl.scala 2551:198] + node _T_1221 = and(_T_1220, _T_1210) @[dec_tlu_ctl.scala 2551:198] + node _T_1222 = and(_T_1221, _T_1211) @[dec_tlu_ctl.scala 2551:198] + node _T_1223 = and(_T_1222, _T_1212) @[dec_tlu_ctl.scala 2551:198] + node _T_1224 = and(_T_1223, _T_1214) @[dec_tlu_ctl.scala 2551:198] + node _T_1225 = and(_T_1224, _T_1216) @[dec_tlu_ctl.scala 2551:198] + node _T_1226 = and(_T_1225, _T_1217) @[dec_tlu_ctl.scala 2551:198] + node _T_1227 = and(_T_1226, _T_1218) @[dec_tlu_ctl.scala 2551:198] + node _T_1228 = or(_T_1205, _T_1227) @[dec_tlu_ctl.scala 2629:97] + node _T_1229 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:149] + node _T_1230 = eq(_T_1229, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1231 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:106] + node _T_1232 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2551:106] + node _T_1233 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2551:106] + node _T_1234 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:106] + node _T_1235 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:106] + node _T_1236 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:149] + node _T_1237 = eq(_T_1236, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1238 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:106] + node _T_1239 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_1240 = eq(_T_1239, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1241 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:106] + node _T_1242 = and(_T_1230, _T_1231) @[dec_tlu_ctl.scala 2551:198] + node _T_1243 = and(_T_1242, _T_1232) @[dec_tlu_ctl.scala 2551:198] + node _T_1244 = and(_T_1243, _T_1233) @[dec_tlu_ctl.scala 2551:198] + node _T_1245 = and(_T_1244, _T_1234) @[dec_tlu_ctl.scala 2551:198] + node _T_1246 = and(_T_1245, _T_1235) @[dec_tlu_ctl.scala 2551:198] + node _T_1247 = and(_T_1246, _T_1237) @[dec_tlu_ctl.scala 2551:198] + node _T_1248 = and(_T_1247, _T_1238) @[dec_tlu_ctl.scala 2551:198] + node _T_1249 = and(_T_1248, _T_1240) @[dec_tlu_ctl.scala 2551:198] + node _T_1250 = and(_T_1249, _T_1241) @[dec_tlu_ctl.scala 2551:198] + node _T_1251 = or(_T_1228, _T_1250) @[dec_tlu_ctl.scala 2629:153] + node _T_1252 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:106] + node _T_1253 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2551:106] + node _T_1254 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2551:106] + node _T_1255 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:149] + node _T_1256 = eq(_T_1255, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1257 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:149] + node _T_1258 = eq(_T_1257, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1259 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:149] + node _T_1260 = eq(_T_1259, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1261 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:106] + node _T_1262 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_1263 = eq(_T_1262, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1264 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:149] + node _T_1265 = eq(_T_1264, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1266 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:106] + node _T_1267 = and(_T_1252, _T_1253) @[dec_tlu_ctl.scala 2551:198] + node _T_1268 = and(_T_1267, _T_1254) @[dec_tlu_ctl.scala 2551:198] + node _T_1269 = and(_T_1268, _T_1256) @[dec_tlu_ctl.scala 2551:198] + node _T_1270 = and(_T_1269, _T_1258) @[dec_tlu_ctl.scala 2551:198] + node _T_1271 = and(_T_1270, _T_1260) @[dec_tlu_ctl.scala 2551:198] + node _T_1272 = and(_T_1271, _T_1261) @[dec_tlu_ctl.scala 2551:198] + node _T_1273 = and(_T_1272, _T_1263) @[dec_tlu_ctl.scala 2551:198] + node _T_1274 = and(_T_1273, _T_1265) @[dec_tlu_ctl.scala 2551:198] + node _T_1275 = and(_T_1274, _T_1266) @[dec_tlu_ctl.scala 2551:198] + node _T_1276 = or(_T_1251, _T_1275) @[dec_tlu_ctl.scala 2630:105] + node _T_1277 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:149] + node _T_1278 = eq(_T_1277, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1279 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:149] + node _T_1280 = eq(_T_1279, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1281 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2551:106] + node _T_1282 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2551:106] + node _T_1283 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:149] + node _T_1284 = eq(_T_1283, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1285 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:149] + node _T_1286 = eq(_T_1285, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1287 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:106] + node _T_1288 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:106] + node _T_1289 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:106] + node _T_1290 = and(_T_1278, _T_1280) @[dec_tlu_ctl.scala 2551:198] + node _T_1291 = and(_T_1290, _T_1281) @[dec_tlu_ctl.scala 2551:198] + node _T_1292 = and(_T_1291, _T_1282) @[dec_tlu_ctl.scala 2551:198] + node _T_1293 = and(_T_1292, _T_1284) @[dec_tlu_ctl.scala 2551:198] + node _T_1294 = and(_T_1293, _T_1286) @[dec_tlu_ctl.scala 2551:198] + node _T_1295 = and(_T_1294, _T_1287) @[dec_tlu_ctl.scala 2551:198] + node _T_1296 = and(_T_1295, _T_1288) @[dec_tlu_ctl.scala 2551:198] + node _T_1297 = and(_T_1296, _T_1289) @[dec_tlu_ctl.scala 2551:198] + node _T_1298 = or(_T_1276, _T_1297) @[dec_tlu_ctl.scala 2630:161] + node _T_1299 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:106] + node _T_1300 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:149] + node _T_1301 = eq(_T_1300, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1302 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2551:106] + node _T_1303 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2551:106] + node _T_1304 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:106] + node _T_1305 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:149] + node _T_1306 = eq(_T_1305, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1307 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_1308 = eq(_T_1307, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1309 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:106] + node _T_1310 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:149] + node _T_1311 = eq(_T_1310, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1312 = and(_T_1299, _T_1301) @[dec_tlu_ctl.scala 2551:198] + node _T_1313 = and(_T_1312, _T_1302) @[dec_tlu_ctl.scala 2551:198] + node _T_1314 = and(_T_1313, _T_1303) @[dec_tlu_ctl.scala 2551:198] + node _T_1315 = and(_T_1314, _T_1304) @[dec_tlu_ctl.scala 2551:198] + node _T_1316 = and(_T_1315, _T_1306) @[dec_tlu_ctl.scala 2551:198] + node _T_1317 = and(_T_1316, _T_1308) @[dec_tlu_ctl.scala 2551:198] + node _T_1318 = and(_T_1317, _T_1309) @[dec_tlu_ctl.scala 2551:198] + node _T_1319 = and(_T_1318, _T_1311) @[dec_tlu_ctl.scala 2551:198] + node _T_1320 = or(_T_1298, _T_1319) @[dec_tlu_ctl.scala 2631:105] + node _T_1321 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:106] + node _T_1322 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:149] + node _T_1323 = eq(_T_1322, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1324 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2551:106] + node _T_1325 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2551:106] + node _T_1326 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:106] + node _T_1327 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:149] + node _T_1328 = eq(_T_1327, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1329 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_1330 = eq(_T_1329, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1331 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:106] + node _T_1332 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:149] + node _T_1333 = eq(_T_1332, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1334 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:185] + node _T_1335 = eq(_T_1334, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:165] + node _T_1336 = and(_T_1321, _T_1323) @[dec_tlu_ctl.scala 2551:198] + node _T_1337 = and(_T_1336, _T_1324) @[dec_tlu_ctl.scala 2551:198] + node _T_1338 = and(_T_1337, _T_1325) @[dec_tlu_ctl.scala 2551:198] + node _T_1339 = and(_T_1338, _T_1326) @[dec_tlu_ctl.scala 2551:198] + node _T_1340 = and(_T_1339, _T_1328) @[dec_tlu_ctl.scala 2551:198] + node _T_1341 = and(_T_1340, _T_1330) @[dec_tlu_ctl.scala 2551:198] + node _T_1342 = and(_T_1341, _T_1331) @[dec_tlu_ctl.scala 2551:198] + node _T_1343 = and(_T_1342, _T_1333) @[dec_tlu_ctl.scala 2551:198] + node _T_1344 = and(_T_1343, _T_1335) @[dec_tlu_ctl.scala 2551:198] + node _T_1345 = or(_T_1320, _T_1344) @[dec_tlu_ctl.scala 2631:161] + node _T_1346 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:106] + node _T_1347 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:149] + node _T_1348 = eq(_T_1347, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1349 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2551:106] + node _T_1350 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2551:106] + node _T_1351 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:149] + node _T_1352 = eq(_T_1351, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1353 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:149] + node _T_1354 = eq(_T_1353, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1355 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:106] + node _T_1356 = and(_T_1346, _T_1348) @[dec_tlu_ctl.scala 2551:198] + node _T_1357 = and(_T_1356, _T_1349) @[dec_tlu_ctl.scala 2551:198] + node _T_1358 = and(_T_1357, _T_1350) @[dec_tlu_ctl.scala 2551:198] + node _T_1359 = and(_T_1358, _T_1352) @[dec_tlu_ctl.scala 2551:198] + node _T_1360 = and(_T_1359, _T_1354) @[dec_tlu_ctl.scala 2551:198] + node _T_1361 = and(_T_1360, _T_1355) @[dec_tlu_ctl.scala 2551:198] + node _T_1362 = or(_T_1345, _T_1361) @[dec_tlu_ctl.scala 2632:105] + node _T_1363 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:149] + node _T_1364 = eq(_T_1363, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1365 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:106] + node _T_1366 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2551:106] + node _T_1367 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2551:106] + node _T_1368 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:106] + node _T_1369 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:106] + node _T_1370 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:149] + node _T_1371 = eq(_T_1370, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1372 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:106] + node _T_1373 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_1374 = eq(_T_1373, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1375 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:106] + node _T_1376 = and(_T_1364, _T_1365) @[dec_tlu_ctl.scala 2551:198] + node _T_1377 = and(_T_1376, _T_1366) @[dec_tlu_ctl.scala 2551:198] + node _T_1378 = and(_T_1377, _T_1367) @[dec_tlu_ctl.scala 2551:198] + node _T_1379 = and(_T_1378, _T_1368) @[dec_tlu_ctl.scala 2551:198] + node _T_1380 = and(_T_1379, _T_1369) @[dec_tlu_ctl.scala 2551:198] + node _T_1381 = and(_T_1380, _T_1371) @[dec_tlu_ctl.scala 2551:198] + node _T_1382 = and(_T_1381, _T_1372) @[dec_tlu_ctl.scala 2551:198] + node _T_1383 = and(_T_1382, _T_1374) @[dec_tlu_ctl.scala 2551:198] + node _T_1384 = and(_T_1383, _T_1375) @[dec_tlu_ctl.scala 2551:198] + node _T_1385 = or(_T_1362, _T_1384) @[dec_tlu_ctl.scala 2632:161] + node _T_1386 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:149] + node _T_1387 = eq(_T_1386, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1388 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:106] + node _T_1389 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2551:106] + node _T_1390 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2551:106] + node _T_1391 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:106] + node _T_1392 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:106] + node _T_1393 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:149] + node _T_1394 = eq(_T_1393, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1395 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_1396 = eq(_T_1395, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1397 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:185] + node _T_1398 = eq(_T_1397, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:165] + node _T_1399 = and(_T_1387, _T_1388) @[dec_tlu_ctl.scala 2551:198] + node _T_1400 = and(_T_1399, _T_1389) @[dec_tlu_ctl.scala 2551:198] + node _T_1401 = and(_T_1400, _T_1390) @[dec_tlu_ctl.scala 2551:198] + node _T_1402 = and(_T_1401, _T_1391) @[dec_tlu_ctl.scala 2551:198] + node _T_1403 = and(_T_1402, _T_1392) @[dec_tlu_ctl.scala 2551:198] + node _T_1404 = and(_T_1403, _T_1394) @[dec_tlu_ctl.scala 2551:198] + node _T_1405 = and(_T_1404, _T_1396) @[dec_tlu_ctl.scala 2551:198] + node _T_1406 = and(_T_1405, _T_1398) @[dec_tlu_ctl.scala 2551:198] + node _T_1407 = or(_T_1385, _T_1406) @[dec_tlu_ctl.scala 2633:105] + node _T_1408 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:149] + node _T_1409 = eq(_T_1408, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1410 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:106] + node _T_1411 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2551:106] + node _T_1412 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2551:106] + node _T_1413 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:106] + node _T_1414 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:106] + node _T_1415 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:149] + node _T_1416 = eq(_T_1415, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1417 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_1418 = eq(_T_1417, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1419 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:106] + node _T_1420 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:149] + node _T_1421 = eq(_T_1420, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1422 = and(_T_1409, _T_1410) @[dec_tlu_ctl.scala 2551:198] + node _T_1423 = and(_T_1422, _T_1411) @[dec_tlu_ctl.scala 2551:198] + node _T_1424 = and(_T_1423, _T_1412) @[dec_tlu_ctl.scala 2551:198] + node _T_1425 = and(_T_1424, _T_1413) @[dec_tlu_ctl.scala 2551:198] + node _T_1426 = and(_T_1425, _T_1414) @[dec_tlu_ctl.scala 2551:198] + node _T_1427 = and(_T_1426, _T_1416) @[dec_tlu_ctl.scala 2551:198] + node _T_1428 = and(_T_1427, _T_1418) @[dec_tlu_ctl.scala 2551:198] + node _T_1429 = and(_T_1428, _T_1419) @[dec_tlu_ctl.scala 2551:198] + node _T_1430 = and(_T_1429, _T_1421) @[dec_tlu_ctl.scala 2551:198] + node _T_1431 = or(_T_1407, _T_1430) @[dec_tlu_ctl.scala 2633:161] + node _T_1432 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:149] + node _T_1433 = eq(_T_1432, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1434 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:106] + node _T_1435 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2551:106] + node _T_1436 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2551:106] + node _T_1437 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:106] + node _T_1438 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:149] + node _T_1439 = eq(_T_1438, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1440 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:106] + node _T_1441 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_1442 = eq(_T_1441, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1443 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_1444 = eq(_T_1443, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1445 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:149] + node _T_1446 = eq(_T_1445, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1447 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:185] + node _T_1448 = eq(_T_1447, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:165] + node _T_1449 = and(_T_1433, _T_1434) @[dec_tlu_ctl.scala 2551:198] + node _T_1450 = and(_T_1449, _T_1435) @[dec_tlu_ctl.scala 2551:198] + node _T_1451 = and(_T_1450, _T_1436) @[dec_tlu_ctl.scala 2551:198] + node _T_1452 = and(_T_1451, _T_1437) @[dec_tlu_ctl.scala 2551:198] + node _T_1453 = and(_T_1452, _T_1439) @[dec_tlu_ctl.scala 2551:198] + node _T_1454 = and(_T_1453, _T_1440) @[dec_tlu_ctl.scala 2551:198] + node _T_1455 = and(_T_1454, _T_1442) @[dec_tlu_ctl.scala 2551:198] + node _T_1456 = and(_T_1455, _T_1444) @[dec_tlu_ctl.scala 2551:198] + node _T_1457 = and(_T_1456, _T_1446) @[dec_tlu_ctl.scala 2551:198] + node _T_1458 = and(_T_1457, _T_1448) @[dec_tlu_ctl.scala 2551:198] + node _T_1459 = or(_T_1431, _T_1458) @[dec_tlu_ctl.scala 2634:105] + node _T_1460 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:106] + node _T_1461 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:149] + node _T_1462 = eq(_T_1461, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1463 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2551:106] + node _T_1464 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2551:106] + node _T_1465 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:149] + node _T_1466 = eq(_T_1465, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1467 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:149] + node _T_1468 = eq(_T_1467, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1469 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:106] + node _T_1470 = and(_T_1460, _T_1462) @[dec_tlu_ctl.scala 2551:198] + node _T_1471 = and(_T_1470, _T_1463) @[dec_tlu_ctl.scala 2551:198] + node _T_1472 = and(_T_1471, _T_1464) @[dec_tlu_ctl.scala 2551:198] + node _T_1473 = and(_T_1472, _T_1466) @[dec_tlu_ctl.scala 2551:198] + node _T_1474 = and(_T_1473, _T_1468) @[dec_tlu_ctl.scala 2551:198] + node _T_1475 = and(_T_1474, _T_1469) @[dec_tlu_ctl.scala 2551:198] + node _T_1476 = or(_T_1459, _T_1475) @[dec_tlu_ctl.scala 2634:153] + node _T_1477 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:149] + node _T_1478 = eq(_T_1477, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1479 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:149] + node _T_1480 = eq(_T_1479, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1481 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2551:106] + node _T_1482 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2551:106] + node _T_1483 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:149] + node _T_1484 = eq(_T_1483, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1485 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:106] + node _T_1486 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:149] + node _T_1487 = eq(_T_1486, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1488 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_1489 = eq(_T_1488, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1490 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_1491 = eq(_T_1490, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1492 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2551:149] + node _T_1493 = eq(_T_1492, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1494 = and(_T_1478, _T_1480) @[dec_tlu_ctl.scala 2551:198] + node _T_1495 = and(_T_1494, _T_1481) @[dec_tlu_ctl.scala 2551:198] + node _T_1496 = and(_T_1495, _T_1482) @[dec_tlu_ctl.scala 2551:198] + node _T_1497 = and(_T_1496, _T_1484) @[dec_tlu_ctl.scala 2551:198] + node _T_1498 = and(_T_1497, _T_1485) @[dec_tlu_ctl.scala 2551:198] + node _T_1499 = and(_T_1498, _T_1487) @[dec_tlu_ctl.scala 2551:198] + node _T_1500 = and(_T_1499, _T_1489) @[dec_tlu_ctl.scala 2551:198] + node _T_1501 = and(_T_1500, _T_1491) @[dec_tlu_ctl.scala 2551:198] + node _T_1502 = and(_T_1501, _T_1493) @[dec_tlu_ctl.scala 2551:198] + node _T_1503 = or(_T_1476, _T_1502) @[dec_tlu_ctl.scala 2635:113] + node _T_1504 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:149] + node _T_1505 = eq(_T_1504, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1506 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:149] + node _T_1507 = eq(_T_1506, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1508 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2551:106] + node _T_1509 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2551:106] + node _T_1510 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:149] + node _T_1511 = eq(_T_1510, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1512 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:149] + node _T_1513 = eq(_T_1512, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1514 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:149] + node _T_1515 = eq(_T_1514, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1516 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:149] + node _T_1517 = eq(_T_1516, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1518 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2551:149] + node _T_1519 = eq(_T_1518, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1520 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2551:185] + node _T_1521 = eq(_T_1520, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:165] + node _T_1522 = and(_T_1505, _T_1507) @[dec_tlu_ctl.scala 2551:198] + node _T_1523 = and(_T_1522, _T_1508) @[dec_tlu_ctl.scala 2551:198] + node _T_1524 = and(_T_1523, _T_1509) @[dec_tlu_ctl.scala 2551:198] + node _T_1525 = and(_T_1524, _T_1511) @[dec_tlu_ctl.scala 2551:198] + node _T_1526 = and(_T_1525, _T_1513) @[dec_tlu_ctl.scala 2551:198] + node _T_1527 = and(_T_1526, _T_1515) @[dec_tlu_ctl.scala 2551:198] + node _T_1528 = and(_T_1527, _T_1517) @[dec_tlu_ctl.scala 2551:198] + node _T_1529 = and(_T_1528, _T_1519) @[dec_tlu_ctl.scala 2551:198] + node _T_1530 = and(_T_1529, _T_1521) @[dec_tlu_ctl.scala 2551:198] + node _T_1531 = or(_T_1503, _T_1530) @[dec_tlu_ctl.scala 2635:161] + node _T_1532 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:149] + node _T_1533 = eq(_T_1532, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1534 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:149] + node _T_1535 = eq(_T_1534, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1536 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2551:106] + node _T_1537 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2551:106] + node _T_1538 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:149] + node _T_1539 = eq(_T_1538, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1540 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:149] + node _T_1541 = eq(_T_1540, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1542 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:106] + node _T_1543 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:106] + node _T_1544 = and(_T_1533, _T_1535) @[dec_tlu_ctl.scala 2551:198] + node _T_1545 = and(_T_1544, _T_1536) @[dec_tlu_ctl.scala 2551:198] + node _T_1546 = and(_T_1545, _T_1537) @[dec_tlu_ctl.scala 2551:198] + node _T_1547 = and(_T_1546, _T_1539) @[dec_tlu_ctl.scala 2551:198] + node _T_1548 = and(_T_1547, _T_1541) @[dec_tlu_ctl.scala 2551:198] + node _T_1549 = and(_T_1548, _T_1542) @[dec_tlu_ctl.scala 2551:198] + node _T_1550 = and(_T_1549, _T_1543) @[dec_tlu_ctl.scala 2551:198] + node _T_1551 = or(_T_1531, _T_1550) @[dec_tlu_ctl.scala 2636:97] + node _T_1552 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:106] + node _T_1553 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:149] + node _T_1554 = eq(_T_1553, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1555 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2551:106] + node _T_1556 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2551:106] + node _T_1557 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:149] + node _T_1558 = eq(_T_1557, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1559 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:149] + node _T_1560 = eq(_T_1559, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1561 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2551:106] + node _T_1562 = and(_T_1552, _T_1554) @[dec_tlu_ctl.scala 2551:198] + node _T_1563 = and(_T_1562, _T_1555) @[dec_tlu_ctl.scala 2551:198] + node _T_1564 = and(_T_1563, _T_1556) @[dec_tlu_ctl.scala 2551:198] + node _T_1565 = and(_T_1564, _T_1558) @[dec_tlu_ctl.scala 2551:198] + node _T_1566 = and(_T_1565, _T_1560) @[dec_tlu_ctl.scala 2551:198] + node _T_1567 = and(_T_1566, _T_1561) @[dec_tlu_ctl.scala 2551:198] + node _T_1568 = or(_T_1551, _T_1567) @[dec_tlu_ctl.scala 2636:153] + node _T_1569 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:149] + node _T_1570 = eq(_T_1569, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1571 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:149] + node _T_1572 = eq(_T_1571, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1573 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2551:106] + node _T_1574 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2551:106] + node _T_1575 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2551:149] + node _T_1576 = eq(_T_1575, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1577 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:149] + node _T_1578 = eq(_T_1577, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1579 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:106] + node _T_1580 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:106] + node _T_1581 = and(_T_1570, _T_1572) @[dec_tlu_ctl.scala 2551:198] + node _T_1582 = and(_T_1581, _T_1573) @[dec_tlu_ctl.scala 2551:198] + node _T_1583 = and(_T_1582, _T_1574) @[dec_tlu_ctl.scala 2551:198] + node _T_1584 = and(_T_1583, _T_1576) @[dec_tlu_ctl.scala 2551:198] + node _T_1585 = and(_T_1584, _T_1578) @[dec_tlu_ctl.scala 2551:198] + node _T_1586 = and(_T_1585, _T_1579) @[dec_tlu_ctl.scala 2551:198] + node _T_1587 = and(_T_1586, _T_1580) @[dec_tlu_ctl.scala 2551:198] + node _T_1588 = or(_T_1568, _T_1587) @[dec_tlu_ctl.scala 2637:113] + node _T_1589 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2551:106] + node _T_1590 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2551:149] + node _T_1591 = eq(_T_1590, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1592 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2551:106] + node _T_1593 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2551:106] + node _T_1594 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2551:149] + node _T_1595 = eq(_T_1594, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1596 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2551:149] + node _T_1597 = eq(_T_1596, UInt<1>("h00")) @[dec_tlu_ctl.scala 2551:129] + node _T_1598 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2551:106] + node _T_1599 = and(_T_1589, _T_1591) @[dec_tlu_ctl.scala 2551:198] + node _T_1600 = and(_T_1599, _T_1592) @[dec_tlu_ctl.scala 2551:198] + node _T_1601 = and(_T_1600, _T_1593) @[dec_tlu_ctl.scala 2551:198] + node _T_1602 = and(_T_1601, _T_1595) @[dec_tlu_ctl.scala 2551:198] + node _T_1603 = and(_T_1602, _T_1597) @[dec_tlu_ctl.scala 2551:198] + node _T_1604 = and(_T_1603, _T_1598) @[dec_tlu_ctl.scala 2551:198] + node _T_1605 = or(_T_1588, _T_1604) @[dec_tlu_ctl.scala 2637:169] + io.csr_pkt.legal <= _T_1605 @[dec_tlu_ctl.scala 2624:26] module dec_tlu_ctl : input clock : Clock input reset : AsyncReset - output io : {flip tlu_exu : {flip dec_tlu_meihap : UInt<30>, flip dec_tlu_flush_lower_r : UInt<1>, flip dec_tlu_flush_path_r : UInt<31>, exu_i0_br_hist_r : UInt<2>, exu_i0_br_error_r : UInt<1>, exu_i0_br_start_error_r : UInt<1>, exu_i0_br_index_r : UInt<8>, exu_i0_br_valid_r : UInt<1>, exu_i0_br_mp_r : UInt<1>, exu_i0_br_middle_r : UInt<1>, exu_pmu_i0_br_misp : UInt<1>, exu_pmu_i0_br_ataken : UInt<1>, exu_pmu_i0_pc4 : UInt<1>, exu_npc_r : UInt<31>}, tlu_dma : {flip dma_pmu_dccm_read : UInt<1>, flip dma_pmu_dccm_write : UInt<1>, flip dma_pmu_any_read : UInt<1>, flip dma_pmu_any_write : UInt<1>, dec_tlu_dma_qos_prty : UInt<3>, flip dma_dccm_stall_any : UInt<1>, flip dma_iccm_stall_any : UInt<1>}, flip active_clk : Clock, flip free_clk : Clock, flip scan_mode : UInt<1>, flip rst_vec : UInt<31>, flip nmi_int : UInt<1>, flip nmi_vec : UInt<31>, flip i_cpu_halt_req : UInt<1>, flip i_cpu_run_req : UInt<1>, flip lsu_fastint_stall_any : UInt<1>, flip lsu_idle_any : UInt<1>, flip dec_pmu_instr_decoded : UInt<1>, flip dec_pmu_decode_stall : UInt<1>, flip dec_pmu_presync_stall : UInt<1>, flip dec_pmu_postsync_stall : UInt<1>, flip lsu_store_stall_any : UInt<1>, flip lsu_fir_addr : UInt<31>, flip lsu_fir_error : UInt<2>, flip iccm_dma_sb_error : UInt<1>, flip lsu_error_pkt_r : {valid : UInt<1>, bits : {single_ecc_error : UInt<1>, inst_type : UInt<1>, exc_type : UInt<1>, mscause : UInt<4>, addr : UInt<32>}}, flip lsu_single_ecc_error_incr : UInt<1>, flip dec_pause_state : UInt<1>, flip dec_csr_wen_unq_d : UInt<1>, flip dec_csr_any_unq_d : UInt<1>, flip dec_csr_rdaddr_d : UInt<12>, flip dec_csr_wen_r : UInt<1>, flip dec_csr_wraddr_r : UInt<12>, flip dec_csr_wrdata_r : UInt<32>, flip dec_csr_stall_int_ff : UInt<1>, flip dec_tlu_i0_valid_r : UInt<1>, flip dec_tlu_i0_pc_r : UInt<31>, flip dec_tlu_packet_r : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>}, flip dec_illegal_inst : UInt<32>, flip dec_i0_decode_d : UInt<1>, flip exu_i0_br_way_r : UInt<1>, dec_dbg_cmd_done : UInt<1>, dec_dbg_cmd_fail : UInt<1>, dec_tlu_dbg_halted : UInt<1>, dec_tlu_debug_mode : UInt<1>, dec_tlu_resume_ack : UInt<1>, dec_tlu_debug_stall : UInt<1>, dec_tlu_mpc_halted_only : UInt<1>, dec_tlu_flush_extint : UInt<1>, flip dbg_halt_req : UInt<1>, flip dbg_resume_req : UInt<1>, flip dec_div_active : UInt<1>, trigger_pkt_any : {select : UInt<1>, match_pkt : UInt<1>, store : UInt<1>, load : UInt<1>, execute : UInt<1>, m : UInt<1>, tdata2 : UInt<32>}[4], flip timer_int : UInt<1>, flip soft_int : UInt<1>, o_cpu_halt_status : UInt<1>, o_cpu_halt_ack : UInt<1>, o_cpu_run_ack : UInt<1>, o_debug_mode_status : UInt<1>, flip core_id : UInt<28>, flip mpc_debug_halt_req : UInt<1>, flip mpc_debug_run_req : UInt<1>, flip mpc_reset_run_req : UInt<1>, mpc_debug_halt_ack : UInt<1>, mpc_debug_run_ack : UInt<1>, debug_brkpt_status : UInt<1>, dec_csr_rddata_d : UInt<32>, dec_csr_legal_d : UInt<1>, dec_tlu_i0_kill_writeb_wb : UInt<1>, dec_tlu_i0_kill_writeb_r : UInt<1>, dec_tlu_wr_pause_r : UInt<1>, dec_tlu_flush_pause_r : UInt<1>, dec_tlu_presync_d : UInt<1>, dec_tlu_postsync_d : UInt<1>, dec_tlu_perfcnt0 : UInt<1>, dec_tlu_perfcnt1 : UInt<1>, dec_tlu_perfcnt2 : UInt<1>, dec_tlu_perfcnt3 : UInt<1>, dec_tlu_i0_exc_valid_wb1 : UInt<1>, dec_tlu_i0_valid_wb1 : UInt<1>, dec_tlu_int_valid_wb1 : UInt<1>, dec_tlu_exc_cause_wb1 : UInt<5>, dec_tlu_mtval_wb1 : UInt<32>, dec_tlu_pipelining_disable : UInt<1>, dec_tlu_misc_clk_override : UInt<1>, dec_tlu_dec_clk_override : UInt<1>, dec_tlu_ifu_clk_override : UInt<1>, dec_tlu_lsu_clk_override : UInt<1>, dec_tlu_bus_clk_override : UInt<1>, dec_tlu_pic_clk_override : UInt<1>, dec_tlu_dccm_clk_override : UInt<1>, dec_tlu_icm_clk_override : UInt<1>, flip ifu_pmu_instr_aligned : UInt<1>, flip tlu_bp : {flip dec_tlu_br0_r_pkt : {valid : UInt<1>, bits : {hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, way : UInt<1>, middle : UInt<1>}}, flip dec_tlu_flush_lower_wb : UInt<1>, flip dec_tlu_flush_leak_one_wb : UInt<1>, flip dec_tlu_bpred_disable : UInt<1>}, flip tlu_ifc : {flip dec_tlu_flush_noredir_wb : UInt<1>, flip dec_tlu_mrac_ff : UInt<32>, ifu_pmu_fetch_stall : UInt<1>}, flip tlu_mem : {flip dec_tlu_flush_lower_wb : UInt<1>, flip dec_tlu_flush_err_wb : UInt<1>, flip dec_tlu_i0_commit_cmt : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip dec_tlu_fence_i_wb : UInt<1>, flip dec_tlu_ic_diag_pkt : {icache_wrdata : UInt<71>, icache_dicawics : UInt<17>, icache_rd_valid : UInt<1>, icache_wr_valid : UInt<1>}, flip dec_tlu_core_ecc_disable : UInt<1>, ifu_pmu_ic_miss : UInt<1>, ifu_pmu_ic_hit : UInt<1>, ifu_pmu_bus_error : UInt<1>, ifu_pmu_bus_busy : UInt<1>, ifu_pmu_bus_trxn : UInt<1>, ifu_ic_error_start : UInt<1>, ifu_iccm_rd_ecc_single_err : UInt<1>, ifu_ic_debug_rd_data : UInt<71>, ifu_ic_debug_rd_data_valid : UInt<1>, ifu_miss_state_idle : UInt<1>}, flip tlu_busbuff : {lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>}, flip lsu_tlu : {lsu_pmu_load_external_m : UInt<1>, lsu_pmu_store_external_m : UInt<1>}, dec_pic : {flip pic_claimid : UInt<8>, flip pic_pl : UInt<4>, flip mhwakeup : UInt<1>, dec_tlu_meicurpl : UInt<4>, dec_tlu_meipt : UInt<4>, flip mexintpend : UInt<1>}} + output io : {flip tlu_exu : {flip dec_tlu_meihap : UInt<30>, flip dec_tlu_flush_lower_r : UInt<1>, flip dec_tlu_flush_path_r : UInt<31>, exu_i0_br_hist_r : UInt<2>, exu_i0_br_error_r : UInt<1>, exu_i0_br_start_error_r : UInt<1>, exu_i0_br_index_r : UInt<8>, exu_i0_br_valid_r : UInt<1>, exu_i0_br_mp_r : UInt<1>, exu_i0_br_middle_r : UInt<1>, exu_pmu_i0_br_misp : UInt<1>, exu_pmu_i0_br_ataken : UInt<1>, exu_pmu_i0_pc4 : UInt<1>, exu_npc_r : UInt<31>}, tlu_dma : {flip dma_pmu_dccm_read : UInt<1>, flip dma_pmu_dccm_write : UInt<1>, flip dma_pmu_any_read : UInt<1>, flip dma_pmu_any_write : UInt<1>, dec_tlu_dma_qos_prty : UInt<3>, flip dma_dccm_stall_any : UInt<1>, flip dma_iccm_stall_any : UInt<1>}, flip active_clk : Clock, flip free_clk : Clock, flip scan_mode : UInt<1>, flip rst_vec : UInt<31>, flip nmi_int : UInt<1>, flip nmi_vec : UInt<31>, flip i_cpu_halt_req : UInt<1>, flip i_cpu_run_req : UInt<1>, flip lsu_fastint_stall_any : UInt<1>, flip lsu_idle_any : UInt<1>, flip dec_pmu_instr_decoded : UInt<1>, flip dec_pmu_decode_stall : UInt<1>, flip dec_pmu_presync_stall : UInt<1>, flip dec_pmu_postsync_stall : UInt<1>, flip lsu_store_stall_any : UInt<1>, flip lsu_fir_addr : UInt<31>, flip lsu_fir_error : UInt<2>, flip iccm_dma_sb_error : UInt<1>, flip lsu_error_pkt_r : {valid : UInt<1>, bits : {single_ecc_error : UInt<1>, inst_type : UInt<1>, exc_type : UInt<1>, mscause : UInt<4>, addr : UInt<32>}}, flip lsu_single_ecc_error_incr : UInt<1>, flip dec_pause_state : UInt<1>, flip dec_csr_wen_unq_d : UInt<1>, flip dec_csr_any_unq_d : UInt<1>, flip dec_csr_rdaddr_d : UInt<12>, flip dec_csr_wen_r : UInt<1>, flip dec_csr_wraddr_r : UInt<12>, flip dec_csr_wrdata_r : UInt<32>, flip dec_csr_stall_int_ff : UInt<1>, flip dec_tlu_i0_valid_r : UInt<1>, flip dec_tlu_i0_pc_r : UInt<31>, flip dec_tlu_packet_r : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>}, flip dec_illegal_inst : UInt<32>, flip dec_i0_decode_d : UInt<1>, flip exu_i0_br_way_r : UInt<1>, dec_dbg_cmd_done : UInt<1>, dec_dbg_cmd_fail : UInt<1>, dec_tlu_dbg_halted : UInt<1>, dec_tlu_debug_mode : UInt<1>, dec_tlu_resume_ack : UInt<1>, dec_tlu_debug_stall : UInt<1>, dec_tlu_mpc_halted_only : UInt<1>, dec_tlu_flush_extint : UInt<1>, flip dbg_halt_req : UInt<1>, flip dbg_resume_req : UInt<1>, flip dec_div_active : UInt<1>, trigger_pkt_any : {select : UInt<1>, match_pkt : UInt<1>, store : UInt<1>, load : UInt<1>, execute : UInt<1>, m : UInt<1>, tdata2 : UInt<32>}[4], flip timer_int : UInt<1>, flip soft_int : UInt<1>, o_cpu_halt_status : UInt<1>, o_cpu_halt_ack : UInt<1>, o_cpu_run_ack : UInt<1>, o_debug_mode_status : UInt<1>, flip core_id : UInt<28>, flip mpc_debug_halt_req : UInt<1>, flip mpc_debug_run_req : UInt<1>, flip mpc_reset_run_req : UInt<1>, mpc_debug_halt_ack : UInt<1>, mpc_debug_run_ack : UInt<1>, debug_brkpt_status : UInt<1>, dec_csr_rddata_d : UInt<32>, dec_csr_legal_d : UInt<1>, dec_tlu_i0_kill_writeb_wb : UInt<1>, dec_tlu_i0_kill_writeb_r : UInt<1>, dec_tlu_wr_pause_r : UInt<1>, dec_tlu_flush_pause_r : UInt<1>, dec_tlu_presync_d : UInt<1>, dec_tlu_postsync_d : UInt<1>, dec_tlu_perfcnt0 : UInt<1>, dec_tlu_perfcnt1 : UInt<1>, dec_tlu_perfcnt2 : UInt<1>, dec_tlu_perfcnt3 : UInt<1>, dec_tlu_i0_exc_valid_wb1 : UInt<1>, dec_tlu_i0_valid_wb1 : UInt<1>, dec_tlu_int_valid_wb1 : UInt<1>, dec_tlu_exc_cause_wb1 : UInt<5>, dec_tlu_mtval_wb1 : UInt<32>, dec_tlu_pipelining_disable : UInt<1>, dec_tlu_misc_clk_override : UInt<1>, dec_tlu_dec_clk_override : UInt<1>, dec_tlu_ifu_clk_override : UInt<1>, dec_tlu_lsu_clk_override : UInt<1>, dec_tlu_bus_clk_override : UInt<1>, dec_tlu_pic_clk_override : UInt<1>, dec_tlu_dccm_clk_override : UInt<1>, dec_tlu_icm_clk_override : UInt<1>, dec_tlu_flush_lower_wb : UInt<1>, flip ifu_pmu_instr_aligned : UInt<1>, flip tlu_bp : {flip dec_tlu_br0_r_pkt : {valid : UInt<1>, bits : {hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, way : UInt<1>, middle : UInt<1>}}, flip dec_tlu_flush_leak_one_wb : UInt<1>, flip dec_tlu_bpred_disable : UInt<1>}, flip tlu_ifc : {flip dec_tlu_flush_noredir_wb : UInt<1>, flip dec_tlu_mrac_ff : UInt<32>, ifu_pmu_fetch_stall : UInt<1>}, flip tlu_mem : {flip dec_tlu_flush_err_wb : UInt<1>, flip dec_tlu_i0_commit_cmt : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip dec_tlu_fence_i_wb : UInt<1>, flip dec_tlu_ic_diag_pkt : {icache_wrdata : UInt<71>, icache_dicawics : UInt<17>, icache_rd_valid : UInt<1>, icache_wr_valid : UInt<1>}, flip dec_tlu_core_ecc_disable : UInt<1>, ifu_pmu_ic_miss : UInt<1>, ifu_pmu_ic_hit : UInt<1>, ifu_pmu_bus_error : UInt<1>, ifu_pmu_bus_busy : UInt<1>, ifu_pmu_bus_trxn : UInt<1>, ifu_ic_error_start : UInt<1>, ifu_iccm_rd_ecc_single_err : UInt<1>, ifu_ic_debug_rd_data : UInt<71>, ifu_ic_debug_rd_data_valid : UInt<1>, ifu_miss_state_idle : UInt<1>}, flip tlu_busbuff : {lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>}, flip lsu_tlu : {lsu_pmu_load_external_m : UInt<1>, lsu_pmu_store_external_m : UInt<1>}, dec_pic : {flip pic_claimid : UInt<8>, flip pic_pl : UInt<4>, flip mhwakeup : UInt<1>, dec_tlu_meicurpl : UInt<4>, dec_tlu_meipt : UInt<4>, flip mexintpend : UInt<1>}} - wire mtdata1_t : UInt<10>[4] @[dec_tlu_ctl.scala 155:67] + wire mtdata1_t : UInt<10>[4] @[dec_tlu_ctl.scala 156:67] wire pause_expired_wb : UInt<1> pause_expired_wb <= UInt<1>("h00") wire take_nmi_r_d1 : UInt<1> @@ -78088,30 +78083,30 @@ circuit quasar_wrapper : mtvec <= UInt<1>("h00") wire mip : UInt<6> mip <= UInt<1>("h00") - wire csr_pkt : {csr_misa : UInt<1>, csr_mvendorid : UInt<1>, csr_marchid : UInt<1>, csr_mimpid : UInt<1>, csr_mhartid : UInt<1>, csr_mstatus : UInt<1>, csr_mtvec : UInt<1>, csr_mip : UInt<1>, csr_mie : UInt<1>, csr_mcyclel : UInt<1>, csr_mcycleh : UInt<1>, csr_minstretl : UInt<1>, csr_minstreth : UInt<1>, csr_mscratch : UInt<1>, csr_mepc : UInt<1>, csr_mcause : UInt<1>, csr_mscause : UInt<1>, csr_mtval : UInt<1>, csr_mrac : UInt<1>, csr_dmst : UInt<1>, csr_mdseac : UInt<1>, csr_meihap : UInt<1>, csr_meivt : UInt<1>, csr_meipt : UInt<1>, csr_meicurpl : UInt<1>, csr_meicidpl : UInt<1>, csr_dcsr : UInt<1>, csr_mcgc : UInt<1>, csr_mfdc : UInt<1>, csr_dpc : UInt<1>, csr_mtsel : UInt<1>, csr_mtdata1 : UInt<1>, csr_mtdata2 : UInt<1>, csr_mhpmc3 : UInt<1>, csr_mhpmc4 : UInt<1>, csr_mhpmc5 : UInt<1>, csr_mhpmc6 : UInt<1>, csr_mhpmc3h : UInt<1>, csr_mhpmc4h : UInt<1>, csr_mhpmc5h : UInt<1>, csr_mhpmc6h : UInt<1>, csr_mhpme3 : UInt<1>, csr_mhpme4 : UInt<1>, csr_mhpme5 : UInt<1>, csr_mhpme6 : UInt<1>, csr_mcountinhibit : UInt<1>, csr_mitctl0 : UInt<1>, csr_mitctl1 : UInt<1>, csr_mitb0 : UInt<1>, csr_mitb1 : UInt<1>, csr_mitcnt0 : UInt<1>, csr_mitcnt1 : UInt<1>, csr_mpmc : UInt<1>, csr_mcpc : UInt<1>, csr_meicpct : UInt<1>, csr_mdeau : UInt<1>, csr_micect : UInt<1>, csr_miccmect : UInt<1>, csr_mdccmect : UInt<1>, csr_mfdht : UInt<1>, csr_mfdhs : UInt<1>, csr_dicawics : UInt<1>, csr_dicad0h : UInt<1>, csr_dicad0 : UInt<1>, csr_dicad1 : UInt<1>, csr_dicago : UInt<1>, presync : UInt<1>, postsync : UInt<1>, legal : UInt<1>} @[dec_tlu_ctl.scala 270:41] + wire csr_pkt : {csr_misa : UInt<1>, csr_mvendorid : UInt<1>, csr_marchid : UInt<1>, csr_mimpid : UInt<1>, csr_mhartid : UInt<1>, csr_mstatus : UInt<1>, csr_mtvec : UInt<1>, csr_mip : UInt<1>, csr_mie : UInt<1>, csr_mcyclel : UInt<1>, csr_mcycleh : UInt<1>, csr_minstretl : UInt<1>, csr_minstreth : UInt<1>, csr_mscratch : UInt<1>, csr_mepc : UInt<1>, csr_mcause : UInt<1>, csr_mscause : UInt<1>, csr_mtval : UInt<1>, csr_mrac : UInt<1>, csr_dmst : UInt<1>, csr_mdseac : UInt<1>, csr_meihap : UInt<1>, csr_meivt : UInt<1>, csr_meipt : UInt<1>, csr_meicurpl : UInt<1>, csr_meicidpl : UInt<1>, csr_dcsr : UInt<1>, csr_mcgc : UInt<1>, csr_mfdc : UInt<1>, csr_dpc : UInt<1>, csr_mtsel : UInt<1>, csr_mtdata1 : UInt<1>, csr_mtdata2 : UInt<1>, csr_mhpmc3 : UInt<1>, csr_mhpmc4 : UInt<1>, csr_mhpmc5 : UInt<1>, csr_mhpmc6 : UInt<1>, csr_mhpmc3h : UInt<1>, csr_mhpmc4h : UInt<1>, csr_mhpmc5h : UInt<1>, csr_mhpmc6h : UInt<1>, csr_mhpme3 : UInt<1>, csr_mhpme4 : UInt<1>, csr_mhpme5 : UInt<1>, csr_mhpme6 : UInt<1>, csr_mcountinhibit : UInt<1>, csr_mitctl0 : UInt<1>, csr_mitctl1 : UInt<1>, csr_mitb0 : UInt<1>, csr_mitb1 : UInt<1>, csr_mitcnt0 : UInt<1>, csr_mitcnt1 : UInt<1>, csr_mpmc : UInt<1>, csr_mcpc : UInt<1>, csr_meicpct : UInt<1>, csr_mdeau : UInt<1>, csr_micect : UInt<1>, csr_miccmect : UInt<1>, csr_mdccmect : UInt<1>, csr_mfdht : UInt<1>, csr_mfdhs : UInt<1>, csr_dicawics : UInt<1>, csr_dicad0h : UInt<1>, csr_dicad0 : UInt<1>, csr_dicad1 : UInt<1>, csr_dicago : UInt<1>, presync : UInt<1>, postsync : UInt<1>, legal : UInt<1>} @[dec_tlu_ctl.scala 271:41] wire dec_tlu_mpc_halted_only_ns : UInt<1> dec_tlu_mpc_halted_only_ns <= UInt<1>("h00") - node _T = not(dbg_halt_state_f) @[dec_tlu_ctl.scala 273:39] - node _T_1 = and(_T, mpc_halt_state_f) @[dec_tlu_ctl.scala 273:57] - dec_tlu_mpc_halted_only_ns <= _T_1 @[dec_tlu_ctl.scala 273:36] - inst int_timers of dec_timer_ctl @[dec_tlu_ctl.scala 274:30] + node _T = not(dbg_halt_state_f) @[dec_tlu_ctl.scala 274:39] + node _T_1 = and(_T, mpc_halt_state_f) @[dec_tlu_ctl.scala 274:57] + dec_tlu_mpc_halted_only_ns <= _T_1 @[dec_tlu_ctl.scala 274:36] + inst int_timers of dec_timer_ctl @[dec_tlu_ctl.scala 275:32] int_timers.clock <= clock int_timers.reset <= reset - int_timers.io.free_clk <= io.free_clk @[dec_tlu_ctl.scala 275:57] - int_timers.io.scan_mode <= io.scan_mode @[dec_tlu_ctl.scala 276:57] - int_timers.io.dec_csr_wen_r_mod <= dec_csr_wen_r_mod @[dec_tlu_ctl.scala 277:49] - int_timers.io.dec_csr_rdaddr_d <= io.dec_csr_rdaddr_d @[dec_tlu_ctl.scala 278:49] - int_timers.io.dec_csr_wraddr_r <= io.dec_csr_wraddr_r @[dec_tlu_ctl.scala 279:49] - int_timers.io.dec_csr_wrdata_r <= io.dec_csr_wrdata_r @[dec_tlu_ctl.scala 280:49] - int_timers.io.csr_mitctl0 <= csr_pkt.csr_mitctl0 @[dec_tlu_ctl.scala 281:57] - int_timers.io.csr_mitctl1 <= csr_pkt.csr_mitctl1 @[dec_tlu_ctl.scala 282:57] - int_timers.io.csr_mitb0 <= csr_pkt.csr_mitb0 @[dec_tlu_ctl.scala 283:57] - int_timers.io.csr_mitb1 <= csr_pkt.csr_mitb1 @[dec_tlu_ctl.scala 284:57] - int_timers.io.csr_mitcnt0 <= csr_pkt.csr_mitcnt0 @[dec_tlu_ctl.scala 285:57] - int_timers.io.csr_mitcnt1 <= csr_pkt.csr_mitcnt1 @[dec_tlu_ctl.scala 286:57] - int_timers.io.dec_pause_state <= io.dec_pause_state @[dec_tlu_ctl.scala 287:49] - int_timers.io.dec_tlu_pmu_fw_halted <= dec_tlu_pmu_fw_halted @[dec_tlu_ctl.scala 288:49] - int_timers.io.internal_dbg_halt_timers <= internal_dbg_halt_timers @[dec_tlu_ctl.scala 289:47] + int_timers.io.free_clk <= io.free_clk @[dec_tlu_ctl.scala 276:73] + int_timers.io.scan_mode <= io.scan_mode @[dec_tlu_ctl.scala 277:73] + int_timers.io.dec_csr_wen_r_mod <= dec_csr_wen_r_mod @[dec_tlu_ctl.scala 278:49] + int_timers.io.dec_csr_rdaddr_d <= io.dec_csr_rdaddr_d @[dec_tlu_ctl.scala 279:49] + int_timers.io.dec_csr_wraddr_r <= io.dec_csr_wraddr_r @[dec_tlu_ctl.scala 280:49] + int_timers.io.dec_csr_wrdata_r <= io.dec_csr_wrdata_r @[dec_tlu_ctl.scala 281:49] + int_timers.io.csr_mitctl0 <= csr_pkt.csr_mitctl0 @[dec_tlu_ctl.scala 282:73] + int_timers.io.csr_mitctl1 <= csr_pkt.csr_mitctl1 @[dec_tlu_ctl.scala 283:73] + int_timers.io.csr_mitb0 <= csr_pkt.csr_mitb0 @[dec_tlu_ctl.scala 284:73] + int_timers.io.csr_mitb1 <= csr_pkt.csr_mitb1 @[dec_tlu_ctl.scala 285:73] + int_timers.io.csr_mitcnt0 <= csr_pkt.csr_mitcnt0 @[dec_tlu_ctl.scala 286:73] + int_timers.io.csr_mitcnt1 <= csr_pkt.csr_mitcnt1 @[dec_tlu_ctl.scala 287:73] + int_timers.io.dec_pause_state <= io.dec_pause_state @[dec_tlu_ctl.scala 288:57] + int_timers.io.dec_tlu_pmu_fw_halted <= dec_tlu_pmu_fw_halted @[dec_tlu_ctl.scala 289:49] + int_timers.io.internal_dbg_halt_timers <= internal_dbg_halt_timers @[dec_tlu_ctl.scala 290:48] node _T_2 = cat(io.i_cpu_run_req, io.mpc_debug_halt_req) @[Cat.scala 29:58] node _T_3 = cat(_T_2, io.mpc_debug_run_req) @[Cat.scala 29:58] node _T_4 = cat(io.soft_int, io.i_cpu_halt_req) @[Cat.scala 29:58] @@ -78122,841 +78117,841 @@ circuit quasar_wrapper : _T_8 <= _T_7 @[lib.scala 37:81] reg syncro_ff : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 37:58] syncro_ff <= _T_8 @[lib.scala 37:58] - node nmi_int_sync = bits(syncro_ff, 6, 6) @[dec_tlu_ctl.scala 301:67] - node timer_int_sync = bits(syncro_ff, 5, 5) @[dec_tlu_ctl.scala 302:59] - node soft_int_sync = bits(syncro_ff, 4, 4) @[dec_tlu_ctl.scala 303:59] - node i_cpu_halt_req_sync = bits(syncro_ff, 3, 3) @[dec_tlu_ctl.scala 304:59] - node i_cpu_run_req_sync = bits(syncro_ff, 2, 2) @[dec_tlu_ctl.scala 305:59] - node mpc_debug_halt_req_sync_raw = bits(syncro_ff, 1, 1) @[dec_tlu_ctl.scala 306:51] - node mpc_debug_run_req_sync = bits(syncro_ff, 0, 0) @[dec_tlu_ctl.scala 307:51] - node _T_9 = or(dec_csr_wen_r_mod, io.dec_tlu_dec_clk_override) @[dec_tlu_ctl.scala 310:58] - node _T_10 = bits(_T_9, 0, 0) @[dec_tlu_ctl.scala 310:74] + node nmi_int_sync = bits(syncro_ff, 6, 6) @[dec_tlu_ctl.scala 302:76] + node timer_int_sync = bits(syncro_ff, 5, 5) @[dec_tlu_ctl.scala 303:68] + node soft_int_sync = bits(syncro_ff, 4, 4) @[dec_tlu_ctl.scala 304:68] + node i_cpu_halt_req_sync = bits(syncro_ff, 3, 3) @[dec_tlu_ctl.scala 305:64] + node i_cpu_run_req_sync = bits(syncro_ff, 2, 2) @[dec_tlu_ctl.scala 306:66] + node mpc_debug_halt_req_sync_raw = bits(syncro_ff, 1, 1) @[dec_tlu_ctl.scala 307:52] + node mpc_debug_run_req_sync = bits(syncro_ff, 0, 0) @[dec_tlu_ctl.scala 308:56] + node _T_9 = or(dec_csr_wen_r_mod, io.dec_tlu_dec_clk_override) @[dec_tlu_ctl.scala 311:64] + node _T_10 = bits(_T_9, 0, 0) @[dec_tlu_ctl.scala 311:80] inst rvclkhdr of rvclkhdr_716 @[lib.scala 343:22] rvclkhdr.clock <= clock rvclkhdr.reset <= reset rvclkhdr.io.clk <= clock @[lib.scala 344:17] rvclkhdr.io.en <= _T_10 @[lib.scala 345:16] rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - node _T_11 = or(io.lsu_error_pkt_r.valid, lsu_exc_valid_r_d1) @[dec_tlu_ctl.scala 311:67] - node _T_12 = or(_T_11, io.dec_tlu_dec_clk_override) @[dec_tlu_ctl.scala 311:88] - node _T_13 = bits(_T_12, 0, 0) @[dec_tlu_ctl.scala 311:104] + node _T_11 = or(io.lsu_error_pkt_r.valid, lsu_exc_valid_r_d1) @[dec_tlu_ctl.scala 312:71] + node _T_12 = or(_T_11, io.dec_tlu_dec_clk_override) @[dec_tlu_ctl.scala 312:92] + node _T_13 = bits(_T_12, 0, 0) @[dec_tlu_ctl.scala 312:108] inst rvclkhdr_1 of rvclkhdr_717 @[lib.scala 343:22] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset rvclkhdr_1.io.clk <= clock @[lib.scala 344:17] rvclkhdr_1.io.en <= _T_13 @[lib.scala 345:16] rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - node e4e5_valid = or(io.dec_tlu_i0_valid_r, e5_valid) @[dec_tlu_ctl.scala 314:30] - node _T_14 = or(debug_mode_status, i_cpu_run_req_d1) @[dec_tlu_ctl.scala 315:50] - node _T_15 = or(_T_14, interrupt_valid_r) @[dec_tlu_ctl.scala 315:69] - node _T_16 = or(_T_15, interrupt_valid_r_d1) @[dec_tlu_ctl.scala 315:89] - node _T_17 = or(_T_16, reset_delayed) @[dec_tlu_ctl.scala 315:112] - node _T_18 = or(_T_17, pause_expired_r) @[dec_tlu_ctl.scala 315:128] - node _T_19 = or(_T_18, pause_expired_wb) @[dec_tlu_ctl.scala 315:146] - node _T_20 = or(_T_19, ic_perr_r) @[dec_tlu_ctl.scala 315:165] - node _T_21 = or(_T_20, ic_perr_r_d1) @[dec_tlu_ctl.scala 315:177] - node _T_22 = or(_T_21, iccm_sbecc_r) @[dec_tlu_ctl.scala 315:192] - node _T_23 = or(_T_22, iccm_sbecc_r_d1) @[dec_tlu_ctl.scala 315:207] - node flush_clkvalid = or(_T_23, io.dec_tlu_dec_clk_override) @[dec_tlu_ctl.scala 315:225] - node _T_24 = or(e4e5_valid, io.dec_tlu_dec_clk_override) @[dec_tlu_ctl.scala 317:49] - node _T_25 = bits(_T_24, 0, 0) @[dec_tlu_ctl.scala 317:65] + node e4e5_valid = or(io.dec_tlu_i0_valid_r, e5_valid) @[dec_tlu_ctl.scala 315:39] + node _T_14 = or(debug_mode_status, i_cpu_run_req_d1) @[dec_tlu_ctl.scala 316:55] + node _T_15 = or(_T_14, interrupt_valid_r) @[dec_tlu_ctl.scala 316:74] + node _T_16 = or(_T_15, interrupt_valid_r_d1) @[dec_tlu_ctl.scala 316:94] + node _T_17 = or(_T_16, reset_delayed) @[dec_tlu_ctl.scala 316:117] + node _T_18 = or(_T_17, pause_expired_r) @[dec_tlu_ctl.scala 316:133] + node _T_19 = or(_T_18, pause_expired_wb) @[dec_tlu_ctl.scala 316:151] + node _T_20 = or(_T_19, ic_perr_r) @[dec_tlu_ctl.scala 316:170] + node _T_21 = or(_T_20, ic_perr_r_d1) @[dec_tlu_ctl.scala 316:182] + node _T_22 = or(_T_21, iccm_sbecc_r) @[dec_tlu_ctl.scala 316:197] + node _T_23 = or(_T_22, iccm_sbecc_r_d1) @[dec_tlu_ctl.scala 316:212] + node flush_clkvalid = or(_T_23, io.dec_tlu_dec_clk_override) @[dec_tlu_ctl.scala 316:230] + node _T_24 = or(e4e5_valid, io.dec_tlu_dec_clk_override) @[dec_tlu_ctl.scala 318:55] + node _T_25 = bits(_T_24, 0, 0) @[dec_tlu_ctl.scala 318:71] inst rvclkhdr_2 of rvclkhdr_718 @[lib.scala 343:22] rvclkhdr_2.clock <= clock rvclkhdr_2.reset <= reset rvclkhdr_2.io.clk <= clock @[lib.scala 344:17] rvclkhdr_2.io.en <= _T_25 @[lib.scala 345:16] rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - node _T_26 = or(e4e5_valid, flush_clkvalid) @[dec_tlu_ctl.scala 318:53] - node _T_27 = bits(_T_26, 0, 0) @[dec_tlu_ctl.scala 318:71] + node _T_26 = or(e4e5_valid, flush_clkvalid) @[dec_tlu_ctl.scala 319:55] + node _T_27 = bits(_T_26, 0, 0) @[dec_tlu_ctl.scala 319:73] inst rvclkhdr_3 of rvclkhdr_719 @[lib.scala 343:22] rvclkhdr_3.clock <= clock rvclkhdr_3.reset <= reset rvclkhdr_3.io.clk <= clock @[lib.scala 344:17] rvclkhdr_3.io.en <= _T_27 @[lib.scala 345:16] rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - reg iccm_repair_state_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 320:80] - iccm_repair_state_d1 <= iccm_repair_state_ns @[dec_tlu_ctl.scala 320:80] - reg _T_28 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 321:89] - _T_28 <= ic_perr_r @[dec_tlu_ctl.scala 321:89] - ic_perr_r_d1 <= _T_28 @[dec_tlu_ctl.scala 321:57] - reg _T_29 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 322:89] - _T_29 <= iccm_sbecc_r @[dec_tlu_ctl.scala 322:89] - iccm_sbecc_r_d1 <= _T_29 @[dec_tlu_ctl.scala 322:57] - reg _T_30 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 323:97] - _T_30 <= io.dec_tlu_i0_valid_r @[dec_tlu_ctl.scala 323:97] - e5_valid <= _T_30 @[dec_tlu_ctl.scala 323:65] - reg _T_31 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 324:81] - _T_31 <= internal_dbg_halt_mode @[dec_tlu_ctl.scala 324:81] - debug_mode_status <= _T_31 @[dec_tlu_ctl.scala 324:49] - reg lsu_pmu_load_external_r : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 325:80] - lsu_pmu_load_external_r <= io.lsu_tlu.lsu_pmu_load_external_m @[dec_tlu_ctl.scala 325:80] - reg lsu_pmu_store_external_r : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 326:72] - lsu_pmu_store_external_r <= io.lsu_tlu.lsu_pmu_store_external_m @[dec_tlu_ctl.scala 326:72] - reg tlu_flush_lower_r_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 327:80] - tlu_flush_lower_r_d1 <= tlu_flush_lower_r @[dec_tlu_ctl.scala 327:80] - reg _T_32 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 328:73] - _T_32 <= tlu_i0_kill_writeb_r @[dec_tlu_ctl.scala 328:73] - io.dec_tlu_i0_kill_writeb_wb <= _T_32 @[dec_tlu_ctl.scala 328:41] - reg internal_dbg_halt_mode_f2 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 329:72] - internal_dbg_halt_mode_f2 <= debug_mode_status @[dec_tlu_ctl.scala 329:72] - reg _T_33 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 330:89] - _T_33 <= force_halt @[dec_tlu_ctl.scala 330:89] - io.tlu_mem.dec_tlu_force_halt <= _T_33 @[dec_tlu_ctl.scala 330:57] - io.dec_tlu_i0_kill_writeb_r <= tlu_i0_kill_writeb_r @[dec_tlu_ctl.scala 334:41] - reg reset_detect : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 335:88] - reset_detect <= UInt<1>("h01") @[dec_tlu_ctl.scala 335:88] - reg reset_detected : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 336:88] - reset_detected <= reset_detect @[dec_tlu_ctl.scala 336:88] - node _T_34 = xor(reset_detect, reset_detected) @[dec_tlu_ctl.scala 337:64] - reset_delayed <= _T_34 @[dec_tlu_ctl.scala 337:49] - reg nmi_int_delayed : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 339:72] - nmi_int_delayed <= nmi_int_sync @[dec_tlu_ctl.scala 339:72] - reg nmi_int_detected_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 340:72] - nmi_int_detected_f <= nmi_int_detected @[dec_tlu_ctl.scala 340:72] - reg nmi_lsu_load_type_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 341:72] - nmi_lsu_load_type_f <= nmi_lsu_load_type @[dec_tlu_ctl.scala 341:72] - reg nmi_lsu_store_type_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 342:72] - nmi_lsu_store_type_f <= nmi_lsu_store_type @[dec_tlu_ctl.scala 342:72] - node _T_35 = not(mdseac_locked_f) @[dec_tlu_ctl.scala 346:32] - node _T_36 = or(io.tlu_busbuff.lsu_imprecise_error_load_any, io.tlu_busbuff.lsu_imprecise_error_store_any) @[dec_tlu_ctl.scala 346:96] - node nmi_lsu_detected = and(_T_35, _T_36) @[dec_tlu_ctl.scala 346:49] - node _T_37 = not(nmi_int_delayed) @[dec_tlu_ctl.scala 348:45] - node _T_38 = and(nmi_int_sync, _T_37) @[dec_tlu_ctl.scala 348:43] - node _T_39 = or(_T_38, nmi_lsu_detected) @[dec_tlu_ctl.scala 348:63] - node _T_40 = not(take_nmi_r_d1) @[dec_tlu_ctl.scala 348:106] - node _T_41 = and(nmi_int_detected_f, _T_40) @[dec_tlu_ctl.scala 348:104] - node _T_42 = or(_T_39, _T_41) @[dec_tlu_ctl.scala 348:82] - node _T_43 = orr(io.lsu_fir_error) @[dec_tlu_ctl.scala 348:165] - node _T_44 = and(take_ext_int_start_d3, _T_43) @[dec_tlu_ctl.scala 348:146] - node _T_45 = or(_T_42, _T_44) @[dec_tlu_ctl.scala 348:122] - nmi_int_detected <= _T_45 @[dec_tlu_ctl.scala 348:26] - node _T_46 = and(nmi_lsu_detected, io.tlu_busbuff.lsu_imprecise_error_load_any) @[dec_tlu_ctl.scala 350:48] - node _T_47 = not(take_nmi_r_d1) @[dec_tlu_ctl.scala 350:119] - node _T_48 = and(nmi_int_detected_f, _T_47) @[dec_tlu_ctl.scala 350:117] - node _T_49 = not(_T_48) @[dec_tlu_ctl.scala 350:96] - node _T_50 = and(_T_46, _T_49) @[dec_tlu_ctl.scala 350:94] - node _T_51 = not(take_nmi_r_d1) @[dec_tlu_ctl.scala 350:161] - node _T_52 = and(nmi_lsu_load_type_f, _T_51) @[dec_tlu_ctl.scala 350:159] - node _T_53 = or(_T_50, _T_52) @[dec_tlu_ctl.scala 350:136] - nmi_lsu_load_type <= _T_53 @[dec_tlu_ctl.scala 350:27] - node _T_54 = and(nmi_lsu_detected, io.tlu_busbuff.lsu_imprecise_error_store_any) @[dec_tlu_ctl.scala 351:49] - node _T_55 = not(take_nmi_r_d1) @[dec_tlu_ctl.scala 351:121] - node _T_56 = and(nmi_int_detected_f, _T_55) @[dec_tlu_ctl.scala 351:119] - node _T_57 = not(_T_56) @[dec_tlu_ctl.scala 351:98] - node _T_58 = and(_T_54, _T_57) @[dec_tlu_ctl.scala 351:96] - node _T_59 = not(take_nmi_r_d1) @[dec_tlu_ctl.scala 351:164] - node _T_60 = and(nmi_lsu_store_type_f, _T_59) @[dec_tlu_ctl.scala 351:162] - node _T_61 = or(_T_58, _T_60) @[dec_tlu_ctl.scala 351:138] - nmi_lsu_store_type <= _T_61 @[dec_tlu_ctl.scala 351:28] - node _T_62 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 358:69] - node mpc_debug_halt_req_sync = and(mpc_debug_halt_req_sync_raw, _T_62) @[dec_tlu_ctl.scala 358:67] - reg mpc_debug_halt_req_sync_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 359:72] - mpc_debug_halt_req_sync_f <= mpc_debug_halt_req_sync @[dec_tlu_ctl.scala 359:72] - reg mpc_debug_run_req_sync_f : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 360:72] - mpc_debug_run_req_sync_f <= mpc_debug_run_req_sync @[dec_tlu_ctl.scala 360:72] - reg _T_63 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 361:89] - _T_63 <= mpc_halt_state_ns @[dec_tlu_ctl.scala 361:89] - mpc_halt_state_f <= _T_63 @[dec_tlu_ctl.scala 361:57] - reg mpc_run_state_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 362:88] - mpc_run_state_f <= mpc_run_state_ns @[dec_tlu_ctl.scala 362:88] - reg debug_brkpt_status_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 363:80] - debug_brkpt_status_f <= debug_brkpt_status_ns @[dec_tlu_ctl.scala 363:80] - reg mpc_debug_halt_ack_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 364:80] - mpc_debug_halt_ack_f <= mpc_debug_halt_ack_ns @[dec_tlu_ctl.scala 364:80] - reg mpc_debug_run_ack_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 365:80] - mpc_debug_run_ack_f <= mpc_debug_run_ack_ns @[dec_tlu_ctl.scala 365:80] - reg _T_64 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 366:89] - _T_64 <= dbg_halt_state_ns @[dec_tlu_ctl.scala 366:89] - dbg_halt_state_f <= _T_64 @[dec_tlu_ctl.scala 366:57] - reg dbg_run_state_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 367:88] - dbg_run_state_f <= dbg_run_state_ns @[dec_tlu_ctl.scala 367:88] - reg _T_65 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 368:81] - _T_65 <= dec_tlu_mpc_halted_only_ns @[dec_tlu_ctl.scala 368:81] - io.dec_tlu_mpc_halted_only <= _T_65 @[dec_tlu_ctl.scala 368:49] - node _T_66 = not(mpc_debug_halt_req_sync_f) @[dec_tlu_ctl.scala 372:71] - node mpc_debug_halt_req_sync_pulse = and(mpc_debug_halt_req_sync, _T_66) @[dec_tlu_ctl.scala 372:69] - node _T_67 = not(mpc_debug_run_req_sync_f) @[dec_tlu_ctl.scala 373:70] - node mpc_debug_run_req_sync_pulse = and(mpc_debug_run_req_sync, _T_67) @[dec_tlu_ctl.scala 373:68] - node _T_68 = or(mpc_halt_state_f, mpc_debug_halt_req_sync_pulse) @[dec_tlu_ctl.scala 375:48] - node _T_69 = not(io.mpc_reset_run_req) @[dec_tlu_ctl.scala 375:99] - node _T_70 = and(reset_delayed, _T_69) @[dec_tlu_ctl.scala 375:97] - node _T_71 = or(_T_68, _T_70) @[dec_tlu_ctl.scala 375:80] - node _T_72 = not(mpc_debug_run_req_sync) @[dec_tlu_ctl.scala 375:125] - node _T_73 = and(_T_71, _T_72) @[dec_tlu_ctl.scala 375:123] - mpc_halt_state_ns <= _T_73 @[dec_tlu_ctl.scala 375:27] - node _T_74 = not(mpc_debug_run_ack_f) @[dec_tlu_ctl.scala 376:80] - node _T_75 = and(mpc_debug_run_req_sync_pulse, _T_74) @[dec_tlu_ctl.scala 376:78] - node _T_76 = or(mpc_run_state_f, _T_75) @[dec_tlu_ctl.scala 376:46] - node _T_77 = not(dcsr_single_step_running_f) @[dec_tlu_ctl.scala 376:133] - node _T_78 = and(debug_mode_status, _T_77) @[dec_tlu_ctl.scala 376:131] - node _T_79 = and(_T_76, _T_78) @[dec_tlu_ctl.scala 376:103] - mpc_run_state_ns <= _T_79 @[dec_tlu_ctl.scala 376:26] - node _T_80 = or(dbg_halt_req_final, dcsr_single_step_done_f) @[dec_tlu_ctl.scala 378:70] - node _T_81 = or(_T_80, trigger_hit_dmode_r_d1) @[dec_tlu_ctl.scala 378:96] - node _T_82 = or(_T_81, ebreak_to_debug_mode_r_d1) @[dec_tlu_ctl.scala 378:121] - node _T_83 = or(dbg_halt_state_f, _T_82) @[dec_tlu_ctl.scala 378:48] - node _T_84 = not(io.dbg_resume_req) @[dec_tlu_ctl.scala 378:153] - node _T_85 = and(_T_83, _T_84) @[dec_tlu_ctl.scala 378:151] - dbg_halt_state_ns <= _T_85 @[dec_tlu_ctl.scala 378:27] - node _T_86 = or(dbg_run_state_f, io.dbg_resume_req) @[dec_tlu_ctl.scala 379:46] - node _T_87 = not(dcsr_single_step_running_f) @[dec_tlu_ctl.scala 379:97] - node _T_88 = and(debug_mode_status, _T_87) @[dec_tlu_ctl.scala 379:95] - node _T_89 = and(_T_86, _T_88) @[dec_tlu_ctl.scala 379:67] - dbg_run_state_ns <= _T_89 @[dec_tlu_ctl.scala 379:26] - node _T_90 = not(dbg_halt_state_f) @[dec_tlu_ctl.scala 382:39] - node _T_91 = and(_T_90, mpc_halt_state_f) @[dec_tlu_ctl.scala 382:57] - dec_tlu_mpc_halted_only_ns <= _T_91 @[dec_tlu_ctl.scala 382:36] - node debug_brkpt_valid = or(ebreak_to_debug_mode_r_d1, trigger_hit_dmode_r_d1) @[dec_tlu_ctl.scala 385:59] - node _T_92 = or(debug_brkpt_valid, debug_brkpt_status_f) @[dec_tlu_ctl.scala 386:53] - node _T_93 = not(dcsr_single_step_running_f) @[dec_tlu_ctl.scala 386:105] - node _T_94 = and(internal_dbg_halt_mode, _T_93) @[dec_tlu_ctl.scala 386:103] - node _T_95 = and(_T_92, _T_94) @[dec_tlu_ctl.scala 386:77] - debug_brkpt_status_ns <= _T_95 @[dec_tlu_ctl.scala 386:31] - node _T_96 = and(mpc_halt_state_f, debug_mode_status) @[dec_tlu_ctl.scala 389:51] - node _T_97 = and(_T_96, mpc_debug_halt_req_sync) @[dec_tlu_ctl.scala 389:78] - node _T_98 = and(_T_97, core_empty) @[dec_tlu_ctl.scala 389:104] - mpc_debug_halt_ack_ns <= _T_98 @[dec_tlu_ctl.scala 389:31] - node _T_99 = not(dbg_halt_state_ns) @[dec_tlu_ctl.scala 390:59] - node _T_100 = and(mpc_debug_run_req_sync, _T_99) @[dec_tlu_ctl.scala 390:57] - node _T_101 = not(mpc_debug_halt_req_sync) @[dec_tlu_ctl.scala 390:80] - node _T_102 = and(_T_100, _T_101) @[dec_tlu_ctl.scala 390:78] - node _T_103 = and(mpc_debug_run_ack_f, mpc_debug_run_req_sync) @[dec_tlu_ctl.scala 390:129] - node _T_104 = or(_T_102, _T_103) @[dec_tlu_ctl.scala 390:106] - mpc_debug_run_ack_ns <= _T_104 @[dec_tlu_ctl.scala 390:30] - io.mpc_debug_halt_ack <= mpc_debug_halt_ack_f @[dec_tlu_ctl.scala 393:31] - io.mpc_debug_run_ack <= mpc_debug_run_ack_f @[dec_tlu_ctl.scala 394:31] - io.debug_brkpt_status <= debug_brkpt_status_f @[dec_tlu_ctl.scala 395:31] - node _T_105 = or(io.dbg_halt_req, dbg_halt_req_held) @[dec_tlu_ctl.scala 398:53] - node dbg_halt_req_held_ns = and(_T_105, ext_int_freeze_d1) @[dec_tlu_ctl.scala 398:74] - node _T_106 = or(io.dbg_halt_req, dbg_halt_req_held) @[dec_tlu_ctl.scala 399:48] - node _T_107 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 399:71] - node _T_108 = and(_T_106, _T_107) @[dec_tlu_ctl.scala 399:69] - dbg_halt_req_final <= _T_108 @[dec_tlu_ctl.scala 399:28] - node _T_109 = or(dbg_halt_req_final, mpc_debug_halt_req_sync) @[dec_tlu_ctl.scala 402:50] - node _T_110 = not(io.mpc_reset_run_req) @[dec_tlu_ctl.scala 402:95] - node _T_111 = and(reset_delayed, _T_110) @[dec_tlu_ctl.scala 402:93] - node _T_112 = or(_T_109, _T_111) @[dec_tlu_ctl.scala 402:76] - node _T_113 = not(debug_mode_status) @[dec_tlu_ctl.scala 402:121] - node _T_114 = and(_T_112, _T_113) @[dec_tlu_ctl.scala 402:119] - node _T_115 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 402:149] - node debug_halt_req = and(_T_114, _T_115) @[dec_tlu_ctl.scala 402:147] - node _T_116 = not(debug_resume_req_f) @[dec_tlu_ctl.scala 404:32] - node _T_117 = not(dbg_halt_state_ns) @[dec_tlu_ctl.scala 404:75] - node _T_118 = and(mpc_run_state_ns, _T_117) @[dec_tlu_ctl.scala 404:73] - node _T_119 = not(mpc_halt_state_ns) @[dec_tlu_ctl.scala 404:117] - node _T_120 = and(dbg_run_state_ns, _T_119) @[dec_tlu_ctl.scala 404:115] - node _T_121 = or(_T_118, _T_120) @[dec_tlu_ctl.scala 404:95] - node debug_resume_req = and(_T_116, _T_121) @[dec_tlu_ctl.scala 404:52] - node _T_122 = or(debug_halt_req_f, pmu_fw_halt_req_f) @[dec_tlu_ctl.scala 409:43] - node _T_123 = not(synchronous_flush_r) @[dec_tlu_ctl.scala 409:66] - node _T_124 = and(_T_122, _T_123) @[dec_tlu_ctl.scala 409:64] - node _T_125 = not(mret_r) @[dec_tlu_ctl.scala 409:89] - node _T_126 = and(_T_124, _T_125) @[dec_tlu_ctl.scala 409:87] - node _T_127 = not(halt_taken_f) @[dec_tlu_ctl.scala 409:99] - node _T_128 = and(_T_126, _T_127) @[dec_tlu_ctl.scala 409:97] - node _T_129 = not(dec_tlu_flush_noredir_r_d1) @[dec_tlu_ctl.scala 409:115] - node _T_130 = and(_T_128, _T_129) @[dec_tlu_ctl.scala 409:113] - node _T_131 = not(take_reset) @[dec_tlu_ctl.scala 409:145] - node take_halt = and(_T_130, _T_131) @[dec_tlu_ctl.scala 409:143] - node _T_132 = not(dec_tlu_flush_pause_r_d1) @[dec_tlu_ctl.scala 412:56] - node _T_133 = and(dec_tlu_flush_noredir_r_d1, _T_132) @[dec_tlu_ctl.scala 412:54] - node _T_134 = not(take_ext_int_start_d1) @[dec_tlu_ctl.scala 412:84] - node _T_135 = and(_T_133, _T_134) @[dec_tlu_ctl.scala 412:82] - node _T_136 = not(dbg_tlu_halted_f) @[dec_tlu_ctl.scala 412:126] - node _T_137 = and(halt_taken_f, _T_136) @[dec_tlu_ctl.scala 412:124] - node _T_138 = not(pmu_fw_tlu_halted_f) @[dec_tlu_ctl.scala 412:146] - node _T_139 = and(_T_137, _T_138) @[dec_tlu_ctl.scala 412:144] - node _T_140 = not(interrupt_valid_r_d1) @[dec_tlu_ctl.scala 412:169] - node _T_141 = and(_T_139, _T_140) @[dec_tlu_ctl.scala 412:167] - node halt_taken = or(_T_135, _T_141) @[dec_tlu_ctl.scala 412:108] - node _T_142 = and(io.lsu_idle_any, lsu_idle_any_f) @[dec_tlu_ctl.scala 416:53] - node _T_143 = and(_T_142, io.tlu_mem.ifu_miss_state_idle) @[dec_tlu_ctl.scala 416:70] - node _T_144 = and(_T_143, ifu_miss_state_idle_f) @[dec_tlu_ctl.scala 416:103] - node _T_145 = not(debug_halt_req) @[dec_tlu_ctl.scala 416:129] - node _T_146 = and(_T_144, _T_145) @[dec_tlu_ctl.scala 416:127] - node _T_147 = not(debug_halt_req_d1) @[dec_tlu_ctl.scala 416:147] - node _T_148 = and(_T_146, _T_147) @[dec_tlu_ctl.scala 416:145] - node _T_149 = not(io.dec_div_active) @[dec_tlu_ctl.scala 416:168] - node _T_150 = and(_T_148, _T_149) @[dec_tlu_ctl.scala 416:166] - node _T_151 = or(force_halt, _T_150) @[dec_tlu_ctl.scala 416:34] - core_empty <= _T_151 @[dec_tlu_ctl.scala 416:20] - node _T_152 = not(debug_mode_status) @[dec_tlu_ctl.scala 422:37] - node _T_153 = and(_T_152, debug_halt_req) @[dec_tlu_ctl.scala 422:63] - node _T_154 = or(_T_153, dcsr_single_step_done_f) @[dec_tlu_ctl.scala 422:81] - node _T_155 = or(_T_154, trigger_hit_dmode_r_d1) @[dec_tlu_ctl.scala 422:107] - node enter_debug_halt_req = or(_T_155, ebreak_to_debug_mode_r_d1) @[dec_tlu_ctl.scala 422:132] - node _T_156 = bits(dcsr, 2, 2) @[dec_tlu_ctl.scala 425:111] - node _T_157 = not(_T_156) @[dec_tlu_ctl.scala 425:106] - node _T_158 = and(debug_resume_req_f, _T_157) @[dec_tlu_ctl.scala 425:104] - node _T_159 = not(_T_158) @[dec_tlu_ctl.scala 425:83] - node _T_160 = and(debug_mode_status, _T_159) @[dec_tlu_ctl.scala 425:81] - node _T_161 = or(debug_halt_req_ns, _T_160) @[dec_tlu_ctl.scala 425:53] - internal_dbg_halt_mode <= _T_161 @[dec_tlu_ctl.scala 425:32] - node _T_162 = not(dcsr_single_step_running_f) @[dec_tlu_ctl.scala 427:67] - node allow_dbg_halt_csr_write = and(debug_mode_status, _T_162) @[dec_tlu_ctl.scala 427:65] - node _T_163 = and(debug_halt_req_f, core_empty) @[dec_tlu_ctl.scala 432:48] - node _T_164 = and(_T_163, halt_taken) @[dec_tlu_ctl.scala 432:61] - node _T_165 = not(debug_resume_req_f) @[dec_tlu_ctl.scala 432:97] - node _T_166 = and(dbg_tlu_halted_f, _T_165) @[dec_tlu_ctl.scala 432:95] - node dbg_tlu_halted = or(_T_164, _T_166) @[dec_tlu_ctl.scala 432:75] - node _T_167 = not(dbg_tlu_halted) @[dec_tlu_ctl.scala 433:73] - node _T_168 = and(debug_halt_req_f, _T_167) @[dec_tlu_ctl.scala 433:71] - node _T_169 = or(enter_debug_halt_req, _T_168) @[dec_tlu_ctl.scala 433:51] - debug_halt_req_ns <= _T_169 @[dec_tlu_ctl.scala 433:27] - node _T_170 = and(debug_resume_req_f, dbg_tlu_halted_f) @[dec_tlu_ctl.scala 434:49] - node resume_ack_ns = and(_T_170, dbg_run_state_ns) @[dec_tlu_ctl.scala 434:68] - node _T_171 = not(io.dec_tlu_dbg_halted) @[dec_tlu_ctl.scala 436:61] - node _T_172 = and(io.dec_tlu_i0_valid_r, _T_171) @[dec_tlu_ctl.scala 436:59] - node _T_173 = bits(dcsr, 2, 2) @[dec_tlu_ctl.scala 436:90] - node _T_174 = and(_T_172, _T_173) @[dec_tlu_ctl.scala 436:84] - node _T_175 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 436:104] - node dcsr_single_step_done = and(_T_174, _T_175) @[dec_tlu_ctl.scala 436:102] - node _T_176 = bits(dcsr, 2, 2) @[dec_tlu_ctl.scala 438:66] - node _T_177 = and(debug_resume_req_f, _T_176) @[dec_tlu_ctl.scala 438:60] - node _T_178 = not(dcsr_single_step_done_f) @[dec_tlu_ctl.scala 438:111] - node _T_179 = and(dcsr_single_step_running_f, _T_178) @[dec_tlu_ctl.scala 438:109] - node dcsr_single_step_running = or(_T_177, _T_179) @[dec_tlu_ctl.scala 438:79] - node dbg_cmd_done_ns = and(io.dec_tlu_i0_valid_r, io.dec_tlu_dbg_halted) @[dec_tlu_ctl.scala 440:53] - node _T_180 = or(trigger_hit_dmode_r, ebreak_to_debug_mode_r) @[dec_tlu_ctl.scala 443:57] - node _T_181 = not(io.tlu_bp.dec_tlu_flush_lower_wb) @[dec_tlu_ctl.scala 443:112] - node _T_182 = and(request_debug_mode_r_d1, _T_181) @[dec_tlu_ctl.scala 443:110] - node request_debug_mode_r = or(_T_180, _T_182) @[dec_tlu_ctl.scala 443:83] - node _T_183 = or(request_debug_mode_r_d1, request_debug_mode_done_f) @[dec_tlu_ctl.scala 445:64] - node _T_184 = not(dbg_tlu_halted_f) @[dec_tlu_ctl.scala 445:95] - node request_debug_mode_done = and(_T_183, _T_184) @[dec_tlu_ctl.scala 445:93] - reg _T_185 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 448:81] - _T_185 <= io.tlu_ifc.dec_tlu_flush_noredir_wb @[dec_tlu_ctl.scala 448:81] - dec_tlu_flush_noredir_r_d1 <= _T_185 @[dec_tlu_ctl.scala 448:49] - reg _T_186 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 449:89] - _T_186 <= halt_taken @[dec_tlu_ctl.scala 449:89] - halt_taken_f <= _T_186 @[dec_tlu_ctl.scala 449:57] - reg _T_187 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 450:89] - _T_187 <= io.lsu_idle_any @[dec_tlu_ctl.scala 450:89] - lsu_idle_any_f <= _T_187 @[dec_tlu_ctl.scala 450:57] - reg _T_188 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 451:81] - _T_188 <= io.tlu_mem.ifu_miss_state_idle @[dec_tlu_ctl.scala 451:81] - ifu_miss_state_idle_f <= _T_188 @[dec_tlu_ctl.scala 451:49] - reg _T_189 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 452:89] - _T_189 <= dbg_tlu_halted @[dec_tlu_ctl.scala 452:89] - dbg_tlu_halted_f <= _T_189 @[dec_tlu_ctl.scala 452:57] - reg _T_190 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 453:81] - _T_190 <= resume_ack_ns @[dec_tlu_ctl.scala 453:81] - io.dec_tlu_resume_ack <= _T_190 @[dec_tlu_ctl.scala 453:49] - reg _T_191 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 454:89] - _T_191 <= debug_halt_req_ns @[dec_tlu_ctl.scala 454:89] - debug_halt_req_f <= _T_191 @[dec_tlu_ctl.scala 454:57] - reg _T_192 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 455:89] - _T_192 <= debug_resume_req @[dec_tlu_ctl.scala 455:89] - debug_resume_req_f <= _T_192 @[dec_tlu_ctl.scala 455:57] - reg _T_193 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 456:81] - _T_193 <= trigger_hit_dmode_r @[dec_tlu_ctl.scala 456:81] - trigger_hit_dmode_r_d1 <= _T_193 @[dec_tlu_ctl.scala 456:49] - reg _T_194 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 457:81] - _T_194 <= dcsr_single_step_done @[dec_tlu_ctl.scala 457:81] - dcsr_single_step_done_f <= _T_194 @[dec_tlu_ctl.scala 457:49] - reg _T_195 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 458:89] - _T_195 <= debug_halt_req @[dec_tlu_ctl.scala 458:89] - debug_halt_req_d1 <= _T_195 @[dec_tlu_ctl.scala 458:57] - reg dec_tlu_wr_pause_r_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 459:81] - dec_tlu_wr_pause_r_d1 <= io.dec_tlu_wr_pause_r @[dec_tlu_ctl.scala 459:81] - reg dec_pause_state_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 460:81] - dec_pause_state_f <= io.dec_pause_state @[dec_tlu_ctl.scala 460:81] - reg _T_196 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 461:81] - _T_196 <= request_debug_mode_r @[dec_tlu_ctl.scala 461:81] - request_debug_mode_r_d1 <= _T_196 @[dec_tlu_ctl.scala 461:49] - reg _T_197 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 462:73] - _T_197 <= request_debug_mode_done @[dec_tlu_ctl.scala 462:73] - request_debug_mode_done_f <= _T_197 @[dec_tlu_ctl.scala 462:41] - reg _T_198 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 463:73] - _T_198 <= dcsr_single_step_running @[dec_tlu_ctl.scala 463:73] - dcsr_single_step_running_f <= _T_198 @[dec_tlu_ctl.scala 463:41] - reg _T_199 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 464:73] - _T_199 <= io.dec_tlu_flush_pause_r @[dec_tlu_ctl.scala 464:73] - dec_tlu_flush_pause_r_d1 <= _T_199 @[dec_tlu_ctl.scala 464:41] - reg _T_200 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 465:81] - _T_200 <= dbg_halt_req_held_ns @[dec_tlu_ctl.scala 465:81] - dbg_halt_req_held <= _T_200 @[dec_tlu_ctl.scala 465:49] - io.dec_tlu_debug_stall <= debug_halt_req_f @[dec_tlu_ctl.scala 468:41] - io.dec_tlu_dbg_halted <= dbg_tlu_halted_f @[dec_tlu_ctl.scala 469:41] - io.dec_tlu_debug_mode <= debug_mode_status @[dec_tlu_ctl.scala 470:41] - dec_tlu_pmu_fw_halted <= pmu_fw_tlu_halted_f @[dec_tlu_ctl.scala 471:41] - node _T_201 = and(fence_i_r, internal_dbg_halt_mode) @[dec_tlu_ctl.scala 474:71] - node _T_202 = or(take_halt, _T_201) @[dec_tlu_ctl.scala 474:58] - node _T_203 = or(_T_202, io.dec_tlu_flush_pause_r) @[dec_tlu_ctl.scala 474:97] - node _T_204 = and(i0_trigger_hit_r, trigger_hit_dmode_r) @[dec_tlu_ctl.scala 474:144] - node _T_205 = or(_T_203, _T_204) @[dec_tlu_ctl.scala 474:124] - node _T_206 = or(_T_205, take_ext_int_start) @[dec_tlu_ctl.scala 474:167] - io.tlu_ifc.dec_tlu_flush_noredir_wb <= _T_206 @[dec_tlu_ctl.scala 474:45] - io.dec_tlu_flush_extint <= take_ext_int_start @[dec_tlu_ctl.scala 476:33] - node _T_207 = not(interrupt_valid_r) @[dec_tlu_ctl.scala 479:61] - node _T_208 = and(dec_tlu_wr_pause_r_d1, _T_207) @[dec_tlu_ctl.scala 479:59] - node _T_209 = not(take_ext_int_start) @[dec_tlu_ctl.scala 479:82] - node _T_210 = and(_T_208, _T_209) @[dec_tlu_ctl.scala 479:80] - io.dec_tlu_flush_pause_r <= _T_210 @[dec_tlu_ctl.scala 479:34] - node _T_211 = not(io.dec_pause_state) @[dec_tlu_ctl.scala 481:28] - node _T_212 = and(_T_211, dec_pause_state_f) @[dec_tlu_ctl.scala 481:48] - node _T_213 = or(ext_int_ready, ce_int_ready) @[dec_tlu_ctl.scala 481:86] - node _T_214 = or(_T_213, timer_int_ready) @[dec_tlu_ctl.scala 481:101] - node _T_215 = or(_T_214, soft_int_ready) @[dec_tlu_ctl.scala 481:119] - node _T_216 = or(_T_215, int_timer0_int_hold_f) @[dec_tlu_ctl.scala 481:136] - node _T_217 = or(_T_216, int_timer1_int_hold_f) @[dec_tlu_ctl.scala 481:160] - node _T_218 = or(_T_217, nmi_int_detected) @[dec_tlu_ctl.scala 481:184] - node _T_219 = or(_T_218, ext_int_freeze_d1) @[dec_tlu_ctl.scala 481:203] - node _T_220 = not(_T_219) @[dec_tlu_ctl.scala 481:70] - node _T_221 = and(_T_212, _T_220) @[dec_tlu_ctl.scala 481:68] - node _T_222 = not(interrupt_valid_r_d1) @[dec_tlu_ctl.scala 481:226] - node _T_223 = and(_T_221, _T_222) @[dec_tlu_ctl.scala 481:224] - node _T_224 = not(debug_halt_req_f) @[dec_tlu_ctl.scala 481:250] - node _T_225 = and(_T_223, _T_224) @[dec_tlu_ctl.scala 481:248] - node _T_226 = not(pmu_fw_halt_req_f) @[dec_tlu_ctl.scala 481:270] - node _T_227 = and(_T_225, _T_226) @[dec_tlu_ctl.scala 481:268] - node _T_228 = not(halt_taken_f) @[dec_tlu_ctl.scala 481:291] - node _T_229 = and(_T_227, _T_228) @[dec_tlu_ctl.scala 481:289] - pause_expired_r <= _T_229 @[dec_tlu_ctl.scala 481:25] - node _T_230 = bits(dcsr, 2, 2) @[dec_tlu_ctl.scala 483:88] - node _T_231 = and(io.tlu_exu.dec_tlu_flush_lower_r, _T_230) @[dec_tlu_ctl.scala 483:82] - node _T_232 = or(io.dec_tlu_resume_ack, dcsr_single_step_running) @[dec_tlu_ctl.scala 483:125] - node _T_233 = and(_T_231, _T_232) @[dec_tlu_ctl.scala 483:100] - node _T_234 = not(io.tlu_ifc.dec_tlu_flush_noredir_wb) @[dec_tlu_ctl.scala 483:155] - node _T_235 = and(_T_233, _T_234) @[dec_tlu_ctl.scala 483:153] - io.tlu_bp.dec_tlu_flush_leak_one_wb <= _T_235 @[dec_tlu_ctl.scala 483:45] - node _T_236 = or(ic_perr_r_d1, iccm_sbecc_r_d1) @[dec_tlu_ctl.scala 484:93] - node _T_237 = and(io.tlu_exu.dec_tlu_flush_lower_r, _T_236) @[dec_tlu_ctl.scala 484:77] - io.tlu_mem.dec_tlu_flush_err_wb <= _T_237 @[dec_tlu_ctl.scala 484:41] - io.dec_dbg_cmd_done <= dbg_cmd_done_ns @[dec_tlu_ctl.scala 487:29] - node _T_238 = and(illegal_r, io.dec_dbg_cmd_done) @[dec_tlu_ctl.scala 488:42] - io.dec_dbg_cmd_fail <= _T_238 @[dec_tlu_ctl.scala 488:29] - node _T_239 = bits(mtdata1_t[3], 2, 2) @[dec_tlu_ctl.scala 501:48] - node _T_240 = bits(mtdata1_t[2], 2, 2) @[dec_tlu_ctl.scala 501:75] - node _T_241 = bits(mtdata1_t[1], 2, 2) @[dec_tlu_ctl.scala 501:102] - node _T_242 = bits(mtdata1_t[0], 2, 2) @[dec_tlu_ctl.scala 501:129] + reg iccm_repair_state_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 321:90] + iccm_repair_state_d1 <= iccm_repair_state_ns @[dec_tlu_ctl.scala 321:90] + reg _T_28 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 322:122] + _T_28 <= ic_perr_r @[dec_tlu_ctl.scala 322:122] + ic_perr_r_d1 <= _T_28 @[dec_tlu_ctl.scala 322:89] + reg _T_29 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 323:114] + _T_29 <= iccm_sbecc_r @[dec_tlu_ctl.scala 323:114] + iccm_sbecc_r_d1 <= _T_29 @[dec_tlu_ctl.scala 323:81] + reg _T_30 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 324:138] + _T_30 <= io.dec_tlu_i0_valid_r @[dec_tlu_ctl.scala 324:138] + e5_valid <= _T_30 @[dec_tlu_ctl.scala 324:105] + reg _T_31 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 325:90] + _T_31 <= internal_dbg_halt_mode @[dec_tlu_ctl.scala 325:90] + debug_mode_status <= _T_31 @[dec_tlu_ctl.scala 325:57] + reg lsu_pmu_load_external_r : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 326:82] + lsu_pmu_load_external_r <= io.lsu_tlu.lsu_pmu_load_external_m @[dec_tlu_ctl.scala 326:82] + reg lsu_pmu_store_external_r : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 327:74] + lsu_pmu_store_external_r <= io.lsu_tlu.lsu_pmu_store_external_m @[dec_tlu_ctl.scala 327:74] + reg tlu_flush_lower_r_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 328:90] + tlu_flush_lower_r_d1 <= tlu_flush_lower_r @[dec_tlu_ctl.scala 328:90] + reg _T_32 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 329:74] + _T_32 <= tlu_i0_kill_writeb_r @[dec_tlu_ctl.scala 329:74] + io.dec_tlu_i0_kill_writeb_wb <= _T_32 @[dec_tlu_ctl.scala 329:41] + reg internal_dbg_halt_mode_f2 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 330:74] + internal_dbg_halt_mode_f2 <= debug_mode_status @[dec_tlu_ctl.scala 330:74] + reg _T_33 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 331:74] + _T_33 <= force_halt @[dec_tlu_ctl.scala 331:74] + io.tlu_mem.dec_tlu_force_halt <= _T_33 @[dec_tlu_ctl.scala 331:41] + io.dec_tlu_i0_kill_writeb_r <= tlu_i0_kill_writeb_r @[dec_tlu_ctl.scala 333:37] + reg reset_detect : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 334:106] + reset_detect <= UInt<1>("h01") @[dec_tlu_ctl.scala 334:106] + reg reset_detected : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 335:98] + reset_detected <= reset_detect @[dec_tlu_ctl.scala 335:98] + node _T_34 = xor(reset_detect, reset_detected) @[dec_tlu_ctl.scala 336:89] + reset_delayed <= _T_34 @[dec_tlu_ctl.scala 336:73] + reg nmi_int_delayed : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 338:81] + nmi_int_delayed <= nmi_int_sync @[dec_tlu_ctl.scala 338:81] + reg nmi_int_detected_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 339:73] + nmi_int_detected_f <= nmi_int_detected @[dec_tlu_ctl.scala 339:73] + reg nmi_lsu_load_type_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 340:73] + nmi_lsu_load_type_f <= nmi_lsu_load_type @[dec_tlu_ctl.scala 340:73] + reg nmi_lsu_store_type_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 341:73] + nmi_lsu_store_type_f <= nmi_lsu_store_type @[dec_tlu_ctl.scala 341:73] + node _T_35 = not(mdseac_locked_f) @[dec_tlu_ctl.scala 345:32] + node _T_36 = or(io.tlu_busbuff.lsu_imprecise_error_load_any, io.tlu_busbuff.lsu_imprecise_error_store_any) @[dec_tlu_ctl.scala 345:96] + node nmi_lsu_detected = and(_T_35, _T_36) @[dec_tlu_ctl.scala 345:49] + node _T_37 = not(nmi_int_delayed) @[dec_tlu_ctl.scala 347:45] + node _T_38 = and(nmi_int_sync, _T_37) @[dec_tlu_ctl.scala 347:43] + node _T_39 = or(_T_38, nmi_lsu_detected) @[dec_tlu_ctl.scala 347:63] + node _T_40 = not(take_nmi_r_d1) @[dec_tlu_ctl.scala 347:106] + node _T_41 = and(nmi_int_detected_f, _T_40) @[dec_tlu_ctl.scala 347:104] + node _T_42 = or(_T_39, _T_41) @[dec_tlu_ctl.scala 347:82] + node _T_43 = orr(io.lsu_fir_error) @[dec_tlu_ctl.scala 347:165] + node _T_44 = and(take_ext_int_start_d3, _T_43) @[dec_tlu_ctl.scala 347:146] + node _T_45 = or(_T_42, _T_44) @[dec_tlu_ctl.scala 347:122] + nmi_int_detected <= _T_45 @[dec_tlu_ctl.scala 347:26] + node _T_46 = and(nmi_lsu_detected, io.tlu_busbuff.lsu_imprecise_error_load_any) @[dec_tlu_ctl.scala 349:48] + node _T_47 = not(take_nmi_r_d1) @[dec_tlu_ctl.scala 349:119] + node _T_48 = and(nmi_int_detected_f, _T_47) @[dec_tlu_ctl.scala 349:117] + node _T_49 = not(_T_48) @[dec_tlu_ctl.scala 349:96] + node _T_50 = and(_T_46, _T_49) @[dec_tlu_ctl.scala 349:94] + node _T_51 = not(take_nmi_r_d1) @[dec_tlu_ctl.scala 349:161] + node _T_52 = and(nmi_lsu_load_type_f, _T_51) @[dec_tlu_ctl.scala 349:159] + node _T_53 = or(_T_50, _T_52) @[dec_tlu_ctl.scala 349:136] + nmi_lsu_load_type <= _T_53 @[dec_tlu_ctl.scala 349:27] + node _T_54 = and(nmi_lsu_detected, io.tlu_busbuff.lsu_imprecise_error_store_any) @[dec_tlu_ctl.scala 350:49] + node _T_55 = not(take_nmi_r_d1) @[dec_tlu_ctl.scala 350:121] + node _T_56 = and(nmi_int_detected_f, _T_55) @[dec_tlu_ctl.scala 350:119] + node _T_57 = not(_T_56) @[dec_tlu_ctl.scala 350:98] + node _T_58 = and(_T_54, _T_57) @[dec_tlu_ctl.scala 350:96] + node _T_59 = not(take_nmi_r_d1) @[dec_tlu_ctl.scala 350:164] + node _T_60 = and(nmi_lsu_store_type_f, _T_59) @[dec_tlu_ctl.scala 350:162] + node _T_61 = or(_T_58, _T_60) @[dec_tlu_ctl.scala 350:138] + nmi_lsu_store_type <= _T_61 @[dec_tlu_ctl.scala 350:28] + node _T_62 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 357:72] + node mpc_debug_halt_req_sync = and(mpc_debug_halt_req_sync_raw, _T_62) @[dec_tlu_ctl.scala 357:70] + reg mpc_debug_halt_req_sync_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 358:74] + mpc_debug_halt_req_sync_f <= mpc_debug_halt_req_sync @[dec_tlu_ctl.scala 358:74] + reg mpc_debug_run_req_sync_f : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 359:74] + mpc_debug_run_req_sync_f <= mpc_debug_run_req_sync @[dec_tlu_ctl.scala 359:74] + reg _T_63 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 360:114] + _T_63 <= mpc_halt_state_ns @[dec_tlu_ctl.scala 360:114] + mpc_halt_state_f <= _T_63 @[dec_tlu_ctl.scala 360:81] + reg mpc_run_state_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 361:106] + mpc_run_state_f <= mpc_run_state_ns @[dec_tlu_ctl.scala 361:106] + reg debug_brkpt_status_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 362:90] + debug_brkpt_status_f <= debug_brkpt_status_ns @[dec_tlu_ctl.scala 362:90] + reg mpc_debug_halt_ack_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 363:90] + mpc_debug_halt_ack_f <= mpc_debug_halt_ack_ns @[dec_tlu_ctl.scala 363:90] + reg mpc_debug_run_ack_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 364:90] + mpc_debug_run_ack_f <= mpc_debug_run_ack_ns @[dec_tlu_ctl.scala 364:90] + reg _T_64 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 365:114] + _T_64 <= dbg_halt_state_ns @[dec_tlu_ctl.scala 365:114] + dbg_halt_state_f <= _T_64 @[dec_tlu_ctl.scala 365:81] + reg dbg_run_state_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 366:106] + dbg_run_state_f <= dbg_run_state_ns @[dec_tlu_ctl.scala 366:106] + reg _T_65 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 367:82] + _T_65 <= dec_tlu_mpc_halted_only_ns @[dec_tlu_ctl.scala 367:82] + io.dec_tlu_mpc_halted_only <= _T_65 @[dec_tlu_ctl.scala 367:49] + node _T_66 = not(mpc_debug_halt_req_sync_f) @[dec_tlu_ctl.scala 371:71] + node mpc_debug_halt_req_sync_pulse = and(mpc_debug_halt_req_sync, _T_66) @[dec_tlu_ctl.scala 371:69] + node _T_67 = not(mpc_debug_run_req_sync_f) @[dec_tlu_ctl.scala 372:70] + node mpc_debug_run_req_sync_pulse = and(mpc_debug_run_req_sync, _T_67) @[dec_tlu_ctl.scala 372:68] + node _T_68 = or(mpc_halt_state_f, mpc_debug_halt_req_sync_pulse) @[dec_tlu_ctl.scala 374:48] + node _T_69 = not(io.mpc_reset_run_req) @[dec_tlu_ctl.scala 374:99] + node _T_70 = and(reset_delayed, _T_69) @[dec_tlu_ctl.scala 374:97] + node _T_71 = or(_T_68, _T_70) @[dec_tlu_ctl.scala 374:80] + node _T_72 = not(mpc_debug_run_req_sync) @[dec_tlu_ctl.scala 374:125] + node _T_73 = and(_T_71, _T_72) @[dec_tlu_ctl.scala 374:123] + mpc_halt_state_ns <= _T_73 @[dec_tlu_ctl.scala 374:27] + node _T_74 = not(mpc_debug_run_ack_f) @[dec_tlu_ctl.scala 375:80] + node _T_75 = and(mpc_debug_run_req_sync_pulse, _T_74) @[dec_tlu_ctl.scala 375:78] + node _T_76 = or(mpc_run_state_f, _T_75) @[dec_tlu_ctl.scala 375:46] + node _T_77 = not(dcsr_single_step_running_f) @[dec_tlu_ctl.scala 375:133] + node _T_78 = and(debug_mode_status, _T_77) @[dec_tlu_ctl.scala 375:131] + node _T_79 = and(_T_76, _T_78) @[dec_tlu_ctl.scala 375:103] + mpc_run_state_ns <= _T_79 @[dec_tlu_ctl.scala 375:26] + node _T_80 = or(dbg_halt_req_final, dcsr_single_step_done_f) @[dec_tlu_ctl.scala 377:70] + node _T_81 = or(_T_80, trigger_hit_dmode_r_d1) @[dec_tlu_ctl.scala 377:96] + node _T_82 = or(_T_81, ebreak_to_debug_mode_r_d1) @[dec_tlu_ctl.scala 377:121] + node _T_83 = or(dbg_halt_state_f, _T_82) @[dec_tlu_ctl.scala 377:48] + node _T_84 = not(io.dbg_resume_req) @[dec_tlu_ctl.scala 377:153] + node _T_85 = and(_T_83, _T_84) @[dec_tlu_ctl.scala 377:151] + dbg_halt_state_ns <= _T_85 @[dec_tlu_ctl.scala 377:27] + node _T_86 = or(dbg_run_state_f, io.dbg_resume_req) @[dec_tlu_ctl.scala 378:46] + node _T_87 = not(dcsr_single_step_running_f) @[dec_tlu_ctl.scala 378:97] + node _T_88 = and(debug_mode_status, _T_87) @[dec_tlu_ctl.scala 378:95] + node _T_89 = and(_T_86, _T_88) @[dec_tlu_ctl.scala 378:67] + dbg_run_state_ns <= _T_89 @[dec_tlu_ctl.scala 378:26] + node _T_90 = not(dbg_halt_state_f) @[dec_tlu_ctl.scala 381:39] + node _T_91 = and(_T_90, mpc_halt_state_f) @[dec_tlu_ctl.scala 381:57] + dec_tlu_mpc_halted_only_ns <= _T_91 @[dec_tlu_ctl.scala 381:36] + node debug_brkpt_valid = or(ebreak_to_debug_mode_r_d1, trigger_hit_dmode_r_d1) @[dec_tlu_ctl.scala 384:59] + node _T_92 = or(debug_brkpt_valid, debug_brkpt_status_f) @[dec_tlu_ctl.scala 385:53] + node _T_93 = not(dcsr_single_step_running_f) @[dec_tlu_ctl.scala 385:105] + node _T_94 = and(internal_dbg_halt_mode, _T_93) @[dec_tlu_ctl.scala 385:103] + node _T_95 = and(_T_92, _T_94) @[dec_tlu_ctl.scala 385:77] + debug_brkpt_status_ns <= _T_95 @[dec_tlu_ctl.scala 385:31] + node _T_96 = and(mpc_halt_state_f, debug_mode_status) @[dec_tlu_ctl.scala 388:51] + node _T_97 = and(_T_96, mpc_debug_halt_req_sync) @[dec_tlu_ctl.scala 388:78] + node _T_98 = and(_T_97, core_empty) @[dec_tlu_ctl.scala 388:104] + mpc_debug_halt_ack_ns <= _T_98 @[dec_tlu_ctl.scala 388:31] + node _T_99 = not(dbg_halt_state_ns) @[dec_tlu_ctl.scala 389:59] + node _T_100 = and(mpc_debug_run_req_sync, _T_99) @[dec_tlu_ctl.scala 389:57] + node _T_101 = not(mpc_debug_halt_req_sync) @[dec_tlu_ctl.scala 389:80] + node _T_102 = and(_T_100, _T_101) @[dec_tlu_ctl.scala 389:78] + node _T_103 = and(mpc_debug_run_ack_f, mpc_debug_run_req_sync) @[dec_tlu_ctl.scala 389:129] + node _T_104 = or(_T_102, _T_103) @[dec_tlu_ctl.scala 389:106] + mpc_debug_run_ack_ns <= _T_104 @[dec_tlu_ctl.scala 389:30] + io.mpc_debug_halt_ack <= mpc_debug_halt_ack_f @[dec_tlu_ctl.scala 392:31] + io.mpc_debug_run_ack <= mpc_debug_run_ack_f @[dec_tlu_ctl.scala 393:31] + io.debug_brkpt_status <= debug_brkpt_status_f @[dec_tlu_ctl.scala 394:31] + node _T_105 = or(io.dbg_halt_req, dbg_halt_req_held) @[dec_tlu_ctl.scala 397:53] + node dbg_halt_req_held_ns = and(_T_105, ext_int_freeze_d1) @[dec_tlu_ctl.scala 397:74] + node _T_106 = or(io.dbg_halt_req, dbg_halt_req_held) @[dec_tlu_ctl.scala 398:48] + node _T_107 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 398:71] + node _T_108 = and(_T_106, _T_107) @[dec_tlu_ctl.scala 398:69] + dbg_halt_req_final <= _T_108 @[dec_tlu_ctl.scala 398:28] + node _T_109 = or(dbg_halt_req_final, mpc_debug_halt_req_sync) @[dec_tlu_ctl.scala 401:50] + node _T_110 = not(io.mpc_reset_run_req) @[dec_tlu_ctl.scala 401:95] + node _T_111 = and(reset_delayed, _T_110) @[dec_tlu_ctl.scala 401:93] + node _T_112 = or(_T_109, _T_111) @[dec_tlu_ctl.scala 401:76] + node _T_113 = not(debug_mode_status) @[dec_tlu_ctl.scala 401:121] + node _T_114 = and(_T_112, _T_113) @[dec_tlu_ctl.scala 401:119] + node _T_115 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 401:149] + node debug_halt_req = and(_T_114, _T_115) @[dec_tlu_ctl.scala 401:147] + node _T_116 = not(debug_resume_req_f) @[dec_tlu_ctl.scala 403:32] + node _T_117 = not(dbg_halt_state_ns) @[dec_tlu_ctl.scala 403:75] + node _T_118 = and(mpc_run_state_ns, _T_117) @[dec_tlu_ctl.scala 403:73] + node _T_119 = not(mpc_halt_state_ns) @[dec_tlu_ctl.scala 403:117] + node _T_120 = and(dbg_run_state_ns, _T_119) @[dec_tlu_ctl.scala 403:115] + node _T_121 = or(_T_118, _T_120) @[dec_tlu_ctl.scala 403:95] + node debug_resume_req = and(_T_116, _T_121) @[dec_tlu_ctl.scala 403:52] + node _T_122 = or(debug_halt_req_f, pmu_fw_halt_req_f) @[dec_tlu_ctl.scala 408:43] + node _T_123 = not(synchronous_flush_r) @[dec_tlu_ctl.scala 408:66] + node _T_124 = and(_T_122, _T_123) @[dec_tlu_ctl.scala 408:64] + node _T_125 = not(mret_r) @[dec_tlu_ctl.scala 408:89] + node _T_126 = and(_T_124, _T_125) @[dec_tlu_ctl.scala 408:87] + node _T_127 = not(halt_taken_f) @[dec_tlu_ctl.scala 408:99] + node _T_128 = and(_T_126, _T_127) @[dec_tlu_ctl.scala 408:97] + node _T_129 = not(dec_tlu_flush_noredir_r_d1) @[dec_tlu_ctl.scala 408:115] + node _T_130 = and(_T_128, _T_129) @[dec_tlu_ctl.scala 408:113] + node _T_131 = not(take_reset) @[dec_tlu_ctl.scala 408:145] + node take_halt = and(_T_130, _T_131) @[dec_tlu_ctl.scala 408:143] + node _T_132 = not(dec_tlu_flush_pause_r_d1) @[dec_tlu_ctl.scala 411:56] + node _T_133 = and(dec_tlu_flush_noredir_r_d1, _T_132) @[dec_tlu_ctl.scala 411:54] + node _T_134 = not(take_ext_int_start_d1) @[dec_tlu_ctl.scala 411:84] + node _T_135 = and(_T_133, _T_134) @[dec_tlu_ctl.scala 411:82] + node _T_136 = not(dbg_tlu_halted_f) @[dec_tlu_ctl.scala 411:126] + node _T_137 = and(halt_taken_f, _T_136) @[dec_tlu_ctl.scala 411:124] + node _T_138 = not(pmu_fw_tlu_halted_f) @[dec_tlu_ctl.scala 411:146] + node _T_139 = and(_T_137, _T_138) @[dec_tlu_ctl.scala 411:144] + node _T_140 = not(interrupt_valid_r_d1) @[dec_tlu_ctl.scala 411:169] + node _T_141 = and(_T_139, _T_140) @[dec_tlu_ctl.scala 411:167] + node halt_taken = or(_T_135, _T_141) @[dec_tlu_ctl.scala 411:108] + node _T_142 = and(io.lsu_idle_any, lsu_idle_any_f) @[dec_tlu_ctl.scala 415:53] + node _T_143 = and(_T_142, io.tlu_mem.ifu_miss_state_idle) @[dec_tlu_ctl.scala 415:70] + node _T_144 = and(_T_143, ifu_miss_state_idle_f) @[dec_tlu_ctl.scala 415:103] + node _T_145 = not(debug_halt_req) @[dec_tlu_ctl.scala 415:129] + node _T_146 = and(_T_144, _T_145) @[dec_tlu_ctl.scala 415:127] + node _T_147 = not(debug_halt_req_d1) @[dec_tlu_ctl.scala 415:147] + node _T_148 = and(_T_146, _T_147) @[dec_tlu_ctl.scala 415:145] + node _T_149 = not(io.dec_div_active) @[dec_tlu_ctl.scala 415:168] + node _T_150 = and(_T_148, _T_149) @[dec_tlu_ctl.scala 415:166] + node _T_151 = or(force_halt, _T_150) @[dec_tlu_ctl.scala 415:34] + core_empty <= _T_151 @[dec_tlu_ctl.scala 415:20] + node _T_152 = not(debug_mode_status) @[dec_tlu_ctl.scala 421:37] + node _T_153 = and(_T_152, debug_halt_req) @[dec_tlu_ctl.scala 421:63] + node _T_154 = or(_T_153, dcsr_single_step_done_f) @[dec_tlu_ctl.scala 421:81] + node _T_155 = or(_T_154, trigger_hit_dmode_r_d1) @[dec_tlu_ctl.scala 421:107] + node enter_debug_halt_req = or(_T_155, ebreak_to_debug_mode_r_d1) @[dec_tlu_ctl.scala 421:132] + node _T_156 = bits(dcsr, 2, 2) @[dec_tlu_ctl.scala 424:111] + node _T_157 = not(_T_156) @[dec_tlu_ctl.scala 424:106] + node _T_158 = and(debug_resume_req_f, _T_157) @[dec_tlu_ctl.scala 424:104] + node _T_159 = not(_T_158) @[dec_tlu_ctl.scala 424:83] + node _T_160 = and(debug_mode_status, _T_159) @[dec_tlu_ctl.scala 424:81] + node _T_161 = or(debug_halt_req_ns, _T_160) @[dec_tlu_ctl.scala 424:53] + internal_dbg_halt_mode <= _T_161 @[dec_tlu_ctl.scala 424:32] + node _T_162 = not(dcsr_single_step_running_f) @[dec_tlu_ctl.scala 426:67] + node allow_dbg_halt_csr_write = and(debug_mode_status, _T_162) @[dec_tlu_ctl.scala 426:65] + node _T_163 = and(debug_halt_req_f, core_empty) @[dec_tlu_ctl.scala 431:48] + node _T_164 = and(_T_163, halt_taken) @[dec_tlu_ctl.scala 431:61] + node _T_165 = not(debug_resume_req_f) @[dec_tlu_ctl.scala 431:97] + node _T_166 = and(dbg_tlu_halted_f, _T_165) @[dec_tlu_ctl.scala 431:95] + node dbg_tlu_halted = or(_T_164, _T_166) @[dec_tlu_ctl.scala 431:75] + node _T_167 = not(dbg_tlu_halted) @[dec_tlu_ctl.scala 432:73] + node _T_168 = and(debug_halt_req_f, _T_167) @[dec_tlu_ctl.scala 432:71] + node _T_169 = or(enter_debug_halt_req, _T_168) @[dec_tlu_ctl.scala 432:51] + debug_halt_req_ns <= _T_169 @[dec_tlu_ctl.scala 432:27] + node _T_170 = and(debug_resume_req_f, dbg_tlu_halted_f) @[dec_tlu_ctl.scala 433:49] + node resume_ack_ns = and(_T_170, dbg_run_state_ns) @[dec_tlu_ctl.scala 433:68] + node _T_171 = not(io.dec_tlu_dbg_halted) @[dec_tlu_ctl.scala 435:61] + node _T_172 = and(io.dec_tlu_i0_valid_r, _T_171) @[dec_tlu_ctl.scala 435:59] + node _T_173 = bits(dcsr, 2, 2) @[dec_tlu_ctl.scala 435:90] + node _T_174 = and(_T_172, _T_173) @[dec_tlu_ctl.scala 435:84] + node _T_175 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 435:104] + node dcsr_single_step_done = and(_T_174, _T_175) @[dec_tlu_ctl.scala 435:102] + node _T_176 = bits(dcsr, 2, 2) @[dec_tlu_ctl.scala 437:66] + node _T_177 = and(debug_resume_req_f, _T_176) @[dec_tlu_ctl.scala 437:60] + node _T_178 = not(dcsr_single_step_done_f) @[dec_tlu_ctl.scala 437:111] + node _T_179 = and(dcsr_single_step_running_f, _T_178) @[dec_tlu_ctl.scala 437:109] + node dcsr_single_step_running = or(_T_177, _T_179) @[dec_tlu_ctl.scala 437:79] + node dbg_cmd_done_ns = and(io.dec_tlu_i0_valid_r, io.dec_tlu_dbg_halted) @[dec_tlu_ctl.scala 439:53] + node _T_180 = or(trigger_hit_dmode_r, ebreak_to_debug_mode_r) @[dec_tlu_ctl.scala 442:57] + node _T_181 = not(io.dec_tlu_flush_lower_wb) @[dec_tlu_ctl.scala 442:112] + node _T_182 = and(request_debug_mode_r_d1, _T_181) @[dec_tlu_ctl.scala 442:110] + node request_debug_mode_r = or(_T_180, _T_182) @[dec_tlu_ctl.scala 442:83] + node _T_183 = or(request_debug_mode_r_d1, request_debug_mode_done_f) @[dec_tlu_ctl.scala 444:64] + node _T_184 = not(dbg_tlu_halted_f) @[dec_tlu_ctl.scala 444:95] + node request_debug_mode_done = and(_T_183, _T_184) @[dec_tlu_ctl.scala 444:93] + reg _T_185 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 447:82] + _T_185 <= io.tlu_ifc.dec_tlu_flush_noredir_wb @[dec_tlu_ctl.scala 447:82] + dec_tlu_flush_noredir_r_d1 <= _T_185 @[dec_tlu_ctl.scala 447:49] + reg _T_186 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 448:122] + _T_186 <= halt_taken @[dec_tlu_ctl.scala 448:122] + halt_taken_f <= _T_186 @[dec_tlu_ctl.scala 448:89] + reg _T_187 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 449:114] + _T_187 <= io.lsu_idle_any @[dec_tlu_ctl.scala 449:114] + lsu_idle_any_f <= _T_187 @[dec_tlu_ctl.scala 449:81] + reg _T_188 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 450:98] + _T_188 <= io.tlu_mem.ifu_miss_state_idle @[dec_tlu_ctl.scala 450:98] + ifu_miss_state_idle_f <= _T_188 @[dec_tlu_ctl.scala 450:65] + reg _T_189 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 451:114] + _T_189 <= dbg_tlu_halted @[dec_tlu_ctl.scala 451:114] + dbg_tlu_halted_f <= _T_189 @[dec_tlu_ctl.scala 451:81] + reg _T_190 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 452:98] + _T_190 <= resume_ack_ns @[dec_tlu_ctl.scala 452:98] + io.dec_tlu_resume_ack <= _T_190 @[dec_tlu_ctl.scala 452:65] + reg _T_191 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 453:114] + _T_191 <= debug_halt_req_ns @[dec_tlu_ctl.scala 453:114] + debug_halt_req_f <= _T_191 @[dec_tlu_ctl.scala 453:81] + reg _T_192 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 454:106] + _T_192 <= debug_resume_req @[dec_tlu_ctl.scala 454:106] + debug_resume_req_f <= _T_192 @[dec_tlu_ctl.scala 454:73] + reg _T_193 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 455:90] + _T_193 <= trigger_hit_dmode_r @[dec_tlu_ctl.scala 455:90] + trigger_hit_dmode_r_d1 <= _T_193 @[dec_tlu_ctl.scala 455:57] + reg _T_194 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 456:90] + _T_194 <= dcsr_single_step_done @[dec_tlu_ctl.scala 456:90] + dcsr_single_step_done_f <= _T_194 @[dec_tlu_ctl.scala 456:57] + reg _T_195 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 457:114] + _T_195 <= debug_halt_req @[dec_tlu_ctl.scala 457:114] + debug_halt_req_d1 <= _T_195 @[dec_tlu_ctl.scala 457:81] + reg dec_tlu_wr_pause_r_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 458:90] + dec_tlu_wr_pause_r_d1 <= io.dec_tlu_wr_pause_r @[dec_tlu_ctl.scala 458:90] + reg dec_pause_state_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 459:98] + dec_pause_state_f <= io.dec_pause_state @[dec_tlu_ctl.scala 459:98] + reg _T_196 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 460:82] + _T_196 <= request_debug_mode_r @[dec_tlu_ctl.scala 460:82] + request_debug_mode_r_d1 <= _T_196 @[dec_tlu_ctl.scala 460:49] + reg _T_197 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 461:74] + _T_197 <= request_debug_mode_done @[dec_tlu_ctl.scala 461:74] + request_debug_mode_done_f <= _T_197 @[dec_tlu_ctl.scala 461:41] + reg _T_198 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 462:66] + _T_198 <= dcsr_single_step_running @[dec_tlu_ctl.scala 462:66] + dcsr_single_step_running_f <= _T_198 @[dec_tlu_ctl.scala 462:33] + reg _T_199 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 463:74] + _T_199 <= io.dec_tlu_flush_pause_r @[dec_tlu_ctl.scala 463:74] + dec_tlu_flush_pause_r_d1 <= _T_199 @[dec_tlu_ctl.scala 463:41] + reg _T_200 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 464:98] + _T_200 <= dbg_halt_req_held_ns @[dec_tlu_ctl.scala 464:98] + dbg_halt_req_held <= _T_200 @[dec_tlu_ctl.scala 464:65] + io.dec_tlu_debug_stall <= debug_halt_req_f @[dec_tlu_ctl.scala 467:41] + io.dec_tlu_dbg_halted <= dbg_tlu_halted_f @[dec_tlu_ctl.scala 468:41] + io.dec_tlu_debug_mode <= debug_mode_status @[dec_tlu_ctl.scala 469:41] + dec_tlu_pmu_fw_halted <= pmu_fw_tlu_halted_f @[dec_tlu_ctl.scala 470:41] + node _T_201 = and(fence_i_r, internal_dbg_halt_mode) @[dec_tlu_ctl.scala 473:71] + node _T_202 = or(take_halt, _T_201) @[dec_tlu_ctl.scala 473:58] + node _T_203 = or(_T_202, io.dec_tlu_flush_pause_r) @[dec_tlu_ctl.scala 473:97] + node _T_204 = and(i0_trigger_hit_r, trigger_hit_dmode_r) @[dec_tlu_ctl.scala 473:144] + node _T_205 = or(_T_203, _T_204) @[dec_tlu_ctl.scala 473:124] + node _T_206 = or(_T_205, take_ext_int_start) @[dec_tlu_ctl.scala 473:167] + io.tlu_ifc.dec_tlu_flush_noredir_wb <= _T_206 @[dec_tlu_ctl.scala 473:45] + io.dec_tlu_flush_extint <= take_ext_int_start @[dec_tlu_ctl.scala 475:33] + node _T_207 = not(interrupt_valid_r) @[dec_tlu_ctl.scala 478:61] + node _T_208 = and(dec_tlu_wr_pause_r_d1, _T_207) @[dec_tlu_ctl.scala 478:59] + node _T_209 = not(take_ext_int_start) @[dec_tlu_ctl.scala 478:82] + node _T_210 = and(_T_208, _T_209) @[dec_tlu_ctl.scala 478:80] + io.dec_tlu_flush_pause_r <= _T_210 @[dec_tlu_ctl.scala 478:34] + node _T_211 = not(io.dec_pause_state) @[dec_tlu_ctl.scala 480:28] + node _T_212 = and(_T_211, dec_pause_state_f) @[dec_tlu_ctl.scala 480:48] + node _T_213 = or(ext_int_ready, ce_int_ready) @[dec_tlu_ctl.scala 480:86] + node _T_214 = or(_T_213, timer_int_ready) @[dec_tlu_ctl.scala 480:101] + node _T_215 = or(_T_214, soft_int_ready) @[dec_tlu_ctl.scala 480:119] + node _T_216 = or(_T_215, int_timer0_int_hold_f) @[dec_tlu_ctl.scala 480:136] + node _T_217 = or(_T_216, int_timer1_int_hold_f) @[dec_tlu_ctl.scala 480:160] + node _T_218 = or(_T_217, nmi_int_detected) @[dec_tlu_ctl.scala 480:184] + node _T_219 = or(_T_218, ext_int_freeze_d1) @[dec_tlu_ctl.scala 480:203] + node _T_220 = not(_T_219) @[dec_tlu_ctl.scala 480:70] + node _T_221 = and(_T_212, _T_220) @[dec_tlu_ctl.scala 480:68] + node _T_222 = not(interrupt_valid_r_d1) @[dec_tlu_ctl.scala 480:226] + node _T_223 = and(_T_221, _T_222) @[dec_tlu_ctl.scala 480:224] + node _T_224 = not(debug_halt_req_f) @[dec_tlu_ctl.scala 480:250] + node _T_225 = and(_T_223, _T_224) @[dec_tlu_ctl.scala 480:248] + node _T_226 = not(pmu_fw_halt_req_f) @[dec_tlu_ctl.scala 480:270] + node _T_227 = and(_T_225, _T_226) @[dec_tlu_ctl.scala 480:268] + node _T_228 = not(halt_taken_f) @[dec_tlu_ctl.scala 480:291] + node _T_229 = and(_T_227, _T_228) @[dec_tlu_ctl.scala 480:289] + pause_expired_r <= _T_229 @[dec_tlu_ctl.scala 480:25] + node _T_230 = bits(dcsr, 2, 2) @[dec_tlu_ctl.scala 482:88] + node _T_231 = and(io.tlu_exu.dec_tlu_flush_lower_r, _T_230) @[dec_tlu_ctl.scala 482:82] + node _T_232 = or(io.dec_tlu_resume_ack, dcsr_single_step_running) @[dec_tlu_ctl.scala 482:125] + node _T_233 = and(_T_231, _T_232) @[dec_tlu_ctl.scala 482:100] + node _T_234 = not(io.tlu_ifc.dec_tlu_flush_noredir_wb) @[dec_tlu_ctl.scala 482:155] + node _T_235 = and(_T_233, _T_234) @[dec_tlu_ctl.scala 482:153] + io.tlu_bp.dec_tlu_flush_leak_one_wb <= _T_235 @[dec_tlu_ctl.scala 482:45] + node _T_236 = or(ic_perr_r_d1, iccm_sbecc_r_d1) @[dec_tlu_ctl.scala 483:93] + node _T_237 = and(io.tlu_exu.dec_tlu_flush_lower_r, _T_236) @[dec_tlu_ctl.scala 483:77] + io.tlu_mem.dec_tlu_flush_err_wb <= _T_237 @[dec_tlu_ctl.scala 483:41] + io.dec_dbg_cmd_done <= dbg_cmd_done_ns @[dec_tlu_ctl.scala 486:29] + node _T_238 = and(illegal_r, io.dec_dbg_cmd_done) @[dec_tlu_ctl.scala 487:42] + io.dec_dbg_cmd_fail <= _T_238 @[dec_tlu_ctl.scala 487:29] + node _T_239 = bits(mtdata1_t[3], 2, 2) @[dec_tlu_ctl.scala 500:48] + node _T_240 = bits(mtdata1_t[2], 2, 2) @[dec_tlu_ctl.scala 500:75] + node _T_241 = bits(mtdata1_t[1], 2, 2) @[dec_tlu_ctl.scala 500:102] + node _T_242 = bits(mtdata1_t[0], 2, 2) @[dec_tlu_ctl.scala 500:129] node _T_243 = cat(_T_241, _T_242) @[Cat.scala 29:58] node _T_244 = cat(_T_239, _T_240) @[Cat.scala 29:58] node trigger_execute = cat(_T_244, _T_243) @[Cat.scala 29:58] - node _T_245 = bits(mtdata1_t[3], 7, 7) @[dec_tlu_ctl.scala 502:52] - node _T_246 = bits(mtdata1_t[2], 7, 7) @[dec_tlu_ctl.scala 502:79] - node _T_247 = bits(mtdata1_t[1], 7, 7) @[dec_tlu_ctl.scala 502:106] - node _T_248 = bits(mtdata1_t[0], 7, 7) @[dec_tlu_ctl.scala 502:133] + node _T_245 = bits(mtdata1_t[3], 7, 7) @[dec_tlu_ctl.scala 501:52] + node _T_246 = bits(mtdata1_t[2], 7, 7) @[dec_tlu_ctl.scala 501:79] + node _T_247 = bits(mtdata1_t[1], 7, 7) @[dec_tlu_ctl.scala 501:106] + node _T_248 = bits(mtdata1_t[0], 7, 7) @[dec_tlu_ctl.scala 501:133] node _T_249 = cat(_T_247, _T_248) @[Cat.scala 29:58] node _T_250 = cat(_T_245, _T_246) @[Cat.scala 29:58] node trigger_data = cat(_T_250, _T_249) @[Cat.scala 29:58] - node _T_251 = bits(mtdata1_t[3], 1, 1) @[dec_tlu_ctl.scala 503:52] - node _T_252 = bits(mtdata1_t[2], 1, 1) @[dec_tlu_ctl.scala 503:79] - node _T_253 = bits(mtdata1_t[1], 1, 1) @[dec_tlu_ctl.scala 503:106] - node _T_254 = bits(mtdata1_t[0], 1, 1) @[dec_tlu_ctl.scala 503:133] + node _T_251 = bits(mtdata1_t[3], 1, 1) @[dec_tlu_ctl.scala 502:52] + node _T_252 = bits(mtdata1_t[2], 1, 1) @[dec_tlu_ctl.scala 502:79] + node _T_253 = bits(mtdata1_t[1], 1, 1) @[dec_tlu_ctl.scala 502:106] + node _T_254 = bits(mtdata1_t[0], 1, 1) @[dec_tlu_ctl.scala 502:133] node _T_255 = cat(_T_253, _T_254) @[Cat.scala 29:58] node _T_256 = cat(_T_251, _T_252) @[Cat.scala 29:58] node trigger_store = cat(_T_256, _T_255) @[Cat.scala 29:58] - node _T_257 = bits(mtdata1_t[3], 6, 6) @[dec_tlu_ctl.scala 506:45] - node _T_258 = bits(mstatus, 0, 0) @[dec_tlu_ctl.scala 506:71] - node _T_259 = or(_T_257, _T_258) @[dec_tlu_ctl.scala 506:62] - node _T_260 = bits(mtdata1_t[3], 3, 3) @[dec_tlu_ctl.scala 506:100] - node _T_261 = and(_T_259, _T_260) @[dec_tlu_ctl.scala 506:86] - node _T_262 = bits(mtdata1_t[2], 6, 6) @[dec_tlu_ctl.scala 506:133] - node _T_263 = bits(mstatus, 0, 0) @[dec_tlu_ctl.scala 506:159] - node _T_264 = or(_T_262, _T_263) @[dec_tlu_ctl.scala 506:150] - node _T_265 = bits(mtdata1_t[2], 3, 3) @[dec_tlu_ctl.scala 506:188] - node _T_266 = and(_T_264, _T_265) @[dec_tlu_ctl.scala 506:174] - node _T_267 = bits(mtdata1_t[1], 6, 6) @[dec_tlu_ctl.scala 506:222] - node _T_268 = bits(mstatus, 0, 0) @[dec_tlu_ctl.scala 506:248] - node _T_269 = or(_T_267, _T_268) @[dec_tlu_ctl.scala 506:239] - node _T_270 = bits(mtdata1_t[1], 3, 3) @[dec_tlu_ctl.scala 506:277] - node _T_271 = and(_T_269, _T_270) @[dec_tlu_ctl.scala 506:263] - node _T_272 = bits(mtdata1_t[0], 6, 6) @[dec_tlu_ctl.scala 506:311] - node _T_273 = bits(mstatus, 0, 0) @[dec_tlu_ctl.scala 506:337] - node _T_274 = or(_T_272, _T_273) @[dec_tlu_ctl.scala 506:328] - node _T_275 = bits(mtdata1_t[0], 3, 3) @[dec_tlu_ctl.scala 506:366] - node _T_276 = and(_T_274, _T_275) @[dec_tlu_ctl.scala 506:352] + node _T_257 = bits(mtdata1_t[3], 6, 6) @[dec_tlu_ctl.scala 505:45] + node _T_258 = bits(mstatus, 0, 0) @[dec_tlu_ctl.scala 505:71] + node _T_259 = or(_T_257, _T_258) @[dec_tlu_ctl.scala 505:62] + node _T_260 = bits(mtdata1_t[3], 3, 3) @[dec_tlu_ctl.scala 505:100] + node _T_261 = and(_T_259, _T_260) @[dec_tlu_ctl.scala 505:86] + node _T_262 = bits(mtdata1_t[2], 6, 6) @[dec_tlu_ctl.scala 505:133] + node _T_263 = bits(mstatus, 0, 0) @[dec_tlu_ctl.scala 505:159] + node _T_264 = or(_T_262, _T_263) @[dec_tlu_ctl.scala 505:150] + node _T_265 = bits(mtdata1_t[2], 3, 3) @[dec_tlu_ctl.scala 505:188] + node _T_266 = and(_T_264, _T_265) @[dec_tlu_ctl.scala 505:174] + node _T_267 = bits(mtdata1_t[1], 6, 6) @[dec_tlu_ctl.scala 505:222] + node _T_268 = bits(mstatus, 0, 0) @[dec_tlu_ctl.scala 505:248] + node _T_269 = or(_T_267, _T_268) @[dec_tlu_ctl.scala 505:239] + node _T_270 = bits(mtdata1_t[1], 3, 3) @[dec_tlu_ctl.scala 505:277] + node _T_271 = and(_T_269, _T_270) @[dec_tlu_ctl.scala 505:263] + node _T_272 = bits(mtdata1_t[0], 6, 6) @[dec_tlu_ctl.scala 505:311] + node _T_273 = bits(mstatus, 0, 0) @[dec_tlu_ctl.scala 505:337] + node _T_274 = or(_T_272, _T_273) @[dec_tlu_ctl.scala 505:328] + node _T_275 = bits(mtdata1_t[0], 3, 3) @[dec_tlu_ctl.scala 505:366] + node _T_276 = and(_T_274, _T_275) @[dec_tlu_ctl.scala 505:352] node _T_277 = cat(_T_271, _T_276) @[Cat.scala 29:58] node _T_278 = cat(_T_261, _T_266) @[Cat.scala 29:58] node trigger_enabled = cat(_T_278, _T_277) @[Cat.scala 29:58] - node _T_279 = and(trigger_execute, trigger_data) @[dec_tlu_ctl.scala 509:57] + node _T_279 = and(trigger_execute, trigger_data) @[dec_tlu_ctl.scala 508:57] node _T_280 = bits(inst_acc_r_raw, 0, 0) @[Bitwise.scala 72:15] node _T_281 = mux(_T_280, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_282 = and(_T_279, _T_281) @[dec_tlu_ctl.scala 509:72] - node _T_283 = or(io.tlu_exu.exu_i0_br_error_r, io.tlu_exu.exu_i0_br_start_error_r) @[dec_tlu_ctl.scala 509:137] + node _T_282 = and(_T_279, _T_281) @[dec_tlu_ctl.scala 508:72] + node _T_283 = or(io.tlu_exu.exu_i0_br_error_r, io.tlu_exu.exu_i0_br_start_error_r) @[dec_tlu_ctl.scala 508:137] node _T_284 = bits(_T_283, 0, 0) @[Bitwise.scala 72:15] node _T_285 = mux(_T_284, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_286 = or(_T_282, _T_285) @[dec_tlu_ctl.scala 509:98] - node i0_iside_trigger_has_pri_r = not(_T_286) @[dec_tlu_ctl.scala 509:38] - node _T_287 = and(trigger_store, trigger_data) @[dec_tlu_ctl.scala 512:51] + node _T_286 = or(_T_282, _T_285) @[dec_tlu_ctl.scala 508:98] + node i0_iside_trigger_has_pri_r = not(_T_286) @[dec_tlu_ctl.scala 508:38] + node _T_287 = and(trigger_store, trigger_data) @[dec_tlu_ctl.scala 511:51] node _T_288 = bits(lsu_i0_exc_r_raw, 0, 0) @[Bitwise.scala 72:15] node _T_289 = mux(_T_288, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_290 = and(_T_287, _T_289) @[dec_tlu_ctl.scala 512:66] - node i0_lsu_trigger_has_pri_r = not(_T_290) @[dec_tlu_ctl.scala 512:35] + node _T_290 = and(_T_287, _T_289) @[dec_tlu_ctl.scala 511:66] + node i0_lsu_trigger_has_pri_r = not(_T_290) @[dec_tlu_ctl.scala 511:35] node _T_291 = bits(io.dec_tlu_i0_valid_r, 0, 0) @[Bitwise.scala 72:15] node _T_292 = mux(_T_291, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_293 = bits(io.dec_tlu_packet_r.i0trigger, 3, 0) @[dec_tlu_ctl.scala 517:84] - node _T_294 = and(_T_292, _T_293) @[dec_tlu_ctl.scala 517:53] - node _T_295 = and(_T_294, i0_iside_trigger_has_pri_r) @[dec_tlu_ctl.scala 517:90] - node _T_296 = and(_T_295, i0_lsu_trigger_has_pri_r) @[dec_tlu_ctl.scala 517:119] - node i0trigger_qual_r = and(_T_296, trigger_enabled) @[dec_tlu_ctl.scala 517:146] - node _T_297 = or(io.tlu_bp.dec_tlu_flush_lower_wb, io.dec_tlu_dbg_halted) @[dec_tlu_ctl.scala 519:65] + node _T_293 = bits(io.dec_tlu_packet_r.i0trigger, 3, 0) @[dec_tlu_ctl.scala 516:84] + node _T_294 = and(_T_292, _T_293) @[dec_tlu_ctl.scala 516:53] + node _T_295 = and(_T_294, i0_iside_trigger_has_pri_r) @[dec_tlu_ctl.scala 516:90] + node _T_296 = and(_T_295, i0_lsu_trigger_has_pri_r) @[dec_tlu_ctl.scala 516:119] + node i0trigger_qual_r = and(_T_296, trigger_enabled) @[dec_tlu_ctl.scala 516:146] + node _T_297 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_dbg_halted) @[dec_tlu_ctl.scala 518:58] node _T_298 = bits(_T_297, 0, 0) @[Bitwise.scala 72:15] node _T_299 = mux(_T_298, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_300 = not(_T_299) @[dec_tlu_ctl.scala 519:23] - node i0_trigger_r = and(_T_300, i0trigger_qual_r) @[dec_tlu_ctl.scala 519:91] - node _T_301 = bits(i0_trigger_r, 3, 3) @[dec_tlu_ctl.scala 522:53] - node _T_302 = bits(mtdata1_t[2], 5, 5) @[dec_tlu_ctl.scala 522:73] - node _T_303 = not(_T_302) @[dec_tlu_ctl.scala 522:60] - node _T_304 = bits(i0_trigger_r, 2, 2) @[dec_tlu_ctl.scala 522:103] - node _T_305 = or(_T_303, _T_304) @[dec_tlu_ctl.scala 522:89] - node _T_306 = and(_T_301, _T_305) @[dec_tlu_ctl.scala 522:57] - node _T_307 = bits(i0_trigger_r, 2, 2) @[dec_tlu_ctl.scala 522:121] - node _T_308 = bits(mtdata1_t[2], 5, 5) @[dec_tlu_ctl.scala 522:141] - node _T_309 = not(_T_308) @[dec_tlu_ctl.scala 522:128] - node _T_310 = bits(i0_trigger_r, 3, 3) @[dec_tlu_ctl.scala 522:171] - node _T_311 = or(_T_309, _T_310) @[dec_tlu_ctl.scala 522:157] - node _T_312 = and(_T_307, _T_311) @[dec_tlu_ctl.scala 522:125] - node _T_313 = bits(i0_trigger_r, 1, 1) @[dec_tlu_ctl.scala 522:189] - node _T_314 = bits(mtdata1_t[0], 5, 5) @[dec_tlu_ctl.scala 522:209] - node _T_315 = not(_T_314) @[dec_tlu_ctl.scala 522:196] - node _T_316 = bits(i0_trigger_r, 0, 0) @[dec_tlu_ctl.scala 522:239] - node _T_317 = or(_T_315, _T_316) @[dec_tlu_ctl.scala 522:225] - node _T_318 = and(_T_313, _T_317) @[dec_tlu_ctl.scala 522:193] - node _T_319 = bits(i0_trigger_r, 0, 0) @[dec_tlu_ctl.scala 522:257] - node _T_320 = bits(mtdata1_t[0], 5, 5) @[dec_tlu_ctl.scala 522:277] - node _T_321 = not(_T_320) @[dec_tlu_ctl.scala 522:264] - node _T_322 = bits(i0_trigger_r, 1, 1) @[dec_tlu_ctl.scala 522:307] - node _T_323 = or(_T_321, _T_322) @[dec_tlu_ctl.scala 522:293] - node _T_324 = and(_T_319, _T_323) @[dec_tlu_ctl.scala 522:261] + node _T_300 = not(_T_299) @[dec_tlu_ctl.scala 518:23] + node i0_trigger_r = and(_T_300, i0trigger_qual_r) @[dec_tlu_ctl.scala 518:84] + node _T_301 = bits(i0_trigger_r, 3, 3) @[dec_tlu_ctl.scala 521:53] + node _T_302 = bits(mtdata1_t[2], 5, 5) @[dec_tlu_ctl.scala 521:73] + node _T_303 = not(_T_302) @[dec_tlu_ctl.scala 521:60] + node _T_304 = bits(i0_trigger_r, 2, 2) @[dec_tlu_ctl.scala 521:103] + node _T_305 = or(_T_303, _T_304) @[dec_tlu_ctl.scala 521:89] + node _T_306 = and(_T_301, _T_305) @[dec_tlu_ctl.scala 521:57] + node _T_307 = bits(i0_trigger_r, 2, 2) @[dec_tlu_ctl.scala 521:121] + node _T_308 = bits(mtdata1_t[2], 5, 5) @[dec_tlu_ctl.scala 521:141] + node _T_309 = not(_T_308) @[dec_tlu_ctl.scala 521:128] + node _T_310 = bits(i0_trigger_r, 3, 3) @[dec_tlu_ctl.scala 521:171] + node _T_311 = or(_T_309, _T_310) @[dec_tlu_ctl.scala 521:157] + node _T_312 = and(_T_307, _T_311) @[dec_tlu_ctl.scala 521:125] + node _T_313 = bits(i0_trigger_r, 1, 1) @[dec_tlu_ctl.scala 521:189] + node _T_314 = bits(mtdata1_t[0], 5, 5) @[dec_tlu_ctl.scala 521:209] + node _T_315 = not(_T_314) @[dec_tlu_ctl.scala 521:196] + node _T_316 = bits(i0_trigger_r, 0, 0) @[dec_tlu_ctl.scala 521:239] + node _T_317 = or(_T_315, _T_316) @[dec_tlu_ctl.scala 521:225] + node _T_318 = and(_T_313, _T_317) @[dec_tlu_ctl.scala 521:193] + node _T_319 = bits(i0_trigger_r, 0, 0) @[dec_tlu_ctl.scala 521:257] + node _T_320 = bits(mtdata1_t[0], 5, 5) @[dec_tlu_ctl.scala 521:277] + node _T_321 = not(_T_320) @[dec_tlu_ctl.scala 521:264] + node _T_322 = bits(i0_trigger_r, 1, 1) @[dec_tlu_ctl.scala 521:307] + node _T_323 = or(_T_321, _T_322) @[dec_tlu_ctl.scala 521:293] + node _T_324 = and(_T_319, _T_323) @[dec_tlu_ctl.scala 521:261] node _T_325 = cat(_T_318, _T_324) @[Cat.scala 29:58] node _T_326 = cat(_T_306, _T_312) @[Cat.scala 29:58] node i0_trigger_chain_masked_r = cat(_T_326, _T_325) @[Cat.scala 29:58] - node i0_trigger_hit_raw_r = orr(i0_trigger_chain_masked_r) @[dec_tlu_ctl.scala 525:57] - i0_trigger_hit_r <= i0_trigger_hit_raw_r @[dec_tlu_ctl.scala 527:25] - node _T_327 = bits(mtdata1_t[3], 6, 6) @[dec_tlu_ctl.scala 531:44] - node _T_328 = bits(mtdata1_t[3], 9, 9) @[dec_tlu_ctl.scala 531:75] - node _T_329 = and(_T_327, _T_328) @[dec_tlu_ctl.scala 531:61] - node _T_330 = bits(mtdata1_t[2], 6, 6) @[dec_tlu_ctl.scala 531:104] - node _T_331 = bits(mtdata1_t[2], 9, 9) @[dec_tlu_ctl.scala 531:135] - node _T_332 = and(_T_330, _T_331) @[dec_tlu_ctl.scala 531:121] - node _T_333 = bits(mtdata1_t[1], 6, 6) @[dec_tlu_ctl.scala 531:164] - node _T_334 = bits(mtdata1_t[1], 9, 9) @[dec_tlu_ctl.scala 531:195] - node _T_335 = and(_T_333, _T_334) @[dec_tlu_ctl.scala 531:181] - node _T_336 = bits(mtdata1_t[0], 6, 6) @[dec_tlu_ctl.scala 531:224] - node _T_337 = bits(mtdata1_t[0], 9, 9) @[dec_tlu_ctl.scala 531:255] - node _T_338 = and(_T_336, _T_337) @[dec_tlu_ctl.scala 531:241] + node i0_trigger_hit_raw_r = orr(i0_trigger_chain_masked_r) @[dec_tlu_ctl.scala 524:57] + i0_trigger_hit_r <= i0_trigger_hit_raw_r @[dec_tlu_ctl.scala 526:25] + node _T_327 = bits(mtdata1_t[3], 6, 6) @[dec_tlu_ctl.scala 530:44] + node _T_328 = bits(mtdata1_t[3], 9, 9) @[dec_tlu_ctl.scala 530:75] + node _T_329 = and(_T_327, _T_328) @[dec_tlu_ctl.scala 530:61] + node _T_330 = bits(mtdata1_t[2], 6, 6) @[dec_tlu_ctl.scala 530:104] + node _T_331 = bits(mtdata1_t[2], 9, 9) @[dec_tlu_ctl.scala 530:135] + node _T_332 = and(_T_330, _T_331) @[dec_tlu_ctl.scala 530:121] + node _T_333 = bits(mtdata1_t[1], 6, 6) @[dec_tlu_ctl.scala 530:164] + node _T_334 = bits(mtdata1_t[1], 9, 9) @[dec_tlu_ctl.scala 530:195] + node _T_335 = and(_T_333, _T_334) @[dec_tlu_ctl.scala 530:181] + node _T_336 = bits(mtdata1_t[0], 6, 6) @[dec_tlu_ctl.scala 530:224] + node _T_337 = bits(mtdata1_t[0], 9, 9) @[dec_tlu_ctl.scala 530:255] + node _T_338 = and(_T_336, _T_337) @[dec_tlu_ctl.scala 530:241] node _T_339 = cat(_T_335, _T_338) @[Cat.scala 29:58] node _T_340 = cat(_T_329, _T_332) @[Cat.scala 29:58] node trigger_action = cat(_T_340, _T_339) @[Cat.scala 29:58] node _T_341 = bits(i0_trigger_hit_r, 0, 0) @[Bitwise.scala 72:15] node _T_342 = mux(_T_341, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node update_hit_bit_r = and(_T_342, i0_trigger_chain_masked_r) @[dec_tlu_ctl.scala 534:56] - node _T_343 = and(i0_trigger_chain_masked_r, trigger_action) @[dec_tlu_ctl.scala 537:57] - node i0_trigger_action_r = orr(_T_343) @[dec_tlu_ctl.scala 537:75] - node _T_344 = and(i0_trigger_hit_r, i0_trigger_action_r) @[dec_tlu_ctl.scala 539:45] - trigger_hit_dmode_r <= _T_344 @[dec_tlu_ctl.scala 539:24] - node _T_345 = not(trigger_hit_dmode_r) @[dec_tlu_ctl.scala 541:55] - node mepc_trigger_hit_sel_pc_r = and(i0_trigger_hit_r, _T_345) @[dec_tlu_ctl.scala 541:53] - node _T_346 = not(io.dec_tlu_debug_mode) @[dec_tlu_ctl.scala 568:62] - node _T_347 = and(i_cpu_halt_req_sync, _T_346) @[dec_tlu_ctl.scala 568:60] - node _T_348 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 568:87] - node i_cpu_halt_req_sync_qual = and(_T_347, _T_348) @[dec_tlu_ctl.scala 568:85] - node _T_349 = not(io.dec_tlu_debug_mode) @[dec_tlu_ctl.scala 569:60] - node _T_350 = and(i_cpu_run_req_sync, _T_349) @[dec_tlu_ctl.scala 569:58] - node _T_351 = and(_T_350, pmu_fw_tlu_halted_f) @[dec_tlu_ctl.scala 569:83] - node _T_352 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 569:107] - node i_cpu_run_req_sync_qual = and(_T_351, _T_352) @[dec_tlu_ctl.scala 569:105] - reg i_cpu_halt_req_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 571:80] - i_cpu_halt_req_d1 <= i_cpu_halt_req_sync_qual @[dec_tlu_ctl.scala 571:80] - reg i_cpu_run_req_d1_raw : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 572:80] - i_cpu_run_req_d1_raw <= i_cpu_run_req_sync_qual @[dec_tlu_ctl.scala 572:80] - reg _T_353 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 573:81] - _T_353 <= cpu_halt_status @[dec_tlu_ctl.scala 573:81] - io.o_cpu_halt_status <= _T_353 @[dec_tlu_ctl.scala 573:49] - reg _T_354 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 574:81] - _T_354 <= cpu_halt_ack @[dec_tlu_ctl.scala 574:81] - io.o_cpu_halt_ack <= _T_354 @[dec_tlu_ctl.scala 574:49] - reg _T_355 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 575:81] - _T_355 <= cpu_run_ack @[dec_tlu_ctl.scala 575:81] - io.o_cpu_run_ack <= _T_355 @[dec_tlu_ctl.scala 575:49] - reg internal_pmu_fw_halt_mode_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 576:68] - internal_pmu_fw_halt_mode_f <= internal_pmu_fw_halt_mode @[dec_tlu_ctl.scala 576:68] - reg _T_356 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 577:73] - _T_356 <= pmu_fw_halt_req_ns @[dec_tlu_ctl.scala 577:73] - pmu_fw_halt_req_f <= _T_356 @[dec_tlu_ctl.scala 577:41] - reg _T_357 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 578:73] - _T_357 <= pmu_fw_tlu_halted @[dec_tlu_ctl.scala 578:73] - pmu_fw_tlu_halted_f <= _T_357 @[dec_tlu_ctl.scala 578:41] - reg _T_358 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 579:73] - _T_358 <= int_timer0_int_hold @[dec_tlu_ctl.scala 579:73] - int_timer0_int_hold_f <= _T_358 @[dec_tlu_ctl.scala 579:41] - reg _T_359 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 580:73] - _T_359 <= int_timer1_int_hold @[dec_tlu_ctl.scala 580:73] - int_timer1_int_hold_f <= _T_359 @[dec_tlu_ctl.scala 580:41] - node _T_360 = not(i_cpu_halt_req_d1) @[dec_tlu_ctl.scala 584:52] - node ext_halt_pulse = and(i_cpu_halt_req_sync_qual, _T_360) @[dec_tlu_ctl.scala 584:50] - node enter_pmu_fw_halt_req = or(ext_halt_pulse, fw_halt_req) @[dec_tlu_ctl.scala 585:48] - node _T_361 = not(pmu_fw_tlu_halted) @[dec_tlu_ctl.scala 586:72] - node _T_362 = and(pmu_fw_halt_req_f, _T_361) @[dec_tlu_ctl.scala 586:70] - node _T_363 = or(enter_pmu_fw_halt_req, _T_362) @[dec_tlu_ctl.scala 586:49] - node _T_364 = not(debug_halt_req_f) @[dec_tlu_ctl.scala 586:95] - node _T_365 = and(_T_363, _T_364) @[dec_tlu_ctl.scala 586:93] - pmu_fw_halt_req_ns <= _T_365 @[dec_tlu_ctl.scala 586:23] - node _T_366 = not(i_cpu_run_req_d1) @[dec_tlu_ctl.scala 587:85] - node _T_367 = and(internal_pmu_fw_halt_mode_f, _T_366) @[dec_tlu_ctl.scala 587:83] - node _T_368 = not(debug_halt_req_f) @[dec_tlu_ctl.scala 587:105] - node _T_369 = and(_T_367, _T_368) @[dec_tlu_ctl.scala 587:103] - node _T_370 = or(pmu_fw_halt_req_ns, _T_369) @[dec_tlu_ctl.scala 587:52] - internal_pmu_fw_halt_mode <= _T_370 @[dec_tlu_ctl.scala 587:30] - node _T_371 = and(pmu_fw_halt_req_f, core_empty) @[dec_tlu_ctl.scala 590:45] - node _T_372 = and(_T_371, halt_taken) @[dec_tlu_ctl.scala 590:58] - node _T_373 = not(enter_debug_halt_req) @[dec_tlu_ctl.scala 590:73] - node _T_374 = and(_T_372, _T_373) @[dec_tlu_ctl.scala 590:71] - node _T_375 = not(i_cpu_run_req_d1) @[dec_tlu_ctl.scala 590:121] - node _T_376 = and(pmu_fw_tlu_halted_f, _T_375) @[dec_tlu_ctl.scala 590:119] - node _T_377 = or(_T_374, _T_376) @[dec_tlu_ctl.scala 590:96] - node _T_378 = not(debug_halt_req_f) @[dec_tlu_ctl.scala 590:143] - node _T_379 = and(_T_377, _T_378) @[dec_tlu_ctl.scala 590:141] - pmu_fw_tlu_halted <= _T_379 @[dec_tlu_ctl.scala 590:22] - node _T_380 = and(i_cpu_halt_req_d1, pmu_fw_tlu_halted_f) @[dec_tlu_ctl.scala 592:38] - cpu_halt_ack <= _T_380 @[dec_tlu_ctl.scala 592:17] - node _T_381 = not(i_cpu_run_req_d1) @[dec_tlu_ctl.scala 593:46] - node _T_382 = and(pmu_fw_tlu_halted_f, _T_381) @[dec_tlu_ctl.scala 593:44] - node _T_383 = not(i_cpu_run_req_d1) @[dec_tlu_ctl.scala 593:91] - node _T_384 = and(io.o_cpu_halt_status, _T_383) @[dec_tlu_ctl.scala 593:89] - node _T_385 = not(debug_mode_status) @[dec_tlu_ctl.scala 593:111] - node _T_386 = and(_T_384, _T_385) @[dec_tlu_ctl.scala 593:109] - node _T_387 = or(_T_382, _T_386) @[dec_tlu_ctl.scala 593:65] - cpu_halt_status <= _T_387 @[dec_tlu_ctl.scala 593:20] - node _T_388 = and(io.o_cpu_halt_status, i_cpu_run_req_sync_qual) @[dec_tlu_ctl.scala 594:41] - node _T_389 = and(io.o_cpu_run_ack, i_cpu_run_req_sync_qual) @[dec_tlu_ctl.scala 594:88] - node _T_390 = or(_T_388, _T_389) @[dec_tlu_ctl.scala 594:68] - cpu_run_ack <= _T_390 @[dec_tlu_ctl.scala 594:16] - io.o_debug_mode_status <= debug_mode_status @[dec_tlu_ctl.scala 596:27] - node _T_391 = or(nmi_int_detected, timer_int_ready) @[dec_tlu_ctl.scala 599:66] - node _T_392 = or(_T_391, soft_int_ready) @[dec_tlu_ctl.scala 599:84] - node _T_393 = or(_T_392, int_timer0_int_hold_f) @[dec_tlu_ctl.scala 599:101] - node _T_394 = or(_T_393, int_timer1_int_hold_f) @[dec_tlu_ctl.scala 599:125] - node _T_395 = and(io.dec_pic.mhwakeup, mhwakeup_ready) @[dec_tlu_ctl.scala 599:172] - node _T_396 = or(_T_394, _T_395) @[dec_tlu_ctl.scala 599:149] - node _T_397 = and(_T_396, io.o_cpu_halt_status) @[dec_tlu_ctl.scala 599:191] - node _T_398 = not(i_cpu_halt_req_d1) @[dec_tlu_ctl.scala 599:216] - node _T_399 = and(_T_397, _T_398) @[dec_tlu_ctl.scala 599:214] - node _T_400 = or(i_cpu_run_req_d1_raw, _T_399) @[dec_tlu_ctl.scala 599:45] - i_cpu_run_req_d1 <= _T_400 @[dec_tlu_ctl.scala 599:21] - reg _T_401 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 605:89] - _T_401 <= mdseac_locked_ns @[dec_tlu_ctl.scala 605:89] - mdseac_locked_f <= _T_401 @[dec_tlu_ctl.scala 605:57] - reg lsu_single_ecc_error_r_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 606:72] - lsu_single_ecc_error_r_d1 <= io.lsu_single_ecc_error_incr @[dec_tlu_ctl.scala 606:72] - node _T_402 = not(io.tlu_bp.dec_tlu_flush_lower_wb) @[dec_tlu_ctl.scala 608:57] - node lsu_exc_valid_r_raw = and(io.lsu_error_pkt_r.valid, _T_402) @[dec_tlu_ctl.scala 608:55] - lsu_i0_exc_r_raw <= io.lsu_error_pkt_r.valid @[dec_tlu_ctl.scala 609:21] - node _T_403 = and(lsu_i0_exc_r_raw, lsu_exc_valid_r_raw) @[dec_tlu_ctl.scala 610:40] - node _T_404 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 610:64] - node _T_405 = and(_T_403, _T_404) @[dec_tlu_ctl.scala 610:62] - node _T_406 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 610:84] - node lsu_exc_valid_r = and(_T_405, _T_406) @[dec_tlu_ctl.scala 610:82] - reg _T_407 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 612:74] - _T_407 <= lsu_exc_valid_r @[dec_tlu_ctl.scala 612:74] - lsu_exc_valid_r_d1 <= _T_407 @[dec_tlu_ctl.scala 612:41] - reg lsu_i0_exc_r_d1 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 613:73] - lsu_i0_exc_r_d1 <= lsu_exc_valid_r @[dec_tlu_ctl.scala 613:73] - node _T_408 = not(io.lsu_error_pkt_r.bits.exc_type) @[dec_tlu_ctl.scala 614:40] - node lsu_exc_ma_r = and(lsu_exc_valid_r, _T_408) @[dec_tlu_ctl.scala 614:38] - node lsu_exc_acc_r = and(lsu_exc_valid_r, io.lsu_error_pkt_r.bits.exc_type) @[dec_tlu_ctl.scala 615:38] - node lsu_exc_st_r = and(lsu_exc_valid_r, io.lsu_error_pkt_r.bits.inst_type) @[dec_tlu_ctl.scala 616:38] - node _T_409 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 620:49] - node _T_410 = and(io.dec_tlu_i0_valid_r, _T_409) @[dec_tlu_ctl.scala 620:47] - node _T_411 = not(io.lsu_error_pkt_r.bits.inst_type) @[dec_tlu_ctl.scala 620:70] - node _T_412 = and(_T_411, io.lsu_error_pkt_r.bits.single_ecc_error) @[dec_tlu_ctl.scala 620:105] - node lsu_i0_rfnpc_r = and(_T_410, _T_412) @[dec_tlu_ctl.scala 620:67] - node _T_413 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 623:52] - node _T_414 = and(io.dec_tlu_i0_valid_r, _T_413) @[dec_tlu_ctl.scala 623:50] - node _T_415 = not(lsu_exc_valid_r) @[dec_tlu_ctl.scala 623:65] - node _T_416 = and(_T_414, _T_415) @[dec_tlu_ctl.scala 623:63] - node _T_417 = not(inst_acc_r) @[dec_tlu_ctl.scala 623:82] - node _T_418 = and(_T_416, _T_417) @[dec_tlu_ctl.scala 623:79] - node _T_419 = not(io.dec_tlu_dbg_halted) @[dec_tlu_ctl.scala 623:96] - node _T_420 = and(_T_418, _T_419) @[dec_tlu_ctl.scala 623:94] - node _T_421 = not(request_debug_mode_r_d1) @[dec_tlu_ctl.scala 623:121] - node _T_422 = and(_T_420, _T_421) @[dec_tlu_ctl.scala 623:119] - node _T_423 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 623:148] - node tlu_i0_commit_cmt = and(_T_422, _T_423) @[dec_tlu_ctl.scala 623:146] - node _T_424 = or(rfpc_i0_r, lsu_exc_valid_r) @[dec_tlu_ctl.scala 626:38] - node _T_425 = or(_T_424, inst_acc_r) @[dec_tlu_ctl.scala 626:53] - node _T_426 = and(illegal_r, io.dec_tlu_dbg_halted) @[dec_tlu_ctl.scala 626:79] - node _T_427 = or(_T_425, _T_426) @[dec_tlu_ctl.scala 626:66] - node _T_428 = or(_T_427, i0_trigger_hit_r) @[dec_tlu_ctl.scala 626:104] - tlu_i0_kill_writeb_r <= _T_428 @[dec_tlu_ctl.scala 626:25] - io.tlu_mem.dec_tlu_i0_commit_cmt <= tlu_i0_commit_cmt @[dec_tlu_ctl.scala 627:37] - node _T_429 = not(tlu_flush_lower_r_d1) @[dec_tlu_ctl.scala 632:44] - node _T_430 = and(io.dec_tlu_i0_valid_r, _T_429) @[dec_tlu_ctl.scala 632:42] - node _T_431 = or(io.tlu_exu.exu_i0_br_error_r, io.tlu_exu.exu_i0_br_start_error_r) @[dec_tlu_ctl.scala 632:98] - node _T_432 = and(_T_430, _T_431) @[dec_tlu_ctl.scala 632:66] - node _T_433 = or(ic_perr_r_d1, iccm_sbecc_r_d1) @[dec_tlu_ctl.scala 632:154] - node _T_434 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 632:175] - node _T_435 = and(_T_433, _T_434) @[dec_tlu_ctl.scala 632:173] - node _T_436 = or(_T_432, _T_435) @[dec_tlu_ctl.scala 632:137] - node _T_437 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 632:199] - node _T_438 = and(_T_436, _T_437) @[dec_tlu_ctl.scala 632:196] - node _T_439 = not(lsu_i0_rfnpc_r) @[dec_tlu_ctl.scala 632:220] - node _T_440 = and(_T_438, _T_439) @[dec_tlu_ctl.scala 632:217] - rfpc_i0_r <= _T_440 @[dec_tlu_ctl.scala 632:14] - node _T_441 = not(io.tlu_exu.dec_tlu_flush_lower_r) @[dec_tlu_ctl.scala 635:70] - node _T_442 = and(iccm_repair_state_d1, _T_441) @[dec_tlu_ctl.scala 635:68] - node _T_443 = or(iccm_sbecc_r_d1, _T_442) @[dec_tlu_ctl.scala 635:44] - iccm_repair_state_ns <= _T_443 @[dec_tlu_ctl.scala 635:25] - node _T_444 = and(tlu_i0_commit_cmt, iccm_repair_state_d1) @[dec_tlu_ctl.scala 641:52] - node _T_445 = or(ebreak_r, ecall_r) @[dec_tlu_ctl.scala 641:88] - node _T_446 = or(_T_445, mret_r) @[dec_tlu_ctl.scala 641:98] - node _T_447 = or(_T_446, take_reset) @[dec_tlu_ctl.scala 641:107] - node _T_448 = or(_T_447, illegal_r) @[dec_tlu_ctl.scala 641:120] - node _T_449 = eq(io.dec_csr_wraddr_r, UInt<12>("h07c2")) @[dec_tlu_ctl.scala 641:176] - node _T_450 = and(dec_csr_wen_r_mod, _T_449) @[dec_tlu_ctl.scala 641:153] - node _T_451 = or(_T_448, _T_450) @[dec_tlu_ctl.scala 641:132] - node _T_452 = not(_T_451) @[dec_tlu_ctl.scala 641:77] - node iccm_repair_state_rfnpc = and(_T_444, _T_452) @[dec_tlu_ctl.scala 641:75] - node _T_453 = and(io.tlu_exu.exu_i0_br_error_r, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 644:59] - node _T_454 = not(tlu_flush_lower_r_d1) @[dec_tlu_ctl.scala 644:85] - node dec_tlu_br0_error_r = and(_T_453, _T_454) @[dec_tlu_ctl.scala 644:83] - node _T_455 = and(io.tlu_exu.exu_i0_br_start_error_r, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 645:71] - node _T_456 = not(tlu_flush_lower_r_d1) @[dec_tlu_ctl.scala 645:97] - node dec_tlu_br0_start_error_r = and(_T_455, _T_456) @[dec_tlu_ctl.scala 645:95] - node _T_457 = and(io.tlu_exu.exu_i0_br_valid_r, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 646:55] - node _T_458 = not(tlu_flush_lower_r_d1) @[dec_tlu_ctl.scala 646:81] - node _T_459 = and(_T_457, _T_458) @[dec_tlu_ctl.scala 646:79] - node _T_460 = not(io.tlu_exu.exu_i0_br_mp_r) @[dec_tlu_ctl.scala 646:106] - node _T_461 = not(io.tlu_exu.exu_pmu_i0_br_ataken) @[dec_tlu_ctl.scala 646:135] - node _T_462 = or(_T_460, _T_461) @[dec_tlu_ctl.scala 646:133] - node dec_tlu_br0_v_r = and(_T_459, _T_462) @[dec_tlu_ctl.scala 646:103] - io.tlu_bp.dec_tlu_br0_r_pkt.bits.hist <= io.tlu_exu.exu_i0_br_hist_r @[dec_tlu_ctl.scala 649:65] - io.tlu_bp.dec_tlu_br0_r_pkt.bits.br_error <= dec_tlu_br0_error_r @[dec_tlu_ctl.scala 650:57] - io.tlu_bp.dec_tlu_br0_r_pkt.bits.br_start_error <= dec_tlu_br0_start_error_r @[dec_tlu_ctl.scala 651:57] - io.tlu_bp.dec_tlu_br0_r_pkt.valid <= dec_tlu_br0_v_r @[dec_tlu_ctl.scala 652:57] - io.tlu_bp.dec_tlu_br0_r_pkt.bits.way <= io.exu_i0_br_way_r @[dec_tlu_ctl.scala 653:65] - io.tlu_bp.dec_tlu_br0_r_pkt.bits.middle <= io.tlu_exu.exu_i0_br_middle_r @[dec_tlu_ctl.scala 654:65] - node _T_463 = eq(io.dec_tlu_packet_r.pmu_i0_itype, UInt<4>("h08")) @[dec_tlu_ctl.scala 657:51] - node _T_464 = and(_T_463, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 657:64] - node _T_465 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 657:90] - node _T_466 = and(_T_464, _T_465) @[dec_tlu_ctl.scala 657:88] - node _T_467 = bits(dcsr, 15, 15) @[dec_tlu_ctl.scala 657:115] - node _T_468 = not(_T_467) @[dec_tlu_ctl.scala 657:110] - node _T_469 = and(_T_466, _T_468) @[dec_tlu_ctl.scala 657:108] - node _T_470 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 657:132] - node _T_471 = and(_T_469, _T_470) @[dec_tlu_ctl.scala 657:130] - ebreak_r <= _T_471 @[dec_tlu_ctl.scala 657:13] - node _T_472 = eq(io.dec_tlu_packet_r.pmu_i0_itype, UInt<4>("h09")) @[dec_tlu_ctl.scala 658:51] - node _T_473 = and(_T_472, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 658:64] - node _T_474 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 658:90] - node _T_475 = and(_T_473, _T_474) @[dec_tlu_ctl.scala 658:88] - node _T_476 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 658:110] - node _T_477 = and(_T_475, _T_476) @[dec_tlu_ctl.scala 658:108] - ecall_r <= _T_477 @[dec_tlu_ctl.scala 658:13] - node _T_478 = not(io.dec_tlu_packet_r.legal) @[dec_tlu_ctl.scala 659:17] - node _T_479 = and(_T_478, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 659:46] - node _T_480 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 659:72] - node _T_481 = and(_T_479, _T_480) @[dec_tlu_ctl.scala 659:70] - node _T_482 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 659:92] - node _T_483 = and(_T_481, _T_482) @[dec_tlu_ctl.scala 659:90] - illegal_r <= _T_483 @[dec_tlu_ctl.scala 659:13] - node _T_484 = eq(io.dec_tlu_packet_r.pmu_i0_itype, UInt<4>("h0c")) @[dec_tlu_ctl.scala 660:51] - node _T_485 = and(_T_484, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 660:64] - node _T_486 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 660:90] - node _T_487 = and(_T_485, _T_486) @[dec_tlu_ctl.scala 660:88] - node _T_488 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 660:110] - node _T_489 = and(_T_487, _T_488) @[dec_tlu_ctl.scala 660:108] - mret_r <= _T_489 @[dec_tlu_ctl.scala 660:13] - node _T_490 = and(io.dec_tlu_packet_r.fence_i, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 662:50] - node _T_491 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 662:76] - node _T_492 = and(_T_490, _T_491) @[dec_tlu_ctl.scala 662:74] - node _T_493 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 662:97] - node _T_494 = and(_T_492, _T_493) @[dec_tlu_ctl.scala 662:95] - fence_i_r <= _T_494 @[dec_tlu_ctl.scala 662:17] - node _T_495 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 663:53] - node _T_496 = and(io.tlu_mem.ifu_ic_error_start, _T_495) @[dec_tlu_ctl.scala 663:51] - node _T_497 = not(debug_mode_status) @[dec_tlu_ctl.scala 663:75] - node _T_498 = or(_T_497, dcsr_single_step_running) @[dec_tlu_ctl.scala 663:101] - node _T_499 = and(_T_496, _T_498) @[dec_tlu_ctl.scala 663:72] - node _T_500 = not(internal_pmu_fw_halt_mode_f) @[dec_tlu_ctl.scala 663:131] - node _T_501 = and(_T_499, _T_500) @[dec_tlu_ctl.scala 663:129] - ic_perr_r <= _T_501 @[dec_tlu_ctl.scala 663:17] - node _T_502 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 664:61] - node _T_503 = and(io.tlu_mem.ifu_iccm_rd_ecc_single_err, _T_502) @[dec_tlu_ctl.scala 664:59] - node _T_504 = not(debug_mode_status) @[dec_tlu_ctl.scala 664:83] - node _T_505 = or(_T_504, dcsr_single_step_running) @[dec_tlu_ctl.scala 664:109] - node _T_506 = and(_T_503, _T_505) @[dec_tlu_ctl.scala 664:80] - node _T_507 = not(internal_pmu_fw_halt_mode_f) @[dec_tlu_ctl.scala 664:139] - node _T_508 = and(_T_506, _T_507) @[dec_tlu_ctl.scala 664:137] - iccm_sbecc_r <= _T_508 @[dec_tlu_ctl.scala 664:17] - node _T_509 = and(io.dec_tlu_packet_r.icaf, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 665:49] - inst_acc_r_raw <= _T_509 @[dec_tlu_ctl.scala 665:20] - node _T_510 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 666:35] - node _T_511 = and(inst_acc_r_raw, _T_510) @[dec_tlu_ctl.scala 666:33] - node _T_512 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 666:48] - node _T_513 = and(_T_511, _T_512) @[dec_tlu_ctl.scala 666:46] - inst_acc_r <= _T_513 @[dec_tlu_ctl.scala 666:15] - node _T_514 = eq(io.dec_tlu_packet_r.pmu_i0_itype, UInt<4>("h08")) @[dec_tlu_ctl.scala 669:64] - node _T_515 = and(_T_514, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 669:77] - node _T_516 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 669:103] - node _T_517 = and(_T_515, _T_516) @[dec_tlu_ctl.scala 669:101] - node _T_518 = bits(dcsr, 15, 15) @[dec_tlu_ctl.scala 669:127] - node _T_519 = and(_T_517, _T_518) @[dec_tlu_ctl.scala 669:121] - node _T_520 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 669:144] - node _T_521 = and(_T_519, _T_520) @[dec_tlu_ctl.scala 669:142] - ebreak_to_debug_mode_r <= _T_521 @[dec_tlu_ctl.scala 669:27] - reg _T_522 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 671:64] - _T_522 <= ebreak_to_debug_mode_r @[dec_tlu_ctl.scala 671:64] - ebreak_to_debug_mode_r_d1 <= _T_522 @[dec_tlu_ctl.scala 671:34] - io.tlu_mem.dec_tlu_fence_i_wb <= fence_i_r @[dec_tlu_ctl.scala 672:39] - node _T_523 = or(ebreak_r, ecall_r) @[dec_tlu_ctl.scala 685:41] - node _T_524 = or(_T_523, illegal_r) @[dec_tlu_ctl.scala 685:51] - node _T_525 = or(_T_524, inst_acc_r) @[dec_tlu_ctl.scala 685:63] - node _T_526 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 685:79] - node _T_527 = and(_T_525, _T_526) @[dec_tlu_ctl.scala 685:77] - node _T_528 = not(io.dec_tlu_dbg_halted) @[dec_tlu_ctl.scala 685:92] - node i0_exception_valid_r = and(_T_527, _T_528) @[dec_tlu_ctl.scala 685:90] - node _T_529 = not(take_nmi) @[dec_tlu_ctl.scala 694:33] - node _T_530 = and(take_ext_int, _T_529) @[dec_tlu_ctl.scala 694:31] - node _T_531 = bits(_T_530, 0, 0) @[dec_tlu_ctl.scala 694:44] - node _T_532 = not(take_nmi) @[dec_tlu_ctl.scala 695:27] - node _T_533 = and(take_timer_int, _T_532) @[dec_tlu_ctl.scala 695:25] - node _T_534 = bits(_T_533, 0, 0) @[dec_tlu_ctl.scala 695:38] - node _T_535 = not(take_nmi) @[dec_tlu_ctl.scala 696:26] - node _T_536 = and(take_soft_int, _T_535) @[dec_tlu_ctl.scala 696:24] - node _T_537 = bits(_T_536, 0, 0) @[dec_tlu_ctl.scala 696:37] - node _T_538 = not(take_nmi) @[dec_tlu_ctl.scala 697:32] - node _T_539 = and(take_int_timer0_int, _T_538) @[dec_tlu_ctl.scala 697:30] - node _T_540 = bits(_T_539, 0, 0) @[dec_tlu_ctl.scala 697:43] - node _T_541 = not(take_nmi) @[dec_tlu_ctl.scala 698:32] - node _T_542 = and(take_int_timer1_int, _T_541) @[dec_tlu_ctl.scala 698:30] - node _T_543 = bits(_T_542, 0, 0) @[dec_tlu_ctl.scala 698:43] - node _T_544 = not(take_nmi) @[dec_tlu_ctl.scala 699:24] - node _T_545 = and(take_ce_int, _T_544) @[dec_tlu_ctl.scala 699:22] - node _T_546 = bits(_T_545, 0, 0) @[dec_tlu_ctl.scala 699:35] - node _T_547 = not(take_nmi) @[dec_tlu_ctl.scala 700:22] - node _T_548 = and(illegal_r, _T_547) @[dec_tlu_ctl.scala 700:20] - node _T_549 = bits(_T_548, 0, 0) @[dec_tlu_ctl.scala 700:33] - node _T_550 = not(take_nmi) @[dec_tlu_ctl.scala 701:21] - node _T_551 = and(ecall_r, _T_550) @[dec_tlu_ctl.scala 701:19] - node _T_552 = bits(_T_551, 0, 0) @[dec_tlu_ctl.scala 701:32] - node _T_553 = not(take_nmi) @[dec_tlu_ctl.scala 702:24] - node _T_554 = and(inst_acc_r, _T_553) @[dec_tlu_ctl.scala 702:22] - node _T_555 = bits(_T_554, 0, 0) @[dec_tlu_ctl.scala 702:35] - node _T_556 = or(ebreak_r, i0_trigger_hit_r) @[dec_tlu_ctl.scala 703:20] - node _T_557 = not(take_nmi) @[dec_tlu_ctl.scala 703:42] - node _T_558 = and(_T_556, _T_557) @[dec_tlu_ctl.scala 703:40] - node _T_559 = bits(_T_558, 0, 0) @[dec_tlu_ctl.scala 703:53] - node _T_560 = not(lsu_exc_st_r) @[dec_tlu_ctl.scala 704:25] - node _T_561 = and(lsu_exc_ma_r, _T_560) @[dec_tlu_ctl.scala 704:23] - node _T_562 = not(take_nmi) @[dec_tlu_ctl.scala 704:41] - node _T_563 = and(_T_561, _T_562) @[dec_tlu_ctl.scala 704:39] - node _T_564 = bits(_T_563, 0, 0) @[dec_tlu_ctl.scala 704:52] - node _T_565 = not(lsu_exc_st_r) @[dec_tlu_ctl.scala 705:26] - node _T_566 = and(lsu_exc_acc_r, _T_565) @[dec_tlu_ctl.scala 705:24] - node _T_567 = not(take_nmi) @[dec_tlu_ctl.scala 705:42] - node _T_568 = and(_T_566, _T_567) @[dec_tlu_ctl.scala 705:40] - node _T_569 = bits(_T_568, 0, 0) @[dec_tlu_ctl.scala 705:53] - node _T_570 = and(lsu_exc_ma_r, lsu_exc_st_r) @[dec_tlu_ctl.scala 706:23] - node _T_571 = not(take_nmi) @[dec_tlu_ctl.scala 706:40] - node _T_572 = and(_T_570, _T_571) @[dec_tlu_ctl.scala 706:38] - node _T_573 = bits(_T_572, 0, 0) @[dec_tlu_ctl.scala 706:51] - node _T_574 = and(lsu_exc_acc_r, lsu_exc_st_r) @[dec_tlu_ctl.scala 707:24] - node _T_575 = not(take_nmi) @[dec_tlu_ctl.scala 707:41] - node _T_576 = and(_T_574, _T_575) @[dec_tlu_ctl.scala 707:39] - node _T_577 = bits(_T_576, 0, 0) @[dec_tlu_ctl.scala 707:52] + node update_hit_bit_r = and(_T_342, i0_trigger_chain_masked_r) @[dec_tlu_ctl.scala 533:56] + node _T_343 = and(i0_trigger_chain_masked_r, trigger_action) @[dec_tlu_ctl.scala 536:57] + node i0_trigger_action_r = orr(_T_343) @[dec_tlu_ctl.scala 536:75] + node _T_344 = and(i0_trigger_hit_r, i0_trigger_action_r) @[dec_tlu_ctl.scala 538:45] + trigger_hit_dmode_r <= _T_344 @[dec_tlu_ctl.scala 538:24] + node _T_345 = not(trigger_hit_dmode_r) @[dec_tlu_ctl.scala 540:55] + node mepc_trigger_hit_sel_pc_r = and(i0_trigger_hit_r, _T_345) @[dec_tlu_ctl.scala 540:53] + node _T_346 = not(io.dec_tlu_debug_mode) @[dec_tlu_ctl.scala 565:62] + node _T_347 = and(i_cpu_halt_req_sync, _T_346) @[dec_tlu_ctl.scala 565:60] + node _T_348 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 565:87] + node i_cpu_halt_req_sync_qual = and(_T_347, _T_348) @[dec_tlu_ctl.scala 565:85] + node _T_349 = not(io.dec_tlu_debug_mode) @[dec_tlu_ctl.scala 566:60] + node _T_350 = and(i_cpu_run_req_sync, _T_349) @[dec_tlu_ctl.scala 566:58] + node _T_351 = and(_T_350, pmu_fw_tlu_halted_f) @[dec_tlu_ctl.scala 566:83] + node _T_352 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 566:107] + node i_cpu_run_req_sync_qual = and(_T_351, _T_352) @[dec_tlu_ctl.scala 566:105] + reg i_cpu_halt_req_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 568:81] + i_cpu_halt_req_d1 <= i_cpu_halt_req_sync_qual @[dec_tlu_ctl.scala 568:81] + reg i_cpu_run_req_d1_raw : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 569:81] + i_cpu_run_req_d1_raw <= i_cpu_run_req_sync_qual @[dec_tlu_ctl.scala 569:81] + reg _T_353 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 570:82] + _T_353 <= cpu_halt_status @[dec_tlu_ctl.scala 570:82] + io.o_cpu_halt_status <= _T_353 @[dec_tlu_ctl.scala 570:49] + reg _T_354 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 571:82] + _T_354 <= cpu_halt_ack @[dec_tlu_ctl.scala 571:82] + io.o_cpu_halt_ack <= _T_354 @[dec_tlu_ctl.scala 571:49] + reg _T_355 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 572:82] + _T_355 <= cpu_run_ack @[dec_tlu_ctl.scala 572:82] + io.o_cpu_run_ack <= _T_355 @[dec_tlu_ctl.scala 572:49] + reg internal_pmu_fw_halt_mode_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 573:70] + internal_pmu_fw_halt_mode_f <= internal_pmu_fw_halt_mode @[dec_tlu_ctl.scala 573:70] + reg _T_356 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 574:82] + _T_356 <= pmu_fw_halt_req_ns @[dec_tlu_ctl.scala 574:82] + pmu_fw_halt_req_f <= _T_356 @[dec_tlu_ctl.scala 574:49] + reg _T_357 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 575:74] + _T_357 <= pmu_fw_tlu_halted @[dec_tlu_ctl.scala 575:74] + pmu_fw_tlu_halted_f <= _T_357 @[dec_tlu_ctl.scala 575:41] + reg _T_358 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 576:74] + _T_358 <= int_timer0_int_hold @[dec_tlu_ctl.scala 576:74] + int_timer0_int_hold_f <= _T_358 @[dec_tlu_ctl.scala 576:41] + reg _T_359 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 577:74] + _T_359 <= int_timer1_int_hold @[dec_tlu_ctl.scala 577:74] + int_timer1_int_hold_f <= _T_359 @[dec_tlu_ctl.scala 577:41] + node _T_360 = not(i_cpu_halt_req_d1) @[dec_tlu_ctl.scala 581:52] + node ext_halt_pulse = and(i_cpu_halt_req_sync_qual, _T_360) @[dec_tlu_ctl.scala 581:50] + node enter_pmu_fw_halt_req = or(ext_halt_pulse, fw_halt_req) @[dec_tlu_ctl.scala 582:48] + node _T_361 = not(pmu_fw_tlu_halted) @[dec_tlu_ctl.scala 583:72] + node _T_362 = and(pmu_fw_halt_req_f, _T_361) @[dec_tlu_ctl.scala 583:70] + node _T_363 = or(enter_pmu_fw_halt_req, _T_362) @[dec_tlu_ctl.scala 583:49] + node _T_364 = not(debug_halt_req_f) @[dec_tlu_ctl.scala 583:95] + node _T_365 = and(_T_363, _T_364) @[dec_tlu_ctl.scala 583:93] + pmu_fw_halt_req_ns <= _T_365 @[dec_tlu_ctl.scala 583:23] + node _T_366 = not(i_cpu_run_req_d1) @[dec_tlu_ctl.scala 584:85] + node _T_367 = and(internal_pmu_fw_halt_mode_f, _T_366) @[dec_tlu_ctl.scala 584:83] + node _T_368 = not(debug_halt_req_f) @[dec_tlu_ctl.scala 584:105] + node _T_369 = and(_T_367, _T_368) @[dec_tlu_ctl.scala 584:103] + node _T_370 = or(pmu_fw_halt_req_ns, _T_369) @[dec_tlu_ctl.scala 584:52] + internal_pmu_fw_halt_mode <= _T_370 @[dec_tlu_ctl.scala 584:30] + node _T_371 = and(pmu_fw_halt_req_f, core_empty) @[dec_tlu_ctl.scala 587:45] + node _T_372 = and(_T_371, halt_taken) @[dec_tlu_ctl.scala 587:58] + node _T_373 = not(enter_debug_halt_req) @[dec_tlu_ctl.scala 587:73] + node _T_374 = and(_T_372, _T_373) @[dec_tlu_ctl.scala 587:71] + node _T_375 = not(i_cpu_run_req_d1) @[dec_tlu_ctl.scala 587:121] + node _T_376 = and(pmu_fw_tlu_halted_f, _T_375) @[dec_tlu_ctl.scala 587:119] + node _T_377 = or(_T_374, _T_376) @[dec_tlu_ctl.scala 587:96] + node _T_378 = not(debug_halt_req_f) @[dec_tlu_ctl.scala 587:143] + node _T_379 = and(_T_377, _T_378) @[dec_tlu_ctl.scala 587:141] + pmu_fw_tlu_halted <= _T_379 @[dec_tlu_ctl.scala 587:22] + node _T_380 = and(i_cpu_halt_req_d1, pmu_fw_tlu_halted_f) @[dec_tlu_ctl.scala 589:38] + cpu_halt_ack <= _T_380 @[dec_tlu_ctl.scala 589:17] + node _T_381 = not(i_cpu_run_req_d1) @[dec_tlu_ctl.scala 590:46] + node _T_382 = and(pmu_fw_tlu_halted_f, _T_381) @[dec_tlu_ctl.scala 590:44] + node _T_383 = not(i_cpu_run_req_d1) @[dec_tlu_ctl.scala 590:91] + node _T_384 = and(io.o_cpu_halt_status, _T_383) @[dec_tlu_ctl.scala 590:89] + node _T_385 = not(debug_mode_status) @[dec_tlu_ctl.scala 590:111] + node _T_386 = and(_T_384, _T_385) @[dec_tlu_ctl.scala 590:109] + node _T_387 = or(_T_382, _T_386) @[dec_tlu_ctl.scala 590:65] + cpu_halt_status <= _T_387 @[dec_tlu_ctl.scala 590:20] + node _T_388 = and(io.o_cpu_halt_status, i_cpu_run_req_sync_qual) @[dec_tlu_ctl.scala 591:41] + node _T_389 = and(io.o_cpu_run_ack, i_cpu_run_req_sync_qual) @[dec_tlu_ctl.scala 591:88] + node _T_390 = or(_T_388, _T_389) @[dec_tlu_ctl.scala 591:68] + cpu_run_ack <= _T_390 @[dec_tlu_ctl.scala 591:16] + io.o_debug_mode_status <= debug_mode_status @[dec_tlu_ctl.scala 593:27] + node _T_391 = or(nmi_int_detected, timer_int_ready) @[dec_tlu_ctl.scala 596:66] + node _T_392 = or(_T_391, soft_int_ready) @[dec_tlu_ctl.scala 596:84] + node _T_393 = or(_T_392, int_timer0_int_hold_f) @[dec_tlu_ctl.scala 596:101] + node _T_394 = or(_T_393, int_timer1_int_hold_f) @[dec_tlu_ctl.scala 596:125] + node _T_395 = and(io.dec_pic.mhwakeup, mhwakeup_ready) @[dec_tlu_ctl.scala 596:172] + node _T_396 = or(_T_394, _T_395) @[dec_tlu_ctl.scala 596:149] + node _T_397 = and(_T_396, io.o_cpu_halt_status) @[dec_tlu_ctl.scala 596:191] + node _T_398 = not(i_cpu_halt_req_d1) @[dec_tlu_ctl.scala 596:216] + node _T_399 = and(_T_397, _T_398) @[dec_tlu_ctl.scala 596:214] + node _T_400 = or(i_cpu_run_req_d1_raw, _T_399) @[dec_tlu_ctl.scala 596:45] + i_cpu_run_req_d1 <= _T_400 @[dec_tlu_ctl.scala 596:21] + reg _T_401 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 602:89] + _T_401 <= mdseac_locked_ns @[dec_tlu_ctl.scala 602:89] + mdseac_locked_f <= _T_401 @[dec_tlu_ctl.scala 602:57] + reg lsu_single_ecc_error_r_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 603:72] + lsu_single_ecc_error_r_d1 <= io.lsu_single_ecc_error_incr @[dec_tlu_ctl.scala 603:72] + node _T_402 = not(io.dec_tlu_flush_lower_wb) @[dec_tlu_ctl.scala 605:57] + node lsu_exc_valid_r_raw = and(io.lsu_error_pkt_r.valid, _T_402) @[dec_tlu_ctl.scala 605:55] + lsu_i0_exc_r_raw <= io.lsu_error_pkt_r.valid @[dec_tlu_ctl.scala 606:21] + node _T_403 = and(lsu_i0_exc_r_raw, lsu_exc_valid_r_raw) @[dec_tlu_ctl.scala 607:40] + node _T_404 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 607:64] + node _T_405 = and(_T_403, _T_404) @[dec_tlu_ctl.scala 607:62] + node _T_406 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 607:84] + node lsu_exc_valid_r = and(_T_405, _T_406) @[dec_tlu_ctl.scala 607:82] + reg _T_407 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 609:74] + _T_407 <= lsu_exc_valid_r @[dec_tlu_ctl.scala 609:74] + lsu_exc_valid_r_d1 <= _T_407 @[dec_tlu_ctl.scala 609:41] + reg lsu_i0_exc_r_d1 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 610:73] + lsu_i0_exc_r_d1 <= lsu_exc_valid_r @[dec_tlu_ctl.scala 610:73] + node _T_408 = not(io.lsu_error_pkt_r.bits.exc_type) @[dec_tlu_ctl.scala 611:40] + node lsu_exc_ma_r = and(lsu_exc_valid_r, _T_408) @[dec_tlu_ctl.scala 611:38] + node lsu_exc_acc_r = and(lsu_exc_valid_r, io.lsu_error_pkt_r.bits.exc_type) @[dec_tlu_ctl.scala 612:38] + node lsu_exc_st_r = and(lsu_exc_valid_r, io.lsu_error_pkt_r.bits.inst_type) @[dec_tlu_ctl.scala 613:38] + node _T_409 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 617:49] + node _T_410 = and(io.dec_tlu_i0_valid_r, _T_409) @[dec_tlu_ctl.scala 617:47] + node _T_411 = not(io.lsu_error_pkt_r.bits.inst_type) @[dec_tlu_ctl.scala 617:70] + node _T_412 = and(_T_411, io.lsu_error_pkt_r.bits.single_ecc_error) @[dec_tlu_ctl.scala 617:105] + node lsu_i0_rfnpc_r = and(_T_410, _T_412) @[dec_tlu_ctl.scala 617:67] + node _T_413 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 620:52] + node _T_414 = and(io.dec_tlu_i0_valid_r, _T_413) @[dec_tlu_ctl.scala 620:50] + node _T_415 = not(lsu_exc_valid_r) @[dec_tlu_ctl.scala 620:65] + node _T_416 = and(_T_414, _T_415) @[dec_tlu_ctl.scala 620:63] + node _T_417 = not(inst_acc_r) @[dec_tlu_ctl.scala 620:82] + node _T_418 = and(_T_416, _T_417) @[dec_tlu_ctl.scala 620:79] + node _T_419 = not(io.dec_tlu_dbg_halted) @[dec_tlu_ctl.scala 620:96] + node _T_420 = and(_T_418, _T_419) @[dec_tlu_ctl.scala 620:94] + node _T_421 = not(request_debug_mode_r_d1) @[dec_tlu_ctl.scala 620:121] + node _T_422 = and(_T_420, _T_421) @[dec_tlu_ctl.scala 620:119] + node _T_423 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 620:148] + node tlu_i0_commit_cmt = and(_T_422, _T_423) @[dec_tlu_ctl.scala 620:146] + node _T_424 = or(rfpc_i0_r, lsu_exc_valid_r) @[dec_tlu_ctl.scala 623:38] + node _T_425 = or(_T_424, inst_acc_r) @[dec_tlu_ctl.scala 623:53] + node _T_426 = and(illegal_r, io.dec_tlu_dbg_halted) @[dec_tlu_ctl.scala 623:79] + node _T_427 = or(_T_425, _T_426) @[dec_tlu_ctl.scala 623:66] + node _T_428 = or(_T_427, i0_trigger_hit_r) @[dec_tlu_ctl.scala 623:104] + tlu_i0_kill_writeb_r <= _T_428 @[dec_tlu_ctl.scala 623:25] + io.tlu_mem.dec_tlu_i0_commit_cmt <= tlu_i0_commit_cmt @[dec_tlu_ctl.scala 624:37] + node _T_429 = not(tlu_flush_lower_r_d1) @[dec_tlu_ctl.scala 629:44] + node _T_430 = and(io.dec_tlu_i0_valid_r, _T_429) @[dec_tlu_ctl.scala 629:42] + node _T_431 = or(io.tlu_exu.exu_i0_br_error_r, io.tlu_exu.exu_i0_br_start_error_r) @[dec_tlu_ctl.scala 629:98] + node _T_432 = and(_T_430, _T_431) @[dec_tlu_ctl.scala 629:66] + node _T_433 = or(ic_perr_r_d1, iccm_sbecc_r_d1) @[dec_tlu_ctl.scala 629:154] + node _T_434 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 629:175] + node _T_435 = and(_T_433, _T_434) @[dec_tlu_ctl.scala 629:173] + node _T_436 = or(_T_432, _T_435) @[dec_tlu_ctl.scala 629:137] + node _T_437 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 629:199] + node _T_438 = and(_T_436, _T_437) @[dec_tlu_ctl.scala 629:196] + node _T_439 = not(lsu_i0_rfnpc_r) @[dec_tlu_ctl.scala 629:220] + node _T_440 = and(_T_438, _T_439) @[dec_tlu_ctl.scala 629:217] + rfpc_i0_r <= _T_440 @[dec_tlu_ctl.scala 629:14] + node _T_441 = not(io.tlu_exu.dec_tlu_flush_lower_r) @[dec_tlu_ctl.scala 632:70] + node _T_442 = and(iccm_repair_state_d1, _T_441) @[dec_tlu_ctl.scala 632:68] + node _T_443 = or(iccm_sbecc_r_d1, _T_442) @[dec_tlu_ctl.scala 632:44] + iccm_repair_state_ns <= _T_443 @[dec_tlu_ctl.scala 632:25] + node _T_444 = and(tlu_i0_commit_cmt, iccm_repair_state_d1) @[dec_tlu_ctl.scala 638:52] + node _T_445 = or(ebreak_r, ecall_r) @[dec_tlu_ctl.scala 638:88] + node _T_446 = or(_T_445, mret_r) @[dec_tlu_ctl.scala 638:98] + node _T_447 = or(_T_446, take_reset) @[dec_tlu_ctl.scala 638:107] + node _T_448 = or(_T_447, illegal_r) @[dec_tlu_ctl.scala 638:120] + node _T_449 = eq(io.dec_csr_wraddr_r, UInt<12>("h07c2")) @[dec_tlu_ctl.scala 638:176] + node _T_450 = and(dec_csr_wen_r_mod, _T_449) @[dec_tlu_ctl.scala 638:153] + node _T_451 = or(_T_448, _T_450) @[dec_tlu_ctl.scala 638:132] + node _T_452 = not(_T_451) @[dec_tlu_ctl.scala 638:77] + node iccm_repair_state_rfnpc = and(_T_444, _T_452) @[dec_tlu_ctl.scala 638:75] + node _T_453 = and(io.tlu_exu.exu_i0_br_error_r, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 641:59] + node _T_454 = not(tlu_flush_lower_r_d1) @[dec_tlu_ctl.scala 641:85] + node dec_tlu_br0_error_r = and(_T_453, _T_454) @[dec_tlu_ctl.scala 641:83] + node _T_455 = and(io.tlu_exu.exu_i0_br_start_error_r, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 642:71] + node _T_456 = not(tlu_flush_lower_r_d1) @[dec_tlu_ctl.scala 642:97] + node dec_tlu_br0_start_error_r = and(_T_455, _T_456) @[dec_tlu_ctl.scala 642:95] + node _T_457 = and(io.tlu_exu.exu_i0_br_valid_r, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 643:55] + node _T_458 = not(tlu_flush_lower_r_d1) @[dec_tlu_ctl.scala 643:81] + node _T_459 = and(_T_457, _T_458) @[dec_tlu_ctl.scala 643:79] + node _T_460 = not(io.tlu_exu.exu_i0_br_mp_r) @[dec_tlu_ctl.scala 643:106] + node _T_461 = not(io.tlu_exu.exu_pmu_i0_br_ataken) @[dec_tlu_ctl.scala 643:135] + node _T_462 = or(_T_460, _T_461) @[dec_tlu_ctl.scala 643:133] + node dec_tlu_br0_v_r = and(_T_459, _T_462) @[dec_tlu_ctl.scala 643:103] + io.tlu_bp.dec_tlu_br0_r_pkt.bits.hist <= io.tlu_exu.exu_i0_br_hist_r @[dec_tlu_ctl.scala 646:65] + io.tlu_bp.dec_tlu_br0_r_pkt.bits.br_error <= dec_tlu_br0_error_r @[dec_tlu_ctl.scala 647:57] + io.tlu_bp.dec_tlu_br0_r_pkt.bits.br_start_error <= dec_tlu_br0_start_error_r @[dec_tlu_ctl.scala 648:57] + io.tlu_bp.dec_tlu_br0_r_pkt.valid <= dec_tlu_br0_v_r @[dec_tlu_ctl.scala 649:57] + io.tlu_bp.dec_tlu_br0_r_pkt.bits.way <= io.exu_i0_br_way_r @[dec_tlu_ctl.scala 650:65] + io.tlu_bp.dec_tlu_br0_r_pkt.bits.middle <= io.tlu_exu.exu_i0_br_middle_r @[dec_tlu_ctl.scala 651:65] + node _T_463 = eq(io.dec_tlu_packet_r.pmu_i0_itype, UInt<4>("h08")) @[dec_tlu_ctl.scala 654:52] + node _T_464 = and(_T_463, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 654:65] + node _T_465 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 654:91] + node _T_466 = and(_T_464, _T_465) @[dec_tlu_ctl.scala 654:89] + node _T_467 = bits(dcsr, 15, 15) @[dec_tlu_ctl.scala 654:116] + node _T_468 = not(_T_467) @[dec_tlu_ctl.scala 654:111] + node _T_469 = and(_T_466, _T_468) @[dec_tlu_ctl.scala 654:109] + node _T_470 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 654:133] + node _T_471 = and(_T_469, _T_470) @[dec_tlu_ctl.scala 654:131] + ebreak_r <= _T_471 @[dec_tlu_ctl.scala 654:14] + node _T_472 = eq(io.dec_tlu_packet_r.pmu_i0_itype, UInt<4>("h09")) @[dec_tlu_ctl.scala 655:52] + node _T_473 = and(_T_472, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 655:65] + node _T_474 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 655:91] + node _T_475 = and(_T_473, _T_474) @[dec_tlu_ctl.scala 655:89] + node _T_476 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 655:111] + node _T_477 = and(_T_475, _T_476) @[dec_tlu_ctl.scala 655:109] + ecall_r <= _T_477 @[dec_tlu_ctl.scala 655:14] + node _T_478 = not(io.dec_tlu_packet_r.legal) @[dec_tlu_ctl.scala 656:18] + node _T_479 = and(_T_478, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 656:47] + node _T_480 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 656:73] + node _T_481 = and(_T_479, _T_480) @[dec_tlu_ctl.scala 656:71] + node _T_482 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 656:93] + node _T_483 = and(_T_481, _T_482) @[dec_tlu_ctl.scala 656:91] + illegal_r <= _T_483 @[dec_tlu_ctl.scala 656:14] + node _T_484 = eq(io.dec_tlu_packet_r.pmu_i0_itype, UInt<4>("h0c")) @[dec_tlu_ctl.scala 657:58] + node _T_485 = and(_T_484, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 657:71] + node _T_486 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 657:97] + node _T_487 = and(_T_485, _T_486) @[dec_tlu_ctl.scala 657:95] + node _T_488 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 657:117] + node _T_489 = and(_T_487, _T_488) @[dec_tlu_ctl.scala 657:115] + mret_r <= _T_489 @[dec_tlu_ctl.scala 657:20] + node _T_490 = and(io.dec_tlu_packet_r.fence_i, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 659:50] + node _T_491 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 659:76] + node _T_492 = and(_T_490, _T_491) @[dec_tlu_ctl.scala 659:74] + node _T_493 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 659:97] + node _T_494 = and(_T_492, _T_493) @[dec_tlu_ctl.scala 659:95] + fence_i_r <= _T_494 @[dec_tlu_ctl.scala 659:17] + node _T_495 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 660:53] + node _T_496 = and(io.tlu_mem.ifu_ic_error_start, _T_495) @[dec_tlu_ctl.scala 660:51] + node _T_497 = not(debug_mode_status) @[dec_tlu_ctl.scala 660:75] + node _T_498 = or(_T_497, dcsr_single_step_running) @[dec_tlu_ctl.scala 660:101] + node _T_499 = and(_T_496, _T_498) @[dec_tlu_ctl.scala 660:72] + node _T_500 = not(internal_pmu_fw_halt_mode_f) @[dec_tlu_ctl.scala 660:131] + node _T_501 = and(_T_499, _T_500) @[dec_tlu_ctl.scala 660:129] + ic_perr_r <= _T_501 @[dec_tlu_ctl.scala 660:17] + node _T_502 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 661:61] + node _T_503 = and(io.tlu_mem.ifu_iccm_rd_ecc_single_err, _T_502) @[dec_tlu_ctl.scala 661:59] + node _T_504 = not(debug_mode_status) @[dec_tlu_ctl.scala 661:83] + node _T_505 = or(_T_504, dcsr_single_step_running) @[dec_tlu_ctl.scala 661:109] + node _T_506 = and(_T_503, _T_505) @[dec_tlu_ctl.scala 661:80] + node _T_507 = not(internal_pmu_fw_halt_mode_f) @[dec_tlu_ctl.scala 661:139] + node _T_508 = and(_T_506, _T_507) @[dec_tlu_ctl.scala 661:137] + iccm_sbecc_r <= _T_508 @[dec_tlu_ctl.scala 661:17] + node _T_509 = and(io.dec_tlu_packet_r.icaf, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 662:49] + inst_acc_r_raw <= _T_509 @[dec_tlu_ctl.scala 662:20] + node _T_510 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 663:35] + node _T_511 = and(inst_acc_r_raw, _T_510) @[dec_tlu_ctl.scala 663:33] + node _T_512 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 663:48] + node _T_513 = and(_T_511, _T_512) @[dec_tlu_ctl.scala 663:46] + inst_acc_r <= _T_513 @[dec_tlu_ctl.scala 663:15] + node _T_514 = eq(io.dec_tlu_packet_r.pmu_i0_itype, UInt<4>("h08")) @[dec_tlu_ctl.scala 666:64] + node _T_515 = and(_T_514, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 666:77] + node _T_516 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 666:103] + node _T_517 = and(_T_515, _T_516) @[dec_tlu_ctl.scala 666:101] + node _T_518 = bits(dcsr, 15, 15) @[dec_tlu_ctl.scala 666:127] + node _T_519 = and(_T_517, _T_518) @[dec_tlu_ctl.scala 666:121] + node _T_520 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 666:144] + node _T_521 = and(_T_519, _T_520) @[dec_tlu_ctl.scala 666:142] + ebreak_to_debug_mode_r <= _T_521 @[dec_tlu_ctl.scala 666:27] + reg _T_522 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 668:64] + _T_522 <= ebreak_to_debug_mode_r @[dec_tlu_ctl.scala 668:64] + ebreak_to_debug_mode_r_d1 <= _T_522 @[dec_tlu_ctl.scala 668:34] + io.tlu_mem.dec_tlu_fence_i_wb <= fence_i_r @[dec_tlu_ctl.scala 669:39] + node _T_523 = or(ebreak_r, ecall_r) @[dec_tlu_ctl.scala 682:41] + node _T_524 = or(_T_523, illegal_r) @[dec_tlu_ctl.scala 682:51] + node _T_525 = or(_T_524, inst_acc_r) @[dec_tlu_ctl.scala 682:63] + node _T_526 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 682:79] + node _T_527 = and(_T_525, _T_526) @[dec_tlu_ctl.scala 682:77] + node _T_528 = not(io.dec_tlu_dbg_halted) @[dec_tlu_ctl.scala 682:92] + node i0_exception_valid_r = and(_T_527, _T_528) @[dec_tlu_ctl.scala 682:90] + node _T_529 = not(take_nmi) @[dec_tlu_ctl.scala 691:33] + node _T_530 = and(take_ext_int, _T_529) @[dec_tlu_ctl.scala 691:31] + node _T_531 = bits(_T_530, 0, 0) @[dec_tlu_ctl.scala 691:44] + node _T_532 = not(take_nmi) @[dec_tlu_ctl.scala 692:27] + node _T_533 = and(take_timer_int, _T_532) @[dec_tlu_ctl.scala 692:25] + node _T_534 = bits(_T_533, 0, 0) @[dec_tlu_ctl.scala 692:38] + node _T_535 = not(take_nmi) @[dec_tlu_ctl.scala 693:26] + node _T_536 = and(take_soft_int, _T_535) @[dec_tlu_ctl.scala 693:24] + node _T_537 = bits(_T_536, 0, 0) @[dec_tlu_ctl.scala 693:37] + node _T_538 = not(take_nmi) @[dec_tlu_ctl.scala 694:32] + node _T_539 = and(take_int_timer0_int, _T_538) @[dec_tlu_ctl.scala 694:30] + node _T_540 = bits(_T_539, 0, 0) @[dec_tlu_ctl.scala 694:43] + node _T_541 = not(take_nmi) @[dec_tlu_ctl.scala 695:32] + node _T_542 = and(take_int_timer1_int, _T_541) @[dec_tlu_ctl.scala 695:30] + node _T_543 = bits(_T_542, 0, 0) @[dec_tlu_ctl.scala 695:43] + node _T_544 = not(take_nmi) @[dec_tlu_ctl.scala 696:24] + node _T_545 = and(take_ce_int, _T_544) @[dec_tlu_ctl.scala 696:22] + node _T_546 = bits(_T_545, 0, 0) @[dec_tlu_ctl.scala 696:35] + node _T_547 = not(take_nmi) @[dec_tlu_ctl.scala 697:22] + node _T_548 = and(illegal_r, _T_547) @[dec_tlu_ctl.scala 697:20] + node _T_549 = bits(_T_548, 0, 0) @[dec_tlu_ctl.scala 697:33] + node _T_550 = not(take_nmi) @[dec_tlu_ctl.scala 698:21] + node _T_551 = and(ecall_r, _T_550) @[dec_tlu_ctl.scala 698:19] + node _T_552 = bits(_T_551, 0, 0) @[dec_tlu_ctl.scala 698:32] + node _T_553 = not(take_nmi) @[dec_tlu_ctl.scala 699:24] + node _T_554 = and(inst_acc_r, _T_553) @[dec_tlu_ctl.scala 699:22] + node _T_555 = bits(_T_554, 0, 0) @[dec_tlu_ctl.scala 699:35] + node _T_556 = or(ebreak_r, i0_trigger_hit_r) @[dec_tlu_ctl.scala 700:20] + node _T_557 = not(take_nmi) @[dec_tlu_ctl.scala 700:42] + node _T_558 = and(_T_556, _T_557) @[dec_tlu_ctl.scala 700:40] + node _T_559 = bits(_T_558, 0, 0) @[dec_tlu_ctl.scala 700:53] + node _T_560 = not(lsu_exc_st_r) @[dec_tlu_ctl.scala 701:25] + node _T_561 = and(lsu_exc_ma_r, _T_560) @[dec_tlu_ctl.scala 701:23] + node _T_562 = not(take_nmi) @[dec_tlu_ctl.scala 701:41] + node _T_563 = and(_T_561, _T_562) @[dec_tlu_ctl.scala 701:39] + node _T_564 = bits(_T_563, 0, 0) @[dec_tlu_ctl.scala 701:52] + node _T_565 = not(lsu_exc_st_r) @[dec_tlu_ctl.scala 702:26] + node _T_566 = and(lsu_exc_acc_r, _T_565) @[dec_tlu_ctl.scala 702:24] + node _T_567 = not(take_nmi) @[dec_tlu_ctl.scala 702:42] + node _T_568 = and(_T_566, _T_567) @[dec_tlu_ctl.scala 702:40] + node _T_569 = bits(_T_568, 0, 0) @[dec_tlu_ctl.scala 702:53] + node _T_570 = and(lsu_exc_ma_r, lsu_exc_st_r) @[dec_tlu_ctl.scala 703:23] + node _T_571 = not(take_nmi) @[dec_tlu_ctl.scala 703:40] + node _T_572 = and(_T_570, _T_571) @[dec_tlu_ctl.scala 703:38] + node _T_573 = bits(_T_572, 0, 0) @[dec_tlu_ctl.scala 703:51] + node _T_574 = and(lsu_exc_acc_r, lsu_exc_st_r) @[dec_tlu_ctl.scala 704:24] + node _T_575 = not(take_nmi) @[dec_tlu_ctl.scala 704:41] + node _T_576 = and(_T_574, _T_575) @[dec_tlu_ctl.scala 704:39] + node _T_577 = bits(_T_576, 0, 0) @[dec_tlu_ctl.scala 704:52] node _T_578 = mux(_T_531, UInt<5>("h0b"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_579 = mux(_T_534, UInt<5>("h07"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_580 = mux(_T_537, UInt<5>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -78986,281 +78981,281 @@ circuit quasar_wrapper : node _T_604 = or(_T_603, _T_591) @[Mux.scala 27:72] wire exc_cause_r : UInt<5> @[Mux.scala 27:72] exc_cause_r <= _T_604 @[Mux.scala 27:72] - node _T_605 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 718:24] - node _T_606 = and(_T_605, mstatus_mie_ns) @[dec_tlu_ctl.scala 718:49] - node _T_607 = bits(mip, 2, 2) @[dec_tlu_ctl.scala 718:71] - node _T_608 = and(_T_606, _T_607) @[dec_tlu_ctl.scala 718:66] - node _T_609 = bits(mie_ns, 2, 2) @[dec_tlu_ctl.scala 718:92] - node _T_610 = and(_T_608, _T_609) @[dec_tlu_ctl.scala 718:84] - mhwakeup_ready <= _T_610 @[dec_tlu_ctl.scala 718:20] - node _T_611 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 719:23] - node _T_612 = and(_T_611, mstatus_mie_ns) @[dec_tlu_ctl.scala 719:48] - node _T_613 = bits(mip, 2, 2) @[dec_tlu_ctl.scala 719:70] - node _T_614 = and(_T_612, _T_613) @[dec_tlu_ctl.scala 719:65] - node _T_615 = bits(mie_ns, 2, 2) @[dec_tlu_ctl.scala 719:91] - node _T_616 = and(_T_614, _T_615) @[dec_tlu_ctl.scala 719:83] - node _T_617 = not(ignore_ext_int_due_to_lsu_stall) @[dec_tlu_ctl.scala 719:104] - node _T_618 = and(_T_616, _T_617) @[dec_tlu_ctl.scala 719:102] - ext_int_ready <= _T_618 @[dec_tlu_ctl.scala 719:20] - node _T_619 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 720:23] - node _T_620 = and(_T_619, mstatus_mie_ns) @[dec_tlu_ctl.scala 720:48] - node _T_621 = bits(mip, 5, 5) @[dec_tlu_ctl.scala 720:70] - node _T_622 = and(_T_620, _T_621) @[dec_tlu_ctl.scala 720:65] - node _T_623 = bits(mie_ns, 5, 5) @[dec_tlu_ctl.scala 720:91] - node _T_624 = and(_T_622, _T_623) @[dec_tlu_ctl.scala 720:83] - ce_int_ready <= _T_624 @[dec_tlu_ctl.scala 720:20] - node _T_625 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 721:23] - node _T_626 = and(_T_625, mstatus_mie_ns) @[dec_tlu_ctl.scala 721:48] - node _T_627 = bits(mip, 0, 0) @[dec_tlu_ctl.scala 721:70] - node _T_628 = and(_T_626, _T_627) @[dec_tlu_ctl.scala 721:65] - node _T_629 = bits(mie_ns, 0, 0) @[dec_tlu_ctl.scala 721:91] - node _T_630 = and(_T_628, _T_629) @[dec_tlu_ctl.scala 721:83] - soft_int_ready <= _T_630 @[dec_tlu_ctl.scala 721:20] - node _T_631 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 722:23] - node _T_632 = and(_T_631, mstatus_mie_ns) @[dec_tlu_ctl.scala 722:48] - node _T_633 = bits(mip, 1, 1) @[dec_tlu_ctl.scala 722:70] - node _T_634 = and(_T_632, _T_633) @[dec_tlu_ctl.scala 722:65] - node _T_635 = bits(mie_ns, 1, 1) @[dec_tlu_ctl.scala 722:91] - node _T_636 = and(_T_634, _T_635) @[dec_tlu_ctl.scala 722:83] - timer_int_ready <= _T_636 @[dec_tlu_ctl.scala 722:20] - node _T_637 = bits(mie_ns, 4, 4) @[dec_tlu_ctl.scala 725:57] - node int_timer0_int_possible = and(mstatus_mie_ns, _T_637) @[dec_tlu_ctl.scala 725:49] - node _T_638 = bits(mip, 4, 4) @[dec_tlu_ctl.scala 726:34] - node int_timer0_int_ready = and(_T_638, int_timer0_int_possible) @[dec_tlu_ctl.scala 726:47] - node _T_639 = bits(mie_ns, 3, 3) @[dec_tlu_ctl.scala 727:57] - node int_timer1_int_possible = and(mstatus_mie_ns, _T_639) @[dec_tlu_ctl.scala 727:49] - node _T_640 = bits(mip, 3, 3) @[dec_tlu_ctl.scala 728:34] - node int_timer1_int_ready = and(_T_640, int_timer1_int_possible) @[dec_tlu_ctl.scala 728:47] - node _T_641 = or(io.dec_csr_stall_int_ff, synchronous_flush_r) @[dec_tlu_ctl.scala 732:52] - node _T_642 = or(_T_641, exc_or_int_valid_r_d1) @[dec_tlu_ctl.scala 732:74] - node int_timer_stalled = or(_T_642, mret_r) @[dec_tlu_ctl.scala 732:98] - node _T_643 = or(pmu_fw_tlu_halted_f, int_timer_stalled) @[dec_tlu_ctl.scala 734:72] - node _T_644 = and(int_timer0_int_ready, _T_643) @[dec_tlu_ctl.scala 734:49] - node _T_645 = and(int_timer0_int_possible, int_timer0_int_hold_f) @[dec_tlu_ctl.scala 734:121] - node _T_646 = not(interrupt_valid_r) @[dec_tlu_ctl.scala 734:147] - node _T_647 = and(_T_645, _T_646) @[dec_tlu_ctl.scala 734:145] - node _T_648 = not(take_ext_int_start) @[dec_tlu_ctl.scala 734:168] - node _T_649 = and(_T_647, _T_648) @[dec_tlu_ctl.scala 734:166] - node _T_650 = not(debug_mode_status) @[dec_tlu_ctl.scala 734:190] - node _T_651 = and(_T_649, _T_650) @[dec_tlu_ctl.scala 734:188] - node _T_652 = or(_T_644, _T_651) @[dec_tlu_ctl.scala 734:94] - int_timer0_int_hold <= _T_652 @[dec_tlu_ctl.scala 734:24] - node _T_653 = or(pmu_fw_tlu_halted_f, int_timer_stalled) @[dec_tlu_ctl.scala 735:72] - node _T_654 = and(int_timer1_int_ready, _T_653) @[dec_tlu_ctl.scala 735:49] - node _T_655 = and(int_timer1_int_possible, int_timer1_int_hold_f) @[dec_tlu_ctl.scala 735:121] - node _T_656 = not(interrupt_valid_r) @[dec_tlu_ctl.scala 735:147] - node _T_657 = and(_T_655, _T_656) @[dec_tlu_ctl.scala 735:145] - node _T_658 = not(take_ext_int_start) @[dec_tlu_ctl.scala 735:168] - node _T_659 = and(_T_657, _T_658) @[dec_tlu_ctl.scala 735:166] - node _T_660 = not(debug_mode_status) @[dec_tlu_ctl.scala 735:190] - node _T_661 = and(_T_659, _T_660) @[dec_tlu_ctl.scala 735:188] - node _T_662 = or(_T_654, _T_661) @[dec_tlu_ctl.scala 735:94] - int_timer1_int_hold <= _T_662 @[dec_tlu_ctl.scala 735:24] - node _T_663 = not(dcsr_single_step_running) @[dec_tlu_ctl.scala 737:59] - node _T_664 = and(debug_mode_status, _T_663) @[dec_tlu_ctl.scala 737:57] - internal_dbg_halt_timers <= _T_664 @[dec_tlu_ctl.scala 737:29] - node _T_665 = not(dcsr_single_step_running) @[dec_tlu_ctl.scala 739:55] - node _T_666 = or(_T_665, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 739:81] - node _T_667 = and(internal_dbg_halt_mode, _T_666) @[dec_tlu_ctl.scala 739:52] - node _T_668 = or(_T_667, internal_pmu_fw_halt_mode) @[dec_tlu_ctl.scala 739:107] - node _T_669 = or(_T_668, i_cpu_halt_req_d1) @[dec_tlu_ctl.scala 739:135] - node _T_670 = or(_T_669, take_nmi) @[dec_tlu_ctl.scala 739:155] - node _T_671 = or(_T_670, ebreak_to_debug_mode_r) @[dec_tlu_ctl.scala 739:166] - node _T_672 = or(_T_671, synchronous_flush_r) @[dec_tlu_ctl.scala 739:191] - node _T_673 = or(_T_672, exc_or_int_valid_r_d1) @[dec_tlu_ctl.scala 739:214] - node _T_674 = or(_T_673, mret_r) @[dec_tlu_ctl.scala 739:238] - node block_interrupts = or(_T_674, ext_int_freeze_d1) @[dec_tlu_ctl.scala 739:247] - reg _T_675 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 743:62] - _T_675 <= take_ext_int_start @[dec_tlu_ctl.scala 743:62] - take_ext_int_start_d1 <= _T_675 @[dec_tlu_ctl.scala 743:30] - reg _T_676 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 744:62] - _T_676 <= take_ext_int_start_d1 @[dec_tlu_ctl.scala 744:62] - take_ext_int_start_d2 <= _T_676 @[dec_tlu_ctl.scala 744:30] - reg _T_677 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 745:62] - _T_677 <= take_ext_int_start_d2 @[dec_tlu_ctl.scala 745:62] - take_ext_int_start_d3 <= _T_677 @[dec_tlu_ctl.scala 745:30] - reg _T_678 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 746:66] - _T_678 <= ext_int_freeze @[dec_tlu_ctl.scala 746:66] - ext_int_freeze_d1 <= _T_678 @[dec_tlu_ctl.scala 746:34] - node _T_679 = not(block_interrupts) @[dec_tlu_ctl.scala 747:47] - node _T_680 = and(ext_int_ready, _T_679) @[dec_tlu_ctl.scala 747:45] - take_ext_int_start <= _T_680 @[dec_tlu_ctl.scala 747:28] - node _T_681 = or(take_ext_int_start, take_ext_int_start_d1) @[dec_tlu_ctl.scala 749:46] - node _T_682 = or(_T_681, take_ext_int_start_d2) @[dec_tlu_ctl.scala 749:70] - node _T_683 = or(_T_682, take_ext_int_start_d3) @[dec_tlu_ctl.scala 749:94] - ext_int_freeze <= _T_683 @[dec_tlu_ctl.scala 749:24] - node _T_684 = orr(io.lsu_fir_error) @[dec_tlu_ctl.scala 750:67] - node _T_685 = not(_T_684) @[dec_tlu_ctl.scala 750:49] - node _T_686 = and(take_ext_int_start_d3, _T_685) @[dec_tlu_ctl.scala 750:47] - take_ext_int <= _T_686 @[dec_tlu_ctl.scala 750:22] - node _T_687 = and(csr_pkt.csr_meicpct, io.dec_csr_any_unq_d) @[dec_tlu_ctl.scala 751:49] - fast_int_meicpct <= _T_687 @[dec_tlu_ctl.scala 751:26] - ignore_ext_int_due_to_lsu_stall <= io.lsu_fastint_stall_any @[dec_tlu_ctl.scala 752:41] - node _T_688 = not(ext_int_ready) @[dec_tlu_ctl.scala 765:35] - node _T_689 = and(ce_int_ready, _T_688) @[dec_tlu_ctl.scala 765:33] - node _T_690 = not(block_interrupts) @[dec_tlu_ctl.scala 765:52] - node _T_691 = and(_T_689, _T_690) @[dec_tlu_ctl.scala 765:50] - take_ce_int <= _T_691 @[dec_tlu_ctl.scala 765:17] - node _T_692 = not(ext_int_ready) @[dec_tlu_ctl.scala 766:38] - node _T_693 = and(soft_int_ready, _T_692) @[dec_tlu_ctl.scala 766:36] - node _T_694 = not(ce_int_ready) @[dec_tlu_ctl.scala 766:55] - node _T_695 = and(_T_693, _T_694) @[dec_tlu_ctl.scala 766:53] - node _T_696 = not(block_interrupts) @[dec_tlu_ctl.scala 766:71] - node _T_697 = and(_T_695, _T_696) @[dec_tlu_ctl.scala 766:69] - take_soft_int <= _T_697 @[dec_tlu_ctl.scala 766:18] - node _T_698 = not(soft_int_ready) @[dec_tlu_ctl.scala 767:40] - node _T_699 = and(timer_int_ready, _T_698) @[dec_tlu_ctl.scala 767:38] - node _T_700 = not(ext_int_ready) @[dec_tlu_ctl.scala 767:58] - node _T_701 = and(_T_699, _T_700) @[dec_tlu_ctl.scala 767:56] - node _T_702 = not(ce_int_ready) @[dec_tlu_ctl.scala 767:75] - node _T_703 = and(_T_701, _T_702) @[dec_tlu_ctl.scala 767:73] - node _T_704 = not(block_interrupts) @[dec_tlu_ctl.scala 767:91] - node _T_705 = and(_T_703, _T_704) @[dec_tlu_ctl.scala 767:89] - take_timer_int <= _T_705 @[dec_tlu_ctl.scala 767:19] - node _T_706 = or(int_timer0_int_ready, int_timer0_int_hold_f) @[dec_tlu_ctl.scala 768:49] - node _T_707 = and(_T_706, int_timer0_int_possible) @[dec_tlu_ctl.scala 768:74] - node _T_708 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 768:102] - node _T_709 = and(_T_707, _T_708) @[dec_tlu_ctl.scala 768:100] - node _T_710 = not(timer_int_ready) @[dec_tlu_ctl.scala 768:129] - node _T_711 = and(_T_709, _T_710) @[dec_tlu_ctl.scala 768:127] - node _T_712 = not(soft_int_ready) @[dec_tlu_ctl.scala 768:148] - node _T_713 = and(_T_711, _T_712) @[dec_tlu_ctl.scala 768:146] - node _T_714 = not(ext_int_ready) @[dec_tlu_ctl.scala 768:166] - node _T_715 = and(_T_713, _T_714) @[dec_tlu_ctl.scala 768:164] - node _T_716 = not(ce_int_ready) @[dec_tlu_ctl.scala 768:183] - node _T_717 = and(_T_715, _T_716) @[dec_tlu_ctl.scala 768:181] - node _T_718 = not(block_interrupts) @[dec_tlu_ctl.scala 768:199] - node _T_719 = and(_T_717, _T_718) @[dec_tlu_ctl.scala 768:197] - take_int_timer0_int <= _T_719 @[dec_tlu_ctl.scala 768:24] - node _T_720 = or(int_timer1_int_ready, int_timer1_int_hold_f) @[dec_tlu_ctl.scala 769:49] - node _T_721 = and(_T_720, int_timer1_int_possible) @[dec_tlu_ctl.scala 769:74] - node _T_722 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 769:102] - node _T_723 = and(_T_721, _T_722) @[dec_tlu_ctl.scala 769:100] - node _T_724 = or(int_timer0_int_ready, int_timer0_int_hold_f) @[dec_tlu_ctl.scala 769:152] - node _T_725 = not(_T_724) @[dec_tlu_ctl.scala 769:129] - node _T_726 = and(_T_723, _T_725) @[dec_tlu_ctl.scala 769:127] - node _T_727 = not(timer_int_ready) @[dec_tlu_ctl.scala 769:179] - node _T_728 = and(_T_726, _T_727) @[dec_tlu_ctl.scala 769:177] - node _T_729 = not(soft_int_ready) @[dec_tlu_ctl.scala 769:198] - node _T_730 = and(_T_728, _T_729) @[dec_tlu_ctl.scala 769:196] - node _T_731 = not(ext_int_ready) @[dec_tlu_ctl.scala 769:216] - node _T_732 = and(_T_730, _T_731) @[dec_tlu_ctl.scala 769:214] - node _T_733 = not(ce_int_ready) @[dec_tlu_ctl.scala 769:233] - node _T_734 = and(_T_732, _T_733) @[dec_tlu_ctl.scala 769:231] - node _T_735 = not(block_interrupts) @[dec_tlu_ctl.scala 769:249] - node _T_736 = and(_T_734, _T_735) @[dec_tlu_ctl.scala 769:247] - take_int_timer1_int <= _T_736 @[dec_tlu_ctl.scala 769:24] - node _T_737 = and(reset_delayed, io.mpc_reset_run_req) @[dec_tlu_ctl.scala 770:32] - take_reset <= _T_737 @[dec_tlu_ctl.scala 770:15] - node _T_738 = not(internal_pmu_fw_halt_mode) @[dec_tlu_ctl.scala 771:35] - node _T_739 = and(nmi_int_detected, _T_738) @[dec_tlu_ctl.scala 771:33] - node _T_740 = not(internal_dbg_halt_mode) @[dec_tlu_ctl.scala 771:65] - node _T_741 = bits(dcsr, 11, 11) @[dec_tlu_ctl.scala 771:125] - node _T_742 = and(dcsr_single_step_running_f, _T_741) @[dec_tlu_ctl.scala 771:119] - node _T_743 = not(io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 771:141] - node _T_744 = and(_T_742, _T_743) @[dec_tlu_ctl.scala 771:139] - node _T_745 = not(dcsr_single_step_done_f) @[dec_tlu_ctl.scala 771:166] - node _T_746 = and(_T_744, _T_745) @[dec_tlu_ctl.scala 771:164] - node _T_747 = or(_T_740, _T_746) @[dec_tlu_ctl.scala 771:89] - node _T_748 = and(_T_739, _T_747) @[dec_tlu_ctl.scala 771:62] - node _T_749 = not(synchronous_flush_r) @[dec_tlu_ctl.scala 771:195] - node _T_750 = and(_T_748, _T_749) @[dec_tlu_ctl.scala 771:193] - node _T_751 = not(mret_r) @[dec_tlu_ctl.scala 771:218] - node _T_752 = and(_T_750, _T_751) @[dec_tlu_ctl.scala 771:216] - node _T_753 = not(take_reset) @[dec_tlu_ctl.scala 771:228] - node _T_754 = and(_T_752, _T_753) @[dec_tlu_ctl.scala 771:226] - node _T_755 = not(ebreak_to_debug_mode_r) @[dec_tlu_ctl.scala 771:242] - node _T_756 = and(_T_754, _T_755) @[dec_tlu_ctl.scala 771:240] - node _T_757 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 771:269] - node _T_758 = orr(io.lsu_fir_error) @[dec_tlu_ctl.scala 771:332] - node _T_759 = and(take_ext_int_start_d3, _T_758) @[dec_tlu_ctl.scala 771:313] - node _T_760 = or(_T_757, _T_759) @[dec_tlu_ctl.scala 771:288] - node _T_761 = and(_T_756, _T_760) @[dec_tlu_ctl.scala 771:266] - take_nmi <= _T_761 @[dec_tlu_ctl.scala 771:13] - node _T_762 = or(take_ext_int, take_timer_int) @[dec_tlu_ctl.scala 774:38] - node _T_763 = or(_T_762, take_soft_int) @[dec_tlu_ctl.scala 774:55] - node _T_764 = or(_T_763, take_nmi) @[dec_tlu_ctl.scala 774:71] - node _T_765 = or(_T_764, take_ce_int) @[dec_tlu_ctl.scala 774:82] - node _T_766 = or(_T_765, take_int_timer0_int) @[dec_tlu_ctl.scala 774:96] - node _T_767 = or(_T_766, take_int_timer1_int) @[dec_tlu_ctl.scala 774:118] - interrupt_valid_r <= _T_767 @[dec_tlu_ctl.scala 774:22] - node _T_768 = bits(mtvec, 30, 1) @[dec_tlu_ctl.scala 779:34] + node _T_605 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 715:23] + node _T_606 = and(_T_605, mstatus_mie_ns) @[dec_tlu_ctl.scala 715:48] + node _T_607 = bits(mip, 2, 2) @[dec_tlu_ctl.scala 715:70] + node _T_608 = and(_T_606, _T_607) @[dec_tlu_ctl.scala 715:65] + node _T_609 = bits(mie_ns, 2, 2) @[dec_tlu_ctl.scala 715:91] + node _T_610 = and(_T_608, _T_609) @[dec_tlu_ctl.scala 715:83] + mhwakeup_ready <= _T_610 @[dec_tlu_ctl.scala 715:20] + node _T_611 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 716:23] + node _T_612 = and(_T_611, mstatus_mie_ns) @[dec_tlu_ctl.scala 716:48] + node _T_613 = bits(mip, 2, 2) @[dec_tlu_ctl.scala 716:70] + node _T_614 = and(_T_612, _T_613) @[dec_tlu_ctl.scala 716:65] + node _T_615 = bits(mie_ns, 2, 2) @[dec_tlu_ctl.scala 716:91] + node _T_616 = and(_T_614, _T_615) @[dec_tlu_ctl.scala 716:83] + node _T_617 = not(ignore_ext_int_due_to_lsu_stall) @[dec_tlu_ctl.scala 716:104] + node _T_618 = and(_T_616, _T_617) @[dec_tlu_ctl.scala 716:102] + ext_int_ready <= _T_618 @[dec_tlu_ctl.scala 716:20] + node _T_619 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 717:23] + node _T_620 = and(_T_619, mstatus_mie_ns) @[dec_tlu_ctl.scala 717:48] + node _T_621 = bits(mip, 5, 5) @[dec_tlu_ctl.scala 717:70] + node _T_622 = and(_T_620, _T_621) @[dec_tlu_ctl.scala 717:65] + node _T_623 = bits(mie_ns, 5, 5) @[dec_tlu_ctl.scala 717:91] + node _T_624 = and(_T_622, _T_623) @[dec_tlu_ctl.scala 717:83] + ce_int_ready <= _T_624 @[dec_tlu_ctl.scala 717:20] + node _T_625 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 718:23] + node _T_626 = and(_T_625, mstatus_mie_ns) @[dec_tlu_ctl.scala 718:48] + node _T_627 = bits(mip, 0, 0) @[dec_tlu_ctl.scala 718:70] + node _T_628 = and(_T_626, _T_627) @[dec_tlu_ctl.scala 718:65] + node _T_629 = bits(mie_ns, 0, 0) @[dec_tlu_ctl.scala 718:91] + node _T_630 = and(_T_628, _T_629) @[dec_tlu_ctl.scala 718:83] + soft_int_ready <= _T_630 @[dec_tlu_ctl.scala 718:20] + node _T_631 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 719:23] + node _T_632 = and(_T_631, mstatus_mie_ns) @[dec_tlu_ctl.scala 719:48] + node _T_633 = bits(mip, 1, 1) @[dec_tlu_ctl.scala 719:70] + node _T_634 = and(_T_632, _T_633) @[dec_tlu_ctl.scala 719:65] + node _T_635 = bits(mie_ns, 1, 1) @[dec_tlu_ctl.scala 719:91] + node _T_636 = and(_T_634, _T_635) @[dec_tlu_ctl.scala 719:83] + timer_int_ready <= _T_636 @[dec_tlu_ctl.scala 719:20] + node _T_637 = bits(mie_ns, 4, 4) @[dec_tlu_ctl.scala 722:57] + node int_timer0_int_possible = and(mstatus_mie_ns, _T_637) @[dec_tlu_ctl.scala 722:49] + node _T_638 = bits(mip, 4, 4) @[dec_tlu_ctl.scala 723:34] + node int_timer0_int_ready = and(_T_638, int_timer0_int_possible) @[dec_tlu_ctl.scala 723:47] + node _T_639 = bits(mie_ns, 3, 3) @[dec_tlu_ctl.scala 724:57] + node int_timer1_int_possible = and(mstatus_mie_ns, _T_639) @[dec_tlu_ctl.scala 724:49] + node _T_640 = bits(mip, 3, 3) @[dec_tlu_ctl.scala 725:34] + node int_timer1_int_ready = and(_T_640, int_timer1_int_possible) @[dec_tlu_ctl.scala 725:47] + node _T_641 = or(io.dec_csr_stall_int_ff, synchronous_flush_r) @[dec_tlu_ctl.scala 729:52] + node _T_642 = or(_T_641, exc_or_int_valid_r_d1) @[dec_tlu_ctl.scala 729:74] + node int_timer_stalled = or(_T_642, mret_r) @[dec_tlu_ctl.scala 729:98] + node _T_643 = or(pmu_fw_tlu_halted_f, int_timer_stalled) @[dec_tlu_ctl.scala 731:72] + node _T_644 = and(int_timer0_int_ready, _T_643) @[dec_tlu_ctl.scala 731:49] + node _T_645 = and(int_timer0_int_possible, int_timer0_int_hold_f) @[dec_tlu_ctl.scala 731:121] + node _T_646 = not(interrupt_valid_r) @[dec_tlu_ctl.scala 731:147] + node _T_647 = and(_T_645, _T_646) @[dec_tlu_ctl.scala 731:145] + node _T_648 = not(take_ext_int_start) @[dec_tlu_ctl.scala 731:168] + node _T_649 = and(_T_647, _T_648) @[dec_tlu_ctl.scala 731:166] + node _T_650 = not(debug_mode_status) @[dec_tlu_ctl.scala 731:190] + node _T_651 = and(_T_649, _T_650) @[dec_tlu_ctl.scala 731:188] + node _T_652 = or(_T_644, _T_651) @[dec_tlu_ctl.scala 731:94] + int_timer0_int_hold <= _T_652 @[dec_tlu_ctl.scala 731:24] + node _T_653 = or(pmu_fw_tlu_halted_f, int_timer_stalled) @[dec_tlu_ctl.scala 732:72] + node _T_654 = and(int_timer1_int_ready, _T_653) @[dec_tlu_ctl.scala 732:49] + node _T_655 = and(int_timer1_int_possible, int_timer1_int_hold_f) @[dec_tlu_ctl.scala 732:121] + node _T_656 = not(interrupt_valid_r) @[dec_tlu_ctl.scala 732:147] + node _T_657 = and(_T_655, _T_656) @[dec_tlu_ctl.scala 732:145] + node _T_658 = not(take_ext_int_start) @[dec_tlu_ctl.scala 732:168] + node _T_659 = and(_T_657, _T_658) @[dec_tlu_ctl.scala 732:166] + node _T_660 = not(debug_mode_status) @[dec_tlu_ctl.scala 732:190] + node _T_661 = and(_T_659, _T_660) @[dec_tlu_ctl.scala 732:188] + node _T_662 = or(_T_654, _T_661) @[dec_tlu_ctl.scala 732:94] + int_timer1_int_hold <= _T_662 @[dec_tlu_ctl.scala 732:24] + node _T_663 = not(dcsr_single_step_running) @[dec_tlu_ctl.scala 734:59] + node _T_664 = and(debug_mode_status, _T_663) @[dec_tlu_ctl.scala 734:57] + internal_dbg_halt_timers <= _T_664 @[dec_tlu_ctl.scala 734:29] + node _T_665 = not(dcsr_single_step_running) @[dec_tlu_ctl.scala 736:55] + node _T_666 = or(_T_665, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 736:81] + node _T_667 = and(internal_dbg_halt_mode, _T_666) @[dec_tlu_ctl.scala 736:52] + node _T_668 = or(_T_667, internal_pmu_fw_halt_mode) @[dec_tlu_ctl.scala 736:107] + node _T_669 = or(_T_668, i_cpu_halt_req_d1) @[dec_tlu_ctl.scala 736:135] + node _T_670 = or(_T_669, take_nmi) @[dec_tlu_ctl.scala 736:155] + node _T_671 = or(_T_670, ebreak_to_debug_mode_r) @[dec_tlu_ctl.scala 736:166] + node _T_672 = or(_T_671, synchronous_flush_r) @[dec_tlu_ctl.scala 736:191] + node _T_673 = or(_T_672, exc_or_int_valid_r_d1) @[dec_tlu_ctl.scala 736:214] + node _T_674 = or(_T_673, mret_r) @[dec_tlu_ctl.scala 736:238] + node block_interrupts = or(_T_674, ext_int_freeze_d1) @[dec_tlu_ctl.scala 736:247] + reg _T_675 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 740:74] + _T_675 <= take_ext_int_start @[dec_tlu_ctl.scala 740:74] + take_ext_int_start_d1 <= _T_675 @[dec_tlu_ctl.scala 740:41] + reg _T_676 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 741:74] + _T_676 <= take_ext_int_start_d1 @[dec_tlu_ctl.scala 741:74] + take_ext_int_start_d2 <= _T_676 @[dec_tlu_ctl.scala 741:41] + reg _T_677 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 742:74] + _T_677 <= take_ext_int_start_d2 @[dec_tlu_ctl.scala 742:74] + take_ext_int_start_d3 <= _T_677 @[dec_tlu_ctl.scala 742:41] + reg _T_678 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 743:90] + _T_678 <= ext_int_freeze @[dec_tlu_ctl.scala 743:90] + ext_int_freeze_d1 <= _T_678 @[dec_tlu_ctl.scala 743:57] + node _T_679 = not(block_interrupts) @[dec_tlu_ctl.scala 744:68] + node _T_680 = and(ext_int_ready, _T_679) @[dec_tlu_ctl.scala 744:66] + take_ext_int_start <= _T_680 @[dec_tlu_ctl.scala 744:49] + node _T_681 = or(take_ext_int_start, take_ext_int_start_d1) @[dec_tlu_ctl.scala 746:46] + node _T_682 = or(_T_681, take_ext_int_start_d2) @[dec_tlu_ctl.scala 746:70] + node _T_683 = or(_T_682, take_ext_int_start_d3) @[dec_tlu_ctl.scala 746:94] + ext_int_freeze <= _T_683 @[dec_tlu_ctl.scala 746:24] + node _T_684 = orr(io.lsu_fir_error) @[dec_tlu_ctl.scala 747:67] + node _T_685 = not(_T_684) @[dec_tlu_ctl.scala 747:49] + node _T_686 = and(take_ext_int_start_d3, _T_685) @[dec_tlu_ctl.scala 747:47] + take_ext_int <= _T_686 @[dec_tlu_ctl.scala 747:22] + node _T_687 = and(csr_pkt.csr_meicpct, io.dec_csr_any_unq_d) @[dec_tlu_ctl.scala 748:49] + fast_int_meicpct <= _T_687 @[dec_tlu_ctl.scala 748:26] + ignore_ext_int_due_to_lsu_stall <= io.lsu_fastint_stall_any @[dec_tlu_ctl.scala 749:41] + node _T_688 = not(ext_int_ready) @[dec_tlu_ctl.scala 762:35] + node _T_689 = and(ce_int_ready, _T_688) @[dec_tlu_ctl.scala 762:33] + node _T_690 = not(block_interrupts) @[dec_tlu_ctl.scala 762:52] + node _T_691 = and(_T_689, _T_690) @[dec_tlu_ctl.scala 762:50] + take_ce_int <= _T_691 @[dec_tlu_ctl.scala 762:17] + node _T_692 = not(ext_int_ready) @[dec_tlu_ctl.scala 763:38] + node _T_693 = and(soft_int_ready, _T_692) @[dec_tlu_ctl.scala 763:36] + node _T_694 = not(ce_int_ready) @[dec_tlu_ctl.scala 763:55] + node _T_695 = and(_T_693, _T_694) @[dec_tlu_ctl.scala 763:53] + node _T_696 = not(block_interrupts) @[dec_tlu_ctl.scala 763:71] + node _T_697 = and(_T_695, _T_696) @[dec_tlu_ctl.scala 763:69] + take_soft_int <= _T_697 @[dec_tlu_ctl.scala 763:18] + node _T_698 = not(soft_int_ready) @[dec_tlu_ctl.scala 764:40] + node _T_699 = and(timer_int_ready, _T_698) @[dec_tlu_ctl.scala 764:38] + node _T_700 = not(ext_int_ready) @[dec_tlu_ctl.scala 764:58] + node _T_701 = and(_T_699, _T_700) @[dec_tlu_ctl.scala 764:56] + node _T_702 = not(ce_int_ready) @[dec_tlu_ctl.scala 764:75] + node _T_703 = and(_T_701, _T_702) @[dec_tlu_ctl.scala 764:73] + node _T_704 = not(block_interrupts) @[dec_tlu_ctl.scala 764:91] + node _T_705 = and(_T_703, _T_704) @[dec_tlu_ctl.scala 764:89] + take_timer_int <= _T_705 @[dec_tlu_ctl.scala 764:19] + node _T_706 = or(int_timer0_int_ready, int_timer0_int_hold_f) @[dec_tlu_ctl.scala 765:49] + node _T_707 = and(_T_706, int_timer0_int_possible) @[dec_tlu_ctl.scala 765:74] + node _T_708 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 765:102] + node _T_709 = and(_T_707, _T_708) @[dec_tlu_ctl.scala 765:100] + node _T_710 = not(timer_int_ready) @[dec_tlu_ctl.scala 765:129] + node _T_711 = and(_T_709, _T_710) @[dec_tlu_ctl.scala 765:127] + node _T_712 = not(soft_int_ready) @[dec_tlu_ctl.scala 765:148] + node _T_713 = and(_T_711, _T_712) @[dec_tlu_ctl.scala 765:146] + node _T_714 = not(ext_int_ready) @[dec_tlu_ctl.scala 765:166] + node _T_715 = and(_T_713, _T_714) @[dec_tlu_ctl.scala 765:164] + node _T_716 = not(ce_int_ready) @[dec_tlu_ctl.scala 765:183] + node _T_717 = and(_T_715, _T_716) @[dec_tlu_ctl.scala 765:181] + node _T_718 = not(block_interrupts) @[dec_tlu_ctl.scala 765:199] + node _T_719 = and(_T_717, _T_718) @[dec_tlu_ctl.scala 765:197] + take_int_timer0_int <= _T_719 @[dec_tlu_ctl.scala 765:24] + node _T_720 = or(int_timer1_int_ready, int_timer1_int_hold_f) @[dec_tlu_ctl.scala 766:49] + node _T_721 = and(_T_720, int_timer1_int_possible) @[dec_tlu_ctl.scala 766:74] + node _T_722 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 766:102] + node _T_723 = and(_T_721, _T_722) @[dec_tlu_ctl.scala 766:100] + node _T_724 = or(int_timer0_int_ready, int_timer0_int_hold_f) @[dec_tlu_ctl.scala 766:152] + node _T_725 = not(_T_724) @[dec_tlu_ctl.scala 766:129] + node _T_726 = and(_T_723, _T_725) @[dec_tlu_ctl.scala 766:127] + node _T_727 = not(timer_int_ready) @[dec_tlu_ctl.scala 766:179] + node _T_728 = and(_T_726, _T_727) @[dec_tlu_ctl.scala 766:177] + node _T_729 = not(soft_int_ready) @[dec_tlu_ctl.scala 766:198] + node _T_730 = and(_T_728, _T_729) @[dec_tlu_ctl.scala 766:196] + node _T_731 = not(ext_int_ready) @[dec_tlu_ctl.scala 766:216] + node _T_732 = and(_T_730, _T_731) @[dec_tlu_ctl.scala 766:214] + node _T_733 = not(ce_int_ready) @[dec_tlu_ctl.scala 766:233] + node _T_734 = and(_T_732, _T_733) @[dec_tlu_ctl.scala 766:231] + node _T_735 = not(block_interrupts) @[dec_tlu_ctl.scala 766:249] + node _T_736 = and(_T_734, _T_735) @[dec_tlu_ctl.scala 766:247] + take_int_timer1_int <= _T_736 @[dec_tlu_ctl.scala 766:24] + node _T_737 = and(reset_delayed, io.mpc_reset_run_req) @[dec_tlu_ctl.scala 767:32] + take_reset <= _T_737 @[dec_tlu_ctl.scala 767:15] + node _T_738 = not(internal_pmu_fw_halt_mode) @[dec_tlu_ctl.scala 768:35] + node _T_739 = and(nmi_int_detected, _T_738) @[dec_tlu_ctl.scala 768:33] + node _T_740 = not(internal_dbg_halt_mode) @[dec_tlu_ctl.scala 768:65] + node _T_741 = bits(dcsr, 11, 11) @[dec_tlu_ctl.scala 768:125] + node _T_742 = and(dcsr_single_step_running_f, _T_741) @[dec_tlu_ctl.scala 768:119] + node _T_743 = not(io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 768:141] + node _T_744 = and(_T_742, _T_743) @[dec_tlu_ctl.scala 768:139] + node _T_745 = not(dcsr_single_step_done_f) @[dec_tlu_ctl.scala 768:166] + node _T_746 = and(_T_744, _T_745) @[dec_tlu_ctl.scala 768:164] + node _T_747 = or(_T_740, _T_746) @[dec_tlu_ctl.scala 768:89] + node _T_748 = and(_T_739, _T_747) @[dec_tlu_ctl.scala 768:62] + node _T_749 = not(synchronous_flush_r) @[dec_tlu_ctl.scala 768:195] + node _T_750 = and(_T_748, _T_749) @[dec_tlu_ctl.scala 768:193] + node _T_751 = not(mret_r) @[dec_tlu_ctl.scala 768:218] + node _T_752 = and(_T_750, _T_751) @[dec_tlu_ctl.scala 768:216] + node _T_753 = not(take_reset) @[dec_tlu_ctl.scala 768:228] + node _T_754 = and(_T_752, _T_753) @[dec_tlu_ctl.scala 768:226] + node _T_755 = not(ebreak_to_debug_mode_r) @[dec_tlu_ctl.scala 768:242] + node _T_756 = and(_T_754, _T_755) @[dec_tlu_ctl.scala 768:240] + node _T_757 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 768:269] + node _T_758 = orr(io.lsu_fir_error) @[dec_tlu_ctl.scala 768:332] + node _T_759 = and(take_ext_int_start_d3, _T_758) @[dec_tlu_ctl.scala 768:313] + node _T_760 = or(_T_757, _T_759) @[dec_tlu_ctl.scala 768:288] + node _T_761 = and(_T_756, _T_760) @[dec_tlu_ctl.scala 768:266] + take_nmi <= _T_761 @[dec_tlu_ctl.scala 768:13] + node _T_762 = or(take_ext_int, take_timer_int) @[dec_tlu_ctl.scala 771:38] + node _T_763 = or(_T_762, take_soft_int) @[dec_tlu_ctl.scala 771:55] + node _T_764 = or(_T_763, take_nmi) @[dec_tlu_ctl.scala 771:71] + node _T_765 = or(_T_764, take_ce_int) @[dec_tlu_ctl.scala 771:82] + node _T_766 = or(_T_765, take_int_timer0_int) @[dec_tlu_ctl.scala 771:96] + node _T_767 = or(_T_766, take_int_timer1_int) @[dec_tlu_ctl.scala 771:118] + interrupt_valid_r <= _T_767 @[dec_tlu_ctl.scala 771:22] + node _T_768 = bits(mtvec, 30, 1) @[dec_tlu_ctl.scala 776:34] node _T_769 = cat(_T_768, UInt<1>("h00")) @[Cat.scala 29:58] node _T_770 = cat(UInt<25>("h00"), exc_cause_r) @[Cat.scala 29:58] node _T_771 = cat(_T_770, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_772 = add(_T_769, _T_771) @[dec_tlu_ctl.scala 779:51] - node vectored_path = tail(_T_772, 1) @[dec_tlu_ctl.scala 779:51] - node _T_773 = bits(take_nmi, 0, 0) @[dec_tlu_ctl.scala 780:38] - node _T_774 = bits(mtvec, 0, 0) @[dec_tlu_ctl.scala 780:67] - node _T_775 = eq(_T_774, UInt<1>("h01")) @[dec_tlu_ctl.scala 780:71] - node _T_776 = bits(mtvec, 30, 1) @[dec_tlu_ctl.scala 780:104] + node _T_772 = add(_T_769, _T_771) @[dec_tlu_ctl.scala 776:51] + node vectored_path = tail(_T_772, 1) @[dec_tlu_ctl.scala 776:51] + node _T_773 = bits(take_nmi, 0, 0) @[dec_tlu_ctl.scala 777:38] + node _T_774 = bits(mtvec, 0, 0) @[dec_tlu_ctl.scala 777:67] + node _T_775 = eq(_T_774, UInt<1>("h01")) @[dec_tlu_ctl.scala 777:71] + node _T_776 = bits(mtvec, 30, 1) @[dec_tlu_ctl.scala 777:104] node _T_777 = cat(_T_776, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_778 = mux(_T_775, vectored_path, _T_777) @[dec_tlu_ctl.scala 780:61] - node interrupt_path = mux(_T_773, io.nmi_vec, _T_778) @[dec_tlu_ctl.scala 780:28] - node _T_779 = or(lsu_i0_rfnpc_r, fence_i_r) @[dec_tlu_ctl.scala 781:36] - node _T_780 = or(_T_779, iccm_repair_state_rfnpc) @[dec_tlu_ctl.scala 781:48] - node _T_781 = not(interrupt_valid_r) @[dec_tlu_ctl.scala 781:96] - node _T_782 = and(i_cpu_run_req_d1, _T_781) @[dec_tlu_ctl.scala 781:94] - node _T_783 = or(_T_780, _T_782) @[dec_tlu_ctl.scala 781:74] - node _T_784 = not(io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 781:131] - node _T_785 = and(rfpc_i0_r, _T_784) @[dec_tlu_ctl.scala 781:129] - node sel_npc_r = or(_T_783, _T_785) @[dec_tlu_ctl.scala 781:116] - node _T_786 = and(i_cpu_run_req_d1, pmu_fw_tlu_halted_f) @[dec_tlu_ctl.scala 782:43] - node sel_npc_resume = or(_T_786, pause_expired_r) @[dec_tlu_ctl.scala 782:66] - node _T_787 = orr(io.lsu_fir_error) @[dec_tlu_ctl.scala 783:65] - node _T_788 = not(_T_787) @[dec_tlu_ctl.scala 783:47] - node sel_fir_addr = and(take_ext_int_start_d3, _T_788) @[dec_tlu_ctl.scala 783:45] - node _T_789 = or(i0_exception_valid_r, rfpc_i0_r) @[dec_tlu_ctl.scala 784:49] - node _T_790 = or(_T_789, lsu_exc_valid_r) @[dec_tlu_ctl.scala 784:61] - node _T_791 = or(_T_790, fence_i_r) @[dec_tlu_ctl.scala 784:79] - node _T_792 = or(_T_791, lsu_i0_rfnpc_r) @[dec_tlu_ctl.scala 784:91] - node _T_793 = or(_T_792, iccm_repair_state_rfnpc) @[dec_tlu_ctl.scala 784:108] - node _T_794 = or(_T_793, debug_resume_req_f) @[dec_tlu_ctl.scala 784:135] - node _T_795 = or(_T_794, sel_npc_resume) @[dec_tlu_ctl.scala 784:157] - node _T_796 = or(_T_795, dec_tlu_wr_pause_r_d1) @[dec_tlu_ctl.scala 784:175] - node _T_797 = or(_T_796, i0_trigger_hit_r) @[dec_tlu_ctl.scala 784:201] - synchronous_flush_r <= _T_797 @[dec_tlu_ctl.scala 784:25] - node _T_798 = or(interrupt_valid_r, mret_r) @[dec_tlu_ctl.scala 785:43] - node _T_799 = or(_T_798, synchronous_flush_r) @[dec_tlu_ctl.scala 785:52] - node _T_800 = or(_T_799, take_halt) @[dec_tlu_ctl.scala 785:74] - node _T_801 = or(_T_800, take_reset) @[dec_tlu_ctl.scala 785:86] - node _T_802 = or(_T_801, take_ext_int_start) @[dec_tlu_ctl.scala 785:99] - tlu_flush_lower_r <= _T_802 @[dec_tlu_ctl.scala 785:22] - node _T_803 = bits(take_reset, 0, 0) @[dec_tlu_ctl.scala 787:42] - node _T_804 = bits(sel_fir_addr, 0, 0) @[dec_tlu_ctl.scala 788:72] - node _T_805 = eq(take_nmi, UInt<1>("h00")) @[dec_tlu_ctl.scala 789:66] - node _T_806 = eq(sel_npc_r, UInt<1>("h01")) @[dec_tlu_ctl.scala 789:84] - node _T_807 = and(_T_805, _T_806) @[dec_tlu_ctl.scala 789:73] - node _T_808 = eq(take_nmi, UInt<1>("h00")) @[dec_tlu_ctl.scala 790:66] - node _T_809 = eq(rfpc_i0_r, UInt<1>("h01")) @[dec_tlu_ctl.scala 790:84] - node _T_810 = and(_T_808, _T_809) @[dec_tlu_ctl.scala 790:73] - node _T_811 = eq(io.dec_tlu_i0_valid_r, UInt<1>("h01")) @[dec_tlu_ctl.scala 790:114] - node _T_812 = and(_T_810, _T_811) @[dec_tlu_ctl.scala 790:91] - node _T_813 = eq(sel_npc_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 790:132] - node _T_814 = and(_T_812, _T_813) @[dec_tlu_ctl.scala 790:121] - node _T_815 = eq(interrupt_valid_r, UInt<1>("h01")) @[dec_tlu_ctl.scala 791:75] - node _T_816 = eq(sel_fir_addr, UInt<1>("h00")) @[dec_tlu_ctl.scala 791:96] - node _T_817 = and(_T_815, _T_816) @[dec_tlu_ctl.scala 791:82] - node _T_818 = or(i0_exception_valid_r, lsu_exc_valid_r) @[dec_tlu_ctl.scala 792:80] - node _T_819 = not(trigger_hit_dmode_r) @[dec_tlu_ctl.scala 792:120] - node _T_820 = and(i0_trigger_hit_r, _T_819) @[dec_tlu_ctl.scala 792:118] - node _T_821 = or(_T_818, _T_820) @[dec_tlu_ctl.scala 792:98] - node _T_822 = not(interrupt_valid_r) @[dec_tlu_ctl.scala 792:145] - node _T_823 = and(_T_821, _T_822) @[dec_tlu_ctl.scala 792:143] - node _T_824 = not(sel_fir_addr) @[dec_tlu_ctl.scala 792:166] - node _T_825 = and(_T_823, _T_824) @[dec_tlu_ctl.scala 792:164] - node _T_826 = bits(_T_825, 0, 0) @[dec_tlu_ctl.scala 792:181] - node _T_827 = bits(mtvec, 30, 1) @[dec_tlu_ctl.scala 792:205] + node _T_778 = mux(_T_775, vectored_path, _T_777) @[dec_tlu_ctl.scala 777:61] + node interrupt_path = mux(_T_773, io.nmi_vec, _T_778) @[dec_tlu_ctl.scala 777:28] + node _T_779 = or(lsu_i0_rfnpc_r, fence_i_r) @[dec_tlu_ctl.scala 778:36] + node _T_780 = or(_T_779, iccm_repair_state_rfnpc) @[dec_tlu_ctl.scala 778:48] + node _T_781 = not(interrupt_valid_r) @[dec_tlu_ctl.scala 778:96] + node _T_782 = and(i_cpu_run_req_d1, _T_781) @[dec_tlu_ctl.scala 778:94] + node _T_783 = or(_T_780, _T_782) @[dec_tlu_ctl.scala 778:74] + node _T_784 = not(io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 778:131] + node _T_785 = and(rfpc_i0_r, _T_784) @[dec_tlu_ctl.scala 778:129] + node sel_npc_r = or(_T_783, _T_785) @[dec_tlu_ctl.scala 778:116] + node _T_786 = and(i_cpu_run_req_d1, pmu_fw_tlu_halted_f) @[dec_tlu_ctl.scala 779:43] + node sel_npc_resume = or(_T_786, pause_expired_r) @[dec_tlu_ctl.scala 779:66] + node _T_787 = orr(io.lsu_fir_error) @[dec_tlu_ctl.scala 780:65] + node _T_788 = not(_T_787) @[dec_tlu_ctl.scala 780:47] + node sel_fir_addr = and(take_ext_int_start_d3, _T_788) @[dec_tlu_ctl.scala 780:45] + node _T_789 = or(i0_exception_valid_r, rfpc_i0_r) @[dec_tlu_ctl.scala 781:49] + node _T_790 = or(_T_789, lsu_exc_valid_r) @[dec_tlu_ctl.scala 781:61] + node _T_791 = or(_T_790, fence_i_r) @[dec_tlu_ctl.scala 781:79] + node _T_792 = or(_T_791, lsu_i0_rfnpc_r) @[dec_tlu_ctl.scala 781:91] + node _T_793 = or(_T_792, iccm_repair_state_rfnpc) @[dec_tlu_ctl.scala 781:108] + node _T_794 = or(_T_793, debug_resume_req_f) @[dec_tlu_ctl.scala 781:135] + node _T_795 = or(_T_794, sel_npc_resume) @[dec_tlu_ctl.scala 781:157] + node _T_796 = or(_T_795, dec_tlu_wr_pause_r_d1) @[dec_tlu_ctl.scala 781:175] + node _T_797 = or(_T_796, i0_trigger_hit_r) @[dec_tlu_ctl.scala 781:201] + synchronous_flush_r <= _T_797 @[dec_tlu_ctl.scala 781:25] + node _T_798 = or(interrupt_valid_r, mret_r) @[dec_tlu_ctl.scala 782:43] + node _T_799 = or(_T_798, synchronous_flush_r) @[dec_tlu_ctl.scala 782:52] + node _T_800 = or(_T_799, take_halt) @[dec_tlu_ctl.scala 782:74] + node _T_801 = or(_T_800, take_reset) @[dec_tlu_ctl.scala 782:86] + node _T_802 = or(_T_801, take_ext_int_start) @[dec_tlu_ctl.scala 782:99] + tlu_flush_lower_r <= _T_802 @[dec_tlu_ctl.scala 782:22] + node _T_803 = bits(take_reset, 0, 0) @[dec_tlu_ctl.scala 784:42] + node _T_804 = bits(sel_fir_addr, 0, 0) @[dec_tlu_ctl.scala 785:72] + node _T_805 = eq(take_nmi, UInt<1>("h00")) @[dec_tlu_ctl.scala 786:66] + node _T_806 = eq(sel_npc_r, UInt<1>("h01")) @[dec_tlu_ctl.scala 786:84] + node _T_807 = and(_T_805, _T_806) @[dec_tlu_ctl.scala 786:73] + node _T_808 = eq(take_nmi, UInt<1>("h00")) @[dec_tlu_ctl.scala 787:66] + node _T_809 = eq(rfpc_i0_r, UInt<1>("h01")) @[dec_tlu_ctl.scala 787:84] + node _T_810 = and(_T_808, _T_809) @[dec_tlu_ctl.scala 787:73] + node _T_811 = eq(io.dec_tlu_i0_valid_r, UInt<1>("h01")) @[dec_tlu_ctl.scala 787:114] + node _T_812 = and(_T_810, _T_811) @[dec_tlu_ctl.scala 787:91] + node _T_813 = eq(sel_npc_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 787:132] + node _T_814 = and(_T_812, _T_813) @[dec_tlu_ctl.scala 787:121] + node _T_815 = eq(interrupt_valid_r, UInt<1>("h01")) @[dec_tlu_ctl.scala 788:75] + node _T_816 = eq(sel_fir_addr, UInt<1>("h00")) @[dec_tlu_ctl.scala 788:96] + node _T_817 = and(_T_815, _T_816) @[dec_tlu_ctl.scala 788:82] + node _T_818 = or(i0_exception_valid_r, lsu_exc_valid_r) @[dec_tlu_ctl.scala 789:80] + node _T_819 = not(trigger_hit_dmode_r) @[dec_tlu_ctl.scala 789:120] + node _T_820 = and(i0_trigger_hit_r, _T_819) @[dec_tlu_ctl.scala 789:118] + node _T_821 = or(_T_818, _T_820) @[dec_tlu_ctl.scala 789:98] + node _T_822 = not(interrupt_valid_r) @[dec_tlu_ctl.scala 789:145] + node _T_823 = and(_T_821, _T_822) @[dec_tlu_ctl.scala 789:143] + node _T_824 = not(sel_fir_addr) @[dec_tlu_ctl.scala 789:166] + node _T_825 = and(_T_823, _T_824) @[dec_tlu_ctl.scala 789:164] + node _T_826 = bits(_T_825, 0, 0) @[dec_tlu_ctl.scala 789:181] + node _T_827 = bits(mtvec, 30, 1) @[dec_tlu_ctl.scala 789:205] node _T_828 = cat(_T_827, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_829 = not(take_nmi) @[dec_tlu_ctl.scala 793:58] - node _T_830 = and(_T_829, mret_r) @[dec_tlu_ctl.scala 793:68] - node _T_831 = bits(_T_830, 0, 0) @[dec_tlu_ctl.scala 793:78] - node _T_832 = not(take_nmi) @[dec_tlu_ctl.scala 794:58] - node _T_833 = and(_T_832, debug_resume_req_f) @[dec_tlu_ctl.scala 794:68] - node _T_834 = bits(_T_833, 0, 0) @[dec_tlu_ctl.scala 794:90] - node _T_835 = not(take_nmi) @[dec_tlu_ctl.scala 795:58] - node _T_836 = and(_T_835, sel_npc_resume) @[dec_tlu_ctl.scala 795:68] - node _T_837 = bits(_T_836, 0, 0) @[dec_tlu_ctl.scala 795:86] + node _T_829 = not(take_nmi) @[dec_tlu_ctl.scala 790:58] + node _T_830 = and(_T_829, mret_r) @[dec_tlu_ctl.scala 790:68] + node _T_831 = bits(_T_830, 0, 0) @[dec_tlu_ctl.scala 790:78] + node _T_832 = not(take_nmi) @[dec_tlu_ctl.scala 791:58] + node _T_833 = and(_T_832, debug_resume_req_f) @[dec_tlu_ctl.scala 791:68] + node _T_834 = bits(_T_833, 0, 0) @[dec_tlu_ctl.scala 791:90] + node _T_835 = not(take_nmi) @[dec_tlu_ctl.scala 792:58] + node _T_836 = and(_T_835, sel_npc_resume) @[dec_tlu_ctl.scala 792:68] + node _T_837 = bits(_T_836, 0, 0) @[dec_tlu_ctl.scala 792:86] node _T_838 = mux(_T_804, io.lsu_fir_addr, UInt<1>("h00")) @[Mux.scala 27:72] node _T_839 = mux(_T_807, npc_r, UInt<1>("h00")) @[Mux.scala 27:72] node _T_840 = mux(_T_814, io.dec_tlu_i0_pc_r, UInt<1>("h00")) @[Mux.scala 27:72] @@ -79278,462 +79273,461 @@ circuit quasar_wrapper : node _T_852 = or(_T_851, _T_845) @[Mux.scala 27:72] wire _T_853 : UInt<31> @[Mux.scala 27:72] _T_853 <= _T_852 @[Mux.scala 27:72] - node tlu_flush_path_r = mux(_T_803, io.rst_vec, _T_853) @[dec_tlu_ctl.scala 787:30] - reg tlu_flush_path_r_d1 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 798:64] - tlu_flush_path_r_d1 <= tlu_flush_path_r @[dec_tlu_ctl.scala 798:64] - io.tlu_bp.dec_tlu_flush_lower_wb <= tlu_flush_lower_r_d1 @[dec_tlu_ctl.scala 800:49] - io.tlu_mem.dec_tlu_flush_lower_wb <= io.tlu_bp.dec_tlu_flush_lower_wb @[dec_tlu_ctl.scala 801:41] - io.tlu_exu.dec_tlu_flush_lower_r <= tlu_flush_lower_r @[dec_tlu_ctl.scala 802:49] - io.tlu_exu.dec_tlu_flush_path_r <= tlu_flush_path_r @[dec_tlu_ctl.scala 803:49] - node _T_854 = or(lsu_exc_valid_r, i0_exception_valid_r) @[dec_tlu_ctl.scala 806:45] - node _T_855 = or(_T_854, interrupt_valid_r) @[dec_tlu_ctl.scala 806:68] - node _T_856 = not(trigger_hit_dmode_r) @[dec_tlu_ctl.scala 806:110] - node _T_857 = and(i0_trigger_hit_r, _T_856) @[dec_tlu_ctl.scala 806:108] - node exc_or_int_valid_r = or(_T_855, _T_857) @[dec_tlu_ctl.scala 806:88] - reg _T_858 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 808:90] - _T_858 <= interrupt_valid_r @[dec_tlu_ctl.scala 808:90] - interrupt_valid_r_d1 <= _T_858 @[dec_tlu_ctl.scala 808:57] - reg i0_exception_valid_r_d1 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 809:89] - i0_exception_valid_r_d1 <= i0_exception_valid_r @[dec_tlu_ctl.scala 809:89] - reg _T_859 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 810:90] - _T_859 <= exc_or_int_valid_r @[dec_tlu_ctl.scala 810:90] - exc_or_int_valid_r_d1 <= _T_859 @[dec_tlu_ctl.scala 810:57] - reg exc_cause_wb : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 811:89] - exc_cause_wb <= exc_cause_r @[dec_tlu_ctl.scala 811:89] - node _T_860 = not(illegal_r) @[dec_tlu_ctl.scala 812:119] - node _T_861 = and(tlu_i0_commit_cmt, _T_860) @[dec_tlu_ctl.scala 812:117] - reg i0_valid_wb : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 812:97] - i0_valid_wb <= _T_861 @[dec_tlu_ctl.scala 812:97] - reg trigger_hit_r_d1 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 813:89] - trigger_hit_r_d1 <= i0_trigger_hit_r @[dec_tlu_ctl.scala 813:89] - reg _T_862 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 814:98] - _T_862 <= take_nmi @[dec_tlu_ctl.scala 814:98] - take_nmi_r_d1 <= _T_862 @[dec_tlu_ctl.scala 814:65] - reg _T_863 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 815:90] - _T_863 <= pause_expired_r @[dec_tlu_ctl.scala 815:90] - pause_expired_wb <= _T_863 @[dec_tlu_ctl.scala 815:57] - inst csr of csr_tlu @[dec_tlu_ctl.scala 817:15] + node tlu_flush_path_r = mux(_T_803, io.rst_vec, _T_853) @[dec_tlu_ctl.scala 784:30] + reg tlu_flush_path_r_d1 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 795:64] + tlu_flush_path_r_d1 <= tlu_flush_path_r @[dec_tlu_ctl.scala 795:64] + io.dec_tlu_flush_lower_wb <= tlu_flush_lower_r_d1 @[dec_tlu_ctl.scala 797:41] + io.tlu_exu.dec_tlu_flush_lower_r <= tlu_flush_lower_r @[dec_tlu_ctl.scala 798:49] + io.tlu_exu.dec_tlu_flush_path_r <= tlu_flush_path_r @[dec_tlu_ctl.scala 799:49] + node _T_854 = or(lsu_exc_valid_r, i0_exception_valid_r) @[dec_tlu_ctl.scala 802:45] + node _T_855 = or(_T_854, interrupt_valid_r) @[dec_tlu_ctl.scala 802:68] + node _T_856 = not(trigger_hit_dmode_r) @[dec_tlu_ctl.scala 802:110] + node _T_857 = and(i0_trigger_hit_r, _T_856) @[dec_tlu_ctl.scala 802:108] + node exc_or_int_valid_r = or(_T_855, _T_857) @[dec_tlu_ctl.scala 802:88] + reg _T_858 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 804:91] + _T_858 <= interrupt_valid_r @[dec_tlu_ctl.scala 804:91] + interrupt_valid_r_d1 <= _T_858 @[dec_tlu_ctl.scala 804:57] + reg i0_exception_valid_r_d1 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 805:75] + i0_exception_valid_r_d1 <= i0_exception_valid_r @[dec_tlu_ctl.scala 805:75] + reg _T_859 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 806:91] + _T_859 <= exc_or_int_valid_r @[dec_tlu_ctl.scala 806:91] + exc_or_int_valid_r_d1 <= _T_859 @[dec_tlu_ctl.scala 806:57] + reg exc_cause_wb : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 807:91] + exc_cause_wb <= exc_cause_r @[dec_tlu_ctl.scala 807:91] + node _T_860 = not(illegal_r) @[dec_tlu_ctl.scala 808:121] + node _T_861 = and(tlu_i0_commit_cmt, _T_860) @[dec_tlu_ctl.scala 808:119] + reg i0_valid_wb : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 808:99] + i0_valid_wb <= _T_861 @[dec_tlu_ctl.scala 808:99] + reg trigger_hit_r_d1 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 809:83] + trigger_hit_r_d1 <= i0_trigger_hit_r @[dec_tlu_ctl.scala 809:83] + reg _T_862 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 810:107] + _T_862 <= take_nmi @[dec_tlu_ctl.scala 810:107] + take_nmi_r_d1 <= _T_862 @[dec_tlu_ctl.scala 810:73] + reg _T_863 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 811:91] + _T_863 <= pause_expired_r @[dec_tlu_ctl.scala 811:91] + pause_expired_wb <= _T_863 @[dec_tlu_ctl.scala 811:57] + inst csr of csr_tlu @[dec_tlu_ctl.scala 813:15] csr.clock <= clock csr.reset <= reset - csr.io.free_clk <= io.free_clk @[dec_tlu_ctl.scala 818:44] - csr.io.active_clk <= io.active_clk @[dec_tlu_ctl.scala 819:44] - csr.io.scan_mode <= io.scan_mode @[dec_tlu_ctl.scala 820:44] - csr.io.dec_csr_wrdata_r <= io.dec_csr_wrdata_r @[dec_tlu_ctl.scala 821:44] - csr.io.dec_csr_wraddr_r <= io.dec_csr_wraddr_r @[dec_tlu_ctl.scala 822:44] - csr.io.dec_csr_rdaddr_d <= io.dec_csr_rdaddr_d @[dec_tlu_ctl.scala 823:44] - csr.io.dec_csr_wen_unq_d <= io.dec_csr_wen_unq_d @[dec_tlu_ctl.scala 824:44] - csr.io.dec_i0_decode_d <= io.dec_i0_decode_d @[dec_tlu_ctl.scala 825:44] - csr.io.ifu_ic_debug_rd_data_valid <= io.tlu_mem.ifu_ic_debug_rd_data_valid @[dec_tlu_ctl.scala 826:44] - csr.io.ifu_pmu_bus_trxn <= io.tlu_mem.ifu_pmu_bus_trxn @[dec_tlu_ctl.scala 827:44] - csr.io.dma_iccm_stall_any <= io.tlu_dma.dma_iccm_stall_any @[dec_tlu_ctl.scala 828:44] - csr.io.dma_dccm_stall_any <= io.tlu_dma.dma_dccm_stall_any @[dec_tlu_ctl.scala 829:44] - csr.io.lsu_store_stall_any <= io.lsu_store_stall_any @[dec_tlu_ctl.scala 830:44] - csr.io.dec_pmu_presync_stall <= io.dec_pmu_presync_stall @[dec_tlu_ctl.scala 831:44] - csr.io.dec_pmu_postsync_stall <= io.dec_pmu_postsync_stall @[dec_tlu_ctl.scala 832:44] - csr.io.dec_pmu_decode_stall <= io.dec_pmu_decode_stall @[dec_tlu_ctl.scala 833:44] - csr.io.ifu_pmu_fetch_stall <= io.tlu_ifc.ifu_pmu_fetch_stall @[dec_tlu_ctl.scala 834:44] - csr.io.dec_tlu_packet_r.pmu_lsu_misaligned <= io.dec_tlu_packet_r.pmu_lsu_misaligned @[dec_tlu_ctl.scala 835:44] - csr.io.dec_tlu_packet_r.pmu_divide <= io.dec_tlu_packet_r.pmu_divide @[dec_tlu_ctl.scala 835:44] - csr.io.dec_tlu_packet_r.pmu_i0_br_unpred <= io.dec_tlu_packet_r.pmu_i0_br_unpred @[dec_tlu_ctl.scala 835:44] - csr.io.dec_tlu_packet_r.pmu_i0_itype <= io.dec_tlu_packet_r.pmu_i0_itype @[dec_tlu_ctl.scala 835:44] - csr.io.dec_tlu_packet_r.i0trigger <= io.dec_tlu_packet_r.i0trigger @[dec_tlu_ctl.scala 835:44] - csr.io.dec_tlu_packet_r.fence_i <= io.dec_tlu_packet_r.fence_i @[dec_tlu_ctl.scala 835:44] - csr.io.dec_tlu_packet_r.icaf_type <= io.dec_tlu_packet_r.icaf_type @[dec_tlu_ctl.scala 835:44] - csr.io.dec_tlu_packet_r.icaf_f1 <= io.dec_tlu_packet_r.icaf_f1 @[dec_tlu_ctl.scala 835:44] - csr.io.dec_tlu_packet_r.icaf <= io.dec_tlu_packet_r.icaf @[dec_tlu_ctl.scala 835:44] - csr.io.dec_tlu_packet_r.legal <= io.dec_tlu_packet_r.legal @[dec_tlu_ctl.scala 835:44] - csr.io.exu_pmu_i0_br_ataken <= io.tlu_exu.exu_pmu_i0_br_ataken @[dec_tlu_ctl.scala 836:44] - csr.io.exu_pmu_i0_br_misp <= io.tlu_exu.exu_pmu_i0_br_misp @[dec_tlu_ctl.scala 837:44] - csr.io.dec_pmu_instr_decoded <= io.dec_pmu_instr_decoded @[dec_tlu_ctl.scala 838:44] - csr.io.ifu_pmu_instr_aligned <= io.ifu_pmu_instr_aligned @[dec_tlu_ctl.scala 839:44] - csr.io.exu_pmu_i0_pc4 <= io.tlu_exu.exu_pmu_i0_pc4 @[dec_tlu_ctl.scala 840:44] - csr.io.ifu_pmu_ic_miss <= io.tlu_mem.ifu_pmu_ic_miss @[dec_tlu_ctl.scala 841:44] - csr.io.ifu_pmu_ic_hit <= io.tlu_mem.ifu_pmu_ic_hit @[dec_tlu_ctl.scala 842:44] - csr.io.dec_csr_wen_r <= io.dec_csr_wen_r @[dec_tlu_ctl.scala 843:44] - csr.io.dec_tlu_dbg_halted <= io.dec_tlu_dbg_halted @[dec_tlu_ctl.scala 844:44] - csr.io.dma_pmu_dccm_write <= io.tlu_dma.dma_pmu_dccm_write @[dec_tlu_ctl.scala 845:44] - csr.io.dma_pmu_dccm_read <= io.tlu_dma.dma_pmu_dccm_read @[dec_tlu_ctl.scala 846:44] - csr.io.dma_pmu_any_write <= io.tlu_dma.dma_pmu_any_write @[dec_tlu_ctl.scala 847:44] - csr.io.dma_pmu_any_read <= io.tlu_dma.dma_pmu_any_read @[dec_tlu_ctl.scala 848:44] - csr.io.lsu_pmu_bus_busy <= io.tlu_busbuff.lsu_pmu_bus_busy @[dec_tlu_ctl.scala 849:44] - csr.io.dec_tlu_i0_pc_r <= io.dec_tlu_i0_pc_r @[dec_tlu_ctl.scala 850:44] - csr.io.dec_tlu_i0_valid_r <= io.dec_tlu_i0_valid_r @[dec_tlu_ctl.scala 851:44] - csr.io.dec_csr_stall_int_ff <= io.dec_csr_stall_int_ff @[dec_tlu_ctl.scala 852:44] - csr.io.dec_csr_any_unq_d <= io.dec_csr_any_unq_d @[dec_tlu_ctl.scala 853:44] - csr.io.ifu_pmu_bus_busy <= io.tlu_mem.ifu_pmu_bus_busy @[dec_tlu_ctl.scala 854:44] - csr.io.lsu_pmu_bus_error <= io.tlu_busbuff.lsu_pmu_bus_error @[dec_tlu_ctl.scala 855:44] - csr.io.ifu_pmu_bus_error <= io.tlu_mem.ifu_pmu_bus_error @[dec_tlu_ctl.scala 856:44] - csr.io.lsu_pmu_bus_misaligned <= io.tlu_busbuff.lsu_pmu_bus_misaligned @[dec_tlu_ctl.scala 857:44] - csr.io.lsu_pmu_bus_trxn <= io.tlu_busbuff.lsu_pmu_bus_trxn @[dec_tlu_ctl.scala 858:44] - csr.io.ifu_ic_debug_rd_data <= io.tlu_mem.ifu_ic_debug_rd_data @[dec_tlu_ctl.scala 859:44] - csr.io.pic_pl <= io.dec_pic.pic_pl @[dec_tlu_ctl.scala 860:44] - csr.io.pic_claimid <= io.dec_pic.pic_claimid @[dec_tlu_ctl.scala 861:44] - csr.io.iccm_dma_sb_error <= io.iccm_dma_sb_error @[dec_tlu_ctl.scala 862:44] - csr.io.lsu_imprecise_error_addr_any <= io.tlu_busbuff.lsu_imprecise_error_addr_any @[dec_tlu_ctl.scala 863:44] - csr.io.lsu_imprecise_error_load_any <= io.tlu_busbuff.lsu_imprecise_error_load_any @[dec_tlu_ctl.scala 864:44] - csr.io.lsu_imprecise_error_store_any <= io.tlu_busbuff.lsu_imprecise_error_store_any @[dec_tlu_ctl.scala 865:44] - csr.io.dec_illegal_inst <= io.dec_illegal_inst @[dec_tlu_ctl.scala 866:44] - csr.io.lsu_error_pkt_r.bits.addr <= io.lsu_error_pkt_r.bits.addr @[dec_tlu_ctl.scala 867:44] - csr.io.lsu_error_pkt_r.bits.mscause <= io.lsu_error_pkt_r.bits.mscause @[dec_tlu_ctl.scala 867:44] - csr.io.lsu_error_pkt_r.bits.exc_type <= io.lsu_error_pkt_r.bits.exc_type @[dec_tlu_ctl.scala 867:44] - csr.io.lsu_error_pkt_r.bits.inst_type <= io.lsu_error_pkt_r.bits.inst_type @[dec_tlu_ctl.scala 867:44] - csr.io.lsu_error_pkt_r.bits.single_ecc_error <= io.lsu_error_pkt_r.bits.single_ecc_error @[dec_tlu_ctl.scala 867:44] - csr.io.lsu_error_pkt_r.valid <= io.lsu_error_pkt_r.valid @[dec_tlu_ctl.scala 867:44] - csr.io.mexintpend <= io.dec_pic.mexintpend @[dec_tlu_ctl.scala 868:44] - csr.io.exu_npc_r <= io.tlu_exu.exu_npc_r @[dec_tlu_ctl.scala 869:44] - csr.io.mpc_reset_run_req <= io.mpc_reset_run_req @[dec_tlu_ctl.scala 870:44] - csr.io.rst_vec <= io.rst_vec @[dec_tlu_ctl.scala 871:44] - csr.io.core_id <= io.core_id @[dec_tlu_ctl.scala 872:44] - csr.io.dec_timer_rddata_d <= int_timers.io.dec_timer_rddata_d @[dec_tlu_ctl.scala 873:44] - csr.io.dec_timer_read_d <= int_timers.io.dec_timer_read_d @[dec_tlu_ctl.scala 874:44] - io.dec_pic.dec_tlu_meicurpl <= csr.io.dec_tlu_meicurpl @[dec_tlu_ctl.scala 875:52] - io.tlu_exu.dec_tlu_meihap <= csr.io.dec_tlu_meihap @[dec_tlu_ctl.scala 876:52] - io.dec_pic.dec_tlu_meipt <= csr.io.dec_tlu_meipt @[dec_tlu_ctl.scala 877:52] - io.dec_tlu_int_valid_wb1 <= csr.io.dec_tlu_int_valid_wb1 @[dec_tlu_ctl.scala 878:44] - io.dec_tlu_i0_exc_valid_wb1 <= csr.io.dec_tlu_i0_exc_valid_wb1 @[dec_tlu_ctl.scala 879:44] - io.dec_tlu_i0_valid_wb1 <= csr.io.dec_tlu_i0_valid_wb1 @[dec_tlu_ctl.scala 880:44] - io.tlu_mem.dec_tlu_ic_diag_pkt.icache_wr_valid <= csr.io.dec_tlu_ic_diag_pkt.icache_wr_valid @[dec_tlu_ctl.scala 881:52] - io.tlu_mem.dec_tlu_ic_diag_pkt.icache_rd_valid <= csr.io.dec_tlu_ic_diag_pkt.icache_rd_valid @[dec_tlu_ctl.scala 881:52] - io.tlu_mem.dec_tlu_ic_diag_pkt.icache_dicawics <= csr.io.dec_tlu_ic_diag_pkt.icache_dicawics @[dec_tlu_ctl.scala 881:52] - io.tlu_mem.dec_tlu_ic_diag_pkt.icache_wrdata <= csr.io.dec_tlu_ic_diag_pkt.icache_wrdata @[dec_tlu_ctl.scala 881:52] - io.trigger_pkt_any[0].tdata2 <= csr.io.trigger_pkt_any[0].tdata2 @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[0].m <= csr.io.trigger_pkt_any[0].m @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[0].execute <= csr.io.trigger_pkt_any[0].execute @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[0].load <= csr.io.trigger_pkt_any[0].load @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[0].store <= csr.io.trigger_pkt_any[0].store @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[0].match_pkt <= csr.io.trigger_pkt_any[0].match_pkt @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[0].select <= csr.io.trigger_pkt_any[0].select @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[1].tdata2 <= csr.io.trigger_pkt_any[1].tdata2 @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[1].m <= csr.io.trigger_pkt_any[1].m @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[1].execute <= csr.io.trigger_pkt_any[1].execute @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[1].load <= csr.io.trigger_pkt_any[1].load @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[1].store <= csr.io.trigger_pkt_any[1].store @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[1].match_pkt <= csr.io.trigger_pkt_any[1].match_pkt @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[1].select <= csr.io.trigger_pkt_any[1].select @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[2].tdata2 <= csr.io.trigger_pkt_any[2].tdata2 @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[2].m <= csr.io.trigger_pkt_any[2].m @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[2].execute <= csr.io.trigger_pkt_any[2].execute @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[2].load <= csr.io.trigger_pkt_any[2].load @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[2].store <= csr.io.trigger_pkt_any[2].store @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[2].match_pkt <= csr.io.trigger_pkt_any[2].match_pkt @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[2].select <= csr.io.trigger_pkt_any[2].select @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[3].tdata2 <= csr.io.trigger_pkt_any[3].tdata2 @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[3].m <= csr.io.trigger_pkt_any[3].m @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[3].execute <= csr.io.trigger_pkt_any[3].execute @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[3].load <= csr.io.trigger_pkt_any[3].load @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[3].store <= csr.io.trigger_pkt_any[3].store @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[3].match_pkt <= csr.io.trigger_pkt_any[3].match_pkt @[dec_tlu_ctl.scala 882:40] - io.trigger_pkt_any[3].select <= csr.io.trigger_pkt_any[3].select @[dec_tlu_ctl.scala 882:40] - io.dec_tlu_mtval_wb1 <= csr.io.dec_tlu_mtval_wb1 @[dec_tlu_ctl.scala 883:40] - io.dec_tlu_exc_cause_wb1 <= csr.io.dec_tlu_exc_cause_wb1 @[dec_tlu_ctl.scala 884:40] - io.dec_tlu_perfcnt0 <= csr.io.dec_tlu_perfcnt0 @[dec_tlu_ctl.scala 885:40] - io.dec_tlu_perfcnt1 <= csr.io.dec_tlu_perfcnt1 @[dec_tlu_ctl.scala 886:40] - io.dec_tlu_perfcnt2 <= csr.io.dec_tlu_perfcnt2 @[dec_tlu_ctl.scala 887:40] - io.dec_tlu_perfcnt3 <= csr.io.dec_tlu_perfcnt3 @[dec_tlu_ctl.scala 888:40] - io.dec_tlu_misc_clk_override <= csr.io.dec_tlu_misc_clk_override @[dec_tlu_ctl.scala 889:40] - io.dec_tlu_dec_clk_override <= csr.io.dec_tlu_dec_clk_override @[dec_tlu_ctl.scala 890:40] - io.dec_tlu_ifu_clk_override <= csr.io.dec_tlu_ifu_clk_override @[dec_tlu_ctl.scala 891:40] - io.dec_tlu_lsu_clk_override <= csr.io.dec_tlu_lsu_clk_override @[dec_tlu_ctl.scala 892:40] - io.dec_tlu_bus_clk_override <= csr.io.dec_tlu_bus_clk_override @[dec_tlu_ctl.scala 893:40] - io.dec_tlu_pic_clk_override <= csr.io.dec_tlu_pic_clk_override @[dec_tlu_ctl.scala 894:40] - io.dec_tlu_dccm_clk_override <= csr.io.dec_tlu_dccm_clk_override @[dec_tlu_ctl.scala 895:40] - io.dec_tlu_icm_clk_override <= csr.io.dec_tlu_icm_clk_override @[dec_tlu_ctl.scala 896:40] - io.dec_csr_rddata_d <= csr.io.dec_csr_rddata_d @[dec_tlu_ctl.scala 897:40] - io.dec_tlu_pipelining_disable <= csr.io.dec_tlu_pipelining_disable @[dec_tlu_ctl.scala 898:40] - io.dec_tlu_wr_pause_r <= csr.io.dec_tlu_wr_pause_r @[dec_tlu_ctl.scala 899:40] - io.tlu_ifc.dec_tlu_mrac_ff <= csr.io.dec_tlu_mrac_ff @[dec_tlu_ctl.scala 900:48] - io.tlu_busbuff.dec_tlu_wb_coalescing_disable <= csr.io.dec_tlu_wb_coalescing_disable @[dec_tlu_ctl.scala 901:52] - io.tlu_bp.dec_tlu_bpred_disable <= csr.io.dec_tlu_bpred_disable @[dec_tlu_ctl.scala 902:47] - io.tlu_busbuff.dec_tlu_sideeffect_posted_disable <= csr.io.dec_tlu_sideeffect_posted_disable @[dec_tlu_ctl.scala 903:52] - io.tlu_mem.dec_tlu_core_ecc_disable <= csr.io.dec_tlu_core_ecc_disable @[dec_tlu_ctl.scala 904:48] - io.tlu_busbuff.dec_tlu_external_ldfwd_disable <= csr.io.dec_tlu_external_ldfwd_disable @[dec_tlu_ctl.scala 905:52] - io.tlu_dma.dec_tlu_dma_qos_prty <= csr.io.dec_tlu_dma_qos_prty @[dec_tlu_ctl.scala 906:48] - csr.io.dec_illegal_inst <= io.dec_illegal_inst @[dec_tlu_ctl.scala 907:44] - csr.io.lsu_error_pkt_r.bits.addr <= io.lsu_error_pkt_r.bits.addr @[dec_tlu_ctl.scala 908:44] - csr.io.lsu_error_pkt_r.bits.mscause <= io.lsu_error_pkt_r.bits.mscause @[dec_tlu_ctl.scala 908:44] - csr.io.lsu_error_pkt_r.bits.exc_type <= io.lsu_error_pkt_r.bits.exc_type @[dec_tlu_ctl.scala 908:44] - csr.io.lsu_error_pkt_r.bits.inst_type <= io.lsu_error_pkt_r.bits.inst_type @[dec_tlu_ctl.scala 908:44] - csr.io.lsu_error_pkt_r.bits.single_ecc_error <= io.lsu_error_pkt_r.bits.single_ecc_error @[dec_tlu_ctl.scala 908:44] - csr.io.lsu_error_pkt_r.valid <= io.lsu_error_pkt_r.valid @[dec_tlu_ctl.scala 908:44] - csr.io.mexintpend <= io.dec_pic.mexintpend @[dec_tlu_ctl.scala 909:44] - csr.io.exu_npc_r <= io.tlu_exu.exu_npc_r @[dec_tlu_ctl.scala 910:44] - csr.io.mpc_reset_run_req <= io.mpc_reset_run_req @[dec_tlu_ctl.scala 911:44] - csr.io.rst_vec <= io.rst_vec @[dec_tlu_ctl.scala 912:44] - csr.io.core_id <= io.core_id @[dec_tlu_ctl.scala 913:44] - csr.io.dec_timer_rddata_d <= int_timers.io.dec_timer_rddata_d @[dec_tlu_ctl.scala 914:44] - csr.io.dec_timer_read_d <= int_timers.io.dec_timer_read_d @[dec_tlu_ctl.scala 915:44] - csr.io.rfpc_i0_r <= rfpc_i0_r @[dec_tlu_ctl.scala 918:39] - csr.io.i0_trigger_hit_r <= i0_trigger_hit_r @[dec_tlu_ctl.scala 919:39] - csr.io.exc_or_int_valid_r <= exc_or_int_valid_r @[dec_tlu_ctl.scala 920:39] - csr.io.mret_r <= mret_r @[dec_tlu_ctl.scala 921:39] - csr.io.dcsr_single_step_running_f <= dcsr_single_step_running_f @[dec_tlu_ctl.scala 922:39] - csr.io.dec_timer_t0_pulse <= int_timers.io.dec_timer_t0_pulse @[dec_tlu_ctl.scala 923:39] - csr.io.dec_timer_t1_pulse <= int_timers.io.dec_timer_t1_pulse @[dec_tlu_ctl.scala 924:39] - csr.io.timer_int_sync <= timer_int_sync @[dec_tlu_ctl.scala 925:39] - csr.io.soft_int_sync <= soft_int_sync @[dec_tlu_ctl.scala 926:39] - csr.io.csr_wr_clk <= rvclkhdr.io.l1clk @[dec_tlu_ctl.scala 927:39] - csr.io.ebreak_to_debug_mode_r <= ebreak_to_debug_mode_r @[dec_tlu_ctl.scala 928:39] - csr.io.dec_tlu_pmu_fw_halted <= dec_tlu_pmu_fw_halted @[dec_tlu_ctl.scala 929:39] - csr.io.lsu_fir_error <= io.lsu_fir_error @[dec_tlu_ctl.scala 930:39] - csr.io.tlu_flush_lower_r_d1 <= tlu_flush_lower_r_d1 @[dec_tlu_ctl.scala 931:39] - csr.io.dec_tlu_flush_noredir_r_d1 <= dec_tlu_flush_noredir_r_d1 @[dec_tlu_ctl.scala 932:39] - csr.io.tlu_flush_path_r_d1 <= tlu_flush_path_r_d1 @[dec_tlu_ctl.scala 933:39] - csr.io.reset_delayed <= reset_delayed @[dec_tlu_ctl.scala 934:39] - csr.io.interrupt_valid_r <= interrupt_valid_r @[dec_tlu_ctl.scala 935:39] - csr.io.i0_exception_valid_r <= i0_exception_valid_r @[dec_tlu_ctl.scala 936:39] - csr.io.lsu_exc_valid_r <= lsu_exc_valid_r @[dec_tlu_ctl.scala 937:39] - csr.io.mepc_trigger_hit_sel_pc_r <= mepc_trigger_hit_sel_pc_r @[dec_tlu_ctl.scala 938:39] - csr.io.e4e5_int_clk <= rvclkhdr_3.io.l1clk @[dec_tlu_ctl.scala 939:39] - csr.io.lsu_i0_exc_r <= lsu_exc_valid_r @[dec_tlu_ctl.scala 940:39] - csr.io.inst_acc_r <= inst_acc_r @[dec_tlu_ctl.scala 941:39] - csr.io.inst_acc_second_r <= io.dec_tlu_packet_r.icaf_f1 @[dec_tlu_ctl.scala 942:39] - csr.io.take_nmi <= take_nmi @[dec_tlu_ctl.scala 943:39] - csr.io.lsu_error_pkt_addr_r <= io.lsu_error_pkt_r.bits.addr @[dec_tlu_ctl.scala 944:39] - csr.io.exc_cause_r <= exc_cause_r @[dec_tlu_ctl.scala 945:39] - csr.io.i0_valid_wb <= i0_valid_wb @[dec_tlu_ctl.scala 946:39] - csr.io.exc_or_int_valid_r_d1 <= exc_or_int_valid_r_d1 @[dec_tlu_ctl.scala 947:39] - csr.io.interrupt_valid_r_d1 <= interrupt_valid_r_d1 @[dec_tlu_ctl.scala 948:39] - csr.io.clk_override <= io.dec_tlu_dec_clk_override @[dec_tlu_ctl.scala 949:39] - csr.io.i0_exception_valid_r_d1 <= i0_exception_valid_r_d1 @[dec_tlu_ctl.scala 950:39] - csr.io.lsu_i0_exc_r_d1 <= lsu_i0_exc_r_d1 @[dec_tlu_ctl.scala 951:39] - csr.io.exc_cause_wb <= exc_cause_wb @[dec_tlu_ctl.scala 952:39] - csr.io.nmi_lsu_store_type <= nmi_lsu_store_type @[dec_tlu_ctl.scala 953:39] - csr.io.nmi_lsu_load_type <= nmi_lsu_load_type @[dec_tlu_ctl.scala 954:39] - csr.io.tlu_i0_commit_cmt <= tlu_i0_commit_cmt @[dec_tlu_ctl.scala 955:39] - csr.io.ebreak_r <= ebreak_r @[dec_tlu_ctl.scala 956:39] - csr.io.ecall_r <= ecall_r @[dec_tlu_ctl.scala 957:39] - csr.io.illegal_r <= illegal_r @[dec_tlu_ctl.scala 958:39] - csr.io.mdseac_locked_f <= mdseac_locked_f @[dec_tlu_ctl.scala 959:39] - csr.io.nmi_int_detected_f <= nmi_int_detected_f @[dec_tlu_ctl.scala 960:39] - csr.io.internal_dbg_halt_mode_f2 <= internal_dbg_halt_mode_f2 @[dec_tlu_ctl.scala 961:39] - csr.io.ext_int_freeze_d1 <= ext_int_freeze_d1 @[dec_tlu_ctl.scala 962:39] - csr.io.ic_perr_r_d1 <= ic_perr_r_d1 @[dec_tlu_ctl.scala 963:39] - csr.io.iccm_sbecc_r_d1 <= iccm_sbecc_r_d1 @[dec_tlu_ctl.scala 964:39] - csr.io.lsu_single_ecc_error_r_d1 <= lsu_single_ecc_error_r_d1 @[dec_tlu_ctl.scala 965:39] - csr.io.ifu_miss_state_idle_f <= ifu_miss_state_idle_f @[dec_tlu_ctl.scala 966:39] - csr.io.lsu_idle_any_f <= lsu_idle_any_f @[dec_tlu_ctl.scala 967:39] - csr.io.dbg_tlu_halted_f <= dbg_tlu_halted_f @[dec_tlu_ctl.scala 968:39] - csr.io.dbg_tlu_halted <= dbg_tlu_halted @[dec_tlu_ctl.scala 969:39] - csr.io.debug_halt_req_f <= debug_halt_req_f @[dec_tlu_ctl.scala 970:51] - csr.io.take_ext_int_start <= take_ext_int_start @[dec_tlu_ctl.scala 971:47] - csr.io.trigger_hit_dmode_r_d1 <= trigger_hit_dmode_r_d1 @[dec_tlu_ctl.scala 972:43] - csr.io.trigger_hit_r_d1 <= trigger_hit_r_d1 @[dec_tlu_ctl.scala 973:43] - csr.io.dcsr_single_step_done_f <= dcsr_single_step_done_f @[dec_tlu_ctl.scala 974:43] - csr.io.ebreak_to_debug_mode_r_d1 <= ebreak_to_debug_mode_r_d1 @[dec_tlu_ctl.scala 975:39] - csr.io.debug_halt_req <= debug_halt_req @[dec_tlu_ctl.scala 976:51] - csr.io.allow_dbg_halt_csr_write <= allow_dbg_halt_csr_write @[dec_tlu_ctl.scala 977:39] - csr.io.internal_dbg_halt_mode_f <= debug_mode_status @[dec_tlu_ctl.scala 978:39] - csr.io.enter_debug_halt_req <= enter_debug_halt_req @[dec_tlu_ctl.scala 979:39] - csr.io.internal_dbg_halt_mode <= internal_dbg_halt_mode @[dec_tlu_ctl.scala 980:39] - csr.io.request_debug_mode_done <= request_debug_mode_done @[dec_tlu_ctl.scala 981:39] - csr.io.request_debug_mode_r <= request_debug_mode_r @[dec_tlu_ctl.scala 982:39] - csr.io.update_hit_bit_r <= update_hit_bit_r @[dec_tlu_ctl.scala 983:39] - csr.io.take_timer_int <= take_timer_int @[dec_tlu_ctl.scala 984:39] - csr.io.take_int_timer0_int <= take_int_timer0_int @[dec_tlu_ctl.scala 985:39] - csr.io.take_int_timer1_int <= take_int_timer1_int @[dec_tlu_ctl.scala 986:39] - csr.io.take_ext_int <= take_ext_int @[dec_tlu_ctl.scala 987:39] - csr.io.tlu_flush_lower_r <= tlu_flush_lower_r @[dec_tlu_ctl.scala 988:39] - csr.io.dec_tlu_br0_error_r <= dec_tlu_br0_error_r @[dec_tlu_ctl.scala 989:39] - csr.io.dec_tlu_br0_start_error_r <= dec_tlu_br0_start_error_r @[dec_tlu_ctl.scala 990:39] - csr.io.lsu_pmu_load_external_r <= lsu_pmu_load_external_r @[dec_tlu_ctl.scala 991:39] - csr.io.lsu_pmu_store_external_r <= lsu_pmu_store_external_r @[dec_tlu_ctl.scala 992:39] - csr.io.csr_pkt.legal <= csr_pkt.legal @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.postsync <= csr_pkt.postsync @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.presync <= csr_pkt.presync @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_dicago <= csr_pkt.csr_dicago @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_dicad1 <= csr_pkt.csr_dicad1 @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_dicad0 <= csr_pkt.csr_dicad0 @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_dicad0h <= csr_pkt.csr_dicad0h @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_dicawics <= csr_pkt.csr_dicawics @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mfdhs <= csr_pkt.csr_mfdhs @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mfdht <= csr_pkt.csr_mfdht @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mdccmect <= csr_pkt.csr_mdccmect @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_miccmect <= csr_pkt.csr_miccmect @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_micect <= csr_pkt.csr_micect @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mdeau <= csr_pkt.csr_mdeau @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_meicpct <= csr_pkt.csr_meicpct @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mcpc <= csr_pkt.csr_mcpc @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mpmc <= csr_pkt.csr_mpmc @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mitcnt1 <= csr_pkt.csr_mitcnt1 @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mitcnt0 <= csr_pkt.csr_mitcnt0 @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mitb1 <= csr_pkt.csr_mitb1 @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mitb0 <= csr_pkt.csr_mitb0 @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mitctl1 <= csr_pkt.csr_mitctl1 @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mitctl0 <= csr_pkt.csr_mitctl0 @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mcountinhibit <= csr_pkt.csr_mcountinhibit @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mhpme6 <= csr_pkt.csr_mhpme6 @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mhpme5 <= csr_pkt.csr_mhpme5 @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mhpme4 <= csr_pkt.csr_mhpme4 @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mhpme3 <= csr_pkt.csr_mhpme3 @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mhpmc6h <= csr_pkt.csr_mhpmc6h @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mhpmc5h <= csr_pkt.csr_mhpmc5h @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mhpmc4h <= csr_pkt.csr_mhpmc4h @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mhpmc3h <= csr_pkt.csr_mhpmc3h @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mhpmc6 <= csr_pkt.csr_mhpmc6 @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mhpmc5 <= csr_pkt.csr_mhpmc5 @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mhpmc4 <= csr_pkt.csr_mhpmc4 @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mhpmc3 <= csr_pkt.csr_mhpmc3 @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mtdata2 <= csr_pkt.csr_mtdata2 @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mtdata1 <= csr_pkt.csr_mtdata1 @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mtsel <= csr_pkt.csr_mtsel @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_dpc <= csr_pkt.csr_dpc @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mfdc <= csr_pkt.csr_mfdc @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mcgc <= csr_pkt.csr_mcgc @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_dcsr <= csr_pkt.csr_dcsr @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_meicidpl <= csr_pkt.csr_meicidpl @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_meicurpl <= csr_pkt.csr_meicurpl @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_meipt <= csr_pkt.csr_meipt @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_meivt <= csr_pkt.csr_meivt @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_meihap <= csr_pkt.csr_meihap @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mdseac <= csr_pkt.csr_mdseac @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_dmst <= csr_pkt.csr_dmst @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mrac <= csr_pkt.csr_mrac @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mtval <= csr_pkt.csr_mtval @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mscause <= csr_pkt.csr_mscause @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mcause <= csr_pkt.csr_mcause @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mepc <= csr_pkt.csr_mepc @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mscratch <= csr_pkt.csr_mscratch @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_minstreth <= csr_pkt.csr_minstreth @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_minstretl <= csr_pkt.csr_minstretl @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mcycleh <= csr_pkt.csr_mcycleh @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mcyclel <= csr_pkt.csr_mcyclel @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mie <= csr_pkt.csr_mie @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mip <= csr_pkt.csr_mip @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mtvec <= csr_pkt.csr_mtvec @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mstatus <= csr_pkt.csr_mstatus @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mhartid <= csr_pkt.csr_mhartid @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mimpid <= csr_pkt.csr_mimpid @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_marchid <= csr_pkt.csr_marchid @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_mvendorid <= csr_pkt.csr_mvendorid @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.csr_misa <= csr_pkt.csr_misa @[dec_tlu_ctl.scala 993:39] - npc_r <= csr.io.npc_r @[dec_tlu_ctl.scala 995:31] - npc_r_d1 <= csr.io.npc_r_d1 @[dec_tlu_ctl.scala 996:31] - mie_ns <= csr.io.mie_ns @[dec_tlu_ctl.scala 997:31] - mepc <= csr.io.mepc @[dec_tlu_ctl.scala 998:31] - mdseac_locked_ns <= csr.io.mdseac_locked_ns @[dec_tlu_ctl.scala 999:31] - force_halt <= csr.io.force_halt @[dec_tlu_ctl.scala 1000:31] - dpc <= csr.io.dpc @[dec_tlu_ctl.scala 1001:31] - mstatus_mie_ns <= csr.io.mstatus_mie_ns @[dec_tlu_ctl.scala 1002:31] - dec_csr_wen_r_mod <= csr.io.dec_csr_wen_r_mod @[dec_tlu_ctl.scala 1003:31] - fw_halt_req <= csr.io.fw_halt_req @[dec_tlu_ctl.scala 1004:31] - mstatus <= csr.io.mstatus @[dec_tlu_ctl.scala 1005:31] - dcsr <= csr.io.dcsr @[dec_tlu_ctl.scala 1006:31] - mtvec <= csr.io.mtvec @[dec_tlu_ctl.scala 1007:31] - mip <= csr.io.mip @[dec_tlu_ctl.scala 1008:31] - mtdata1_t[0] <= csr.io.mtdata1_t[0] @[dec_tlu_ctl.scala 1009:33] - mtdata1_t[1] <= csr.io.mtdata1_t[1] @[dec_tlu_ctl.scala 1009:33] - mtdata1_t[2] <= csr.io.mtdata1_t[2] @[dec_tlu_ctl.scala 1009:33] - mtdata1_t[3] <= csr.io.mtdata1_t[3] @[dec_tlu_ctl.scala 1009:33] - inst csr_read of dec_decode_csr_read @[dec_tlu_ctl.scala 1010:22] + csr.io.free_clk <= io.free_clk @[dec_tlu_ctl.scala 814:44] + csr.io.active_clk <= io.active_clk @[dec_tlu_ctl.scala 815:44] + csr.io.scan_mode <= io.scan_mode @[dec_tlu_ctl.scala 816:44] + csr.io.dec_csr_wrdata_r <= io.dec_csr_wrdata_r @[dec_tlu_ctl.scala 817:44] + csr.io.dec_csr_wraddr_r <= io.dec_csr_wraddr_r @[dec_tlu_ctl.scala 818:44] + csr.io.dec_csr_rdaddr_d <= io.dec_csr_rdaddr_d @[dec_tlu_ctl.scala 819:44] + csr.io.dec_csr_wen_unq_d <= io.dec_csr_wen_unq_d @[dec_tlu_ctl.scala 820:44] + csr.io.dec_i0_decode_d <= io.dec_i0_decode_d @[dec_tlu_ctl.scala 821:44] + csr.io.ifu_ic_debug_rd_data_valid <= io.tlu_mem.ifu_ic_debug_rd_data_valid @[dec_tlu_ctl.scala 822:44] + csr.io.ifu_pmu_bus_trxn <= io.tlu_mem.ifu_pmu_bus_trxn @[dec_tlu_ctl.scala 823:44] + csr.io.dma_iccm_stall_any <= io.tlu_dma.dma_iccm_stall_any @[dec_tlu_ctl.scala 824:44] + csr.io.dma_dccm_stall_any <= io.tlu_dma.dma_dccm_stall_any @[dec_tlu_ctl.scala 825:44] + csr.io.lsu_store_stall_any <= io.lsu_store_stall_any @[dec_tlu_ctl.scala 826:44] + csr.io.dec_pmu_presync_stall <= io.dec_pmu_presync_stall @[dec_tlu_ctl.scala 827:44] + csr.io.dec_pmu_postsync_stall <= io.dec_pmu_postsync_stall @[dec_tlu_ctl.scala 828:44] + csr.io.dec_pmu_decode_stall <= io.dec_pmu_decode_stall @[dec_tlu_ctl.scala 829:44] + csr.io.ifu_pmu_fetch_stall <= io.tlu_ifc.ifu_pmu_fetch_stall @[dec_tlu_ctl.scala 830:44] + csr.io.dec_tlu_packet_r.pmu_lsu_misaligned <= io.dec_tlu_packet_r.pmu_lsu_misaligned @[dec_tlu_ctl.scala 831:44] + csr.io.dec_tlu_packet_r.pmu_divide <= io.dec_tlu_packet_r.pmu_divide @[dec_tlu_ctl.scala 831:44] + csr.io.dec_tlu_packet_r.pmu_i0_br_unpred <= io.dec_tlu_packet_r.pmu_i0_br_unpred @[dec_tlu_ctl.scala 831:44] + csr.io.dec_tlu_packet_r.pmu_i0_itype <= io.dec_tlu_packet_r.pmu_i0_itype @[dec_tlu_ctl.scala 831:44] + csr.io.dec_tlu_packet_r.i0trigger <= io.dec_tlu_packet_r.i0trigger @[dec_tlu_ctl.scala 831:44] + csr.io.dec_tlu_packet_r.fence_i <= io.dec_tlu_packet_r.fence_i @[dec_tlu_ctl.scala 831:44] + csr.io.dec_tlu_packet_r.icaf_type <= io.dec_tlu_packet_r.icaf_type @[dec_tlu_ctl.scala 831:44] + csr.io.dec_tlu_packet_r.icaf_f1 <= io.dec_tlu_packet_r.icaf_f1 @[dec_tlu_ctl.scala 831:44] + csr.io.dec_tlu_packet_r.icaf <= io.dec_tlu_packet_r.icaf @[dec_tlu_ctl.scala 831:44] + csr.io.dec_tlu_packet_r.legal <= io.dec_tlu_packet_r.legal @[dec_tlu_ctl.scala 831:44] + csr.io.exu_pmu_i0_br_ataken <= io.tlu_exu.exu_pmu_i0_br_ataken @[dec_tlu_ctl.scala 832:44] + csr.io.exu_pmu_i0_br_misp <= io.tlu_exu.exu_pmu_i0_br_misp @[dec_tlu_ctl.scala 833:44] + csr.io.dec_pmu_instr_decoded <= io.dec_pmu_instr_decoded @[dec_tlu_ctl.scala 834:44] + csr.io.ifu_pmu_instr_aligned <= io.ifu_pmu_instr_aligned @[dec_tlu_ctl.scala 835:44] + csr.io.exu_pmu_i0_pc4 <= io.tlu_exu.exu_pmu_i0_pc4 @[dec_tlu_ctl.scala 836:44] + csr.io.ifu_pmu_ic_miss <= io.tlu_mem.ifu_pmu_ic_miss @[dec_tlu_ctl.scala 837:44] + csr.io.ifu_pmu_ic_hit <= io.tlu_mem.ifu_pmu_ic_hit @[dec_tlu_ctl.scala 838:44] + csr.io.dec_csr_wen_r <= io.dec_csr_wen_r @[dec_tlu_ctl.scala 839:44] + csr.io.dec_tlu_dbg_halted <= io.dec_tlu_dbg_halted @[dec_tlu_ctl.scala 840:44] + csr.io.dma_pmu_dccm_write <= io.tlu_dma.dma_pmu_dccm_write @[dec_tlu_ctl.scala 841:44] + csr.io.dma_pmu_dccm_read <= io.tlu_dma.dma_pmu_dccm_read @[dec_tlu_ctl.scala 842:44] + csr.io.dma_pmu_any_write <= io.tlu_dma.dma_pmu_any_write @[dec_tlu_ctl.scala 843:44] + csr.io.dma_pmu_any_read <= io.tlu_dma.dma_pmu_any_read @[dec_tlu_ctl.scala 844:44] + csr.io.lsu_pmu_bus_busy <= io.tlu_busbuff.lsu_pmu_bus_busy @[dec_tlu_ctl.scala 845:44] + csr.io.dec_tlu_i0_pc_r <= io.dec_tlu_i0_pc_r @[dec_tlu_ctl.scala 846:44] + csr.io.dec_tlu_i0_valid_r <= io.dec_tlu_i0_valid_r @[dec_tlu_ctl.scala 847:44] + csr.io.dec_csr_stall_int_ff <= io.dec_csr_stall_int_ff @[dec_tlu_ctl.scala 848:44] + csr.io.dec_csr_any_unq_d <= io.dec_csr_any_unq_d @[dec_tlu_ctl.scala 849:44] + csr.io.ifu_pmu_bus_busy <= io.tlu_mem.ifu_pmu_bus_busy @[dec_tlu_ctl.scala 850:44] + csr.io.lsu_pmu_bus_error <= io.tlu_busbuff.lsu_pmu_bus_error @[dec_tlu_ctl.scala 851:44] + csr.io.ifu_pmu_bus_error <= io.tlu_mem.ifu_pmu_bus_error @[dec_tlu_ctl.scala 852:44] + csr.io.lsu_pmu_bus_misaligned <= io.tlu_busbuff.lsu_pmu_bus_misaligned @[dec_tlu_ctl.scala 853:44] + csr.io.lsu_pmu_bus_trxn <= io.tlu_busbuff.lsu_pmu_bus_trxn @[dec_tlu_ctl.scala 854:44] + csr.io.ifu_ic_debug_rd_data <= io.tlu_mem.ifu_ic_debug_rd_data @[dec_tlu_ctl.scala 855:44] + csr.io.pic_pl <= io.dec_pic.pic_pl @[dec_tlu_ctl.scala 856:44] + csr.io.pic_claimid <= io.dec_pic.pic_claimid @[dec_tlu_ctl.scala 857:44] + csr.io.iccm_dma_sb_error <= io.iccm_dma_sb_error @[dec_tlu_ctl.scala 858:44] + csr.io.lsu_imprecise_error_addr_any <= io.tlu_busbuff.lsu_imprecise_error_addr_any @[dec_tlu_ctl.scala 859:44] + csr.io.lsu_imprecise_error_load_any <= io.tlu_busbuff.lsu_imprecise_error_load_any @[dec_tlu_ctl.scala 860:44] + csr.io.lsu_imprecise_error_store_any <= io.tlu_busbuff.lsu_imprecise_error_store_any @[dec_tlu_ctl.scala 861:44] + csr.io.dec_illegal_inst <= io.dec_illegal_inst @[dec_tlu_ctl.scala 862:44] + csr.io.lsu_error_pkt_r.bits.addr <= io.lsu_error_pkt_r.bits.addr @[dec_tlu_ctl.scala 863:44] + csr.io.lsu_error_pkt_r.bits.mscause <= io.lsu_error_pkt_r.bits.mscause @[dec_tlu_ctl.scala 863:44] + csr.io.lsu_error_pkt_r.bits.exc_type <= io.lsu_error_pkt_r.bits.exc_type @[dec_tlu_ctl.scala 863:44] + csr.io.lsu_error_pkt_r.bits.inst_type <= io.lsu_error_pkt_r.bits.inst_type @[dec_tlu_ctl.scala 863:44] + csr.io.lsu_error_pkt_r.bits.single_ecc_error <= io.lsu_error_pkt_r.bits.single_ecc_error @[dec_tlu_ctl.scala 863:44] + csr.io.lsu_error_pkt_r.valid <= io.lsu_error_pkt_r.valid @[dec_tlu_ctl.scala 863:44] + csr.io.mexintpend <= io.dec_pic.mexintpend @[dec_tlu_ctl.scala 864:44] + csr.io.exu_npc_r <= io.tlu_exu.exu_npc_r @[dec_tlu_ctl.scala 865:44] + csr.io.mpc_reset_run_req <= io.mpc_reset_run_req @[dec_tlu_ctl.scala 866:44] + csr.io.rst_vec <= io.rst_vec @[dec_tlu_ctl.scala 867:44] + csr.io.core_id <= io.core_id @[dec_tlu_ctl.scala 868:44] + csr.io.dec_timer_rddata_d <= int_timers.io.dec_timer_rddata_d @[dec_tlu_ctl.scala 869:44] + csr.io.dec_timer_read_d <= int_timers.io.dec_timer_read_d @[dec_tlu_ctl.scala 870:44] + io.dec_pic.dec_tlu_meicurpl <= csr.io.dec_tlu_meicurpl @[dec_tlu_ctl.scala 871:44] + io.tlu_exu.dec_tlu_meihap <= csr.io.dec_tlu_meihap @[dec_tlu_ctl.scala 872:44] + io.dec_pic.dec_tlu_meipt <= csr.io.dec_tlu_meipt @[dec_tlu_ctl.scala 873:44] + io.dec_tlu_int_valid_wb1 <= csr.io.dec_tlu_int_valid_wb1 @[dec_tlu_ctl.scala 874:44] + io.dec_tlu_i0_exc_valid_wb1 <= csr.io.dec_tlu_i0_exc_valid_wb1 @[dec_tlu_ctl.scala 875:44] + io.dec_tlu_i0_valid_wb1 <= csr.io.dec_tlu_i0_valid_wb1 @[dec_tlu_ctl.scala 876:44] + io.tlu_mem.dec_tlu_ic_diag_pkt.icache_wr_valid <= csr.io.dec_tlu_ic_diag_pkt.icache_wr_valid @[dec_tlu_ctl.scala 877:44] + io.tlu_mem.dec_tlu_ic_diag_pkt.icache_rd_valid <= csr.io.dec_tlu_ic_diag_pkt.icache_rd_valid @[dec_tlu_ctl.scala 877:44] + io.tlu_mem.dec_tlu_ic_diag_pkt.icache_dicawics <= csr.io.dec_tlu_ic_diag_pkt.icache_dicawics @[dec_tlu_ctl.scala 877:44] + io.tlu_mem.dec_tlu_ic_diag_pkt.icache_wrdata <= csr.io.dec_tlu_ic_diag_pkt.icache_wrdata @[dec_tlu_ctl.scala 877:44] + io.trigger_pkt_any[0].tdata2 <= csr.io.trigger_pkt_any[0].tdata2 @[dec_tlu_ctl.scala 878:40] + io.trigger_pkt_any[0].m <= csr.io.trigger_pkt_any[0].m @[dec_tlu_ctl.scala 878:40] + io.trigger_pkt_any[0].execute <= csr.io.trigger_pkt_any[0].execute @[dec_tlu_ctl.scala 878:40] + io.trigger_pkt_any[0].load <= csr.io.trigger_pkt_any[0].load @[dec_tlu_ctl.scala 878:40] + io.trigger_pkt_any[0].store <= csr.io.trigger_pkt_any[0].store @[dec_tlu_ctl.scala 878:40] + io.trigger_pkt_any[0].match_pkt <= csr.io.trigger_pkt_any[0].match_pkt @[dec_tlu_ctl.scala 878:40] + io.trigger_pkt_any[0].select <= csr.io.trigger_pkt_any[0].select @[dec_tlu_ctl.scala 878:40] + io.trigger_pkt_any[1].tdata2 <= csr.io.trigger_pkt_any[1].tdata2 @[dec_tlu_ctl.scala 878:40] + io.trigger_pkt_any[1].m <= csr.io.trigger_pkt_any[1].m @[dec_tlu_ctl.scala 878:40] + io.trigger_pkt_any[1].execute <= csr.io.trigger_pkt_any[1].execute @[dec_tlu_ctl.scala 878:40] + io.trigger_pkt_any[1].load <= csr.io.trigger_pkt_any[1].load @[dec_tlu_ctl.scala 878:40] + io.trigger_pkt_any[1].store <= csr.io.trigger_pkt_any[1].store @[dec_tlu_ctl.scala 878:40] + io.trigger_pkt_any[1].match_pkt <= csr.io.trigger_pkt_any[1].match_pkt @[dec_tlu_ctl.scala 878:40] + io.trigger_pkt_any[1].select <= csr.io.trigger_pkt_any[1].select @[dec_tlu_ctl.scala 878:40] + io.trigger_pkt_any[2].tdata2 <= csr.io.trigger_pkt_any[2].tdata2 @[dec_tlu_ctl.scala 878:40] + io.trigger_pkt_any[2].m <= csr.io.trigger_pkt_any[2].m @[dec_tlu_ctl.scala 878:40] + io.trigger_pkt_any[2].execute <= csr.io.trigger_pkt_any[2].execute @[dec_tlu_ctl.scala 878:40] + io.trigger_pkt_any[2].load <= csr.io.trigger_pkt_any[2].load @[dec_tlu_ctl.scala 878:40] + io.trigger_pkt_any[2].store <= csr.io.trigger_pkt_any[2].store @[dec_tlu_ctl.scala 878:40] + io.trigger_pkt_any[2].match_pkt <= csr.io.trigger_pkt_any[2].match_pkt @[dec_tlu_ctl.scala 878:40] + io.trigger_pkt_any[2].select <= csr.io.trigger_pkt_any[2].select @[dec_tlu_ctl.scala 878:40] + io.trigger_pkt_any[3].tdata2 <= csr.io.trigger_pkt_any[3].tdata2 @[dec_tlu_ctl.scala 878:40] + io.trigger_pkt_any[3].m <= csr.io.trigger_pkt_any[3].m @[dec_tlu_ctl.scala 878:40] + io.trigger_pkt_any[3].execute <= csr.io.trigger_pkt_any[3].execute @[dec_tlu_ctl.scala 878:40] + io.trigger_pkt_any[3].load <= csr.io.trigger_pkt_any[3].load @[dec_tlu_ctl.scala 878:40] + io.trigger_pkt_any[3].store <= csr.io.trigger_pkt_any[3].store @[dec_tlu_ctl.scala 878:40] + io.trigger_pkt_any[3].match_pkt <= csr.io.trigger_pkt_any[3].match_pkt @[dec_tlu_ctl.scala 878:40] + io.trigger_pkt_any[3].select <= csr.io.trigger_pkt_any[3].select @[dec_tlu_ctl.scala 878:40] + io.dec_tlu_mtval_wb1 <= csr.io.dec_tlu_mtval_wb1 @[dec_tlu_ctl.scala 879:40] + io.dec_tlu_exc_cause_wb1 <= csr.io.dec_tlu_exc_cause_wb1 @[dec_tlu_ctl.scala 880:40] + io.dec_tlu_perfcnt0 <= csr.io.dec_tlu_perfcnt0 @[dec_tlu_ctl.scala 881:40] + io.dec_tlu_perfcnt1 <= csr.io.dec_tlu_perfcnt1 @[dec_tlu_ctl.scala 882:40] + io.dec_tlu_perfcnt2 <= csr.io.dec_tlu_perfcnt2 @[dec_tlu_ctl.scala 883:40] + io.dec_tlu_perfcnt3 <= csr.io.dec_tlu_perfcnt3 @[dec_tlu_ctl.scala 884:40] + io.dec_tlu_misc_clk_override <= csr.io.dec_tlu_misc_clk_override @[dec_tlu_ctl.scala 885:40] + io.dec_tlu_dec_clk_override <= csr.io.dec_tlu_dec_clk_override @[dec_tlu_ctl.scala 886:40] + io.dec_tlu_ifu_clk_override <= csr.io.dec_tlu_ifu_clk_override @[dec_tlu_ctl.scala 887:40] + io.dec_tlu_lsu_clk_override <= csr.io.dec_tlu_lsu_clk_override @[dec_tlu_ctl.scala 888:40] + io.dec_tlu_bus_clk_override <= csr.io.dec_tlu_bus_clk_override @[dec_tlu_ctl.scala 889:40] + io.dec_tlu_pic_clk_override <= csr.io.dec_tlu_pic_clk_override @[dec_tlu_ctl.scala 890:40] + io.dec_tlu_dccm_clk_override <= csr.io.dec_tlu_dccm_clk_override @[dec_tlu_ctl.scala 891:40] + io.dec_tlu_icm_clk_override <= csr.io.dec_tlu_icm_clk_override @[dec_tlu_ctl.scala 892:40] + io.dec_csr_rddata_d <= csr.io.dec_csr_rddata_d @[dec_tlu_ctl.scala 893:40] + io.dec_tlu_pipelining_disable <= csr.io.dec_tlu_pipelining_disable @[dec_tlu_ctl.scala 894:40] + io.dec_tlu_wr_pause_r <= csr.io.dec_tlu_wr_pause_r @[dec_tlu_ctl.scala 895:40] + io.tlu_ifc.dec_tlu_mrac_ff <= csr.io.dec_tlu_mrac_ff @[dec_tlu_ctl.scala 896:48] + io.tlu_busbuff.dec_tlu_wb_coalescing_disable <= csr.io.dec_tlu_wb_coalescing_disable @[dec_tlu_ctl.scala 897:52] + io.tlu_bp.dec_tlu_bpred_disable <= csr.io.dec_tlu_bpred_disable @[dec_tlu_ctl.scala 898:47] + io.tlu_busbuff.dec_tlu_sideeffect_posted_disable <= csr.io.dec_tlu_sideeffect_posted_disable @[dec_tlu_ctl.scala 899:52] + io.tlu_mem.dec_tlu_core_ecc_disable <= csr.io.dec_tlu_core_ecc_disable @[dec_tlu_ctl.scala 900:48] + io.tlu_busbuff.dec_tlu_external_ldfwd_disable <= csr.io.dec_tlu_external_ldfwd_disable @[dec_tlu_ctl.scala 901:52] + io.tlu_dma.dec_tlu_dma_qos_prty <= csr.io.dec_tlu_dma_qos_prty @[dec_tlu_ctl.scala 902:48] + csr.io.dec_illegal_inst <= io.dec_illegal_inst @[dec_tlu_ctl.scala 903:44] + csr.io.lsu_error_pkt_r.bits.addr <= io.lsu_error_pkt_r.bits.addr @[dec_tlu_ctl.scala 904:44] + csr.io.lsu_error_pkt_r.bits.mscause <= io.lsu_error_pkt_r.bits.mscause @[dec_tlu_ctl.scala 904:44] + csr.io.lsu_error_pkt_r.bits.exc_type <= io.lsu_error_pkt_r.bits.exc_type @[dec_tlu_ctl.scala 904:44] + csr.io.lsu_error_pkt_r.bits.inst_type <= io.lsu_error_pkt_r.bits.inst_type @[dec_tlu_ctl.scala 904:44] + csr.io.lsu_error_pkt_r.bits.single_ecc_error <= io.lsu_error_pkt_r.bits.single_ecc_error @[dec_tlu_ctl.scala 904:44] + csr.io.lsu_error_pkt_r.valid <= io.lsu_error_pkt_r.valid @[dec_tlu_ctl.scala 904:44] + csr.io.mexintpend <= io.dec_pic.mexintpend @[dec_tlu_ctl.scala 905:44] + csr.io.exu_npc_r <= io.tlu_exu.exu_npc_r @[dec_tlu_ctl.scala 906:44] + csr.io.mpc_reset_run_req <= io.mpc_reset_run_req @[dec_tlu_ctl.scala 907:44] + csr.io.rst_vec <= io.rst_vec @[dec_tlu_ctl.scala 908:44] + csr.io.core_id <= io.core_id @[dec_tlu_ctl.scala 909:44] + csr.io.dec_timer_rddata_d <= int_timers.io.dec_timer_rddata_d @[dec_tlu_ctl.scala 910:44] + csr.io.dec_timer_read_d <= int_timers.io.dec_timer_read_d @[dec_tlu_ctl.scala 911:44] + csr.io.rfpc_i0_r <= rfpc_i0_r @[dec_tlu_ctl.scala 914:39] + csr.io.i0_trigger_hit_r <= i0_trigger_hit_r @[dec_tlu_ctl.scala 915:39] + csr.io.exc_or_int_valid_r <= exc_or_int_valid_r @[dec_tlu_ctl.scala 916:39] + csr.io.mret_r <= mret_r @[dec_tlu_ctl.scala 917:39] + csr.io.dcsr_single_step_running_f <= dcsr_single_step_running_f @[dec_tlu_ctl.scala 918:39] + csr.io.dec_timer_t0_pulse <= int_timers.io.dec_timer_t0_pulse @[dec_tlu_ctl.scala 919:39] + csr.io.dec_timer_t1_pulse <= int_timers.io.dec_timer_t1_pulse @[dec_tlu_ctl.scala 920:39] + csr.io.timer_int_sync <= timer_int_sync @[dec_tlu_ctl.scala 921:39] + csr.io.soft_int_sync <= soft_int_sync @[dec_tlu_ctl.scala 922:39] + csr.io.csr_wr_clk <= rvclkhdr.io.l1clk @[dec_tlu_ctl.scala 923:39] + csr.io.ebreak_to_debug_mode_r <= ebreak_to_debug_mode_r @[dec_tlu_ctl.scala 924:39] + csr.io.dec_tlu_pmu_fw_halted <= dec_tlu_pmu_fw_halted @[dec_tlu_ctl.scala 925:39] + csr.io.lsu_fir_error <= io.lsu_fir_error @[dec_tlu_ctl.scala 926:39] + csr.io.tlu_flush_lower_r_d1 <= tlu_flush_lower_r_d1 @[dec_tlu_ctl.scala 927:39] + csr.io.dec_tlu_flush_noredir_r_d1 <= dec_tlu_flush_noredir_r_d1 @[dec_tlu_ctl.scala 928:39] + csr.io.tlu_flush_path_r_d1 <= tlu_flush_path_r_d1 @[dec_tlu_ctl.scala 929:39] + csr.io.reset_delayed <= reset_delayed @[dec_tlu_ctl.scala 930:39] + csr.io.interrupt_valid_r <= interrupt_valid_r @[dec_tlu_ctl.scala 931:39] + csr.io.i0_exception_valid_r <= i0_exception_valid_r @[dec_tlu_ctl.scala 932:39] + csr.io.lsu_exc_valid_r <= lsu_exc_valid_r @[dec_tlu_ctl.scala 933:39] + csr.io.mepc_trigger_hit_sel_pc_r <= mepc_trigger_hit_sel_pc_r @[dec_tlu_ctl.scala 934:39] + csr.io.e4e5_int_clk <= rvclkhdr_3.io.l1clk @[dec_tlu_ctl.scala 935:39] + csr.io.lsu_i0_exc_r <= lsu_exc_valid_r @[dec_tlu_ctl.scala 936:39] + csr.io.inst_acc_r <= inst_acc_r @[dec_tlu_ctl.scala 937:39] + csr.io.inst_acc_second_r <= io.dec_tlu_packet_r.icaf_f1 @[dec_tlu_ctl.scala 938:39] + csr.io.take_nmi <= take_nmi @[dec_tlu_ctl.scala 939:39] + csr.io.lsu_error_pkt_addr_r <= io.lsu_error_pkt_r.bits.addr @[dec_tlu_ctl.scala 940:39] + csr.io.exc_cause_r <= exc_cause_r @[dec_tlu_ctl.scala 941:39] + csr.io.i0_valid_wb <= i0_valid_wb @[dec_tlu_ctl.scala 942:39] + csr.io.exc_or_int_valid_r_d1 <= exc_or_int_valid_r_d1 @[dec_tlu_ctl.scala 943:39] + csr.io.interrupt_valid_r_d1 <= interrupt_valid_r_d1 @[dec_tlu_ctl.scala 944:39] + csr.io.clk_override <= io.dec_tlu_dec_clk_override @[dec_tlu_ctl.scala 945:39] + csr.io.i0_exception_valid_r_d1 <= i0_exception_valid_r_d1 @[dec_tlu_ctl.scala 946:39] + csr.io.lsu_i0_exc_r_d1 <= lsu_i0_exc_r_d1 @[dec_tlu_ctl.scala 947:39] + csr.io.exc_cause_wb <= exc_cause_wb @[dec_tlu_ctl.scala 948:39] + csr.io.nmi_lsu_store_type <= nmi_lsu_store_type @[dec_tlu_ctl.scala 949:39] + csr.io.nmi_lsu_load_type <= nmi_lsu_load_type @[dec_tlu_ctl.scala 950:39] + csr.io.tlu_i0_commit_cmt <= tlu_i0_commit_cmt @[dec_tlu_ctl.scala 951:39] + csr.io.ebreak_r <= ebreak_r @[dec_tlu_ctl.scala 952:39] + csr.io.ecall_r <= ecall_r @[dec_tlu_ctl.scala 953:39] + csr.io.illegal_r <= illegal_r @[dec_tlu_ctl.scala 954:39] + csr.io.mdseac_locked_f <= mdseac_locked_f @[dec_tlu_ctl.scala 955:39] + csr.io.nmi_int_detected_f <= nmi_int_detected_f @[dec_tlu_ctl.scala 956:39] + csr.io.internal_dbg_halt_mode_f2 <= internal_dbg_halt_mode_f2 @[dec_tlu_ctl.scala 957:39] + csr.io.ext_int_freeze_d1 <= ext_int_freeze_d1 @[dec_tlu_ctl.scala 958:39] + csr.io.ic_perr_r_d1 <= ic_perr_r_d1 @[dec_tlu_ctl.scala 959:39] + csr.io.iccm_sbecc_r_d1 <= iccm_sbecc_r_d1 @[dec_tlu_ctl.scala 960:39] + csr.io.lsu_single_ecc_error_r_d1 <= lsu_single_ecc_error_r_d1 @[dec_tlu_ctl.scala 961:39] + csr.io.ifu_miss_state_idle_f <= ifu_miss_state_idle_f @[dec_tlu_ctl.scala 962:39] + csr.io.lsu_idle_any_f <= lsu_idle_any_f @[dec_tlu_ctl.scala 963:39] + csr.io.dbg_tlu_halted_f <= dbg_tlu_halted_f @[dec_tlu_ctl.scala 964:39] + csr.io.dbg_tlu_halted <= dbg_tlu_halted @[dec_tlu_ctl.scala 965:39] + csr.io.debug_halt_req_f <= debug_halt_req_f @[dec_tlu_ctl.scala 966:65] + csr.io.take_ext_int_start <= take_ext_int_start @[dec_tlu_ctl.scala 967:49] + csr.io.trigger_hit_dmode_r_d1 <= trigger_hit_dmode_r_d1 @[dec_tlu_ctl.scala 968:49] + csr.io.trigger_hit_r_d1 <= trigger_hit_r_d1 @[dec_tlu_ctl.scala 969:49] + csr.io.dcsr_single_step_done_f <= dcsr_single_step_done_f @[dec_tlu_ctl.scala 970:49] + csr.io.ebreak_to_debug_mode_r_d1 <= ebreak_to_debug_mode_r_d1 @[dec_tlu_ctl.scala 971:39] + csr.io.debug_halt_req <= debug_halt_req @[dec_tlu_ctl.scala 972:73] + csr.io.allow_dbg_halt_csr_write <= allow_dbg_halt_csr_write @[dec_tlu_ctl.scala 973:39] + csr.io.internal_dbg_halt_mode_f <= debug_mode_status @[dec_tlu_ctl.scala 974:39] + csr.io.enter_debug_halt_req <= enter_debug_halt_req @[dec_tlu_ctl.scala 975:39] + csr.io.internal_dbg_halt_mode <= internal_dbg_halt_mode @[dec_tlu_ctl.scala 976:39] + csr.io.request_debug_mode_done <= request_debug_mode_done @[dec_tlu_ctl.scala 977:39] + csr.io.request_debug_mode_r <= request_debug_mode_r @[dec_tlu_ctl.scala 978:39] + csr.io.update_hit_bit_r <= update_hit_bit_r @[dec_tlu_ctl.scala 979:39] + csr.io.take_timer_int <= take_timer_int @[dec_tlu_ctl.scala 980:39] + csr.io.take_int_timer0_int <= take_int_timer0_int @[dec_tlu_ctl.scala 981:39] + csr.io.take_int_timer1_int <= take_int_timer1_int @[dec_tlu_ctl.scala 982:39] + csr.io.take_ext_int <= take_ext_int @[dec_tlu_ctl.scala 983:39] + csr.io.tlu_flush_lower_r <= tlu_flush_lower_r @[dec_tlu_ctl.scala 984:39] + csr.io.dec_tlu_br0_error_r <= dec_tlu_br0_error_r @[dec_tlu_ctl.scala 985:39] + csr.io.dec_tlu_br0_start_error_r <= dec_tlu_br0_start_error_r @[dec_tlu_ctl.scala 986:39] + csr.io.lsu_pmu_load_external_r <= lsu_pmu_load_external_r @[dec_tlu_ctl.scala 987:39] + csr.io.lsu_pmu_store_external_r <= lsu_pmu_store_external_r @[dec_tlu_ctl.scala 988:39] + csr.io.csr_pkt.legal <= csr_pkt.legal @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.postsync <= csr_pkt.postsync @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.presync <= csr_pkt.presync @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_dicago <= csr_pkt.csr_dicago @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_dicad1 <= csr_pkt.csr_dicad1 @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_dicad0 <= csr_pkt.csr_dicad0 @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_dicad0h <= csr_pkt.csr_dicad0h @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_dicawics <= csr_pkt.csr_dicawics @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mfdhs <= csr_pkt.csr_mfdhs @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mfdht <= csr_pkt.csr_mfdht @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mdccmect <= csr_pkt.csr_mdccmect @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_miccmect <= csr_pkt.csr_miccmect @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_micect <= csr_pkt.csr_micect @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mdeau <= csr_pkt.csr_mdeau @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_meicpct <= csr_pkt.csr_meicpct @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mcpc <= csr_pkt.csr_mcpc @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mpmc <= csr_pkt.csr_mpmc @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mitcnt1 <= csr_pkt.csr_mitcnt1 @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mitcnt0 <= csr_pkt.csr_mitcnt0 @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mitb1 <= csr_pkt.csr_mitb1 @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mitb0 <= csr_pkt.csr_mitb0 @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mitctl1 <= csr_pkt.csr_mitctl1 @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mitctl0 <= csr_pkt.csr_mitctl0 @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mcountinhibit <= csr_pkt.csr_mcountinhibit @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mhpme6 <= csr_pkt.csr_mhpme6 @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mhpme5 <= csr_pkt.csr_mhpme5 @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mhpme4 <= csr_pkt.csr_mhpme4 @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mhpme3 <= csr_pkt.csr_mhpme3 @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mhpmc6h <= csr_pkt.csr_mhpmc6h @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mhpmc5h <= csr_pkt.csr_mhpmc5h @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mhpmc4h <= csr_pkt.csr_mhpmc4h @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mhpmc3h <= csr_pkt.csr_mhpmc3h @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mhpmc6 <= csr_pkt.csr_mhpmc6 @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mhpmc5 <= csr_pkt.csr_mhpmc5 @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mhpmc4 <= csr_pkt.csr_mhpmc4 @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mhpmc3 <= csr_pkt.csr_mhpmc3 @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mtdata2 <= csr_pkt.csr_mtdata2 @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mtdata1 <= csr_pkt.csr_mtdata1 @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mtsel <= csr_pkt.csr_mtsel @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_dpc <= csr_pkt.csr_dpc @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mfdc <= csr_pkt.csr_mfdc @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mcgc <= csr_pkt.csr_mcgc @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_dcsr <= csr_pkt.csr_dcsr @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_meicidpl <= csr_pkt.csr_meicidpl @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_meicurpl <= csr_pkt.csr_meicurpl @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_meipt <= csr_pkt.csr_meipt @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_meivt <= csr_pkt.csr_meivt @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_meihap <= csr_pkt.csr_meihap @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mdseac <= csr_pkt.csr_mdseac @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_dmst <= csr_pkt.csr_dmst @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mrac <= csr_pkt.csr_mrac @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mtval <= csr_pkt.csr_mtval @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mscause <= csr_pkt.csr_mscause @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mcause <= csr_pkt.csr_mcause @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mepc <= csr_pkt.csr_mepc @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mscratch <= csr_pkt.csr_mscratch @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_minstreth <= csr_pkt.csr_minstreth @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_minstretl <= csr_pkt.csr_minstretl @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mcycleh <= csr_pkt.csr_mcycleh @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mcyclel <= csr_pkt.csr_mcyclel @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mie <= csr_pkt.csr_mie @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mip <= csr_pkt.csr_mip @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mtvec <= csr_pkt.csr_mtvec @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mstatus <= csr_pkt.csr_mstatus @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mhartid <= csr_pkt.csr_mhartid @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mimpid <= csr_pkt.csr_mimpid @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_marchid <= csr_pkt.csr_marchid @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_mvendorid <= csr_pkt.csr_mvendorid @[dec_tlu_ctl.scala 989:39] + csr.io.csr_pkt.csr_misa <= csr_pkt.csr_misa @[dec_tlu_ctl.scala 989:39] + npc_r <= csr.io.npc_r @[dec_tlu_ctl.scala 991:31] + npc_r_d1 <= csr.io.npc_r_d1 @[dec_tlu_ctl.scala 992:31] + mie_ns <= csr.io.mie_ns @[dec_tlu_ctl.scala 993:31] + mepc <= csr.io.mepc @[dec_tlu_ctl.scala 994:31] + mdseac_locked_ns <= csr.io.mdseac_locked_ns @[dec_tlu_ctl.scala 995:31] + force_halt <= csr.io.force_halt @[dec_tlu_ctl.scala 996:31] + dpc <= csr.io.dpc @[dec_tlu_ctl.scala 997:31] + mstatus_mie_ns <= csr.io.mstatus_mie_ns @[dec_tlu_ctl.scala 998:31] + dec_csr_wen_r_mod <= csr.io.dec_csr_wen_r_mod @[dec_tlu_ctl.scala 999:31] + fw_halt_req <= csr.io.fw_halt_req @[dec_tlu_ctl.scala 1000:31] + mstatus <= csr.io.mstatus @[dec_tlu_ctl.scala 1001:31] + dcsr <= csr.io.dcsr @[dec_tlu_ctl.scala 1002:31] + mtvec <= csr.io.mtvec @[dec_tlu_ctl.scala 1003:31] + mip <= csr.io.mip @[dec_tlu_ctl.scala 1004:31] + mtdata1_t[0] <= csr.io.mtdata1_t[0] @[dec_tlu_ctl.scala 1005:31] + mtdata1_t[1] <= csr.io.mtdata1_t[1] @[dec_tlu_ctl.scala 1005:31] + mtdata1_t[2] <= csr.io.mtdata1_t[2] @[dec_tlu_ctl.scala 1005:31] + mtdata1_t[3] <= csr.io.mtdata1_t[3] @[dec_tlu_ctl.scala 1005:31] + inst csr_read of dec_decode_csr_read @[dec_tlu_ctl.scala 1006:22] csr_read.clock <= clock csr_read.reset <= reset - csr_read.io.dec_csr_rdaddr_d <= io.dec_csr_rdaddr_d @[dec_tlu_ctl.scala 1011:37] - csr_pkt.legal <= csr_read.io.csr_pkt.legal @[dec_tlu_ctl.scala 1012:16] - csr_pkt.postsync <= csr_read.io.csr_pkt.postsync @[dec_tlu_ctl.scala 1012:16] - csr_pkt.presync <= csr_read.io.csr_pkt.presync @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_dicago <= csr_read.io.csr_pkt.csr_dicago @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_dicad1 <= csr_read.io.csr_pkt.csr_dicad1 @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_dicad0 <= csr_read.io.csr_pkt.csr_dicad0 @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_dicad0h <= csr_read.io.csr_pkt.csr_dicad0h @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_dicawics <= csr_read.io.csr_pkt.csr_dicawics @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mfdhs <= csr_read.io.csr_pkt.csr_mfdhs @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mfdht <= csr_read.io.csr_pkt.csr_mfdht @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mdccmect <= csr_read.io.csr_pkt.csr_mdccmect @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_miccmect <= csr_read.io.csr_pkt.csr_miccmect @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_micect <= csr_read.io.csr_pkt.csr_micect @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mdeau <= csr_read.io.csr_pkt.csr_mdeau @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_meicpct <= csr_read.io.csr_pkt.csr_meicpct @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mcpc <= csr_read.io.csr_pkt.csr_mcpc @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mpmc <= csr_read.io.csr_pkt.csr_mpmc @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mitcnt1 <= csr_read.io.csr_pkt.csr_mitcnt1 @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mitcnt0 <= csr_read.io.csr_pkt.csr_mitcnt0 @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mitb1 <= csr_read.io.csr_pkt.csr_mitb1 @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mitb0 <= csr_read.io.csr_pkt.csr_mitb0 @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mitctl1 <= csr_read.io.csr_pkt.csr_mitctl1 @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mitctl0 <= csr_read.io.csr_pkt.csr_mitctl0 @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mcountinhibit <= csr_read.io.csr_pkt.csr_mcountinhibit @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mhpme6 <= csr_read.io.csr_pkt.csr_mhpme6 @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mhpme5 <= csr_read.io.csr_pkt.csr_mhpme5 @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mhpme4 <= csr_read.io.csr_pkt.csr_mhpme4 @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mhpme3 <= csr_read.io.csr_pkt.csr_mhpme3 @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mhpmc6h <= csr_read.io.csr_pkt.csr_mhpmc6h @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mhpmc5h <= csr_read.io.csr_pkt.csr_mhpmc5h @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mhpmc4h <= csr_read.io.csr_pkt.csr_mhpmc4h @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mhpmc3h <= csr_read.io.csr_pkt.csr_mhpmc3h @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mhpmc6 <= csr_read.io.csr_pkt.csr_mhpmc6 @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mhpmc5 <= csr_read.io.csr_pkt.csr_mhpmc5 @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mhpmc4 <= csr_read.io.csr_pkt.csr_mhpmc4 @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mhpmc3 <= csr_read.io.csr_pkt.csr_mhpmc3 @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mtdata2 <= csr_read.io.csr_pkt.csr_mtdata2 @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mtdata1 <= csr_read.io.csr_pkt.csr_mtdata1 @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mtsel <= csr_read.io.csr_pkt.csr_mtsel @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_dpc <= csr_read.io.csr_pkt.csr_dpc @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mfdc <= csr_read.io.csr_pkt.csr_mfdc @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mcgc <= csr_read.io.csr_pkt.csr_mcgc @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_dcsr <= csr_read.io.csr_pkt.csr_dcsr @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_meicidpl <= csr_read.io.csr_pkt.csr_meicidpl @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_meicurpl <= csr_read.io.csr_pkt.csr_meicurpl @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_meipt <= csr_read.io.csr_pkt.csr_meipt @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_meivt <= csr_read.io.csr_pkt.csr_meivt @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_meihap <= csr_read.io.csr_pkt.csr_meihap @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mdseac <= csr_read.io.csr_pkt.csr_mdseac @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_dmst <= csr_read.io.csr_pkt.csr_dmst @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mrac <= csr_read.io.csr_pkt.csr_mrac @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mtval <= csr_read.io.csr_pkt.csr_mtval @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mscause <= csr_read.io.csr_pkt.csr_mscause @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mcause <= csr_read.io.csr_pkt.csr_mcause @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mepc <= csr_read.io.csr_pkt.csr_mepc @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mscratch <= csr_read.io.csr_pkt.csr_mscratch @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_minstreth <= csr_read.io.csr_pkt.csr_minstreth @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_minstretl <= csr_read.io.csr_pkt.csr_minstretl @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mcycleh <= csr_read.io.csr_pkt.csr_mcycleh @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mcyclel <= csr_read.io.csr_pkt.csr_mcyclel @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mie <= csr_read.io.csr_pkt.csr_mie @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mip <= csr_read.io.csr_pkt.csr_mip @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mtvec <= csr_read.io.csr_pkt.csr_mtvec @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mstatus <= csr_read.io.csr_pkt.csr_mstatus @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mhartid <= csr_read.io.csr_pkt.csr_mhartid @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mimpid <= csr_read.io.csr_pkt.csr_mimpid @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_marchid <= csr_read.io.csr_pkt.csr_marchid @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_mvendorid <= csr_read.io.csr_pkt.csr_mvendorid @[dec_tlu_ctl.scala 1012:16] - csr_pkt.csr_misa <= csr_read.io.csr_pkt.csr_misa @[dec_tlu_ctl.scala 1012:16] - node _T_864 = and(csr_pkt.presync, io.dec_csr_any_unq_d) @[dec_tlu_ctl.scala 1014:42] - node _T_865 = not(io.dec_csr_wen_unq_d) @[dec_tlu_ctl.scala 1014:67] - node _T_866 = and(_T_864, _T_865) @[dec_tlu_ctl.scala 1014:65] - io.dec_tlu_presync_d <= _T_866 @[dec_tlu_ctl.scala 1014:23] - node _T_867 = and(csr_pkt.postsync, io.dec_csr_any_unq_d) @[dec_tlu_ctl.scala 1015:43] - io.dec_tlu_postsync_d <= _T_867 @[dec_tlu_ctl.scala 1015:23] - node _T_868 = or(csr_pkt.csr_mitcnt0, csr_pkt.csr_mitcnt1) @[dec_tlu_ctl.scala 1018:50] - node _T_869 = or(_T_868, csr_pkt.csr_mitb0) @[dec_tlu_ctl.scala 1018:72] - node _T_870 = or(_T_869, csr_pkt.csr_mitb1) @[dec_tlu_ctl.scala 1018:92] - node _T_871 = or(_T_870, csr_pkt.csr_mitctl0) @[dec_tlu_ctl.scala 1018:112] - node _T_872 = or(_T_871, csr_pkt.csr_mitctl1) @[dec_tlu_ctl.scala 1018:134] - node _T_873 = not(UInt<1>("h01")) @[dec_tlu_ctl.scala 1018:159] - node conditionally_illegal = and(_T_872, _T_873) @[dec_tlu_ctl.scala 1018:157] - node _T_874 = or(csr_pkt.csr_dcsr, csr_pkt.csr_dpc) @[dec_tlu_ctl.scala 1019:55] - node _T_875 = or(_T_874, csr_pkt.csr_dmst) @[dec_tlu_ctl.scala 1019:73] - node _T_876 = or(_T_875, csr_pkt.csr_dicawics) @[dec_tlu_ctl.scala 1019:92] - node _T_877 = or(_T_876, csr_pkt.csr_dicad0) @[dec_tlu_ctl.scala 1019:115] - node _T_878 = or(_T_877, csr_pkt.csr_dicad0h) @[dec_tlu_ctl.scala 1019:136] - node _T_879 = or(_T_878, csr_pkt.csr_dicad1) @[dec_tlu_ctl.scala 1019:158] - node _T_880 = or(_T_879, csr_pkt.csr_dicago) @[dec_tlu_ctl.scala 1019:179] - node _T_881 = not(_T_880) @[dec_tlu_ctl.scala 1019:36] - node _T_882 = or(_T_881, dbg_tlu_halted_f) @[dec_tlu_ctl.scala 1019:201] - node _T_883 = and(csr_pkt.legal, _T_882) @[dec_tlu_ctl.scala 1019:33] - node _T_884 = not(fast_int_meicpct) @[dec_tlu_ctl.scala 1019:223] - node _T_885 = and(_T_883, _T_884) @[dec_tlu_ctl.scala 1019:221] - node _T_886 = not(conditionally_illegal) @[dec_tlu_ctl.scala 1019:243] - node valid_csr = and(_T_885, _T_886) @[dec_tlu_ctl.scala 1019:241] - node _T_887 = and(io.dec_csr_any_unq_d, valid_csr) @[dec_tlu_ctl.scala 1021:46] - node _T_888 = or(csr_pkt.csr_mvendorid, csr_pkt.csr_marchid) @[dec_tlu_ctl.scala 1021:107] - node _T_889 = or(_T_888, csr_pkt.csr_mimpid) @[dec_tlu_ctl.scala 1021:129] - node _T_890 = or(_T_889, csr_pkt.csr_mhartid) @[dec_tlu_ctl.scala 1021:150] - node _T_891 = or(_T_890, csr_pkt.csr_mdseac) @[dec_tlu_ctl.scala 1021:172] - node _T_892 = or(_T_891, csr_pkt.csr_meihap) @[dec_tlu_ctl.scala 1021:193] - node _T_893 = and(io.dec_csr_wen_unq_d, _T_892) @[dec_tlu_ctl.scala 1021:82] - node _T_894 = not(_T_893) @[dec_tlu_ctl.scala 1021:59] - node _T_895 = and(_T_887, _T_894) @[dec_tlu_ctl.scala 1021:57] - io.dec_csr_legal_d <= _T_895 @[dec_tlu_ctl.scala 1021:20] + csr_read.io.dec_csr_rdaddr_d <= io.dec_csr_rdaddr_d @[dec_tlu_ctl.scala 1007:37] + csr_pkt.legal <= csr_read.io.csr_pkt.legal @[dec_tlu_ctl.scala 1008:16] + csr_pkt.postsync <= csr_read.io.csr_pkt.postsync @[dec_tlu_ctl.scala 1008:16] + csr_pkt.presync <= csr_read.io.csr_pkt.presync @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_dicago <= csr_read.io.csr_pkt.csr_dicago @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_dicad1 <= csr_read.io.csr_pkt.csr_dicad1 @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_dicad0 <= csr_read.io.csr_pkt.csr_dicad0 @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_dicad0h <= csr_read.io.csr_pkt.csr_dicad0h @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_dicawics <= csr_read.io.csr_pkt.csr_dicawics @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mfdhs <= csr_read.io.csr_pkt.csr_mfdhs @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mfdht <= csr_read.io.csr_pkt.csr_mfdht @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mdccmect <= csr_read.io.csr_pkt.csr_mdccmect @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_miccmect <= csr_read.io.csr_pkt.csr_miccmect @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_micect <= csr_read.io.csr_pkt.csr_micect @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mdeau <= csr_read.io.csr_pkt.csr_mdeau @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_meicpct <= csr_read.io.csr_pkt.csr_meicpct @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mcpc <= csr_read.io.csr_pkt.csr_mcpc @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mpmc <= csr_read.io.csr_pkt.csr_mpmc @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mitcnt1 <= csr_read.io.csr_pkt.csr_mitcnt1 @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mitcnt0 <= csr_read.io.csr_pkt.csr_mitcnt0 @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mitb1 <= csr_read.io.csr_pkt.csr_mitb1 @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mitb0 <= csr_read.io.csr_pkt.csr_mitb0 @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mitctl1 <= csr_read.io.csr_pkt.csr_mitctl1 @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mitctl0 <= csr_read.io.csr_pkt.csr_mitctl0 @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mcountinhibit <= csr_read.io.csr_pkt.csr_mcountinhibit @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mhpme6 <= csr_read.io.csr_pkt.csr_mhpme6 @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mhpme5 <= csr_read.io.csr_pkt.csr_mhpme5 @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mhpme4 <= csr_read.io.csr_pkt.csr_mhpme4 @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mhpme3 <= csr_read.io.csr_pkt.csr_mhpme3 @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mhpmc6h <= csr_read.io.csr_pkt.csr_mhpmc6h @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mhpmc5h <= csr_read.io.csr_pkt.csr_mhpmc5h @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mhpmc4h <= csr_read.io.csr_pkt.csr_mhpmc4h @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mhpmc3h <= csr_read.io.csr_pkt.csr_mhpmc3h @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mhpmc6 <= csr_read.io.csr_pkt.csr_mhpmc6 @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mhpmc5 <= csr_read.io.csr_pkt.csr_mhpmc5 @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mhpmc4 <= csr_read.io.csr_pkt.csr_mhpmc4 @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mhpmc3 <= csr_read.io.csr_pkt.csr_mhpmc3 @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mtdata2 <= csr_read.io.csr_pkt.csr_mtdata2 @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mtdata1 <= csr_read.io.csr_pkt.csr_mtdata1 @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mtsel <= csr_read.io.csr_pkt.csr_mtsel @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_dpc <= csr_read.io.csr_pkt.csr_dpc @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mfdc <= csr_read.io.csr_pkt.csr_mfdc @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mcgc <= csr_read.io.csr_pkt.csr_mcgc @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_dcsr <= csr_read.io.csr_pkt.csr_dcsr @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_meicidpl <= csr_read.io.csr_pkt.csr_meicidpl @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_meicurpl <= csr_read.io.csr_pkt.csr_meicurpl @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_meipt <= csr_read.io.csr_pkt.csr_meipt @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_meivt <= csr_read.io.csr_pkt.csr_meivt @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_meihap <= csr_read.io.csr_pkt.csr_meihap @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mdseac <= csr_read.io.csr_pkt.csr_mdseac @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_dmst <= csr_read.io.csr_pkt.csr_dmst @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mrac <= csr_read.io.csr_pkt.csr_mrac @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mtval <= csr_read.io.csr_pkt.csr_mtval @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mscause <= csr_read.io.csr_pkt.csr_mscause @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mcause <= csr_read.io.csr_pkt.csr_mcause @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mepc <= csr_read.io.csr_pkt.csr_mepc @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mscratch <= csr_read.io.csr_pkt.csr_mscratch @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_minstreth <= csr_read.io.csr_pkt.csr_minstreth @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_minstretl <= csr_read.io.csr_pkt.csr_minstretl @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mcycleh <= csr_read.io.csr_pkt.csr_mcycleh @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mcyclel <= csr_read.io.csr_pkt.csr_mcyclel @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mie <= csr_read.io.csr_pkt.csr_mie @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mip <= csr_read.io.csr_pkt.csr_mip @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mtvec <= csr_read.io.csr_pkt.csr_mtvec @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mstatus <= csr_read.io.csr_pkt.csr_mstatus @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mhartid <= csr_read.io.csr_pkt.csr_mhartid @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mimpid <= csr_read.io.csr_pkt.csr_mimpid @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_marchid <= csr_read.io.csr_pkt.csr_marchid @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_mvendorid <= csr_read.io.csr_pkt.csr_mvendorid @[dec_tlu_ctl.scala 1008:16] + csr_pkt.csr_misa <= csr_read.io.csr_pkt.csr_misa @[dec_tlu_ctl.scala 1008:16] + node _T_864 = and(csr_pkt.presync, io.dec_csr_any_unq_d) @[dec_tlu_ctl.scala 1010:42] + node _T_865 = not(io.dec_csr_wen_unq_d) @[dec_tlu_ctl.scala 1010:67] + node _T_866 = and(_T_864, _T_865) @[dec_tlu_ctl.scala 1010:65] + io.dec_tlu_presync_d <= _T_866 @[dec_tlu_ctl.scala 1010:23] + node _T_867 = and(csr_pkt.postsync, io.dec_csr_any_unq_d) @[dec_tlu_ctl.scala 1011:43] + io.dec_tlu_postsync_d <= _T_867 @[dec_tlu_ctl.scala 1011:23] + node _T_868 = or(csr_pkt.csr_mitcnt0, csr_pkt.csr_mitcnt1) @[dec_tlu_ctl.scala 1014:50] + node _T_869 = or(_T_868, csr_pkt.csr_mitb0) @[dec_tlu_ctl.scala 1014:72] + node _T_870 = or(_T_869, csr_pkt.csr_mitb1) @[dec_tlu_ctl.scala 1014:92] + node _T_871 = or(_T_870, csr_pkt.csr_mitctl0) @[dec_tlu_ctl.scala 1014:112] + node _T_872 = or(_T_871, csr_pkt.csr_mitctl1) @[dec_tlu_ctl.scala 1014:134] + node _T_873 = not(UInt<1>("h01")) @[dec_tlu_ctl.scala 1014:159] + node conditionally_illegal = and(_T_872, _T_873) @[dec_tlu_ctl.scala 1014:157] + node _T_874 = or(csr_pkt.csr_dcsr, csr_pkt.csr_dpc) @[dec_tlu_ctl.scala 1015:55] + node _T_875 = or(_T_874, csr_pkt.csr_dmst) @[dec_tlu_ctl.scala 1015:73] + node _T_876 = or(_T_875, csr_pkt.csr_dicawics) @[dec_tlu_ctl.scala 1015:92] + node _T_877 = or(_T_876, csr_pkt.csr_dicad0) @[dec_tlu_ctl.scala 1015:115] + node _T_878 = or(_T_877, csr_pkt.csr_dicad0h) @[dec_tlu_ctl.scala 1015:136] + node _T_879 = or(_T_878, csr_pkt.csr_dicad1) @[dec_tlu_ctl.scala 1015:158] + node _T_880 = or(_T_879, csr_pkt.csr_dicago) @[dec_tlu_ctl.scala 1015:179] + node _T_881 = not(_T_880) @[dec_tlu_ctl.scala 1015:36] + node _T_882 = or(_T_881, dbg_tlu_halted_f) @[dec_tlu_ctl.scala 1015:201] + node _T_883 = and(csr_pkt.legal, _T_882) @[dec_tlu_ctl.scala 1015:33] + node _T_884 = not(fast_int_meicpct) @[dec_tlu_ctl.scala 1015:223] + node _T_885 = and(_T_883, _T_884) @[dec_tlu_ctl.scala 1015:221] + node _T_886 = not(conditionally_illegal) @[dec_tlu_ctl.scala 1015:243] + node valid_csr = and(_T_885, _T_886) @[dec_tlu_ctl.scala 1015:241] + node _T_887 = and(io.dec_csr_any_unq_d, valid_csr) @[dec_tlu_ctl.scala 1017:46] + node _T_888 = or(csr_pkt.csr_mvendorid, csr_pkt.csr_marchid) @[dec_tlu_ctl.scala 1017:107] + node _T_889 = or(_T_888, csr_pkt.csr_mimpid) @[dec_tlu_ctl.scala 1017:129] + node _T_890 = or(_T_889, csr_pkt.csr_mhartid) @[dec_tlu_ctl.scala 1017:150] + node _T_891 = or(_T_890, csr_pkt.csr_mdseac) @[dec_tlu_ctl.scala 1017:172] + node _T_892 = or(_T_891, csr_pkt.csr_meihap) @[dec_tlu_ctl.scala 1017:193] + node _T_893 = and(io.dec_csr_wen_unq_d, _T_892) @[dec_tlu_ctl.scala 1017:82] + node _T_894 = not(_T_893) @[dec_tlu_ctl.scala 1017:59] + node _T_895 = and(_T_887, _T_894) @[dec_tlu_ctl.scala 1017:57] + io.dec_csr_legal_d <= _T_895 @[dec_tlu_ctl.scala 1017:20] module dec_trigger : input clock : Clock @@ -81197,7 +81191,7 @@ circuit quasar_wrapper : module dec : input clock : Clock input reset : AsyncReset - output io : {flip free_clk : Clock, flip active_clk : Clock, flip lsu_fastint_stall_any : UInt<1>, dec_pause_state_cg : UInt<1>, flip rst_vec : UInt<31>, flip nmi_int : UInt<1>, flip nmi_vec : UInt<31>, flip i_cpu_halt_req : UInt<1>, flip i_cpu_run_req : UInt<1>, o_cpu_halt_status : UInt<1>, o_cpu_halt_ack : UInt<1>, o_cpu_run_ack : UInt<1>, o_debug_mode_status : UInt<1>, flip core_id : UInt<28>, flip mpc_debug_halt_req : UInt<1>, flip mpc_debug_run_req : UInt<1>, flip mpc_reset_run_req : UInt<1>, mpc_debug_halt_ack : UInt<1>, mpc_debug_run_ack : UInt<1>, debug_brkpt_status : UInt<1>, flip lsu_pmu_misaligned_m : UInt<1>, flip lsu_fir_addr : UInt<31>, flip lsu_fir_error : UInt<2>, flip lsu_trigger_match_m : UInt<4>, flip lsu_idle_any : UInt<1>, flip lsu_error_pkt_r : {valid : UInt<1>, bits : {single_ecc_error : UInt<1>, inst_type : UInt<1>, exc_type : UInt<1>, mscause : UInt<4>, addr : UInt<32>}}, flip lsu_single_ecc_error_incr : UInt<1>, flip exu_div_result : UInt<32>, flip exu_div_wren : UInt<1>, flip lsu_result_m : UInt<32>, flip lsu_result_corr_r : UInt<32>, flip lsu_load_stall_any : UInt<1>, flip lsu_store_stall_any : UInt<1>, flip iccm_dma_sb_error : UInt<1>, flip exu_flush_final : UInt<1>, flip timer_int : UInt<1>, flip soft_int : UInt<1>, flip dbg_halt_req : UInt<1>, flip dbg_resume_req : UInt<1>, dec_tlu_dbg_halted : UInt<1>, dec_tlu_debug_mode : UInt<1>, dec_tlu_resume_ack : UInt<1>, dec_tlu_mpc_halted_only : UInt<1>, dec_dbg_rddata : UInt<32>, dec_dbg_cmd_done : UInt<1>, dec_dbg_cmd_fail : UInt<1>, trigger_pkt_any : {select : UInt<1>, match_pkt : UInt<1>, store : UInt<1>, load : UInt<1>, execute : UInt<1>, m : UInt<1>, tdata2 : UInt<32>}[4], flip exu_i0_br_way_r : UInt<1>, lsu_p : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, dec_lsu_offset_d : UInt<12>, dec_tlu_i0_kill_writeb_r : UInt<1>, dec_tlu_perfcnt0 : UInt<1>, dec_tlu_perfcnt1 : UInt<1>, dec_tlu_perfcnt2 : UInt<1>, dec_tlu_perfcnt3 : UInt<1>, dec_lsu_valid_raw_d : UInt<1>, rv_trace_pkt : {rv_i_valid_ip : UInt<2>, rv_i_insn_ip : UInt<32>, rv_i_address_ip : UInt<32>, rv_i_exception_ip : UInt<2>, rv_i_ecause_ip : UInt<5>, rv_i_interrupt_ip : UInt<2>, rv_i_tval_ip : UInt<32>}, dec_tlu_misc_clk_override : UInt<1>, dec_tlu_ifu_clk_override : UInt<1>, dec_tlu_lsu_clk_override : UInt<1>, dec_tlu_bus_clk_override : UInt<1>, dec_tlu_pic_clk_override : UInt<1>, dec_tlu_dccm_clk_override : UInt<1>, dec_tlu_icm_clk_override : UInt<1>, flip scan_mode : UInt<1>, flip ifu_dec : {dec_aln : {aln_dec : {flip dec_i0_decode_d : UInt<1>, ifu_i0_cinst : UInt<16>}, aln_ib : {ifu_i0_icaf : UInt<1>, ifu_i0_icaf_type : UInt<2>, ifu_i0_icaf_f1 : UInt<1>, ifu_i0_dbecc : UInt<1>, ifu_i0_bp_index : UInt<8>, ifu_i0_bp_fghr : UInt<8>, ifu_i0_bp_btag : UInt<5>, ifu_i0_valid : UInt<1>, ifu_i0_instr : UInt<32>, ifu_i0_pc : UInt<31>, ifu_i0_pc4 : UInt<1>, i0_brp : {valid : UInt<1>, bits : {toffset : UInt<12>, hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, bank : UInt<1>, prett : UInt<31>, way : UInt<1>, ret : UInt<1>}}}, ifu_pmu_instr_aligned : UInt<1>}, dec_mem_ctrl : {flip dec_tlu_flush_lower_wb : UInt<1>, flip dec_tlu_flush_err_wb : UInt<1>, flip dec_tlu_i0_commit_cmt : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip dec_tlu_fence_i_wb : UInt<1>, flip dec_tlu_ic_diag_pkt : {icache_wrdata : UInt<71>, icache_dicawics : UInt<17>, icache_rd_valid : UInt<1>, icache_wr_valid : UInt<1>}, flip dec_tlu_core_ecc_disable : UInt<1>, ifu_pmu_ic_miss : UInt<1>, ifu_pmu_ic_hit : UInt<1>, ifu_pmu_bus_error : UInt<1>, ifu_pmu_bus_busy : UInt<1>, ifu_pmu_bus_trxn : UInt<1>, ifu_ic_error_start : UInt<1>, ifu_iccm_rd_ecc_single_err : UInt<1>, ifu_ic_debug_rd_data : UInt<71>, ifu_ic_debug_rd_data_valid : UInt<1>, ifu_miss_state_idle : UInt<1>}, dec_ifc : {flip dec_tlu_flush_noredir_wb : UInt<1>, flip dec_tlu_mrac_ff : UInt<32>, ifu_pmu_fetch_stall : UInt<1>}, dec_bp : {flip dec_tlu_br0_r_pkt : {valid : UInt<1>, bits : {hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, way : UInt<1>, middle : UInt<1>}}, flip dec_tlu_flush_lower_wb : UInt<1>, flip dec_tlu_flush_leak_one_wb : UInt<1>, flip dec_tlu_bpred_disable : UInt<1>}}, flip dec_exu : {dec_alu : {flip dec_i0_alu_decode_d : UInt<1>, flip dec_csr_ren_d : UInt<1>, flip dec_i0_br_immed_d : UInt<12>, exu_i0_pc_x : UInt<31>}, dec_div : {flip div_p : {valid : UInt<1>, bits : {unsign : UInt<1>, rem : UInt<1>}}, flip dec_div_cancel : UInt<1>}, decode_exu : {flip dec_data_en : UInt<2>, flip dec_ctl_en : UInt<2>, flip i0_ap : {land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, srl : UInt<1>, sra : UInt<1>, beq : UInt<1>, bne : UInt<1>, blt : UInt<1>, bge : UInt<1>, add : UInt<1>, sub : UInt<1>, slt : UInt<1>, unsign : UInt<1>, jal : UInt<1>, predict_t : UInt<1>, predict_nt : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>}, flip dec_i0_predict_p_d : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<31>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}}, flip i0_predict_fghr_d : UInt<8>, flip i0_predict_index_d : UInt<8>, flip i0_predict_btag_d : UInt<5>, flip dec_i0_rs1_en_d : UInt<1>, flip dec_i0_rs2_en_d : UInt<1>, flip dec_i0_immed_d : UInt<32>, flip dec_i0_rs1_bypass_data_d : UInt<32>, flip dec_i0_rs2_bypass_data_d : UInt<32>, flip dec_i0_select_pc_d : UInt<1>, flip dec_i0_rs1_bypass_en_d : UInt<2>, flip dec_i0_rs2_bypass_en_d : UInt<2>, flip mul_p : {valid : UInt<1>, bits : {rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, bext : UInt<1>, bdep : UInt<1>, clmul : UInt<1>, clmulh : UInt<1>, clmulr : UInt<1>, grev : UInt<1>, shfl : UInt<1>, unshfl : UInt<1>, crc32_b : UInt<1>, crc32_h : UInt<1>, crc32_w : UInt<1>, crc32c_b : UInt<1>, crc32c_h : UInt<1>, crc32c_w : UInt<1>, bfp : UInt<1>}}, flip pred_correct_npc_x : UInt<31>, flip dec_extint_stall : UInt<1>, exu_i0_result_x : UInt<32>, exu_csr_rs1_x : UInt<32>}, tlu_exu : {flip dec_tlu_meihap : UInt<30>, flip dec_tlu_flush_lower_r : UInt<1>, flip dec_tlu_flush_path_r : UInt<31>, exu_i0_br_hist_r : UInt<2>, exu_i0_br_error_r : UInt<1>, exu_i0_br_start_error_r : UInt<1>, exu_i0_br_index_r : UInt<8>, exu_i0_br_valid_r : UInt<1>, exu_i0_br_mp_r : UInt<1>, exu_i0_br_middle_r : UInt<1>, exu_pmu_i0_br_misp : UInt<1>, exu_pmu_i0_br_ataken : UInt<1>, exu_pmu_i0_pc4 : UInt<1>, exu_npc_r : UInt<31>}, ib_exu : {flip dec_i0_pc_d : UInt<31>, flip dec_debug_wdata_rs1_d : UInt<1>}, gpr_exu : {flip gpr_i0_rs1_d : UInt<32>, flip gpr_i0_rs2_d : UInt<32>}}, flip lsu_dec : {tlu_busbuff : {lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>}, dctl_busbuff : {lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>}}, flip lsu_tlu : {lsu_pmu_load_external_m : UInt<1>, lsu_pmu_store_external_m : UInt<1>}, dec_dbg : {dbg_ib : {flip dbg_cmd_valid : UInt<1>, flip dbg_cmd_write : UInt<1>, flip dbg_cmd_type : UInt<2>, flip dbg_cmd_addr : UInt<32>}, dbg_dctl : {flip dbg_cmd_wrdata : UInt<2>}}, dec_dma : {dctl_dma : {flip dma_dccm_stall_any : UInt<1>}, tlu_dma : {flip dma_pmu_dccm_read : UInt<1>, flip dma_pmu_dccm_write : UInt<1>, flip dma_pmu_any_read : UInt<1>, flip dma_pmu_any_write : UInt<1>, dec_tlu_dma_qos_prty : UInt<3>, flip dma_dccm_stall_any : UInt<1>, flip dma_iccm_stall_any : UInt<1>}}, dec_pic : {flip pic_claimid : UInt<8>, flip pic_pl : UInt<4>, flip mhwakeup : UInt<1>, dec_tlu_meicurpl : UInt<4>, dec_tlu_meipt : UInt<4>, flip mexintpend : UInt<1>}} + output io : {flip free_clk : Clock, flip active_clk : Clock, flip lsu_fastint_stall_any : UInt<1>, dec_pause_state_cg : UInt<1>, flip rst_vec : UInt<31>, flip nmi_int : UInt<1>, flip nmi_vec : UInt<31>, flip i_cpu_halt_req : UInt<1>, flip i_cpu_run_req : UInt<1>, o_cpu_halt_status : UInt<1>, o_cpu_halt_ack : UInt<1>, o_cpu_run_ack : UInt<1>, o_debug_mode_status : UInt<1>, flip core_id : UInt<28>, flip mpc_debug_halt_req : UInt<1>, flip mpc_debug_run_req : UInt<1>, flip mpc_reset_run_req : UInt<1>, mpc_debug_halt_ack : UInt<1>, mpc_debug_run_ack : UInt<1>, debug_brkpt_status : UInt<1>, flip lsu_pmu_misaligned_m : UInt<1>, flip lsu_fir_addr : UInt<31>, flip lsu_fir_error : UInt<2>, flip lsu_trigger_match_m : UInt<4>, flip lsu_idle_any : UInt<1>, flip lsu_error_pkt_r : {valid : UInt<1>, bits : {single_ecc_error : UInt<1>, inst_type : UInt<1>, exc_type : UInt<1>, mscause : UInt<4>, addr : UInt<32>}}, flip lsu_single_ecc_error_incr : UInt<1>, flip exu_div_result : UInt<32>, flip exu_div_wren : UInt<1>, flip lsu_result_m : UInt<32>, flip lsu_result_corr_r : UInt<32>, flip lsu_load_stall_any : UInt<1>, flip lsu_store_stall_any : UInt<1>, flip iccm_dma_sb_error : UInt<1>, flip exu_flush_final : UInt<1>, flip timer_int : UInt<1>, flip soft_int : UInt<1>, flip dbg_halt_req : UInt<1>, flip dbg_resume_req : UInt<1>, dec_tlu_dbg_halted : UInt<1>, dec_tlu_debug_mode : UInt<1>, dec_tlu_resume_ack : UInt<1>, dec_tlu_mpc_halted_only : UInt<1>, dec_dbg_rddata : UInt<32>, dec_dbg_cmd_done : UInt<1>, dec_dbg_cmd_fail : UInt<1>, trigger_pkt_any : {select : UInt<1>, match_pkt : UInt<1>, store : UInt<1>, load : UInt<1>, execute : UInt<1>, m : UInt<1>, tdata2 : UInt<32>}[4], flip exu_i0_br_way_r : UInt<1>, lsu_p : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, dec_lsu_offset_d : UInt<12>, dec_tlu_i0_kill_writeb_r : UInt<1>, dec_tlu_perfcnt0 : UInt<1>, dec_tlu_perfcnt1 : UInt<1>, dec_tlu_perfcnt2 : UInt<1>, dec_tlu_perfcnt3 : UInt<1>, dec_lsu_valid_raw_d : UInt<1>, rv_trace_pkt : {rv_i_valid_ip : UInt<2>, rv_i_insn_ip : UInt<32>, rv_i_address_ip : UInt<32>, rv_i_exception_ip : UInt<2>, rv_i_ecause_ip : UInt<5>, rv_i_interrupt_ip : UInt<2>, rv_i_tval_ip : UInt<32>}, dec_tlu_misc_clk_override : UInt<1>, dec_tlu_ifu_clk_override : UInt<1>, dec_tlu_lsu_clk_override : UInt<1>, dec_tlu_bus_clk_override : UInt<1>, dec_tlu_pic_clk_override : UInt<1>, dec_tlu_dccm_clk_override : UInt<1>, dec_tlu_icm_clk_override : UInt<1>, flip scan_mode : UInt<1>, flip ifu_dec : {dec_aln : {aln_dec : {flip dec_i0_decode_d : UInt<1>, ifu_i0_cinst : UInt<16>}, aln_ib : {ifu_i0_icaf : UInt<1>, ifu_i0_icaf_type : UInt<2>, ifu_i0_icaf_f1 : UInt<1>, ifu_i0_dbecc : UInt<1>, ifu_i0_bp_index : UInt<8>, ifu_i0_bp_fghr : UInt<8>, ifu_i0_bp_btag : UInt<5>, ifu_i0_valid : UInt<1>, ifu_i0_instr : UInt<32>, ifu_i0_pc : UInt<31>, ifu_i0_pc4 : UInt<1>, i0_brp : {valid : UInt<1>, bits : {toffset : UInt<12>, hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, bank : UInt<1>, prett : UInt<31>, way : UInt<1>, ret : UInt<1>}}}, ifu_pmu_instr_aligned : UInt<1>}, dec_mem_ctrl : {flip dec_tlu_flush_err_wb : UInt<1>, flip dec_tlu_i0_commit_cmt : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip dec_tlu_fence_i_wb : UInt<1>, flip dec_tlu_ic_diag_pkt : {icache_wrdata : UInt<71>, icache_dicawics : UInt<17>, icache_rd_valid : UInt<1>, icache_wr_valid : UInt<1>}, flip dec_tlu_core_ecc_disable : UInt<1>, ifu_pmu_ic_miss : UInt<1>, ifu_pmu_ic_hit : UInt<1>, ifu_pmu_bus_error : UInt<1>, ifu_pmu_bus_busy : UInt<1>, ifu_pmu_bus_trxn : UInt<1>, ifu_ic_error_start : UInt<1>, ifu_iccm_rd_ecc_single_err : UInt<1>, ifu_ic_debug_rd_data : UInt<71>, ifu_ic_debug_rd_data_valid : UInt<1>, ifu_miss_state_idle : UInt<1>}, dec_ifc : {flip dec_tlu_flush_noredir_wb : UInt<1>, flip dec_tlu_mrac_ff : UInt<32>, ifu_pmu_fetch_stall : UInt<1>}, dec_bp : {flip dec_tlu_br0_r_pkt : {valid : UInt<1>, bits : {hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, way : UInt<1>, middle : UInt<1>}}, flip dec_tlu_flush_leak_one_wb : UInt<1>, flip dec_tlu_bpred_disable : UInt<1>}}, flip dec_exu : {dec_alu : {flip dec_i0_alu_decode_d : UInt<1>, flip dec_csr_ren_d : UInt<1>, flip dec_i0_br_immed_d : UInt<12>, exu_i0_pc_x : UInt<31>}, dec_div : {flip div_p : {valid : UInt<1>, bits : {unsign : UInt<1>, rem : UInt<1>}}, flip dec_div_cancel : UInt<1>}, decode_exu : {flip dec_data_en : UInt<2>, flip dec_ctl_en : UInt<2>, flip i0_ap : {land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, srl : UInt<1>, sra : UInt<1>, beq : UInt<1>, bne : UInt<1>, blt : UInt<1>, bge : UInt<1>, add : UInt<1>, sub : UInt<1>, slt : UInt<1>, unsign : UInt<1>, jal : UInt<1>, predict_t : UInt<1>, predict_nt : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>}, flip dec_i0_predict_p_d : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<31>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}}, flip i0_predict_fghr_d : UInt<8>, flip i0_predict_index_d : UInt<8>, flip i0_predict_btag_d : UInt<5>, flip dec_i0_rs1_en_d : UInt<1>, flip dec_i0_rs2_en_d : UInt<1>, flip dec_i0_immed_d : UInt<32>, flip dec_i0_rs1_bypass_data_d : UInt<32>, flip dec_i0_rs2_bypass_data_d : UInt<32>, flip dec_i0_select_pc_d : UInt<1>, flip dec_i0_rs1_bypass_en_d : UInt<2>, flip dec_i0_rs2_bypass_en_d : UInt<2>, flip mul_p : {valid : UInt<1>, bits : {rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, bext : UInt<1>, bdep : UInt<1>, clmul : UInt<1>, clmulh : UInt<1>, clmulr : UInt<1>, grev : UInt<1>, shfl : UInt<1>, unshfl : UInt<1>, crc32_b : UInt<1>, crc32_h : UInt<1>, crc32_w : UInt<1>, crc32c_b : UInt<1>, crc32c_h : UInt<1>, crc32c_w : UInt<1>, bfp : UInt<1>}}, flip pred_correct_npc_x : UInt<31>, flip dec_extint_stall : UInt<1>, exu_i0_result_x : UInt<32>, exu_csr_rs1_x : UInt<32>}, tlu_exu : {flip dec_tlu_meihap : UInt<30>, flip dec_tlu_flush_lower_r : UInt<1>, flip dec_tlu_flush_path_r : UInt<31>, exu_i0_br_hist_r : UInt<2>, exu_i0_br_error_r : UInt<1>, exu_i0_br_start_error_r : UInt<1>, exu_i0_br_index_r : UInt<8>, exu_i0_br_valid_r : UInt<1>, exu_i0_br_mp_r : UInt<1>, exu_i0_br_middle_r : UInt<1>, exu_pmu_i0_br_misp : UInt<1>, exu_pmu_i0_br_ataken : UInt<1>, exu_pmu_i0_pc4 : UInt<1>, exu_npc_r : UInt<31>}, ib_exu : {flip dec_i0_pc_d : UInt<31>, flip dec_debug_wdata_rs1_d : UInt<1>}, gpr_exu : {flip gpr_i0_rs1_d : UInt<32>, flip gpr_i0_rs2_d : UInt<32>}}, flip lsu_dec : {tlu_busbuff : {lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>}, dctl_busbuff : {lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>}}, flip lsu_tlu : {lsu_pmu_load_external_m : UInt<1>, lsu_pmu_store_external_m : UInt<1>}, dec_dbg : {dbg_ib : {flip dbg_cmd_valid : UInt<1>, flip dbg_cmd_write : UInt<1>, flip dbg_cmd_type : UInt<2>, flip dbg_cmd_addr : UInt<32>}, dbg_dctl : {flip dbg_cmd_wrdata : UInt<32>}}, dec_dma : {dctl_dma : {flip dma_dccm_stall_any : UInt<1>}, tlu_dma : {flip dma_pmu_dccm_read : UInt<1>, flip dma_pmu_dccm_write : UInt<1>, flip dma_pmu_any_read : UInt<1>, flip dma_pmu_any_write : UInt<1>, dec_tlu_dma_qos_prty : UInt<3>, flip dma_dccm_stall_any : UInt<1>, flip dma_iccm_stall_any : UInt<1>}}, dec_pic : {flip pic_claimid : UInt<8>, flip pic_pl : UInt<4>, flip mhwakeup : UInt<1>, dec_tlu_meicurpl : UInt<4>, dec_tlu_meipt : UInt<4>, flip mexintpend : UInt<1>}} wire dec_i0_inst_wb1 : UInt<32> dec_i0_inst_wb1 <= UInt<1>("h00") @@ -81404,7 +81398,7 @@ circuit quasar_wrapper : decode.io.lsu_store_stall_any <= io.lsu_store_stall_any @[dec.scala 162:48] decode.io.exu_div_wren <= io.exu_div_wren @[dec.scala 163:48] decode.io.dec_tlu_i0_kill_writeb_wb <= tlu.io.dec_tlu_i0_kill_writeb_wb @[dec.scala 164:48] - decode.io.dec_tlu_flush_lower_wb <= tlu.io.tlu_bp.dec_tlu_flush_lower_wb @[dec.scala 165:48] + decode.io.dec_tlu_flush_lower_wb <= tlu.io.dec_tlu_flush_lower_wb @[dec.scala 165:48] decode.io.dec_tlu_i0_kill_writeb_r <= tlu.io.dec_tlu_i0_kill_writeb_r @[dec.scala 166:48] decode.io.dec_tlu_flush_lower_r <= tlu.io.tlu_exu.dec_tlu_flush_lower_r @[dec.scala 167:48] decode.io.dec_tlu_flush_pause_r <= tlu.io.dec_tlu_flush_pause_r @[dec.scala 168:48] @@ -81473,13 +81467,11 @@ circuit quasar_wrapper : io.ifu_dec.dec_mem_ctrl.dec_tlu_force_halt <= tlu.io.tlu_mem.dec_tlu_force_halt @[dec.scala 202:18] io.ifu_dec.dec_mem_ctrl.dec_tlu_i0_commit_cmt <= tlu.io.tlu_mem.dec_tlu_i0_commit_cmt @[dec.scala 202:18] io.ifu_dec.dec_mem_ctrl.dec_tlu_flush_err_wb <= tlu.io.tlu_mem.dec_tlu_flush_err_wb @[dec.scala 202:18] - io.ifu_dec.dec_mem_ctrl.dec_tlu_flush_lower_wb <= tlu.io.tlu_mem.dec_tlu_flush_lower_wb @[dec.scala 202:18] tlu.io.tlu_ifc.ifu_pmu_fetch_stall <= io.ifu_dec.dec_ifc.ifu_pmu_fetch_stall @[dec.scala 203:18] io.ifu_dec.dec_ifc.dec_tlu_mrac_ff <= tlu.io.tlu_ifc.dec_tlu_mrac_ff @[dec.scala 203:18] io.ifu_dec.dec_ifc.dec_tlu_flush_noredir_wb <= tlu.io.tlu_ifc.dec_tlu_flush_noredir_wb @[dec.scala 203:18] io.ifu_dec.dec_bp.dec_tlu_bpred_disable <= tlu.io.tlu_bp.dec_tlu_bpred_disable @[dec.scala 204:18] io.ifu_dec.dec_bp.dec_tlu_flush_leak_one_wb <= tlu.io.tlu_bp.dec_tlu_flush_leak_one_wb @[dec.scala 204:18] - io.ifu_dec.dec_bp.dec_tlu_flush_lower_wb <= tlu.io.tlu_bp.dec_tlu_flush_lower_wb @[dec.scala 204:18] io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.middle <= tlu.io.tlu_bp.dec_tlu_br0_r_pkt.bits.middle @[dec.scala 204:18] io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.way <= tlu.io.tlu_bp.dec_tlu_br0_r_pkt.bits.way @[dec.scala 204:18] io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.br_start_error <= tlu.io.tlu_bp.dec_tlu_br0_r_pkt.bits.br_start_error @[dec.scala 204:18] @@ -81577,84 +81569,84 @@ circuit quasar_wrapper : tlu.io.dbg_resume_req <= io.dbg_resume_req @[dec.scala 245:45] tlu.io.lsu_idle_any <= io.lsu_idle_any @[dec.scala 246:45] tlu.io.dec_div_active <= decode.io.dec_div_active @[dec.scala 247:45] - tlu.io.timer_int <= io.timer_int @[dec.scala 252:45] - tlu.io.soft_int <= io.soft_int @[dec.scala 253:45] - tlu.io.core_id <= io.core_id @[dec.scala 254:45] - tlu.io.mpc_debug_halt_req <= io.mpc_debug_halt_req @[dec.scala 255:45] - tlu.io.mpc_debug_run_req <= io.mpc_debug_run_req @[dec.scala 256:45] - tlu.io.mpc_reset_run_req <= io.mpc_reset_run_req @[dec.scala 257:45] - io.dec_dbg_cmd_done <= tlu.io.dec_dbg_cmd_done @[dec.scala 258:28] - io.dec_dbg_cmd_fail <= tlu.io.dec_dbg_cmd_fail @[dec.scala 259:28] - io.dec_tlu_dbg_halted <= tlu.io.dec_tlu_dbg_halted @[dec.scala 260:28] - io.dec_tlu_debug_mode <= tlu.io.dec_tlu_debug_mode @[dec.scala 261:28] - io.dec_tlu_resume_ack <= tlu.io.dec_tlu_resume_ack @[dec.scala 262:28] - io.dec_tlu_mpc_halted_only <= tlu.io.dec_tlu_mpc_halted_only @[dec.scala 263:51] - io.trigger_pkt_any[0].tdata2 <= tlu.io.trigger_pkt_any[0].tdata2 @[dec.scala 264:29] - io.trigger_pkt_any[0].m <= tlu.io.trigger_pkt_any[0].m @[dec.scala 264:29] - io.trigger_pkt_any[0].execute <= tlu.io.trigger_pkt_any[0].execute @[dec.scala 264:29] - io.trigger_pkt_any[0].load <= tlu.io.trigger_pkt_any[0].load @[dec.scala 264:29] - io.trigger_pkt_any[0].store <= tlu.io.trigger_pkt_any[0].store @[dec.scala 264:29] - io.trigger_pkt_any[0].match_pkt <= tlu.io.trigger_pkt_any[0].match_pkt @[dec.scala 264:29] - io.trigger_pkt_any[0].select <= tlu.io.trigger_pkt_any[0].select @[dec.scala 264:29] - io.trigger_pkt_any[1].tdata2 <= tlu.io.trigger_pkt_any[1].tdata2 @[dec.scala 264:29] - io.trigger_pkt_any[1].m <= tlu.io.trigger_pkt_any[1].m @[dec.scala 264:29] - io.trigger_pkt_any[1].execute <= tlu.io.trigger_pkt_any[1].execute @[dec.scala 264:29] - io.trigger_pkt_any[1].load <= tlu.io.trigger_pkt_any[1].load @[dec.scala 264:29] - io.trigger_pkt_any[1].store <= tlu.io.trigger_pkt_any[1].store @[dec.scala 264:29] - io.trigger_pkt_any[1].match_pkt <= tlu.io.trigger_pkt_any[1].match_pkt @[dec.scala 264:29] - io.trigger_pkt_any[1].select <= tlu.io.trigger_pkt_any[1].select @[dec.scala 264:29] - io.trigger_pkt_any[2].tdata2 <= tlu.io.trigger_pkt_any[2].tdata2 @[dec.scala 264:29] - io.trigger_pkt_any[2].m <= tlu.io.trigger_pkt_any[2].m @[dec.scala 264:29] - io.trigger_pkt_any[2].execute <= tlu.io.trigger_pkt_any[2].execute @[dec.scala 264:29] - io.trigger_pkt_any[2].load <= tlu.io.trigger_pkt_any[2].load @[dec.scala 264:29] - io.trigger_pkt_any[2].store <= tlu.io.trigger_pkt_any[2].store @[dec.scala 264:29] - io.trigger_pkt_any[2].match_pkt <= tlu.io.trigger_pkt_any[2].match_pkt @[dec.scala 264:29] - io.trigger_pkt_any[2].select <= tlu.io.trigger_pkt_any[2].select @[dec.scala 264:29] - io.trigger_pkt_any[3].tdata2 <= tlu.io.trigger_pkt_any[3].tdata2 @[dec.scala 264:29] - io.trigger_pkt_any[3].m <= tlu.io.trigger_pkt_any[3].m @[dec.scala 264:29] - io.trigger_pkt_any[3].execute <= tlu.io.trigger_pkt_any[3].execute @[dec.scala 264:29] - io.trigger_pkt_any[3].load <= tlu.io.trigger_pkt_any[3].load @[dec.scala 264:29] - io.trigger_pkt_any[3].store <= tlu.io.trigger_pkt_any[3].store @[dec.scala 264:29] - io.trigger_pkt_any[3].match_pkt <= tlu.io.trigger_pkt_any[3].match_pkt @[dec.scala 264:29] - io.trigger_pkt_any[3].select <= tlu.io.trigger_pkt_any[3].select @[dec.scala 264:29] - io.o_cpu_halt_status <= tlu.io.o_cpu_halt_status @[dec.scala 265:29] - io.o_cpu_halt_ack <= tlu.io.o_cpu_halt_ack @[dec.scala 266:29] - io.o_cpu_run_ack <= tlu.io.o_cpu_run_ack @[dec.scala 267:29] - io.o_debug_mode_status <= tlu.io.o_debug_mode_status @[dec.scala 268:29] - io.mpc_debug_halt_ack <= tlu.io.mpc_debug_halt_ack @[dec.scala 269:29] - io.mpc_debug_run_ack <= tlu.io.mpc_debug_run_ack @[dec.scala 270:29] - io.debug_brkpt_status <= tlu.io.debug_brkpt_status @[dec.scala 271:29] - io.dec_tlu_i0_kill_writeb_r <= tlu.io.dec_tlu_i0_kill_writeb_r @[dec.scala 274:34] - io.dec_tlu_perfcnt0 <= tlu.io.dec_tlu_perfcnt0 @[dec.scala 275:29] - io.dec_tlu_perfcnt1 <= tlu.io.dec_tlu_perfcnt1 @[dec.scala 276:29] - io.dec_tlu_perfcnt2 <= tlu.io.dec_tlu_perfcnt2 @[dec.scala 277:29] - io.dec_tlu_perfcnt3 <= tlu.io.dec_tlu_perfcnt3 @[dec.scala 278:29] - dec_tlu_i0_exc_valid_wb1 <= tlu.io.dec_tlu_i0_exc_valid_wb1 @[dec.scala 279:32] - dec_tlu_i0_valid_wb1 <= tlu.io.dec_tlu_i0_valid_wb1 @[dec.scala 280:32] - dec_tlu_int_valid_wb1 <= tlu.io.dec_tlu_int_valid_wb1 @[dec.scala 281:32] - dec_tlu_exc_cause_wb1 <= tlu.io.dec_tlu_exc_cause_wb1 @[dec.scala 282:32] - dec_tlu_mtval_wb1 <= tlu.io.dec_tlu_mtval_wb1 @[dec.scala 283:32] - io.dec_tlu_misc_clk_override <= tlu.io.dec_tlu_misc_clk_override @[dec.scala 284:35] - io.dec_tlu_ifu_clk_override <= tlu.io.dec_tlu_ifu_clk_override @[dec.scala 285:36] - io.dec_tlu_lsu_clk_override <= tlu.io.dec_tlu_lsu_clk_override @[dec.scala 286:36] - io.dec_tlu_bus_clk_override <= tlu.io.dec_tlu_bus_clk_override @[dec.scala 287:36] - io.dec_tlu_pic_clk_override <= tlu.io.dec_tlu_pic_clk_override @[dec.scala 288:36] - io.dec_tlu_dccm_clk_override <= tlu.io.dec_tlu_dccm_clk_override @[dec.scala 289:36] - io.dec_tlu_icm_clk_override <= tlu.io.dec_tlu_icm_clk_override @[dec.scala 290:36] - io.rv_trace_pkt.rv_i_insn_ip <= decode.io.dec_i0_inst_wb1 @[dec.scala 294:32] + tlu.io.timer_int <= io.timer_int @[dec.scala 248:45] + tlu.io.soft_int <= io.soft_int @[dec.scala 249:45] + tlu.io.core_id <= io.core_id @[dec.scala 250:45] + tlu.io.mpc_debug_halt_req <= io.mpc_debug_halt_req @[dec.scala 251:45] + tlu.io.mpc_debug_run_req <= io.mpc_debug_run_req @[dec.scala 252:45] + tlu.io.mpc_reset_run_req <= io.mpc_reset_run_req @[dec.scala 253:45] + io.dec_dbg_cmd_done <= tlu.io.dec_dbg_cmd_done @[dec.scala 254:28] + io.dec_dbg_cmd_fail <= tlu.io.dec_dbg_cmd_fail @[dec.scala 255:28] + io.dec_tlu_dbg_halted <= tlu.io.dec_tlu_dbg_halted @[dec.scala 256:28] + io.dec_tlu_debug_mode <= tlu.io.dec_tlu_debug_mode @[dec.scala 257:28] + io.dec_tlu_resume_ack <= tlu.io.dec_tlu_resume_ack @[dec.scala 258:28] + io.dec_tlu_mpc_halted_only <= tlu.io.dec_tlu_mpc_halted_only @[dec.scala 259:51] + io.trigger_pkt_any[0].tdata2 <= tlu.io.trigger_pkt_any[0].tdata2 @[dec.scala 260:29] + io.trigger_pkt_any[0].m <= tlu.io.trigger_pkt_any[0].m @[dec.scala 260:29] + io.trigger_pkt_any[0].execute <= tlu.io.trigger_pkt_any[0].execute @[dec.scala 260:29] + io.trigger_pkt_any[0].load <= tlu.io.trigger_pkt_any[0].load @[dec.scala 260:29] + io.trigger_pkt_any[0].store <= tlu.io.trigger_pkt_any[0].store @[dec.scala 260:29] + io.trigger_pkt_any[0].match_pkt <= tlu.io.trigger_pkt_any[0].match_pkt @[dec.scala 260:29] + io.trigger_pkt_any[0].select <= tlu.io.trigger_pkt_any[0].select @[dec.scala 260:29] + io.trigger_pkt_any[1].tdata2 <= tlu.io.trigger_pkt_any[1].tdata2 @[dec.scala 260:29] + io.trigger_pkt_any[1].m <= tlu.io.trigger_pkt_any[1].m @[dec.scala 260:29] + io.trigger_pkt_any[1].execute <= tlu.io.trigger_pkt_any[1].execute @[dec.scala 260:29] + io.trigger_pkt_any[1].load <= tlu.io.trigger_pkt_any[1].load @[dec.scala 260:29] + io.trigger_pkt_any[1].store <= tlu.io.trigger_pkt_any[1].store @[dec.scala 260:29] + io.trigger_pkt_any[1].match_pkt <= tlu.io.trigger_pkt_any[1].match_pkt @[dec.scala 260:29] + io.trigger_pkt_any[1].select <= tlu.io.trigger_pkt_any[1].select @[dec.scala 260:29] + io.trigger_pkt_any[2].tdata2 <= tlu.io.trigger_pkt_any[2].tdata2 @[dec.scala 260:29] + io.trigger_pkt_any[2].m <= tlu.io.trigger_pkt_any[2].m @[dec.scala 260:29] + io.trigger_pkt_any[2].execute <= tlu.io.trigger_pkt_any[2].execute @[dec.scala 260:29] + io.trigger_pkt_any[2].load <= tlu.io.trigger_pkt_any[2].load @[dec.scala 260:29] + io.trigger_pkt_any[2].store <= tlu.io.trigger_pkt_any[2].store @[dec.scala 260:29] + io.trigger_pkt_any[2].match_pkt <= tlu.io.trigger_pkt_any[2].match_pkt @[dec.scala 260:29] + io.trigger_pkt_any[2].select <= tlu.io.trigger_pkt_any[2].select @[dec.scala 260:29] + io.trigger_pkt_any[3].tdata2 <= tlu.io.trigger_pkt_any[3].tdata2 @[dec.scala 260:29] + io.trigger_pkt_any[3].m <= tlu.io.trigger_pkt_any[3].m @[dec.scala 260:29] + io.trigger_pkt_any[3].execute <= tlu.io.trigger_pkt_any[3].execute @[dec.scala 260:29] + io.trigger_pkt_any[3].load <= tlu.io.trigger_pkt_any[3].load @[dec.scala 260:29] + io.trigger_pkt_any[3].store <= tlu.io.trigger_pkt_any[3].store @[dec.scala 260:29] + io.trigger_pkt_any[3].match_pkt <= tlu.io.trigger_pkt_any[3].match_pkt @[dec.scala 260:29] + io.trigger_pkt_any[3].select <= tlu.io.trigger_pkt_any[3].select @[dec.scala 260:29] + io.o_cpu_halt_status <= tlu.io.o_cpu_halt_status @[dec.scala 261:29] + io.o_cpu_halt_ack <= tlu.io.o_cpu_halt_ack @[dec.scala 262:29] + io.o_cpu_run_ack <= tlu.io.o_cpu_run_ack @[dec.scala 263:29] + io.o_debug_mode_status <= tlu.io.o_debug_mode_status @[dec.scala 264:29] + io.mpc_debug_halt_ack <= tlu.io.mpc_debug_halt_ack @[dec.scala 265:29] + io.mpc_debug_run_ack <= tlu.io.mpc_debug_run_ack @[dec.scala 266:29] + io.debug_brkpt_status <= tlu.io.debug_brkpt_status @[dec.scala 267:29] + io.dec_tlu_i0_kill_writeb_r <= tlu.io.dec_tlu_i0_kill_writeb_r @[dec.scala 268:34] + io.dec_tlu_perfcnt0 <= tlu.io.dec_tlu_perfcnt0 @[dec.scala 269:29] + io.dec_tlu_perfcnt1 <= tlu.io.dec_tlu_perfcnt1 @[dec.scala 270:29] + io.dec_tlu_perfcnt2 <= tlu.io.dec_tlu_perfcnt2 @[dec.scala 271:29] + io.dec_tlu_perfcnt3 <= tlu.io.dec_tlu_perfcnt3 @[dec.scala 272:29] + dec_tlu_i0_exc_valid_wb1 <= tlu.io.dec_tlu_i0_exc_valid_wb1 @[dec.scala 273:32] + dec_tlu_i0_valid_wb1 <= tlu.io.dec_tlu_i0_valid_wb1 @[dec.scala 274:32] + dec_tlu_int_valid_wb1 <= tlu.io.dec_tlu_int_valid_wb1 @[dec.scala 275:32] + dec_tlu_exc_cause_wb1 <= tlu.io.dec_tlu_exc_cause_wb1 @[dec.scala 276:32] + dec_tlu_mtval_wb1 <= tlu.io.dec_tlu_mtval_wb1 @[dec.scala 277:32] + io.dec_tlu_misc_clk_override <= tlu.io.dec_tlu_misc_clk_override @[dec.scala 278:35] + io.dec_tlu_ifu_clk_override <= tlu.io.dec_tlu_ifu_clk_override @[dec.scala 279:36] + io.dec_tlu_lsu_clk_override <= tlu.io.dec_tlu_lsu_clk_override @[dec.scala 280:36] + io.dec_tlu_bus_clk_override <= tlu.io.dec_tlu_bus_clk_override @[dec.scala 281:36] + io.dec_tlu_pic_clk_override <= tlu.io.dec_tlu_pic_clk_override @[dec.scala 282:36] + io.dec_tlu_dccm_clk_override <= tlu.io.dec_tlu_dccm_clk_override @[dec.scala 283:36] + io.dec_tlu_icm_clk_override <= tlu.io.dec_tlu_icm_clk_override @[dec.scala 284:36] + io.rv_trace_pkt.rv_i_insn_ip <= decode.io.dec_i0_inst_wb1 @[dec.scala 288:32] node _T = cat(decode.io.dec_i0_pc_wb1, UInt<1>("h00")) @[Cat.scala 29:58] - io.rv_trace_pkt.rv_i_address_ip <= _T @[dec.scala 295:35] - node _T_1 = or(tlu.io.dec_tlu_i0_valid_wb1, tlu.io.dec_tlu_i0_exc_valid_wb1) @[dec.scala 296:98] + io.rv_trace_pkt.rv_i_address_ip <= _T @[dec.scala 289:35] + node _T_1 = or(tlu.io.dec_tlu_i0_valid_wb1, tlu.io.dec_tlu_i0_exc_valid_wb1) @[dec.scala 290:98] node _T_2 = cat(tlu.io.dec_tlu_int_valid_wb1, _T_1) @[Cat.scala 29:58] - io.rv_trace_pkt.rv_i_valid_ip <= _T_2 @[dec.scala 296:33] + io.rv_trace_pkt.rv_i_valid_ip <= _T_2 @[dec.scala 290:33] node _T_3 = cat(tlu.io.dec_tlu_int_valid_wb1, tlu.io.dec_tlu_i0_exc_valid_wb1) @[Cat.scala 29:58] - io.rv_trace_pkt.rv_i_exception_ip <= _T_3 @[dec.scala 297:37] - node _T_4 = bits(tlu.io.dec_tlu_exc_cause_wb1, 4, 0) @[dec.scala 298:65] - io.rv_trace_pkt.rv_i_ecause_ip <= _T_4 @[dec.scala 298:34] + io.rv_trace_pkt.rv_i_exception_ip <= _T_3 @[dec.scala 291:37] + node _T_4 = bits(tlu.io.dec_tlu_exc_cause_wb1, 4, 0) @[dec.scala 292:65] + io.rv_trace_pkt.rv_i_ecause_ip <= _T_4 @[dec.scala 292:34] node _T_5 = cat(tlu.io.dec_tlu_int_valid_wb1, UInt<1>("h00")) @[Cat.scala 29:58] - io.rv_trace_pkt.rv_i_interrupt_ip <= _T_5 @[dec.scala 299:37] - io.rv_trace_pkt.rv_i_tval_ip <= tlu.io.dec_tlu_mtval_wb1 @[dec.scala 300:32] - io.dec_dbg_rddata <= decode.io.dec_i0_wdata_r @[dec.scala 304:21] + io.rv_trace_pkt.rv_i_interrupt_ip <= _T_5 @[dec.scala 293:37] + io.rv_trace_pkt.rv_i_tval_ip <= tlu.io.dec_tlu_mtval_wb1 @[dec.scala 294:32] + io.dec_dbg_rddata <= decode.io.dec_i0_wdata_r @[dec.scala 298:21] extmodule gated_latch_755 : output Q : Clock @@ -81851,7 +81843,7 @@ circuit quasar_wrapper : module dbg : input clock : Clock input reset : AsyncReset - output io : {dbg_cmd_size : UInt<2>, dbg_core_rst_l : UInt<1>, flip core_dbg_rddata : UInt<32>, flip core_dbg_cmd_done : UInt<1>, flip core_dbg_cmd_fail : UInt<1>, dbg_halt_req : UInt<1>, dbg_resume_req : UInt<1>, flip dec_tlu_debug_mode : UInt<1>, flip dec_tlu_dbg_halted : UInt<1>, flip dec_tlu_mpc_halted_only : UInt<1>, flip dec_tlu_resume_ack : UInt<1>, flip dmi_reg_en : UInt<1>, flip dmi_reg_addr : UInt<7>, flip dmi_reg_wr_en : UInt<1>, flip dmi_reg_wdata : UInt<32>, dmi_reg_rdata : UInt<32>, sb_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<1>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip dbg_dec : {dbg_ib : {flip dbg_cmd_valid : UInt<1>, flip dbg_cmd_write : UInt<1>, flip dbg_cmd_type : UInt<2>, flip dbg_cmd_addr : UInt<32>}, dbg_dctl : {flip dbg_cmd_wrdata : UInt<2>}}, flip dbg_dma : {dbg_ib : {flip dbg_cmd_valid : UInt<1>, flip dbg_cmd_write : UInt<1>, flip dbg_cmd_type : UInt<2>, flip dbg_cmd_addr : UInt<32>}, dbg_dctl : {flip dbg_cmd_wrdata : UInt<2>}}, flip dbg_dma_io : {flip dbg_dma_bubble : UInt<1>, dma_dbg_ready : UInt<1>}, flip dbg_bus_clk_en : UInt<1>, flip dbg_rst_l : UInt<1>, flip clk_override : UInt<1>, flip scan_mode : UInt<1>} + output io : {dbg_cmd_size : UInt<2>, dbg_core_rst_l : UInt<1>, flip core_dbg_rddata : UInt<32>, flip core_dbg_cmd_done : UInt<1>, flip core_dbg_cmd_fail : UInt<1>, dbg_halt_req : UInt<1>, dbg_resume_req : UInt<1>, flip dec_tlu_debug_mode : UInt<1>, flip dec_tlu_dbg_halted : UInt<1>, flip dec_tlu_mpc_halted_only : UInt<1>, flip dec_tlu_resume_ack : UInt<1>, flip dmi_reg_en : UInt<1>, flip dmi_reg_addr : UInt<7>, flip dmi_reg_wr_en : UInt<1>, flip dmi_reg_wdata : UInt<32>, dmi_reg_rdata : UInt<32>, sb_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<1>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip dbg_dec : {dbg_ib : {flip dbg_cmd_valid : UInt<1>, flip dbg_cmd_write : UInt<1>, flip dbg_cmd_type : UInt<2>, flip dbg_cmd_addr : UInt<32>}, dbg_dctl : {flip dbg_cmd_wrdata : UInt<32>}}, flip dbg_dma : {dbg_ib : {flip dbg_cmd_valid : UInt<1>, flip dbg_cmd_write : UInt<1>, flip dbg_cmd_type : UInt<2>, flip dbg_cmd_addr : UInt<32>}, dbg_dctl : {flip dbg_cmd_wrdata : UInt<32>}}, flip dbg_dma_io : {flip dbg_dma_bubble : UInt<1>, dma_dbg_ready : UInt<1>}, flip dbg_bus_clk_en : UInt<1>, flip dbg_rst_l : UInt<1>, flip clk_override : UInt<1>, flip scan_mode : UInt<1>} wire dbg_state : UInt<3> dbg_state <= UInt<3>("h00") @@ -85960,10 +85952,10 @@ circuit quasar_wrapper : wire i0_pred_correct_upper_d : UInt<1> @[exu.scala 48:41] wire i0_flush_upper_d : UInt<1> @[exu.scala 49:45] io.exu_bp.exu_mp_pkt.bits.prett <= UInt<1>("h00") @[exu.scala 50:57] - io.exu_bp.exu_mp_pkt.bits.br_start_error <= UInt<1>("h00") @[exu.scala 51:43] - io.exu_bp.exu_mp_pkt.bits.br_error <= UInt<1>("h00") @[exu.scala 52:49] - io.exu_bp.exu_mp_pkt.valid <= UInt<1>("h00") @[exu.scala 53:55] - i0_pp_r.bits.toffset <= UInt<1>("h00") @[exu.scala 54:33] + io.exu_bp.exu_mp_pkt.bits.br_start_error <= UInt<1>("h00") @[exu.scala 51:44] + io.exu_bp.exu_mp_pkt.bits.br_error <= UInt<1>("h00") @[exu.scala 52:39] + io.exu_bp.exu_mp_pkt.valid <= UInt<1>("h00") @[exu.scala 53:53] + i0_pp_r.bits.toffset <= UInt<1>("h00") @[exu.scala 54:39] node x_data_en = bits(io.dec_exu.decode_exu.dec_data_en, 1, 1) @[exu.scala 56:69] node r_data_en = bits(io.dec_exu.decode_exu.dec_data_en, 0, 0) @[exu.scala 57:69] node x_ctl_en = bits(io.dec_exu.decode_exu.dec_ctl_en, 1, 1) @[exu.scala 58:68] @@ -86368,7 +86360,7 @@ circuit quasar_wrapper : node _T_138 = or(_T_137, _T_136) @[Mux.scala 27:72] wire muldiv_rs2_d : UInt<32> @[Mux.scala 27:72] muldiv_rs2_d <= _T_138 @[Mux.scala 27:72] - node _T_139 = bits(io.dec_exu.dec_alu.dec_csr_ren_d, 0, 0) @[exu.scala 141:63] + node _T_139 = bits(io.dec_exu.dec_alu.dec_csr_ren_d, 0, 0) @[exu.scala 141:62] node _T_140 = mux(_T_139, i0_rs1_d, io.dec_exu.decode_exu.exu_csr_rs1_x) @[exu.scala 141:28] csr_rs1_in_d <= _T_140 @[exu.scala 141:22] inst i_alu of exu_alu_ctl @[exu.scala 144:19] @@ -86437,10 +86429,10 @@ circuit quasar_wrapper : i0_predict_p_d.bits.misp <= i_alu.io.predict_p_out.bits.misp @[exu.scala 159:41] i0_predict_p_d.valid <= i_alu.io.predict_p_out.valid @[exu.scala 159:41] i0_pred_correct_upper_d <= i_alu.io.pred_correct_out @[exu.scala 160:27] - inst i_mul of exu_mul_ctl @[exu.scala 162:19] + inst i_mul of exu_mul_ctl @[exu.scala 162:21] i_mul.clock <= clock i_mul.reset <= reset - i_mul.io.scan_mode <= io.scan_mode @[exu.scala 163:33] + i_mul.io.scan_mode <= io.scan_mode @[exu.scala 163:25] i_mul.io.mul_p.bits.bfp <= io.dec_exu.decode_exu.mul_p.bits.bfp @[exu.scala 164:41] i_mul.io.mul_p.bits.crc32c_w <= io.dec_exu.decode_exu.mul_p.bits.crc32c_w @[exu.scala 164:41] i_mul.io.mul_p.bits.crc32c_h <= io.dec_exu.decode_exu.mul_p.bits.crc32c_h @[exu.scala 164:41] @@ -86462,7 +86454,7 @@ circuit quasar_wrapper : i_mul.io.mul_p.valid <= io.dec_exu.decode_exu.mul_p.valid @[exu.scala 164:41] i_mul.io.rs1_in <= muldiv_rs1_d @[exu.scala 165:41] i_mul.io.rs2_in <= muldiv_rs2_d @[exu.scala 166:41] - inst i_div of exu_div_ctl @[exu.scala 169:19] + inst i_div of exu_div_ctl @[exu.scala 169:21] i_div.clock <= clock i_div.reset <= reset i_div.io.dec_div.dec_div_cancel <= io.dec_exu.dec_div.dec_div_cancel @[exu.scala 170:20] @@ -86576,31 +86568,31 @@ circuit quasar_wrapper : node _T_178 = eq(_T_177, UInt<1>("h00")) @[exu.scala 215:69] node _T_179 = and(_T_176, _T_178) @[exu.scala 215:67] node after_flush_eghr = mux(_T_179, ghr_d, ghr_x) @[exu.scala 215:42] - io.exu_bp.exu_mp_pkt.bits.way <= final_predict_mp.bits.way @[exu.scala 218:48] - io.exu_bp.exu_mp_pkt.bits.misp <= final_predict_mp.bits.misp @[exu.scala 219:48] - io.exu_bp.exu_mp_pkt.bits.pcall <= final_predict_mp.bits.pcall @[exu.scala 220:48] - io.exu_bp.exu_mp_pkt.bits.pja <= final_predict_mp.bits.pja @[exu.scala 221:48] - io.exu_bp.exu_mp_pkt.bits.pret <= final_predict_mp.bits.pret @[exu.scala 222:48] - io.exu_bp.exu_mp_pkt.bits.ataken <= final_predict_mp.bits.ataken @[exu.scala 223:48] - io.exu_bp.exu_mp_pkt.bits.boffset <= final_predict_mp.bits.boffset @[exu.scala 224:48] - io.exu_bp.exu_mp_pkt.bits.pc4 <= final_predict_mp.bits.pc4 @[exu.scala 225:48] - node _T_180 = bits(final_predict_mp.bits.hist, 1, 0) @[exu.scala 226:96] - io.exu_bp.exu_mp_pkt.bits.hist <= _T_180 @[exu.scala 226:66] - node _T_181 = bits(final_predict_mp.bits.toffset, 11, 0) @[exu.scala 227:91] - io.exu_bp.exu_mp_pkt.bits.toffset <= _T_181 @[exu.scala 227:58] - io.exu_bp.exu_mp_fghr <= after_flush_eghr @[exu.scala 228:43] - node _T_182 = bits(final_predpipe_mp, 12, 5) @[exu.scala 229:87] - io.exu_bp.exu_mp_index <= _T_182 @[exu.scala 229:66] - node _T_183 = bits(final_predpipe_mp, 4, 0) @[exu.scala 230:79] - io.exu_bp.exu_mp_btag <= _T_183 @[exu.scala 230:58] - node _T_184 = bits(final_predpipe_mp, 20, 13) @[exu.scala 231:64] - io.exu_bp.exu_mp_eghr <= _T_184 @[exu.scala 231:43] - node _T_185 = bits(io.dec_exu.tlu_exu.dec_tlu_flush_lower_r, 0, 0) @[exu.scala 232:98] - node _T_186 = mux(_T_185, io.dec_exu.tlu_exu.dec_tlu_flush_path_r, i0_flush_path_d) @[exu.scala 232:56] - io.exu_flush_path_final <= _T_186 @[exu.scala 232:50] - node _T_187 = eq(i0_pred_correct_upper_r, UInt<1>("h01")) @[exu.scala 233:96] - node _T_188 = mux(_T_187, pred_correct_npc_r, i0_flush_path_upper_r) @[exu.scala 233:72] - io.dec_exu.tlu_exu.exu_npc_r <= _T_188 @[exu.scala 233:66] + io.exu_bp.exu_mp_pkt.bits.way <= final_predict_mp.bits.way @[exu.scala 218:37] + io.exu_bp.exu_mp_pkt.bits.misp <= final_predict_mp.bits.misp @[exu.scala 219:37] + io.exu_bp.exu_mp_pkt.bits.pcall <= final_predict_mp.bits.pcall @[exu.scala 220:37] + io.exu_bp.exu_mp_pkt.bits.pja <= final_predict_mp.bits.pja @[exu.scala 221:37] + io.exu_bp.exu_mp_pkt.bits.pret <= final_predict_mp.bits.pret @[exu.scala 222:37] + io.exu_bp.exu_mp_pkt.bits.ataken <= final_predict_mp.bits.ataken @[exu.scala 223:37] + io.exu_bp.exu_mp_pkt.bits.boffset <= final_predict_mp.bits.boffset @[exu.scala 224:37] + io.exu_bp.exu_mp_pkt.bits.pc4 <= final_predict_mp.bits.pc4 @[exu.scala 225:37] + node _T_180 = bits(final_predict_mp.bits.hist, 1, 0) @[exu.scala 226:79] + io.exu_bp.exu_mp_pkt.bits.hist <= _T_180 @[exu.scala 226:49] + node _T_181 = bits(final_predict_mp.bits.toffset, 11, 0) @[exu.scala 227:74] + io.exu_bp.exu_mp_pkt.bits.toffset <= _T_181 @[exu.scala 227:41] + io.exu_bp.exu_mp_fghr <= after_flush_eghr @[exu.scala 228:37] + node _T_182 = bits(final_predpipe_mp, 12, 5) @[exu.scala 229:88] + io.exu_bp.exu_mp_index <= _T_182 @[exu.scala 229:67] + node _T_183 = bits(final_predpipe_mp, 4, 0) @[exu.scala 230:82] + io.exu_bp.exu_mp_btag <= _T_183 @[exu.scala 230:61] + node _T_184 = bits(final_predpipe_mp, 20, 13) @[exu.scala 231:58] + io.exu_bp.exu_mp_eghr <= _T_184 @[exu.scala 231:37] + node _T_185 = bits(io.dec_exu.tlu_exu.dec_tlu_flush_lower_r, 0, 0) @[exu.scala 232:81] + node _T_186 = mux(_T_185, io.dec_exu.tlu_exu.dec_tlu_flush_path_r, i0_flush_path_d) @[exu.scala 232:39] + io.exu_flush_path_final <= _T_186 @[exu.scala 232:33] + node _T_187 = eq(i0_pred_correct_upper_r, UInt<1>("h01")) @[exu.scala 233:79] + node _T_188 = mux(_T_187, pred_correct_npc_r, i0_flush_path_upper_r) @[exu.scala 233:55] + io.dec_exu.tlu_exu.exu_npc_r <= _T_188 @[exu.scala 233:49] module lsu_addrcheck : input clock : Clock @@ -91449,10 +91441,10 @@ circuit quasar_wrapper : is_ldst_hi_r <= UInt<1>("h00") wire is_ldst_lo_r : UInt<1> is_ldst_lo_r <= UInt<1>("h00") - io.sec_data_hi_m <= UInt<1>("h00") @[lsu_ecc.scala 90:32] - io.sec_data_lo_m <= UInt<1>("h00") @[lsu_ecc.scala 91:32] - io.lsu_single_ecc_error_m <= UInt<1>("h00") @[lsu_ecc.scala 92:30] - io.lsu_double_ecc_error_m <= UInt<1>("h00") @[lsu_ecc.scala 93:30] + io.sec_data_hi_m <= UInt<1>("h00") @[lsu_ecc.scala 88:32] + io.sec_data_lo_m <= UInt<1>("h00") @[lsu_ecc.scala 89:32] + io.lsu_single_ecc_error_m <= UInt<1>("h00") @[lsu_ecc.scala 90:30] + io.lsu_double_ecc_error_m <= UInt<1>("h00") @[lsu_ecc.scala 91:30] wire _T : UInt<1>[18] @[lib.scala 173:18] wire _T_1 : UInt<1>[18] @[lib.scala 174:18] wire _T_2 : UInt<1>[18] @[lib.scala 175:18] @@ -92841,107 +92833,107 @@ circuit quasar_wrapper : node _T_1118 = xorr(_T_1116) @[lib.scala 127:23] node _T_1119 = xor(_T_1117, _T_1118) @[lib.scala 127:18] node dccm_wdata_ecc_hi_any = cat(_T_1119, _T_1116) @[Cat.scala 29:58] - when UInt<1>("h00") : @[lsu_ecc.scala 103:30] - node _T_1120 = bits(io.lsu_addr_r, 2, 2) @[lsu_ecc.scala 104:33] - node _T_1121 = bits(io.end_addr_r, 2, 2) @[lsu_ecc.scala 104:54] - node _T_1122 = neq(_T_1120, _T_1121) @[lsu_ecc.scala 104:37] - ldst_dual_r <= _T_1122 @[lsu_ecc.scala 104:17] - node _T_1123 = or(io.lsu_pkt_r.bits.load, io.lsu_pkt_r.bits.store) @[lsu_ecc.scala 105:63] - node _T_1124 = and(io.lsu_pkt_r.valid, _T_1123) @[lsu_ecc.scala 105:37] - node _T_1125 = and(_T_1124, io.addr_in_dccm_r) @[lsu_ecc.scala 105:90] - node _T_1126 = and(_T_1125, io.lsu_dccm_rden_r) @[lsu_ecc.scala 105:110] - is_ldst_r <= _T_1126 @[lsu_ecc.scala 105:15] - node _T_1127 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[lsu_ecc.scala 106:33] - node _T_1128 = and(is_ldst_r, _T_1127) @[lsu_ecc.scala 106:31] - is_ldst_lo_r <= _T_1128 @[lsu_ecc.scala 106:18] - node _T_1129 = or(ldst_dual_r, io.lsu_pkt_r.bits.dma) @[lsu_ecc.scala 107:46] - node _T_1130 = and(is_ldst_r, _T_1129) @[lsu_ecc.scala 107:31] - node _T_1131 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[lsu_ecc.scala 107:73] - node _T_1132 = and(_T_1130, _T_1131) @[lsu_ecc.scala 107:71] - is_ldst_hi_r <= _T_1132 @[lsu_ecc.scala 107:18] - is_ldst_hi_any <= is_ldst_hi_r @[lsu_ecc.scala 108:21] - dccm_rdata_hi_any <= io.dccm_rdata_hi_r @[lsu_ecc.scala 109:24] - dccm_data_ecc_hi_any <= io.dccm_data_ecc_hi_r @[lsu_ecc.scala 110:26] - is_ldst_lo_any <= is_ldst_lo_r @[lsu_ecc.scala 111:20] - dccm_rdata_lo_any <= io.dccm_rdata_lo_r @[lsu_ecc.scala 112:25] - dccm_data_ecc_lo_any <= io.dccm_data_ecc_lo_r @[lsu_ecc.scala 113:26] - io.sec_data_hi_r <= sec_data_hi_any @[lsu_ecc.scala 114:22] - io.single_ecc_error_hi_r <= single_ecc_error_hi_any @[lsu_ecc.scala 115:31] - double_ecc_error_hi_r <= double_ecc_error_hi_any @[lsu_ecc.scala 116:28] - io.sec_data_lo_r <= sec_data_lo_any @[lsu_ecc.scala 117:25] - io.single_ecc_error_lo_r <= single_ecc_error_lo_any @[lsu_ecc.scala 118:31] - double_ecc_error_lo_r <= double_ecc_error_lo_any @[lsu_ecc.scala 119:28] - node _T_1133 = or(io.single_ecc_error_hi_r, io.single_ecc_error_lo_r) @[lsu_ecc.scala 120:59] - io.lsu_single_ecc_error_r <= _T_1133 @[lsu_ecc.scala 120:31] - node _T_1134 = or(double_ecc_error_hi_r, double_ecc_error_lo_r) @[lsu_ecc.scala 121:56] - io.lsu_double_ecc_error_r <= _T_1134 @[lsu_ecc.scala 121:31] - skip @[lsu_ecc.scala 103:30] - else : @[lsu_ecc.scala 123:16] - node _T_1135 = bits(io.lsu_addr_m, 2, 2) @[lsu_ecc.scala 124:35] - node _T_1136 = bits(io.end_addr_m, 2, 2) @[lsu_ecc.scala 124:56] - node _T_1137 = neq(_T_1135, _T_1136) @[lsu_ecc.scala 124:39] - ldst_dual_m <= _T_1137 @[lsu_ecc.scala 124:19] - node _T_1138 = or(io.lsu_pkt_m.bits.load, io.lsu_pkt_m.bits.store) @[lsu_ecc.scala 125:65] - node _T_1139 = and(io.lsu_pkt_m.valid, _T_1138) @[lsu_ecc.scala 125:39] - node _T_1140 = and(_T_1139, io.addr_in_dccm_m) @[lsu_ecc.scala 125:92] - node _T_1141 = and(_T_1140, io.lsu_dccm_rden_m) @[lsu_ecc.scala 125:112] - is_ldst_m <= _T_1141 @[lsu_ecc.scala 125:17] - node _T_1142 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[lsu_ecc.scala 126:35] - node _T_1143 = and(is_ldst_m, _T_1142) @[lsu_ecc.scala 126:33] - is_ldst_lo_m <= _T_1143 @[lsu_ecc.scala 126:20] - node _T_1144 = or(ldst_dual_m, io.lsu_pkt_m.bits.dma) @[lsu_ecc.scala 127:48] - node _T_1145 = and(is_ldst_m, _T_1144) @[lsu_ecc.scala 127:33] - node _T_1146 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[lsu_ecc.scala 127:75] - node _T_1147 = and(_T_1145, _T_1146) @[lsu_ecc.scala 127:73] - is_ldst_hi_m <= _T_1147 @[lsu_ecc.scala 127:20] - is_ldst_hi_any <= is_ldst_hi_m @[lsu_ecc.scala 128:23] - dccm_rdata_hi_any <= io.dccm_rdata_hi_m @[lsu_ecc.scala 129:26] - dccm_data_ecc_hi_any <= io.dccm_data_ecc_hi_m @[lsu_ecc.scala 130:28] - is_ldst_lo_any <= is_ldst_lo_m @[lsu_ecc.scala 131:22] - dccm_rdata_lo_any <= io.dccm_rdata_lo_m @[lsu_ecc.scala 132:27] - dccm_data_ecc_lo_any <= io.dccm_data_ecc_lo_m @[lsu_ecc.scala 133:28] - io.sec_data_hi_m <= sec_data_hi_any @[lsu_ecc.scala 134:27] - double_ecc_error_hi_m <= double_ecc_error_hi_any @[lsu_ecc.scala 135:30] - io.sec_data_lo_m <= sec_data_lo_any @[lsu_ecc.scala 136:27] - double_ecc_error_lo_m <= double_ecc_error_lo_any @[lsu_ecc.scala 137:30] - node _T_1148 = or(single_ecc_error_hi_any, single_ecc_error_lo_any) @[lsu_ecc.scala 138:60] - io.lsu_single_ecc_error_m <= _T_1148 @[lsu_ecc.scala 138:33] - node _T_1149 = or(double_ecc_error_hi_m, double_ecc_error_lo_m) @[lsu_ecc.scala 139:58] - io.lsu_double_ecc_error_m <= _T_1149 @[lsu_ecc.scala 139:33] - reg _T_1150 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_ecc.scala 141:72] - _T_1150 <= io.lsu_single_ecc_error_m @[lsu_ecc.scala 141:72] - io.lsu_single_ecc_error_r <= _T_1150 @[lsu_ecc.scala 141:62] - reg _T_1151 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_ecc.scala 142:72] - _T_1151 <= io.lsu_double_ecc_error_m @[lsu_ecc.scala 142:72] - io.lsu_double_ecc_error_r <= _T_1151 @[lsu_ecc.scala 142:62] - reg _T_1152 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_ecc.scala 143:72] - _T_1152 <= single_ecc_error_lo_any @[lsu_ecc.scala 143:72] - io.single_ecc_error_lo_r <= _T_1152 @[lsu_ecc.scala 143:62] - reg _T_1153 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_ecc.scala 144:72] - _T_1153 <= single_ecc_error_hi_any @[lsu_ecc.scala 144:72] - io.single_ecc_error_hi_r <= _T_1153 @[lsu_ecc.scala 144:62] - reg _T_1154 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_ecc.scala 145:72] - _T_1154 <= io.sec_data_hi_m @[lsu_ecc.scala 145:72] - io.sec_data_hi_r <= _T_1154 @[lsu_ecc.scala 145:62] - reg _T_1155 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_ecc.scala 146:72] - _T_1155 <= io.sec_data_lo_m @[lsu_ecc.scala 146:72] - io.sec_data_lo_r <= _T_1155 @[lsu_ecc.scala 146:62] - skip @[lsu_ecc.scala 123:16] - node _T_1156 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_ecc.scala 149:56] - node _T_1157 = bits(io.dma_dccm_wen, 0, 0) @[lsu_ecc.scala 149:104] - node _T_1158 = mux(_T_1157, io.dma_dccm_wdata_lo, io.stbuf_data_any) @[lsu_ecc.scala 149:87] - node _T_1159 = mux(_T_1156, io.sec_data_lo_r_ff, _T_1158) @[lsu_ecc.scala 149:27] - dccm_wdata_lo_any <= _T_1159 @[lsu_ecc.scala 149:21] - node _T_1160 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_ecc.scala 150:56] - node _T_1161 = bits(io.dma_dccm_wen, 0, 0) @[lsu_ecc.scala 150:104] - node _T_1162 = mux(_T_1161, io.dma_dccm_wdata_hi, io.stbuf_data_any) @[lsu_ecc.scala 150:87] - node _T_1163 = mux(_T_1160, io.sec_data_hi_r_ff, _T_1162) @[lsu_ecc.scala 150:27] - dccm_wdata_hi_any <= _T_1163 @[lsu_ecc.scala 150:21] - io.sec_data_ecc_hi_r_ff <= dccm_wdata_ecc_hi_any @[lsu_ecc.scala 151:28] - io.sec_data_ecc_lo_r_ff <= dccm_wdata_ecc_lo_any @[lsu_ecc.scala 152:28] - io.stbuf_ecc_any <= dccm_wdata_ecc_lo_any @[lsu_ecc.scala 153:28] - io.dma_dccm_wdata_ecc_hi <= dccm_wdata_ecc_hi_any @[lsu_ecc.scala 154:28] - io.dma_dccm_wdata_ecc_lo <= dccm_wdata_ecc_lo_any @[lsu_ecc.scala 155:28] + when UInt<1>("h00") : @[lsu_ecc.scala 101:30] + node _T_1120 = bits(io.lsu_addr_r, 2, 2) @[lsu_ecc.scala 102:33] + node _T_1121 = bits(io.end_addr_r, 2, 2) @[lsu_ecc.scala 102:54] + node _T_1122 = neq(_T_1120, _T_1121) @[lsu_ecc.scala 102:37] + ldst_dual_r <= _T_1122 @[lsu_ecc.scala 102:17] + node _T_1123 = or(io.lsu_pkt_r.bits.load, io.lsu_pkt_r.bits.store) @[lsu_ecc.scala 103:63] + node _T_1124 = and(io.lsu_pkt_r.valid, _T_1123) @[lsu_ecc.scala 103:37] + node _T_1125 = and(_T_1124, io.addr_in_dccm_r) @[lsu_ecc.scala 103:90] + node _T_1126 = and(_T_1125, io.lsu_dccm_rden_r) @[lsu_ecc.scala 103:110] + is_ldst_r <= _T_1126 @[lsu_ecc.scala 103:15] + node _T_1127 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[lsu_ecc.scala 104:33] + node _T_1128 = and(is_ldst_r, _T_1127) @[lsu_ecc.scala 104:31] + is_ldst_lo_r <= _T_1128 @[lsu_ecc.scala 104:18] + node _T_1129 = or(ldst_dual_r, io.lsu_pkt_r.bits.dma) @[lsu_ecc.scala 105:46] + node _T_1130 = and(is_ldst_r, _T_1129) @[lsu_ecc.scala 105:31] + node _T_1131 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[lsu_ecc.scala 105:73] + node _T_1132 = and(_T_1130, _T_1131) @[lsu_ecc.scala 105:71] + is_ldst_hi_r <= _T_1132 @[lsu_ecc.scala 105:18] + is_ldst_hi_any <= is_ldst_hi_r @[lsu_ecc.scala 106:21] + dccm_rdata_hi_any <= io.dccm_rdata_hi_r @[lsu_ecc.scala 107:24] + dccm_data_ecc_hi_any <= io.dccm_data_ecc_hi_r @[lsu_ecc.scala 108:26] + is_ldst_lo_any <= is_ldst_lo_r @[lsu_ecc.scala 109:20] + dccm_rdata_lo_any <= io.dccm_rdata_lo_r @[lsu_ecc.scala 110:25] + dccm_data_ecc_lo_any <= io.dccm_data_ecc_lo_r @[lsu_ecc.scala 111:26] + io.sec_data_hi_r <= sec_data_hi_any @[lsu_ecc.scala 112:22] + io.single_ecc_error_hi_r <= single_ecc_error_hi_any @[lsu_ecc.scala 113:31] + double_ecc_error_hi_r <= double_ecc_error_hi_any @[lsu_ecc.scala 114:28] + io.sec_data_lo_r <= sec_data_lo_any @[lsu_ecc.scala 115:25] + io.single_ecc_error_lo_r <= single_ecc_error_lo_any @[lsu_ecc.scala 116:31] + double_ecc_error_lo_r <= double_ecc_error_lo_any @[lsu_ecc.scala 117:28] + node _T_1133 = or(io.single_ecc_error_hi_r, io.single_ecc_error_lo_r) @[lsu_ecc.scala 118:59] + io.lsu_single_ecc_error_r <= _T_1133 @[lsu_ecc.scala 118:31] + node _T_1134 = or(double_ecc_error_hi_r, double_ecc_error_lo_r) @[lsu_ecc.scala 119:56] + io.lsu_double_ecc_error_r <= _T_1134 @[lsu_ecc.scala 119:31] + skip @[lsu_ecc.scala 101:30] + else : @[lsu_ecc.scala 121:16] + node _T_1135 = bits(io.lsu_addr_m, 2, 2) @[lsu_ecc.scala 122:35] + node _T_1136 = bits(io.end_addr_m, 2, 2) @[lsu_ecc.scala 122:56] + node _T_1137 = neq(_T_1135, _T_1136) @[lsu_ecc.scala 122:39] + ldst_dual_m <= _T_1137 @[lsu_ecc.scala 122:19] + node _T_1138 = or(io.lsu_pkt_m.bits.load, io.lsu_pkt_m.bits.store) @[lsu_ecc.scala 123:65] + node _T_1139 = and(io.lsu_pkt_m.valid, _T_1138) @[lsu_ecc.scala 123:39] + node _T_1140 = and(_T_1139, io.addr_in_dccm_m) @[lsu_ecc.scala 123:92] + node _T_1141 = and(_T_1140, io.lsu_dccm_rden_m) @[lsu_ecc.scala 123:112] + is_ldst_m <= _T_1141 @[lsu_ecc.scala 123:17] + node _T_1142 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[lsu_ecc.scala 124:35] + node _T_1143 = and(is_ldst_m, _T_1142) @[lsu_ecc.scala 124:33] + is_ldst_lo_m <= _T_1143 @[lsu_ecc.scala 124:20] + node _T_1144 = or(ldst_dual_m, io.lsu_pkt_m.bits.dma) @[lsu_ecc.scala 125:48] + node _T_1145 = and(is_ldst_m, _T_1144) @[lsu_ecc.scala 125:33] + node _T_1146 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[lsu_ecc.scala 125:75] + node _T_1147 = and(_T_1145, _T_1146) @[lsu_ecc.scala 125:73] + is_ldst_hi_m <= _T_1147 @[lsu_ecc.scala 125:20] + is_ldst_hi_any <= is_ldst_hi_m @[lsu_ecc.scala 126:23] + dccm_rdata_hi_any <= io.dccm_rdata_hi_m @[lsu_ecc.scala 127:26] + dccm_data_ecc_hi_any <= io.dccm_data_ecc_hi_m @[lsu_ecc.scala 128:28] + is_ldst_lo_any <= is_ldst_lo_m @[lsu_ecc.scala 129:22] + dccm_rdata_lo_any <= io.dccm_rdata_lo_m @[lsu_ecc.scala 130:27] + dccm_data_ecc_lo_any <= io.dccm_data_ecc_lo_m @[lsu_ecc.scala 131:28] + io.sec_data_hi_m <= sec_data_hi_any @[lsu_ecc.scala 132:27] + double_ecc_error_hi_m <= double_ecc_error_hi_any @[lsu_ecc.scala 133:30] + io.sec_data_lo_m <= sec_data_lo_any @[lsu_ecc.scala 134:27] + double_ecc_error_lo_m <= double_ecc_error_lo_any @[lsu_ecc.scala 135:30] + node _T_1148 = or(single_ecc_error_hi_any, single_ecc_error_lo_any) @[lsu_ecc.scala 136:60] + io.lsu_single_ecc_error_m <= _T_1148 @[lsu_ecc.scala 136:33] + node _T_1149 = or(double_ecc_error_hi_m, double_ecc_error_lo_m) @[lsu_ecc.scala 137:58] + io.lsu_double_ecc_error_m <= _T_1149 @[lsu_ecc.scala 137:33] + reg _T_1150 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_ecc.scala 139:72] + _T_1150 <= io.lsu_single_ecc_error_m @[lsu_ecc.scala 139:72] + io.lsu_single_ecc_error_r <= _T_1150 @[lsu_ecc.scala 139:62] + reg _T_1151 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_ecc.scala 140:72] + _T_1151 <= io.lsu_double_ecc_error_m @[lsu_ecc.scala 140:72] + io.lsu_double_ecc_error_r <= _T_1151 @[lsu_ecc.scala 140:62] + reg _T_1152 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_ecc.scala 141:72] + _T_1152 <= single_ecc_error_lo_any @[lsu_ecc.scala 141:72] + io.single_ecc_error_lo_r <= _T_1152 @[lsu_ecc.scala 141:62] + reg _T_1153 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_ecc.scala 142:72] + _T_1153 <= single_ecc_error_hi_any @[lsu_ecc.scala 142:72] + io.single_ecc_error_hi_r <= _T_1153 @[lsu_ecc.scala 142:62] + reg _T_1154 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_ecc.scala 143:72] + _T_1154 <= io.sec_data_hi_m @[lsu_ecc.scala 143:72] + io.sec_data_hi_r <= _T_1154 @[lsu_ecc.scala 143:62] + reg _T_1155 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_ecc.scala 144:72] + _T_1155 <= io.sec_data_lo_m @[lsu_ecc.scala 144:72] + io.sec_data_lo_r <= _T_1155 @[lsu_ecc.scala 144:62] + skip @[lsu_ecc.scala 121:16] + node _T_1156 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_ecc.scala 147:56] + node _T_1157 = bits(io.dma_dccm_wen, 0, 0) @[lsu_ecc.scala 147:104] + node _T_1158 = mux(_T_1157, io.dma_dccm_wdata_lo, io.stbuf_data_any) @[lsu_ecc.scala 147:87] + node _T_1159 = mux(_T_1156, io.sec_data_lo_r_ff, _T_1158) @[lsu_ecc.scala 147:27] + dccm_wdata_lo_any <= _T_1159 @[lsu_ecc.scala 147:21] + node _T_1160 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_ecc.scala 148:56] + node _T_1161 = bits(io.dma_dccm_wen, 0, 0) @[lsu_ecc.scala 148:104] + node _T_1162 = mux(_T_1161, io.dma_dccm_wdata_hi, io.stbuf_data_any) @[lsu_ecc.scala 148:87] + node _T_1163 = mux(_T_1160, io.sec_data_hi_r_ff, _T_1162) @[lsu_ecc.scala 148:27] + dccm_wdata_hi_any <= _T_1163 @[lsu_ecc.scala 148:21] + io.sec_data_ecc_hi_r_ff <= dccm_wdata_ecc_hi_any @[lsu_ecc.scala 149:28] + io.sec_data_ecc_lo_r_ff <= dccm_wdata_ecc_lo_any @[lsu_ecc.scala 150:28] + io.stbuf_ecc_any <= dccm_wdata_ecc_lo_any @[lsu_ecc.scala 151:28] + io.dma_dccm_wdata_ecc_hi <= dccm_wdata_ecc_hi_any @[lsu_ecc.scala 152:28] + io.dma_dccm_wdata_ecc_lo <= dccm_wdata_ecc_lo_any @[lsu_ecc.scala 153:28] inst rvclkhdr of rvclkhdr_800 @[lib.scala 368:23] rvclkhdr.clock <= clock rvclkhdr.reset <= reset @@ -92950,7 +92942,7 @@ circuit quasar_wrapper : rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_1164 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_1164 <= io.sec_data_hi_r @[lib.scala 374:16] - io.sec_data_hi_r_ff <= _T_1164 @[lsu_ecc.scala 157:23] + io.sec_data_hi_r_ff <= _T_1164 @[lsu_ecc.scala 155:23] inst rvclkhdr_1 of rvclkhdr_801 @[lib.scala 368:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset @@ -92959,7 +92951,7 @@ circuit quasar_wrapper : rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_1165 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_1165 <= io.sec_data_lo_r @[lib.scala 374:16] - io.sec_data_lo_r_ff <= _T_1165 @[lsu_ecc.scala 158:23] + io.sec_data_lo_r_ff <= _T_1165 @[lsu_ecc.scala 156:23] module lsu_trigger : input clock : Clock @@ -95894,7 +95886,7 @@ circuit quasar_wrapper : node _T_748 = and(ld_fwddata_buf_hi_initial, ibuf_data) @[lsu_bus_buffer.scala 175:32] node _T_749 = or(_T_747, _T_748) @[lsu_bus_buffer.scala 174:103] io.ld_fwddata_buf_hi <= _T_749 @[lsu_bus_buffer.scala 171:24] - node bus_coalescing_disable = or(io.tlu_busbuff.dec_tlu_wb_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 177:77] + node bus_coalescing_disable = or(io.tlu_busbuff.dec_tlu_wb_coalescing_disable, UInt<1>("h01")) @[lsu_bus_buffer.scala 177:77] node _T_750 = mux(io.lsu_pkt_r.bits.by, UInt<4>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_751 = mux(io.lsu_pkt_r.bits.half, UInt<4>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_752 = mux(io.lsu_pkt_r.bits.word, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -107097,24 +107089,24 @@ circuit quasar_wrapper : module dma_ctrl : input clock : Clock input reset : AsyncReset - output io : {flip free_clk : Clock, flip dma_bus_clk_en : UInt<1>, flip clk_override : UInt<1>, flip scan_mode : UInt<1>, flip dbg_cmd_size : UInt<2>, dma_dbg_rddata : UInt<32>, dma_dbg_cmd_done : UInt<1>, dma_dbg_cmd_fail : UInt<1>, dbg_dma : {dbg_ib : {flip dbg_cmd_valid : UInt<1>, flip dbg_cmd_write : UInt<1>, flip dbg_cmd_type : UInt<2>, flip dbg_cmd_addr : UInt<32>}, dbg_dctl : {flip dbg_cmd_wrdata : UInt<2>}}, dbg_dma_io : {flip dbg_dma_bubble : UInt<1>, dma_dbg_ready : UInt<1>}, flip dec_dma : {dctl_dma : {flip dma_dccm_stall_any : UInt<1>}, tlu_dma : {flip dma_pmu_dccm_read : UInt<1>, flip dma_pmu_dccm_write : UInt<1>, flip dma_pmu_any_read : UInt<1>, flip dma_pmu_any_write : UInt<1>, dec_tlu_dma_qos_prty : UInt<3>, flip dma_dccm_stall_any : UInt<1>, flip dma_iccm_stall_any : UInt<1>}}, flip iccm_dma_rvalid : UInt<1>, flip iccm_dma_ecc_error : UInt<1>, flip iccm_dma_rtag : UInt<3>, flip iccm_dma_rdata : UInt<64>, flip iccm_ready : UInt<1>, flip dma_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<1>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip lsu_dma : {dma_lsc_ctl : {flip dma_dccm_req : UInt<1>, flip dma_mem_addr : UInt<32>, flip dma_mem_sz : UInt<3>, flip dma_mem_write : UInt<1>, flip dma_mem_wdata : UInt<64>}, dma_dccm_ctl : {flip dma_mem_addr : UInt<32>, flip dma_mem_wdata : UInt<64>, dccm_dma_rvalid : UInt<1>, dccm_dma_ecc_error : UInt<1>, dccm_dma_rtag : UInt<3>, dccm_dma_rdata : UInt<64>}, dccm_ready : UInt<1>, flip dma_mem_tag : UInt<3>}, flip ifu_dma : {dma_ifc : {flip dma_iccm_stall_any : UInt<1>}, dma_mem_ctl : {flip dma_iccm_req : UInt<1>, flip dma_mem_addr : UInt<32>, flip dma_mem_sz : UInt<3>, flip dma_mem_write : UInt<1>, flip dma_mem_wdata : UInt<64>, flip dma_mem_tag : UInt<3>}}} + output io : {flip free_clk : Clock, flip dma_bus_clk_en : UInt<1>, flip clk_override : UInt<1>, flip scan_mode : UInt<1>, flip dbg_cmd_size : UInt<2>, dma_dbg_rddata : UInt<32>, dma_dbg_cmd_done : UInt<1>, dma_dbg_cmd_fail : UInt<1>, dbg_dma : {dbg_ib : {flip dbg_cmd_valid : UInt<1>, flip dbg_cmd_write : UInt<1>, flip dbg_cmd_type : UInt<2>, flip dbg_cmd_addr : UInt<32>}, dbg_dctl : {flip dbg_cmd_wrdata : UInt<32>}}, dbg_dma_io : {flip dbg_dma_bubble : UInt<1>, dma_dbg_ready : UInt<1>}, flip dec_dma : {dctl_dma : {flip dma_dccm_stall_any : UInt<1>}, tlu_dma : {flip dma_pmu_dccm_read : UInt<1>, flip dma_pmu_dccm_write : UInt<1>, flip dma_pmu_any_read : UInt<1>, flip dma_pmu_any_write : UInt<1>, dec_tlu_dma_qos_prty : UInt<3>, flip dma_dccm_stall_any : UInt<1>, flip dma_iccm_stall_any : UInt<1>}}, flip iccm_dma_rvalid : UInt<1>, flip iccm_dma_ecc_error : UInt<1>, flip iccm_dma_rtag : UInt<3>, flip iccm_dma_rdata : UInt<64>, flip iccm_ready : UInt<1>, flip dma_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<1>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip lsu_dma : {dma_lsc_ctl : {flip dma_dccm_req : UInt<1>, flip dma_mem_addr : UInt<32>, flip dma_mem_sz : UInt<3>, flip dma_mem_write : UInt<1>, flip dma_mem_wdata : UInt<64>}, dma_dccm_ctl : {flip dma_mem_addr : UInt<32>, flip dma_mem_wdata : UInt<64>, dccm_dma_rvalid : UInt<1>, dccm_dma_ecc_error : UInt<1>, dccm_dma_rtag : UInt<3>, dccm_dma_rdata : UInt<64>}, dccm_ready : UInt<1>, flip dma_mem_tag : UInt<3>}, flip ifu_dma : {dma_ifc : {flip dma_iccm_stall_any : UInt<1>}, dma_mem_ctl : {flip dma_iccm_req : UInt<1>, flip dma_mem_addr : UInt<32>, flip dma_mem_sz : UInt<3>, flip dma_mem_write : UInt<1>, flip dma_mem_wdata : UInt<64>, flip dma_mem_tag : UInt<3>}}} - wire fifo_error : UInt<2>[5] @[dma_ctrl.scala 43:24] + wire fifo_error : UInt<2>[5] @[dma_ctrl.scala 36:24] wire fifo_error_bus : UInt<5> fifo_error_bus <= UInt<1>("h00") wire fifo_done : UInt<5> fifo_done <= UInt<1>("h00") - wire fifo_addr : UInt<32>[5] @[dma_ctrl.scala 49:23] - wire fifo_sz : UInt<3>[5] @[dma_ctrl.scala 51:21] - wire fifo_byteen : UInt<8>[5] @[dma_ctrl.scala 53:25] - wire fifo_data : UInt<64>[5] @[dma_ctrl.scala 55:23] - wire fifo_tag : UInt<1>[5] @[dma_ctrl.scala 57:22] - wire fifo_mid : UInt<1>[5] @[dma_ctrl.scala 59:22] - wire fifo_prty : UInt<2>[5] @[dma_ctrl.scala 61:23] + wire fifo_addr : UInt<32>[5] @[dma_ctrl.scala 42:23] + wire fifo_sz : UInt<3>[5] @[dma_ctrl.scala 44:21] + wire fifo_byteen : UInt<8>[5] @[dma_ctrl.scala 46:25] + wire fifo_data : UInt<64>[5] @[dma_ctrl.scala 48:23] + wire fifo_tag : UInt<1>[5] @[dma_ctrl.scala 50:22] + wire fifo_mid : UInt<1>[5] @[dma_ctrl.scala 52:22] + wire fifo_prty : UInt<2>[5] @[dma_ctrl.scala 54:23] wire fifo_error_en : UInt<5> fifo_error_en <= UInt<1>("h00") - wire fifo_error_in : UInt<2>[5] @[dma_ctrl.scala 65:27] - wire fifo_data_in : UInt<64>[5] @[dma_ctrl.scala 67:26] + wire fifo_error_in : UInt<2>[5] @[dma_ctrl.scala 58:27] + wire fifo_data_in : UInt<64>[5] @[dma_ctrl.scala 60:26] wire RspPtr : UInt<3> RspPtr <= UInt<1>("h00") wire WrPtr : UInt<3> @@ -107221,888 +107213,888 @@ circuit quasar_wrapper : wrbuf_data_vld <= UInt<1>("h00") wire rdbuf_vld : UInt<1> rdbuf_vld <= UInt<1>("h00") - wire dma_free_clk : Clock @[dma_ctrl.scala 175:26] - wire dma_bus_clk : Clock @[dma_ctrl.scala 177:25] - wire dma_buffer_c1_clk : Clock @[dma_ctrl.scala 179:31] + wire dma_free_clk : Clock @[dma_ctrl.scala 168:26] + wire dma_bus_clk : Clock @[dma_ctrl.scala 170:25] + wire dma_buffer_c1_clk : Clock @[dma_ctrl.scala 172:31] wire fifo_byteen_in : UInt<8> fifo_byteen_in <= UInt<1>("h00") - node _T = bits(dma_mem_addr_int, 31, 0) @[dma_ctrl.scala 188:95] + node _T = bits(dma_mem_addr_int, 31, 0) @[dma_ctrl.scala 181:95] node _T_1 = bits(_T, 31, 28) @[lib.scala 356:27] node dma_mem_addr_in_dccm_region_nc = eq(_T_1, UInt<4>("h0f")) @[lib.scala 356:49] wire dma_mem_addr_in_dccm : UInt<1> @[lib.scala 357:26] node _T_2 = bits(_T, 31, 16) @[lib.scala 361:24] node _T_3 = eq(_T_2, UInt<16>("h0f004")) @[lib.scala 361:39] dma_mem_addr_in_dccm <= _T_3 @[lib.scala 361:16] - node _T_4 = bits(dma_mem_addr_int, 31, 0) @[dma_ctrl.scala 192:93] + node _T_4 = bits(dma_mem_addr_int, 31, 0) @[dma_ctrl.scala 185:93] node _T_5 = bits(_T_4, 31, 28) @[lib.scala 356:27] node dma_mem_addr_in_pic_region_nc = eq(_T_5, UInt<4>("h0f")) @[lib.scala 356:49] wire dma_mem_addr_in_pic : UInt<1> @[lib.scala 357:26] node _T_6 = bits(_T_4, 31, 15) @[lib.scala 361:24] node _T_7 = eq(_T_6, UInt<17>("h01e018")) @[lib.scala 361:39] dma_mem_addr_in_pic <= _T_7 @[lib.scala 361:16] - node _T_8 = bits(dma_mem_addr_int, 31, 0) @[dma_ctrl.scala 196:111] + node _T_8 = bits(dma_mem_addr_int, 31, 0) @[dma_ctrl.scala 189:111] node _T_9 = bits(_T_8, 31, 28) @[lib.scala 356:27] node dma_mem_addr_in_iccm_region_nc = eq(_T_9, UInt<4>("h0e")) @[lib.scala 356:49] wire dma_mem_addr_in_iccm : UInt<1> @[lib.scala 357:26] node _T_10 = bits(_T_8, 31, 16) @[lib.scala 361:24] node _T_11 = eq(_T_10, UInt<16>("h0ee00")) @[lib.scala 361:39] dma_mem_addr_in_iccm <= _T_11 @[lib.scala 361:16] - node _T_12 = bits(io.dbg_dma.dbg_ib.dbg_cmd_valid, 0, 0) @[dma_ctrl.scala 200:66] - node _T_13 = bits(io.dbg_dma.dbg_ib.dbg_cmd_addr, 31, 0) @[dma_ctrl.scala 200:104] - node _T_14 = bits(bus_cmd_addr, 31, 0) @[dma_ctrl.scala 200:124] - node fifo_addr_in = mux(_T_12, _T_13, _T_14) @[dma_ctrl.scala 200:33] - node _T_15 = bits(io.dbg_dma.dbg_ib.dbg_cmd_valid, 0, 0) @[dma_ctrl.scala 202:67] - node _T_16 = bits(io.dbg_dma.dbg_ib.dbg_cmd_addr, 2, 2) @[dma_ctrl.scala 202:123] - node _T_17 = mul(UInt<3>("h04"), _T_16) @[dma_ctrl.scala 202:91] - node _T_18 = dshl(UInt<4>("h0f"), _T_17) @[dma_ctrl.scala 202:83] - node _T_19 = bits(bus_cmd_byteen, 7, 0) @[dma_ctrl.scala 202:143] - node _T_20 = mux(_T_15, _T_18, _T_19) @[dma_ctrl.scala 202:34] - fifo_byteen_in <= _T_20 @[dma_ctrl.scala 202:28] - node _T_21 = bits(io.dbg_dma.dbg_ib.dbg_cmd_valid, 0, 0) @[dma_ctrl.scala 204:66] - node _T_22 = bits(io.dbg_cmd_size, 1, 0) @[dma_ctrl.scala 204:98] + node _T_12 = bits(io.dbg_dma.dbg_ib.dbg_cmd_valid, 0, 0) @[dma_ctrl.scala 193:66] + node _T_13 = bits(io.dbg_dma.dbg_ib.dbg_cmd_addr, 31, 0) @[dma_ctrl.scala 193:104] + node _T_14 = bits(bus_cmd_addr, 31, 0) @[dma_ctrl.scala 193:124] + node fifo_addr_in = mux(_T_12, _T_13, _T_14) @[dma_ctrl.scala 193:33] + node _T_15 = bits(io.dbg_dma.dbg_ib.dbg_cmd_valid, 0, 0) @[dma_ctrl.scala 195:67] + node _T_16 = bits(io.dbg_dma.dbg_ib.dbg_cmd_addr, 2, 2) @[dma_ctrl.scala 195:123] + node _T_17 = mul(UInt<3>("h04"), _T_16) @[dma_ctrl.scala 195:91] + node _T_18 = dshl(UInt<4>("h0f"), _T_17) @[dma_ctrl.scala 195:83] + node _T_19 = bits(bus_cmd_byteen, 7, 0) @[dma_ctrl.scala 195:143] + node _T_20 = mux(_T_15, _T_18, _T_19) @[dma_ctrl.scala 195:34] + fifo_byteen_in <= _T_20 @[dma_ctrl.scala 195:28] + node _T_21 = bits(io.dbg_dma.dbg_ib.dbg_cmd_valid, 0, 0) @[dma_ctrl.scala 197:66] + node _T_22 = bits(io.dbg_cmd_size, 1, 0) @[dma_ctrl.scala 197:98] node _T_23 = cat(UInt<1>("h00"), _T_22) @[Cat.scala 29:58] - node _T_24 = bits(bus_cmd_sz, 2, 0) @[dma_ctrl.scala 204:116] - node fifo_sz_in = mux(_T_21, _T_23, _T_24) @[dma_ctrl.scala 204:33] - node _T_25 = bits(io.dbg_dma.dbg_ib.dbg_cmd_valid, 0, 0) @[dma_ctrl.scala 206:66] - node fifo_write_in = mux(_T_25, io.dbg_dma.dbg_ib.dbg_cmd_write, bus_cmd_write) @[dma_ctrl.scala 206:33] - node _T_26 = eq(io.dbg_dma.dbg_ib.dbg_cmd_valid, UInt<1>("h00")) @[dma_ctrl.scala 208:30] - node fifo_posted_write_in = and(_T_26, bus_cmd_posted_write) @[dma_ctrl.scala 208:63] - node _T_27 = bits(axi_mstr_prty_en, 0, 0) @[dma_ctrl.scala 213:73] - node _T_28 = and(_T_27, io.dma_bus_clk_en) @[dma_ctrl.scala 213:80] - node _T_29 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 213:168] - node _T_30 = bits(_T_29, 0, 0) @[dma_ctrl.scala 213:172] - node _T_31 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_30) @[dma_ctrl.scala 213:136] - node _T_32 = or(_T_28, _T_31) @[dma_ctrl.scala 213:101] - node _T_33 = eq(UInt<1>("h00"), WrPtr) @[dma_ctrl.scala 213:188] - node _T_34 = and(_T_32, _T_33) @[dma_ctrl.scala 213:181] - node _T_35 = bits(axi_mstr_prty_en, 0, 0) @[dma_ctrl.scala 213:73] - node _T_36 = and(_T_35, io.dma_bus_clk_en) @[dma_ctrl.scala 213:80] - node _T_37 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 213:168] - node _T_38 = bits(_T_37, 0, 0) @[dma_ctrl.scala 213:172] - node _T_39 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_38) @[dma_ctrl.scala 213:136] - node _T_40 = or(_T_36, _T_39) @[dma_ctrl.scala 213:101] - node _T_41 = eq(UInt<1>("h01"), WrPtr) @[dma_ctrl.scala 213:188] - node _T_42 = and(_T_40, _T_41) @[dma_ctrl.scala 213:181] - node _T_43 = bits(axi_mstr_prty_en, 0, 0) @[dma_ctrl.scala 213:73] - node _T_44 = and(_T_43, io.dma_bus_clk_en) @[dma_ctrl.scala 213:80] - node _T_45 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 213:168] - node _T_46 = bits(_T_45, 0, 0) @[dma_ctrl.scala 213:172] - node _T_47 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_46) @[dma_ctrl.scala 213:136] - node _T_48 = or(_T_44, _T_47) @[dma_ctrl.scala 213:101] - node _T_49 = eq(UInt<2>("h02"), WrPtr) @[dma_ctrl.scala 213:188] - node _T_50 = and(_T_48, _T_49) @[dma_ctrl.scala 213:181] - node _T_51 = bits(axi_mstr_prty_en, 0, 0) @[dma_ctrl.scala 213:73] - node _T_52 = and(_T_51, io.dma_bus_clk_en) @[dma_ctrl.scala 213:80] - node _T_53 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 213:168] - node _T_54 = bits(_T_53, 0, 0) @[dma_ctrl.scala 213:172] - node _T_55 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_54) @[dma_ctrl.scala 213:136] - node _T_56 = or(_T_52, _T_55) @[dma_ctrl.scala 213:101] - node _T_57 = eq(UInt<2>("h03"), WrPtr) @[dma_ctrl.scala 213:188] - node _T_58 = and(_T_56, _T_57) @[dma_ctrl.scala 213:181] - node _T_59 = bits(axi_mstr_prty_en, 0, 0) @[dma_ctrl.scala 213:73] - node _T_60 = and(_T_59, io.dma_bus_clk_en) @[dma_ctrl.scala 213:80] - node _T_61 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 213:168] - node _T_62 = bits(_T_61, 0, 0) @[dma_ctrl.scala 213:172] - node _T_63 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_62) @[dma_ctrl.scala 213:136] - node _T_64 = or(_T_60, _T_63) @[dma_ctrl.scala 213:101] - node _T_65 = eq(UInt<3>("h04"), WrPtr) @[dma_ctrl.scala 213:188] - node _T_66 = and(_T_64, _T_65) @[dma_ctrl.scala 213:181] + node _T_24 = bits(bus_cmd_sz, 2, 0) @[dma_ctrl.scala 197:116] + node fifo_sz_in = mux(_T_21, _T_23, _T_24) @[dma_ctrl.scala 197:33] + node _T_25 = bits(io.dbg_dma.dbg_ib.dbg_cmd_valid, 0, 0) @[dma_ctrl.scala 199:66] + node fifo_write_in = mux(_T_25, io.dbg_dma.dbg_ib.dbg_cmd_write, bus_cmd_write) @[dma_ctrl.scala 199:33] + node _T_26 = eq(io.dbg_dma.dbg_ib.dbg_cmd_valid, UInt<1>("h00")) @[dma_ctrl.scala 201:30] + node fifo_posted_write_in = and(_T_26, bus_cmd_posted_write) @[dma_ctrl.scala 201:63] + node _T_27 = bits(axi_mstr_prty_en, 0, 0) @[dma_ctrl.scala 206:73] + node _T_28 = and(_T_27, io.dma_bus_clk_en) @[dma_ctrl.scala 206:80] + node _T_29 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 206:168] + node _T_30 = bits(_T_29, 0, 0) @[dma_ctrl.scala 206:172] + node _T_31 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_30) @[dma_ctrl.scala 206:136] + node _T_32 = or(_T_28, _T_31) @[dma_ctrl.scala 206:101] + node _T_33 = eq(UInt<1>("h00"), WrPtr) @[dma_ctrl.scala 206:188] + node _T_34 = and(_T_32, _T_33) @[dma_ctrl.scala 206:181] + node _T_35 = bits(axi_mstr_prty_en, 0, 0) @[dma_ctrl.scala 206:73] + node _T_36 = and(_T_35, io.dma_bus_clk_en) @[dma_ctrl.scala 206:80] + node _T_37 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 206:168] + node _T_38 = bits(_T_37, 0, 0) @[dma_ctrl.scala 206:172] + node _T_39 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_38) @[dma_ctrl.scala 206:136] + node _T_40 = or(_T_36, _T_39) @[dma_ctrl.scala 206:101] + node _T_41 = eq(UInt<1>("h01"), WrPtr) @[dma_ctrl.scala 206:188] + node _T_42 = and(_T_40, _T_41) @[dma_ctrl.scala 206:181] + node _T_43 = bits(axi_mstr_prty_en, 0, 0) @[dma_ctrl.scala 206:73] + node _T_44 = and(_T_43, io.dma_bus_clk_en) @[dma_ctrl.scala 206:80] + node _T_45 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 206:168] + node _T_46 = bits(_T_45, 0, 0) @[dma_ctrl.scala 206:172] + node _T_47 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_46) @[dma_ctrl.scala 206:136] + node _T_48 = or(_T_44, _T_47) @[dma_ctrl.scala 206:101] + node _T_49 = eq(UInt<2>("h02"), WrPtr) @[dma_ctrl.scala 206:188] + node _T_50 = and(_T_48, _T_49) @[dma_ctrl.scala 206:181] + node _T_51 = bits(axi_mstr_prty_en, 0, 0) @[dma_ctrl.scala 206:73] + node _T_52 = and(_T_51, io.dma_bus_clk_en) @[dma_ctrl.scala 206:80] + node _T_53 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 206:168] + node _T_54 = bits(_T_53, 0, 0) @[dma_ctrl.scala 206:172] + node _T_55 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_54) @[dma_ctrl.scala 206:136] + node _T_56 = or(_T_52, _T_55) @[dma_ctrl.scala 206:101] + node _T_57 = eq(UInt<2>("h03"), WrPtr) @[dma_ctrl.scala 206:188] + node _T_58 = and(_T_56, _T_57) @[dma_ctrl.scala 206:181] + node _T_59 = bits(axi_mstr_prty_en, 0, 0) @[dma_ctrl.scala 206:73] + node _T_60 = and(_T_59, io.dma_bus_clk_en) @[dma_ctrl.scala 206:80] + node _T_61 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 206:168] + node _T_62 = bits(_T_61, 0, 0) @[dma_ctrl.scala 206:172] + node _T_63 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_62) @[dma_ctrl.scala 206:136] + node _T_64 = or(_T_60, _T_63) @[dma_ctrl.scala 206:101] + node _T_65 = eq(UInt<3>("h04"), WrPtr) @[dma_ctrl.scala 206:188] + node _T_66 = and(_T_64, _T_65) @[dma_ctrl.scala 206:181] node _T_67 = cat(_T_66, _T_58) @[Cat.scala 29:58] node _T_68 = cat(_T_67, _T_50) @[Cat.scala 29:58] node _T_69 = cat(_T_68, _T_42) @[Cat.scala 29:58] node _T_70 = cat(_T_69, _T_34) @[Cat.scala 29:58] - fifo_cmd_en <= _T_70 @[dma_ctrl.scala 213:21] - node _T_71 = and(axi_mstr_prty_en, fifo_write_in) @[dma_ctrl.scala 215:73] - node _T_72 = and(_T_71, io.dma_bus_clk_en) @[dma_ctrl.scala 215:89] - node _T_73 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 215:177] - node _T_74 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_73) @[dma_ctrl.scala 215:145] - node _T_75 = and(_T_74, io.dbg_dma.dbg_ib.dbg_cmd_write) @[dma_ctrl.scala 215:181] - node _T_76 = or(_T_72, _T_75) @[dma_ctrl.scala 215:110] - node _T_77 = eq(UInt<1>("h00"), WrPtr) @[dma_ctrl.scala 215:224] - node _T_78 = and(_T_76, _T_77) @[dma_ctrl.scala 215:217] - node _T_79 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 215:258] - node _T_80 = eq(UInt<1>("h00"), RdPtr) @[dma_ctrl.scala 215:288] - node _T_81 = and(_T_79, _T_80) @[dma_ctrl.scala 215:281] - node _T_82 = or(_T_78, _T_81) @[dma_ctrl.scala 215:236] - node _T_83 = eq(UInt<1>("h00"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 215:350] - node _T_84 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_83) @[dma_ctrl.scala 215:343] - node _T_85 = or(_T_82, _T_84) @[dma_ctrl.scala 215:300] - node _T_86 = eq(UInt<1>("h00"), io.iccm_dma_rtag) @[dma_ctrl.scala 215:423] - node _T_87 = and(io.iccm_dma_rvalid, _T_86) @[dma_ctrl.scala 215:416] - node _T_88 = or(_T_85, _T_87) @[dma_ctrl.scala 215:394] - node _T_89 = and(axi_mstr_prty_en, fifo_write_in) @[dma_ctrl.scala 215:73] - node _T_90 = and(_T_89, io.dma_bus_clk_en) @[dma_ctrl.scala 215:89] - node _T_91 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 215:177] - node _T_92 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_91) @[dma_ctrl.scala 215:145] - node _T_93 = and(_T_92, io.dbg_dma.dbg_ib.dbg_cmd_write) @[dma_ctrl.scala 215:181] - node _T_94 = or(_T_90, _T_93) @[dma_ctrl.scala 215:110] - node _T_95 = eq(UInt<1>("h01"), WrPtr) @[dma_ctrl.scala 215:224] - node _T_96 = and(_T_94, _T_95) @[dma_ctrl.scala 215:217] - node _T_97 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 215:258] - node _T_98 = eq(UInt<1>("h01"), RdPtr) @[dma_ctrl.scala 215:288] - node _T_99 = and(_T_97, _T_98) @[dma_ctrl.scala 215:281] - node _T_100 = or(_T_96, _T_99) @[dma_ctrl.scala 215:236] - node _T_101 = eq(UInt<1>("h01"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 215:350] - node _T_102 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_101) @[dma_ctrl.scala 215:343] - node _T_103 = or(_T_100, _T_102) @[dma_ctrl.scala 215:300] - node _T_104 = eq(UInt<1>("h01"), io.iccm_dma_rtag) @[dma_ctrl.scala 215:423] - node _T_105 = and(io.iccm_dma_rvalid, _T_104) @[dma_ctrl.scala 215:416] - node _T_106 = or(_T_103, _T_105) @[dma_ctrl.scala 215:394] - node _T_107 = and(axi_mstr_prty_en, fifo_write_in) @[dma_ctrl.scala 215:73] - node _T_108 = and(_T_107, io.dma_bus_clk_en) @[dma_ctrl.scala 215:89] - node _T_109 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 215:177] - node _T_110 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_109) @[dma_ctrl.scala 215:145] - node _T_111 = and(_T_110, io.dbg_dma.dbg_ib.dbg_cmd_write) @[dma_ctrl.scala 215:181] - node _T_112 = or(_T_108, _T_111) @[dma_ctrl.scala 215:110] - node _T_113 = eq(UInt<2>("h02"), WrPtr) @[dma_ctrl.scala 215:224] - node _T_114 = and(_T_112, _T_113) @[dma_ctrl.scala 215:217] - node _T_115 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 215:258] - node _T_116 = eq(UInt<2>("h02"), RdPtr) @[dma_ctrl.scala 215:288] - node _T_117 = and(_T_115, _T_116) @[dma_ctrl.scala 215:281] - node _T_118 = or(_T_114, _T_117) @[dma_ctrl.scala 215:236] - node _T_119 = eq(UInt<2>("h02"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 215:350] - node _T_120 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_119) @[dma_ctrl.scala 215:343] - node _T_121 = or(_T_118, _T_120) @[dma_ctrl.scala 215:300] - node _T_122 = eq(UInt<2>("h02"), io.iccm_dma_rtag) @[dma_ctrl.scala 215:423] - node _T_123 = and(io.iccm_dma_rvalid, _T_122) @[dma_ctrl.scala 215:416] - node _T_124 = or(_T_121, _T_123) @[dma_ctrl.scala 215:394] - node _T_125 = and(axi_mstr_prty_en, fifo_write_in) @[dma_ctrl.scala 215:73] - node _T_126 = and(_T_125, io.dma_bus_clk_en) @[dma_ctrl.scala 215:89] - node _T_127 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 215:177] - node _T_128 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_127) @[dma_ctrl.scala 215:145] - node _T_129 = and(_T_128, io.dbg_dma.dbg_ib.dbg_cmd_write) @[dma_ctrl.scala 215:181] - node _T_130 = or(_T_126, _T_129) @[dma_ctrl.scala 215:110] - node _T_131 = eq(UInt<2>("h03"), WrPtr) @[dma_ctrl.scala 215:224] - node _T_132 = and(_T_130, _T_131) @[dma_ctrl.scala 215:217] - node _T_133 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 215:258] - node _T_134 = eq(UInt<2>("h03"), RdPtr) @[dma_ctrl.scala 215:288] - node _T_135 = and(_T_133, _T_134) @[dma_ctrl.scala 215:281] - node _T_136 = or(_T_132, _T_135) @[dma_ctrl.scala 215:236] - node _T_137 = eq(UInt<2>("h03"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 215:350] - node _T_138 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_137) @[dma_ctrl.scala 215:343] - node _T_139 = or(_T_136, _T_138) @[dma_ctrl.scala 215:300] - node _T_140 = eq(UInt<2>("h03"), io.iccm_dma_rtag) @[dma_ctrl.scala 215:423] - node _T_141 = and(io.iccm_dma_rvalid, _T_140) @[dma_ctrl.scala 215:416] - node _T_142 = or(_T_139, _T_141) @[dma_ctrl.scala 215:394] - node _T_143 = and(axi_mstr_prty_en, fifo_write_in) @[dma_ctrl.scala 215:73] - node _T_144 = and(_T_143, io.dma_bus_clk_en) @[dma_ctrl.scala 215:89] - node _T_145 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 215:177] - node _T_146 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_145) @[dma_ctrl.scala 215:145] - node _T_147 = and(_T_146, io.dbg_dma.dbg_ib.dbg_cmd_write) @[dma_ctrl.scala 215:181] - node _T_148 = or(_T_144, _T_147) @[dma_ctrl.scala 215:110] - node _T_149 = eq(UInt<3>("h04"), WrPtr) @[dma_ctrl.scala 215:224] - node _T_150 = and(_T_148, _T_149) @[dma_ctrl.scala 215:217] - node _T_151 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 215:258] - node _T_152 = eq(UInt<3>("h04"), RdPtr) @[dma_ctrl.scala 215:288] - node _T_153 = and(_T_151, _T_152) @[dma_ctrl.scala 215:281] - node _T_154 = or(_T_150, _T_153) @[dma_ctrl.scala 215:236] - node _T_155 = eq(UInt<3>("h04"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 215:350] - node _T_156 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_155) @[dma_ctrl.scala 215:343] - node _T_157 = or(_T_154, _T_156) @[dma_ctrl.scala 215:300] - node _T_158 = eq(UInt<3>("h04"), io.iccm_dma_rtag) @[dma_ctrl.scala 215:423] - node _T_159 = and(io.iccm_dma_rvalid, _T_158) @[dma_ctrl.scala 215:416] - node _T_160 = or(_T_157, _T_159) @[dma_ctrl.scala 215:394] + fifo_cmd_en <= _T_70 @[dma_ctrl.scala 206:21] + node _T_71 = and(axi_mstr_prty_en, fifo_write_in) @[dma_ctrl.scala 208:73] + node _T_72 = and(_T_71, io.dma_bus_clk_en) @[dma_ctrl.scala 208:89] + node _T_73 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 208:177] + node _T_74 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_73) @[dma_ctrl.scala 208:145] + node _T_75 = and(_T_74, io.dbg_dma.dbg_ib.dbg_cmd_write) @[dma_ctrl.scala 208:181] + node _T_76 = or(_T_72, _T_75) @[dma_ctrl.scala 208:110] + node _T_77 = eq(UInt<1>("h00"), WrPtr) @[dma_ctrl.scala 208:224] + node _T_78 = and(_T_76, _T_77) @[dma_ctrl.scala 208:217] + node _T_79 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 208:258] + node _T_80 = eq(UInt<1>("h00"), RdPtr) @[dma_ctrl.scala 208:288] + node _T_81 = and(_T_79, _T_80) @[dma_ctrl.scala 208:281] + node _T_82 = or(_T_78, _T_81) @[dma_ctrl.scala 208:236] + node _T_83 = eq(UInt<1>("h00"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 208:350] + node _T_84 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_83) @[dma_ctrl.scala 208:343] + node _T_85 = or(_T_82, _T_84) @[dma_ctrl.scala 208:300] + node _T_86 = eq(UInt<1>("h00"), io.iccm_dma_rtag) @[dma_ctrl.scala 208:423] + node _T_87 = and(io.iccm_dma_rvalid, _T_86) @[dma_ctrl.scala 208:416] + node _T_88 = or(_T_85, _T_87) @[dma_ctrl.scala 208:394] + node _T_89 = and(axi_mstr_prty_en, fifo_write_in) @[dma_ctrl.scala 208:73] + node _T_90 = and(_T_89, io.dma_bus_clk_en) @[dma_ctrl.scala 208:89] + node _T_91 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 208:177] + node _T_92 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_91) @[dma_ctrl.scala 208:145] + node _T_93 = and(_T_92, io.dbg_dma.dbg_ib.dbg_cmd_write) @[dma_ctrl.scala 208:181] + node _T_94 = or(_T_90, _T_93) @[dma_ctrl.scala 208:110] + node _T_95 = eq(UInt<1>("h01"), WrPtr) @[dma_ctrl.scala 208:224] + node _T_96 = and(_T_94, _T_95) @[dma_ctrl.scala 208:217] + node _T_97 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 208:258] + node _T_98 = eq(UInt<1>("h01"), RdPtr) @[dma_ctrl.scala 208:288] + node _T_99 = and(_T_97, _T_98) @[dma_ctrl.scala 208:281] + node _T_100 = or(_T_96, _T_99) @[dma_ctrl.scala 208:236] + node _T_101 = eq(UInt<1>("h01"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 208:350] + node _T_102 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_101) @[dma_ctrl.scala 208:343] + node _T_103 = or(_T_100, _T_102) @[dma_ctrl.scala 208:300] + node _T_104 = eq(UInt<1>("h01"), io.iccm_dma_rtag) @[dma_ctrl.scala 208:423] + node _T_105 = and(io.iccm_dma_rvalid, _T_104) @[dma_ctrl.scala 208:416] + node _T_106 = or(_T_103, _T_105) @[dma_ctrl.scala 208:394] + node _T_107 = and(axi_mstr_prty_en, fifo_write_in) @[dma_ctrl.scala 208:73] + node _T_108 = and(_T_107, io.dma_bus_clk_en) @[dma_ctrl.scala 208:89] + node _T_109 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 208:177] + node _T_110 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_109) @[dma_ctrl.scala 208:145] + node _T_111 = and(_T_110, io.dbg_dma.dbg_ib.dbg_cmd_write) @[dma_ctrl.scala 208:181] + node _T_112 = or(_T_108, _T_111) @[dma_ctrl.scala 208:110] + node _T_113 = eq(UInt<2>("h02"), WrPtr) @[dma_ctrl.scala 208:224] + node _T_114 = and(_T_112, _T_113) @[dma_ctrl.scala 208:217] + node _T_115 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 208:258] + node _T_116 = eq(UInt<2>("h02"), RdPtr) @[dma_ctrl.scala 208:288] + node _T_117 = and(_T_115, _T_116) @[dma_ctrl.scala 208:281] + node _T_118 = or(_T_114, _T_117) @[dma_ctrl.scala 208:236] + node _T_119 = eq(UInt<2>("h02"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 208:350] + node _T_120 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_119) @[dma_ctrl.scala 208:343] + node _T_121 = or(_T_118, _T_120) @[dma_ctrl.scala 208:300] + node _T_122 = eq(UInt<2>("h02"), io.iccm_dma_rtag) @[dma_ctrl.scala 208:423] + node _T_123 = and(io.iccm_dma_rvalid, _T_122) @[dma_ctrl.scala 208:416] + node _T_124 = or(_T_121, _T_123) @[dma_ctrl.scala 208:394] + node _T_125 = and(axi_mstr_prty_en, fifo_write_in) @[dma_ctrl.scala 208:73] + node _T_126 = and(_T_125, io.dma_bus_clk_en) @[dma_ctrl.scala 208:89] + node _T_127 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 208:177] + node _T_128 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_127) @[dma_ctrl.scala 208:145] + node _T_129 = and(_T_128, io.dbg_dma.dbg_ib.dbg_cmd_write) @[dma_ctrl.scala 208:181] + node _T_130 = or(_T_126, _T_129) @[dma_ctrl.scala 208:110] + node _T_131 = eq(UInt<2>("h03"), WrPtr) @[dma_ctrl.scala 208:224] + node _T_132 = and(_T_130, _T_131) @[dma_ctrl.scala 208:217] + node _T_133 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 208:258] + node _T_134 = eq(UInt<2>("h03"), RdPtr) @[dma_ctrl.scala 208:288] + node _T_135 = and(_T_133, _T_134) @[dma_ctrl.scala 208:281] + node _T_136 = or(_T_132, _T_135) @[dma_ctrl.scala 208:236] + node _T_137 = eq(UInt<2>("h03"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 208:350] + node _T_138 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_137) @[dma_ctrl.scala 208:343] + node _T_139 = or(_T_136, _T_138) @[dma_ctrl.scala 208:300] + node _T_140 = eq(UInt<2>("h03"), io.iccm_dma_rtag) @[dma_ctrl.scala 208:423] + node _T_141 = and(io.iccm_dma_rvalid, _T_140) @[dma_ctrl.scala 208:416] + node _T_142 = or(_T_139, _T_141) @[dma_ctrl.scala 208:394] + node _T_143 = and(axi_mstr_prty_en, fifo_write_in) @[dma_ctrl.scala 208:73] + node _T_144 = and(_T_143, io.dma_bus_clk_en) @[dma_ctrl.scala 208:89] + node _T_145 = bits(io.dbg_dma.dbg_ib.dbg_cmd_type, 1, 1) @[dma_ctrl.scala 208:177] + node _T_146 = and(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_145) @[dma_ctrl.scala 208:145] + node _T_147 = and(_T_146, io.dbg_dma.dbg_ib.dbg_cmd_write) @[dma_ctrl.scala 208:181] + node _T_148 = or(_T_144, _T_147) @[dma_ctrl.scala 208:110] + node _T_149 = eq(UInt<3>("h04"), WrPtr) @[dma_ctrl.scala 208:224] + node _T_150 = and(_T_148, _T_149) @[dma_ctrl.scala 208:217] + node _T_151 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 208:258] + node _T_152 = eq(UInt<3>("h04"), RdPtr) @[dma_ctrl.scala 208:288] + node _T_153 = and(_T_151, _T_152) @[dma_ctrl.scala 208:281] + node _T_154 = or(_T_150, _T_153) @[dma_ctrl.scala 208:236] + node _T_155 = eq(UInt<3>("h04"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 208:350] + node _T_156 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_155) @[dma_ctrl.scala 208:343] + node _T_157 = or(_T_154, _T_156) @[dma_ctrl.scala 208:300] + node _T_158 = eq(UInt<3>("h04"), io.iccm_dma_rtag) @[dma_ctrl.scala 208:423] + node _T_159 = and(io.iccm_dma_rvalid, _T_158) @[dma_ctrl.scala 208:416] + node _T_160 = or(_T_157, _T_159) @[dma_ctrl.scala 208:394] node _T_161 = cat(_T_160, _T_142) @[Cat.scala 29:58] node _T_162 = cat(_T_161, _T_124) @[Cat.scala 29:58] node _T_163 = cat(_T_162, _T_106) @[Cat.scala 29:58] node _T_164 = cat(_T_163, _T_88) @[Cat.scala 29:58] - fifo_data_en <= _T_164 @[dma_ctrl.scala 215:21] - node _T_165 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 217:95] - node _T_166 = eq(io.lsu_dma.dma_lsc_ctl.dma_mem_write, UInt<1>("h00")) @[dma_ctrl.scala 217:136] - node _T_167 = and(_T_165, _T_166) @[dma_ctrl.scala 217:134] - node _T_168 = eq(UInt<1>("h00"), RdPtr) @[dma_ctrl.scala 217:181] - node _T_169 = and(_T_167, _T_168) @[dma_ctrl.scala 217:174] - node _T_170 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 217:95] - node _T_171 = eq(io.lsu_dma.dma_lsc_ctl.dma_mem_write, UInt<1>("h00")) @[dma_ctrl.scala 217:136] - node _T_172 = and(_T_170, _T_171) @[dma_ctrl.scala 217:134] - node _T_173 = eq(UInt<1>("h01"), RdPtr) @[dma_ctrl.scala 217:181] - node _T_174 = and(_T_172, _T_173) @[dma_ctrl.scala 217:174] - node _T_175 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 217:95] - node _T_176 = eq(io.lsu_dma.dma_lsc_ctl.dma_mem_write, UInt<1>("h00")) @[dma_ctrl.scala 217:136] - node _T_177 = and(_T_175, _T_176) @[dma_ctrl.scala 217:134] - node _T_178 = eq(UInt<2>("h02"), RdPtr) @[dma_ctrl.scala 217:181] - node _T_179 = and(_T_177, _T_178) @[dma_ctrl.scala 217:174] - node _T_180 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 217:95] - node _T_181 = eq(io.lsu_dma.dma_lsc_ctl.dma_mem_write, UInt<1>("h00")) @[dma_ctrl.scala 217:136] - node _T_182 = and(_T_180, _T_181) @[dma_ctrl.scala 217:134] - node _T_183 = eq(UInt<2>("h03"), RdPtr) @[dma_ctrl.scala 217:181] - node _T_184 = and(_T_182, _T_183) @[dma_ctrl.scala 217:174] - node _T_185 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 217:95] - node _T_186 = eq(io.lsu_dma.dma_lsc_ctl.dma_mem_write, UInt<1>("h00")) @[dma_ctrl.scala 217:136] - node _T_187 = and(_T_185, _T_186) @[dma_ctrl.scala 217:134] - node _T_188 = eq(UInt<3>("h04"), RdPtr) @[dma_ctrl.scala 217:181] - node _T_189 = and(_T_187, _T_188) @[dma_ctrl.scala 217:174] + fifo_data_en <= _T_164 @[dma_ctrl.scala 208:21] + node _T_165 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 210:95] + node _T_166 = eq(io.lsu_dma.dma_lsc_ctl.dma_mem_write, UInt<1>("h00")) @[dma_ctrl.scala 210:136] + node _T_167 = and(_T_165, _T_166) @[dma_ctrl.scala 210:134] + node _T_168 = eq(UInt<1>("h00"), RdPtr) @[dma_ctrl.scala 210:181] + node _T_169 = and(_T_167, _T_168) @[dma_ctrl.scala 210:174] + node _T_170 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 210:95] + node _T_171 = eq(io.lsu_dma.dma_lsc_ctl.dma_mem_write, UInt<1>("h00")) @[dma_ctrl.scala 210:136] + node _T_172 = and(_T_170, _T_171) @[dma_ctrl.scala 210:134] + node _T_173 = eq(UInt<1>("h01"), RdPtr) @[dma_ctrl.scala 210:181] + node _T_174 = and(_T_172, _T_173) @[dma_ctrl.scala 210:174] + node _T_175 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 210:95] + node _T_176 = eq(io.lsu_dma.dma_lsc_ctl.dma_mem_write, UInt<1>("h00")) @[dma_ctrl.scala 210:136] + node _T_177 = and(_T_175, _T_176) @[dma_ctrl.scala 210:134] + node _T_178 = eq(UInt<2>("h02"), RdPtr) @[dma_ctrl.scala 210:181] + node _T_179 = and(_T_177, _T_178) @[dma_ctrl.scala 210:174] + node _T_180 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 210:95] + node _T_181 = eq(io.lsu_dma.dma_lsc_ctl.dma_mem_write, UInt<1>("h00")) @[dma_ctrl.scala 210:136] + node _T_182 = and(_T_180, _T_181) @[dma_ctrl.scala 210:134] + node _T_183 = eq(UInt<2>("h03"), RdPtr) @[dma_ctrl.scala 210:181] + node _T_184 = and(_T_182, _T_183) @[dma_ctrl.scala 210:174] + node _T_185 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 210:95] + node _T_186 = eq(io.lsu_dma.dma_lsc_ctl.dma_mem_write, UInt<1>("h00")) @[dma_ctrl.scala 210:136] + node _T_187 = and(_T_185, _T_186) @[dma_ctrl.scala 210:134] + node _T_188 = eq(UInt<3>("h04"), RdPtr) @[dma_ctrl.scala 210:181] + node _T_189 = and(_T_187, _T_188) @[dma_ctrl.scala 210:174] node _T_190 = cat(_T_189, _T_184) @[Cat.scala 29:58] node _T_191 = cat(_T_190, _T_179) @[Cat.scala 29:58] node _T_192 = cat(_T_191, _T_174) @[Cat.scala 29:58] node _T_193 = cat(_T_192, _T_169) @[Cat.scala 29:58] - fifo_pend_en <= _T_193 @[dma_ctrl.scala 217:21] - node _T_194 = bits(dma_address_error, 0, 0) @[dma_ctrl.scala 219:78] - node _T_195 = bits(dma_alignment_error, 0, 0) @[dma_ctrl.scala 219:107] - node _T_196 = or(_T_194, _T_195) @[dma_ctrl.scala 219:85] - node _T_197 = or(_T_196, dma_dbg_cmd_error) @[dma_ctrl.scala 219:114] - node _T_198 = eq(UInt<1>("h00"), RdPtr) @[dma_ctrl.scala 219:142] - node _T_199 = and(_T_197, _T_198) @[dma_ctrl.scala 219:135] - node _T_200 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error) @[dma_ctrl.scala 219:198] - node _T_201 = eq(UInt<1>("h00"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 219:251] - node _T_202 = and(_T_200, _T_201) @[dma_ctrl.scala 219:244] - node _T_203 = or(_T_199, _T_202) @[dma_ctrl.scala 219:154] - node _T_204 = and(io.iccm_dma_rvalid, io.iccm_dma_ecc_error) @[dma_ctrl.scala 219:318] - node _T_205 = eq(UInt<1>("h00"), io.iccm_dma_rtag) @[dma_ctrl.scala 219:350] - node _T_206 = and(_T_204, _T_205) @[dma_ctrl.scala 219:343] - node _T_207 = or(_T_203, _T_206) @[dma_ctrl.scala 219:295] - node _T_208 = bits(dma_address_error, 0, 0) @[dma_ctrl.scala 219:78] - node _T_209 = bits(dma_alignment_error, 0, 0) @[dma_ctrl.scala 219:107] - node _T_210 = or(_T_208, _T_209) @[dma_ctrl.scala 219:85] - node _T_211 = or(_T_210, dma_dbg_cmd_error) @[dma_ctrl.scala 219:114] - node _T_212 = eq(UInt<1>("h01"), RdPtr) @[dma_ctrl.scala 219:142] - node _T_213 = and(_T_211, _T_212) @[dma_ctrl.scala 219:135] - node _T_214 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error) @[dma_ctrl.scala 219:198] - node _T_215 = eq(UInt<1>("h01"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 219:251] - node _T_216 = and(_T_214, _T_215) @[dma_ctrl.scala 219:244] - node _T_217 = or(_T_213, _T_216) @[dma_ctrl.scala 219:154] - node _T_218 = and(io.iccm_dma_rvalid, io.iccm_dma_ecc_error) @[dma_ctrl.scala 219:318] - node _T_219 = eq(UInt<1>("h01"), io.iccm_dma_rtag) @[dma_ctrl.scala 219:350] - node _T_220 = and(_T_218, _T_219) @[dma_ctrl.scala 219:343] - node _T_221 = or(_T_217, _T_220) @[dma_ctrl.scala 219:295] - node _T_222 = bits(dma_address_error, 0, 0) @[dma_ctrl.scala 219:78] - node _T_223 = bits(dma_alignment_error, 0, 0) @[dma_ctrl.scala 219:107] - node _T_224 = or(_T_222, _T_223) @[dma_ctrl.scala 219:85] - node _T_225 = or(_T_224, dma_dbg_cmd_error) @[dma_ctrl.scala 219:114] - node _T_226 = eq(UInt<2>("h02"), RdPtr) @[dma_ctrl.scala 219:142] - node _T_227 = and(_T_225, _T_226) @[dma_ctrl.scala 219:135] - node _T_228 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error) @[dma_ctrl.scala 219:198] - node _T_229 = eq(UInt<2>("h02"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 219:251] - node _T_230 = and(_T_228, _T_229) @[dma_ctrl.scala 219:244] - node _T_231 = or(_T_227, _T_230) @[dma_ctrl.scala 219:154] - node _T_232 = and(io.iccm_dma_rvalid, io.iccm_dma_ecc_error) @[dma_ctrl.scala 219:318] - node _T_233 = eq(UInt<2>("h02"), io.iccm_dma_rtag) @[dma_ctrl.scala 219:350] - node _T_234 = and(_T_232, _T_233) @[dma_ctrl.scala 219:343] - node _T_235 = or(_T_231, _T_234) @[dma_ctrl.scala 219:295] - node _T_236 = bits(dma_address_error, 0, 0) @[dma_ctrl.scala 219:78] - node _T_237 = bits(dma_alignment_error, 0, 0) @[dma_ctrl.scala 219:107] - node _T_238 = or(_T_236, _T_237) @[dma_ctrl.scala 219:85] - node _T_239 = or(_T_238, dma_dbg_cmd_error) @[dma_ctrl.scala 219:114] - node _T_240 = eq(UInt<2>("h03"), RdPtr) @[dma_ctrl.scala 219:142] - node _T_241 = and(_T_239, _T_240) @[dma_ctrl.scala 219:135] - node _T_242 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error) @[dma_ctrl.scala 219:198] - node _T_243 = eq(UInt<2>("h03"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 219:251] - node _T_244 = and(_T_242, _T_243) @[dma_ctrl.scala 219:244] - node _T_245 = or(_T_241, _T_244) @[dma_ctrl.scala 219:154] - node _T_246 = and(io.iccm_dma_rvalid, io.iccm_dma_ecc_error) @[dma_ctrl.scala 219:318] - node _T_247 = eq(UInt<2>("h03"), io.iccm_dma_rtag) @[dma_ctrl.scala 219:350] - node _T_248 = and(_T_246, _T_247) @[dma_ctrl.scala 219:343] - node _T_249 = or(_T_245, _T_248) @[dma_ctrl.scala 219:295] - node _T_250 = bits(dma_address_error, 0, 0) @[dma_ctrl.scala 219:78] - node _T_251 = bits(dma_alignment_error, 0, 0) @[dma_ctrl.scala 219:107] - node _T_252 = or(_T_250, _T_251) @[dma_ctrl.scala 219:85] - node _T_253 = or(_T_252, dma_dbg_cmd_error) @[dma_ctrl.scala 219:114] - node _T_254 = eq(UInt<3>("h04"), RdPtr) @[dma_ctrl.scala 219:142] - node _T_255 = and(_T_253, _T_254) @[dma_ctrl.scala 219:135] - node _T_256 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error) @[dma_ctrl.scala 219:198] - node _T_257 = eq(UInt<3>("h04"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 219:251] - node _T_258 = and(_T_256, _T_257) @[dma_ctrl.scala 219:244] - node _T_259 = or(_T_255, _T_258) @[dma_ctrl.scala 219:154] - node _T_260 = and(io.iccm_dma_rvalid, io.iccm_dma_ecc_error) @[dma_ctrl.scala 219:318] - node _T_261 = eq(UInt<3>("h04"), io.iccm_dma_rtag) @[dma_ctrl.scala 219:350] - node _T_262 = and(_T_260, _T_261) @[dma_ctrl.scala 219:343] - node _T_263 = or(_T_259, _T_262) @[dma_ctrl.scala 219:295] + fifo_pend_en <= _T_193 @[dma_ctrl.scala 210:21] + node _T_194 = bits(dma_address_error, 0, 0) @[dma_ctrl.scala 212:78] + node _T_195 = bits(dma_alignment_error, 0, 0) @[dma_ctrl.scala 212:107] + node _T_196 = or(_T_194, _T_195) @[dma_ctrl.scala 212:85] + node _T_197 = or(_T_196, dma_dbg_cmd_error) @[dma_ctrl.scala 212:114] + node _T_198 = eq(UInt<1>("h00"), RdPtr) @[dma_ctrl.scala 212:142] + node _T_199 = and(_T_197, _T_198) @[dma_ctrl.scala 212:135] + node _T_200 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error) @[dma_ctrl.scala 212:198] + node _T_201 = eq(UInt<1>("h00"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 212:251] + node _T_202 = and(_T_200, _T_201) @[dma_ctrl.scala 212:244] + node _T_203 = or(_T_199, _T_202) @[dma_ctrl.scala 212:154] + node _T_204 = and(io.iccm_dma_rvalid, io.iccm_dma_ecc_error) @[dma_ctrl.scala 212:318] + node _T_205 = eq(UInt<1>("h00"), io.iccm_dma_rtag) @[dma_ctrl.scala 212:350] + node _T_206 = and(_T_204, _T_205) @[dma_ctrl.scala 212:343] + node _T_207 = or(_T_203, _T_206) @[dma_ctrl.scala 212:295] + node _T_208 = bits(dma_address_error, 0, 0) @[dma_ctrl.scala 212:78] + node _T_209 = bits(dma_alignment_error, 0, 0) @[dma_ctrl.scala 212:107] + node _T_210 = or(_T_208, _T_209) @[dma_ctrl.scala 212:85] + node _T_211 = or(_T_210, dma_dbg_cmd_error) @[dma_ctrl.scala 212:114] + node _T_212 = eq(UInt<1>("h01"), RdPtr) @[dma_ctrl.scala 212:142] + node _T_213 = and(_T_211, _T_212) @[dma_ctrl.scala 212:135] + node _T_214 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error) @[dma_ctrl.scala 212:198] + node _T_215 = eq(UInt<1>("h01"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 212:251] + node _T_216 = and(_T_214, _T_215) @[dma_ctrl.scala 212:244] + node _T_217 = or(_T_213, _T_216) @[dma_ctrl.scala 212:154] + node _T_218 = and(io.iccm_dma_rvalid, io.iccm_dma_ecc_error) @[dma_ctrl.scala 212:318] + node _T_219 = eq(UInt<1>("h01"), io.iccm_dma_rtag) @[dma_ctrl.scala 212:350] + node _T_220 = and(_T_218, _T_219) @[dma_ctrl.scala 212:343] + node _T_221 = or(_T_217, _T_220) @[dma_ctrl.scala 212:295] + node _T_222 = bits(dma_address_error, 0, 0) @[dma_ctrl.scala 212:78] + node _T_223 = bits(dma_alignment_error, 0, 0) @[dma_ctrl.scala 212:107] + node _T_224 = or(_T_222, _T_223) @[dma_ctrl.scala 212:85] + node _T_225 = or(_T_224, dma_dbg_cmd_error) @[dma_ctrl.scala 212:114] + node _T_226 = eq(UInt<2>("h02"), RdPtr) @[dma_ctrl.scala 212:142] + node _T_227 = and(_T_225, _T_226) @[dma_ctrl.scala 212:135] + node _T_228 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error) @[dma_ctrl.scala 212:198] + node _T_229 = eq(UInt<2>("h02"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 212:251] + node _T_230 = and(_T_228, _T_229) @[dma_ctrl.scala 212:244] + node _T_231 = or(_T_227, _T_230) @[dma_ctrl.scala 212:154] + node _T_232 = and(io.iccm_dma_rvalid, io.iccm_dma_ecc_error) @[dma_ctrl.scala 212:318] + node _T_233 = eq(UInt<2>("h02"), io.iccm_dma_rtag) @[dma_ctrl.scala 212:350] + node _T_234 = and(_T_232, _T_233) @[dma_ctrl.scala 212:343] + node _T_235 = or(_T_231, _T_234) @[dma_ctrl.scala 212:295] + node _T_236 = bits(dma_address_error, 0, 0) @[dma_ctrl.scala 212:78] + node _T_237 = bits(dma_alignment_error, 0, 0) @[dma_ctrl.scala 212:107] + node _T_238 = or(_T_236, _T_237) @[dma_ctrl.scala 212:85] + node _T_239 = or(_T_238, dma_dbg_cmd_error) @[dma_ctrl.scala 212:114] + node _T_240 = eq(UInt<2>("h03"), RdPtr) @[dma_ctrl.scala 212:142] + node _T_241 = and(_T_239, _T_240) @[dma_ctrl.scala 212:135] + node _T_242 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error) @[dma_ctrl.scala 212:198] + node _T_243 = eq(UInt<2>("h03"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 212:251] + node _T_244 = and(_T_242, _T_243) @[dma_ctrl.scala 212:244] + node _T_245 = or(_T_241, _T_244) @[dma_ctrl.scala 212:154] + node _T_246 = and(io.iccm_dma_rvalid, io.iccm_dma_ecc_error) @[dma_ctrl.scala 212:318] + node _T_247 = eq(UInt<2>("h03"), io.iccm_dma_rtag) @[dma_ctrl.scala 212:350] + node _T_248 = and(_T_246, _T_247) @[dma_ctrl.scala 212:343] + node _T_249 = or(_T_245, _T_248) @[dma_ctrl.scala 212:295] + node _T_250 = bits(dma_address_error, 0, 0) @[dma_ctrl.scala 212:78] + node _T_251 = bits(dma_alignment_error, 0, 0) @[dma_ctrl.scala 212:107] + node _T_252 = or(_T_250, _T_251) @[dma_ctrl.scala 212:85] + node _T_253 = or(_T_252, dma_dbg_cmd_error) @[dma_ctrl.scala 212:114] + node _T_254 = eq(UInt<3>("h04"), RdPtr) @[dma_ctrl.scala 212:142] + node _T_255 = and(_T_253, _T_254) @[dma_ctrl.scala 212:135] + node _T_256 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error) @[dma_ctrl.scala 212:198] + node _T_257 = eq(UInt<3>("h04"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 212:251] + node _T_258 = and(_T_256, _T_257) @[dma_ctrl.scala 212:244] + node _T_259 = or(_T_255, _T_258) @[dma_ctrl.scala 212:154] + node _T_260 = and(io.iccm_dma_rvalid, io.iccm_dma_ecc_error) @[dma_ctrl.scala 212:318] + node _T_261 = eq(UInt<3>("h04"), io.iccm_dma_rtag) @[dma_ctrl.scala 212:350] + node _T_262 = and(_T_260, _T_261) @[dma_ctrl.scala 212:343] + node _T_263 = or(_T_259, _T_262) @[dma_ctrl.scala 212:295] node _T_264 = cat(_T_263, _T_249) @[Cat.scala 29:58] node _T_265 = cat(_T_264, _T_235) @[Cat.scala 29:58] node _T_266 = cat(_T_265, _T_221) @[Cat.scala 29:58] node _T_267 = cat(_T_266, _T_207) @[Cat.scala 29:58] - fifo_error_en <= _T_267 @[dma_ctrl.scala 219:21] - node _T_268 = bits(fifo_error_in[0], 1, 0) @[dma_ctrl.scala 221:77] - node _T_269 = orr(_T_268) @[dma_ctrl.scala 221:83] - node _T_270 = bits(fifo_error_en, 0, 0) @[dma_ctrl.scala 221:103] - node _T_271 = and(_T_269, _T_270) @[dma_ctrl.scala 221:88] - node _T_272 = orr(fifo_error[0]) @[dma_ctrl.scala 221:125] - node _T_273 = or(_T_271, _T_272) @[dma_ctrl.scala 221:108] - node _T_274 = and(_T_273, io.dma_bus_clk_en) @[dma_ctrl.scala 221:131] - node _T_275 = bits(fifo_error_in[1], 1, 0) @[dma_ctrl.scala 221:77] - node _T_276 = orr(_T_275) @[dma_ctrl.scala 221:83] - node _T_277 = bits(fifo_error_en, 1, 1) @[dma_ctrl.scala 221:103] - node _T_278 = and(_T_276, _T_277) @[dma_ctrl.scala 221:88] - node _T_279 = orr(fifo_error[1]) @[dma_ctrl.scala 221:125] - node _T_280 = or(_T_278, _T_279) @[dma_ctrl.scala 221:108] - node _T_281 = and(_T_280, io.dma_bus_clk_en) @[dma_ctrl.scala 221:131] - node _T_282 = bits(fifo_error_in[2], 1, 0) @[dma_ctrl.scala 221:77] - node _T_283 = orr(_T_282) @[dma_ctrl.scala 221:83] - node _T_284 = bits(fifo_error_en, 2, 2) @[dma_ctrl.scala 221:103] - node _T_285 = and(_T_283, _T_284) @[dma_ctrl.scala 221:88] - node _T_286 = orr(fifo_error[2]) @[dma_ctrl.scala 221:125] - node _T_287 = or(_T_285, _T_286) @[dma_ctrl.scala 221:108] - node _T_288 = and(_T_287, io.dma_bus_clk_en) @[dma_ctrl.scala 221:131] - node _T_289 = bits(fifo_error_in[3], 1, 0) @[dma_ctrl.scala 221:77] - node _T_290 = orr(_T_289) @[dma_ctrl.scala 221:83] - node _T_291 = bits(fifo_error_en, 3, 3) @[dma_ctrl.scala 221:103] - node _T_292 = and(_T_290, _T_291) @[dma_ctrl.scala 221:88] - node _T_293 = orr(fifo_error[3]) @[dma_ctrl.scala 221:125] - node _T_294 = or(_T_292, _T_293) @[dma_ctrl.scala 221:108] - node _T_295 = and(_T_294, io.dma_bus_clk_en) @[dma_ctrl.scala 221:131] - node _T_296 = bits(fifo_error_in[4], 1, 0) @[dma_ctrl.scala 221:77] - node _T_297 = orr(_T_296) @[dma_ctrl.scala 221:83] - node _T_298 = bits(fifo_error_en, 4, 4) @[dma_ctrl.scala 221:103] - node _T_299 = and(_T_297, _T_298) @[dma_ctrl.scala 221:88] - node _T_300 = orr(fifo_error[4]) @[dma_ctrl.scala 221:125] - node _T_301 = or(_T_299, _T_300) @[dma_ctrl.scala 221:108] - node _T_302 = and(_T_301, io.dma_bus_clk_en) @[dma_ctrl.scala 221:131] + fifo_error_en <= _T_267 @[dma_ctrl.scala 212:21] + node _T_268 = bits(fifo_error_in[0], 1, 0) @[dma_ctrl.scala 214:77] + node _T_269 = orr(_T_268) @[dma_ctrl.scala 214:83] + node _T_270 = bits(fifo_error_en, 0, 0) @[dma_ctrl.scala 214:103] + node _T_271 = and(_T_269, _T_270) @[dma_ctrl.scala 214:88] + node _T_272 = orr(fifo_error[0]) @[dma_ctrl.scala 214:125] + node _T_273 = or(_T_271, _T_272) @[dma_ctrl.scala 214:108] + node _T_274 = and(_T_273, io.dma_bus_clk_en) @[dma_ctrl.scala 214:131] + node _T_275 = bits(fifo_error_in[1], 1, 0) @[dma_ctrl.scala 214:77] + node _T_276 = orr(_T_275) @[dma_ctrl.scala 214:83] + node _T_277 = bits(fifo_error_en, 1, 1) @[dma_ctrl.scala 214:103] + node _T_278 = and(_T_276, _T_277) @[dma_ctrl.scala 214:88] + node _T_279 = orr(fifo_error[1]) @[dma_ctrl.scala 214:125] + node _T_280 = or(_T_278, _T_279) @[dma_ctrl.scala 214:108] + node _T_281 = and(_T_280, io.dma_bus_clk_en) @[dma_ctrl.scala 214:131] + node _T_282 = bits(fifo_error_in[2], 1, 0) @[dma_ctrl.scala 214:77] + node _T_283 = orr(_T_282) @[dma_ctrl.scala 214:83] + node _T_284 = bits(fifo_error_en, 2, 2) @[dma_ctrl.scala 214:103] + node _T_285 = and(_T_283, _T_284) @[dma_ctrl.scala 214:88] + node _T_286 = orr(fifo_error[2]) @[dma_ctrl.scala 214:125] + node _T_287 = or(_T_285, _T_286) @[dma_ctrl.scala 214:108] + node _T_288 = and(_T_287, io.dma_bus_clk_en) @[dma_ctrl.scala 214:131] + node _T_289 = bits(fifo_error_in[3], 1, 0) @[dma_ctrl.scala 214:77] + node _T_290 = orr(_T_289) @[dma_ctrl.scala 214:83] + node _T_291 = bits(fifo_error_en, 3, 3) @[dma_ctrl.scala 214:103] + node _T_292 = and(_T_290, _T_291) @[dma_ctrl.scala 214:88] + node _T_293 = orr(fifo_error[3]) @[dma_ctrl.scala 214:125] + node _T_294 = or(_T_292, _T_293) @[dma_ctrl.scala 214:108] + node _T_295 = and(_T_294, io.dma_bus_clk_en) @[dma_ctrl.scala 214:131] + node _T_296 = bits(fifo_error_in[4], 1, 0) @[dma_ctrl.scala 214:77] + node _T_297 = orr(_T_296) @[dma_ctrl.scala 214:83] + node _T_298 = bits(fifo_error_en, 4, 4) @[dma_ctrl.scala 214:103] + node _T_299 = and(_T_297, _T_298) @[dma_ctrl.scala 214:88] + node _T_300 = orr(fifo_error[4]) @[dma_ctrl.scala 214:125] + node _T_301 = or(_T_299, _T_300) @[dma_ctrl.scala 214:108] + node _T_302 = and(_T_301, io.dma_bus_clk_en) @[dma_ctrl.scala 214:131] node _T_303 = cat(_T_302, _T_295) @[Cat.scala 29:58] node _T_304 = cat(_T_303, _T_288) @[Cat.scala 29:58] node _T_305 = cat(_T_304, _T_281) @[Cat.scala 29:58] node _T_306 = cat(_T_305, _T_274) @[Cat.scala 29:58] - fifo_error_bus_en <= _T_306 @[dma_ctrl.scala 221:21] - node _T_307 = orr(fifo_error[0]) @[dma_ctrl.scala 223:74] - node _T_308 = bits(fifo_error_en, 0, 0) @[dma_ctrl.scala 223:93] - node _T_309 = or(_T_307, _T_308) @[dma_ctrl.scala 223:78] - node _T_310 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 223:137] - node _T_311 = and(_T_310, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[dma_ctrl.scala 223:176] - node _T_312 = or(_T_309, _T_311) @[dma_ctrl.scala 223:97] - node _T_313 = eq(UInt<1>("h00"), RdPtr) @[dma_ctrl.scala 223:224] - node _T_314 = and(_T_312, _T_313) @[dma_ctrl.scala 223:217] - node _T_315 = eq(UInt<1>("h00"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 223:286] - node _T_316 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_315) @[dma_ctrl.scala 223:279] - node _T_317 = or(_T_314, _T_316) @[dma_ctrl.scala 223:236] - node _T_318 = eq(UInt<1>("h00"), io.iccm_dma_rtag) @[dma_ctrl.scala 223:359] - node _T_319 = and(io.iccm_dma_rvalid, _T_318) @[dma_ctrl.scala 223:352] - node _T_320 = or(_T_317, _T_319) @[dma_ctrl.scala 223:330] - node _T_321 = orr(fifo_error[1]) @[dma_ctrl.scala 223:74] - node _T_322 = bits(fifo_error_en, 1, 1) @[dma_ctrl.scala 223:93] - node _T_323 = or(_T_321, _T_322) @[dma_ctrl.scala 223:78] - node _T_324 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 223:137] - node _T_325 = and(_T_324, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[dma_ctrl.scala 223:176] - node _T_326 = or(_T_323, _T_325) @[dma_ctrl.scala 223:97] - node _T_327 = eq(UInt<1>("h01"), RdPtr) @[dma_ctrl.scala 223:224] - node _T_328 = and(_T_326, _T_327) @[dma_ctrl.scala 223:217] - node _T_329 = eq(UInt<1>("h01"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 223:286] - node _T_330 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_329) @[dma_ctrl.scala 223:279] - node _T_331 = or(_T_328, _T_330) @[dma_ctrl.scala 223:236] - node _T_332 = eq(UInt<1>("h01"), io.iccm_dma_rtag) @[dma_ctrl.scala 223:359] - node _T_333 = and(io.iccm_dma_rvalid, _T_332) @[dma_ctrl.scala 223:352] - node _T_334 = or(_T_331, _T_333) @[dma_ctrl.scala 223:330] - node _T_335 = orr(fifo_error[2]) @[dma_ctrl.scala 223:74] - node _T_336 = bits(fifo_error_en, 2, 2) @[dma_ctrl.scala 223:93] - node _T_337 = or(_T_335, _T_336) @[dma_ctrl.scala 223:78] - node _T_338 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 223:137] - node _T_339 = and(_T_338, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[dma_ctrl.scala 223:176] - node _T_340 = or(_T_337, _T_339) @[dma_ctrl.scala 223:97] - node _T_341 = eq(UInt<2>("h02"), RdPtr) @[dma_ctrl.scala 223:224] - node _T_342 = and(_T_340, _T_341) @[dma_ctrl.scala 223:217] - node _T_343 = eq(UInt<2>("h02"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 223:286] - node _T_344 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_343) @[dma_ctrl.scala 223:279] - node _T_345 = or(_T_342, _T_344) @[dma_ctrl.scala 223:236] - node _T_346 = eq(UInt<2>("h02"), io.iccm_dma_rtag) @[dma_ctrl.scala 223:359] - node _T_347 = and(io.iccm_dma_rvalid, _T_346) @[dma_ctrl.scala 223:352] - node _T_348 = or(_T_345, _T_347) @[dma_ctrl.scala 223:330] - node _T_349 = orr(fifo_error[3]) @[dma_ctrl.scala 223:74] - node _T_350 = bits(fifo_error_en, 3, 3) @[dma_ctrl.scala 223:93] - node _T_351 = or(_T_349, _T_350) @[dma_ctrl.scala 223:78] - node _T_352 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 223:137] - node _T_353 = and(_T_352, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[dma_ctrl.scala 223:176] - node _T_354 = or(_T_351, _T_353) @[dma_ctrl.scala 223:97] - node _T_355 = eq(UInt<2>("h03"), RdPtr) @[dma_ctrl.scala 223:224] - node _T_356 = and(_T_354, _T_355) @[dma_ctrl.scala 223:217] - node _T_357 = eq(UInt<2>("h03"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 223:286] - node _T_358 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_357) @[dma_ctrl.scala 223:279] - node _T_359 = or(_T_356, _T_358) @[dma_ctrl.scala 223:236] - node _T_360 = eq(UInt<2>("h03"), io.iccm_dma_rtag) @[dma_ctrl.scala 223:359] - node _T_361 = and(io.iccm_dma_rvalid, _T_360) @[dma_ctrl.scala 223:352] - node _T_362 = or(_T_359, _T_361) @[dma_ctrl.scala 223:330] - node _T_363 = orr(fifo_error[4]) @[dma_ctrl.scala 223:74] - node _T_364 = bits(fifo_error_en, 4, 4) @[dma_ctrl.scala 223:93] - node _T_365 = or(_T_363, _T_364) @[dma_ctrl.scala 223:78] - node _T_366 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 223:137] - node _T_367 = and(_T_366, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[dma_ctrl.scala 223:176] - node _T_368 = or(_T_365, _T_367) @[dma_ctrl.scala 223:97] - node _T_369 = eq(UInt<3>("h04"), RdPtr) @[dma_ctrl.scala 223:224] - node _T_370 = and(_T_368, _T_369) @[dma_ctrl.scala 223:217] - node _T_371 = eq(UInt<3>("h04"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 223:286] - node _T_372 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_371) @[dma_ctrl.scala 223:279] - node _T_373 = or(_T_370, _T_372) @[dma_ctrl.scala 223:236] - node _T_374 = eq(UInt<3>("h04"), io.iccm_dma_rtag) @[dma_ctrl.scala 223:359] - node _T_375 = and(io.iccm_dma_rvalid, _T_374) @[dma_ctrl.scala 223:352] - node _T_376 = or(_T_373, _T_375) @[dma_ctrl.scala 223:330] + fifo_error_bus_en <= _T_306 @[dma_ctrl.scala 214:21] + node _T_307 = orr(fifo_error[0]) @[dma_ctrl.scala 216:74] + node _T_308 = bits(fifo_error_en, 0, 0) @[dma_ctrl.scala 216:93] + node _T_309 = or(_T_307, _T_308) @[dma_ctrl.scala 216:78] + node _T_310 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 216:137] + node _T_311 = and(_T_310, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[dma_ctrl.scala 216:176] + node _T_312 = or(_T_309, _T_311) @[dma_ctrl.scala 216:97] + node _T_313 = eq(UInt<1>("h00"), RdPtr) @[dma_ctrl.scala 216:224] + node _T_314 = and(_T_312, _T_313) @[dma_ctrl.scala 216:217] + node _T_315 = eq(UInt<1>("h00"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 216:286] + node _T_316 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_315) @[dma_ctrl.scala 216:279] + node _T_317 = or(_T_314, _T_316) @[dma_ctrl.scala 216:236] + node _T_318 = eq(UInt<1>("h00"), io.iccm_dma_rtag) @[dma_ctrl.scala 216:359] + node _T_319 = and(io.iccm_dma_rvalid, _T_318) @[dma_ctrl.scala 216:352] + node _T_320 = or(_T_317, _T_319) @[dma_ctrl.scala 216:330] + node _T_321 = orr(fifo_error[1]) @[dma_ctrl.scala 216:74] + node _T_322 = bits(fifo_error_en, 1, 1) @[dma_ctrl.scala 216:93] + node _T_323 = or(_T_321, _T_322) @[dma_ctrl.scala 216:78] + node _T_324 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 216:137] + node _T_325 = and(_T_324, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[dma_ctrl.scala 216:176] + node _T_326 = or(_T_323, _T_325) @[dma_ctrl.scala 216:97] + node _T_327 = eq(UInt<1>("h01"), RdPtr) @[dma_ctrl.scala 216:224] + node _T_328 = and(_T_326, _T_327) @[dma_ctrl.scala 216:217] + node _T_329 = eq(UInt<1>("h01"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 216:286] + node _T_330 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_329) @[dma_ctrl.scala 216:279] + node _T_331 = or(_T_328, _T_330) @[dma_ctrl.scala 216:236] + node _T_332 = eq(UInt<1>("h01"), io.iccm_dma_rtag) @[dma_ctrl.scala 216:359] + node _T_333 = and(io.iccm_dma_rvalid, _T_332) @[dma_ctrl.scala 216:352] + node _T_334 = or(_T_331, _T_333) @[dma_ctrl.scala 216:330] + node _T_335 = orr(fifo_error[2]) @[dma_ctrl.scala 216:74] + node _T_336 = bits(fifo_error_en, 2, 2) @[dma_ctrl.scala 216:93] + node _T_337 = or(_T_335, _T_336) @[dma_ctrl.scala 216:78] + node _T_338 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 216:137] + node _T_339 = and(_T_338, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[dma_ctrl.scala 216:176] + node _T_340 = or(_T_337, _T_339) @[dma_ctrl.scala 216:97] + node _T_341 = eq(UInt<2>("h02"), RdPtr) @[dma_ctrl.scala 216:224] + node _T_342 = and(_T_340, _T_341) @[dma_ctrl.scala 216:217] + node _T_343 = eq(UInt<2>("h02"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 216:286] + node _T_344 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_343) @[dma_ctrl.scala 216:279] + node _T_345 = or(_T_342, _T_344) @[dma_ctrl.scala 216:236] + node _T_346 = eq(UInt<2>("h02"), io.iccm_dma_rtag) @[dma_ctrl.scala 216:359] + node _T_347 = and(io.iccm_dma_rvalid, _T_346) @[dma_ctrl.scala 216:352] + node _T_348 = or(_T_345, _T_347) @[dma_ctrl.scala 216:330] + node _T_349 = orr(fifo_error[3]) @[dma_ctrl.scala 216:74] + node _T_350 = bits(fifo_error_en, 3, 3) @[dma_ctrl.scala 216:93] + node _T_351 = or(_T_349, _T_350) @[dma_ctrl.scala 216:78] + node _T_352 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 216:137] + node _T_353 = and(_T_352, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[dma_ctrl.scala 216:176] + node _T_354 = or(_T_351, _T_353) @[dma_ctrl.scala 216:97] + node _T_355 = eq(UInt<2>("h03"), RdPtr) @[dma_ctrl.scala 216:224] + node _T_356 = and(_T_354, _T_355) @[dma_ctrl.scala 216:217] + node _T_357 = eq(UInt<2>("h03"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 216:286] + node _T_358 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_357) @[dma_ctrl.scala 216:279] + node _T_359 = or(_T_356, _T_358) @[dma_ctrl.scala 216:236] + node _T_360 = eq(UInt<2>("h03"), io.iccm_dma_rtag) @[dma_ctrl.scala 216:359] + node _T_361 = and(io.iccm_dma_rvalid, _T_360) @[dma_ctrl.scala 216:352] + node _T_362 = or(_T_359, _T_361) @[dma_ctrl.scala 216:330] + node _T_363 = orr(fifo_error[4]) @[dma_ctrl.scala 216:74] + node _T_364 = bits(fifo_error_en, 4, 4) @[dma_ctrl.scala 216:93] + node _T_365 = or(_T_363, _T_364) @[dma_ctrl.scala 216:78] + node _T_366 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 216:137] + node _T_367 = and(_T_366, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[dma_ctrl.scala 216:176] + node _T_368 = or(_T_365, _T_367) @[dma_ctrl.scala 216:97] + node _T_369 = eq(UInt<3>("h04"), RdPtr) @[dma_ctrl.scala 216:224] + node _T_370 = and(_T_368, _T_369) @[dma_ctrl.scala 216:217] + node _T_371 = eq(UInt<3>("h04"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 216:286] + node _T_372 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_371) @[dma_ctrl.scala 216:279] + node _T_373 = or(_T_370, _T_372) @[dma_ctrl.scala 216:236] + node _T_374 = eq(UInt<3>("h04"), io.iccm_dma_rtag) @[dma_ctrl.scala 216:359] + node _T_375 = and(io.iccm_dma_rvalid, _T_374) @[dma_ctrl.scala 216:352] + node _T_376 = or(_T_373, _T_375) @[dma_ctrl.scala 216:330] node _T_377 = cat(_T_376, _T_362) @[Cat.scala 29:58] node _T_378 = cat(_T_377, _T_348) @[Cat.scala 29:58] node _T_379 = cat(_T_378, _T_334) @[Cat.scala 29:58] node _T_380 = cat(_T_379, _T_320) @[Cat.scala 29:58] - fifo_done_en <= _T_380 @[dma_ctrl.scala 223:21] - node _T_381 = bits(fifo_done_en, 0, 0) @[dma_ctrl.scala 225:71] - node _T_382 = bits(fifo_done, 0, 0) @[dma_ctrl.scala 225:86] - node _T_383 = or(_T_381, _T_382) @[dma_ctrl.scala 225:75] - node _T_384 = and(_T_383, io.dma_bus_clk_en) @[dma_ctrl.scala 225:91] - node _T_385 = bits(fifo_done_en, 1, 1) @[dma_ctrl.scala 225:71] - node _T_386 = bits(fifo_done, 1, 1) @[dma_ctrl.scala 225:86] - node _T_387 = or(_T_385, _T_386) @[dma_ctrl.scala 225:75] - node _T_388 = and(_T_387, io.dma_bus_clk_en) @[dma_ctrl.scala 225:91] - node _T_389 = bits(fifo_done_en, 2, 2) @[dma_ctrl.scala 225:71] - node _T_390 = bits(fifo_done, 2, 2) @[dma_ctrl.scala 225:86] - node _T_391 = or(_T_389, _T_390) @[dma_ctrl.scala 225:75] - node _T_392 = and(_T_391, io.dma_bus_clk_en) @[dma_ctrl.scala 225:91] - node _T_393 = bits(fifo_done_en, 3, 3) @[dma_ctrl.scala 225:71] - node _T_394 = bits(fifo_done, 3, 3) @[dma_ctrl.scala 225:86] - node _T_395 = or(_T_393, _T_394) @[dma_ctrl.scala 225:75] - node _T_396 = and(_T_395, io.dma_bus_clk_en) @[dma_ctrl.scala 225:91] - node _T_397 = bits(fifo_done_en, 4, 4) @[dma_ctrl.scala 225:71] - node _T_398 = bits(fifo_done, 4, 4) @[dma_ctrl.scala 225:86] - node _T_399 = or(_T_397, _T_398) @[dma_ctrl.scala 225:75] - node _T_400 = and(_T_399, io.dma_bus_clk_en) @[dma_ctrl.scala 225:91] + fifo_done_en <= _T_380 @[dma_ctrl.scala 216:21] + node _T_381 = bits(fifo_done_en, 0, 0) @[dma_ctrl.scala 218:71] + node _T_382 = bits(fifo_done, 0, 0) @[dma_ctrl.scala 218:86] + node _T_383 = or(_T_381, _T_382) @[dma_ctrl.scala 218:75] + node _T_384 = and(_T_383, io.dma_bus_clk_en) @[dma_ctrl.scala 218:91] + node _T_385 = bits(fifo_done_en, 1, 1) @[dma_ctrl.scala 218:71] + node _T_386 = bits(fifo_done, 1, 1) @[dma_ctrl.scala 218:86] + node _T_387 = or(_T_385, _T_386) @[dma_ctrl.scala 218:75] + node _T_388 = and(_T_387, io.dma_bus_clk_en) @[dma_ctrl.scala 218:91] + node _T_389 = bits(fifo_done_en, 2, 2) @[dma_ctrl.scala 218:71] + node _T_390 = bits(fifo_done, 2, 2) @[dma_ctrl.scala 218:86] + node _T_391 = or(_T_389, _T_390) @[dma_ctrl.scala 218:75] + node _T_392 = and(_T_391, io.dma_bus_clk_en) @[dma_ctrl.scala 218:91] + node _T_393 = bits(fifo_done_en, 3, 3) @[dma_ctrl.scala 218:71] + node _T_394 = bits(fifo_done, 3, 3) @[dma_ctrl.scala 218:86] + node _T_395 = or(_T_393, _T_394) @[dma_ctrl.scala 218:75] + node _T_396 = and(_T_395, io.dma_bus_clk_en) @[dma_ctrl.scala 218:91] + node _T_397 = bits(fifo_done_en, 4, 4) @[dma_ctrl.scala 218:71] + node _T_398 = bits(fifo_done, 4, 4) @[dma_ctrl.scala 218:86] + node _T_399 = or(_T_397, _T_398) @[dma_ctrl.scala 218:75] + node _T_400 = and(_T_399, io.dma_bus_clk_en) @[dma_ctrl.scala 218:91] node _T_401 = cat(_T_400, _T_396) @[Cat.scala 29:58] node _T_402 = cat(_T_401, _T_392) @[Cat.scala 29:58] node _T_403 = cat(_T_402, _T_388) @[Cat.scala 29:58] node _T_404 = cat(_T_403, _T_384) @[Cat.scala 29:58] - fifo_done_bus_en <= _T_404 @[dma_ctrl.scala 225:21] - node _T_405 = or(bus_rsp_sent, bus_posted_write_done) @[dma_ctrl.scala 227:74] - node _T_406 = and(_T_405, io.dma_bus_clk_en) @[dma_ctrl.scala 227:99] - node _T_407 = or(_T_406, io.dma_dbg_cmd_done) @[dma_ctrl.scala 227:120] - node _T_408 = eq(UInt<1>("h00"), RspPtr) @[dma_ctrl.scala 227:150] - node _T_409 = and(_T_407, _T_408) @[dma_ctrl.scala 227:143] - node _T_410 = or(bus_rsp_sent, bus_posted_write_done) @[dma_ctrl.scala 227:74] - node _T_411 = and(_T_410, io.dma_bus_clk_en) @[dma_ctrl.scala 227:99] - node _T_412 = or(_T_411, io.dma_dbg_cmd_done) @[dma_ctrl.scala 227:120] - node _T_413 = eq(UInt<1>("h01"), RspPtr) @[dma_ctrl.scala 227:150] - node _T_414 = and(_T_412, _T_413) @[dma_ctrl.scala 227:143] - node _T_415 = or(bus_rsp_sent, bus_posted_write_done) @[dma_ctrl.scala 227:74] - node _T_416 = and(_T_415, io.dma_bus_clk_en) @[dma_ctrl.scala 227:99] - node _T_417 = or(_T_416, io.dma_dbg_cmd_done) @[dma_ctrl.scala 227:120] - node _T_418 = eq(UInt<2>("h02"), RspPtr) @[dma_ctrl.scala 227:150] - node _T_419 = and(_T_417, _T_418) @[dma_ctrl.scala 227:143] - node _T_420 = or(bus_rsp_sent, bus_posted_write_done) @[dma_ctrl.scala 227:74] - node _T_421 = and(_T_420, io.dma_bus_clk_en) @[dma_ctrl.scala 227:99] - node _T_422 = or(_T_421, io.dma_dbg_cmd_done) @[dma_ctrl.scala 227:120] - node _T_423 = eq(UInt<2>("h03"), RspPtr) @[dma_ctrl.scala 227:150] - node _T_424 = and(_T_422, _T_423) @[dma_ctrl.scala 227:143] - node _T_425 = or(bus_rsp_sent, bus_posted_write_done) @[dma_ctrl.scala 227:74] - node _T_426 = and(_T_425, io.dma_bus_clk_en) @[dma_ctrl.scala 227:99] - node _T_427 = or(_T_426, io.dma_dbg_cmd_done) @[dma_ctrl.scala 227:120] - node _T_428 = eq(UInt<3>("h04"), RspPtr) @[dma_ctrl.scala 227:150] - node _T_429 = and(_T_427, _T_428) @[dma_ctrl.scala 227:143] + fifo_done_bus_en <= _T_404 @[dma_ctrl.scala 218:21] + node _T_405 = or(bus_rsp_sent, bus_posted_write_done) @[dma_ctrl.scala 220:74] + node _T_406 = and(_T_405, io.dma_bus_clk_en) @[dma_ctrl.scala 220:99] + node _T_407 = or(_T_406, io.dma_dbg_cmd_done) @[dma_ctrl.scala 220:120] + node _T_408 = eq(UInt<1>("h00"), RspPtr) @[dma_ctrl.scala 220:150] + node _T_409 = and(_T_407, _T_408) @[dma_ctrl.scala 220:143] + node _T_410 = or(bus_rsp_sent, bus_posted_write_done) @[dma_ctrl.scala 220:74] + node _T_411 = and(_T_410, io.dma_bus_clk_en) @[dma_ctrl.scala 220:99] + node _T_412 = or(_T_411, io.dma_dbg_cmd_done) @[dma_ctrl.scala 220:120] + node _T_413 = eq(UInt<1>("h01"), RspPtr) @[dma_ctrl.scala 220:150] + node _T_414 = and(_T_412, _T_413) @[dma_ctrl.scala 220:143] + node _T_415 = or(bus_rsp_sent, bus_posted_write_done) @[dma_ctrl.scala 220:74] + node _T_416 = and(_T_415, io.dma_bus_clk_en) @[dma_ctrl.scala 220:99] + node _T_417 = or(_T_416, io.dma_dbg_cmd_done) @[dma_ctrl.scala 220:120] + node _T_418 = eq(UInt<2>("h02"), RspPtr) @[dma_ctrl.scala 220:150] + node _T_419 = and(_T_417, _T_418) @[dma_ctrl.scala 220:143] + node _T_420 = or(bus_rsp_sent, bus_posted_write_done) @[dma_ctrl.scala 220:74] + node _T_421 = and(_T_420, io.dma_bus_clk_en) @[dma_ctrl.scala 220:99] + node _T_422 = or(_T_421, io.dma_dbg_cmd_done) @[dma_ctrl.scala 220:120] + node _T_423 = eq(UInt<2>("h03"), RspPtr) @[dma_ctrl.scala 220:150] + node _T_424 = and(_T_422, _T_423) @[dma_ctrl.scala 220:143] + node _T_425 = or(bus_rsp_sent, bus_posted_write_done) @[dma_ctrl.scala 220:74] + node _T_426 = and(_T_425, io.dma_bus_clk_en) @[dma_ctrl.scala 220:99] + node _T_427 = or(_T_426, io.dma_dbg_cmd_done) @[dma_ctrl.scala 220:120] + node _T_428 = eq(UInt<3>("h04"), RspPtr) @[dma_ctrl.scala 220:150] + node _T_429 = and(_T_427, _T_428) @[dma_ctrl.scala 220:143] node _T_430 = cat(_T_429, _T_424) @[Cat.scala 29:58] node _T_431 = cat(_T_430, _T_419) @[Cat.scala 29:58] node _T_432 = cat(_T_431, _T_414) @[Cat.scala 29:58] node _T_433 = cat(_T_432, _T_409) @[Cat.scala 29:58] - fifo_reset <= _T_433 @[dma_ctrl.scala 227:21] - node _T_434 = eq(UInt<1>("h00"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 229:108] - node _T_435 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_434) @[dma_ctrl.scala 229:101] + fifo_reset <= _T_433 @[dma_ctrl.scala 220:21] + node _T_434 = eq(UInt<1>("h00"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 222:108] + node _T_435 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_434) @[dma_ctrl.scala 222:101] node _T_436 = cat(UInt<1>("h00"), io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error) @[Cat.scala 29:58] - node _T_437 = eq(UInt<1>("h00"), io.iccm_dma_rtag) @[dma_ctrl.scala 229:236] - node _T_438 = and(io.iccm_dma_rvalid, _T_437) @[dma_ctrl.scala 229:229] + node _T_437 = eq(UInt<1>("h00"), io.iccm_dma_rtag) @[dma_ctrl.scala 222:236] + node _T_438 = and(io.iccm_dma_rvalid, _T_437) @[dma_ctrl.scala 222:229] node _T_439 = cat(UInt<1>("h00"), io.iccm_dma_ecc_error) @[Cat.scala 29:58] - node _T_440 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 229:318] - node _T_441 = or(_T_440, dma_dbg_cmd_error) @[dma_ctrl.scala 229:340] + node _T_440 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 222:318] + node _T_441 = or(_T_440, dma_dbg_cmd_error) @[dma_ctrl.scala 222:340] node _T_442 = cat(_T_441, dma_alignment_error) @[Cat.scala 29:58] - node _T_443 = mux(_T_438, _T_439, _T_442) @[dma_ctrl.scala 229:209] - node _T_444 = mux(_T_435, _T_436, _T_443) @[dma_ctrl.scala 229:60] - fifo_error_in[0] <= _T_444 @[dma_ctrl.scala 229:53] - node _T_445 = eq(UInt<1>("h01"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 229:108] - node _T_446 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_445) @[dma_ctrl.scala 229:101] + node _T_443 = mux(_T_438, _T_439, _T_442) @[dma_ctrl.scala 222:209] + node _T_444 = mux(_T_435, _T_436, _T_443) @[dma_ctrl.scala 222:60] + fifo_error_in[0] <= _T_444 @[dma_ctrl.scala 222:53] + node _T_445 = eq(UInt<1>("h01"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 222:108] + node _T_446 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_445) @[dma_ctrl.scala 222:101] node _T_447 = cat(UInt<1>("h00"), io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error) @[Cat.scala 29:58] - node _T_448 = eq(UInt<1>("h01"), io.iccm_dma_rtag) @[dma_ctrl.scala 229:236] - node _T_449 = and(io.iccm_dma_rvalid, _T_448) @[dma_ctrl.scala 229:229] + node _T_448 = eq(UInt<1>("h01"), io.iccm_dma_rtag) @[dma_ctrl.scala 222:236] + node _T_449 = and(io.iccm_dma_rvalid, _T_448) @[dma_ctrl.scala 222:229] node _T_450 = cat(UInt<1>("h00"), io.iccm_dma_ecc_error) @[Cat.scala 29:58] - node _T_451 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 229:318] - node _T_452 = or(_T_451, dma_dbg_cmd_error) @[dma_ctrl.scala 229:340] + node _T_451 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 222:318] + node _T_452 = or(_T_451, dma_dbg_cmd_error) @[dma_ctrl.scala 222:340] node _T_453 = cat(_T_452, dma_alignment_error) @[Cat.scala 29:58] - node _T_454 = mux(_T_449, _T_450, _T_453) @[dma_ctrl.scala 229:209] - node _T_455 = mux(_T_446, _T_447, _T_454) @[dma_ctrl.scala 229:60] - fifo_error_in[1] <= _T_455 @[dma_ctrl.scala 229:53] - node _T_456 = eq(UInt<2>("h02"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 229:108] - node _T_457 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_456) @[dma_ctrl.scala 229:101] + node _T_454 = mux(_T_449, _T_450, _T_453) @[dma_ctrl.scala 222:209] + node _T_455 = mux(_T_446, _T_447, _T_454) @[dma_ctrl.scala 222:60] + fifo_error_in[1] <= _T_455 @[dma_ctrl.scala 222:53] + node _T_456 = eq(UInt<2>("h02"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 222:108] + node _T_457 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_456) @[dma_ctrl.scala 222:101] node _T_458 = cat(UInt<1>("h00"), io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error) @[Cat.scala 29:58] - node _T_459 = eq(UInt<2>("h02"), io.iccm_dma_rtag) @[dma_ctrl.scala 229:236] - node _T_460 = and(io.iccm_dma_rvalid, _T_459) @[dma_ctrl.scala 229:229] + node _T_459 = eq(UInt<2>("h02"), io.iccm_dma_rtag) @[dma_ctrl.scala 222:236] + node _T_460 = and(io.iccm_dma_rvalid, _T_459) @[dma_ctrl.scala 222:229] node _T_461 = cat(UInt<1>("h00"), io.iccm_dma_ecc_error) @[Cat.scala 29:58] - node _T_462 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 229:318] - node _T_463 = or(_T_462, dma_dbg_cmd_error) @[dma_ctrl.scala 229:340] + node _T_462 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 222:318] + node _T_463 = or(_T_462, dma_dbg_cmd_error) @[dma_ctrl.scala 222:340] node _T_464 = cat(_T_463, dma_alignment_error) @[Cat.scala 29:58] - node _T_465 = mux(_T_460, _T_461, _T_464) @[dma_ctrl.scala 229:209] - node _T_466 = mux(_T_457, _T_458, _T_465) @[dma_ctrl.scala 229:60] - fifo_error_in[2] <= _T_466 @[dma_ctrl.scala 229:53] - node _T_467 = eq(UInt<2>("h03"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 229:108] - node _T_468 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_467) @[dma_ctrl.scala 229:101] + node _T_465 = mux(_T_460, _T_461, _T_464) @[dma_ctrl.scala 222:209] + node _T_466 = mux(_T_457, _T_458, _T_465) @[dma_ctrl.scala 222:60] + fifo_error_in[2] <= _T_466 @[dma_ctrl.scala 222:53] + node _T_467 = eq(UInt<2>("h03"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 222:108] + node _T_468 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_467) @[dma_ctrl.scala 222:101] node _T_469 = cat(UInt<1>("h00"), io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error) @[Cat.scala 29:58] - node _T_470 = eq(UInt<2>("h03"), io.iccm_dma_rtag) @[dma_ctrl.scala 229:236] - node _T_471 = and(io.iccm_dma_rvalid, _T_470) @[dma_ctrl.scala 229:229] + node _T_470 = eq(UInt<2>("h03"), io.iccm_dma_rtag) @[dma_ctrl.scala 222:236] + node _T_471 = and(io.iccm_dma_rvalid, _T_470) @[dma_ctrl.scala 222:229] node _T_472 = cat(UInt<1>("h00"), io.iccm_dma_ecc_error) @[Cat.scala 29:58] - node _T_473 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 229:318] - node _T_474 = or(_T_473, dma_dbg_cmd_error) @[dma_ctrl.scala 229:340] + node _T_473 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 222:318] + node _T_474 = or(_T_473, dma_dbg_cmd_error) @[dma_ctrl.scala 222:340] node _T_475 = cat(_T_474, dma_alignment_error) @[Cat.scala 29:58] - node _T_476 = mux(_T_471, _T_472, _T_475) @[dma_ctrl.scala 229:209] - node _T_477 = mux(_T_468, _T_469, _T_476) @[dma_ctrl.scala 229:60] - fifo_error_in[3] <= _T_477 @[dma_ctrl.scala 229:53] - node _T_478 = eq(UInt<3>("h04"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 229:108] - node _T_479 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_478) @[dma_ctrl.scala 229:101] + node _T_476 = mux(_T_471, _T_472, _T_475) @[dma_ctrl.scala 222:209] + node _T_477 = mux(_T_468, _T_469, _T_476) @[dma_ctrl.scala 222:60] + fifo_error_in[3] <= _T_477 @[dma_ctrl.scala 222:53] + node _T_478 = eq(UInt<3>("h04"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 222:108] + node _T_479 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_478) @[dma_ctrl.scala 222:101] node _T_480 = cat(UInt<1>("h00"), io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error) @[Cat.scala 29:58] - node _T_481 = eq(UInt<3>("h04"), io.iccm_dma_rtag) @[dma_ctrl.scala 229:236] - node _T_482 = and(io.iccm_dma_rvalid, _T_481) @[dma_ctrl.scala 229:229] + node _T_481 = eq(UInt<3>("h04"), io.iccm_dma_rtag) @[dma_ctrl.scala 222:236] + node _T_482 = and(io.iccm_dma_rvalid, _T_481) @[dma_ctrl.scala 222:229] node _T_483 = cat(UInt<1>("h00"), io.iccm_dma_ecc_error) @[Cat.scala 29:58] - node _T_484 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 229:318] - node _T_485 = or(_T_484, dma_dbg_cmd_error) @[dma_ctrl.scala 229:340] + node _T_484 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 222:318] + node _T_485 = or(_T_484, dma_dbg_cmd_error) @[dma_ctrl.scala 222:340] node _T_486 = cat(_T_485, dma_alignment_error) @[Cat.scala 29:58] - node _T_487 = mux(_T_482, _T_483, _T_486) @[dma_ctrl.scala 229:209] - node _T_488 = mux(_T_479, _T_480, _T_487) @[dma_ctrl.scala 229:60] - fifo_error_in[4] <= _T_488 @[dma_ctrl.scala 229:53] - node _T_489 = bits(fifo_error_en, 0, 0) @[dma_ctrl.scala 231:73] - node _T_490 = orr(fifo_error_in[0]) @[dma_ctrl.scala 231:97] - node _T_491 = and(_T_489, _T_490) @[dma_ctrl.scala 231:77] + node _T_487 = mux(_T_482, _T_483, _T_486) @[dma_ctrl.scala 222:209] + node _T_488 = mux(_T_479, _T_480, _T_487) @[dma_ctrl.scala 222:60] + fifo_error_in[4] <= _T_488 @[dma_ctrl.scala 222:53] + node _T_489 = bits(fifo_error_en, 0, 0) @[dma_ctrl.scala 224:73] + node _T_490 = orr(fifo_error_in[0]) @[dma_ctrl.scala 224:97] + node _T_491 = and(_T_489, _T_490) @[dma_ctrl.scala 224:77] node _T_492 = mux(UInt<1>("h00"), UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] node _T_493 = cat(_T_492, fifo_addr[0]) @[Cat.scala 29:58] - node _T_494 = eq(UInt<1>("h00"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 231:188] - node _T_495 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_494) @[dma_ctrl.scala 231:181] - node _T_496 = eq(UInt<1>("h00"), io.iccm_dma_rtag) @[dma_ctrl.scala 231:302] - node _T_497 = and(io.iccm_dma_rvalid, _T_496) @[dma_ctrl.scala 231:295] + node _T_494 = eq(UInt<1>("h00"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 224:188] + node _T_495 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_494) @[dma_ctrl.scala 224:181] + node _T_496 = eq(UInt<1>("h00"), io.iccm_dma_rtag) @[dma_ctrl.scala 224:302] + node _T_497 = and(io.iccm_dma_rvalid, _T_496) @[dma_ctrl.scala 224:295] node _T_498 = cat(io.dbg_dma.dbg_dctl.dbg_cmd_wrdata, io.dbg_dma.dbg_dctl.dbg_cmd_wrdata) @[Cat.scala 29:58] - node _T_499 = bits(bus_cmd_wdata, 63, 0) @[dma_ctrl.scala 231:439] - node _T_500 = mux(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_498, _T_499) @[dma_ctrl.scala 231:347] - node _T_501 = mux(_T_497, io.iccm_dma_rdata, _T_500) @[dma_ctrl.scala 231:275] - node _T_502 = mux(_T_495, io.lsu_dma.dma_dccm_ctl.dccm_dma_rdata, _T_501) @[dma_ctrl.scala 231:140] - node _T_503 = mux(_T_491, _T_493, _T_502) @[dma_ctrl.scala 231:59] - fifo_data_in[0] <= _T_503 @[dma_ctrl.scala 231:52] - node _T_504 = bits(fifo_error_en, 1, 1) @[dma_ctrl.scala 231:73] - node _T_505 = orr(fifo_error_in[1]) @[dma_ctrl.scala 231:97] - node _T_506 = and(_T_504, _T_505) @[dma_ctrl.scala 231:77] + node _T_499 = bits(bus_cmd_wdata, 63, 0) @[dma_ctrl.scala 224:439] + node _T_500 = mux(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_498, _T_499) @[dma_ctrl.scala 224:347] + node _T_501 = mux(_T_497, io.iccm_dma_rdata, _T_500) @[dma_ctrl.scala 224:275] + node _T_502 = mux(_T_495, io.lsu_dma.dma_dccm_ctl.dccm_dma_rdata, _T_501) @[dma_ctrl.scala 224:140] + node _T_503 = mux(_T_491, _T_493, _T_502) @[dma_ctrl.scala 224:59] + fifo_data_in[0] <= _T_503 @[dma_ctrl.scala 224:52] + node _T_504 = bits(fifo_error_en, 1, 1) @[dma_ctrl.scala 224:73] + node _T_505 = orr(fifo_error_in[1]) @[dma_ctrl.scala 224:97] + node _T_506 = and(_T_504, _T_505) @[dma_ctrl.scala 224:77] node _T_507 = mux(UInt<1>("h00"), UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] node _T_508 = cat(_T_507, fifo_addr[1]) @[Cat.scala 29:58] - node _T_509 = eq(UInt<1>("h01"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 231:188] - node _T_510 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_509) @[dma_ctrl.scala 231:181] - node _T_511 = eq(UInt<1>("h01"), io.iccm_dma_rtag) @[dma_ctrl.scala 231:302] - node _T_512 = and(io.iccm_dma_rvalid, _T_511) @[dma_ctrl.scala 231:295] + node _T_509 = eq(UInt<1>("h01"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 224:188] + node _T_510 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_509) @[dma_ctrl.scala 224:181] + node _T_511 = eq(UInt<1>("h01"), io.iccm_dma_rtag) @[dma_ctrl.scala 224:302] + node _T_512 = and(io.iccm_dma_rvalid, _T_511) @[dma_ctrl.scala 224:295] node _T_513 = cat(io.dbg_dma.dbg_dctl.dbg_cmd_wrdata, io.dbg_dma.dbg_dctl.dbg_cmd_wrdata) @[Cat.scala 29:58] - node _T_514 = bits(bus_cmd_wdata, 63, 0) @[dma_ctrl.scala 231:439] - node _T_515 = mux(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_513, _T_514) @[dma_ctrl.scala 231:347] - node _T_516 = mux(_T_512, io.iccm_dma_rdata, _T_515) @[dma_ctrl.scala 231:275] - node _T_517 = mux(_T_510, io.lsu_dma.dma_dccm_ctl.dccm_dma_rdata, _T_516) @[dma_ctrl.scala 231:140] - node _T_518 = mux(_T_506, _T_508, _T_517) @[dma_ctrl.scala 231:59] - fifo_data_in[1] <= _T_518 @[dma_ctrl.scala 231:52] - node _T_519 = bits(fifo_error_en, 2, 2) @[dma_ctrl.scala 231:73] - node _T_520 = orr(fifo_error_in[2]) @[dma_ctrl.scala 231:97] - node _T_521 = and(_T_519, _T_520) @[dma_ctrl.scala 231:77] + node _T_514 = bits(bus_cmd_wdata, 63, 0) @[dma_ctrl.scala 224:439] + node _T_515 = mux(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_513, _T_514) @[dma_ctrl.scala 224:347] + node _T_516 = mux(_T_512, io.iccm_dma_rdata, _T_515) @[dma_ctrl.scala 224:275] + node _T_517 = mux(_T_510, io.lsu_dma.dma_dccm_ctl.dccm_dma_rdata, _T_516) @[dma_ctrl.scala 224:140] + node _T_518 = mux(_T_506, _T_508, _T_517) @[dma_ctrl.scala 224:59] + fifo_data_in[1] <= _T_518 @[dma_ctrl.scala 224:52] + node _T_519 = bits(fifo_error_en, 2, 2) @[dma_ctrl.scala 224:73] + node _T_520 = orr(fifo_error_in[2]) @[dma_ctrl.scala 224:97] + node _T_521 = and(_T_519, _T_520) @[dma_ctrl.scala 224:77] node _T_522 = mux(UInt<1>("h00"), UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] node _T_523 = cat(_T_522, fifo_addr[2]) @[Cat.scala 29:58] - node _T_524 = eq(UInt<2>("h02"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 231:188] - node _T_525 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_524) @[dma_ctrl.scala 231:181] - node _T_526 = eq(UInt<2>("h02"), io.iccm_dma_rtag) @[dma_ctrl.scala 231:302] - node _T_527 = and(io.iccm_dma_rvalid, _T_526) @[dma_ctrl.scala 231:295] + node _T_524 = eq(UInt<2>("h02"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 224:188] + node _T_525 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_524) @[dma_ctrl.scala 224:181] + node _T_526 = eq(UInt<2>("h02"), io.iccm_dma_rtag) @[dma_ctrl.scala 224:302] + node _T_527 = and(io.iccm_dma_rvalid, _T_526) @[dma_ctrl.scala 224:295] node _T_528 = cat(io.dbg_dma.dbg_dctl.dbg_cmd_wrdata, io.dbg_dma.dbg_dctl.dbg_cmd_wrdata) @[Cat.scala 29:58] - node _T_529 = bits(bus_cmd_wdata, 63, 0) @[dma_ctrl.scala 231:439] - node _T_530 = mux(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_528, _T_529) @[dma_ctrl.scala 231:347] - node _T_531 = mux(_T_527, io.iccm_dma_rdata, _T_530) @[dma_ctrl.scala 231:275] - node _T_532 = mux(_T_525, io.lsu_dma.dma_dccm_ctl.dccm_dma_rdata, _T_531) @[dma_ctrl.scala 231:140] - node _T_533 = mux(_T_521, _T_523, _T_532) @[dma_ctrl.scala 231:59] - fifo_data_in[2] <= _T_533 @[dma_ctrl.scala 231:52] - node _T_534 = bits(fifo_error_en, 3, 3) @[dma_ctrl.scala 231:73] - node _T_535 = orr(fifo_error_in[3]) @[dma_ctrl.scala 231:97] - node _T_536 = and(_T_534, _T_535) @[dma_ctrl.scala 231:77] + node _T_529 = bits(bus_cmd_wdata, 63, 0) @[dma_ctrl.scala 224:439] + node _T_530 = mux(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_528, _T_529) @[dma_ctrl.scala 224:347] + node _T_531 = mux(_T_527, io.iccm_dma_rdata, _T_530) @[dma_ctrl.scala 224:275] + node _T_532 = mux(_T_525, io.lsu_dma.dma_dccm_ctl.dccm_dma_rdata, _T_531) @[dma_ctrl.scala 224:140] + node _T_533 = mux(_T_521, _T_523, _T_532) @[dma_ctrl.scala 224:59] + fifo_data_in[2] <= _T_533 @[dma_ctrl.scala 224:52] + node _T_534 = bits(fifo_error_en, 3, 3) @[dma_ctrl.scala 224:73] + node _T_535 = orr(fifo_error_in[3]) @[dma_ctrl.scala 224:97] + node _T_536 = and(_T_534, _T_535) @[dma_ctrl.scala 224:77] node _T_537 = mux(UInt<1>("h00"), UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] node _T_538 = cat(_T_537, fifo_addr[3]) @[Cat.scala 29:58] - node _T_539 = eq(UInt<2>("h03"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 231:188] - node _T_540 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_539) @[dma_ctrl.scala 231:181] - node _T_541 = eq(UInt<2>("h03"), io.iccm_dma_rtag) @[dma_ctrl.scala 231:302] - node _T_542 = and(io.iccm_dma_rvalid, _T_541) @[dma_ctrl.scala 231:295] + node _T_539 = eq(UInt<2>("h03"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 224:188] + node _T_540 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_539) @[dma_ctrl.scala 224:181] + node _T_541 = eq(UInt<2>("h03"), io.iccm_dma_rtag) @[dma_ctrl.scala 224:302] + node _T_542 = and(io.iccm_dma_rvalid, _T_541) @[dma_ctrl.scala 224:295] node _T_543 = cat(io.dbg_dma.dbg_dctl.dbg_cmd_wrdata, io.dbg_dma.dbg_dctl.dbg_cmd_wrdata) @[Cat.scala 29:58] - node _T_544 = bits(bus_cmd_wdata, 63, 0) @[dma_ctrl.scala 231:439] - node _T_545 = mux(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_543, _T_544) @[dma_ctrl.scala 231:347] - node _T_546 = mux(_T_542, io.iccm_dma_rdata, _T_545) @[dma_ctrl.scala 231:275] - node _T_547 = mux(_T_540, io.lsu_dma.dma_dccm_ctl.dccm_dma_rdata, _T_546) @[dma_ctrl.scala 231:140] - node _T_548 = mux(_T_536, _T_538, _T_547) @[dma_ctrl.scala 231:59] - fifo_data_in[3] <= _T_548 @[dma_ctrl.scala 231:52] - node _T_549 = bits(fifo_error_en, 4, 4) @[dma_ctrl.scala 231:73] - node _T_550 = orr(fifo_error_in[4]) @[dma_ctrl.scala 231:97] - node _T_551 = and(_T_549, _T_550) @[dma_ctrl.scala 231:77] + node _T_544 = bits(bus_cmd_wdata, 63, 0) @[dma_ctrl.scala 224:439] + node _T_545 = mux(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_543, _T_544) @[dma_ctrl.scala 224:347] + node _T_546 = mux(_T_542, io.iccm_dma_rdata, _T_545) @[dma_ctrl.scala 224:275] + node _T_547 = mux(_T_540, io.lsu_dma.dma_dccm_ctl.dccm_dma_rdata, _T_546) @[dma_ctrl.scala 224:140] + node _T_548 = mux(_T_536, _T_538, _T_547) @[dma_ctrl.scala 224:59] + fifo_data_in[3] <= _T_548 @[dma_ctrl.scala 224:52] + node _T_549 = bits(fifo_error_en, 4, 4) @[dma_ctrl.scala 224:73] + node _T_550 = orr(fifo_error_in[4]) @[dma_ctrl.scala 224:97] + node _T_551 = and(_T_549, _T_550) @[dma_ctrl.scala 224:77] node _T_552 = mux(UInt<1>("h00"), UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] node _T_553 = cat(_T_552, fifo_addr[4]) @[Cat.scala 29:58] - node _T_554 = eq(UInt<3>("h04"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 231:188] - node _T_555 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_554) @[dma_ctrl.scala 231:181] - node _T_556 = eq(UInt<3>("h04"), io.iccm_dma_rtag) @[dma_ctrl.scala 231:302] - node _T_557 = and(io.iccm_dma_rvalid, _T_556) @[dma_ctrl.scala 231:295] + node _T_554 = eq(UInt<3>("h04"), io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag) @[dma_ctrl.scala 224:188] + node _T_555 = and(io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid, _T_554) @[dma_ctrl.scala 224:181] + node _T_556 = eq(UInt<3>("h04"), io.iccm_dma_rtag) @[dma_ctrl.scala 224:302] + node _T_557 = and(io.iccm_dma_rvalid, _T_556) @[dma_ctrl.scala 224:295] node _T_558 = cat(io.dbg_dma.dbg_dctl.dbg_cmd_wrdata, io.dbg_dma.dbg_dctl.dbg_cmd_wrdata) @[Cat.scala 29:58] - node _T_559 = bits(bus_cmd_wdata, 63, 0) @[dma_ctrl.scala 231:439] - node _T_560 = mux(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_558, _T_559) @[dma_ctrl.scala 231:347] - node _T_561 = mux(_T_557, io.iccm_dma_rdata, _T_560) @[dma_ctrl.scala 231:275] - node _T_562 = mux(_T_555, io.lsu_dma.dma_dccm_ctl.dccm_dma_rdata, _T_561) @[dma_ctrl.scala 231:140] - node _T_563 = mux(_T_551, _T_553, _T_562) @[dma_ctrl.scala 231:59] - fifo_data_in[4] <= _T_563 @[dma_ctrl.scala 231:52] - node _T_564 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 233:98] - node _T_565 = bits(fifo_valid, 0, 0) @[dma_ctrl.scala 233:118] - node _T_566 = mux(_T_564, UInt<1>("h01"), _T_565) @[dma_ctrl.scala 233:86] - node _T_567 = bits(fifo_reset, 0, 0) @[dma_ctrl.scala 233:136] - node _T_568 = eq(_T_567, UInt<1>("h00")) @[dma_ctrl.scala 233:125] - node _T_569 = and(_T_566, _T_568) @[dma_ctrl.scala 233:123] - reg _T_570 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 233:82] - _T_570 <= _T_569 @[dma_ctrl.scala 233:82] - node _T_571 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 233:98] - node _T_572 = bits(fifo_valid, 1, 1) @[dma_ctrl.scala 233:118] - node _T_573 = mux(_T_571, UInt<1>("h01"), _T_572) @[dma_ctrl.scala 233:86] - node _T_574 = bits(fifo_reset, 1, 1) @[dma_ctrl.scala 233:136] - node _T_575 = eq(_T_574, UInt<1>("h00")) @[dma_ctrl.scala 233:125] - node _T_576 = and(_T_573, _T_575) @[dma_ctrl.scala 233:123] - reg _T_577 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 233:82] - _T_577 <= _T_576 @[dma_ctrl.scala 233:82] - node _T_578 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 233:98] - node _T_579 = bits(fifo_valid, 2, 2) @[dma_ctrl.scala 233:118] - node _T_580 = mux(_T_578, UInt<1>("h01"), _T_579) @[dma_ctrl.scala 233:86] - node _T_581 = bits(fifo_reset, 2, 2) @[dma_ctrl.scala 233:136] - node _T_582 = eq(_T_581, UInt<1>("h00")) @[dma_ctrl.scala 233:125] - node _T_583 = and(_T_580, _T_582) @[dma_ctrl.scala 233:123] - reg _T_584 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 233:82] - _T_584 <= _T_583 @[dma_ctrl.scala 233:82] - node _T_585 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 233:98] - node _T_586 = bits(fifo_valid, 3, 3) @[dma_ctrl.scala 233:118] - node _T_587 = mux(_T_585, UInt<1>("h01"), _T_586) @[dma_ctrl.scala 233:86] - node _T_588 = bits(fifo_reset, 3, 3) @[dma_ctrl.scala 233:136] - node _T_589 = eq(_T_588, UInt<1>("h00")) @[dma_ctrl.scala 233:125] - node _T_590 = and(_T_587, _T_589) @[dma_ctrl.scala 233:123] - reg _T_591 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 233:82] - _T_591 <= _T_590 @[dma_ctrl.scala 233:82] - node _T_592 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 233:98] - node _T_593 = bits(fifo_valid, 4, 4) @[dma_ctrl.scala 233:118] - node _T_594 = mux(_T_592, UInt<1>("h01"), _T_593) @[dma_ctrl.scala 233:86] - node _T_595 = bits(fifo_reset, 4, 4) @[dma_ctrl.scala 233:136] - node _T_596 = eq(_T_595, UInt<1>("h00")) @[dma_ctrl.scala 233:125] - node _T_597 = and(_T_594, _T_596) @[dma_ctrl.scala 233:123] - reg _T_598 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 233:82] - _T_598 <= _T_597 @[dma_ctrl.scala 233:82] + node _T_559 = bits(bus_cmd_wdata, 63, 0) @[dma_ctrl.scala 224:439] + node _T_560 = mux(io.dbg_dma.dbg_ib.dbg_cmd_valid, _T_558, _T_559) @[dma_ctrl.scala 224:347] + node _T_561 = mux(_T_557, io.iccm_dma_rdata, _T_560) @[dma_ctrl.scala 224:275] + node _T_562 = mux(_T_555, io.lsu_dma.dma_dccm_ctl.dccm_dma_rdata, _T_561) @[dma_ctrl.scala 224:140] + node _T_563 = mux(_T_551, _T_553, _T_562) @[dma_ctrl.scala 224:59] + fifo_data_in[4] <= _T_563 @[dma_ctrl.scala 224:52] + node _T_564 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 226:98] + node _T_565 = bits(fifo_valid, 0, 0) @[dma_ctrl.scala 226:118] + node _T_566 = mux(_T_564, UInt<1>("h01"), _T_565) @[dma_ctrl.scala 226:86] + node _T_567 = bits(fifo_reset, 0, 0) @[dma_ctrl.scala 226:136] + node _T_568 = eq(_T_567, UInt<1>("h00")) @[dma_ctrl.scala 226:125] + node _T_569 = and(_T_566, _T_568) @[dma_ctrl.scala 226:123] + reg _T_570 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 226:82] + _T_570 <= _T_569 @[dma_ctrl.scala 226:82] + node _T_571 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 226:98] + node _T_572 = bits(fifo_valid, 1, 1) @[dma_ctrl.scala 226:118] + node _T_573 = mux(_T_571, UInt<1>("h01"), _T_572) @[dma_ctrl.scala 226:86] + node _T_574 = bits(fifo_reset, 1, 1) @[dma_ctrl.scala 226:136] + node _T_575 = eq(_T_574, UInt<1>("h00")) @[dma_ctrl.scala 226:125] + node _T_576 = and(_T_573, _T_575) @[dma_ctrl.scala 226:123] + reg _T_577 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 226:82] + _T_577 <= _T_576 @[dma_ctrl.scala 226:82] + node _T_578 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 226:98] + node _T_579 = bits(fifo_valid, 2, 2) @[dma_ctrl.scala 226:118] + node _T_580 = mux(_T_578, UInt<1>("h01"), _T_579) @[dma_ctrl.scala 226:86] + node _T_581 = bits(fifo_reset, 2, 2) @[dma_ctrl.scala 226:136] + node _T_582 = eq(_T_581, UInt<1>("h00")) @[dma_ctrl.scala 226:125] + node _T_583 = and(_T_580, _T_582) @[dma_ctrl.scala 226:123] + reg _T_584 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 226:82] + _T_584 <= _T_583 @[dma_ctrl.scala 226:82] + node _T_585 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 226:98] + node _T_586 = bits(fifo_valid, 3, 3) @[dma_ctrl.scala 226:118] + node _T_587 = mux(_T_585, UInt<1>("h01"), _T_586) @[dma_ctrl.scala 226:86] + node _T_588 = bits(fifo_reset, 3, 3) @[dma_ctrl.scala 226:136] + node _T_589 = eq(_T_588, UInt<1>("h00")) @[dma_ctrl.scala 226:125] + node _T_590 = and(_T_587, _T_589) @[dma_ctrl.scala 226:123] + reg _T_591 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 226:82] + _T_591 <= _T_590 @[dma_ctrl.scala 226:82] + node _T_592 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 226:98] + node _T_593 = bits(fifo_valid, 4, 4) @[dma_ctrl.scala 226:118] + node _T_594 = mux(_T_592, UInt<1>("h01"), _T_593) @[dma_ctrl.scala 226:86] + node _T_595 = bits(fifo_reset, 4, 4) @[dma_ctrl.scala 226:136] + node _T_596 = eq(_T_595, UInt<1>("h00")) @[dma_ctrl.scala 226:125] + node _T_597 = and(_T_594, _T_596) @[dma_ctrl.scala 226:123] + reg _T_598 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 226:82] + _T_598 <= _T_597 @[dma_ctrl.scala 226:82] node _T_599 = cat(_T_598, _T_591) @[Cat.scala 29:58] node _T_600 = cat(_T_599, _T_584) @[Cat.scala 29:58] node _T_601 = cat(_T_600, _T_577) @[Cat.scala 29:58] node _T_602 = cat(_T_601, _T_570) @[Cat.scala 29:58] - fifo_valid <= _T_602 @[dma_ctrl.scala 233:14] - node _T_603 = bits(fifo_error_en, 0, 0) @[dma_ctrl.scala 235:103] - node _T_604 = bits(_T_603, 0, 0) @[dma_ctrl.scala 235:113] - node _T_605 = mux(_T_604, fifo_error_in[0], fifo_error[0]) @[dma_ctrl.scala 235:89] - node _T_606 = bits(fifo_reset, 0, 0) @[dma_ctrl.scala 235:196] - node _T_607 = eq(_T_606, UInt<1>("h00")) @[dma_ctrl.scala 235:185] + fifo_valid <= _T_602 @[dma_ctrl.scala 226:14] + node _T_603 = bits(fifo_error_en, 0, 0) @[dma_ctrl.scala 228:103] + node _T_604 = bits(_T_603, 0, 0) @[dma_ctrl.scala 228:113] + node _T_605 = mux(_T_604, fifo_error_in[0], fifo_error[0]) @[dma_ctrl.scala 228:89] + node _T_606 = bits(fifo_reset, 0, 0) @[dma_ctrl.scala 228:196] + node _T_607 = eq(_T_606, UInt<1>("h00")) @[dma_ctrl.scala 228:185] node _T_608 = bits(_T_607, 0, 0) @[Bitwise.scala 72:15] node _T_609 = mux(_T_608, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_610 = and(_T_605, _T_609) @[dma_ctrl.scala 235:150] - reg _T_611 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 235:85] - _T_611 <= _T_610 @[dma_ctrl.scala 235:85] - fifo_error[0] <= _T_611 @[dma_ctrl.scala 235:50] - node _T_612 = bits(fifo_error_en, 1, 1) @[dma_ctrl.scala 235:103] - node _T_613 = bits(_T_612, 0, 0) @[dma_ctrl.scala 235:113] - node _T_614 = mux(_T_613, fifo_error_in[1], fifo_error[1]) @[dma_ctrl.scala 235:89] - node _T_615 = bits(fifo_reset, 1, 1) @[dma_ctrl.scala 235:196] - node _T_616 = eq(_T_615, UInt<1>("h00")) @[dma_ctrl.scala 235:185] + node _T_610 = and(_T_605, _T_609) @[dma_ctrl.scala 228:150] + reg _T_611 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 228:85] + _T_611 <= _T_610 @[dma_ctrl.scala 228:85] + fifo_error[0] <= _T_611 @[dma_ctrl.scala 228:50] + node _T_612 = bits(fifo_error_en, 1, 1) @[dma_ctrl.scala 228:103] + node _T_613 = bits(_T_612, 0, 0) @[dma_ctrl.scala 228:113] + node _T_614 = mux(_T_613, fifo_error_in[1], fifo_error[1]) @[dma_ctrl.scala 228:89] + node _T_615 = bits(fifo_reset, 1, 1) @[dma_ctrl.scala 228:196] + node _T_616 = eq(_T_615, UInt<1>("h00")) @[dma_ctrl.scala 228:185] node _T_617 = bits(_T_616, 0, 0) @[Bitwise.scala 72:15] node _T_618 = mux(_T_617, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_619 = and(_T_614, _T_618) @[dma_ctrl.scala 235:150] - reg _T_620 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 235:85] - _T_620 <= _T_619 @[dma_ctrl.scala 235:85] - fifo_error[1] <= _T_620 @[dma_ctrl.scala 235:50] - node _T_621 = bits(fifo_error_en, 2, 2) @[dma_ctrl.scala 235:103] - node _T_622 = bits(_T_621, 0, 0) @[dma_ctrl.scala 235:113] - node _T_623 = mux(_T_622, fifo_error_in[2], fifo_error[2]) @[dma_ctrl.scala 235:89] - node _T_624 = bits(fifo_reset, 2, 2) @[dma_ctrl.scala 235:196] - node _T_625 = eq(_T_624, UInt<1>("h00")) @[dma_ctrl.scala 235:185] + node _T_619 = and(_T_614, _T_618) @[dma_ctrl.scala 228:150] + reg _T_620 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 228:85] + _T_620 <= _T_619 @[dma_ctrl.scala 228:85] + fifo_error[1] <= _T_620 @[dma_ctrl.scala 228:50] + node _T_621 = bits(fifo_error_en, 2, 2) @[dma_ctrl.scala 228:103] + node _T_622 = bits(_T_621, 0, 0) @[dma_ctrl.scala 228:113] + node _T_623 = mux(_T_622, fifo_error_in[2], fifo_error[2]) @[dma_ctrl.scala 228:89] + node _T_624 = bits(fifo_reset, 2, 2) @[dma_ctrl.scala 228:196] + node _T_625 = eq(_T_624, UInt<1>("h00")) @[dma_ctrl.scala 228:185] node _T_626 = bits(_T_625, 0, 0) @[Bitwise.scala 72:15] node _T_627 = mux(_T_626, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_628 = and(_T_623, _T_627) @[dma_ctrl.scala 235:150] - reg _T_629 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 235:85] - _T_629 <= _T_628 @[dma_ctrl.scala 235:85] - fifo_error[2] <= _T_629 @[dma_ctrl.scala 235:50] - node _T_630 = bits(fifo_error_en, 3, 3) @[dma_ctrl.scala 235:103] - node _T_631 = bits(_T_630, 0, 0) @[dma_ctrl.scala 235:113] - node _T_632 = mux(_T_631, fifo_error_in[3], fifo_error[3]) @[dma_ctrl.scala 235:89] - node _T_633 = bits(fifo_reset, 3, 3) @[dma_ctrl.scala 235:196] - node _T_634 = eq(_T_633, UInt<1>("h00")) @[dma_ctrl.scala 235:185] + node _T_628 = and(_T_623, _T_627) @[dma_ctrl.scala 228:150] + reg _T_629 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 228:85] + _T_629 <= _T_628 @[dma_ctrl.scala 228:85] + fifo_error[2] <= _T_629 @[dma_ctrl.scala 228:50] + node _T_630 = bits(fifo_error_en, 3, 3) @[dma_ctrl.scala 228:103] + node _T_631 = bits(_T_630, 0, 0) @[dma_ctrl.scala 228:113] + node _T_632 = mux(_T_631, fifo_error_in[3], fifo_error[3]) @[dma_ctrl.scala 228:89] + node _T_633 = bits(fifo_reset, 3, 3) @[dma_ctrl.scala 228:196] + node _T_634 = eq(_T_633, UInt<1>("h00")) @[dma_ctrl.scala 228:185] node _T_635 = bits(_T_634, 0, 0) @[Bitwise.scala 72:15] node _T_636 = mux(_T_635, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_637 = and(_T_632, _T_636) @[dma_ctrl.scala 235:150] - reg _T_638 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 235:85] - _T_638 <= _T_637 @[dma_ctrl.scala 235:85] - fifo_error[3] <= _T_638 @[dma_ctrl.scala 235:50] - node _T_639 = bits(fifo_error_en, 4, 4) @[dma_ctrl.scala 235:103] - node _T_640 = bits(_T_639, 0, 0) @[dma_ctrl.scala 235:113] - node _T_641 = mux(_T_640, fifo_error_in[4], fifo_error[4]) @[dma_ctrl.scala 235:89] - node _T_642 = bits(fifo_reset, 4, 4) @[dma_ctrl.scala 235:196] - node _T_643 = eq(_T_642, UInt<1>("h00")) @[dma_ctrl.scala 235:185] + node _T_637 = and(_T_632, _T_636) @[dma_ctrl.scala 228:150] + reg _T_638 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 228:85] + _T_638 <= _T_637 @[dma_ctrl.scala 228:85] + fifo_error[3] <= _T_638 @[dma_ctrl.scala 228:50] + node _T_639 = bits(fifo_error_en, 4, 4) @[dma_ctrl.scala 228:103] + node _T_640 = bits(_T_639, 0, 0) @[dma_ctrl.scala 228:113] + node _T_641 = mux(_T_640, fifo_error_in[4], fifo_error[4]) @[dma_ctrl.scala 228:89] + node _T_642 = bits(fifo_reset, 4, 4) @[dma_ctrl.scala 228:196] + node _T_643 = eq(_T_642, UInt<1>("h00")) @[dma_ctrl.scala 228:185] node _T_644 = bits(_T_643, 0, 0) @[Bitwise.scala 72:15] node _T_645 = mux(_T_644, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_646 = and(_T_641, _T_645) @[dma_ctrl.scala 235:150] - reg _T_647 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 235:85] - _T_647 <= _T_646 @[dma_ctrl.scala 235:85] - fifo_error[4] <= _T_647 @[dma_ctrl.scala 235:50] - node _T_648 = bits(fifo_error_bus_en, 0, 0) @[dma_ctrl.scala 237:111] - node _T_649 = bits(fifo_error_bus, 0, 0) @[dma_ctrl.scala 237:135] - node _T_650 = mux(_T_648, UInt<1>("h01"), _T_649) @[dma_ctrl.scala 237:93] - node _T_651 = bits(fifo_reset, 0, 0) @[dma_ctrl.scala 237:153] - node _T_652 = eq(_T_651, UInt<1>("h00")) @[dma_ctrl.scala 237:142] - node _T_653 = and(_T_650, _T_652) @[dma_ctrl.scala 237:140] - reg _T_654 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 237:89] - _T_654 <= _T_653 @[dma_ctrl.scala 237:89] - node _T_655 = bits(fifo_error_bus_en, 1, 1) @[dma_ctrl.scala 237:111] - node _T_656 = bits(fifo_error_bus, 1, 1) @[dma_ctrl.scala 237:135] - node _T_657 = mux(_T_655, UInt<1>("h01"), _T_656) @[dma_ctrl.scala 237:93] - node _T_658 = bits(fifo_reset, 1, 1) @[dma_ctrl.scala 237:153] - node _T_659 = eq(_T_658, UInt<1>("h00")) @[dma_ctrl.scala 237:142] - node _T_660 = and(_T_657, _T_659) @[dma_ctrl.scala 237:140] - reg _T_661 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 237:89] - _T_661 <= _T_660 @[dma_ctrl.scala 237:89] - node _T_662 = bits(fifo_error_bus_en, 2, 2) @[dma_ctrl.scala 237:111] - node _T_663 = bits(fifo_error_bus, 2, 2) @[dma_ctrl.scala 237:135] - node _T_664 = mux(_T_662, UInt<1>("h01"), _T_663) @[dma_ctrl.scala 237:93] - node _T_665 = bits(fifo_reset, 2, 2) @[dma_ctrl.scala 237:153] - node _T_666 = eq(_T_665, UInt<1>("h00")) @[dma_ctrl.scala 237:142] - node _T_667 = and(_T_664, _T_666) @[dma_ctrl.scala 237:140] - reg _T_668 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 237:89] - _T_668 <= _T_667 @[dma_ctrl.scala 237:89] - node _T_669 = bits(fifo_error_bus_en, 3, 3) @[dma_ctrl.scala 237:111] - node _T_670 = bits(fifo_error_bus, 3, 3) @[dma_ctrl.scala 237:135] - node _T_671 = mux(_T_669, UInt<1>("h01"), _T_670) @[dma_ctrl.scala 237:93] - node _T_672 = bits(fifo_reset, 3, 3) @[dma_ctrl.scala 237:153] - node _T_673 = eq(_T_672, UInt<1>("h00")) @[dma_ctrl.scala 237:142] - node _T_674 = and(_T_671, _T_673) @[dma_ctrl.scala 237:140] - reg _T_675 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 237:89] - _T_675 <= _T_674 @[dma_ctrl.scala 237:89] - node _T_676 = bits(fifo_error_bus_en, 4, 4) @[dma_ctrl.scala 237:111] - node _T_677 = bits(fifo_error_bus, 4, 4) @[dma_ctrl.scala 237:135] - node _T_678 = mux(_T_676, UInt<1>("h01"), _T_677) @[dma_ctrl.scala 237:93] - node _T_679 = bits(fifo_reset, 4, 4) @[dma_ctrl.scala 237:153] - node _T_680 = eq(_T_679, UInt<1>("h00")) @[dma_ctrl.scala 237:142] - node _T_681 = and(_T_678, _T_680) @[dma_ctrl.scala 237:140] - reg _T_682 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 237:89] - _T_682 <= _T_681 @[dma_ctrl.scala 237:89] + node _T_646 = and(_T_641, _T_645) @[dma_ctrl.scala 228:150] + reg _T_647 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 228:85] + _T_647 <= _T_646 @[dma_ctrl.scala 228:85] + fifo_error[4] <= _T_647 @[dma_ctrl.scala 228:50] + node _T_648 = bits(fifo_error_bus_en, 0, 0) @[dma_ctrl.scala 230:111] + node _T_649 = bits(fifo_error_bus, 0, 0) @[dma_ctrl.scala 230:135] + node _T_650 = mux(_T_648, UInt<1>("h01"), _T_649) @[dma_ctrl.scala 230:93] + node _T_651 = bits(fifo_reset, 0, 0) @[dma_ctrl.scala 230:153] + node _T_652 = eq(_T_651, UInt<1>("h00")) @[dma_ctrl.scala 230:142] + node _T_653 = and(_T_650, _T_652) @[dma_ctrl.scala 230:140] + reg _T_654 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 230:89] + _T_654 <= _T_653 @[dma_ctrl.scala 230:89] + node _T_655 = bits(fifo_error_bus_en, 1, 1) @[dma_ctrl.scala 230:111] + node _T_656 = bits(fifo_error_bus, 1, 1) @[dma_ctrl.scala 230:135] + node _T_657 = mux(_T_655, UInt<1>("h01"), _T_656) @[dma_ctrl.scala 230:93] + node _T_658 = bits(fifo_reset, 1, 1) @[dma_ctrl.scala 230:153] + node _T_659 = eq(_T_658, UInt<1>("h00")) @[dma_ctrl.scala 230:142] + node _T_660 = and(_T_657, _T_659) @[dma_ctrl.scala 230:140] + reg _T_661 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 230:89] + _T_661 <= _T_660 @[dma_ctrl.scala 230:89] + node _T_662 = bits(fifo_error_bus_en, 2, 2) @[dma_ctrl.scala 230:111] + node _T_663 = bits(fifo_error_bus, 2, 2) @[dma_ctrl.scala 230:135] + node _T_664 = mux(_T_662, UInt<1>("h01"), _T_663) @[dma_ctrl.scala 230:93] + node _T_665 = bits(fifo_reset, 2, 2) @[dma_ctrl.scala 230:153] + node _T_666 = eq(_T_665, UInt<1>("h00")) @[dma_ctrl.scala 230:142] + node _T_667 = and(_T_664, _T_666) @[dma_ctrl.scala 230:140] + reg _T_668 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 230:89] + _T_668 <= _T_667 @[dma_ctrl.scala 230:89] + node _T_669 = bits(fifo_error_bus_en, 3, 3) @[dma_ctrl.scala 230:111] + node _T_670 = bits(fifo_error_bus, 3, 3) @[dma_ctrl.scala 230:135] + node _T_671 = mux(_T_669, UInt<1>("h01"), _T_670) @[dma_ctrl.scala 230:93] + node _T_672 = bits(fifo_reset, 3, 3) @[dma_ctrl.scala 230:153] + node _T_673 = eq(_T_672, UInt<1>("h00")) @[dma_ctrl.scala 230:142] + node _T_674 = and(_T_671, _T_673) @[dma_ctrl.scala 230:140] + reg _T_675 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 230:89] + _T_675 <= _T_674 @[dma_ctrl.scala 230:89] + node _T_676 = bits(fifo_error_bus_en, 4, 4) @[dma_ctrl.scala 230:111] + node _T_677 = bits(fifo_error_bus, 4, 4) @[dma_ctrl.scala 230:135] + node _T_678 = mux(_T_676, UInt<1>("h01"), _T_677) @[dma_ctrl.scala 230:93] + node _T_679 = bits(fifo_reset, 4, 4) @[dma_ctrl.scala 230:153] + node _T_680 = eq(_T_679, UInt<1>("h00")) @[dma_ctrl.scala 230:142] + node _T_681 = and(_T_678, _T_680) @[dma_ctrl.scala 230:140] + reg _T_682 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 230:89] + _T_682 <= _T_681 @[dma_ctrl.scala 230:89] node _T_683 = cat(_T_682, _T_675) @[Cat.scala 29:58] node _T_684 = cat(_T_683, _T_668) @[Cat.scala 29:58] node _T_685 = cat(_T_684, _T_661) @[Cat.scala 29:58] node _T_686 = cat(_T_685, _T_654) @[Cat.scala 29:58] - fifo_error_bus <= _T_686 @[dma_ctrl.scala 237:21] - node _T_687 = bits(fifo_pend_en, 0, 0) @[dma_ctrl.scala 239:106] - node _T_688 = bits(fifo_rpend, 0, 0) @[dma_ctrl.scala 239:126] - node _T_689 = mux(_T_687, UInt<1>("h01"), _T_688) @[dma_ctrl.scala 239:93] - node _T_690 = bits(fifo_reset, 0, 0) @[dma_ctrl.scala 239:144] - node _T_691 = eq(_T_690, UInt<1>("h00")) @[dma_ctrl.scala 239:133] - node _T_692 = and(_T_689, _T_691) @[dma_ctrl.scala 239:131] - reg _T_693 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 239:89] - _T_693 <= _T_692 @[dma_ctrl.scala 239:89] - node _T_694 = bits(fifo_pend_en, 1, 1) @[dma_ctrl.scala 239:106] - node _T_695 = bits(fifo_rpend, 1, 1) @[dma_ctrl.scala 239:126] - node _T_696 = mux(_T_694, UInt<1>("h01"), _T_695) @[dma_ctrl.scala 239:93] - node _T_697 = bits(fifo_reset, 1, 1) @[dma_ctrl.scala 239:144] - node _T_698 = eq(_T_697, UInt<1>("h00")) @[dma_ctrl.scala 239:133] - node _T_699 = and(_T_696, _T_698) @[dma_ctrl.scala 239:131] - reg _T_700 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 239:89] - _T_700 <= _T_699 @[dma_ctrl.scala 239:89] - node _T_701 = bits(fifo_pend_en, 2, 2) @[dma_ctrl.scala 239:106] - node _T_702 = bits(fifo_rpend, 2, 2) @[dma_ctrl.scala 239:126] - node _T_703 = mux(_T_701, UInt<1>("h01"), _T_702) @[dma_ctrl.scala 239:93] - node _T_704 = bits(fifo_reset, 2, 2) @[dma_ctrl.scala 239:144] - node _T_705 = eq(_T_704, UInt<1>("h00")) @[dma_ctrl.scala 239:133] - node _T_706 = and(_T_703, _T_705) @[dma_ctrl.scala 239:131] - reg _T_707 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 239:89] - _T_707 <= _T_706 @[dma_ctrl.scala 239:89] - node _T_708 = bits(fifo_pend_en, 3, 3) @[dma_ctrl.scala 239:106] - node _T_709 = bits(fifo_rpend, 3, 3) @[dma_ctrl.scala 239:126] - node _T_710 = mux(_T_708, UInt<1>("h01"), _T_709) @[dma_ctrl.scala 239:93] - node _T_711 = bits(fifo_reset, 3, 3) @[dma_ctrl.scala 239:144] - node _T_712 = eq(_T_711, UInt<1>("h00")) @[dma_ctrl.scala 239:133] - node _T_713 = and(_T_710, _T_712) @[dma_ctrl.scala 239:131] - reg _T_714 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 239:89] - _T_714 <= _T_713 @[dma_ctrl.scala 239:89] - node _T_715 = bits(fifo_pend_en, 4, 4) @[dma_ctrl.scala 239:106] - node _T_716 = bits(fifo_rpend, 4, 4) @[dma_ctrl.scala 239:126] - node _T_717 = mux(_T_715, UInt<1>("h01"), _T_716) @[dma_ctrl.scala 239:93] - node _T_718 = bits(fifo_reset, 4, 4) @[dma_ctrl.scala 239:144] - node _T_719 = eq(_T_718, UInt<1>("h00")) @[dma_ctrl.scala 239:133] - node _T_720 = and(_T_717, _T_719) @[dma_ctrl.scala 239:131] - reg _T_721 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 239:89] - _T_721 <= _T_720 @[dma_ctrl.scala 239:89] + fifo_error_bus <= _T_686 @[dma_ctrl.scala 230:21] + node _T_687 = bits(fifo_pend_en, 0, 0) @[dma_ctrl.scala 232:106] + node _T_688 = bits(fifo_rpend, 0, 0) @[dma_ctrl.scala 232:126] + node _T_689 = mux(_T_687, UInt<1>("h01"), _T_688) @[dma_ctrl.scala 232:93] + node _T_690 = bits(fifo_reset, 0, 0) @[dma_ctrl.scala 232:144] + node _T_691 = eq(_T_690, UInt<1>("h00")) @[dma_ctrl.scala 232:133] + node _T_692 = and(_T_689, _T_691) @[dma_ctrl.scala 232:131] + reg _T_693 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 232:89] + _T_693 <= _T_692 @[dma_ctrl.scala 232:89] + node _T_694 = bits(fifo_pend_en, 1, 1) @[dma_ctrl.scala 232:106] + node _T_695 = bits(fifo_rpend, 1, 1) @[dma_ctrl.scala 232:126] + node _T_696 = mux(_T_694, UInt<1>("h01"), _T_695) @[dma_ctrl.scala 232:93] + node _T_697 = bits(fifo_reset, 1, 1) @[dma_ctrl.scala 232:144] + node _T_698 = eq(_T_697, UInt<1>("h00")) @[dma_ctrl.scala 232:133] + node _T_699 = and(_T_696, _T_698) @[dma_ctrl.scala 232:131] + reg _T_700 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 232:89] + _T_700 <= _T_699 @[dma_ctrl.scala 232:89] + node _T_701 = bits(fifo_pend_en, 2, 2) @[dma_ctrl.scala 232:106] + node _T_702 = bits(fifo_rpend, 2, 2) @[dma_ctrl.scala 232:126] + node _T_703 = mux(_T_701, UInt<1>("h01"), _T_702) @[dma_ctrl.scala 232:93] + node _T_704 = bits(fifo_reset, 2, 2) @[dma_ctrl.scala 232:144] + node _T_705 = eq(_T_704, UInt<1>("h00")) @[dma_ctrl.scala 232:133] + node _T_706 = and(_T_703, _T_705) @[dma_ctrl.scala 232:131] + reg _T_707 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 232:89] + _T_707 <= _T_706 @[dma_ctrl.scala 232:89] + node _T_708 = bits(fifo_pend_en, 3, 3) @[dma_ctrl.scala 232:106] + node _T_709 = bits(fifo_rpend, 3, 3) @[dma_ctrl.scala 232:126] + node _T_710 = mux(_T_708, UInt<1>("h01"), _T_709) @[dma_ctrl.scala 232:93] + node _T_711 = bits(fifo_reset, 3, 3) @[dma_ctrl.scala 232:144] + node _T_712 = eq(_T_711, UInt<1>("h00")) @[dma_ctrl.scala 232:133] + node _T_713 = and(_T_710, _T_712) @[dma_ctrl.scala 232:131] + reg _T_714 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 232:89] + _T_714 <= _T_713 @[dma_ctrl.scala 232:89] + node _T_715 = bits(fifo_pend_en, 4, 4) @[dma_ctrl.scala 232:106] + node _T_716 = bits(fifo_rpend, 4, 4) @[dma_ctrl.scala 232:126] + node _T_717 = mux(_T_715, UInt<1>("h01"), _T_716) @[dma_ctrl.scala 232:93] + node _T_718 = bits(fifo_reset, 4, 4) @[dma_ctrl.scala 232:144] + node _T_719 = eq(_T_718, UInt<1>("h00")) @[dma_ctrl.scala 232:133] + node _T_720 = and(_T_717, _T_719) @[dma_ctrl.scala 232:131] + reg _T_721 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 232:89] + _T_721 <= _T_720 @[dma_ctrl.scala 232:89] node _T_722 = cat(_T_721, _T_714) @[Cat.scala 29:58] node _T_723 = cat(_T_722, _T_707) @[Cat.scala 29:58] node _T_724 = cat(_T_723, _T_700) @[Cat.scala 29:58] node _T_725 = cat(_T_724, _T_693) @[Cat.scala 29:58] - fifo_rpend <= _T_725 @[dma_ctrl.scala 239:21] - node _T_726 = bits(fifo_done_en, 0, 0) @[dma_ctrl.scala 241:106] - node _T_727 = bits(fifo_done, 0, 0) @[dma_ctrl.scala 241:125] - node _T_728 = mux(_T_726, UInt<1>("h01"), _T_727) @[dma_ctrl.scala 241:93] - node _T_729 = bits(fifo_reset, 0, 0) @[dma_ctrl.scala 241:143] - node _T_730 = eq(_T_729, UInt<1>("h00")) @[dma_ctrl.scala 241:132] - node _T_731 = and(_T_728, _T_730) @[dma_ctrl.scala 241:130] - reg _T_732 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 241:89] - _T_732 <= _T_731 @[dma_ctrl.scala 241:89] - node _T_733 = bits(fifo_done_en, 1, 1) @[dma_ctrl.scala 241:106] - node _T_734 = bits(fifo_done, 1, 1) @[dma_ctrl.scala 241:125] - node _T_735 = mux(_T_733, UInt<1>("h01"), _T_734) @[dma_ctrl.scala 241:93] - node _T_736 = bits(fifo_reset, 1, 1) @[dma_ctrl.scala 241:143] - node _T_737 = eq(_T_736, UInt<1>("h00")) @[dma_ctrl.scala 241:132] - node _T_738 = and(_T_735, _T_737) @[dma_ctrl.scala 241:130] - reg _T_739 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 241:89] - _T_739 <= _T_738 @[dma_ctrl.scala 241:89] - node _T_740 = bits(fifo_done_en, 2, 2) @[dma_ctrl.scala 241:106] - node _T_741 = bits(fifo_done, 2, 2) @[dma_ctrl.scala 241:125] - node _T_742 = mux(_T_740, UInt<1>("h01"), _T_741) @[dma_ctrl.scala 241:93] - node _T_743 = bits(fifo_reset, 2, 2) @[dma_ctrl.scala 241:143] - node _T_744 = eq(_T_743, UInt<1>("h00")) @[dma_ctrl.scala 241:132] - node _T_745 = and(_T_742, _T_744) @[dma_ctrl.scala 241:130] - reg _T_746 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 241:89] - _T_746 <= _T_745 @[dma_ctrl.scala 241:89] - node _T_747 = bits(fifo_done_en, 3, 3) @[dma_ctrl.scala 241:106] - node _T_748 = bits(fifo_done, 3, 3) @[dma_ctrl.scala 241:125] - node _T_749 = mux(_T_747, UInt<1>("h01"), _T_748) @[dma_ctrl.scala 241:93] - node _T_750 = bits(fifo_reset, 3, 3) @[dma_ctrl.scala 241:143] - node _T_751 = eq(_T_750, UInt<1>("h00")) @[dma_ctrl.scala 241:132] - node _T_752 = and(_T_749, _T_751) @[dma_ctrl.scala 241:130] - reg _T_753 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 241:89] - _T_753 <= _T_752 @[dma_ctrl.scala 241:89] - node _T_754 = bits(fifo_done_en, 4, 4) @[dma_ctrl.scala 241:106] - node _T_755 = bits(fifo_done, 4, 4) @[dma_ctrl.scala 241:125] - node _T_756 = mux(_T_754, UInt<1>("h01"), _T_755) @[dma_ctrl.scala 241:93] - node _T_757 = bits(fifo_reset, 4, 4) @[dma_ctrl.scala 241:143] - node _T_758 = eq(_T_757, UInt<1>("h00")) @[dma_ctrl.scala 241:132] - node _T_759 = and(_T_756, _T_758) @[dma_ctrl.scala 241:130] - reg _T_760 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 241:89] - _T_760 <= _T_759 @[dma_ctrl.scala 241:89] + fifo_rpend <= _T_725 @[dma_ctrl.scala 232:21] + node _T_726 = bits(fifo_done_en, 0, 0) @[dma_ctrl.scala 234:106] + node _T_727 = bits(fifo_done, 0, 0) @[dma_ctrl.scala 234:125] + node _T_728 = mux(_T_726, UInt<1>("h01"), _T_727) @[dma_ctrl.scala 234:93] + node _T_729 = bits(fifo_reset, 0, 0) @[dma_ctrl.scala 234:143] + node _T_730 = eq(_T_729, UInt<1>("h00")) @[dma_ctrl.scala 234:132] + node _T_731 = and(_T_728, _T_730) @[dma_ctrl.scala 234:130] + reg _T_732 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 234:89] + _T_732 <= _T_731 @[dma_ctrl.scala 234:89] + node _T_733 = bits(fifo_done_en, 1, 1) @[dma_ctrl.scala 234:106] + node _T_734 = bits(fifo_done, 1, 1) @[dma_ctrl.scala 234:125] + node _T_735 = mux(_T_733, UInt<1>("h01"), _T_734) @[dma_ctrl.scala 234:93] + node _T_736 = bits(fifo_reset, 1, 1) @[dma_ctrl.scala 234:143] + node _T_737 = eq(_T_736, UInt<1>("h00")) @[dma_ctrl.scala 234:132] + node _T_738 = and(_T_735, _T_737) @[dma_ctrl.scala 234:130] + reg _T_739 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 234:89] + _T_739 <= _T_738 @[dma_ctrl.scala 234:89] + node _T_740 = bits(fifo_done_en, 2, 2) @[dma_ctrl.scala 234:106] + node _T_741 = bits(fifo_done, 2, 2) @[dma_ctrl.scala 234:125] + node _T_742 = mux(_T_740, UInt<1>("h01"), _T_741) @[dma_ctrl.scala 234:93] + node _T_743 = bits(fifo_reset, 2, 2) @[dma_ctrl.scala 234:143] + node _T_744 = eq(_T_743, UInt<1>("h00")) @[dma_ctrl.scala 234:132] + node _T_745 = and(_T_742, _T_744) @[dma_ctrl.scala 234:130] + reg _T_746 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 234:89] + _T_746 <= _T_745 @[dma_ctrl.scala 234:89] + node _T_747 = bits(fifo_done_en, 3, 3) @[dma_ctrl.scala 234:106] + node _T_748 = bits(fifo_done, 3, 3) @[dma_ctrl.scala 234:125] + node _T_749 = mux(_T_747, UInt<1>("h01"), _T_748) @[dma_ctrl.scala 234:93] + node _T_750 = bits(fifo_reset, 3, 3) @[dma_ctrl.scala 234:143] + node _T_751 = eq(_T_750, UInt<1>("h00")) @[dma_ctrl.scala 234:132] + node _T_752 = and(_T_749, _T_751) @[dma_ctrl.scala 234:130] + reg _T_753 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 234:89] + _T_753 <= _T_752 @[dma_ctrl.scala 234:89] + node _T_754 = bits(fifo_done_en, 4, 4) @[dma_ctrl.scala 234:106] + node _T_755 = bits(fifo_done, 4, 4) @[dma_ctrl.scala 234:125] + node _T_756 = mux(_T_754, UInt<1>("h01"), _T_755) @[dma_ctrl.scala 234:93] + node _T_757 = bits(fifo_reset, 4, 4) @[dma_ctrl.scala 234:143] + node _T_758 = eq(_T_757, UInt<1>("h00")) @[dma_ctrl.scala 234:132] + node _T_759 = and(_T_756, _T_758) @[dma_ctrl.scala 234:130] + reg _T_760 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 234:89] + _T_760 <= _T_759 @[dma_ctrl.scala 234:89] node _T_761 = cat(_T_760, _T_753) @[Cat.scala 29:58] node _T_762 = cat(_T_761, _T_746) @[Cat.scala 29:58] node _T_763 = cat(_T_762, _T_739) @[Cat.scala 29:58] node _T_764 = cat(_T_763, _T_732) @[Cat.scala 29:58] - fifo_done <= _T_764 @[dma_ctrl.scala 241:21] - node _T_765 = bits(fifo_done_bus_en, 0, 0) @[dma_ctrl.scala 243:110] - node _T_766 = bits(fifo_done_bus, 0, 0) @[dma_ctrl.scala 243:133] - node _T_767 = mux(_T_765, UInt<1>("h01"), _T_766) @[dma_ctrl.scala 243:93] - node _T_768 = bits(fifo_reset, 0, 0) @[dma_ctrl.scala 243:151] - node _T_769 = eq(_T_768, UInt<1>("h00")) @[dma_ctrl.scala 243:140] - node _T_770 = and(_T_767, _T_769) @[dma_ctrl.scala 243:138] - reg _T_771 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 243:89] - _T_771 <= _T_770 @[dma_ctrl.scala 243:89] - node _T_772 = bits(fifo_done_bus_en, 1, 1) @[dma_ctrl.scala 243:110] - node _T_773 = bits(fifo_done_bus, 1, 1) @[dma_ctrl.scala 243:133] - node _T_774 = mux(_T_772, UInt<1>("h01"), _T_773) @[dma_ctrl.scala 243:93] - node _T_775 = bits(fifo_reset, 1, 1) @[dma_ctrl.scala 243:151] - node _T_776 = eq(_T_775, UInt<1>("h00")) @[dma_ctrl.scala 243:140] - node _T_777 = and(_T_774, _T_776) @[dma_ctrl.scala 243:138] - reg _T_778 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 243:89] - _T_778 <= _T_777 @[dma_ctrl.scala 243:89] - node _T_779 = bits(fifo_done_bus_en, 2, 2) @[dma_ctrl.scala 243:110] - node _T_780 = bits(fifo_done_bus, 2, 2) @[dma_ctrl.scala 243:133] - node _T_781 = mux(_T_779, UInt<1>("h01"), _T_780) @[dma_ctrl.scala 243:93] - node _T_782 = bits(fifo_reset, 2, 2) @[dma_ctrl.scala 243:151] - node _T_783 = eq(_T_782, UInt<1>("h00")) @[dma_ctrl.scala 243:140] - node _T_784 = and(_T_781, _T_783) @[dma_ctrl.scala 243:138] - reg _T_785 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 243:89] - _T_785 <= _T_784 @[dma_ctrl.scala 243:89] - node _T_786 = bits(fifo_done_bus_en, 3, 3) @[dma_ctrl.scala 243:110] - node _T_787 = bits(fifo_done_bus, 3, 3) @[dma_ctrl.scala 243:133] - node _T_788 = mux(_T_786, UInt<1>("h01"), _T_787) @[dma_ctrl.scala 243:93] - node _T_789 = bits(fifo_reset, 3, 3) @[dma_ctrl.scala 243:151] - node _T_790 = eq(_T_789, UInt<1>("h00")) @[dma_ctrl.scala 243:140] - node _T_791 = and(_T_788, _T_790) @[dma_ctrl.scala 243:138] - reg _T_792 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 243:89] - _T_792 <= _T_791 @[dma_ctrl.scala 243:89] - node _T_793 = bits(fifo_done_bus_en, 4, 4) @[dma_ctrl.scala 243:110] - node _T_794 = bits(fifo_done_bus, 4, 4) @[dma_ctrl.scala 243:133] - node _T_795 = mux(_T_793, UInt<1>("h01"), _T_794) @[dma_ctrl.scala 243:93] - node _T_796 = bits(fifo_reset, 4, 4) @[dma_ctrl.scala 243:151] - node _T_797 = eq(_T_796, UInt<1>("h00")) @[dma_ctrl.scala 243:140] - node _T_798 = and(_T_795, _T_797) @[dma_ctrl.scala 243:138] - reg _T_799 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 243:89] - _T_799 <= _T_798 @[dma_ctrl.scala 243:89] + fifo_done <= _T_764 @[dma_ctrl.scala 234:21] + node _T_765 = bits(fifo_done_bus_en, 0, 0) @[dma_ctrl.scala 236:110] + node _T_766 = bits(fifo_done_bus, 0, 0) @[dma_ctrl.scala 236:133] + node _T_767 = mux(_T_765, UInt<1>("h01"), _T_766) @[dma_ctrl.scala 236:93] + node _T_768 = bits(fifo_reset, 0, 0) @[dma_ctrl.scala 236:151] + node _T_769 = eq(_T_768, UInt<1>("h00")) @[dma_ctrl.scala 236:140] + node _T_770 = and(_T_767, _T_769) @[dma_ctrl.scala 236:138] + reg _T_771 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 236:89] + _T_771 <= _T_770 @[dma_ctrl.scala 236:89] + node _T_772 = bits(fifo_done_bus_en, 1, 1) @[dma_ctrl.scala 236:110] + node _T_773 = bits(fifo_done_bus, 1, 1) @[dma_ctrl.scala 236:133] + node _T_774 = mux(_T_772, UInt<1>("h01"), _T_773) @[dma_ctrl.scala 236:93] + node _T_775 = bits(fifo_reset, 1, 1) @[dma_ctrl.scala 236:151] + node _T_776 = eq(_T_775, UInt<1>("h00")) @[dma_ctrl.scala 236:140] + node _T_777 = and(_T_774, _T_776) @[dma_ctrl.scala 236:138] + reg _T_778 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 236:89] + _T_778 <= _T_777 @[dma_ctrl.scala 236:89] + node _T_779 = bits(fifo_done_bus_en, 2, 2) @[dma_ctrl.scala 236:110] + node _T_780 = bits(fifo_done_bus, 2, 2) @[dma_ctrl.scala 236:133] + node _T_781 = mux(_T_779, UInt<1>("h01"), _T_780) @[dma_ctrl.scala 236:93] + node _T_782 = bits(fifo_reset, 2, 2) @[dma_ctrl.scala 236:151] + node _T_783 = eq(_T_782, UInt<1>("h00")) @[dma_ctrl.scala 236:140] + node _T_784 = and(_T_781, _T_783) @[dma_ctrl.scala 236:138] + reg _T_785 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 236:89] + _T_785 <= _T_784 @[dma_ctrl.scala 236:89] + node _T_786 = bits(fifo_done_bus_en, 3, 3) @[dma_ctrl.scala 236:110] + node _T_787 = bits(fifo_done_bus, 3, 3) @[dma_ctrl.scala 236:133] + node _T_788 = mux(_T_786, UInt<1>("h01"), _T_787) @[dma_ctrl.scala 236:93] + node _T_789 = bits(fifo_reset, 3, 3) @[dma_ctrl.scala 236:151] + node _T_790 = eq(_T_789, UInt<1>("h00")) @[dma_ctrl.scala 236:140] + node _T_791 = and(_T_788, _T_790) @[dma_ctrl.scala 236:138] + reg _T_792 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 236:89] + _T_792 <= _T_791 @[dma_ctrl.scala 236:89] + node _T_793 = bits(fifo_done_bus_en, 4, 4) @[dma_ctrl.scala 236:110] + node _T_794 = bits(fifo_done_bus, 4, 4) @[dma_ctrl.scala 236:133] + node _T_795 = mux(_T_793, UInt<1>("h01"), _T_794) @[dma_ctrl.scala 236:93] + node _T_796 = bits(fifo_reset, 4, 4) @[dma_ctrl.scala 236:151] + node _T_797 = eq(_T_796, UInt<1>("h00")) @[dma_ctrl.scala 236:140] + node _T_798 = and(_T_795, _T_797) @[dma_ctrl.scala 236:138] + reg _T_799 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 236:89] + _T_799 <= _T_798 @[dma_ctrl.scala 236:89] node _T_800 = cat(_T_799, _T_792) @[Cat.scala 29:58] node _T_801 = cat(_T_800, _T_785) @[Cat.scala 29:58] node _T_802 = cat(_T_801, _T_778) @[Cat.scala 29:58] node _T_803 = cat(_T_802, _T_771) @[Cat.scala 29:58] - fifo_done_bus <= _T_803 @[dma_ctrl.scala 243:21] - node _T_804 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 245:84] + fifo_done_bus <= _T_803 @[dma_ctrl.scala 236:21] + node _T_804 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 238:84] inst rvclkhdr of rvclkhdr_831 @[lib.scala 368:23] rvclkhdr.clock <= clock rvclkhdr.reset <= reset @@ -108111,8 +108103,8 @@ circuit quasar_wrapper : rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_805 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_805 <= fifo_addr_in @[lib.scala 374:16] - fifo_addr[0] <= _T_805 @[dma_ctrl.scala 245:49] - node _T_806 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 245:84] + fifo_addr[0] <= _T_805 @[dma_ctrl.scala 238:49] + node _T_806 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 238:84] inst rvclkhdr_1 of rvclkhdr_832 @[lib.scala 368:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset @@ -108121,8 +108113,8 @@ circuit quasar_wrapper : rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_807 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_807 <= fifo_addr_in @[lib.scala 374:16] - fifo_addr[1] <= _T_807 @[dma_ctrl.scala 245:49] - node _T_808 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 245:84] + fifo_addr[1] <= _T_807 @[dma_ctrl.scala 238:49] + node _T_808 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 238:84] inst rvclkhdr_2 of rvclkhdr_833 @[lib.scala 368:23] rvclkhdr_2.clock <= clock rvclkhdr_2.reset <= reset @@ -108131,8 +108123,8 @@ circuit quasar_wrapper : rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_809 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_809 <= fifo_addr_in @[lib.scala 374:16] - fifo_addr[2] <= _T_809 @[dma_ctrl.scala 245:49] - node _T_810 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 245:84] + fifo_addr[2] <= _T_809 @[dma_ctrl.scala 238:49] + node _T_810 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 238:84] inst rvclkhdr_3 of rvclkhdr_834 @[lib.scala 368:23] rvclkhdr_3.clock <= clock rvclkhdr_3.reset <= reset @@ -108141,8 +108133,8 @@ circuit quasar_wrapper : rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_811 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_811 <= fifo_addr_in @[lib.scala 374:16] - fifo_addr[3] <= _T_811 @[dma_ctrl.scala 245:49] - node _T_812 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 245:84] + fifo_addr[3] <= _T_811 @[dma_ctrl.scala 238:49] + node _T_812 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 238:84] inst rvclkhdr_4 of rvclkhdr_835 @[lib.scala 368:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset @@ -108151,103 +108143,103 @@ circuit quasar_wrapper : rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_813 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_813 <= fifo_addr_in @[lib.scala 374:16] - fifo_addr[4] <= _T_813 @[dma_ctrl.scala 245:49] - node _T_814 = bits(fifo_sz_in, 2, 0) @[dma_ctrl.scala 247:100] - node _T_815 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 247:123] + fifo_addr[4] <= _T_813 @[dma_ctrl.scala 238:49] + node _T_814 = bits(fifo_sz_in, 2, 0) @[dma_ctrl.scala 240:100] + node _T_815 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 240:123] reg _T_816 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_815 : @[Reg.scala 28:19] _T_816 <= _T_814 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_sz[0] <= _T_816 @[dma_ctrl.scala 247:47] - node _T_817 = bits(fifo_sz_in, 2, 0) @[dma_ctrl.scala 247:100] - node _T_818 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 247:123] + fifo_sz[0] <= _T_816 @[dma_ctrl.scala 240:47] + node _T_817 = bits(fifo_sz_in, 2, 0) @[dma_ctrl.scala 240:100] + node _T_818 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 240:123] reg _T_819 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_818 : @[Reg.scala 28:19] _T_819 <= _T_817 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_sz[1] <= _T_819 @[dma_ctrl.scala 247:47] - node _T_820 = bits(fifo_sz_in, 2, 0) @[dma_ctrl.scala 247:100] - node _T_821 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 247:123] + fifo_sz[1] <= _T_819 @[dma_ctrl.scala 240:47] + node _T_820 = bits(fifo_sz_in, 2, 0) @[dma_ctrl.scala 240:100] + node _T_821 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 240:123] reg _T_822 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_821 : @[Reg.scala 28:19] _T_822 <= _T_820 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_sz[2] <= _T_822 @[dma_ctrl.scala 247:47] - node _T_823 = bits(fifo_sz_in, 2, 0) @[dma_ctrl.scala 247:100] - node _T_824 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 247:123] + fifo_sz[2] <= _T_822 @[dma_ctrl.scala 240:47] + node _T_823 = bits(fifo_sz_in, 2, 0) @[dma_ctrl.scala 240:100] + node _T_824 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 240:123] reg _T_825 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_824 : @[Reg.scala 28:19] _T_825 <= _T_823 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_sz[3] <= _T_825 @[dma_ctrl.scala 247:47] - node _T_826 = bits(fifo_sz_in, 2, 0) @[dma_ctrl.scala 247:100] - node _T_827 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 247:123] + fifo_sz[3] <= _T_825 @[dma_ctrl.scala 240:47] + node _T_826 = bits(fifo_sz_in, 2, 0) @[dma_ctrl.scala 240:100] + node _T_827 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 240:123] reg _T_828 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_827 : @[Reg.scala 28:19] _T_828 <= _T_826 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_sz[4] <= _T_828 @[dma_ctrl.scala 247:47] - node _T_829 = bits(fifo_byteen_in, 7, 0) @[dma_ctrl.scala 249:108] - node _T_830 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 249:131] - node _T_831 = bits(_T_830, 0, 0) @[dma_ctrl.scala 249:141] + fifo_sz[4] <= _T_828 @[dma_ctrl.scala 240:47] + node _T_829 = bits(fifo_byteen_in, 7, 0) @[dma_ctrl.scala 242:108] + node _T_830 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 242:131] + node _T_831 = bits(_T_830, 0, 0) @[dma_ctrl.scala 242:141] reg _T_832 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_831 : @[Reg.scala 28:19] _T_832 <= _T_829 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_byteen[0] <= _T_832 @[dma_ctrl.scala 249:51] - node _T_833 = bits(fifo_byteen_in, 7, 0) @[dma_ctrl.scala 249:108] - node _T_834 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 249:131] - node _T_835 = bits(_T_834, 0, 0) @[dma_ctrl.scala 249:141] + fifo_byteen[0] <= _T_832 @[dma_ctrl.scala 242:51] + node _T_833 = bits(fifo_byteen_in, 7, 0) @[dma_ctrl.scala 242:108] + node _T_834 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 242:131] + node _T_835 = bits(_T_834, 0, 0) @[dma_ctrl.scala 242:141] reg _T_836 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_835 : @[Reg.scala 28:19] _T_836 <= _T_833 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_byteen[1] <= _T_836 @[dma_ctrl.scala 249:51] - node _T_837 = bits(fifo_byteen_in, 7, 0) @[dma_ctrl.scala 249:108] - node _T_838 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 249:131] - node _T_839 = bits(_T_838, 0, 0) @[dma_ctrl.scala 249:141] + fifo_byteen[1] <= _T_836 @[dma_ctrl.scala 242:51] + node _T_837 = bits(fifo_byteen_in, 7, 0) @[dma_ctrl.scala 242:108] + node _T_838 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 242:131] + node _T_839 = bits(_T_838, 0, 0) @[dma_ctrl.scala 242:141] reg _T_840 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_839 : @[Reg.scala 28:19] _T_840 <= _T_837 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_byteen[2] <= _T_840 @[dma_ctrl.scala 249:51] - node _T_841 = bits(fifo_byteen_in, 7, 0) @[dma_ctrl.scala 249:108] - node _T_842 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 249:131] - node _T_843 = bits(_T_842, 0, 0) @[dma_ctrl.scala 249:141] + fifo_byteen[2] <= _T_840 @[dma_ctrl.scala 242:51] + node _T_841 = bits(fifo_byteen_in, 7, 0) @[dma_ctrl.scala 242:108] + node _T_842 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 242:131] + node _T_843 = bits(_T_842, 0, 0) @[dma_ctrl.scala 242:141] reg _T_844 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_843 : @[Reg.scala 28:19] _T_844 <= _T_841 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_byteen[3] <= _T_844 @[dma_ctrl.scala 249:51] - node _T_845 = bits(fifo_byteen_in, 7, 0) @[dma_ctrl.scala 249:108] - node _T_846 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 249:131] - node _T_847 = bits(_T_846, 0, 0) @[dma_ctrl.scala 249:141] + fifo_byteen[3] <= _T_844 @[dma_ctrl.scala 242:51] + node _T_845 = bits(fifo_byteen_in, 7, 0) @[dma_ctrl.scala 242:108] + node _T_846 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 242:131] + node _T_847 = bits(_T_846, 0, 0) @[dma_ctrl.scala 242:141] reg _T_848 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_847 : @[Reg.scala 28:19] _T_848 <= _T_845 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_byteen[4] <= _T_848 @[dma_ctrl.scala 249:51] - node _T_849 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 251:129] + fifo_byteen[4] <= _T_848 @[dma_ctrl.scala 242:51] + node _T_849 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 244:129] reg _T_850 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_849 : @[Reg.scala 28:19] _T_850 <= fifo_write_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_851 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 251:129] + node _T_851 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 244:129] reg _T_852 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_851 : @[Reg.scala 28:19] _T_852 <= fifo_write_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_853 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 251:129] + node _T_853 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 244:129] reg _T_854 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_853 : @[Reg.scala 28:19] _T_854 <= fifo_write_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_855 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 251:129] + node _T_855 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 244:129] reg _T_856 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_855 : @[Reg.scala 28:19] _T_856 <= fifo_write_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_857 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 251:129] + node _T_857 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 244:129] reg _T_858 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_857 : @[Reg.scala 28:19] _T_858 <= fifo_write_in @[Reg.scala 28:23] @@ -108256,28 +108248,28 @@ circuit quasar_wrapper : node _T_860 = cat(_T_859, _T_854) @[Cat.scala 29:58] node _T_861 = cat(_T_860, _T_852) @[Cat.scala 29:58] node _T_862 = cat(_T_861, _T_850) @[Cat.scala 29:58] - fifo_write <= _T_862 @[dma_ctrl.scala 251:21] - node _T_863 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 253:136] + fifo_write <= _T_862 @[dma_ctrl.scala 244:21] + node _T_863 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 246:136] reg _T_864 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_863 : @[Reg.scala 28:19] _T_864 <= fifo_posted_write_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_865 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 253:136] + node _T_865 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 246:136] reg _T_866 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_865 : @[Reg.scala 28:19] _T_866 <= fifo_posted_write_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_867 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 253:136] + node _T_867 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 246:136] reg _T_868 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_867 : @[Reg.scala 28:19] _T_868 <= fifo_posted_write_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_869 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 253:136] + node _T_869 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 246:136] reg _T_870 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_869 : @[Reg.scala 28:19] _T_870 <= fifo_posted_write_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_871 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 253:136] + node _T_871 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 246:136] reg _T_872 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_871 : @[Reg.scala 28:19] _T_872 <= fifo_posted_write_in @[Reg.scala 28:23] @@ -108286,28 +108278,28 @@ circuit quasar_wrapper : node _T_874 = cat(_T_873, _T_868) @[Cat.scala 29:58] node _T_875 = cat(_T_874, _T_866) @[Cat.scala 29:58] node _T_876 = cat(_T_875, _T_864) @[Cat.scala 29:58] - fifo_posted_write <= _T_876 @[dma_ctrl.scala 253:21] - node _T_877 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 255:126] + fifo_posted_write <= _T_876 @[dma_ctrl.scala 246:21] + node _T_877 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 248:126] reg _T_878 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_877 : @[Reg.scala 28:19] _T_878 <= io.dbg_dma.dbg_ib.dbg_cmd_valid @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_879 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 255:126] + node _T_879 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 248:126] reg _T_880 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_879 : @[Reg.scala 28:19] _T_880 <= io.dbg_dma.dbg_ib.dbg_cmd_valid @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_881 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 255:126] + node _T_881 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 248:126] reg _T_882 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_881 : @[Reg.scala 28:19] _T_882 <= io.dbg_dma.dbg_ib.dbg_cmd_valid @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_883 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 255:126] + node _T_883 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 248:126] reg _T_884 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_883 : @[Reg.scala 28:19] _T_884 <= io.dbg_dma.dbg_ib.dbg_cmd_valid @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_885 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 255:126] + node _T_885 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 248:126] reg _T_886 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_885 : @[Reg.scala 28:19] _T_886 <= io.dbg_dma.dbg_ib.dbg_cmd_valid @[Reg.scala 28:23] @@ -108316,8 +108308,8 @@ circuit quasar_wrapper : node _T_888 = cat(_T_887, _T_882) @[Cat.scala 29:58] node _T_889 = cat(_T_888, _T_880) @[Cat.scala 29:58] node _T_890 = cat(_T_889, _T_878) @[Cat.scala 29:58] - fifo_dbg <= _T_890 @[dma_ctrl.scala 255:21] - node _T_891 = bits(fifo_data_en, 0, 0) @[dma_ctrl.scala 257:88] + fifo_dbg <= _T_890 @[dma_ctrl.scala 248:21] + node _T_891 = bits(fifo_data_en, 0, 0) @[dma_ctrl.scala 250:88] inst rvclkhdr_5 of rvclkhdr_836 @[lib.scala 368:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset @@ -108326,8 +108318,8 @@ circuit quasar_wrapper : rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_892 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_892 <= fifo_data_in[0] @[lib.scala 374:16] - fifo_data[0] <= _T_892 @[dma_ctrl.scala 257:49] - node _T_893 = bits(fifo_data_en, 1, 1) @[dma_ctrl.scala 257:88] + fifo_data[0] <= _T_892 @[dma_ctrl.scala 250:49] + node _T_893 = bits(fifo_data_en, 1, 1) @[dma_ctrl.scala 250:88] inst rvclkhdr_6 of rvclkhdr_837 @[lib.scala 368:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset @@ -108336,8 +108328,8 @@ circuit quasar_wrapper : rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_894 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_894 <= fifo_data_in[1] @[lib.scala 374:16] - fifo_data[1] <= _T_894 @[dma_ctrl.scala 257:49] - node _T_895 = bits(fifo_data_en, 2, 2) @[dma_ctrl.scala 257:88] + fifo_data[1] <= _T_894 @[dma_ctrl.scala 250:49] + node _T_895 = bits(fifo_data_en, 2, 2) @[dma_ctrl.scala 250:88] inst rvclkhdr_7 of rvclkhdr_838 @[lib.scala 368:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset @@ -108346,8 +108338,8 @@ circuit quasar_wrapper : rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_896 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_896 <= fifo_data_in[2] @[lib.scala 374:16] - fifo_data[2] <= _T_896 @[dma_ctrl.scala 257:49] - node _T_897 = bits(fifo_data_en, 3, 3) @[dma_ctrl.scala 257:88] + fifo_data[2] <= _T_896 @[dma_ctrl.scala 250:49] + node _T_897 = bits(fifo_data_en, 3, 3) @[dma_ctrl.scala 250:88] inst rvclkhdr_8 of rvclkhdr_839 @[lib.scala 368:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset @@ -108356,8 +108348,8 @@ circuit quasar_wrapper : rvclkhdr_8.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_898 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_898 <= fifo_data_in[3] @[lib.scala 374:16] - fifo_data[3] <= _T_898 @[dma_ctrl.scala 257:49] - node _T_899 = bits(fifo_data_en, 4, 4) @[dma_ctrl.scala 257:88] + fifo_data[3] <= _T_898 @[dma_ctrl.scala 250:49] + node _T_899 = bits(fifo_data_en, 4, 4) @[dma_ctrl.scala 250:88] inst rvclkhdr_9 of rvclkhdr_840 @[lib.scala 368:23] rvclkhdr_9.clock <= clock rvclkhdr_9.reset <= reset @@ -108366,142 +108358,142 @@ circuit quasar_wrapper : rvclkhdr_9.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_900 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_900 <= fifo_data_in[4] @[lib.scala 374:16] - fifo_data[4] <= _T_900 @[dma_ctrl.scala 257:49] - node _T_901 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 259:120] + fifo_data[4] <= _T_900 @[dma_ctrl.scala 250:49] + node _T_901 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 252:120] reg _T_902 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_901 : @[Reg.scala 28:19] _T_902 <= bus_cmd_tag @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_tag[0] <= _T_902 @[dma_ctrl.scala 259:48] - node _T_903 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 259:120] + fifo_tag[0] <= _T_902 @[dma_ctrl.scala 252:48] + node _T_903 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 252:120] reg _T_904 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_903 : @[Reg.scala 28:19] _T_904 <= bus_cmd_tag @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_tag[1] <= _T_904 @[dma_ctrl.scala 259:48] - node _T_905 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 259:120] + fifo_tag[1] <= _T_904 @[dma_ctrl.scala 252:48] + node _T_905 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 252:120] reg _T_906 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_905 : @[Reg.scala 28:19] _T_906 <= bus_cmd_tag @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_tag[2] <= _T_906 @[dma_ctrl.scala 259:48] - node _T_907 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 259:120] + fifo_tag[2] <= _T_906 @[dma_ctrl.scala 252:48] + node _T_907 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 252:120] reg _T_908 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_907 : @[Reg.scala 28:19] _T_908 <= bus_cmd_tag @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_tag[3] <= _T_908 @[dma_ctrl.scala 259:48] - node _T_909 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 259:120] + fifo_tag[3] <= _T_908 @[dma_ctrl.scala 252:48] + node _T_909 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 252:120] reg _T_910 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_909 : @[Reg.scala 28:19] _T_910 <= bus_cmd_tag @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_tag[4] <= _T_910 @[dma_ctrl.scala 259:48] - node _T_911 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 261:120] + fifo_tag[4] <= _T_910 @[dma_ctrl.scala 252:48] + node _T_911 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 254:120] reg _T_912 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_911 : @[Reg.scala 28:19] _T_912 <= bus_cmd_mid @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_mid[0] <= _T_912 @[dma_ctrl.scala 261:48] - node _T_913 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 261:120] + fifo_mid[0] <= _T_912 @[dma_ctrl.scala 254:48] + node _T_913 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 254:120] reg _T_914 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_913 : @[Reg.scala 28:19] _T_914 <= bus_cmd_mid @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_mid[1] <= _T_914 @[dma_ctrl.scala 261:48] - node _T_915 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 261:120] + fifo_mid[1] <= _T_914 @[dma_ctrl.scala 254:48] + node _T_915 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 254:120] reg _T_916 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_915 : @[Reg.scala 28:19] _T_916 <= bus_cmd_mid @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_mid[2] <= _T_916 @[dma_ctrl.scala 261:48] - node _T_917 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 261:120] + fifo_mid[2] <= _T_916 @[dma_ctrl.scala 254:48] + node _T_917 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 254:120] reg _T_918 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_917 : @[Reg.scala 28:19] _T_918 <= bus_cmd_mid @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_mid[3] <= _T_918 @[dma_ctrl.scala 261:48] - node _T_919 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 261:120] + fifo_mid[3] <= _T_918 @[dma_ctrl.scala 254:48] + node _T_919 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 254:120] reg _T_920 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_919 : @[Reg.scala 28:19] _T_920 <= bus_cmd_mid @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_mid[4] <= _T_920 @[dma_ctrl.scala 261:48] - node _T_921 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 263:122] + fifo_mid[4] <= _T_920 @[dma_ctrl.scala 254:48] + node _T_921 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 256:122] reg _T_922 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_921 : @[Reg.scala 28:19] _T_922 <= bus_cmd_prty @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_prty[0] <= _T_922 @[dma_ctrl.scala 263:49] - node _T_923 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 263:122] + fifo_prty[0] <= _T_922 @[dma_ctrl.scala 256:49] + node _T_923 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 256:122] reg _T_924 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_923 : @[Reg.scala 28:19] _T_924 <= bus_cmd_prty @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_prty[1] <= _T_924 @[dma_ctrl.scala 263:49] - node _T_925 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 263:122] + fifo_prty[1] <= _T_924 @[dma_ctrl.scala 256:49] + node _T_925 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 256:122] reg _T_926 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_925 : @[Reg.scala 28:19] _T_926 <= bus_cmd_prty @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_prty[2] <= _T_926 @[dma_ctrl.scala 263:49] - node _T_927 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 263:122] + fifo_prty[2] <= _T_926 @[dma_ctrl.scala 256:49] + node _T_927 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 256:122] reg _T_928 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_927 : @[Reg.scala 28:19] _T_928 <= bus_cmd_prty @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_prty[3] <= _T_928 @[dma_ctrl.scala 263:49] - node _T_929 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 263:122] + fifo_prty[3] <= _T_928 @[dma_ctrl.scala 256:49] + node _T_929 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 256:122] reg _T_930 : UInt, dma_buffer_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_929 : @[Reg.scala 28:19] _T_930 <= bus_cmd_prty @[Reg.scala 28:23] skip @[Reg.scala 28:19] - fifo_prty[4] <= _T_930 @[dma_ctrl.scala 263:49] - node _T_931 = eq(WrPtr, UInt<3>("h04")) @[dma_ctrl.scala 267:30] - node _T_932 = bits(_T_931, 0, 0) @[dma_ctrl.scala 267:57] - node _T_933 = add(WrPtr, UInt<1>("h01")) @[dma_ctrl.scala 267:76] - node _T_934 = tail(_T_933, 1) @[dma_ctrl.scala 267:76] - node _T_935 = mux(_T_932, UInt<1>("h00"), _T_934) @[dma_ctrl.scala 267:22] - NxtWrPtr <= _T_935 @[dma_ctrl.scala 267:16] - node _T_936 = eq(RdPtr, UInt<3>("h04")) @[dma_ctrl.scala 269:30] - node _T_937 = bits(_T_936, 0, 0) @[dma_ctrl.scala 269:57] - node _T_938 = add(RdPtr, UInt<1>("h01")) @[dma_ctrl.scala 269:76] - node _T_939 = tail(_T_938, 1) @[dma_ctrl.scala 269:76] - node _T_940 = mux(_T_937, UInt<1>("h00"), _T_939) @[dma_ctrl.scala 269:22] - NxtRdPtr <= _T_940 @[dma_ctrl.scala 269:16] - node _T_941 = eq(RspPtr, UInt<3>("h04")) @[dma_ctrl.scala 271:31] - node _T_942 = bits(_T_941, 0, 0) @[dma_ctrl.scala 271:58] - node _T_943 = add(RspPtr, UInt<1>("h01")) @[dma_ctrl.scala 271:78] - node _T_944 = tail(_T_943, 1) @[dma_ctrl.scala 271:78] - node _T_945 = mux(_T_942, UInt<1>("h00"), _T_944) @[dma_ctrl.scala 271:22] - NxtRspPtr <= _T_945 @[dma_ctrl.scala 271:16] - node WrPtrEn = orr(fifo_cmd_en) @[dma_ctrl.scala 273:30] - node _T_946 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 275:55] - node _T_947 = bits(dma_address_error, 0, 0) @[dma_ctrl.scala 275:114] - node _T_948 = bits(dma_alignment_error, 0, 0) @[dma_ctrl.scala 275:143] - node _T_949 = or(_T_947, _T_948) @[dma_ctrl.scala 275:121] - node _T_950 = or(_T_949, dma_dbg_cmd_error) @[dma_ctrl.scala 275:150] - node RdPtrEn = or(_T_946, _T_950) @[dma_ctrl.scala 275:93] - node _T_951 = or(bus_rsp_sent, bus_posted_write_done) @[dma_ctrl.scala 277:55] - node _T_952 = and(_T_951, io.dma_bus_clk_en) @[dma_ctrl.scala 277:80] - node RspPtrEn = or(io.dma_dbg_cmd_done, _T_952) @[dma_ctrl.scala 277:39] + fifo_prty[4] <= _T_930 @[dma_ctrl.scala 256:49] + node _T_931 = eq(WrPtr, UInt<3>("h04")) @[dma_ctrl.scala 260:30] + node _T_932 = bits(_T_931, 0, 0) @[dma_ctrl.scala 260:57] + node _T_933 = add(WrPtr, UInt<1>("h01")) @[dma_ctrl.scala 260:76] + node _T_934 = tail(_T_933, 1) @[dma_ctrl.scala 260:76] + node _T_935 = mux(_T_932, UInt<1>("h00"), _T_934) @[dma_ctrl.scala 260:22] + NxtWrPtr <= _T_935 @[dma_ctrl.scala 260:16] + node _T_936 = eq(RdPtr, UInt<3>("h04")) @[dma_ctrl.scala 262:30] + node _T_937 = bits(_T_936, 0, 0) @[dma_ctrl.scala 262:57] + node _T_938 = add(RdPtr, UInt<1>("h01")) @[dma_ctrl.scala 262:76] + node _T_939 = tail(_T_938, 1) @[dma_ctrl.scala 262:76] + node _T_940 = mux(_T_937, UInt<1>("h00"), _T_939) @[dma_ctrl.scala 262:22] + NxtRdPtr <= _T_940 @[dma_ctrl.scala 262:16] + node _T_941 = eq(RspPtr, UInt<3>("h04")) @[dma_ctrl.scala 264:31] + node _T_942 = bits(_T_941, 0, 0) @[dma_ctrl.scala 264:58] + node _T_943 = add(RspPtr, UInt<1>("h01")) @[dma_ctrl.scala 264:78] + node _T_944 = tail(_T_943, 1) @[dma_ctrl.scala 264:78] + node _T_945 = mux(_T_942, UInt<1>("h00"), _T_944) @[dma_ctrl.scala 264:22] + NxtRspPtr <= _T_945 @[dma_ctrl.scala 264:16] + node WrPtrEn = orr(fifo_cmd_en) @[dma_ctrl.scala 266:30] + node _T_946 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 268:55] + node _T_947 = bits(dma_address_error, 0, 0) @[dma_ctrl.scala 268:114] + node _T_948 = bits(dma_alignment_error, 0, 0) @[dma_ctrl.scala 268:143] + node _T_949 = or(_T_947, _T_948) @[dma_ctrl.scala 268:121] + node _T_950 = or(_T_949, dma_dbg_cmd_error) @[dma_ctrl.scala 268:150] + node RdPtrEn = or(_T_946, _T_950) @[dma_ctrl.scala 268:93] + node _T_951 = or(bus_rsp_sent, bus_posted_write_done) @[dma_ctrl.scala 270:55] + node _T_952 = and(_T_951, io.dma_bus_clk_en) @[dma_ctrl.scala 270:80] + node RspPtrEn = or(io.dma_dbg_cmd_done, _T_952) @[dma_ctrl.scala 270:39] reg _T_953 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when WrPtrEn : @[Reg.scala 28:19] _T_953 <= NxtWrPtr @[Reg.scala 28:23] skip @[Reg.scala 28:19] - WrPtr <= _T_953 @[dma_ctrl.scala 279:16] - node _T_954 = bits(RdPtrEn, 0, 0) @[dma_ctrl.scala 284:38] + WrPtr <= _T_953 @[dma_ctrl.scala 272:16] + node _T_954 = bits(RdPtrEn, 0, 0) @[dma_ctrl.scala 277:38] reg _T_955 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_954 : @[Reg.scala 28:19] _T_955 <= NxtRdPtr @[Reg.scala 28:23] skip @[Reg.scala 28:19] - RdPtr <= _T_955 @[dma_ctrl.scala 283:16] - node _T_956 = bits(RspPtrEn, 0, 0) @[dma_ctrl.scala 288:40] + RdPtr <= _T_955 @[dma_ctrl.scala 276:16] + node _T_956 = bits(RspPtrEn, 0, 0) @[dma_ctrl.scala 281:40] reg _T_957 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_956 : @[Reg.scala 28:19] _T_957 <= NxtRspPtr @[Reg.scala 28:23] skip @[Reg.scala 28:19] - RspPtr <= _T_957 @[dma_ctrl.scala 287:16] + RspPtr <= _T_957 @[dma_ctrl.scala 280:16] wire num_fifo_vld_tmp : UInt<4> num_fifo_vld_tmp <= UInt<1>("h00") wire num_fifo_vld_tmp2 : UInt<4> @@ -108510,333 +108502,355 @@ circuit quasar_wrapper : node _T_959 = cat(_T_958, axi_mstr_prty_en) @[Cat.scala 29:58] node _T_960 = mux(UInt<1>("h00"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] node _T_961 = cat(_T_960, bus_rsp_sent) @[Cat.scala 29:58] - node _T_962 = sub(_T_959, _T_961) @[dma_ctrl.scala 298:62] - node _T_963 = tail(_T_962, 1) @[dma_ctrl.scala 298:62] - num_fifo_vld_tmp <= _T_963 @[dma_ctrl.scala 298:25] + node _T_962 = sub(_T_959, _T_961) @[dma_ctrl.scala 291:62] + node _T_963 = tail(_T_962, 1) @[dma_ctrl.scala 291:62] + num_fifo_vld_tmp <= _T_963 @[dma_ctrl.scala 291:25] node _T_964 = mux(UInt<1>("h00"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_965 = bits(fifo_valid, 0, 0) @[dma_ctrl.scala 300:88] + node _T_965 = bits(fifo_valid, 0, 0) @[dma_ctrl.scala 293:88] node _T_966 = cat(_T_964, _T_965) @[Cat.scala 29:58] node _T_967 = mux(UInt<1>("h00"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_968 = bits(fifo_valid, 1, 1) @[dma_ctrl.scala 300:88] + node _T_968 = bits(fifo_valid, 1, 1) @[dma_ctrl.scala 293:88] node _T_969 = cat(_T_967, _T_968) @[Cat.scala 29:58] node _T_970 = mux(UInt<1>("h00"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_971 = bits(fifo_valid, 2, 2) @[dma_ctrl.scala 300:88] + node _T_971 = bits(fifo_valid, 2, 2) @[dma_ctrl.scala 293:88] node _T_972 = cat(_T_970, _T_971) @[Cat.scala 29:58] node _T_973 = mux(UInt<1>("h00"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_974 = bits(fifo_valid, 3, 3) @[dma_ctrl.scala 300:88] + node _T_974 = bits(fifo_valid, 3, 3) @[dma_ctrl.scala 293:88] node _T_975 = cat(_T_973, _T_974) @[Cat.scala 29:58] node _T_976 = mux(UInt<1>("h00"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_977 = bits(fifo_valid, 4, 4) @[dma_ctrl.scala 300:88] + node _T_977 = bits(fifo_valid, 4, 4) @[dma_ctrl.scala 293:88] node _T_978 = cat(_T_976, _T_977) @[Cat.scala 29:58] - node _T_979 = add(_T_966, _T_969) @[dma_ctrl.scala 300:102] - node _T_980 = tail(_T_979, 1) @[dma_ctrl.scala 300:102] - node _T_981 = add(_T_980, _T_972) @[dma_ctrl.scala 300:102] - node _T_982 = tail(_T_981, 1) @[dma_ctrl.scala 300:102] - node _T_983 = add(_T_982, _T_975) @[dma_ctrl.scala 300:102] - node _T_984 = tail(_T_983, 1) @[dma_ctrl.scala 300:102] - node _T_985 = add(_T_984, _T_978) @[dma_ctrl.scala 300:102] - node _T_986 = tail(_T_985, 1) @[dma_ctrl.scala 300:102] - num_fifo_vld_tmp2 <= _T_986 @[dma_ctrl.scala 300:25] - node _T_987 = add(num_fifo_vld_tmp, num_fifo_vld_tmp2) @[dma_ctrl.scala 302:45] - node _T_988 = tail(_T_987, 1) @[dma_ctrl.scala 302:45] - num_fifo_vld <= _T_988 @[dma_ctrl.scala 302:25] - node fifo_full_spec = geq(num_fifo_vld_tmp2, UInt<3>("h05")) @[dma_ctrl.scala 304:46] - node _T_989 = or(fifo_full, dbg_dma_bubble_bus) @[dma_ctrl.scala 306:39] - node dma_fifo_ready = not(_T_989) @[dma_ctrl.scala 306:27] - node _T_990 = dshr(fifo_valid, RdPtr) @[dma_ctrl.scala 310:38] - node _T_991 = bits(_T_990, 0, 0) @[dma_ctrl.scala 310:38] - node _T_992 = dshr(fifo_done, RdPtr) @[dma_ctrl.scala 310:58] - node _T_993 = bits(_T_992, 0, 0) @[dma_ctrl.scala 310:58] - node _T_994 = eq(_T_993, UInt<1>("h00")) @[dma_ctrl.scala 310:48] - node _T_995 = and(_T_991, _T_994) @[dma_ctrl.scala 310:46] - node _T_996 = dshr(fifo_dbg, RdPtr) @[dma_ctrl.scala 310:77] - node _T_997 = bits(_T_996, 0, 0) @[dma_ctrl.scala 310:77] - node _T_998 = eq(_T_997, UInt<1>("h00")) @[dma_ctrl.scala 310:68] - node _T_999 = and(_T_995, _T_998) @[dma_ctrl.scala 310:66] - node _T_1000 = or(dma_mem_addr_in_dccm, dma_mem_addr_in_iccm) @[dma_ctrl.scala 310:111] - node _T_1001 = not(_T_1000) @[dma_ctrl.scala 310:88] - node _T_1002 = and(_T_999, _T_1001) @[dma_ctrl.scala 310:85] - dma_address_error <= _T_1002 @[dma_ctrl.scala 310:25] - node _T_1003 = dshr(fifo_valid, RdPtr) @[dma_ctrl.scala 311:38] - node _T_1004 = bits(_T_1003, 0, 0) @[dma_ctrl.scala 311:38] - node _T_1005 = dshr(fifo_done, RdPtr) @[dma_ctrl.scala 311:58] - node _T_1006 = bits(_T_1005, 0, 0) @[dma_ctrl.scala 311:58] - node _T_1007 = eq(_T_1006, UInt<1>("h00")) @[dma_ctrl.scala 311:48] - node _T_1008 = and(_T_1004, _T_1007) @[dma_ctrl.scala 311:46] - node _T_1009 = eq(dma_address_error, UInt<1>("h00")) @[dma_ctrl.scala 311:68] - node _T_1010 = and(_T_1008, _T_1009) @[dma_ctrl.scala 311:66] - node _T_1011 = bits(dma_mem_sz_int, 2, 0) @[dma_ctrl.scala 312:22] - node _T_1012 = eq(_T_1011, UInt<1>("h01")) @[dma_ctrl.scala 312:28] - node _T_1013 = bits(dma_mem_addr_int, 0, 0) @[dma_ctrl.scala 312:55] - node _T_1014 = and(_T_1012, _T_1013) @[dma_ctrl.scala 312:37] - node _T_1015 = bits(dma_mem_sz_int, 2, 0) @[dma_ctrl.scala 313:23] - node _T_1016 = eq(_T_1015, UInt<2>("h02")) @[dma_ctrl.scala 313:29] - node _T_1017 = bits(dma_mem_addr_int, 1, 0) @[dma_ctrl.scala 313:57] - node _T_1018 = orr(_T_1017) @[dma_ctrl.scala 313:64] - node _T_1019 = and(_T_1016, _T_1018) @[dma_ctrl.scala 313:38] - node _T_1020 = or(_T_1014, _T_1019) @[dma_ctrl.scala 312:60] - node _T_1021 = bits(dma_mem_sz_int, 2, 0) @[dma_ctrl.scala 314:23] - node _T_1022 = eq(_T_1021, UInt<2>("h03")) @[dma_ctrl.scala 314:29] - node _T_1023 = bits(dma_mem_addr_int, 2, 0) @[dma_ctrl.scala 314:57] - node _T_1024 = orr(_T_1023) @[dma_ctrl.scala 314:64] - node _T_1025 = and(_T_1022, _T_1024) @[dma_ctrl.scala 314:38] - node _T_1026 = or(_T_1020, _T_1025) @[dma_ctrl.scala 313:70] - node _T_1027 = bits(dma_mem_sz_int, 1, 0) @[dma_ctrl.scala 315:48] - node _T_1028 = eq(_T_1027, UInt<2>("h02")) @[dma_ctrl.scala 315:55] - node _T_1029 = bits(dma_mem_sz_int, 1, 0) @[dma_ctrl.scala 315:81] - node _T_1030 = eq(_T_1029, UInt<2>("h03")) @[dma_ctrl.scala 315:88] - node _T_1031 = or(_T_1028, _T_1030) @[dma_ctrl.scala 315:64] - node _T_1032 = not(_T_1031) @[dma_ctrl.scala 315:31] - node _T_1033 = and(dma_mem_addr_in_iccm, _T_1032) @[dma_ctrl.scala 315:29] - node _T_1034 = or(_T_1026, _T_1033) @[dma_ctrl.scala 314:70] - node _T_1035 = and(dma_mem_addr_in_dccm, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[dma_ctrl.scala 316:29] - node _T_1036 = bits(dma_mem_sz_int, 1, 0) @[dma_ctrl.scala 316:87] - node _T_1037 = eq(_T_1036, UInt<2>("h02")) @[dma_ctrl.scala 316:94] - node _T_1038 = bits(dma_mem_sz_int, 1, 0) @[dma_ctrl.scala 316:120] - node _T_1039 = eq(_T_1038, UInt<2>("h03")) @[dma_ctrl.scala 316:127] - node _T_1040 = or(_T_1037, _T_1039) @[dma_ctrl.scala 316:103] - node _T_1041 = not(_T_1040) @[dma_ctrl.scala 316:70] - node _T_1042 = and(_T_1035, _T_1041) @[dma_ctrl.scala 316:68] - node _T_1043 = or(_T_1034, _T_1042) @[dma_ctrl.scala 315:108] - node _T_1044 = bits(dma_mem_sz_int, 2, 0) @[dma_ctrl.scala 317:62] - node _T_1045 = eq(_T_1044, UInt<2>("h02")) @[dma_ctrl.scala 317:69] - node _T_1046 = and(io.lsu_dma.dma_lsc_ctl.dma_mem_write, _T_1045) @[dma_ctrl.scala 317:45] - node _T_1047 = bits(dma_mem_addr_int, 2, 0) @[dma_ctrl.scala 317:108] - node _T_1048 = eq(_T_1047, UInt<1>("h00")) @[dma_ctrl.scala 317:114] - node _T_1049 = bits(dma_mem_byteen, 3, 0) @[dma_ctrl.scala 317:141] - node _T_1050 = bits(dma_mem_addr_int, 2, 0) @[dma_ctrl.scala 318:26] - node _T_1051 = eq(_T_1050, UInt<1>("h01")) @[dma_ctrl.scala 318:32] - node _T_1052 = bits(dma_mem_byteen, 4, 1) @[dma_ctrl.scala 318:59] - node _T_1053 = bits(dma_mem_addr_int, 2, 0) @[dma_ctrl.scala 319:26] - node _T_1054 = eq(_T_1053, UInt<2>("h02")) @[dma_ctrl.scala 319:32] - node _T_1055 = bits(dma_mem_byteen, 5, 2) @[dma_ctrl.scala 319:59] - node _T_1056 = bits(dma_mem_addr_int, 2, 0) @[dma_ctrl.scala 320:26] - node _T_1057 = eq(_T_1056, UInt<2>("h03")) @[dma_ctrl.scala 320:32] - node _T_1058 = bits(dma_mem_byteen, 6, 3) @[dma_ctrl.scala 320:59] - node _T_1059 = mux(_T_1048, _T_1049, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1060 = mux(_T_1051, _T_1052, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1061 = mux(_T_1054, _T_1055, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1062 = mux(_T_1057, _T_1058, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1063 = or(_T_1059, _T_1060) @[Mux.scala 27:72] - node _T_1064 = or(_T_1063, _T_1061) @[Mux.scala 27:72] - node _T_1065 = or(_T_1064, _T_1062) @[Mux.scala 27:72] - wire _T_1066 : UInt<4> @[Mux.scala 27:72] - _T_1066 <= _T_1065 @[Mux.scala 27:72] - node _T_1067 = neq(_T_1066, UInt<4>("h0f")) @[dma_ctrl.scala 320:68] - node _T_1068 = and(_T_1046, _T_1067) @[dma_ctrl.scala 317:78] - node _T_1069 = or(_T_1043, _T_1068) @[dma_ctrl.scala 316:145] - node _T_1070 = bits(dma_mem_sz_int, 2, 0) @[dma_ctrl.scala 321:62] - node _T_1071 = eq(_T_1070, UInt<2>("h03")) @[dma_ctrl.scala 321:69] - node _T_1072 = and(io.lsu_dma.dma_lsc_ctl.dma_mem_write, _T_1071) @[dma_ctrl.scala 321:45] - node _T_1073 = bits(dma_mem_byteen, 7, 0) @[dma_ctrl.scala 321:97] - node _T_1074 = eq(_T_1073, UInt<4>("h0f")) @[dma_ctrl.scala 321:103] - node _T_1075 = bits(dma_mem_byteen, 7, 0) @[dma_ctrl.scala 321:133] - node _T_1076 = eq(_T_1075, UInt<8>("h0f0")) @[dma_ctrl.scala 321:139] - node _T_1077 = or(_T_1074, _T_1076) @[dma_ctrl.scala 321:116] - node _T_1078 = bits(dma_mem_byteen, 7, 0) @[dma_ctrl.scala 321:169] - node _T_1079 = eq(_T_1078, UInt<8>("h0ff")) @[dma_ctrl.scala 321:175] - node _T_1080 = or(_T_1077, _T_1079) @[dma_ctrl.scala 321:152] - node _T_1081 = eq(_T_1080, UInt<1>("h00")) @[dma_ctrl.scala 321:80] - node _T_1082 = and(_T_1072, _T_1081) @[dma_ctrl.scala 321:78] - node _T_1083 = or(_T_1069, _T_1082) @[dma_ctrl.scala 320:79] - node _T_1084 = and(_T_1010, _T_1083) @[dma_ctrl.scala 311:87] - dma_alignment_error <= _T_1084 @[dma_ctrl.scala 311:25] - node _T_1085 = and(fifo_empty, dbg_dma_bubble_bus) @[dma_ctrl.scala 326:50] - io.dbg_dma_io.dma_dbg_ready <= _T_1085 @[dma_ctrl.scala 326:36] - node _T_1086 = dshr(fifo_valid, RspPtr) @[dma_ctrl.scala 327:39] - node _T_1087 = bits(_T_1086, 0, 0) @[dma_ctrl.scala 327:39] - node _T_1088 = dshr(fifo_dbg, RspPtr) @[dma_ctrl.scala 327:58] - node _T_1089 = bits(_T_1088, 0, 0) @[dma_ctrl.scala 327:58] - node _T_1090 = and(_T_1087, _T_1089) @[dma_ctrl.scala 327:48] - node _T_1091 = dshr(fifo_done, RspPtr) @[dma_ctrl.scala 327:78] - node _T_1092 = bits(_T_1091, 0, 0) @[dma_ctrl.scala 327:78] - node _T_1093 = and(_T_1090, _T_1092) @[dma_ctrl.scala 327:67] - io.dma_dbg_cmd_done <= _T_1093 @[dma_ctrl.scala 327:25] - node _T_1094 = bits(fifo_addr[RspPtr], 2, 2) @[dma_ctrl.scala 328:49] - node _T_1095 = bits(fifo_data[RspPtr], 63, 32) @[dma_ctrl.scala 328:71] - node _T_1096 = bits(fifo_data[RspPtr], 31, 0) @[dma_ctrl.scala 328:98] - node _T_1097 = mux(_T_1094, _T_1095, _T_1096) @[dma_ctrl.scala 328:31] - io.dma_dbg_rddata <= _T_1097 @[dma_ctrl.scala 328:25] - node _T_1098 = orr(fifo_error[RspPtr]) @[dma_ctrl.scala 329:47] - io.dma_dbg_cmd_fail <= _T_1098 @[dma_ctrl.scala 329:25] - node _T_1099 = dshr(fifo_valid, RdPtr) @[dma_ctrl.scala 331:38] - node _T_1100 = bits(_T_1099, 0, 0) @[dma_ctrl.scala 331:38] - node _T_1101 = dshr(fifo_done, RdPtr) @[dma_ctrl.scala 331:58] - node _T_1102 = bits(_T_1101, 0, 0) @[dma_ctrl.scala 331:58] - node _T_1103 = eq(_T_1102, UInt<1>("h00")) @[dma_ctrl.scala 331:48] - node _T_1104 = and(_T_1100, _T_1103) @[dma_ctrl.scala 331:46] - node _T_1105 = dshr(fifo_dbg, RdPtr) @[dma_ctrl.scala 331:76] - node _T_1106 = bits(_T_1105, 0, 0) @[dma_ctrl.scala 331:76] - node _T_1107 = and(_T_1104, _T_1106) @[dma_ctrl.scala 331:66] - node _T_1108 = or(dma_mem_addr_in_dccm, dma_mem_addr_in_iccm) @[dma_ctrl.scala 331:111] - node _T_1109 = or(_T_1108, dma_mem_addr_in_pic) @[dma_ctrl.scala 331:134] - node _T_1110 = not(_T_1109) @[dma_ctrl.scala 331:88] - node _T_1111 = bits(_T_1110, 0, 0) @[dma_ctrl.scala 331:164] - node _T_1112 = bits(dma_mem_sz_int, 1, 0) @[dma_ctrl.scala 331:184] - node _T_1113 = neq(_T_1112, UInt<2>("h02")) @[dma_ctrl.scala 331:191] - node _T_1114 = or(_T_1111, _T_1113) @[dma_ctrl.scala 331:167] - node _T_1115 = and(_T_1107, _T_1114) @[dma_ctrl.scala 331:84] - dma_dbg_cmd_error <= _T_1115 @[dma_ctrl.scala 331:25] - node _T_1116 = or(dma_mem_addr_in_dccm, dma_mem_addr_in_pic) @[dma_ctrl.scala 335:80] - node _T_1117 = and(dma_mem_req, _T_1116) @[dma_ctrl.scala 335:56] - node _T_1118 = geq(dma_nack_count, dma_nack_count_csr) @[dma_ctrl.scala 335:121] - node _T_1119 = and(_T_1117, _T_1118) @[dma_ctrl.scala 335:103] - io.dec_dma.tlu_dma.dma_dccm_stall_any <= _T_1119 @[dma_ctrl.scala 335:41] - node _T_1120 = and(dma_mem_req, dma_mem_addr_in_iccm) @[dma_ctrl.scala 336:56] - node _T_1121 = geq(dma_nack_count, dma_nack_count_csr) @[dma_ctrl.scala 336:97] - node _T_1122 = and(_T_1120, _T_1121) @[dma_ctrl.scala 336:79] - io.ifu_dma.dma_ifc.dma_iccm_stall_any <= _T_1122 @[dma_ctrl.scala 336:41] - io.dec_dma.tlu_dma.dma_iccm_stall_any <= io.ifu_dma.dma_ifc.dma_iccm_stall_any @[dma_ctrl.scala 337:41] - io.dec_dma.dctl_dma.dma_dccm_stall_any <= io.dec_dma.tlu_dma.dma_dccm_stall_any @[dma_ctrl.scala 338:42] - node _T_1123 = orr(fifo_valid) @[dma_ctrl.scala 341:30] - node _T_1124 = not(_T_1123) @[dma_ctrl.scala 341:17] - fifo_empty <= _T_1124 @[dma_ctrl.scala 341:14] - dma_nack_count_csr <= io.dec_dma.tlu_dma.dec_tlu_dma_qos_prty @[dma_ctrl.scala 345:22] - node _T_1125 = geq(dma_nack_count, dma_nack_count_csr) @[dma_ctrl.scala 346:45] - node _T_1126 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 346:115] - node _T_1127 = eq(_T_1126, UInt<1>("h00")) @[dma_ctrl.scala 346:77] - node _T_1128 = bits(_T_1127, 0, 0) @[Bitwise.scala 72:15] - node _T_1129 = mux(_T_1128, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_1130 = bits(dma_nack_count, 2, 0) @[dma_ctrl.scala 346:171] - node _T_1131 = and(_T_1129, _T_1130) @[dma_ctrl.scala 346:155] - node _T_1132 = bits(dma_mem_req, 0, 0) @[dma_ctrl.scala 346:196] - node _T_1133 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 346:243] - node _T_1134 = eq(_T_1133, UInt<1>("h00")) @[dma_ctrl.scala 346:205] - node _T_1135 = and(_T_1132, _T_1134) @[dma_ctrl.scala 346:203] - node _T_1136 = bits(dma_nack_count, 2, 0) @[dma_ctrl.scala 346:298] - node _T_1137 = add(_T_1136, UInt<1>("h01")) @[dma_ctrl.scala 346:304] - node _T_1138 = tail(_T_1137, 1) @[dma_ctrl.scala 346:304] - node _T_1139 = mux(_T_1135, _T_1138, UInt<1>("h00")) @[dma_ctrl.scala 346:182] - node dma_nack_count_d = mux(_T_1125, _T_1131, _T_1139) @[dma_ctrl.scala 346:29] - node _T_1140 = bits(dma_nack_count_d, 2, 0) @[dma_ctrl.scala 349:31] - node _T_1141 = bits(dma_mem_req, 0, 0) @[dma_ctrl.scala 349:55] - reg _T_1142 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_1141 : @[Reg.scala 28:19] - _T_1142 <= _T_1140 @[Reg.scala 28:23] + node _T_979 = add(_T_966, _T_969) @[dma_ctrl.scala 293:102] + node _T_980 = tail(_T_979, 1) @[dma_ctrl.scala 293:102] + node _T_981 = add(_T_980, _T_972) @[dma_ctrl.scala 293:102] + node _T_982 = tail(_T_981, 1) @[dma_ctrl.scala 293:102] + node _T_983 = add(_T_982, _T_975) @[dma_ctrl.scala 293:102] + node _T_984 = tail(_T_983, 1) @[dma_ctrl.scala 293:102] + node _T_985 = add(_T_984, _T_978) @[dma_ctrl.scala 293:102] + node _T_986 = tail(_T_985, 1) @[dma_ctrl.scala 293:102] + num_fifo_vld_tmp2 <= _T_986 @[dma_ctrl.scala 293:25] + node _T_987 = add(num_fifo_vld_tmp, num_fifo_vld_tmp2) @[dma_ctrl.scala 295:45] + node _T_988 = tail(_T_987, 1) @[dma_ctrl.scala 295:45] + num_fifo_vld <= _T_988 @[dma_ctrl.scala 295:25] + node fifo_full_spec = geq(num_fifo_vld, UInt<3>("h05")) @[dma_ctrl.scala 297:41] + node _T_989 = or(fifo_full, dbg_dma_bubble_bus) @[dma_ctrl.scala 299:39] + node dma_fifo_ready = not(_T_989) @[dma_ctrl.scala 299:27] + node _T_990 = dshr(fifo_valid, RdPtr) @[dma_ctrl.scala 303:38] + node _T_991 = bits(_T_990, 0, 0) @[dma_ctrl.scala 303:38] + node _T_992 = dshr(fifo_done, RdPtr) @[dma_ctrl.scala 303:58] + node _T_993 = bits(_T_992, 0, 0) @[dma_ctrl.scala 303:58] + node _T_994 = eq(_T_993, UInt<1>("h00")) @[dma_ctrl.scala 303:48] + node _T_995 = and(_T_991, _T_994) @[dma_ctrl.scala 303:46] + node _T_996 = dshr(fifo_dbg, RdPtr) @[dma_ctrl.scala 303:77] + node _T_997 = bits(_T_996, 0, 0) @[dma_ctrl.scala 303:77] + node _T_998 = eq(_T_997, UInt<1>("h00")) @[dma_ctrl.scala 303:68] + node _T_999 = and(_T_995, _T_998) @[dma_ctrl.scala 303:66] + node _T_1000 = or(dma_mem_addr_in_dccm, dma_mem_addr_in_iccm) @[dma_ctrl.scala 303:111] + node _T_1001 = not(_T_1000) @[dma_ctrl.scala 303:88] + node _T_1002 = and(_T_999, _T_1001) @[dma_ctrl.scala 303:85] + dma_address_error <= _T_1002 @[dma_ctrl.scala 303:25] + node _T_1003 = dshr(fifo_valid, RdPtr) @[dma_ctrl.scala 304:38] + node _T_1004 = bits(_T_1003, 0, 0) @[dma_ctrl.scala 304:38] + node _T_1005 = dshr(fifo_done, RdPtr) @[dma_ctrl.scala 304:58] + node _T_1006 = bits(_T_1005, 0, 0) @[dma_ctrl.scala 304:58] + node _T_1007 = eq(_T_1006, UInt<1>("h00")) @[dma_ctrl.scala 304:48] + node _T_1008 = and(_T_1004, _T_1007) @[dma_ctrl.scala 304:46] + node _T_1009 = eq(dma_address_error, UInt<1>("h00")) @[dma_ctrl.scala 304:68] + node _T_1010 = and(_T_1008, _T_1009) @[dma_ctrl.scala 304:66] + node _T_1011 = bits(dma_mem_sz_int, 2, 0) @[dma_ctrl.scala 305:22] + node _T_1012 = eq(_T_1011, UInt<1>("h01")) @[dma_ctrl.scala 305:28] + node _T_1013 = bits(dma_mem_addr_int, 0, 0) @[dma_ctrl.scala 305:55] + node _T_1014 = and(_T_1012, _T_1013) @[dma_ctrl.scala 305:37] + node _T_1015 = bits(dma_mem_sz_int, 2, 0) @[dma_ctrl.scala 306:23] + node _T_1016 = eq(_T_1015, UInt<2>("h02")) @[dma_ctrl.scala 306:29] + node _T_1017 = bits(dma_mem_addr_int, 1, 0) @[dma_ctrl.scala 306:57] + node _T_1018 = orr(_T_1017) @[dma_ctrl.scala 306:64] + node _T_1019 = and(_T_1016, _T_1018) @[dma_ctrl.scala 306:38] + node _T_1020 = or(_T_1014, _T_1019) @[dma_ctrl.scala 305:60] + node _T_1021 = bits(dma_mem_sz_int, 2, 0) @[dma_ctrl.scala 307:23] + node _T_1022 = eq(_T_1021, UInt<2>("h03")) @[dma_ctrl.scala 307:29] + node _T_1023 = bits(dma_mem_addr_int, 2, 0) @[dma_ctrl.scala 307:57] + node _T_1024 = orr(_T_1023) @[dma_ctrl.scala 307:64] + node _T_1025 = and(_T_1022, _T_1024) @[dma_ctrl.scala 307:38] + node _T_1026 = or(_T_1020, _T_1025) @[dma_ctrl.scala 306:70] + node _T_1027 = bits(dma_mem_sz_int, 1, 0) @[dma_ctrl.scala 308:48] + node _T_1028 = eq(_T_1027, UInt<2>("h02")) @[dma_ctrl.scala 308:55] + node _T_1029 = bits(dma_mem_sz_int, 1, 0) @[dma_ctrl.scala 308:81] + node _T_1030 = eq(_T_1029, UInt<2>("h03")) @[dma_ctrl.scala 308:88] + node _T_1031 = or(_T_1028, _T_1030) @[dma_ctrl.scala 308:64] + node _T_1032 = not(_T_1031) @[dma_ctrl.scala 308:31] + node _T_1033 = and(dma_mem_addr_in_iccm, _T_1032) @[dma_ctrl.scala 308:29] + node _T_1034 = or(_T_1026, _T_1033) @[dma_ctrl.scala 307:70] + node _T_1035 = and(dma_mem_addr_in_dccm, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[dma_ctrl.scala 309:29] + node _T_1036 = bits(dma_mem_sz_int, 1, 0) @[dma_ctrl.scala 309:87] + node _T_1037 = eq(_T_1036, UInt<2>("h02")) @[dma_ctrl.scala 309:94] + node _T_1038 = bits(dma_mem_sz_int, 1, 0) @[dma_ctrl.scala 309:120] + node _T_1039 = eq(_T_1038, UInt<2>("h03")) @[dma_ctrl.scala 309:127] + node _T_1040 = or(_T_1037, _T_1039) @[dma_ctrl.scala 309:103] + node _T_1041 = not(_T_1040) @[dma_ctrl.scala 309:70] + node _T_1042 = and(_T_1035, _T_1041) @[dma_ctrl.scala 309:68] + node _T_1043 = or(_T_1034, _T_1042) @[dma_ctrl.scala 308:108] + node _T_1044 = bits(dma_mem_sz_int, 2, 0) @[dma_ctrl.scala 310:62] + node _T_1045 = eq(_T_1044, UInt<2>("h02")) @[dma_ctrl.scala 310:69] + node _T_1046 = and(io.lsu_dma.dma_lsc_ctl.dma_mem_write, _T_1045) @[dma_ctrl.scala 310:45] + node _T_1047 = bits(dma_mem_addr_int, 2, 0) @[dma_ctrl.scala 310:108] + node _T_1048 = eq(_T_1047, UInt<1>("h00")) @[dma_ctrl.scala 310:114] + node _T_1049 = bits(dma_mem_byteen, 3, 0) @[dma_ctrl.scala 310:141] + node _T_1050 = bits(dma_mem_addr_int, 2, 0) @[dma_ctrl.scala 311:26] + node _T_1051 = eq(_T_1050, UInt<1>("h01")) @[dma_ctrl.scala 311:32] + node _T_1052 = bits(dma_mem_byteen, 4, 1) @[dma_ctrl.scala 311:59] + node _T_1053 = bits(dma_mem_addr_int, 2, 0) @[dma_ctrl.scala 312:26] + node _T_1054 = eq(_T_1053, UInt<2>("h02")) @[dma_ctrl.scala 312:32] + node _T_1055 = bits(dma_mem_byteen, 5, 2) @[dma_ctrl.scala 312:59] + node _T_1056 = bits(dma_mem_addr_int, 2, 0) @[dma_ctrl.scala 313:26] + node _T_1057 = eq(_T_1056, UInt<2>("h03")) @[dma_ctrl.scala 313:32] + node _T_1058 = bits(dma_mem_byteen, 6, 3) @[dma_ctrl.scala 313:59] + node _T_1059 = bits(dma_mem_addr_int, 2, 0) @[dma_ctrl.scala 314:26] + node _T_1060 = eq(_T_1059, UInt<3>("h04")) @[dma_ctrl.scala 314:32] + node _T_1061 = bits(dma_mem_byteen, 7, 4) @[dma_ctrl.scala 314:59] + node _T_1062 = bits(dma_mem_addr_int, 2, 0) @[dma_ctrl.scala 315:26] + node _T_1063 = eq(_T_1062, UInt<3>("h05")) @[dma_ctrl.scala 315:32] + node _T_1064 = bits(dma_mem_byteen, 7, 5) @[dma_ctrl.scala 315:59] + node _T_1065 = bits(dma_mem_addr_int, 2, 0) @[dma_ctrl.scala 316:26] + node _T_1066 = eq(_T_1065, UInt<3>("h06")) @[dma_ctrl.scala 316:32] + node _T_1067 = bits(dma_mem_byteen, 7, 6) @[dma_ctrl.scala 316:59] + node _T_1068 = bits(dma_mem_addr_int, 2, 0) @[dma_ctrl.scala 317:26] + node _T_1069 = eq(_T_1068, UInt<3>("h07")) @[dma_ctrl.scala 317:32] + node _T_1070 = bits(dma_mem_byteen, 7, 7) @[dma_ctrl.scala 317:59] + node _T_1071 = mux(_T_1048, _T_1049, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1072 = mux(_T_1051, _T_1052, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1073 = mux(_T_1054, _T_1055, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1074 = mux(_T_1057, _T_1058, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1075 = mux(_T_1060, _T_1061, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1076 = mux(_T_1063, _T_1064, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1077 = mux(_T_1066, _T_1067, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1078 = mux(_T_1069, _T_1070, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1079 = or(_T_1071, _T_1072) @[Mux.scala 27:72] + node _T_1080 = or(_T_1079, _T_1073) @[Mux.scala 27:72] + node _T_1081 = or(_T_1080, _T_1074) @[Mux.scala 27:72] + node _T_1082 = or(_T_1081, _T_1075) @[Mux.scala 27:72] + node _T_1083 = or(_T_1082, _T_1076) @[Mux.scala 27:72] + node _T_1084 = or(_T_1083, _T_1077) @[Mux.scala 27:72] + node _T_1085 = or(_T_1084, _T_1078) @[Mux.scala 27:72] + wire _T_1086 : UInt<4> @[Mux.scala 27:72] + _T_1086 <= _T_1085 @[Mux.scala 27:72] + node _T_1087 = neq(_T_1086, UInt<4>("h0f")) @[dma_ctrl.scala 317:66] + node _T_1088 = and(_T_1046, _T_1087) @[dma_ctrl.scala 310:78] + node _T_1089 = or(_T_1043, _T_1088) @[dma_ctrl.scala 309:145] + node _T_1090 = bits(dma_mem_sz_int, 2, 0) @[dma_ctrl.scala 318:62] + node _T_1091 = eq(_T_1090, UInt<2>("h03")) @[dma_ctrl.scala 318:69] + node _T_1092 = and(io.lsu_dma.dma_lsc_ctl.dma_mem_write, _T_1091) @[dma_ctrl.scala 318:45] + node _T_1093 = bits(dma_mem_byteen, 7, 0) @[dma_ctrl.scala 318:97] + node _T_1094 = eq(_T_1093, UInt<4>("h0f")) @[dma_ctrl.scala 318:103] + node _T_1095 = bits(dma_mem_byteen, 7, 0) @[dma_ctrl.scala 318:133] + node _T_1096 = eq(_T_1095, UInt<8>("h0f0")) @[dma_ctrl.scala 318:139] + node _T_1097 = or(_T_1094, _T_1096) @[dma_ctrl.scala 318:116] + node _T_1098 = bits(dma_mem_byteen, 7, 0) @[dma_ctrl.scala 318:169] + node _T_1099 = eq(_T_1098, UInt<8>("h0ff")) @[dma_ctrl.scala 318:175] + node _T_1100 = or(_T_1097, _T_1099) @[dma_ctrl.scala 318:152] + node _T_1101 = eq(_T_1100, UInt<1>("h00")) @[dma_ctrl.scala 318:80] + node _T_1102 = and(_T_1092, _T_1101) @[dma_ctrl.scala 318:78] + node _T_1103 = or(_T_1089, _T_1102) @[dma_ctrl.scala 317:79] + node _T_1104 = and(_T_1010, _T_1103) @[dma_ctrl.scala 304:87] + dma_alignment_error <= _T_1104 @[dma_ctrl.scala 304:25] + node _T_1105 = and(fifo_empty, dbg_dma_bubble_bus) @[dma_ctrl.scala 323:50] + io.dbg_dma_io.dma_dbg_ready <= _T_1105 @[dma_ctrl.scala 323:36] + node _T_1106 = dshr(fifo_valid, RspPtr) @[dma_ctrl.scala 324:39] + node _T_1107 = bits(_T_1106, 0, 0) @[dma_ctrl.scala 324:39] + node _T_1108 = dshr(fifo_dbg, RspPtr) @[dma_ctrl.scala 324:58] + node _T_1109 = bits(_T_1108, 0, 0) @[dma_ctrl.scala 324:58] + node _T_1110 = and(_T_1107, _T_1109) @[dma_ctrl.scala 324:48] + node _T_1111 = dshr(fifo_done, RspPtr) @[dma_ctrl.scala 324:78] + node _T_1112 = bits(_T_1111, 0, 0) @[dma_ctrl.scala 324:78] + node _T_1113 = and(_T_1110, _T_1112) @[dma_ctrl.scala 324:67] + io.dma_dbg_cmd_done <= _T_1113 @[dma_ctrl.scala 324:25] + node _T_1114 = bits(fifo_addr[RspPtr], 2, 2) @[dma_ctrl.scala 325:49] + node _T_1115 = bits(fifo_data[RspPtr], 63, 32) @[dma_ctrl.scala 325:71] + node _T_1116 = bits(fifo_data[RspPtr], 31, 0) @[dma_ctrl.scala 325:98] + node _T_1117 = mux(_T_1114, _T_1115, _T_1116) @[dma_ctrl.scala 325:31] + io.dma_dbg_rddata <= _T_1117 @[dma_ctrl.scala 325:25] + node _T_1118 = orr(fifo_error[RspPtr]) @[dma_ctrl.scala 326:47] + io.dma_dbg_cmd_fail <= _T_1118 @[dma_ctrl.scala 326:25] + node _T_1119 = dshr(fifo_valid, RdPtr) @[dma_ctrl.scala 328:38] + node _T_1120 = bits(_T_1119, 0, 0) @[dma_ctrl.scala 328:38] + node _T_1121 = dshr(fifo_done, RdPtr) @[dma_ctrl.scala 328:58] + node _T_1122 = bits(_T_1121, 0, 0) @[dma_ctrl.scala 328:58] + node _T_1123 = eq(_T_1122, UInt<1>("h00")) @[dma_ctrl.scala 328:48] + node _T_1124 = and(_T_1120, _T_1123) @[dma_ctrl.scala 328:46] + node _T_1125 = dshr(fifo_dbg, RdPtr) @[dma_ctrl.scala 328:76] + node _T_1126 = bits(_T_1125, 0, 0) @[dma_ctrl.scala 328:76] + node _T_1127 = and(_T_1124, _T_1126) @[dma_ctrl.scala 328:66] + node _T_1128 = or(dma_mem_addr_in_dccm, dma_mem_addr_in_iccm) @[dma_ctrl.scala 328:111] + node _T_1129 = or(_T_1128, dma_mem_addr_in_pic) @[dma_ctrl.scala 328:134] + node _T_1130 = not(_T_1129) @[dma_ctrl.scala 328:88] + node _T_1131 = bits(_T_1130, 0, 0) @[dma_ctrl.scala 328:164] + node _T_1132 = bits(dma_mem_sz_int, 1, 0) @[dma_ctrl.scala 328:184] + node _T_1133 = neq(_T_1132, UInt<2>("h02")) @[dma_ctrl.scala 328:191] + node _T_1134 = or(_T_1131, _T_1133) @[dma_ctrl.scala 328:167] + node _T_1135 = and(_T_1127, _T_1134) @[dma_ctrl.scala 328:84] + dma_dbg_cmd_error <= _T_1135 @[dma_ctrl.scala 328:25] + node _T_1136 = or(dma_mem_addr_in_dccm, dma_mem_addr_in_pic) @[dma_ctrl.scala 332:80] + node _T_1137 = and(dma_mem_req, _T_1136) @[dma_ctrl.scala 332:56] + node _T_1138 = geq(dma_nack_count, dma_nack_count_csr) @[dma_ctrl.scala 332:121] + node _T_1139 = and(_T_1137, _T_1138) @[dma_ctrl.scala 332:103] + io.dec_dma.tlu_dma.dma_dccm_stall_any <= _T_1139 @[dma_ctrl.scala 332:41] + node _T_1140 = and(dma_mem_req, dma_mem_addr_in_iccm) @[dma_ctrl.scala 333:56] + node _T_1141 = geq(dma_nack_count, dma_nack_count_csr) @[dma_ctrl.scala 333:97] + node _T_1142 = and(_T_1140, _T_1141) @[dma_ctrl.scala 333:79] + io.ifu_dma.dma_ifc.dma_iccm_stall_any <= _T_1142 @[dma_ctrl.scala 333:41] + io.dec_dma.tlu_dma.dma_iccm_stall_any <= io.ifu_dma.dma_ifc.dma_iccm_stall_any @[dma_ctrl.scala 334:41] + io.dec_dma.dctl_dma.dma_dccm_stall_any <= io.dec_dma.tlu_dma.dma_dccm_stall_any @[dma_ctrl.scala 335:42] + node _T_1143 = orr(fifo_valid) @[dma_ctrl.scala 338:30] + node _T_1144 = not(_T_1143) @[dma_ctrl.scala 338:17] + fifo_empty <= _T_1144 @[dma_ctrl.scala 338:14] + dma_nack_count_csr <= io.dec_dma.tlu_dma.dec_tlu_dma_qos_prty @[dma_ctrl.scala 342:22] + node _T_1145 = geq(dma_nack_count, dma_nack_count_csr) @[dma_ctrl.scala 343:45] + node _T_1146 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 343:115] + node _T_1147 = eq(_T_1146, UInt<1>("h00")) @[dma_ctrl.scala 343:77] + node _T_1148 = bits(_T_1147, 0, 0) @[Bitwise.scala 72:15] + node _T_1149 = mux(_T_1148, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_1150 = bits(dma_nack_count, 2, 0) @[dma_ctrl.scala 343:171] + node _T_1151 = and(_T_1149, _T_1150) @[dma_ctrl.scala 343:155] + node _T_1152 = bits(dma_mem_req, 0, 0) @[dma_ctrl.scala 343:196] + node _T_1153 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 343:243] + node _T_1154 = eq(_T_1153, UInt<1>("h00")) @[dma_ctrl.scala 343:205] + node _T_1155 = and(_T_1152, _T_1154) @[dma_ctrl.scala 343:203] + node _T_1156 = bits(dma_nack_count, 2, 0) @[dma_ctrl.scala 343:298] + node _T_1157 = add(_T_1156, UInt<1>("h01")) @[dma_ctrl.scala 343:304] + node _T_1158 = tail(_T_1157, 1) @[dma_ctrl.scala 343:304] + node _T_1159 = mux(_T_1155, _T_1158, UInt<1>("h00")) @[dma_ctrl.scala 343:182] + node dma_nack_count_d = mux(_T_1145, _T_1151, _T_1159) @[dma_ctrl.scala 343:29] + node _T_1160 = bits(dma_nack_count_d, 2, 0) @[dma_ctrl.scala 346:31] + node _T_1161 = bits(dma_mem_req, 0, 0) @[dma_ctrl.scala 346:55] + reg _T_1162 : UInt, dma_free_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1161 : @[Reg.scala 28:19] + _T_1162 <= _T_1160 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - dma_nack_count <= _T_1142 @[dma_ctrl.scala 348:22] - node _T_1143 = dshr(fifo_valid, RdPtr) @[dma_ctrl.scala 354:33] - node _T_1144 = bits(_T_1143, 0, 0) @[dma_ctrl.scala 354:33] - node _T_1145 = dshr(fifo_rpend, RdPtr) @[dma_ctrl.scala 354:54] - node _T_1146 = bits(_T_1145, 0, 0) @[dma_ctrl.scala 354:54] - node _T_1147 = eq(_T_1146, UInt<1>("h00")) @[dma_ctrl.scala 354:43] - node _T_1148 = and(_T_1144, _T_1147) @[dma_ctrl.scala 354:41] - node _T_1149 = dshr(fifo_done, RdPtr) @[dma_ctrl.scala 354:74] - node _T_1150 = bits(_T_1149, 0, 0) @[dma_ctrl.scala 354:74] - node _T_1151 = eq(_T_1150, UInt<1>("h00")) @[dma_ctrl.scala 354:64] - node _T_1152 = and(_T_1148, _T_1151) @[dma_ctrl.scala 354:62] - node _T_1153 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 354:104] - node _T_1154 = or(_T_1153, dma_dbg_cmd_error) @[dma_ctrl.scala 354:126] - node _T_1155 = eq(_T_1154, UInt<1>("h00")) @[dma_ctrl.scala 354:84] - node _T_1156 = and(_T_1152, _T_1155) @[dma_ctrl.scala 354:82] - dma_mem_req <= _T_1156 @[dma_ctrl.scala 354:20] - node _T_1157 = or(dma_mem_addr_in_dccm, dma_mem_addr_in_pic) @[dma_ctrl.scala 355:79] - node _T_1158 = and(dma_mem_req, _T_1157) @[dma_ctrl.scala 355:55] - node _T_1159 = and(_T_1158, io.lsu_dma.dccm_ready) @[dma_ctrl.scala 355:102] - io.lsu_dma.dma_lsc_ctl.dma_dccm_req <= _T_1159 @[dma_ctrl.scala 355:40] - node _T_1160 = and(dma_mem_req, dma_mem_addr_in_iccm) @[dma_ctrl.scala 356:55] - node _T_1161 = and(_T_1160, io.iccm_ready) @[dma_ctrl.scala 356:78] - io.ifu_dma.dma_mem_ctl.dma_iccm_req <= _T_1161 @[dma_ctrl.scala 356:40] - io.lsu_dma.dma_mem_tag <= RdPtr @[dma_ctrl.scala 357:28] - dma_mem_addr_int <= fifo_addr[RdPtr] @[dma_ctrl.scala 358:20] - dma_mem_sz_int <= fifo_sz[RdPtr] @[dma_ctrl.scala 359:20] - node _T_1162 = bits(dma_mem_byteen, 7, 0) @[dma_ctrl.scala 360:101] - node _T_1163 = eq(_T_1162, UInt<8>("h0f0")) @[dma_ctrl.scala 360:107] - node _T_1164 = and(io.lsu_dma.dma_lsc_ctl.dma_mem_write, _T_1163) @[dma_ctrl.scala 360:84] - node _T_1165 = bits(dma_mem_addr_int, 31, 3) @[dma_ctrl.scala 360:141] - node _T_1166 = bits(dma_mem_addr_int, 1, 0) @[dma_ctrl.scala 360:171] - node _T_1167 = cat(_T_1165, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_1168 = cat(_T_1167, _T_1166) @[Cat.scala 29:58] - node _T_1169 = bits(dma_mem_addr_int, 31, 0) @[dma_ctrl.scala 360:196] - node _T_1170 = mux(_T_1164, _T_1168, _T_1169) @[dma_ctrl.scala 360:46] - io.lsu_dma.dma_lsc_ctl.dma_mem_addr <= _T_1170 @[dma_ctrl.scala 360:40] - node _T_1171 = bits(dma_mem_byteen, 7, 0) @[dma_ctrl.scala 361:102] - node _T_1172 = eq(_T_1171, UInt<4>("h0f")) @[dma_ctrl.scala 361:108] - node _T_1173 = bits(dma_mem_byteen, 7, 0) @[dma_ctrl.scala 361:138] - node _T_1174 = eq(_T_1173, UInt<8>("h0f0")) @[dma_ctrl.scala 361:144] - node _T_1175 = or(_T_1172, _T_1174) @[dma_ctrl.scala 361:121] - node _T_1176 = and(io.lsu_dma.dma_lsc_ctl.dma_mem_write, _T_1175) @[dma_ctrl.scala 361:84] - node _T_1177 = bits(dma_mem_sz_int, 2, 0) @[dma_ctrl.scala 361:178] - node _T_1178 = mux(_T_1176, UInt<2>("h02"), _T_1177) @[dma_ctrl.scala 361:46] - io.lsu_dma.dma_lsc_ctl.dma_mem_sz <= _T_1178 @[dma_ctrl.scala 361:40] - dma_mem_byteen <= fifo_byteen[RdPtr] @[dma_ctrl.scala 362:20] - node _T_1179 = dshr(fifo_write, RdPtr) @[dma_ctrl.scala 363:53] - node _T_1180 = bits(_T_1179, 0, 0) @[dma_ctrl.scala 363:53] - io.lsu_dma.dma_lsc_ctl.dma_mem_write <= _T_1180 @[dma_ctrl.scala 363:40] - io.lsu_dma.dma_lsc_ctl.dma_mem_wdata <= fifo_data[RdPtr] @[dma_ctrl.scala 364:40] - node _T_1181 = eq(io.lsu_dma.dma_lsc_ctl.dma_mem_write, UInt<1>("h00")) @[dma_ctrl.scala 368:83] - node _T_1182 = and(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, _T_1181) @[dma_ctrl.scala 368:81] - io.dec_dma.tlu_dma.dma_pmu_dccm_read <= _T_1182 @[dma_ctrl.scala 368:42] - node _T_1183 = and(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[dma_ctrl.scala 369:81] - io.dec_dma.tlu_dma.dma_pmu_dccm_write <= _T_1183 @[dma_ctrl.scala 369:42] - node _T_1184 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 370:82] - node _T_1185 = eq(io.lsu_dma.dma_lsc_ctl.dma_mem_write, UInt<1>("h00")) @[dma_ctrl.scala 370:123] - node _T_1186 = and(_T_1184, _T_1185) @[dma_ctrl.scala 370:121] - io.dec_dma.tlu_dma.dma_pmu_any_read <= _T_1186 @[dma_ctrl.scala 370:42] - node _T_1187 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 371:82] - node _T_1188 = and(_T_1187, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[dma_ctrl.scala 371:121] - io.dec_dma.tlu_dma.dma_pmu_any_write <= _T_1188 @[dma_ctrl.scala 371:42] - reg _T_1189 : UInt<1>, dma_bus_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 376:12] - _T_1189 <= fifo_full_spec @[dma_ctrl.scala 376:12] - fifo_full <= _T_1189 @[dma_ctrl.scala 375:22] - reg _T_1190 : UInt<1>, dma_bus_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 380:12] - _T_1190 <= io.dbg_dma_io.dbg_dma_bubble @[dma_ctrl.scala 380:12] - dbg_dma_bubble_bus <= _T_1190 @[dma_ctrl.scala 379:22] - reg _T_1191 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 384:12] - _T_1191 <= io.dma_dbg_cmd_done @[dma_ctrl.scala 384:12] - dma_dbg_cmd_done_q <= _T_1191 @[dma_ctrl.scala 383:22] - node _T_1192 = and(bus_cmd_valid, io.dma_bus_clk_en) @[dma_ctrl.scala 389:44] - node _T_1193 = or(_T_1192, io.dbg_dma.dbg_ib.dbg_cmd_valid) @[dma_ctrl.scala 389:65] - node dma_buffer_c1_clken = or(_T_1193, io.clk_override) @[dma_ctrl.scala 389:99] - node _T_1194 = or(bus_cmd_valid, bus_rsp_valid) @[dma_ctrl.scala 390:44] - node _T_1195 = or(_T_1194, io.dbg_dma.dbg_ib.dbg_cmd_valid) @[dma_ctrl.scala 390:60] - node _T_1196 = or(_T_1195, io.dma_dbg_cmd_done) @[dma_ctrl.scala 390:94] - node _T_1197 = or(_T_1196, dma_dbg_cmd_done_q) @[dma_ctrl.scala 390:116] - node _T_1198 = orr(fifo_valid) @[dma_ctrl.scala 390:151] - node _T_1199 = or(_T_1197, _T_1198) @[dma_ctrl.scala 390:137] - node dma_free_clken = or(_T_1199, io.clk_override) @[dma_ctrl.scala 390:156] - inst dma_buffer_c1cgc of rvclkhdr_841 @[dma_ctrl.scala 392:32] - dma_buffer_c1cgc.clock <= clock - dma_buffer_c1cgc.reset <= reset - dma_buffer_c1cgc.io.en <= dma_buffer_c1_clken @[dma_ctrl.scala 393:33] - dma_buffer_c1cgc.io.scan_mode <= io.scan_mode @[dma_ctrl.scala 394:33] - dma_buffer_c1cgc.io.clk <= clock @[dma_ctrl.scala 395:33] - dma_buffer_c1_clk <= dma_buffer_c1cgc.io.l1clk @[dma_ctrl.scala 396:33] - inst dma_free_cgc of rvclkhdr_842 @[dma_ctrl.scala 398:28] - dma_free_cgc.clock <= clock - dma_free_cgc.reset <= reset - dma_free_cgc.io.en <= dma_free_clken @[dma_ctrl.scala 399:29] - dma_free_cgc.io.scan_mode <= io.scan_mode @[dma_ctrl.scala 400:29] - dma_free_cgc.io.clk <= clock @[dma_ctrl.scala 401:29] - dma_free_clk <= dma_free_cgc.io.l1clk @[dma_ctrl.scala 402:29] - inst dma_bus_cgc of rvclkhdr_843 @[dma_ctrl.scala 404:27] - dma_bus_cgc.clock <= clock - dma_bus_cgc.reset <= reset - dma_bus_cgc.io.en <= io.dma_bus_clk_en @[dma_ctrl.scala 405:28] - dma_bus_cgc.io.scan_mode <= io.scan_mode @[dma_ctrl.scala 406:28] - dma_bus_cgc.io.clk <= clock @[dma_ctrl.scala 407:28] - dma_bus_clk <= dma_bus_cgc.io.l1clk @[dma_ctrl.scala 408:28] - node wrbuf_en = and(io.dma_axi.aw.valid, io.dma_axi.aw.ready) @[dma_ctrl.scala 412:47] - node wrbuf_data_en = and(io.dma_axi.w.valid, io.dma_axi.w.ready) @[dma_ctrl.scala 413:46] - node wrbuf_cmd_sent = and(axi_mstr_prty_en, bus_cmd_write) @[dma_ctrl.scala 414:40] - node _T_1200 = bits(wrbuf_cmd_sent, 0, 0) @[dma_ctrl.scala 415:42] - node _T_1201 = eq(wrbuf_en, UInt<1>("h00")) @[dma_ctrl.scala 415:51] - node wrbuf_rst = and(_T_1200, _T_1201) @[dma_ctrl.scala 415:49] - node _T_1202 = bits(wrbuf_cmd_sent, 0, 0) @[dma_ctrl.scala 416:42] - node _T_1203 = eq(wrbuf_data_en, UInt<1>("h00")) @[dma_ctrl.scala 416:51] - node wrbuf_data_rst = and(_T_1202, _T_1203) @[dma_ctrl.scala 416:49] - node _T_1204 = mux(wrbuf_en, UInt<1>("h01"), wrbuf_vld) @[dma_ctrl.scala 418:63] - node _T_1205 = eq(wrbuf_rst, UInt<1>("h00")) @[dma_ctrl.scala 418:92] - node _T_1206 = and(_T_1204, _T_1205) @[dma_ctrl.scala 418:90] - reg _T_1207 : UInt, dma_bus_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 418:59] - _T_1207 <= _T_1206 @[dma_ctrl.scala 418:59] - wrbuf_vld <= _T_1207 @[dma_ctrl.scala 418:25] - node _T_1208 = mux(wrbuf_data_en, UInt<1>("h01"), wrbuf_data_vld) @[dma_ctrl.scala 420:63] - node _T_1209 = eq(wrbuf_data_rst, UInt<1>("h00")) @[dma_ctrl.scala 420:102] - node _T_1210 = and(_T_1208, _T_1209) @[dma_ctrl.scala 420:100] - reg _T_1211 : UInt, dma_bus_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 420:59] - _T_1211 <= _T_1210 @[dma_ctrl.scala 420:59] - wrbuf_data_vld <= _T_1211 @[dma_ctrl.scala 420:25] + dma_nack_count <= _T_1162 @[dma_ctrl.scala 345:22] + node _T_1163 = dshr(fifo_valid, RdPtr) @[dma_ctrl.scala 351:33] + node _T_1164 = bits(_T_1163, 0, 0) @[dma_ctrl.scala 351:33] + node _T_1165 = dshr(fifo_rpend, RdPtr) @[dma_ctrl.scala 351:54] + node _T_1166 = bits(_T_1165, 0, 0) @[dma_ctrl.scala 351:54] + node _T_1167 = eq(_T_1166, UInt<1>("h00")) @[dma_ctrl.scala 351:43] + node _T_1168 = and(_T_1164, _T_1167) @[dma_ctrl.scala 351:41] + node _T_1169 = dshr(fifo_done, RdPtr) @[dma_ctrl.scala 351:74] + node _T_1170 = bits(_T_1169, 0, 0) @[dma_ctrl.scala 351:74] + node _T_1171 = eq(_T_1170, UInt<1>("h00")) @[dma_ctrl.scala 351:64] + node _T_1172 = and(_T_1168, _T_1171) @[dma_ctrl.scala 351:62] + node _T_1173 = or(dma_address_error, dma_alignment_error) @[dma_ctrl.scala 351:104] + node _T_1174 = or(_T_1173, dma_dbg_cmd_error) @[dma_ctrl.scala 351:126] + node _T_1175 = eq(_T_1174, UInt<1>("h00")) @[dma_ctrl.scala 351:84] + node _T_1176 = and(_T_1172, _T_1175) @[dma_ctrl.scala 351:82] + dma_mem_req <= _T_1176 @[dma_ctrl.scala 351:20] + node _T_1177 = or(dma_mem_addr_in_dccm, dma_mem_addr_in_pic) @[dma_ctrl.scala 352:79] + node _T_1178 = and(dma_mem_req, _T_1177) @[dma_ctrl.scala 352:55] + node _T_1179 = and(_T_1178, io.lsu_dma.dccm_ready) @[dma_ctrl.scala 352:102] + io.lsu_dma.dma_lsc_ctl.dma_dccm_req <= _T_1179 @[dma_ctrl.scala 352:40] + node _T_1180 = and(dma_mem_req, dma_mem_addr_in_iccm) @[dma_ctrl.scala 353:55] + node _T_1181 = and(_T_1180, io.iccm_ready) @[dma_ctrl.scala 353:78] + io.ifu_dma.dma_mem_ctl.dma_iccm_req <= _T_1181 @[dma_ctrl.scala 353:40] + io.lsu_dma.dma_mem_tag <= RdPtr @[dma_ctrl.scala 354:28] + dma_mem_addr_int <= fifo_addr[RdPtr] @[dma_ctrl.scala 355:20] + dma_mem_sz_int <= fifo_sz[RdPtr] @[dma_ctrl.scala 356:20] + node _T_1182 = bits(dma_mem_byteen, 7, 0) @[dma_ctrl.scala 357:101] + node _T_1183 = eq(_T_1182, UInt<8>("h0f0")) @[dma_ctrl.scala 357:107] + node _T_1184 = and(io.lsu_dma.dma_lsc_ctl.dma_mem_write, _T_1183) @[dma_ctrl.scala 357:84] + node _T_1185 = bits(dma_mem_addr_int, 31, 3) @[dma_ctrl.scala 357:141] + node _T_1186 = bits(dma_mem_addr_int, 1, 0) @[dma_ctrl.scala 357:171] + node _T_1187 = cat(_T_1185, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_1188 = cat(_T_1187, _T_1186) @[Cat.scala 29:58] + node _T_1189 = bits(dma_mem_addr_int, 31, 0) @[dma_ctrl.scala 357:196] + node _T_1190 = mux(_T_1184, _T_1188, _T_1189) @[dma_ctrl.scala 357:46] + io.lsu_dma.dma_lsc_ctl.dma_mem_addr <= _T_1190 @[dma_ctrl.scala 357:40] + node _T_1191 = bits(dma_mem_byteen, 7, 0) @[dma_ctrl.scala 358:102] + node _T_1192 = eq(_T_1191, UInt<4>("h0f")) @[dma_ctrl.scala 358:108] + node _T_1193 = bits(dma_mem_byteen, 7, 0) @[dma_ctrl.scala 358:138] + node _T_1194 = eq(_T_1193, UInt<8>("h0f0")) @[dma_ctrl.scala 358:144] + node _T_1195 = or(_T_1192, _T_1194) @[dma_ctrl.scala 358:121] + node _T_1196 = and(io.lsu_dma.dma_lsc_ctl.dma_mem_write, _T_1195) @[dma_ctrl.scala 358:84] + node _T_1197 = bits(dma_mem_sz_int, 2, 0) @[dma_ctrl.scala 358:178] + node _T_1198 = mux(_T_1196, UInt<2>("h02"), _T_1197) @[dma_ctrl.scala 358:46] + io.lsu_dma.dma_lsc_ctl.dma_mem_sz <= _T_1198 @[dma_ctrl.scala 358:40] + dma_mem_byteen <= fifo_byteen[RdPtr] @[dma_ctrl.scala 359:20] + node _T_1199 = dshr(fifo_write, RdPtr) @[dma_ctrl.scala 360:53] + node _T_1200 = bits(_T_1199, 0, 0) @[dma_ctrl.scala 360:53] + io.lsu_dma.dma_lsc_ctl.dma_mem_write <= _T_1200 @[dma_ctrl.scala 360:40] + io.lsu_dma.dma_lsc_ctl.dma_mem_wdata <= fifo_data[RdPtr] @[dma_ctrl.scala 361:40] + node _T_1201 = eq(io.lsu_dma.dma_lsc_ctl.dma_mem_write, UInt<1>("h00")) @[dma_ctrl.scala 365:83] + node _T_1202 = and(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, _T_1201) @[dma_ctrl.scala 365:81] + io.dec_dma.tlu_dma.dma_pmu_dccm_read <= _T_1202 @[dma_ctrl.scala 365:42] + node _T_1203 = and(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[dma_ctrl.scala 366:81] + io.dec_dma.tlu_dma.dma_pmu_dccm_write <= _T_1203 @[dma_ctrl.scala 366:42] + node _T_1204 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 367:82] + node _T_1205 = eq(io.lsu_dma.dma_lsc_ctl.dma_mem_write, UInt<1>("h00")) @[dma_ctrl.scala 367:123] + node _T_1206 = and(_T_1204, _T_1205) @[dma_ctrl.scala 367:121] + io.dec_dma.tlu_dma.dma_pmu_any_read <= _T_1206 @[dma_ctrl.scala 367:42] + node _T_1207 = or(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.ifu_dma.dma_mem_ctl.dma_iccm_req) @[dma_ctrl.scala 368:82] + node _T_1208 = and(_T_1207, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[dma_ctrl.scala 368:121] + io.dec_dma.tlu_dma.dma_pmu_any_write <= _T_1208 @[dma_ctrl.scala 368:42] + reg _T_1209 : UInt<1>, dma_bus_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 373:12] + _T_1209 <= fifo_full_spec @[dma_ctrl.scala 373:12] + fifo_full <= _T_1209 @[dma_ctrl.scala 372:22] + reg _T_1210 : UInt<1>, dma_bus_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 377:12] + _T_1210 <= io.dbg_dma_io.dbg_dma_bubble @[dma_ctrl.scala 377:12] + dbg_dma_bubble_bus <= _T_1210 @[dma_ctrl.scala 376:22] + reg _T_1211 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 381:12] + _T_1211 <= io.dma_dbg_cmd_done @[dma_ctrl.scala 381:12] + dma_dbg_cmd_done_q <= _T_1211 @[dma_ctrl.scala 380:22] + node _T_1212 = and(bus_cmd_valid, io.dma_bus_clk_en) @[dma_ctrl.scala 386:44] + node _T_1213 = or(_T_1212, io.dbg_dma.dbg_ib.dbg_cmd_valid) @[dma_ctrl.scala 386:65] + node dma_buffer_c1_clken = or(_T_1213, io.clk_override) @[dma_ctrl.scala 386:99] + node _T_1214 = or(bus_cmd_valid, bus_rsp_valid) @[dma_ctrl.scala 387:44] + node _T_1215 = or(_T_1214, io.dbg_dma.dbg_ib.dbg_cmd_valid) @[dma_ctrl.scala 387:60] + node _T_1216 = or(_T_1215, io.dma_dbg_cmd_done) @[dma_ctrl.scala 387:94] + node _T_1217 = or(_T_1216, dma_dbg_cmd_done_q) @[dma_ctrl.scala 387:116] + node _T_1218 = orr(fifo_valid) @[dma_ctrl.scala 387:151] + node _T_1219 = or(_T_1217, _T_1218) @[dma_ctrl.scala 387:137] + node dma_free_clken = or(_T_1219, io.clk_override) @[dma_ctrl.scala 387:156] + node _T_1220 = bits(dma_buffer_c1_clken, 0, 0) @[dma_ctrl.scala 389:59] + inst rvclkhdr_10 of rvclkhdr_841 @[lib.scala 343:22] + rvclkhdr_10.clock <= clock + rvclkhdr_10.reset <= reset + rvclkhdr_10.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_10.io.en <= _T_1220 @[lib.scala 345:16] + rvclkhdr_10.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + dma_buffer_c1_clk <= rvclkhdr_10.io.l1clk @[dma_ctrl.scala 389:21] + node _T_1221 = bits(dma_free_clken, 0, 0) @[dma_ctrl.scala 390:60] + inst rvclkhdr_11 of rvclkhdr_842 @[lib.scala 343:22] + rvclkhdr_11.clock <= clock + rvclkhdr_11.reset <= reset + rvclkhdr_11.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_11.io.en <= _T_1221 @[lib.scala 345:16] + rvclkhdr_11.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + dma_free_clk <= rvclkhdr_11.io.l1clk @[dma_ctrl.scala 390:21] + inst rvclkhdr_12 of rvclkhdr_843 @[lib.scala 343:22] + rvclkhdr_12.clock <= clock + rvclkhdr_12.reset <= reset + rvclkhdr_12.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_12.io.en <= io.dma_bus_clk_en @[lib.scala 345:16] + rvclkhdr_12.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + dma_bus_clk <= rvclkhdr_12.io.l1clk @[dma_ctrl.scala 391:21] + node wrbuf_en = and(io.dma_axi.aw.valid, io.dma_axi.aw.ready) @[dma_ctrl.scala 396:47] + node wrbuf_data_en = and(io.dma_axi.w.valid, io.dma_axi.w.ready) @[dma_ctrl.scala 397:46] + node wrbuf_cmd_sent = and(axi_mstr_prty_en, bus_cmd_write) @[dma_ctrl.scala 398:40] + node _T_1222 = bits(wrbuf_cmd_sent, 0, 0) @[dma_ctrl.scala 399:42] + node _T_1223 = eq(wrbuf_en, UInt<1>("h00")) @[dma_ctrl.scala 399:51] + node wrbuf_rst = and(_T_1222, _T_1223) @[dma_ctrl.scala 399:49] + node _T_1224 = bits(wrbuf_cmd_sent, 0, 0) @[dma_ctrl.scala 400:42] + node _T_1225 = eq(wrbuf_data_en, UInt<1>("h00")) @[dma_ctrl.scala 400:51] + node wrbuf_data_rst = and(_T_1224, _T_1225) @[dma_ctrl.scala 400:49] + node _T_1226 = mux(wrbuf_en, UInt<1>("h01"), wrbuf_vld) @[dma_ctrl.scala 402:63] + node _T_1227 = eq(wrbuf_rst, UInt<1>("h00")) @[dma_ctrl.scala 402:92] + node _T_1228 = and(_T_1226, _T_1227) @[dma_ctrl.scala 402:90] + reg _T_1229 : UInt, dma_bus_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 402:59] + _T_1229 <= _T_1228 @[dma_ctrl.scala 402:59] + wrbuf_vld <= _T_1229 @[dma_ctrl.scala 402:25] + node _T_1230 = mux(wrbuf_data_en, UInt<1>("h01"), wrbuf_data_vld) @[dma_ctrl.scala 404:63] + node _T_1231 = eq(wrbuf_data_rst, UInt<1>("h00")) @[dma_ctrl.scala 404:102] + node _T_1232 = and(_T_1230, _T_1231) @[dma_ctrl.scala 404:100] + reg _T_1233 : UInt, dma_bus_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 404:59] + _T_1233 <= _T_1232 @[dma_ctrl.scala 404:59] + wrbuf_data_vld <= _T_1233 @[dma_ctrl.scala 404:25] reg wrbuf_tag : UInt, dma_bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when wrbuf_en : @[Reg.scala 28:19] wrbuf_tag <= io.dma_axi.aw.bits.id @[Reg.scala 28:23] @@ -108845,40 +108859,40 @@ circuit quasar_wrapper : when wrbuf_en : @[Reg.scala 28:19] wrbuf_sz <= io.dma_axi.aw.bits.size @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_1212 = and(wrbuf_en, io.dma_bus_clk_en) @[dma_ctrl.scala 430:68] - inst rvclkhdr_10 of rvclkhdr_844 @[lib.scala 368:23] - rvclkhdr_10.clock <= clock - rvclkhdr_10.reset <= reset - rvclkhdr_10.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_10.io.en <= _T_1212 @[lib.scala 371:17] - rvclkhdr_10.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg wrbuf_addr : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + node _T_1234 = and(wrbuf_en, io.dma_bus_clk_en) @[dma_ctrl.scala 414:68] + inst rvclkhdr_13 of rvclkhdr_844 @[lib.scala 368:23] + rvclkhdr_13.clock <= clock + rvclkhdr_13.reset <= reset + rvclkhdr_13.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_13.io.en <= _T_1234 @[lib.scala 371:17] + rvclkhdr_13.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg wrbuf_addr : UInt, rvclkhdr_13.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] wrbuf_addr <= io.dma_axi.aw.bits.addr @[lib.scala 374:16] - node _T_1213 = and(wrbuf_data_en, io.dma_bus_clk_en) @[dma_ctrl.scala 432:72] - inst rvclkhdr_11 of rvclkhdr_845 @[lib.scala 368:23] - rvclkhdr_11.clock <= clock - rvclkhdr_11.reset <= reset - rvclkhdr_11.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_11.io.en <= _T_1213 @[lib.scala 371:17] - rvclkhdr_11.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg wrbuf_data : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + node _T_1235 = and(wrbuf_data_en, io.dma_bus_clk_en) @[dma_ctrl.scala 416:72] + inst rvclkhdr_14 of rvclkhdr_845 @[lib.scala 368:23] + rvclkhdr_14.clock <= clock + rvclkhdr_14.reset <= reset + rvclkhdr_14.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_14.io.en <= _T_1235 @[lib.scala 371:17] + rvclkhdr_14.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg wrbuf_data : UInt, rvclkhdr_14.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] wrbuf_data <= io.dma_axi.w.bits.data @[lib.scala 374:16] reg wrbuf_byteen : UInt, dma_bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when wrbuf_data_en : @[Reg.scala 28:19] wrbuf_byteen <= io.dma_axi.w.bits.strb @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node rdbuf_en = and(io.dma_axi.ar.valid, io.dma_axi.ar.ready) @[dma_ctrl.scala 440:59] - node _T_1214 = eq(bus_cmd_write, UInt<1>("h00")) @[dma_ctrl.scala 441:44] - node rdbuf_cmd_sent = and(axi_mstr_prty_en, _T_1214) @[dma_ctrl.scala 441:42] - node _T_1215 = bits(rdbuf_cmd_sent, 0, 0) @[dma_ctrl.scala 442:54] - node _T_1216 = eq(rdbuf_en, UInt<1>("h00")) @[dma_ctrl.scala 442:63] - node rdbuf_rst = and(_T_1215, _T_1216) @[dma_ctrl.scala 442:61] - node _T_1217 = mux(rdbuf_en, UInt<1>("h01"), rdbuf_vld) @[dma_ctrl.scala 444:51] - node _T_1218 = eq(rdbuf_rst, UInt<1>("h00")) @[dma_ctrl.scala 444:80] - node _T_1219 = and(_T_1217, _T_1218) @[dma_ctrl.scala 444:78] - reg _T_1220 : UInt, dma_bus_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 444:47] - _T_1220 <= _T_1219 @[dma_ctrl.scala 444:47] - rdbuf_vld <= _T_1220 @[dma_ctrl.scala 444:13] + node rdbuf_en = and(io.dma_axi.ar.valid, io.dma_axi.ar.ready) @[dma_ctrl.scala 424:59] + node _T_1236 = eq(bus_cmd_write, UInt<1>("h00")) @[dma_ctrl.scala 425:44] + node rdbuf_cmd_sent = and(axi_mstr_prty_en, _T_1236) @[dma_ctrl.scala 425:42] + node _T_1237 = bits(rdbuf_cmd_sent, 0, 0) @[dma_ctrl.scala 426:54] + node _T_1238 = eq(rdbuf_en, UInt<1>("h00")) @[dma_ctrl.scala 426:63] + node rdbuf_rst = and(_T_1237, _T_1238) @[dma_ctrl.scala 426:61] + node _T_1239 = mux(rdbuf_en, UInt<1>("h01"), rdbuf_vld) @[dma_ctrl.scala 428:51] + node _T_1240 = eq(rdbuf_rst, UInt<1>("h00")) @[dma_ctrl.scala 428:80] + node _T_1241 = and(_T_1239, _T_1240) @[dma_ctrl.scala 428:78] + reg _T_1242 : UInt, dma_bus_clk with : (reset => (reset, UInt<1>("h00"))) @[dma_ctrl.scala 428:47] + _T_1242 <= _T_1241 @[dma_ctrl.scala 428:47] + rdbuf_vld <= _T_1242 @[dma_ctrl.scala 428:13] reg rdbuf_tag : UInt, dma_bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when rdbuf_en : @[Reg.scala 28:19] rdbuf_tag <= io.dma_axi.ar.bits.id @[Reg.scala 28:23] @@ -108887,102 +108901,102 @@ circuit quasar_wrapper : when rdbuf_en : @[Reg.scala 28:19] rdbuf_sz <= io.dma_axi.ar.bits.size @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_1221 = and(rdbuf_en, io.dma_bus_clk_en) @[dma_ctrl.scala 454:61] - inst rvclkhdr_12 of rvclkhdr_846 @[lib.scala 368:23] - rvclkhdr_12.clock <= clock - rvclkhdr_12.reset <= reset - rvclkhdr_12.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_12.io.en <= _T_1221 @[lib.scala 371:17] - rvclkhdr_12.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg rdbuf_addr : UInt, rvclkhdr_12.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + node _T_1243 = and(rdbuf_en, io.dma_bus_clk_en) @[dma_ctrl.scala 438:61] + inst rvclkhdr_15 of rvclkhdr_846 @[lib.scala 368:23] + rvclkhdr_15.clock <= clock + rvclkhdr_15.reset <= reset + rvclkhdr_15.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_15.io.en <= _T_1243 @[lib.scala 371:17] + rvclkhdr_15.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg rdbuf_addr : UInt, rvclkhdr_15.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] rdbuf_addr <= io.dma_axi.ar.bits.addr @[lib.scala 374:16] - node _T_1222 = eq(wrbuf_cmd_sent, UInt<1>("h00")) @[dma_ctrl.scala 456:44] - node _T_1223 = and(wrbuf_vld, _T_1222) @[dma_ctrl.scala 456:42] - node _T_1224 = not(_T_1223) @[dma_ctrl.scala 456:30] - io.dma_axi.aw.ready <= _T_1224 @[dma_ctrl.scala 456:27] - node _T_1225 = eq(wrbuf_cmd_sent, UInt<1>("h00")) @[dma_ctrl.scala 457:49] - node _T_1226 = and(wrbuf_data_vld, _T_1225) @[dma_ctrl.scala 457:47] - node _T_1227 = not(_T_1226) @[dma_ctrl.scala 457:30] - io.dma_axi.w.ready <= _T_1227 @[dma_ctrl.scala 457:27] - node _T_1228 = eq(rdbuf_cmd_sent, UInt<1>("h00")) @[dma_ctrl.scala 458:44] - node _T_1229 = and(rdbuf_vld, _T_1228) @[dma_ctrl.scala 458:42] - node _T_1230 = not(_T_1229) @[dma_ctrl.scala 458:30] - io.dma_axi.ar.ready <= _T_1230 @[dma_ctrl.scala 458:27] - node _T_1231 = and(wrbuf_vld, wrbuf_data_vld) @[dma_ctrl.scala 462:51] - node _T_1232 = or(_T_1231, rdbuf_vld) @[dma_ctrl.scala 462:69] - bus_cmd_valid <= _T_1232 @[dma_ctrl.scala 462:37] - node _T_1233 = and(bus_cmd_valid, dma_fifo_ready) @[dma_ctrl.scala 463:54] - axi_mstr_prty_en <= _T_1233 @[dma_ctrl.scala 463:37] - bus_cmd_write <= axi_mstr_sel @[dma_ctrl.scala 464:37] - bus_cmd_posted_write <= UInt<1>("h00") @[dma_ctrl.scala 465:25] - node _T_1234 = bits(axi_mstr_sel, 0, 0) @[dma_ctrl.scala 466:57] - node _T_1235 = mux(_T_1234, wrbuf_addr, rdbuf_addr) @[dma_ctrl.scala 466:43] - bus_cmd_addr <= _T_1235 @[dma_ctrl.scala 466:37] - node _T_1236 = bits(axi_mstr_sel, 0, 0) @[dma_ctrl.scala 467:59] - node _T_1237 = mux(_T_1236, wrbuf_sz, rdbuf_sz) @[dma_ctrl.scala 467:45] - bus_cmd_sz <= _T_1237 @[dma_ctrl.scala 467:39] - bus_cmd_wdata <= wrbuf_data @[dma_ctrl.scala 468:37] - bus_cmd_byteen <= wrbuf_byteen @[dma_ctrl.scala 469:37] - node _T_1238 = bits(axi_mstr_sel, 0, 0) @[dma_ctrl.scala 470:57] - node _T_1239 = mux(_T_1238, wrbuf_tag, rdbuf_tag) @[dma_ctrl.scala 470:43] - bus_cmd_tag <= _T_1239 @[dma_ctrl.scala 470:37] - bus_cmd_mid <= UInt<1>("h00") @[dma_ctrl.scala 471:37] - bus_cmd_prty <= UInt<1>("h00") @[dma_ctrl.scala 472:37] - node _T_1240 = and(wrbuf_vld, wrbuf_data_vld) @[dma_ctrl.scala 476:43] - node _T_1241 = and(_T_1240, rdbuf_vld) @[dma_ctrl.scala 476:60] - node _T_1242 = eq(_T_1241, UInt<1>("h01")) @[dma_ctrl.scala 476:73] - node _T_1243 = and(wrbuf_vld, wrbuf_data_vld) @[dma_ctrl.scala 476:111] - node _T_1244 = mux(_T_1242, axi_mstr_priority, _T_1243) @[dma_ctrl.scala 476:31] - axi_mstr_sel <= _T_1244 @[dma_ctrl.scala 476:25] - node axi_mstr_prty_in = not(axi_mstr_priority) @[dma_ctrl.scala 477:27] - node _T_1245 = bits(axi_mstr_prty_en, 0, 0) @[dma_ctrl.scala 481:55] - reg _T_1246 : UInt, dma_bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_1245 : @[Reg.scala 28:19] - _T_1246 <= axi_mstr_prty_in @[Reg.scala 28:23] + node _T_1244 = eq(wrbuf_cmd_sent, UInt<1>("h00")) @[dma_ctrl.scala 440:44] + node _T_1245 = and(wrbuf_vld, _T_1244) @[dma_ctrl.scala 440:42] + node _T_1246 = not(_T_1245) @[dma_ctrl.scala 440:30] + io.dma_axi.aw.ready <= _T_1246 @[dma_ctrl.scala 440:27] + node _T_1247 = eq(wrbuf_cmd_sent, UInt<1>("h00")) @[dma_ctrl.scala 441:49] + node _T_1248 = and(wrbuf_data_vld, _T_1247) @[dma_ctrl.scala 441:47] + node _T_1249 = not(_T_1248) @[dma_ctrl.scala 441:30] + io.dma_axi.w.ready <= _T_1249 @[dma_ctrl.scala 441:27] + node _T_1250 = eq(rdbuf_cmd_sent, UInt<1>("h00")) @[dma_ctrl.scala 442:44] + node _T_1251 = and(rdbuf_vld, _T_1250) @[dma_ctrl.scala 442:42] + node _T_1252 = not(_T_1251) @[dma_ctrl.scala 442:30] + io.dma_axi.ar.ready <= _T_1252 @[dma_ctrl.scala 442:27] + node _T_1253 = and(wrbuf_vld, wrbuf_data_vld) @[dma_ctrl.scala 446:51] + node _T_1254 = or(_T_1253, rdbuf_vld) @[dma_ctrl.scala 446:69] + bus_cmd_valid <= _T_1254 @[dma_ctrl.scala 446:37] + node _T_1255 = and(bus_cmd_valid, dma_fifo_ready) @[dma_ctrl.scala 447:54] + axi_mstr_prty_en <= _T_1255 @[dma_ctrl.scala 447:37] + bus_cmd_write <= axi_mstr_sel @[dma_ctrl.scala 448:37] + bus_cmd_posted_write <= UInt<1>("h00") @[dma_ctrl.scala 449:25] + node _T_1256 = bits(axi_mstr_sel, 0, 0) @[dma_ctrl.scala 450:57] + node _T_1257 = mux(_T_1256, wrbuf_addr, rdbuf_addr) @[dma_ctrl.scala 450:43] + bus_cmd_addr <= _T_1257 @[dma_ctrl.scala 450:37] + node _T_1258 = bits(axi_mstr_sel, 0, 0) @[dma_ctrl.scala 451:59] + node _T_1259 = mux(_T_1258, wrbuf_sz, rdbuf_sz) @[dma_ctrl.scala 451:45] + bus_cmd_sz <= _T_1259 @[dma_ctrl.scala 451:39] + bus_cmd_wdata <= wrbuf_data @[dma_ctrl.scala 452:37] + bus_cmd_byteen <= wrbuf_byteen @[dma_ctrl.scala 453:37] + node _T_1260 = bits(axi_mstr_sel, 0, 0) @[dma_ctrl.scala 454:57] + node _T_1261 = mux(_T_1260, wrbuf_tag, rdbuf_tag) @[dma_ctrl.scala 454:43] + bus_cmd_tag <= _T_1261 @[dma_ctrl.scala 454:37] + bus_cmd_mid <= UInt<1>("h00") @[dma_ctrl.scala 455:37] + bus_cmd_prty <= UInt<1>("h00") @[dma_ctrl.scala 456:37] + node _T_1262 = and(wrbuf_vld, wrbuf_data_vld) @[dma_ctrl.scala 460:43] + node _T_1263 = and(_T_1262, rdbuf_vld) @[dma_ctrl.scala 460:60] + node _T_1264 = eq(_T_1263, UInt<1>("h01")) @[dma_ctrl.scala 460:73] + node _T_1265 = and(wrbuf_vld, wrbuf_data_vld) @[dma_ctrl.scala 460:111] + node _T_1266 = mux(_T_1264, axi_mstr_priority, _T_1265) @[dma_ctrl.scala 460:31] + axi_mstr_sel <= _T_1266 @[dma_ctrl.scala 460:25] + node axi_mstr_prty_in = not(axi_mstr_priority) @[dma_ctrl.scala 461:27] + node _T_1267 = bits(axi_mstr_prty_en, 0, 0) @[dma_ctrl.scala 465:55] + reg _T_1268 : UInt, dma_bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1267 : @[Reg.scala 28:19] + _T_1268 <= axi_mstr_prty_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - axi_mstr_priority <= _T_1246 @[dma_ctrl.scala 480:27] - node _T_1247 = dshr(fifo_valid, RspPtr) @[dma_ctrl.scala 484:39] - node _T_1248 = bits(_T_1247, 0, 0) @[dma_ctrl.scala 484:39] - node _T_1249 = dshr(fifo_dbg, RspPtr) @[dma_ctrl.scala 484:59] - node _T_1250 = bits(_T_1249, 0, 0) @[dma_ctrl.scala 484:59] - node _T_1251 = eq(_T_1250, UInt<1>("h00")) @[dma_ctrl.scala 484:50] - node _T_1252 = and(_T_1248, _T_1251) @[dma_ctrl.scala 484:48] - node _T_1253 = dshr(fifo_done_bus, RspPtr) @[dma_ctrl.scala 484:83] - node _T_1254 = bits(_T_1253, 0, 0) @[dma_ctrl.scala 484:83] - node axi_rsp_valid = and(_T_1252, _T_1254) @[dma_ctrl.scala 484:68] - node _T_1255 = dshr(fifo_write, RspPtr) @[dma_ctrl.scala 486:39] - node axi_rsp_write = bits(_T_1255, 0, 0) @[dma_ctrl.scala 486:39] - node _T_1256 = bits(fifo_error[RspPtr], 0, 0) @[dma_ctrl.scala 487:51] - node _T_1257 = bits(fifo_error[RspPtr], 1, 1) @[dma_ctrl.scala 487:83] - node _T_1258 = mux(_T_1257, UInt<2>("h03"), UInt<1>("h00")) @[dma_ctrl.scala 487:64] - node axi_rsp_error = mux(_T_1256, UInt<2>("h02"), _T_1258) @[dma_ctrl.scala 487:32] - node _T_1259 = and(axi_rsp_valid, axi_rsp_write) @[dma_ctrl.scala 493:44] - io.dma_axi.b.valid <= _T_1259 @[dma_ctrl.scala 493:27] - node _T_1260 = bits(axi_rsp_error, 1, 0) @[dma_ctrl.scala 494:57] - io.dma_axi.b.bits.resp <= _T_1260 @[dma_ctrl.scala 494:41] - io.dma_axi.b.bits.id <= fifo_tag[RspPtr] @[dma_ctrl.scala 495:33] - node _T_1261 = eq(axi_rsp_write, UInt<1>("h00")) @[dma_ctrl.scala 497:46] - node _T_1262 = and(axi_rsp_valid, _T_1261) @[dma_ctrl.scala 497:44] - io.dma_axi.r.valid <= _T_1262 @[dma_ctrl.scala 497:27] - io.dma_axi.r.bits.resp <= axi_rsp_error @[dma_ctrl.scala 498:41] - node _T_1263 = bits(fifo_data[RspPtr], 63, 0) @[dma_ctrl.scala 499:59] - io.dma_axi.r.bits.data <= _T_1263 @[dma_ctrl.scala 499:43] - io.dma_axi.r.bits.last <= UInt<1>("h01") @[dma_ctrl.scala 500:41] - io.dma_axi.r.bits.id <= fifo_tag[RspPtr] @[dma_ctrl.scala 501:37] - bus_posted_write_done <= UInt<1>("h00") @[dma_ctrl.scala 503:25] - node _T_1264 = or(io.dma_axi.b.valid, io.dma_axi.r.valid) @[dma_ctrl.scala 504:60] - bus_rsp_valid <= _T_1264 @[dma_ctrl.scala 504:37] - node _T_1265 = and(io.dma_axi.b.valid, io.dma_axi.b.ready) @[dma_ctrl.scala 505:61] - node _T_1266 = and(io.dma_axi.r.valid, io.dma_axi.r.ready) @[dma_ctrl.scala 505:105] - node _T_1267 = or(_T_1265, _T_1266) @[dma_ctrl.scala 505:83] - bus_rsp_sent <= _T_1267 @[dma_ctrl.scala 505:37] - io.lsu_dma.dma_dccm_ctl.dma_mem_addr <= io.lsu_dma.dma_lsc_ctl.dma_mem_addr @[dma_ctrl.scala 506:40] - io.lsu_dma.dma_dccm_ctl.dma_mem_wdata <= io.lsu_dma.dma_lsc_ctl.dma_mem_wdata @[dma_ctrl.scala 507:41] - io.ifu_dma.dma_mem_ctl.dma_mem_sz <= io.lsu_dma.dma_lsc_ctl.dma_mem_sz @[dma_ctrl.scala 508:37] - io.ifu_dma.dma_mem_ctl.dma_mem_addr <= io.lsu_dma.dma_lsc_ctl.dma_mem_addr @[dma_ctrl.scala 509:39] - io.ifu_dma.dma_mem_ctl.dma_mem_wdata <= io.lsu_dma.dma_lsc_ctl.dma_mem_wdata @[dma_ctrl.scala 510:40] - io.ifu_dma.dma_mem_ctl.dma_mem_write <= io.lsu_dma.dma_lsc_ctl.dma_mem_write @[dma_ctrl.scala 511:40] - io.ifu_dma.dma_mem_ctl.dma_mem_tag <= io.lsu_dma.dma_mem_tag @[dma_ctrl.scala 512:38] + axi_mstr_priority <= _T_1268 @[dma_ctrl.scala 464:27] + node _T_1269 = dshr(fifo_valid, RspPtr) @[dma_ctrl.scala 468:39] + node _T_1270 = bits(_T_1269, 0, 0) @[dma_ctrl.scala 468:39] + node _T_1271 = dshr(fifo_dbg, RspPtr) @[dma_ctrl.scala 468:59] + node _T_1272 = bits(_T_1271, 0, 0) @[dma_ctrl.scala 468:59] + node _T_1273 = eq(_T_1272, UInt<1>("h00")) @[dma_ctrl.scala 468:50] + node _T_1274 = and(_T_1270, _T_1273) @[dma_ctrl.scala 468:48] + node _T_1275 = dshr(fifo_done_bus, RspPtr) @[dma_ctrl.scala 468:83] + node _T_1276 = bits(_T_1275, 0, 0) @[dma_ctrl.scala 468:83] + node axi_rsp_valid = and(_T_1274, _T_1276) @[dma_ctrl.scala 468:68] + node _T_1277 = dshr(fifo_write, RspPtr) @[dma_ctrl.scala 470:39] + node axi_rsp_write = bits(_T_1277, 0, 0) @[dma_ctrl.scala 470:39] + node _T_1278 = bits(fifo_error[RspPtr], 0, 0) @[dma_ctrl.scala 471:51] + node _T_1279 = bits(fifo_error[RspPtr], 1, 1) @[dma_ctrl.scala 471:83] + node _T_1280 = mux(_T_1279, UInt<2>("h03"), UInt<1>("h00")) @[dma_ctrl.scala 471:64] + node axi_rsp_error = mux(_T_1278, UInt<2>("h02"), _T_1280) @[dma_ctrl.scala 471:32] + node _T_1281 = and(axi_rsp_valid, axi_rsp_write) @[dma_ctrl.scala 477:44] + io.dma_axi.b.valid <= _T_1281 @[dma_ctrl.scala 477:27] + node _T_1282 = bits(axi_rsp_error, 1, 0) @[dma_ctrl.scala 478:57] + io.dma_axi.b.bits.resp <= _T_1282 @[dma_ctrl.scala 478:41] + io.dma_axi.b.bits.id <= fifo_tag[RspPtr] @[dma_ctrl.scala 479:33] + node _T_1283 = eq(axi_rsp_write, UInt<1>("h00")) @[dma_ctrl.scala 481:46] + node _T_1284 = and(axi_rsp_valid, _T_1283) @[dma_ctrl.scala 481:44] + io.dma_axi.r.valid <= _T_1284 @[dma_ctrl.scala 481:27] + io.dma_axi.r.bits.resp <= axi_rsp_error @[dma_ctrl.scala 482:41] + node _T_1285 = bits(fifo_data[RspPtr], 63, 0) @[dma_ctrl.scala 483:59] + io.dma_axi.r.bits.data <= _T_1285 @[dma_ctrl.scala 483:43] + io.dma_axi.r.bits.last <= UInt<1>("h01") @[dma_ctrl.scala 484:41] + io.dma_axi.r.bits.id <= fifo_tag[RspPtr] @[dma_ctrl.scala 485:37] + bus_posted_write_done <= UInt<1>("h00") @[dma_ctrl.scala 487:25] + node _T_1286 = or(io.dma_axi.b.valid, io.dma_axi.r.valid) @[dma_ctrl.scala 488:60] + bus_rsp_valid <= _T_1286 @[dma_ctrl.scala 488:37] + node _T_1287 = and(io.dma_axi.b.valid, io.dma_axi.b.ready) @[dma_ctrl.scala 489:61] + node _T_1288 = and(io.dma_axi.r.valid, io.dma_axi.r.ready) @[dma_ctrl.scala 489:105] + node _T_1289 = or(_T_1287, _T_1288) @[dma_ctrl.scala 489:83] + bus_rsp_sent <= _T_1289 @[dma_ctrl.scala 489:37] + io.lsu_dma.dma_dccm_ctl.dma_mem_addr <= io.lsu_dma.dma_lsc_ctl.dma_mem_addr @[dma_ctrl.scala 490:40] + io.lsu_dma.dma_dccm_ctl.dma_mem_wdata <= io.lsu_dma.dma_lsc_ctl.dma_mem_wdata @[dma_ctrl.scala 491:41] + io.ifu_dma.dma_mem_ctl.dma_mem_sz <= io.lsu_dma.dma_lsc_ctl.dma_mem_sz @[dma_ctrl.scala 492:37] + io.ifu_dma.dma_mem_ctl.dma_mem_addr <= io.lsu_dma.dma_lsc_ctl.dma_mem_addr @[dma_ctrl.scala 493:39] + io.ifu_dma.dma_mem_ctl.dma_mem_wdata <= io.lsu_dma.dma_lsc_ctl.dma_mem_wdata @[dma_ctrl.scala 494:40] + io.ifu_dma.dma_mem_ctl.dma_mem_write <= io.lsu_dma.dma_lsc_ctl.dma_mem_write @[dma_ctrl.scala 495:40] + io.ifu_dma.dma_mem_ctl.dma_mem_tag <= io.lsu_dma.dma_mem_tag @[dma_ctrl.scala 496:38] extmodule gated_latch_847 : output Q : Clock @@ -109032,734 +109046,5880 @@ circuit quasar_wrapper : clkhdr.EN <= io.en @[lib.scala 337:18] clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + extmodule gated_latch_849 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_849 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_849 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_850 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_850 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_850 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_851 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_851 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_851 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_852 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_852 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_852 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_853 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_853 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_853 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_854 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_854 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_854 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_855 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_855 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_855 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_856 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_856 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_856 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_857 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_857 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_857 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_858 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_858 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_858 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module axi4_to_ahb : + input clock : Clock + input reset : AsyncReset + output io : {flip scan_mode : UInt<1>, flip bus_clk_en : UInt<1>, flip clk_override : UInt<1>, flip axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<1>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, ahb : {in : {flip hrdata : UInt<64>, flip hready : UInt<1>, flip hresp : UInt<1>}, out : {haddr : UInt<32>, hburst : UInt<3>, hmastlock : UInt<1>, hprot : UInt<4>, hsize : UInt<3>, htrans : UInt<2>, hwrite : UInt<1>, hwdata : UInt<64>}}} + + wire buf_rst : UInt<1> + buf_rst <= UInt<1>("h00") + buf_rst <= UInt<1>("h00") @[axi4_to_ahb.scala 21:11] + io.ahb.out.htrans <= UInt<1>("h00") @[axi4_to_ahb.scala 22:21] + wire buf_state_en : UInt<1> + buf_state_en <= UInt<1>("h00") + wire ahbm_clk : Clock @[axi4_to_ahb.scala 24:22] + wire ahbm_addr_clk : Clock @[axi4_to_ahb.scala 25:27] + wire ahbm_data_clk : Clock @[axi4_to_ahb.scala 26:27] + wire buf_state : UInt<3> + buf_state <= UInt<3>("h00") + wire buf_nxtstate : UInt<3> + buf_nxtstate <= UInt<3>("h00") + node _T = bits(buf_state_en, 0, 0) @[axi4_to_ahb.scala 30:70] + node _T_1 = mux(_T, buf_nxtstate, buf_state) @[axi4_to_ahb.scala 30:50] + node _T_2 = eq(buf_rst, UInt<1>("h00")) @[axi4_to_ahb.scala 30:108] + node _T_3 = bits(_T_2, 0, 0) @[Bitwise.scala 72:15] + node _T_4 = mux(_T_3, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_5 = and(_T_1, _T_4) @[axi4_to_ahb.scala 30:98] + reg _T_6 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 30:45] + _T_6 <= _T_5 @[axi4_to_ahb.scala 30:45] + buf_state <= _T_6 @[axi4_to_ahb.scala 30:13] + wire slave_valid : UInt<1> + slave_valid <= UInt<1>("h00") + wire slave_ready : UInt<1> + slave_ready <= UInt<1>("h00") + wire slave_tag : UInt<1> + slave_tag <= UInt<1>("h00") + wire slave_rdata : UInt<64> + slave_rdata <= UInt<64>("h00") + wire slave_opc : UInt<4> + slave_opc <= UInt<4>("h00") + wire wrbuf_en : UInt<1> + wrbuf_en <= UInt<1>("h00") + wire wrbuf_data_en : UInt<1> + wrbuf_data_en <= UInt<1>("h00") + wire wrbuf_cmd_sent : UInt<1> + wrbuf_cmd_sent <= UInt<1>("h00") + wire wrbuf_rst : UInt<1> + wrbuf_rst <= UInt<1>("h00") + wire wrbuf_vld : UInt<1> + wrbuf_vld <= UInt<1>("h00") + wire wrbuf_data_vld : UInt<1> + wrbuf_data_vld <= UInt<1>("h00") + wire wrbuf_tag : UInt<1> + wrbuf_tag <= UInt<1>("h00") + wire wrbuf_size : UInt<3> + wrbuf_size <= UInt<3>("h00") + wire wrbuf_addr : UInt<32> + wrbuf_addr <= UInt<32>("h00") + wire wrbuf_data : UInt<64> + wrbuf_data <= UInt<64>("h00") + wire wrbuf_byteen : UInt<8> + wrbuf_byteen <= UInt<8>("h00") + wire bus_write_clk_en : UInt<1> + bus_write_clk_en <= UInt<1>("h00") + wire bus_clk : Clock @[axi4_to_ahb.scala 50:21] + wire bus_write_clk : Clock @[axi4_to_ahb.scala 51:27] + wire master_valid : UInt<1> + master_valid <= UInt<1>("h00") + wire master_ready : UInt<1> + master_ready <= UInt<1>("h00") + wire master_tag : UInt<1> + master_tag <= UInt<1>("h00") + wire master_addr : UInt<32> + master_addr <= UInt<32>("h00") + wire master_wdata : UInt<64> + master_wdata <= UInt<64>("h00") + wire master_size : UInt<3> + master_size <= UInt<3>("h00") + wire master_opc : UInt<3> + master_opc <= UInt<3>("h00") + wire master_byteen : UInt<8> + master_byteen <= UInt<8>("h00") + wire buf_addr : UInt<32> + buf_addr <= UInt<32>("h00") + wire buf_size : UInt<2> + buf_size <= UInt<2>("h00") + wire buf_write : UInt<1> + buf_write <= UInt<1>("h00") + wire buf_byteen : UInt<8> + buf_byteen <= UInt<8>("h00") + wire buf_aligned : UInt<1> + buf_aligned <= UInt<1>("h00") + wire buf_data : UInt<64> + buf_data <= UInt<64>("h00") + wire buf_tag : UInt<1> + buf_tag <= UInt<1>("h00") + wire buf_tag_in : UInt<1> + buf_tag_in <= UInt<1>("h00") + wire buf_addr_in : UInt<32> + buf_addr_in <= UInt<32>("h00") + wire buf_byteen_in : UInt<8> + buf_byteen_in <= UInt<8>("h00") + wire buf_data_in : UInt<64> + buf_data_in <= UInt<64>("h00") + wire buf_write_in : UInt<1> + buf_write_in <= UInt<1>("h00") + wire buf_aligned_in : UInt<1> + buf_aligned_in <= UInt<1>("h00") + wire buf_size_in : UInt<3> + buf_size_in <= UInt<3>("h00") + wire buf_wr_en : UInt<1> + buf_wr_en <= UInt<1>("h00") + wire buf_data_wr_en : UInt<1> + buf_data_wr_en <= UInt<1>("h00") + wire slvbuf_error_en : UInt<1> + slvbuf_error_en <= UInt<1>("h00") + wire wr_cmd_vld : UInt<1> + wr_cmd_vld <= UInt<1>("h00") + wire cmd_done_rst : UInt<1> + cmd_done_rst <= UInt<1>("h00") + wire cmd_done : UInt<1> + cmd_done <= UInt<1>("h00") + wire cmd_doneQ : UInt<1> + cmd_doneQ <= UInt<1>("h00") + wire trxn_done : UInt<1> + trxn_done <= UInt<1>("h00") + wire buf_cmd_byte_ptr : UInt<3> + buf_cmd_byte_ptr <= UInt<3>("h00") + wire buf_cmd_byte_ptrQ : UInt<3> + buf_cmd_byte_ptrQ <= UInt<3>("h00") + wire buf_cmd_nxtbyte_ptr : UInt<3> + buf_cmd_nxtbyte_ptr <= UInt<3>("h00") + wire buf_cmd_byte_ptr_en : UInt<1> + buf_cmd_byte_ptr_en <= UInt<1>("h00") + wire found : UInt<1> + found <= UInt<1>("h00") + wire slave_valid_pre : UInt<1> + slave_valid_pre <= UInt<1>("h00") + wire ahb_hready_q : UInt<1> + ahb_hready_q <= UInt<1>("h00") + wire ahb_hresp_q : UInt<1> + ahb_hresp_q <= UInt<1>("h00") + wire ahb_htrans_q : UInt<2> + ahb_htrans_q <= UInt<2>("h00") + wire ahb_hwrite_q : UInt<1> + ahb_hwrite_q <= UInt<1>("h00") + wire ahb_hrdata_q : UInt<64> + ahb_hrdata_q <= UInt<64>("h00") + wire slvbuf_write : UInt<1> + slvbuf_write <= UInt<1>("h00") + wire slvbuf_error : UInt<1> + slvbuf_error <= UInt<1>("h00") + wire slvbuf_tag : UInt<1> + slvbuf_tag <= UInt<1>("h00") + wire slvbuf_error_in : UInt<1> + slvbuf_error_in <= UInt<1>("h00") + wire slvbuf_wr_en : UInt<1> + slvbuf_wr_en <= UInt<1>("h00") + wire bypass_en : UInt<1> + bypass_en <= UInt<1>("h00") + wire rd_bypass_idle : UInt<1> + rd_bypass_idle <= UInt<1>("h00") + wire last_addr_en : UInt<1> + last_addr_en <= UInt<1>("h00") + wire last_bus_addr : UInt<32> + last_bus_addr <= UInt<32>("h00") + wire buf_clken : UInt<1> + buf_clken <= UInt<1>("h00") + wire slvbuf_clken : UInt<1> + slvbuf_clken <= UInt<1>("h00") + wire ahbm_addr_clken : UInt<1> + ahbm_addr_clken <= UInt<1>("h00") + wire ahbm_data_clken : UInt<1> + ahbm_data_clken <= UInt<1>("h00") + wire buf_clk : Clock @[axi4_to_ahb.scala 118:21] + node _T_7 = and(wrbuf_vld, wrbuf_data_vld) @[axi4_to_ahb.scala 139:27] + wr_cmd_vld <= _T_7 @[axi4_to_ahb.scala 139:14] + node _T_8 = or(wr_cmd_vld, io.axi.ar.valid) @[axi4_to_ahb.scala 140:30] + master_valid <= _T_8 @[axi4_to_ahb.scala 140:16] + node _T_9 = bits(wr_cmd_vld, 0, 0) @[axi4_to_ahb.scala 141:38] + node _T_10 = bits(wrbuf_tag, 0, 0) @[axi4_to_ahb.scala 141:51] + node _T_11 = bits(io.axi.ar.bits.id, 0, 0) @[axi4_to_ahb.scala 141:82] + node _T_12 = mux(_T_9, _T_10, _T_11) @[axi4_to_ahb.scala 141:20] + master_tag <= _T_12 @[axi4_to_ahb.scala 141:14] + node _T_13 = bits(wr_cmd_vld, 0, 0) @[axi4_to_ahb.scala 142:38] + node _T_14 = mux(_T_13, UInt<2>("h03"), UInt<1>("h00")) @[axi4_to_ahb.scala 142:20] + master_opc <= _T_14 @[axi4_to_ahb.scala 142:14] + node _T_15 = bits(wr_cmd_vld, 0, 0) @[axi4_to_ahb.scala 143:39] + node _T_16 = bits(wrbuf_addr, 31, 0) @[axi4_to_ahb.scala 143:53] + node _T_17 = bits(io.axi.ar.bits.addr, 31, 0) @[axi4_to_ahb.scala 143:81] + node _T_18 = mux(_T_15, _T_16, _T_17) @[axi4_to_ahb.scala 143:21] + master_addr <= _T_18 @[axi4_to_ahb.scala 143:15] + node _T_19 = bits(wr_cmd_vld, 0, 0) @[axi4_to_ahb.scala 144:39] + node _T_20 = bits(wrbuf_size, 2, 0) @[axi4_to_ahb.scala 144:53] + node _T_21 = bits(io.axi.ar.bits.size, 2, 0) @[axi4_to_ahb.scala 144:80] + node _T_22 = mux(_T_19, _T_20, _T_21) @[axi4_to_ahb.scala 144:21] + master_size <= _T_22 @[axi4_to_ahb.scala 144:15] + node _T_23 = bits(wrbuf_byteen, 7, 0) @[axi4_to_ahb.scala 145:32] + master_byteen <= _T_23 @[axi4_to_ahb.scala 145:17] + node _T_24 = bits(wrbuf_data, 63, 0) @[axi4_to_ahb.scala 146:29] + master_wdata <= _T_24 @[axi4_to_ahb.scala 146:16] + node _T_25 = and(slave_valid, slave_ready) @[axi4_to_ahb.scala 149:33] + node _T_26 = bits(slave_opc, 3, 3) @[axi4_to_ahb.scala 149:58] + node _T_27 = and(_T_25, _T_26) @[axi4_to_ahb.scala 149:47] + io.axi.b.valid <= _T_27 @[axi4_to_ahb.scala 149:18] + node _T_28 = bits(slave_opc, 0, 0) @[axi4_to_ahb.scala 150:38] + node _T_29 = bits(slave_opc, 1, 1) @[axi4_to_ahb.scala 150:65] + node _T_30 = mux(_T_29, UInt<2>("h03"), UInt<1>("h00")) @[axi4_to_ahb.scala 150:55] + node _T_31 = mux(_T_28, UInt<2>("h02"), _T_30) @[axi4_to_ahb.scala 150:28] + io.axi.b.bits.resp <= _T_31 @[axi4_to_ahb.scala 150:22] + node _T_32 = bits(slave_tag, 0, 0) @[axi4_to_ahb.scala 151:32] + io.axi.b.bits.id <= _T_32 @[axi4_to_ahb.scala 151:20] + node _T_33 = and(slave_valid, slave_ready) @[axi4_to_ahb.scala 153:33] + node _T_34 = bits(slave_opc, 3, 2) @[axi4_to_ahb.scala 153:59] + node _T_35 = eq(_T_34, UInt<1>("h00")) @[axi4_to_ahb.scala 153:66] + node _T_36 = and(_T_33, _T_35) @[axi4_to_ahb.scala 153:47] + io.axi.r.valid <= _T_36 @[axi4_to_ahb.scala 153:18] + node _T_37 = bits(slave_opc, 0, 0) @[axi4_to_ahb.scala 154:38] + node _T_38 = bits(slave_opc, 1, 1) @[axi4_to_ahb.scala 154:65] + node _T_39 = mux(_T_38, UInt<2>("h03"), UInt<1>("h00")) @[axi4_to_ahb.scala 154:55] + node _T_40 = mux(_T_37, UInt<2>("h02"), _T_39) @[axi4_to_ahb.scala 154:28] + io.axi.r.bits.resp <= _T_40 @[axi4_to_ahb.scala 154:22] + node _T_41 = bits(slave_tag, 0, 0) @[axi4_to_ahb.scala 155:32] + io.axi.r.bits.id <= _T_41 @[axi4_to_ahb.scala 155:20] + node _T_42 = bits(slave_rdata, 63, 0) @[axi4_to_ahb.scala 156:36] + io.axi.r.bits.data <= _T_42 @[axi4_to_ahb.scala 156:22] + node _T_43 = and(io.axi.b.ready, io.axi.r.ready) @[axi4_to_ahb.scala 157:33] + slave_ready <= _T_43 @[axi4_to_ahb.scala 157:15] + node _T_44 = and(io.axi.aw.valid, io.axi.aw.ready) @[axi4_to_ahb.scala 160:57] + node _T_45 = and(io.axi.w.valid, io.axi.w.ready) @[axi4_to_ahb.scala 160:94] + node _T_46 = or(_T_44, _T_45) @[axi4_to_ahb.scala 160:76] + node _T_47 = and(io.bus_clk_en, _T_46) @[axi4_to_ahb.scala 160:37] + bus_write_clk_en <= _T_47 @[axi4_to_ahb.scala 160:20] + inst rvclkhdr of rvclkhdr_849 @[lib.scala 343:22] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 344:17] + rvclkhdr.io.en <= io.bus_clk_en @[lib.scala 345:16] + rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + bus_clk <= rvclkhdr.io.l1clk @[axi4_to_ahb.scala 162:11] + node _T_48 = bits(bus_write_clk_en, 0, 0) @[axi4_to_ahb.scala 163:59] + inst rvclkhdr_1 of rvclkhdr_850 @[lib.scala 343:22] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_1.io.en <= _T_48 @[lib.scala 345:16] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + bus_write_clk <= rvclkhdr_1.io.l1clk @[axi4_to_ahb.scala 163:17] + node _T_49 = eq(UInt<3>("h00"), buf_state) @[Conditional.scala 37:30] + when _T_49 : @[Conditional.scala 40:58] + master_ready <= UInt<1>("h01") @[axi4_to_ahb.scala 167:20] + node _T_50 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 168:34] + node _T_51 = eq(_T_50, UInt<1>("h01")) @[axi4_to_ahb.scala 168:41] + buf_write_in <= _T_51 @[axi4_to_ahb.scala 168:20] + node _T_52 = bits(buf_write_in, 0, 0) @[axi4_to_ahb.scala 169:46] + node _T_53 = mux(_T_52, UInt<3>("h02"), UInt<3>("h01")) @[axi4_to_ahb.scala 169:26] + buf_nxtstate <= _T_53 @[axi4_to_ahb.scala 169:20] + node _T_54 = and(master_valid, UInt<1>("h01")) @[axi4_to_ahb.scala 170:36] + buf_state_en <= _T_54 @[axi4_to_ahb.scala 170:20] + buf_wr_en <= buf_state_en @[axi4_to_ahb.scala 171:17] + node _T_55 = eq(buf_nxtstate, UInt<3>("h02")) @[axi4_to_ahb.scala 172:54] + node _T_56 = and(buf_state_en, _T_55) @[axi4_to_ahb.scala 172:38] + buf_data_wr_en <= _T_56 @[axi4_to_ahb.scala 172:22] + buf_cmd_byte_ptr_en <= buf_state_en @[axi4_to_ahb.scala 173:27] + node _T_57 = bits(buf_write_in, 0, 0) @[axi4_to_ahb.scala 175:50] + node _T_58 = bits(buf_byteen_in, 7, 0) @[axi4_to_ahb.scala 175:94] + node _T_59 = add(UInt<3>("h00"), UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] + node _T_60 = tail(_T_59, 1) @[axi4_to_ahb.scala 135:52] + node _T_61 = mux(UInt<1>("h00"), _T_60, UInt<3>("h00")) @[axi4_to_ahb.scala 135:24] + node _T_62 = bits(_T_58, 0, 0) @[axi4_to_ahb.scala 136:44] + node _T_63 = geq(UInt<1>("h00"), _T_61) @[axi4_to_ahb.scala 136:62] + node _T_64 = and(_T_62, _T_63) @[axi4_to_ahb.scala 136:48] + node _T_65 = bits(_T_58, 1, 1) @[axi4_to_ahb.scala 136:44] + node _T_66 = geq(UInt<1>("h01"), _T_61) @[axi4_to_ahb.scala 136:62] + node _T_67 = and(_T_65, _T_66) @[axi4_to_ahb.scala 136:48] + node _T_68 = bits(_T_58, 2, 2) @[axi4_to_ahb.scala 136:44] + node _T_69 = geq(UInt<2>("h02"), _T_61) @[axi4_to_ahb.scala 136:62] + node _T_70 = and(_T_68, _T_69) @[axi4_to_ahb.scala 136:48] + node _T_71 = bits(_T_58, 3, 3) @[axi4_to_ahb.scala 136:44] + node _T_72 = geq(UInt<2>("h03"), _T_61) @[axi4_to_ahb.scala 136:62] + node _T_73 = and(_T_71, _T_72) @[axi4_to_ahb.scala 136:48] + node _T_74 = bits(_T_58, 4, 4) @[axi4_to_ahb.scala 136:44] + node _T_75 = geq(UInt<3>("h04"), _T_61) @[axi4_to_ahb.scala 136:62] + node _T_76 = and(_T_74, _T_75) @[axi4_to_ahb.scala 136:48] + node _T_77 = bits(_T_58, 5, 5) @[axi4_to_ahb.scala 136:44] + node _T_78 = geq(UInt<3>("h05"), _T_61) @[axi4_to_ahb.scala 136:62] + node _T_79 = and(_T_77, _T_78) @[axi4_to_ahb.scala 136:48] + node _T_80 = bits(_T_58, 6, 6) @[axi4_to_ahb.scala 136:44] + node _T_81 = geq(UInt<3>("h06"), _T_61) @[axi4_to_ahb.scala 136:62] + node _T_82 = and(_T_80, _T_81) @[axi4_to_ahb.scala 136:48] + node _T_83 = bits(_T_58, 7, 7) @[axi4_to_ahb.scala 136:44] + node _T_84 = geq(UInt<3>("h07"), _T_61) @[axi4_to_ahb.scala 136:62] + node _T_85 = and(_T_83, _T_84) @[axi4_to_ahb.scala 136:48] + node _T_86 = mux(_T_85, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] + node _T_87 = mux(_T_82, UInt<3>("h06"), _T_86) @[Mux.scala 98:16] + node _T_88 = mux(_T_79, UInt<3>("h05"), _T_87) @[Mux.scala 98:16] + node _T_89 = mux(_T_76, UInt<3>("h04"), _T_88) @[Mux.scala 98:16] + node _T_90 = mux(_T_73, UInt<2>("h03"), _T_89) @[Mux.scala 98:16] + node _T_91 = mux(_T_70, UInt<2>("h02"), _T_90) @[Mux.scala 98:16] + node _T_92 = mux(_T_67, UInt<1>("h01"), _T_91) @[Mux.scala 98:16] + node _T_93 = mux(_T_64, UInt<1>("h00"), _T_92) @[Mux.scala 98:16] + node _T_94 = bits(master_addr, 2, 0) @[axi4_to_ahb.scala 175:124] + node _T_95 = mux(_T_57, _T_93, _T_94) @[axi4_to_ahb.scala 175:30] + buf_cmd_byte_ptr <= _T_95 @[axi4_to_ahb.scala 175:24] + bypass_en <= buf_state_en @[axi4_to_ahb.scala 176:17] + node _T_96 = eq(buf_nxtstate, UInt<3>("h01")) @[axi4_to_ahb.scala 177:51] + node _T_97 = and(bypass_en, _T_96) @[axi4_to_ahb.scala 177:35] + rd_bypass_idle <= _T_97 @[axi4_to_ahb.scala 177:22] + node _T_98 = bits(bypass_en, 0, 0) @[Bitwise.scala 72:15] + node _T_99 = mux(_T_98, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_100 = and(_T_99, UInt<2>("h02")) @[axi4_to_ahb.scala 178:49] + io.ahb.out.htrans <= _T_100 @[axi4_to_ahb.scala 178:25] + skip @[Conditional.scala 40:58] + else : @[Conditional.scala 39:67] + node _T_101 = eq(UInt<3>("h01"), buf_state) @[Conditional.scala 37:30] + when _T_101 : @[Conditional.scala 39:67] + node _T_102 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 182:54] + node _T_103 = eq(_T_102, UInt<1>("h00")) @[axi4_to_ahb.scala 182:61] + node _T_104 = and(master_valid, _T_103) @[axi4_to_ahb.scala 182:41] + node _T_105 = bits(_T_104, 0, 0) @[axi4_to_ahb.scala 182:82] + node _T_106 = mux(_T_105, UInt<3>("h06"), UInt<3>("h03")) @[axi4_to_ahb.scala 182:26] + buf_nxtstate <= _T_106 @[axi4_to_ahb.scala 182:20] + node _T_107 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 183:51] + node _T_108 = neq(_T_107, UInt<1>("h00")) @[axi4_to_ahb.scala 183:58] + node _T_109 = and(ahb_hready_q, _T_108) @[axi4_to_ahb.scala 183:36] + node _T_110 = eq(ahb_hwrite_q, UInt<1>("h00")) @[axi4_to_ahb.scala 183:72] + node _T_111 = and(_T_109, _T_110) @[axi4_to_ahb.scala 183:70] + buf_state_en <= _T_111 @[axi4_to_ahb.scala 183:20] + node _T_112 = eq(master_valid, UInt<1>("h00")) @[axi4_to_ahb.scala 184:34] + node _T_113 = and(buf_state_en, _T_112) @[axi4_to_ahb.scala 184:32] + cmd_done <= _T_113 @[axi4_to_ahb.scala 184:16] + slvbuf_wr_en <= buf_state_en @[axi4_to_ahb.scala 185:20] + node _T_114 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 186:52] + node _T_115 = neq(_T_114, UInt<1>("h00")) @[axi4_to_ahb.scala 186:59] + node _T_116 = and(ahb_hready_q, _T_115) @[axi4_to_ahb.scala 186:37] + node _T_117 = eq(ahb_hwrite_q, UInt<1>("h00")) @[axi4_to_ahb.scala 186:73] + node _T_118 = and(_T_116, _T_117) @[axi4_to_ahb.scala 186:71] + node _T_119 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 186:122] + node _T_120 = eq(_T_119, UInt<1>("h00")) @[axi4_to_ahb.scala 186:129] + node _T_121 = and(master_valid, _T_120) @[axi4_to_ahb.scala 186:109] + node _T_122 = bits(_T_121, 0, 0) @[axi4_to_ahb.scala 186:150] + node _T_123 = mux(_T_122, UInt<3>("h06"), UInt<3>("h03")) @[axi4_to_ahb.scala 186:94] + node _T_124 = eq(_T_123, UInt<3>("h06")) @[axi4_to_ahb.scala 186:174] + node _T_125 = and(_T_118, _T_124) @[axi4_to_ahb.scala 186:88] + master_ready <= _T_125 @[axi4_to_ahb.scala 186:20] + buf_wr_en <= master_ready @[axi4_to_ahb.scala 187:17] + node _T_126 = and(master_ready, master_valid) @[axi4_to_ahb.scala 188:33] + bypass_en <= _T_126 @[axi4_to_ahb.scala 188:17] + node _T_127 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 189:47] + node _T_128 = bits(master_addr, 2, 0) @[axi4_to_ahb.scala 189:62] + node _T_129 = bits(buf_addr, 2, 0) @[axi4_to_ahb.scala 189:78] + node _T_130 = mux(_T_127, _T_128, _T_129) @[axi4_to_ahb.scala 189:30] + buf_cmd_byte_ptr <= _T_130 @[axi4_to_ahb.scala 189:24] + node _T_131 = eq(buf_state_en, UInt<1>("h00")) @[axi4_to_ahb.scala 190:48] + node _T_132 = or(_T_131, bypass_en) @[axi4_to_ahb.scala 190:62] + node _T_133 = bits(_T_132, 0, 0) @[Bitwise.scala 72:15] + node _T_134 = mux(_T_133, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_135 = and(UInt<2>("h02"), _T_134) @[axi4_to_ahb.scala 190:36] + io.ahb.out.htrans <= _T_135 @[axi4_to_ahb.scala 190:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_136 = eq(UInt<3>("h06"), buf_state) @[Conditional.scala 37:30] + when _T_136 : @[Conditional.scala 39:67] + node _T_137 = eq(ahb_hresp_q, UInt<1>("h00")) @[axi4_to_ahb.scala 194:39] + node _T_138 = and(ahb_hready_q, _T_137) @[axi4_to_ahb.scala 194:37] + node _T_139 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 194:82] + node _T_140 = eq(_T_139, UInt<1>("h01")) @[axi4_to_ahb.scala 194:89] + node _T_141 = and(master_valid, _T_140) @[axi4_to_ahb.scala 194:70] + node _T_142 = not(_T_141) @[axi4_to_ahb.scala 194:55] + node _T_143 = and(_T_138, _T_142) @[axi4_to_ahb.scala 194:53] + master_ready <= _T_143 @[axi4_to_ahb.scala 194:20] + node _T_144 = and(master_valid, master_ready) @[axi4_to_ahb.scala 195:34] + node _T_145 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 195:62] + node _T_146 = eq(_T_145, UInt<1>("h00")) @[axi4_to_ahb.scala 195:69] + node _T_147 = and(_T_144, _T_146) @[axi4_to_ahb.scala 195:49] + buf_wr_en <= _T_147 @[axi4_to_ahb.scala 195:17] + node _T_148 = bits(ahb_hresp_q, 0, 0) @[axi4_to_ahb.scala 196:45] + node _T_149 = and(master_valid, master_ready) @[axi4_to_ahb.scala 196:82] + node _T_150 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 196:110] + node _T_151 = eq(_T_150, UInt<1>("h00")) @[axi4_to_ahb.scala 196:117] + node _T_152 = and(_T_149, _T_151) @[axi4_to_ahb.scala 196:97] + node _T_153 = bits(_T_152, 0, 0) @[axi4_to_ahb.scala 196:138] + node _T_154 = mux(_T_153, UInt<3>("h06"), UInt<3>("h03")) @[axi4_to_ahb.scala 196:67] + node _T_155 = mux(_T_148, UInt<3>("h07"), _T_154) @[axi4_to_ahb.scala 196:26] + buf_nxtstate <= _T_155 @[axi4_to_ahb.scala 196:20] + node _T_156 = or(ahb_hready_q, ahb_hresp_q) @[axi4_to_ahb.scala 197:37] + buf_state_en <= _T_156 @[axi4_to_ahb.scala 197:20] + buf_data_wr_en <= buf_state_en @[axi4_to_ahb.scala 198:22] + slvbuf_error_in <= ahb_hresp_q @[axi4_to_ahb.scala 199:23] + slvbuf_error_en <= buf_state_en @[axi4_to_ahb.scala 200:23] + node _T_157 = eq(ahb_hresp_q, UInt<1>("h00")) @[axi4_to_ahb.scala 201:41] + node _T_158 = and(buf_state_en, _T_157) @[axi4_to_ahb.scala 201:39] + slave_valid_pre <= _T_158 @[axi4_to_ahb.scala 201:23] + node _T_159 = eq(master_valid, UInt<1>("h00")) @[axi4_to_ahb.scala 202:34] + node _T_160 = and(buf_state_en, _T_159) @[axi4_to_ahb.scala 202:32] + cmd_done <= _T_160 @[axi4_to_ahb.scala 202:16] + node _T_161 = and(master_ready, master_valid) @[axi4_to_ahb.scala 203:33] + node _T_162 = eq(buf_nxtstate, UInt<3>("h06")) @[axi4_to_ahb.scala 203:64] + node _T_163 = and(_T_161, _T_162) @[axi4_to_ahb.scala 203:48] + node _T_164 = and(_T_163, buf_state_en) @[axi4_to_ahb.scala 203:79] + bypass_en <= _T_164 @[axi4_to_ahb.scala 203:17] + node _T_165 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 204:47] + node _T_166 = bits(master_addr, 2, 0) @[axi4_to_ahb.scala 204:62] + node _T_167 = bits(buf_addr, 2, 0) @[axi4_to_ahb.scala 204:78] + node _T_168 = mux(_T_165, _T_166, _T_167) @[axi4_to_ahb.scala 204:30] + buf_cmd_byte_ptr <= _T_168 @[axi4_to_ahb.scala 204:24] + node _T_169 = neq(buf_nxtstate, UInt<3>("h06")) @[axi4_to_ahb.scala 205:63] + node _T_170 = and(_T_169, buf_state_en) @[axi4_to_ahb.scala 205:78] + node _T_171 = eq(_T_170, UInt<1>("h00")) @[axi4_to_ahb.scala 205:47] + node _T_172 = bits(_T_171, 0, 0) @[Bitwise.scala 72:15] + node _T_173 = mux(_T_172, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_174 = and(UInt<2>("h02"), _T_173) @[axi4_to_ahb.scala 205:36] + io.ahb.out.htrans <= _T_174 @[axi4_to_ahb.scala 205:25] + slvbuf_wr_en <= buf_wr_en @[axi4_to_ahb.scala 206:20] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_175 = eq(UInt<3>("h07"), buf_state) @[Conditional.scala 37:30] + when _T_175 : @[Conditional.scala 39:67] + buf_nxtstate <= UInt<3>("h03") @[axi4_to_ahb.scala 210:20] + node _T_176 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 211:51] + node _T_177 = neq(_T_176, UInt<1>("h00")) @[axi4_to_ahb.scala 211:58] + node _T_178 = and(ahb_hready_q, _T_177) @[axi4_to_ahb.scala 211:36] + node _T_179 = eq(ahb_hwrite_q, UInt<1>("h00")) @[axi4_to_ahb.scala 211:72] + node _T_180 = and(_T_178, _T_179) @[axi4_to_ahb.scala 211:70] + buf_state_en <= _T_180 @[axi4_to_ahb.scala 211:20] + slave_valid_pre <= buf_state_en @[axi4_to_ahb.scala 212:23] + slvbuf_wr_en <= buf_state_en @[axi4_to_ahb.scala 213:20] + node _T_181 = bits(buf_addr, 2, 0) @[axi4_to_ahb.scala 214:35] + buf_cmd_byte_ptr <= _T_181 @[axi4_to_ahb.scala 214:24] + node _T_182 = eq(buf_state_en, UInt<1>("h00")) @[axi4_to_ahb.scala 215:51] + node _T_183 = bits(_T_182, 0, 0) @[Bitwise.scala 72:15] + node _T_184 = mux(_T_183, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_185 = and(UInt<2>("h02"), _T_184) @[axi4_to_ahb.scala 215:41] + io.ahb.out.htrans <= _T_185 @[axi4_to_ahb.scala 215:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_186 = eq(UInt<3>("h03"), buf_state) @[Conditional.scala 37:30] + when _T_186 : @[Conditional.scala 39:67] + buf_nxtstate <= UInt<3>("h05") @[axi4_to_ahb.scala 219:20] + node _T_187 = or(ahb_hready_q, ahb_hresp_q) @[axi4_to_ahb.scala 220:37] + buf_state_en <= _T_187 @[axi4_to_ahb.scala 220:20] + buf_data_wr_en <= buf_state_en @[axi4_to_ahb.scala 221:22] + slvbuf_error_in <= ahb_hresp_q @[axi4_to_ahb.scala 222:23] + slvbuf_error_en <= buf_state_en @[axi4_to_ahb.scala 223:23] + slvbuf_wr_en <= buf_state_en @[axi4_to_ahb.scala 224:20] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_188 = eq(UInt<3>("h02"), buf_state) @[Conditional.scala 37:30] + when _T_188 : @[Conditional.scala 39:67] + buf_nxtstate <= UInt<3>("h04") @[axi4_to_ahb.scala 228:20] + node _T_189 = and(ahb_hready_q, ahb_hwrite_q) @[axi4_to_ahb.scala 229:33] + node _T_190 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 229:63] + node _T_191 = neq(_T_190, UInt<1>("h00")) @[axi4_to_ahb.scala 229:70] + node _T_192 = and(_T_189, _T_191) @[axi4_to_ahb.scala 229:48] + trxn_done <= _T_192 @[axi4_to_ahb.scala 229:17] + buf_state_en <= trxn_done @[axi4_to_ahb.scala 230:20] + buf_cmd_byte_ptr_en <= buf_state_en @[axi4_to_ahb.scala 231:27] + slvbuf_wr_en <= buf_state_en @[axi4_to_ahb.scala 232:20] + node _T_193 = bits(trxn_done, 0, 0) @[axi4_to_ahb.scala 233:47] + node _T_194 = bits(buf_cmd_byte_ptrQ, 2, 0) @[axi4_to_ahb.scala 233:85] + node _T_195 = bits(buf_byteen, 7, 0) @[axi4_to_ahb.scala 233:103] + node _T_196 = add(_T_194, UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] + node _T_197 = tail(_T_196, 1) @[axi4_to_ahb.scala 135:52] + node _T_198 = mux(UInt<1>("h01"), _T_197, _T_194) @[axi4_to_ahb.scala 135:24] + node _T_199 = bits(_T_195, 0, 0) @[axi4_to_ahb.scala 136:44] + node _T_200 = geq(UInt<1>("h00"), _T_198) @[axi4_to_ahb.scala 136:62] + node _T_201 = and(_T_199, _T_200) @[axi4_to_ahb.scala 136:48] + node _T_202 = bits(_T_195, 1, 1) @[axi4_to_ahb.scala 136:44] + node _T_203 = geq(UInt<1>("h01"), _T_198) @[axi4_to_ahb.scala 136:62] + node _T_204 = and(_T_202, _T_203) @[axi4_to_ahb.scala 136:48] + node _T_205 = bits(_T_195, 2, 2) @[axi4_to_ahb.scala 136:44] + node _T_206 = geq(UInt<2>("h02"), _T_198) @[axi4_to_ahb.scala 136:62] + node _T_207 = and(_T_205, _T_206) @[axi4_to_ahb.scala 136:48] + node _T_208 = bits(_T_195, 3, 3) @[axi4_to_ahb.scala 136:44] + node _T_209 = geq(UInt<2>("h03"), _T_198) @[axi4_to_ahb.scala 136:62] + node _T_210 = and(_T_208, _T_209) @[axi4_to_ahb.scala 136:48] + node _T_211 = bits(_T_195, 4, 4) @[axi4_to_ahb.scala 136:44] + node _T_212 = geq(UInt<3>("h04"), _T_198) @[axi4_to_ahb.scala 136:62] + node _T_213 = and(_T_211, _T_212) @[axi4_to_ahb.scala 136:48] + node _T_214 = bits(_T_195, 5, 5) @[axi4_to_ahb.scala 136:44] + node _T_215 = geq(UInt<3>("h05"), _T_198) @[axi4_to_ahb.scala 136:62] + node _T_216 = and(_T_214, _T_215) @[axi4_to_ahb.scala 136:48] + node _T_217 = bits(_T_195, 6, 6) @[axi4_to_ahb.scala 136:44] + node _T_218 = geq(UInt<3>("h06"), _T_198) @[axi4_to_ahb.scala 136:62] + node _T_219 = and(_T_217, _T_218) @[axi4_to_ahb.scala 136:48] + node _T_220 = bits(_T_195, 7, 7) @[axi4_to_ahb.scala 136:44] + node _T_221 = geq(UInt<3>("h07"), _T_198) @[axi4_to_ahb.scala 136:62] + node _T_222 = and(_T_220, _T_221) @[axi4_to_ahb.scala 136:48] + node _T_223 = mux(_T_222, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] + node _T_224 = mux(_T_219, UInt<3>("h06"), _T_223) @[Mux.scala 98:16] + node _T_225 = mux(_T_216, UInt<3>("h05"), _T_224) @[Mux.scala 98:16] + node _T_226 = mux(_T_213, UInt<3>("h04"), _T_225) @[Mux.scala 98:16] + node _T_227 = mux(_T_210, UInt<2>("h03"), _T_226) @[Mux.scala 98:16] + node _T_228 = mux(_T_207, UInt<2>("h02"), _T_227) @[Mux.scala 98:16] + node _T_229 = mux(_T_204, UInt<1>("h01"), _T_228) @[Mux.scala 98:16] + node _T_230 = mux(_T_201, UInt<1>("h00"), _T_229) @[Mux.scala 98:16] + node _T_231 = mux(_T_193, _T_230, buf_cmd_byte_ptrQ) @[axi4_to_ahb.scala 233:30] + buf_cmd_byte_ptr <= _T_231 @[axi4_to_ahb.scala 233:24] + node _T_232 = eq(buf_cmd_byte_ptrQ, UInt<3>("h07")) @[axi4_to_ahb.scala 234:65] + node _T_233 = or(buf_aligned, _T_232) @[axi4_to_ahb.scala 234:44] + node _T_234 = bits(buf_cmd_byte_ptrQ, 2, 0) @[axi4_to_ahb.scala 234:127] + node _T_235 = bits(buf_byteen, 7, 0) @[axi4_to_ahb.scala 234:145] + node _T_236 = add(_T_234, UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] + node _T_237 = tail(_T_236, 1) @[axi4_to_ahb.scala 135:52] + node _T_238 = mux(UInt<1>("h01"), _T_237, _T_234) @[axi4_to_ahb.scala 135:24] + node _T_239 = bits(_T_235, 0, 0) @[axi4_to_ahb.scala 136:44] + node _T_240 = geq(UInt<1>("h00"), _T_238) @[axi4_to_ahb.scala 136:62] + node _T_241 = and(_T_239, _T_240) @[axi4_to_ahb.scala 136:48] + node _T_242 = bits(_T_235, 1, 1) @[axi4_to_ahb.scala 136:44] + node _T_243 = geq(UInt<1>("h01"), _T_238) @[axi4_to_ahb.scala 136:62] + node _T_244 = and(_T_242, _T_243) @[axi4_to_ahb.scala 136:48] + node _T_245 = bits(_T_235, 2, 2) @[axi4_to_ahb.scala 136:44] + node _T_246 = geq(UInt<2>("h02"), _T_238) @[axi4_to_ahb.scala 136:62] + node _T_247 = and(_T_245, _T_246) @[axi4_to_ahb.scala 136:48] + node _T_248 = bits(_T_235, 3, 3) @[axi4_to_ahb.scala 136:44] + node _T_249 = geq(UInt<2>("h03"), _T_238) @[axi4_to_ahb.scala 136:62] + node _T_250 = and(_T_248, _T_249) @[axi4_to_ahb.scala 136:48] + node _T_251 = bits(_T_235, 4, 4) @[axi4_to_ahb.scala 136:44] + node _T_252 = geq(UInt<3>("h04"), _T_238) @[axi4_to_ahb.scala 136:62] + node _T_253 = and(_T_251, _T_252) @[axi4_to_ahb.scala 136:48] + node _T_254 = bits(_T_235, 5, 5) @[axi4_to_ahb.scala 136:44] + node _T_255 = geq(UInt<3>("h05"), _T_238) @[axi4_to_ahb.scala 136:62] + node _T_256 = and(_T_254, _T_255) @[axi4_to_ahb.scala 136:48] + node _T_257 = bits(_T_235, 6, 6) @[axi4_to_ahb.scala 136:44] + node _T_258 = geq(UInt<3>("h06"), _T_238) @[axi4_to_ahb.scala 136:62] + node _T_259 = and(_T_257, _T_258) @[axi4_to_ahb.scala 136:48] + node _T_260 = bits(_T_235, 7, 7) @[axi4_to_ahb.scala 136:44] + node _T_261 = geq(UInt<3>("h07"), _T_238) @[axi4_to_ahb.scala 136:62] + node _T_262 = and(_T_260, _T_261) @[axi4_to_ahb.scala 136:48] + node _T_263 = mux(_T_262, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] + node _T_264 = mux(_T_259, UInt<3>("h06"), _T_263) @[Mux.scala 98:16] + node _T_265 = mux(_T_256, UInt<3>("h05"), _T_264) @[Mux.scala 98:16] + node _T_266 = mux(_T_253, UInt<3>("h04"), _T_265) @[Mux.scala 98:16] + node _T_267 = mux(_T_250, UInt<2>("h03"), _T_266) @[Mux.scala 98:16] + node _T_268 = mux(_T_247, UInt<2>("h02"), _T_267) @[Mux.scala 98:16] + node _T_269 = mux(_T_244, UInt<1>("h01"), _T_268) @[Mux.scala 98:16] + node _T_270 = mux(_T_241, UInt<1>("h00"), _T_269) @[Mux.scala 98:16] + node _T_271 = dshr(buf_byteen, _T_270) @[axi4_to_ahb.scala 234:92] + node _T_272 = bits(_T_271, 0, 0) @[axi4_to_ahb.scala 234:92] + node _T_273 = eq(_T_272, UInt<1>("h00")) @[axi4_to_ahb.scala 234:163] + node _T_274 = or(_T_233, _T_273) @[axi4_to_ahb.scala 234:79] + node _T_275 = and(trxn_done, _T_274) @[axi4_to_ahb.scala 234:29] + cmd_done <= _T_275 @[axi4_to_ahb.scala 234:16] + node _T_276 = or(cmd_done, cmd_doneQ) @[axi4_to_ahb.scala 235:47] + node _T_277 = eq(_T_276, UInt<1>("h00")) @[axi4_to_ahb.scala 235:36] + node _T_278 = bits(_T_277, 0, 0) @[Bitwise.scala 72:15] + node _T_279 = mux(_T_278, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_280 = and(_T_279, UInt<2>("h02")) @[axi4_to_ahb.scala 235:61] + io.ahb.out.htrans <= _T_280 @[axi4_to_ahb.scala 235:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_281 = eq(UInt<3>("h04"), buf_state) @[Conditional.scala 37:30] + when _T_281 : @[Conditional.scala 39:67] + node _T_282 = and(cmd_doneQ, ahb_hready_q) @[axi4_to_ahb.scala 239:34] + node _T_283 = or(_T_282, ahb_hresp_q) @[axi4_to_ahb.scala 239:50] + buf_state_en <= _T_283 @[axi4_to_ahb.scala 239:20] + node _T_284 = eq(ahb_hresp_q, UInt<1>("h00")) @[axi4_to_ahb.scala 240:38] + node _T_285 = and(buf_state_en, _T_284) @[axi4_to_ahb.scala 240:36] + node _T_286 = and(_T_285, slave_ready) @[axi4_to_ahb.scala 240:51] + master_ready <= _T_286 @[axi4_to_ahb.scala 240:20] + node _T_287 = eq(slave_ready, UInt<1>("h00")) @[axi4_to_ahb.scala 241:42] + node _T_288 = or(ahb_hresp_q, _T_287) @[axi4_to_ahb.scala 241:40] + node _T_289 = and(master_valid, master_valid) @[axi4_to_ahb.scala 241:80] + node _T_290 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 241:111] + node _T_291 = eq(_T_290, UInt<1>("h01")) @[axi4_to_ahb.scala 241:117] + node _T_292 = bits(_T_291, 0, 0) @[axi4_to_ahb.scala 241:132] + node _T_293 = mux(_T_292, UInt<3>("h02"), UInt<3>("h01")) @[axi4_to_ahb.scala 241:99] + node _T_294 = mux(_T_289, _T_293, UInt<3>("h00")) @[axi4_to_ahb.scala 241:65] + node _T_295 = mux(_T_288, UInt<3>("h05"), _T_294) @[axi4_to_ahb.scala 241:26] + buf_nxtstate <= _T_295 @[axi4_to_ahb.scala 241:20] + slvbuf_error_in <= ahb_hresp_q @[axi4_to_ahb.scala 242:23] + slvbuf_error_en <= buf_state_en @[axi4_to_ahb.scala 243:23] + node _T_296 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 244:33] + node _T_297 = eq(_T_296, UInt<1>("h01")) @[axi4_to_ahb.scala 244:39] + buf_write_in <= _T_297 @[axi4_to_ahb.scala 244:20] + node _T_298 = eq(buf_nxtstate, UInt<3>("h02")) @[axi4_to_ahb.scala 245:50] + node _T_299 = eq(buf_nxtstate, UInt<3>("h01")) @[axi4_to_ahb.scala 245:78] + node _T_300 = or(_T_298, _T_299) @[axi4_to_ahb.scala 245:62] + node _T_301 = and(buf_state_en, _T_300) @[axi4_to_ahb.scala 245:33] + buf_wr_en <= _T_301 @[axi4_to_ahb.scala 245:17] + buf_data_wr_en <= buf_wr_en @[axi4_to_ahb.scala 246:22] + node _T_302 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 247:65] + node _T_303 = neq(_T_302, UInt<1>("h00")) @[axi4_to_ahb.scala 247:71] + node _T_304 = and(ahb_hready_q, _T_303) @[axi4_to_ahb.scala 247:50] + node _T_305 = eq(buf_cmd_byte_ptrQ, UInt<3>("h07")) @[axi4_to_ahb.scala 248:29] + node _T_306 = bits(buf_cmd_byte_ptrQ, 2, 0) @[axi4_to_ahb.scala 248:85] + node _T_307 = bits(buf_byteen, 7, 0) @[axi4_to_ahb.scala 248:101] + node _T_308 = add(_T_306, UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] + node _T_309 = tail(_T_308, 1) @[axi4_to_ahb.scala 135:52] + node _T_310 = mux(UInt<1>("h01"), _T_309, _T_306) @[axi4_to_ahb.scala 135:24] + node _T_311 = bits(_T_307, 0, 0) @[axi4_to_ahb.scala 136:44] + node _T_312 = geq(UInt<1>("h00"), _T_310) @[axi4_to_ahb.scala 136:62] + node _T_313 = and(_T_311, _T_312) @[axi4_to_ahb.scala 136:48] + node _T_314 = bits(_T_307, 1, 1) @[axi4_to_ahb.scala 136:44] + node _T_315 = geq(UInt<1>("h01"), _T_310) @[axi4_to_ahb.scala 136:62] + node _T_316 = and(_T_314, _T_315) @[axi4_to_ahb.scala 136:48] + node _T_317 = bits(_T_307, 2, 2) @[axi4_to_ahb.scala 136:44] + node _T_318 = geq(UInt<2>("h02"), _T_310) @[axi4_to_ahb.scala 136:62] + node _T_319 = and(_T_317, _T_318) @[axi4_to_ahb.scala 136:48] + node _T_320 = bits(_T_307, 3, 3) @[axi4_to_ahb.scala 136:44] + node _T_321 = geq(UInt<2>("h03"), _T_310) @[axi4_to_ahb.scala 136:62] + node _T_322 = and(_T_320, _T_321) @[axi4_to_ahb.scala 136:48] + node _T_323 = bits(_T_307, 4, 4) @[axi4_to_ahb.scala 136:44] + node _T_324 = geq(UInt<3>("h04"), _T_310) @[axi4_to_ahb.scala 136:62] + node _T_325 = and(_T_323, _T_324) @[axi4_to_ahb.scala 136:48] + node _T_326 = bits(_T_307, 5, 5) @[axi4_to_ahb.scala 136:44] + node _T_327 = geq(UInt<3>("h05"), _T_310) @[axi4_to_ahb.scala 136:62] + node _T_328 = and(_T_326, _T_327) @[axi4_to_ahb.scala 136:48] + node _T_329 = bits(_T_307, 6, 6) @[axi4_to_ahb.scala 136:44] + node _T_330 = geq(UInt<3>("h06"), _T_310) @[axi4_to_ahb.scala 136:62] + node _T_331 = and(_T_329, _T_330) @[axi4_to_ahb.scala 136:48] + node _T_332 = bits(_T_307, 7, 7) @[axi4_to_ahb.scala 136:44] + node _T_333 = geq(UInt<3>("h07"), _T_310) @[axi4_to_ahb.scala 136:62] + node _T_334 = and(_T_332, _T_333) @[axi4_to_ahb.scala 136:48] + node _T_335 = mux(_T_334, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] + node _T_336 = mux(_T_331, UInt<3>("h06"), _T_335) @[Mux.scala 98:16] + node _T_337 = mux(_T_328, UInt<3>("h05"), _T_336) @[Mux.scala 98:16] + node _T_338 = mux(_T_325, UInt<3>("h04"), _T_337) @[Mux.scala 98:16] + node _T_339 = mux(_T_322, UInt<2>("h03"), _T_338) @[Mux.scala 98:16] + node _T_340 = mux(_T_319, UInt<2>("h02"), _T_339) @[Mux.scala 98:16] + node _T_341 = mux(_T_316, UInt<1>("h01"), _T_340) @[Mux.scala 98:16] + node _T_342 = mux(_T_313, UInt<1>("h00"), _T_341) @[Mux.scala 98:16] + node _T_343 = dshr(buf_byteen, _T_342) @[axi4_to_ahb.scala 248:51] + node _T_344 = bits(_T_343, 0, 0) @[axi4_to_ahb.scala 248:51] + node _T_345 = eq(_T_344, UInt<1>("h00")) @[axi4_to_ahb.scala 248:116] + node _T_346 = or(_T_305, _T_345) @[axi4_to_ahb.scala 248:38] + node _T_347 = and(_T_304, _T_346) @[axi4_to_ahb.scala 247:80] + node _T_348 = or(ahb_hresp_q, _T_347) @[axi4_to_ahb.scala 247:34] + cmd_done <= _T_348 @[axi4_to_ahb.scala 247:16] + node _T_349 = and(buf_state_en, buf_write_in) @[axi4_to_ahb.scala 249:33] + node _T_350 = eq(buf_nxtstate, UInt<3>("h02")) @[axi4_to_ahb.scala 249:64] + node _T_351 = and(_T_349, _T_350) @[axi4_to_ahb.scala 249:48] + bypass_en <= _T_351 @[axi4_to_ahb.scala 249:17] + node _T_352 = or(cmd_done, cmd_doneQ) @[axi4_to_ahb.scala 250:48] + node _T_353 = eq(_T_352, UInt<1>("h00")) @[axi4_to_ahb.scala 250:37] + node _T_354 = or(_T_353, bypass_en) @[axi4_to_ahb.scala 250:61] + node _T_355 = bits(_T_354, 0, 0) @[Bitwise.scala 72:15] + node _T_356 = mux(_T_355, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_357 = and(_T_356, UInt<2>("h02")) @[axi4_to_ahb.scala 250:75] + io.ahb.out.htrans <= _T_357 @[axi4_to_ahb.scala 250:25] + node _T_358 = neq(buf_nxtstate, UInt<3>("h05")) @[axi4_to_ahb.scala 251:55] + node _T_359 = and(buf_state_en, _T_358) @[axi4_to_ahb.scala 251:39] + slave_valid_pre <= _T_359 @[axi4_to_ahb.scala 251:23] + node _T_360 = and(ahb_hready_q, ahb_hwrite_q) @[axi4_to_ahb.scala 252:33] + node _T_361 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 252:63] + node _T_362 = neq(_T_361, UInt<1>("h00")) @[axi4_to_ahb.scala 252:69] + node _T_363 = and(_T_360, _T_362) @[axi4_to_ahb.scala 252:48] + trxn_done <= _T_363 @[axi4_to_ahb.scala 252:17] + node _T_364 = or(trxn_done, bypass_en) @[axi4_to_ahb.scala 253:40] + buf_cmd_byte_ptr_en <= _T_364 @[axi4_to_ahb.scala 253:27] + node _T_365 = bits(buf_byteen_in, 7, 0) @[axi4_to_ahb.scala 254:79] + node _T_366 = add(UInt<3>("h00"), UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] + node _T_367 = tail(_T_366, 1) @[axi4_to_ahb.scala 135:52] + node _T_368 = mux(UInt<1>("h00"), _T_367, UInt<3>("h00")) @[axi4_to_ahb.scala 135:24] + node _T_369 = bits(_T_365, 0, 0) @[axi4_to_ahb.scala 136:44] + node _T_370 = geq(UInt<1>("h00"), _T_368) @[axi4_to_ahb.scala 136:62] + node _T_371 = and(_T_369, _T_370) @[axi4_to_ahb.scala 136:48] + node _T_372 = bits(_T_365, 1, 1) @[axi4_to_ahb.scala 136:44] + node _T_373 = geq(UInt<1>("h01"), _T_368) @[axi4_to_ahb.scala 136:62] + node _T_374 = and(_T_372, _T_373) @[axi4_to_ahb.scala 136:48] + node _T_375 = bits(_T_365, 2, 2) @[axi4_to_ahb.scala 136:44] + node _T_376 = geq(UInt<2>("h02"), _T_368) @[axi4_to_ahb.scala 136:62] + node _T_377 = and(_T_375, _T_376) @[axi4_to_ahb.scala 136:48] + node _T_378 = bits(_T_365, 3, 3) @[axi4_to_ahb.scala 136:44] + node _T_379 = geq(UInt<2>("h03"), _T_368) @[axi4_to_ahb.scala 136:62] + node _T_380 = and(_T_378, _T_379) @[axi4_to_ahb.scala 136:48] + node _T_381 = bits(_T_365, 4, 4) @[axi4_to_ahb.scala 136:44] + node _T_382 = geq(UInt<3>("h04"), _T_368) @[axi4_to_ahb.scala 136:62] + node _T_383 = and(_T_381, _T_382) @[axi4_to_ahb.scala 136:48] + node _T_384 = bits(_T_365, 5, 5) @[axi4_to_ahb.scala 136:44] + node _T_385 = geq(UInt<3>("h05"), _T_368) @[axi4_to_ahb.scala 136:62] + node _T_386 = and(_T_384, _T_385) @[axi4_to_ahb.scala 136:48] + node _T_387 = bits(_T_365, 6, 6) @[axi4_to_ahb.scala 136:44] + node _T_388 = geq(UInt<3>("h06"), _T_368) @[axi4_to_ahb.scala 136:62] + node _T_389 = and(_T_387, _T_388) @[axi4_to_ahb.scala 136:48] + node _T_390 = bits(_T_365, 7, 7) @[axi4_to_ahb.scala 136:44] + node _T_391 = geq(UInt<3>("h07"), _T_368) @[axi4_to_ahb.scala 136:62] + node _T_392 = and(_T_390, _T_391) @[axi4_to_ahb.scala 136:48] + node _T_393 = mux(_T_392, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] + node _T_394 = mux(_T_389, UInt<3>("h06"), _T_393) @[Mux.scala 98:16] + node _T_395 = mux(_T_386, UInt<3>("h05"), _T_394) @[Mux.scala 98:16] + node _T_396 = mux(_T_383, UInt<3>("h04"), _T_395) @[Mux.scala 98:16] + node _T_397 = mux(_T_380, UInt<2>("h03"), _T_396) @[Mux.scala 98:16] + node _T_398 = mux(_T_377, UInt<2>("h02"), _T_397) @[Mux.scala 98:16] + node _T_399 = mux(_T_374, UInt<1>("h01"), _T_398) @[Mux.scala 98:16] + node _T_400 = mux(_T_371, UInt<1>("h00"), _T_399) @[Mux.scala 98:16] + node _T_401 = bits(buf_cmd_byte_ptrQ, 2, 0) @[axi4_to_ahb.scala 254:141] + node _T_402 = bits(buf_byteen, 7, 0) @[axi4_to_ahb.scala 254:157] + node _T_403 = add(_T_401, UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] + node _T_404 = tail(_T_403, 1) @[axi4_to_ahb.scala 135:52] + node _T_405 = mux(UInt<1>("h01"), _T_404, _T_401) @[axi4_to_ahb.scala 135:24] + node _T_406 = bits(_T_402, 0, 0) @[axi4_to_ahb.scala 136:44] + node _T_407 = geq(UInt<1>("h00"), _T_405) @[axi4_to_ahb.scala 136:62] + node _T_408 = and(_T_406, _T_407) @[axi4_to_ahb.scala 136:48] + node _T_409 = bits(_T_402, 1, 1) @[axi4_to_ahb.scala 136:44] + node _T_410 = geq(UInt<1>("h01"), _T_405) @[axi4_to_ahb.scala 136:62] + node _T_411 = and(_T_409, _T_410) @[axi4_to_ahb.scala 136:48] + node _T_412 = bits(_T_402, 2, 2) @[axi4_to_ahb.scala 136:44] + node _T_413 = geq(UInt<2>("h02"), _T_405) @[axi4_to_ahb.scala 136:62] + node _T_414 = and(_T_412, _T_413) @[axi4_to_ahb.scala 136:48] + node _T_415 = bits(_T_402, 3, 3) @[axi4_to_ahb.scala 136:44] + node _T_416 = geq(UInt<2>("h03"), _T_405) @[axi4_to_ahb.scala 136:62] + node _T_417 = and(_T_415, _T_416) @[axi4_to_ahb.scala 136:48] + node _T_418 = bits(_T_402, 4, 4) @[axi4_to_ahb.scala 136:44] + node _T_419 = geq(UInt<3>("h04"), _T_405) @[axi4_to_ahb.scala 136:62] + node _T_420 = and(_T_418, _T_419) @[axi4_to_ahb.scala 136:48] + node _T_421 = bits(_T_402, 5, 5) @[axi4_to_ahb.scala 136:44] + node _T_422 = geq(UInt<3>("h05"), _T_405) @[axi4_to_ahb.scala 136:62] + node _T_423 = and(_T_421, _T_422) @[axi4_to_ahb.scala 136:48] + node _T_424 = bits(_T_402, 6, 6) @[axi4_to_ahb.scala 136:44] + node _T_425 = geq(UInt<3>("h06"), _T_405) @[axi4_to_ahb.scala 136:62] + node _T_426 = and(_T_424, _T_425) @[axi4_to_ahb.scala 136:48] + node _T_427 = bits(_T_402, 7, 7) @[axi4_to_ahb.scala 136:44] + node _T_428 = geq(UInt<3>("h07"), _T_405) @[axi4_to_ahb.scala 136:62] + node _T_429 = and(_T_427, _T_428) @[axi4_to_ahb.scala 136:48] + node _T_430 = mux(_T_429, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] + node _T_431 = mux(_T_426, UInt<3>("h06"), _T_430) @[Mux.scala 98:16] + node _T_432 = mux(_T_423, UInt<3>("h05"), _T_431) @[Mux.scala 98:16] + node _T_433 = mux(_T_420, UInt<3>("h04"), _T_432) @[Mux.scala 98:16] + node _T_434 = mux(_T_417, UInt<2>("h03"), _T_433) @[Mux.scala 98:16] + node _T_435 = mux(_T_414, UInt<2>("h02"), _T_434) @[Mux.scala 98:16] + node _T_436 = mux(_T_411, UInt<1>("h01"), _T_435) @[Mux.scala 98:16] + node _T_437 = mux(_T_408, UInt<1>("h00"), _T_436) @[Mux.scala 98:16] + node _T_438 = mux(trxn_done, _T_437, buf_cmd_byte_ptrQ) @[axi4_to_ahb.scala 254:97] + node _T_439 = mux(bypass_en, _T_400, _T_438) @[axi4_to_ahb.scala 254:30] + buf_cmd_byte_ptr <= _T_439 @[axi4_to_ahb.scala 254:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_440 = eq(UInt<3>("h05"), buf_state) @[Conditional.scala 37:30] + when _T_440 : @[Conditional.scala 39:67] + buf_nxtstate <= UInt<3>("h00") @[axi4_to_ahb.scala 257:20] + buf_state_en <= slave_ready @[axi4_to_ahb.scala 258:20] + slvbuf_error_en <= UInt<1>("h01") @[axi4_to_ahb.scala 259:23] + slave_valid_pre <= UInt<1>("h01") @[axi4_to_ahb.scala 260:23] + skip @[Conditional.scala 39:67] + cmd_done_rst <= slave_valid_pre @[axi4_to_ahb.scala 264:16] + node _T_441 = bits(master_addr, 31, 3) @[axi4_to_ahb.scala 265:33] + node _T_442 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 265:73] + node _T_443 = eq(_T_442, UInt<1>("h01")) @[axi4_to_ahb.scala 265:80] + node _T_444 = and(buf_aligned_in, _T_443) @[axi4_to_ahb.scala 265:60] + node _T_445 = bits(_T_444, 0, 0) @[axi4_to_ahb.scala 265:100] + node _T_446 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 265:132] + node _T_447 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 127:50] + node _T_448 = eq(_T_447, UInt<8>("h0ff")) @[axi4_to_ahb.scala 127:57] + node _T_449 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 127:81] + node _T_450 = eq(_T_449, UInt<8>("h0f")) @[axi4_to_ahb.scala 127:88] + node _T_451 = or(_T_448, _T_450) @[axi4_to_ahb.scala 127:70] + node _T_452 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 127:117] + node _T_453 = eq(_T_452, UInt<8>("h03")) @[axi4_to_ahb.scala 127:124] + node _T_454 = or(_T_451, _T_453) @[axi4_to_ahb.scala 127:106] + node _T_455 = bits(_T_454, 0, 0) @[Bitwise.scala 72:15] + node _T_456 = mux(_T_455, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_457 = and(UInt<3>("h00"), _T_456) @[axi4_to_ahb.scala 127:29] + node _T_458 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 128:35] + node _T_459 = eq(_T_458, UInt<8>("h0c")) @[axi4_to_ahb.scala 128:42] + node _T_460 = bits(_T_459, 0, 0) @[Bitwise.scala 72:15] + node _T_461 = mux(_T_460, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_462 = and(UInt<2>("h02"), _T_461) @[axi4_to_ahb.scala 128:15] + node _T_463 = or(_T_457, _T_462) @[axi4_to_ahb.scala 127:146] + node _T_464 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 129:36] + node _T_465 = eq(_T_464, UInt<8>("h0f0")) @[axi4_to_ahb.scala 129:43] + node _T_466 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 129:67] + node _T_467 = eq(_T_466, UInt<8>("h03")) @[axi4_to_ahb.scala 129:74] + node _T_468 = or(_T_465, _T_467) @[axi4_to_ahb.scala 129:56] + node _T_469 = bits(_T_468, 0, 0) @[Bitwise.scala 72:15] + node _T_470 = mux(_T_469, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_471 = and(UInt<3>("h04"), _T_470) @[axi4_to_ahb.scala 129:15] + node _T_472 = or(_T_463, _T_471) @[axi4_to_ahb.scala 128:63] + node _T_473 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 130:35] + node _T_474 = eq(_T_473, UInt<8>("h0c0")) @[axi4_to_ahb.scala 130:42] + node _T_475 = bits(_T_474, 0, 0) @[Bitwise.scala 72:15] + node _T_476 = mux(_T_475, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_477 = and(UInt<3>("h06"), _T_476) @[axi4_to_ahb.scala 130:15] + node _T_478 = or(_T_472, _T_477) @[axi4_to_ahb.scala 129:96] + node _T_479 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 131:33] + node _T_480 = eq(_T_479, UInt<8>("h0c0")) @[axi4_to_ahb.scala 131:40] + node _T_481 = bits(_T_480, 0, 0) @[Bitwise.scala 72:15] + node _T_482 = mux(_T_481, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_483 = and(UInt<3>("h06"), _T_482) @[axi4_to_ahb.scala 131:13] + node _T_484 = bits(master_addr, 2, 0) @[axi4_to_ahb.scala 265:152] + node _T_485 = mux(_T_445, _T_478, _T_484) @[axi4_to_ahb.scala 265:43] + node _T_486 = cat(_T_441, _T_485) @[Cat.scala 29:58] + buf_addr_in <= _T_486 @[axi4_to_ahb.scala 265:15] + node _T_487 = bits(master_tag, 0, 0) @[axi4_to_ahb.scala 266:27] + buf_tag_in <= _T_487 @[axi4_to_ahb.scala 266:14] + node _T_488 = bits(wrbuf_byteen, 7, 0) @[axi4_to_ahb.scala 267:32] + buf_byteen_in <= _T_488 @[axi4_to_ahb.scala 267:17] + node _T_489 = eq(buf_state, UInt<3>("h03")) @[axi4_to_ahb.scala 268:33] + node _T_490 = bits(ahb_hrdata_q, 63, 0) @[axi4_to_ahb.scala 268:59] + node _T_491 = bits(master_wdata, 63, 0) @[axi4_to_ahb.scala 268:80] + node _T_492 = mux(_T_489, _T_490, _T_491) @[axi4_to_ahb.scala 268:21] + buf_data_in <= _T_492 @[axi4_to_ahb.scala 268:15] + node _T_493 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 269:52] + node _T_494 = eq(_T_493, UInt<2>("h03")) @[axi4_to_ahb.scala 269:58] + node _T_495 = and(buf_aligned_in, _T_494) @[axi4_to_ahb.scala 269:38] + node _T_496 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 269:84] + node _T_497 = eq(_T_496, UInt<1>("h01")) @[axi4_to_ahb.scala 269:91] + node _T_498 = and(_T_495, _T_497) @[axi4_to_ahb.scala 269:71] + node _T_499 = bits(_T_498, 0, 0) @[axi4_to_ahb.scala 269:111] + node _T_500 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 269:142] + node _T_501 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 121:42] + node _T_502 = eq(_T_501, UInt<8>("h0ff")) @[axi4_to_ahb.scala 121:49] + node _T_503 = bits(_T_502, 0, 0) @[Bitwise.scala 72:15] + node _T_504 = mux(_T_503, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_505 = and(UInt<2>("h03"), _T_504) @[axi4_to_ahb.scala 121:25] + node _T_506 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 122:35] + node _T_507 = eq(_T_506, UInt<8>("h0f0")) @[axi4_to_ahb.scala 122:42] + node _T_508 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 122:64] + node _T_509 = eq(_T_508, UInt<8>("h0f")) @[axi4_to_ahb.scala 122:71] + node _T_510 = or(_T_507, _T_509) @[axi4_to_ahb.scala 122:55] + node _T_511 = bits(_T_510, 0, 0) @[Bitwise.scala 72:15] + node _T_512 = mux(_T_511, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_513 = and(UInt<2>("h02"), _T_512) @[axi4_to_ahb.scala 122:16] + node _T_514 = or(_T_505, _T_513) @[axi4_to_ahb.scala 121:64] + node _T_515 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 123:40] + node _T_516 = eq(_T_515, UInt<8>("h0c0")) @[axi4_to_ahb.scala 123:47] + node _T_517 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 123:69] + node _T_518 = eq(_T_517, UInt<6>("h030")) @[axi4_to_ahb.scala 123:76] + node _T_519 = or(_T_516, _T_518) @[axi4_to_ahb.scala 123:60] + node _T_520 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 123:98] + node _T_521 = eq(_T_520, UInt<8>("h0c")) @[axi4_to_ahb.scala 123:105] + node _T_522 = or(_T_519, _T_521) @[axi4_to_ahb.scala 123:89] + node _T_523 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 123:132] + node _T_524 = eq(_T_523, UInt<8>("h03")) @[axi4_to_ahb.scala 123:139] + node _T_525 = or(_T_522, _T_524) @[axi4_to_ahb.scala 123:123] + node _T_526 = bits(_T_525, 0, 0) @[Bitwise.scala 72:15] + node _T_527 = mux(_T_526, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_528 = and(UInt<2>("h01"), _T_527) @[axi4_to_ahb.scala 123:21] + node _T_529 = or(_T_514, _T_528) @[axi4_to_ahb.scala 122:93] + node _T_530 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 269:161] + node _T_531 = mux(_T_499, _T_529, _T_530) @[axi4_to_ahb.scala 269:21] + buf_size_in <= _T_531 @[axi4_to_ahb.scala 269:15] + node _T_532 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 270:32] + node _T_533 = eq(_T_532, UInt<1>("h00")) @[axi4_to_ahb.scala 270:39] + node _T_534 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 271:17] + node _T_535 = eq(_T_534, UInt<1>("h00")) @[axi4_to_ahb.scala 271:24] + node _T_536 = or(_T_533, _T_535) @[axi4_to_ahb.scala 270:48] + node _T_537 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 271:47] + node _T_538 = eq(_T_537, UInt<2>("h01")) @[axi4_to_ahb.scala 271:54] + node _T_539 = or(_T_536, _T_538) @[axi4_to_ahb.scala 271:33] + node _T_540 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 271:86] + node _T_541 = eq(_T_540, UInt<2>("h02")) @[axi4_to_ahb.scala 271:93] + node _T_542 = or(_T_539, _T_541) @[axi4_to_ahb.scala 271:72] + node _T_543 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 272:18] + node _T_544 = eq(_T_543, UInt<2>("h03")) @[axi4_to_ahb.scala 272:25] + node _T_545 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 272:55] + node _T_546 = eq(_T_545, UInt<2>("h03")) @[axi4_to_ahb.scala 272:62] + node _T_547 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 272:90] + node _T_548 = eq(_T_547, UInt<4>("h0c")) @[axi4_to_ahb.scala 272:97] + node _T_549 = or(_T_546, _T_548) @[axi4_to_ahb.scala 272:74] + node _T_550 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 272:125] + node _T_551 = eq(_T_550, UInt<6>("h030")) @[axi4_to_ahb.scala 272:132] + node _T_552 = or(_T_549, _T_551) @[axi4_to_ahb.scala 272:109] + node _T_553 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 272:161] + node _T_554 = eq(_T_553, UInt<8>("h0c0")) @[axi4_to_ahb.scala 272:168] + node _T_555 = or(_T_552, _T_554) @[axi4_to_ahb.scala 272:145] + node _T_556 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 273:21] + node _T_557 = eq(_T_556, UInt<4>("h0f")) @[axi4_to_ahb.scala 273:28] + node _T_558 = or(_T_555, _T_557) @[axi4_to_ahb.scala 272:181] + node _T_559 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 273:56] + node _T_560 = eq(_T_559, UInt<8>("h0f0")) @[axi4_to_ahb.scala 273:63] + node _T_561 = or(_T_558, _T_560) @[axi4_to_ahb.scala 273:40] + node _T_562 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 273:92] + node _T_563 = eq(_T_562, UInt<8>("h0ff")) @[axi4_to_ahb.scala 273:99] + node _T_564 = or(_T_561, _T_563) @[axi4_to_ahb.scala 273:76] + node _T_565 = and(_T_544, _T_564) @[axi4_to_ahb.scala 272:38] + node _T_566 = or(_T_542, _T_565) @[axi4_to_ahb.scala 271:106] + buf_aligned_in <= _T_566 @[axi4_to_ahb.scala 270:18] + node _T_567 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 275:43] + node _T_568 = bits(master_addr, 31, 3) @[axi4_to_ahb.scala 275:62] + node _T_569 = bits(buf_cmd_byte_ptr, 2, 0) @[axi4_to_ahb.scala 275:87] + node _T_570 = cat(_T_568, _T_569) @[Cat.scala 29:58] + node _T_571 = bits(buf_addr, 31, 3) @[axi4_to_ahb.scala 275:108] + node _T_572 = bits(buf_cmd_byte_ptr, 2, 0) @[axi4_to_ahb.scala 275:133] + node _T_573 = cat(_T_571, _T_572) @[Cat.scala 29:58] + node _T_574 = mux(_T_567, _T_570, _T_573) @[axi4_to_ahb.scala 275:26] + io.ahb.out.haddr <= _T_574 @[axi4_to_ahb.scala 275:20] + node _T_575 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 276:43] + node _T_576 = bits(buf_aligned_in, 0, 0) @[Bitwise.scala 72:15] + node _T_577 = mux(_T_576, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_578 = bits(buf_size_in, 1, 0) @[axi4_to_ahb.scala 276:94] + node _T_579 = and(_T_577, _T_578) @[axi4_to_ahb.scala 276:81] + node _T_580 = cat(UInt<1>("h00"), _T_579) @[Cat.scala 29:58] + node _T_581 = bits(buf_aligned, 0, 0) @[Bitwise.scala 72:15] + node _T_582 = mux(_T_581, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_583 = bits(buf_size, 1, 0) @[axi4_to_ahb.scala 276:148] + node _T_584 = and(_T_582, _T_583) @[axi4_to_ahb.scala 276:138] + node _T_585 = cat(UInt<1>("h00"), _T_584) @[Cat.scala 29:58] + node _T_586 = mux(_T_575, _T_580, _T_585) @[axi4_to_ahb.scala 276:26] + io.ahb.out.hsize <= _T_586 @[axi4_to_ahb.scala 276:20] + io.ahb.out.hburst <= UInt<1>("h00") @[axi4_to_ahb.scala 278:21] + io.ahb.out.hmastlock <= UInt<1>("h00") @[axi4_to_ahb.scala 279:24] + node _T_587 = bits(io.axi.ar.bits.prot, 2, 2) @[axi4_to_ahb.scala 280:57] + node _T_588 = eq(_T_587, UInt<1>("h00")) @[axi4_to_ahb.scala 280:37] + node _T_589 = cat(UInt<1>("h01"), _T_588) @[Cat.scala 29:58] + io.ahb.out.hprot <= _T_589 @[axi4_to_ahb.scala 280:20] + node _T_590 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 281:44] + node _T_591 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 281:59] + node _T_592 = eq(_T_591, UInt<1>("h01")) @[axi4_to_ahb.scala 281:66] + node _T_593 = mux(_T_590, _T_592, buf_write) @[axi4_to_ahb.scala 281:27] + io.ahb.out.hwrite <= _T_593 @[axi4_to_ahb.scala 281:21] + node _T_594 = bits(buf_data, 63, 0) @[axi4_to_ahb.scala 282:32] + io.ahb.out.hwdata <= _T_594 @[axi4_to_ahb.scala 282:21] + slave_valid <= slave_valid_pre @[axi4_to_ahb.scala 284:15] + node _T_595 = bits(slvbuf_write, 0, 0) @[axi4_to_ahb.scala 285:43] + node _T_596 = mux(_T_595, UInt<2>("h03"), UInt<1>("h00")) @[axi4_to_ahb.scala 285:23] + node _T_597 = bits(slvbuf_error, 0, 0) @[Bitwise.scala 72:15] + node _T_598 = mux(_T_597, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_599 = and(_T_598, UInt<2>("h02")) @[axi4_to_ahb.scala 285:88] + node _T_600 = cat(_T_596, _T_599) @[Cat.scala 29:58] + slave_opc <= _T_600 @[axi4_to_ahb.scala 285:13] + node _T_601 = bits(slvbuf_error, 0, 0) @[axi4_to_ahb.scala 286:41] + node _T_602 = bits(last_bus_addr, 31, 0) @[axi4_to_ahb.scala 286:66] + node _T_603 = cat(_T_602, _T_602) @[Cat.scala 29:58] + node _T_604 = eq(buf_state, UInt<3>("h05")) @[axi4_to_ahb.scala 286:91] + node _T_605 = bits(buf_data, 63, 0) @[axi4_to_ahb.scala 286:110] + node _T_606 = bits(ahb_hrdata_q, 63, 0) @[axi4_to_ahb.scala 286:131] + node _T_607 = mux(_T_604, _T_605, _T_606) @[axi4_to_ahb.scala 286:79] + node _T_608 = mux(_T_601, _T_603, _T_607) @[axi4_to_ahb.scala 286:21] + slave_rdata <= _T_608 @[axi4_to_ahb.scala 286:15] + node _T_609 = bits(slvbuf_tag, 0, 0) @[axi4_to_ahb.scala 287:26] + slave_tag <= _T_609 @[axi4_to_ahb.scala 287:13] + node _T_610 = bits(io.ahb.out.htrans, 1, 0) @[axi4_to_ahb.scala 289:37] + node _T_611 = neq(_T_610, UInt<1>("h00")) @[axi4_to_ahb.scala 289:44] + node _T_612 = and(_T_611, io.ahb.in.hready) @[axi4_to_ahb.scala 289:56] + node _T_613 = and(_T_612, io.ahb.out.hwrite) @[axi4_to_ahb.scala 289:75] + last_addr_en <= _T_613 @[axi4_to_ahb.scala 289:16] + node _T_614 = and(io.axi.aw.valid, io.axi.aw.ready) @[axi4_to_ahb.scala 291:31] + node _T_615 = and(_T_614, master_ready) @[axi4_to_ahb.scala 291:49] + wrbuf_en <= _T_615 @[axi4_to_ahb.scala 291:12] + node _T_616 = and(io.axi.w.valid, io.axi.w.ready) @[axi4_to_ahb.scala 292:35] + node _T_617 = and(_T_616, master_ready) @[axi4_to_ahb.scala 292:52] + wrbuf_data_en <= _T_617 @[axi4_to_ahb.scala 292:17] + node _T_618 = and(master_valid, master_ready) @[axi4_to_ahb.scala 293:34] + node _T_619 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 293:62] + node _T_620 = eq(_T_619, UInt<1>("h01")) @[axi4_to_ahb.scala 293:69] + node _T_621 = and(_T_618, _T_620) @[axi4_to_ahb.scala 293:49] + wrbuf_cmd_sent <= _T_621 @[axi4_to_ahb.scala 293:18] + node _T_622 = eq(wrbuf_en, UInt<1>("h00")) @[axi4_to_ahb.scala 294:33] + node _T_623 = and(wrbuf_cmd_sent, _T_622) @[axi4_to_ahb.scala 294:31] + wrbuf_rst <= _T_623 @[axi4_to_ahb.scala 294:13] + node _T_624 = eq(wrbuf_cmd_sent, UInt<1>("h00")) @[axi4_to_ahb.scala 296:36] + node _T_625 = and(wrbuf_vld, _T_624) @[axi4_to_ahb.scala 296:34] + node _T_626 = eq(_T_625, UInt<1>("h00")) @[axi4_to_ahb.scala 296:22] + node _T_627 = and(_T_626, master_ready) @[axi4_to_ahb.scala 296:53] + io.axi.aw.ready <= _T_627 @[axi4_to_ahb.scala 296:19] + node _T_628 = eq(wrbuf_cmd_sent, UInt<1>("h00")) @[axi4_to_ahb.scala 297:40] + node _T_629 = and(wrbuf_data_vld, _T_628) @[axi4_to_ahb.scala 297:38] + node _T_630 = eq(_T_629, UInt<1>("h00")) @[axi4_to_ahb.scala 297:21] + node _T_631 = and(_T_630, master_ready) @[axi4_to_ahb.scala 297:57] + io.axi.w.ready <= _T_631 @[axi4_to_ahb.scala 297:18] + node _T_632 = and(wrbuf_vld, wrbuf_data_vld) @[axi4_to_ahb.scala 298:34] + node _T_633 = eq(_T_632, UInt<1>("h00")) @[axi4_to_ahb.scala 298:22] + node _T_634 = and(_T_633, master_ready) @[axi4_to_ahb.scala 298:52] + io.axi.ar.ready <= _T_634 @[axi4_to_ahb.scala 298:19] + io.axi.r.bits.last <= UInt<1>("h01") @[axi4_to_ahb.scala 299:22] + node _T_635 = bits(wrbuf_en, 0, 0) @[axi4_to_ahb.scala 301:71] + node _T_636 = mux(_T_635, UInt<1>("h01"), wrbuf_vld) @[axi4_to_ahb.scala 301:55] + node _T_637 = eq(wrbuf_rst, UInt<1>("h00")) @[axi4_to_ahb.scala 301:91] + node _T_638 = and(_T_636, _T_637) @[axi4_to_ahb.scala 301:89] + reg _T_639 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 301:51] + _T_639 <= _T_638 @[axi4_to_ahb.scala 301:51] + wrbuf_vld <= _T_639 @[axi4_to_ahb.scala 301:21] + node _T_640 = bits(wrbuf_data_en, 0, 0) @[axi4_to_ahb.scala 302:76] + node _T_641 = mux(_T_640, UInt<1>("h01"), wrbuf_data_vld) @[axi4_to_ahb.scala 302:55] + node _T_642 = eq(wrbuf_rst, UInt<1>("h00")) @[axi4_to_ahb.scala 302:102] + node _T_643 = and(_T_641, _T_642) @[axi4_to_ahb.scala 302:100] + reg _T_644 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 302:51] + _T_644 <= _T_643 @[axi4_to_ahb.scala 302:51] + wrbuf_data_vld <= _T_644 @[axi4_to_ahb.scala 302:21] + node _T_645 = bits(io.axi.aw.bits.id, 0, 0) @[axi4_to_ahb.scala 303:71] + node _T_646 = bits(wrbuf_en, 0, 0) @[axi4_to_ahb.scala 303:105] + reg _T_647 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_646 : @[Reg.scala 28:19] + _T_647 <= _T_645 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + wrbuf_tag <= _T_647 @[axi4_to_ahb.scala 303:21] + node _T_648 = bits(io.axi.aw.bits.size, 2, 0) @[axi4_to_ahb.scala 304:73] + node _T_649 = bits(wrbuf_en, 0, 0) @[axi4_to_ahb.scala 304:101] + reg _T_650 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_649 : @[Reg.scala 28:19] + _T_650 <= _T_648 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + wrbuf_size <= _T_650 @[axi4_to_ahb.scala 304:21] + node _T_651 = bits(wrbuf_en, 0, 0) @[axi4_to_ahb.scala 305:61] + inst rvclkhdr_2 of rvclkhdr_851 @[lib.scala 368:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= bus_clk @[lib.scala 370:18] + rvclkhdr_2.io.en <= _T_651 @[lib.scala 371:17] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_652 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_652 <= io.axi.aw.bits.addr @[lib.scala 374:16] + wrbuf_addr <= _T_652 @[axi4_to_ahb.scala 305:21] + node _T_653 = bits(wrbuf_data_en, 0, 0) @[axi4_to_ahb.scala 306:65] + inst rvclkhdr_3 of rvclkhdr_852 @[lib.scala 368:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= bus_clk @[lib.scala 370:18] + rvclkhdr_3.io.en <= _T_653 @[lib.scala 371:17] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_654 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_654 <= io.axi.w.bits.data @[lib.scala 374:16] + wrbuf_data <= _T_654 @[axi4_to_ahb.scala 306:21] + node _T_655 = bits(io.axi.w.bits.strb, 7, 0) @[axi4_to_ahb.scala 307:72] + node _T_656 = bits(wrbuf_data_en, 0, 0) @[axi4_to_ahb.scala 307:105] + reg _T_657 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_656 : @[Reg.scala 28:19] + _T_657 <= _T_655 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + wrbuf_byteen <= _T_657 @[axi4_to_ahb.scala 307:21] + node _T_658 = bits(io.ahb.out.haddr, 31, 0) @[axi4_to_ahb.scala 308:71] + node _T_659 = bits(last_addr_en, 0, 0) @[axi4_to_ahb.scala 308:104] + reg _T_660 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_659 : @[Reg.scala 28:19] + _T_660 <= _T_658 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + last_bus_addr <= _T_660 @[axi4_to_ahb.scala 308:21] + node _T_661 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 309:89] + reg _T_662 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_661 : @[Reg.scala 28:19] + _T_662 <= buf_write_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_write <= _T_662 @[axi4_to_ahb.scala 309:21] + node _T_663 = bits(buf_tag_in, 0, 0) @[axi4_to_ahb.scala 310:64] + node _T_664 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 310:99] + reg _T_665 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_664 : @[Reg.scala 28:19] + _T_665 <= _T_663 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_tag <= _T_665 @[axi4_to_ahb.scala 310:21] + node _T_666 = bits(buf_addr_in, 31, 0) @[axi4_to_ahb.scala 311:42] + node _T_667 = and(buf_wr_en, io.bus_clk_en) @[axi4_to_ahb.scala 311:61] + node _T_668 = bits(_T_667, 0, 0) @[axi4_to_ahb.scala 311:78] + inst rvclkhdr_4 of rvclkhdr_853 @[lib.scala 368:23] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_4.io.en <= _T_668 @[lib.scala 371:17] + rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_669 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_669 <= _T_666 @[lib.scala 374:16] + buf_addr <= _T_669 @[axi4_to_ahb.scala 311:21] + node _T_670 = bits(buf_size_in, 1, 0) @[axi4_to_ahb.scala 312:65] + node _T_671 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 312:94] + reg _T_672 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_671 : @[Reg.scala 28:19] + _T_672 <= _T_670 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_size <= _T_672 @[axi4_to_ahb.scala 312:21] + node _T_673 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 313:91] + reg _T_674 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_673 : @[Reg.scala 28:19] + _T_674 <= buf_aligned_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_aligned <= _T_674 @[axi4_to_ahb.scala 313:21] + node _T_675 = bits(buf_byteen_in, 7, 0) @[axi4_to_ahb.scala 314:67] + node _T_676 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 314:96] + reg _T_677 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_676 : @[Reg.scala 28:19] + _T_677 <= _T_675 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_byteen <= _T_677 @[axi4_to_ahb.scala 314:21] + node _T_678 = bits(buf_data_in, 63, 0) @[axi4_to_ahb.scala 315:42] + node _T_679 = and(buf_data_wr_en, io.bus_clk_en) @[axi4_to_ahb.scala 315:66] + node _T_680 = bits(_T_679, 0, 0) @[axi4_to_ahb.scala 315:89] + inst rvclkhdr_5 of rvclkhdr_854 @[lib.scala 368:23] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_5.io.en <= _T_680 @[lib.scala 371:17] + rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_681 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_681 <= _T_678 @[lib.scala 374:16] + buf_data <= _T_681 @[axi4_to_ahb.scala 315:21] + node _T_682 = bits(slvbuf_wr_en, 0, 0) @[axi4_to_ahb.scala 316:89] + reg _T_683 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_682 : @[Reg.scala 28:19] + _T_683 <= buf_write @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + slvbuf_write <= _T_683 @[axi4_to_ahb.scala 316:21] + node _T_684 = bits(buf_tag, 0, 0) @[axi4_to_ahb.scala 317:61] + node _T_685 = bits(slvbuf_wr_en, 0, 0) @[axi4_to_ahb.scala 317:99] + reg _T_686 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_685 : @[Reg.scala 28:19] + _T_686 <= _T_684 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + slvbuf_tag <= _T_686 @[axi4_to_ahb.scala 317:21] + node _T_687 = bits(slvbuf_error_en, 0, 0) @[axi4_to_ahb.scala 318:99] + reg _T_688 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_687 : @[Reg.scala 28:19] + _T_688 <= slvbuf_error_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + slvbuf_error <= _T_688 @[axi4_to_ahb.scala 318:21] + node _T_689 = bits(cmd_done, 0, 0) @[axi4_to_ahb.scala 319:72] + node _T_690 = mux(_T_689, UInt<1>("h01"), cmd_doneQ) @[axi4_to_ahb.scala 319:56] + node _T_691 = eq(cmd_done_rst, UInt<1>("h00")) @[axi4_to_ahb.scala 319:92] + node _T_692 = and(_T_690, _T_691) @[axi4_to_ahb.scala 319:90] + reg _T_693 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 319:52] + _T_693 <= _T_692 @[axi4_to_ahb.scala 319:52] + cmd_doneQ <= _T_693 @[axi4_to_ahb.scala 319:21] + node _T_694 = bits(buf_cmd_byte_ptr, 2, 0) @[axi4_to_ahb.scala 320:71] + node _T_695 = bits(buf_cmd_byte_ptr_en, 0, 0) @[axi4_to_ahb.scala 320:110] + reg _T_696 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_695 : @[Reg.scala 28:19] + _T_696 <= _T_694 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_cmd_byte_ptrQ <= _T_696 @[axi4_to_ahb.scala 320:21] + reg _T_697 : UInt<1>, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 321:52] + _T_697 <= io.ahb.in.hready @[axi4_to_ahb.scala 321:52] + ahb_hready_q <= _T_697 @[axi4_to_ahb.scala 321:21] + node _T_698 = bits(io.ahb.out.htrans, 1, 0) @[axi4_to_ahb.scala 322:70] + reg _T_699 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 322:52] + _T_699 <= _T_698 @[axi4_to_ahb.scala 322:52] + ahb_htrans_q <= _T_699 @[axi4_to_ahb.scala 322:21] + reg _T_700 : UInt<1>, ahbm_addr_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 323:57] + _T_700 <= io.ahb.out.hwrite @[axi4_to_ahb.scala 323:57] + ahb_hwrite_q <= _T_700 @[axi4_to_ahb.scala 323:21] + reg _T_701 : UInt<1>, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 324:52] + _T_701 <= io.ahb.in.hresp @[axi4_to_ahb.scala 324:52] + ahb_hresp_q <= _T_701 @[axi4_to_ahb.scala 324:21] + node _T_702 = bits(io.ahb.in.hrdata, 63, 0) @[axi4_to_ahb.scala 325:74] + reg _T_703 : UInt, ahbm_data_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 325:57] + _T_703 <= _T_702 @[axi4_to_ahb.scala 325:57] + ahb_hrdata_q <= _T_703 @[axi4_to_ahb.scala 325:21] + node _T_704 = or(buf_wr_en, slvbuf_wr_en) @[axi4_to_ahb.scala 327:43] + node _T_705 = or(_T_704, io.clk_override) @[axi4_to_ahb.scala 327:58] + node _T_706 = and(io.bus_clk_en, _T_705) @[axi4_to_ahb.scala 327:30] + buf_clken <= _T_706 @[axi4_to_ahb.scala 327:13] + node _T_707 = bits(io.ahb.out.htrans, 1, 1) @[axi4_to_ahb.scala 328:76] + node _T_708 = and(io.ahb.in.hready, _T_707) @[axi4_to_ahb.scala 328:57] + node _T_709 = or(_T_708, io.clk_override) @[axi4_to_ahb.scala 328:81] + node _T_710 = and(io.bus_clk_en, _T_709) @[axi4_to_ahb.scala 328:36] + ahbm_addr_clken <= _T_710 @[axi4_to_ahb.scala 328:19] + node _T_711 = neq(buf_state, UInt<3>("h00")) @[axi4_to_ahb.scala 329:50] + node _T_712 = or(_T_711, io.clk_override) @[axi4_to_ahb.scala 329:60] + node _T_713 = and(io.bus_clk_en, _T_712) @[axi4_to_ahb.scala 329:36] + ahbm_data_clken <= _T_713 @[axi4_to_ahb.scala 329:19] + inst rvclkhdr_6 of rvclkhdr_855 @[lib.scala 343:22] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= reset + rvclkhdr_6.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_6.io.en <= buf_clken @[lib.scala 345:16] + rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + buf_clk <= rvclkhdr_6.io.l1clk @[axi4_to_ahb.scala 332:12] + inst rvclkhdr_7 of rvclkhdr_856 @[lib.scala 343:22] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= reset + rvclkhdr_7.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_7.io.en <= io.bus_clk_en @[lib.scala 345:16] + rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + ahbm_clk <= rvclkhdr_7.io.l1clk @[axi4_to_ahb.scala 333:12] + inst rvclkhdr_8 of rvclkhdr_857 @[lib.scala 343:22] + rvclkhdr_8.clock <= clock + rvclkhdr_8.reset <= reset + rvclkhdr_8.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_8.io.en <= ahbm_addr_clken @[lib.scala 345:16] + rvclkhdr_8.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + ahbm_addr_clk <= rvclkhdr_8.io.l1clk @[axi4_to_ahb.scala 334:17] + inst rvclkhdr_9 of rvclkhdr_858 @[lib.scala 343:22] + rvclkhdr_9.clock <= clock + rvclkhdr_9.reset <= reset + rvclkhdr_9.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_9.io.en <= ahbm_data_clken @[lib.scala 345:16] + rvclkhdr_9.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + ahbm_data_clk <= rvclkhdr_9.io.l1clk @[axi4_to_ahb.scala 335:17] + + extmodule gated_latch_859 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_859 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_859 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_860 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_860 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_860 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_861 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_861 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_861 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_862 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_862 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_862 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_863 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_863 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_863 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_864 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_864 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_864 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_865 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_865 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_865 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_866 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_866 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_866 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_867 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_867 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_867 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_868 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_868 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_868 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module axi4_to_ahb_1 : + input clock : Clock + input reset : AsyncReset + output io : {flip scan_mode : UInt<1>, flip bus_clk_en : UInt<1>, flip clk_override : UInt<1>, flip axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, ahb : {in : {flip hrdata : UInt<64>, flip hready : UInt<1>, flip hresp : UInt<1>}, out : {haddr : UInt<32>, hburst : UInt<3>, hmastlock : UInt<1>, hprot : UInt<4>, hsize : UInt<3>, htrans : UInt<2>, hwrite : UInt<1>, hwdata : UInt<64>}}} + + wire buf_rst : UInt<1> + buf_rst <= UInt<1>("h00") + buf_rst <= UInt<1>("h00") @[axi4_to_ahb.scala 21:11] + io.ahb.out.htrans <= UInt<1>("h00") @[axi4_to_ahb.scala 22:21] + wire buf_state_en : UInt<1> + buf_state_en <= UInt<1>("h00") + wire ahbm_clk : Clock @[axi4_to_ahb.scala 24:22] + wire ahbm_addr_clk : Clock @[axi4_to_ahb.scala 25:27] + wire ahbm_data_clk : Clock @[axi4_to_ahb.scala 26:27] + wire buf_state : UInt<3> + buf_state <= UInt<3>("h00") + wire buf_nxtstate : UInt<3> + buf_nxtstate <= UInt<3>("h00") + node _T = bits(buf_state_en, 0, 0) @[axi4_to_ahb.scala 30:70] + node _T_1 = mux(_T, buf_nxtstate, buf_state) @[axi4_to_ahb.scala 30:50] + node _T_2 = eq(buf_rst, UInt<1>("h00")) @[axi4_to_ahb.scala 30:108] + node _T_3 = bits(_T_2, 0, 0) @[Bitwise.scala 72:15] + node _T_4 = mux(_T_3, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_5 = and(_T_1, _T_4) @[axi4_to_ahb.scala 30:98] + reg _T_6 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 30:45] + _T_6 <= _T_5 @[axi4_to_ahb.scala 30:45] + buf_state <= _T_6 @[axi4_to_ahb.scala 30:13] + wire slave_valid : UInt<1> + slave_valid <= UInt<1>("h00") + wire slave_ready : UInt<1> + slave_ready <= UInt<1>("h00") + wire slave_tag : UInt<3> + slave_tag <= UInt<3>("h00") + wire slave_rdata : UInt<64> + slave_rdata <= UInt<64>("h00") + wire slave_opc : UInt<4> + slave_opc <= UInt<4>("h00") + wire wrbuf_en : UInt<1> + wrbuf_en <= UInt<1>("h00") + wire wrbuf_data_en : UInt<1> + wrbuf_data_en <= UInt<1>("h00") + wire wrbuf_cmd_sent : UInt<1> + wrbuf_cmd_sent <= UInt<1>("h00") + wire wrbuf_rst : UInt<1> + wrbuf_rst <= UInt<1>("h00") + wire wrbuf_vld : UInt<1> + wrbuf_vld <= UInt<1>("h00") + wire wrbuf_data_vld : UInt<1> + wrbuf_data_vld <= UInt<1>("h00") + wire wrbuf_tag : UInt<3> + wrbuf_tag <= UInt<3>("h00") + wire wrbuf_size : UInt<3> + wrbuf_size <= UInt<3>("h00") + wire wrbuf_addr : UInt<32> + wrbuf_addr <= UInt<32>("h00") + wire wrbuf_data : UInt<64> + wrbuf_data <= UInt<64>("h00") + wire wrbuf_byteen : UInt<8> + wrbuf_byteen <= UInt<8>("h00") + wire bus_write_clk_en : UInt<1> + bus_write_clk_en <= UInt<1>("h00") + wire bus_clk : Clock @[axi4_to_ahb.scala 50:21] + wire bus_write_clk : Clock @[axi4_to_ahb.scala 51:27] + wire master_valid : UInt<1> + master_valid <= UInt<1>("h00") + wire master_ready : UInt<1> + master_ready <= UInt<1>("h00") + wire master_tag : UInt<3> + master_tag <= UInt<3>("h00") + wire master_addr : UInt<32> + master_addr <= UInt<32>("h00") + wire master_wdata : UInt<64> + master_wdata <= UInt<64>("h00") + wire master_size : UInt<3> + master_size <= UInt<3>("h00") + wire master_opc : UInt<3> + master_opc <= UInt<3>("h00") + wire master_byteen : UInt<8> + master_byteen <= UInt<8>("h00") + wire buf_addr : UInt<32> + buf_addr <= UInt<32>("h00") + wire buf_size : UInt<2> + buf_size <= UInt<2>("h00") + wire buf_write : UInt<1> + buf_write <= UInt<1>("h00") + wire buf_byteen : UInt<8> + buf_byteen <= UInt<8>("h00") + wire buf_aligned : UInt<1> + buf_aligned <= UInt<1>("h00") + wire buf_data : UInt<64> + buf_data <= UInt<64>("h00") + wire buf_tag : UInt<3> + buf_tag <= UInt<3>("h00") + wire buf_tag_in : UInt<3> + buf_tag_in <= UInt<3>("h00") + wire buf_addr_in : UInt<32> + buf_addr_in <= UInt<32>("h00") + wire buf_byteen_in : UInt<8> + buf_byteen_in <= UInt<8>("h00") + wire buf_data_in : UInt<64> + buf_data_in <= UInt<64>("h00") + wire buf_write_in : UInt<1> + buf_write_in <= UInt<1>("h00") + wire buf_aligned_in : UInt<1> + buf_aligned_in <= UInt<1>("h00") + wire buf_size_in : UInt<3> + buf_size_in <= UInt<3>("h00") + wire buf_wr_en : UInt<1> + buf_wr_en <= UInt<1>("h00") + wire buf_data_wr_en : UInt<1> + buf_data_wr_en <= UInt<1>("h00") + wire slvbuf_error_en : UInt<1> + slvbuf_error_en <= UInt<1>("h00") + wire wr_cmd_vld : UInt<1> + wr_cmd_vld <= UInt<1>("h00") + wire cmd_done_rst : UInt<1> + cmd_done_rst <= UInt<1>("h00") + wire cmd_done : UInt<1> + cmd_done <= UInt<1>("h00") + wire cmd_doneQ : UInt<1> + cmd_doneQ <= UInt<1>("h00") + wire trxn_done : UInt<1> + trxn_done <= UInt<1>("h00") + wire buf_cmd_byte_ptr : UInt<3> + buf_cmd_byte_ptr <= UInt<3>("h00") + wire buf_cmd_byte_ptrQ : UInt<3> + buf_cmd_byte_ptrQ <= UInt<3>("h00") + wire buf_cmd_nxtbyte_ptr : UInt<3> + buf_cmd_nxtbyte_ptr <= UInt<3>("h00") + wire buf_cmd_byte_ptr_en : UInt<1> + buf_cmd_byte_ptr_en <= UInt<1>("h00") + wire found : UInt<1> + found <= UInt<1>("h00") + wire slave_valid_pre : UInt<1> + slave_valid_pre <= UInt<1>("h00") + wire ahb_hready_q : UInt<1> + ahb_hready_q <= UInt<1>("h00") + wire ahb_hresp_q : UInt<1> + ahb_hresp_q <= UInt<1>("h00") + wire ahb_htrans_q : UInt<2> + ahb_htrans_q <= UInt<2>("h00") + wire ahb_hwrite_q : UInt<1> + ahb_hwrite_q <= UInt<1>("h00") + wire ahb_hrdata_q : UInt<64> + ahb_hrdata_q <= UInt<64>("h00") + wire slvbuf_write : UInt<1> + slvbuf_write <= UInt<1>("h00") + wire slvbuf_error : UInt<1> + slvbuf_error <= UInt<1>("h00") + wire slvbuf_tag : UInt<3> + slvbuf_tag <= UInt<3>("h00") + wire slvbuf_error_in : UInt<1> + slvbuf_error_in <= UInt<1>("h00") + wire slvbuf_wr_en : UInt<1> + slvbuf_wr_en <= UInt<1>("h00") + wire bypass_en : UInt<1> + bypass_en <= UInt<1>("h00") + wire rd_bypass_idle : UInt<1> + rd_bypass_idle <= UInt<1>("h00") + wire last_addr_en : UInt<1> + last_addr_en <= UInt<1>("h00") + wire last_bus_addr : UInt<32> + last_bus_addr <= UInt<32>("h00") + wire buf_clken : UInt<1> + buf_clken <= UInt<1>("h00") + wire slvbuf_clken : UInt<1> + slvbuf_clken <= UInt<1>("h00") + wire ahbm_addr_clken : UInt<1> + ahbm_addr_clken <= UInt<1>("h00") + wire ahbm_data_clken : UInt<1> + ahbm_data_clken <= UInt<1>("h00") + wire buf_clk : Clock @[axi4_to_ahb.scala 118:21] + node _T_7 = and(wrbuf_vld, wrbuf_data_vld) @[axi4_to_ahb.scala 139:27] + wr_cmd_vld <= _T_7 @[axi4_to_ahb.scala 139:14] + node _T_8 = or(wr_cmd_vld, io.axi.ar.valid) @[axi4_to_ahb.scala 140:30] + master_valid <= _T_8 @[axi4_to_ahb.scala 140:16] + node _T_9 = bits(wr_cmd_vld, 0, 0) @[axi4_to_ahb.scala 141:38] + node _T_10 = bits(wrbuf_tag, 2, 0) @[axi4_to_ahb.scala 141:51] + node _T_11 = bits(io.axi.ar.bits.id, 2, 0) @[axi4_to_ahb.scala 141:82] + node _T_12 = mux(_T_9, _T_10, _T_11) @[axi4_to_ahb.scala 141:20] + master_tag <= _T_12 @[axi4_to_ahb.scala 141:14] + node _T_13 = bits(wr_cmd_vld, 0, 0) @[axi4_to_ahb.scala 142:38] + node _T_14 = mux(_T_13, UInt<2>("h03"), UInt<1>("h00")) @[axi4_to_ahb.scala 142:20] + master_opc <= _T_14 @[axi4_to_ahb.scala 142:14] + node _T_15 = bits(wr_cmd_vld, 0, 0) @[axi4_to_ahb.scala 143:39] + node _T_16 = bits(wrbuf_addr, 31, 0) @[axi4_to_ahb.scala 143:53] + node _T_17 = bits(io.axi.ar.bits.addr, 31, 0) @[axi4_to_ahb.scala 143:81] + node _T_18 = mux(_T_15, _T_16, _T_17) @[axi4_to_ahb.scala 143:21] + master_addr <= _T_18 @[axi4_to_ahb.scala 143:15] + node _T_19 = bits(wr_cmd_vld, 0, 0) @[axi4_to_ahb.scala 144:39] + node _T_20 = bits(wrbuf_size, 2, 0) @[axi4_to_ahb.scala 144:53] + node _T_21 = bits(io.axi.ar.bits.size, 2, 0) @[axi4_to_ahb.scala 144:80] + node _T_22 = mux(_T_19, _T_20, _T_21) @[axi4_to_ahb.scala 144:21] + master_size <= _T_22 @[axi4_to_ahb.scala 144:15] + node _T_23 = bits(wrbuf_byteen, 7, 0) @[axi4_to_ahb.scala 145:32] + master_byteen <= _T_23 @[axi4_to_ahb.scala 145:17] + node _T_24 = bits(wrbuf_data, 63, 0) @[axi4_to_ahb.scala 146:29] + master_wdata <= _T_24 @[axi4_to_ahb.scala 146:16] + node _T_25 = and(slave_valid, slave_ready) @[axi4_to_ahb.scala 149:33] + node _T_26 = bits(slave_opc, 3, 3) @[axi4_to_ahb.scala 149:58] + node _T_27 = and(_T_25, _T_26) @[axi4_to_ahb.scala 149:47] + io.axi.b.valid <= _T_27 @[axi4_to_ahb.scala 149:18] + node _T_28 = bits(slave_opc, 0, 0) @[axi4_to_ahb.scala 150:38] + node _T_29 = bits(slave_opc, 1, 1) @[axi4_to_ahb.scala 150:65] + node _T_30 = mux(_T_29, UInt<2>("h03"), UInt<1>("h00")) @[axi4_to_ahb.scala 150:55] + node _T_31 = mux(_T_28, UInt<2>("h02"), _T_30) @[axi4_to_ahb.scala 150:28] + io.axi.b.bits.resp <= _T_31 @[axi4_to_ahb.scala 150:22] + node _T_32 = bits(slave_tag, 2, 0) @[axi4_to_ahb.scala 151:32] + io.axi.b.bits.id <= _T_32 @[axi4_to_ahb.scala 151:20] + node _T_33 = and(slave_valid, slave_ready) @[axi4_to_ahb.scala 153:33] + node _T_34 = bits(slave_opc, 3, 2) @[axi4_to_ahb.scala 153:59] + node _T_35 = eq(_T_34, UInt<1>("h00")) @[axi4_to_ahb.scala 153:66] + node _T_36 = and(_T_33, _T_35) @[axi4_to_ahb.scala 153:47] + io.axi.r.valid <= _T_36 @[axi4_to_ahb.scala 153:18] + node _T_37 = bits(slave_opc, 0, 0) @[axi4_to_ahb.scala 154:38] + node _T_38 = bits(slave_opc, 1, 1) @[axi4_to_ahb.scala 154:65] + node _T_39 = mux(_T_38, UInt<2>("h03"), UInt<1>("h00")) @[axi4_to_ahb.scala 154:55] + node _T_40 = mux(_T_37, UInt<2>("h02"), _T_39) @[axi4_to_ahb.scala 154:28] + io.axi.r.bits.resp <= _T_40 @[axi4_to_ahb.scala 154:22] + node _T_41 = bits(slave_tag, 2, 0) @[axi4_to_ahb.scala 155:32] + io.axi.r.bits.id <= _T_41 @[axi4_to_ahb.scala 155:20] + node _T_42 = bits(slave_rdata, 63, 0) @[axi4_to_ahb.scala 156:36] + io.axi.r.bits.data <= _T_42 @[axi4_to_ahb.scala 156:22] + node _T_43 = and(io.axi.b.ready, io.axi.r.ready) @[axi4_to_ahb.scala 157:33] + slave_ready <= _T_43 @[axi4_to_ahb.scala 157:15] + node _T_44 = and(io.axi.aw.valid, io.axi.aw.ready) @[axi4_to_ahb.scala 160:57] + node _T_45 = and(io.axi.w.valid, io.axi.w.ready) @[axi4_to_ahb.scala 160:94] + node _T_46 = or(_T_44, _T_45) @[axi4_to_ahb.scala 160:76] + node _T_47 = and(io.bus_clk_en, _T_46) @[axi4_to_ahb.scala 160:37] + bus_write_clk_en <= _T_47 @[axi4_to_ahb.scala 160:20] + inst rvclkhdr of rvclkhdr_859 @[lib.scala 343:22] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 344:17] + rvclkhdr.io.en <= io.bus_clk_en @[lib.scala 345:16] + rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + bus_clk <= rvclkhdr.io.l1clk @[axi4_to_ahb.scala 162:11] + node _T_48 = bits(bus_write_clk_en, 0, 0) @[axi4_to_ahb.scala 163:59] + inst rvclkhdr_1 of rvclkhdr_860 @[lib.scala 343:22] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_1.io.en <= _T_48 @[lib.scala 345:16] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + bus_write_clk <= rvclkhdr_1.io.l1clk @[axi4_to_ahb.scala 163:17] + node _T_49 = eq(UInt<3>("h00"), buf_state) @[Conditional.scala 37:30] + when _T_49 : @[Conditional.scala 40:58] + master_ready <= UInt<1>("h01") @[axi4_to_ahb.scala 167:20] + node _T_50 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 168:34] + node _T_51 = eq(_T_50, UInt<1>("h01")) @[axi4_to_ahb.scala 168:41] + buf_write_in <= _T_51 @[axi4_to_ahb.scala 168:20] + node _T_52 = bits(buf_write_in, 0, 0) @[axi4_to_ahb.scala 169:46] + node _T_53 = mux(_T_52, UInt<3>("h02"), UInt<3>("h01")) @[axi4_to_ahb.scala 169:26] + buf_nxtstate <= _T_53 @[axi4_to_ahb.scala 169:20] + node _T_54 = and(master_valid, UInt<1>("h01")) @[axi4_to_ahb.scala 170:36] + buf_state_en <= _T_54 @[axi4_to_ahb.scala 170:20] + buf_wr_en <= buf_state_en @[axi4_to_ahb.scala 171:17] + node _T_55 = eq(buf_nxtstate, UInt<3>("h02")) @[axi4_to_ahb.scala 172:54] + node _T_56 = and(buf_state_en, _T_55) @[axi4_to_ahb.scala 172:38] + buf_data_wr_en <= _T_56 @[axi4_to_ahb.scala 172:22] + buf_cmd_byte_ptr_en <= buf_state_en @[axi4_to_ahb.scala 173:27] + node _T_57 = bits(buf_write_in, 0, 0) @[axi4_to_ahb.scala 175:50] + node _T_58 = bits(buf_byteen_in, 7, 0) @[axi4_to_ahb.scala 175:94] + node _T_59 = add(UInt<3>("h00"), UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] + node _T_60 = tail(_T_59, 1) @[axi4_to_ahb.scala 135:52] + node _T_61 = mux(UInt<1>("h00"), _T_60, UInt<3>("h00")) @[axi4_to_ahb.scala 135:24] + node _T_62 = bits(_T_58, 0, 0) @[axi4_to_ahb.scala 136:44] + node _T_63 = geq(UInt<1>("h00"), _T_61) @[axi4_to_ahb.scala 136:62] + node _T_64 = and(_T_62, _T_63) @[axi4_to_ahb.scala 136:48] + node _T_65 = bits(_T_58, 1, 1) @[axi4_to_ahb.scala 136:44] + node _T_66 = geq(UInt<1>("h01"), _T_61) @[axi4_to_ahb.scala 136:62] + node _T_67 = and(_T_65, _T_66) @[axi4_to_ahb.scala 136:48] + node _T_68 = bits(_T_58, 2, 2) @[axi4_to_ahb.scala 136:44] + node _T_69 = geq(UInt<2>("h02"), _T_61) @[axi4_to_ahb.scala 136:62] + node _T_70 = and(_T_68, _T_69) @[axi4_to_ahb.scala 136:48] + node _T_71 = bits(_T_58, 3, 3) @[axi4_to_ahb.scala 136:44] + node _T_72 = geq(UInt<2>("h03"), _T_61) @[axi4_to_ahb.scala 136:62] + node _T_73 = and(_T_71, _T_72) @[axi4_to_ahb.scala 136:48] + node _T_74 = bits(_T_58, 4, 4) @[axi4_to_ahb.scala 136:44] + node _T_75 = geq(UInt<3>("h04"), _T_61) @[axi4_to_ahb.scala 136:62] + node _T_76 = and(_T_74, _T_75) @[axi4_to_ahb.scala 136:48] + node _T_77 = bits(_T_58, 5, 5) @[axi4_to_ahb.scala 136:44] + node _T_78 = geq(UInt<3>("h05"), _T_61) @[axi4_to_ahb.scala 136:62] + node _T_79 = and(_T_77, _T_78) @[axi4_to_ahb.scala 136:48] + node _T_80 = bits(_T_58, 6, 6) @[axi4_to_ahb.scala 136:44] + node _T_81 = geq(UInt<3>("h06"), _T_61) @[axi4_to_ahb.scala 136:62] + node _T_82 = and(_T_80, _T_81) @[axi4_to_ahb.scala 136:48] + node _T_83 = bits(_T_58, 7, 7) @[axi4_to_ahb.scala 136:44] + node _T_84 = geq(UInt<3>("h07"), _T_61) @[axi4_to_ahb.scala 136:62] + node _T_85 = and(_T_83, _T_84) @[axi4_to_ahb.scala 136:48] + node _T_86 = mux(_T_85, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] + node _T_87 = mux(_T_82, UInt<3>("h06"), _T_86) @[Mux.scala 98:16] + node _T_88 = mux(_T_79, UInt<3>("h05"), _T_87) @[Mux.scala 98:16] + node _T_89 = mux(_T_76, UInt<3>("h04"), _T_88) @[Mux.scala 98:16] + node _T_90 = mux(_T_73, UInt<2>("h03"), _T_89) @[Mux.scala 98:16] + node _T_91 = mux(_T_70, UInt<2>("h02"), _T_90) @[Mux.scala 98:16] + node _T_92 = mux(_T_67, UInt<1>("h01"), _T_91) @[Mux.scala 98:16] + node _T_93 = mux(_T_64, UInt<1>("h00"), _T_92) @[Mux.scala 98:16] + node _T_94 = bits(master_addr, 2, 0) @[axi4_to_ahb.scala 175:124] + node _T_95 = mux(_T_57, _T_93, _T_94) @[axi4_to_ahb.scala 175:30] + buf_cmd_byte_ptr <= _T_95 @[axi4_to_ahb.scala 175:24] + bypass_en <= buf_state_en @[axi4_to_ahb.scala 176:17] + node _T_96 = eq(buf_nxtstate, UInt<3>("h01")) @[axi4_to_ahb.scala 177:51] + node _T_97 = and(bypass_en, _T_96) @[axi4_to_ahb.scala 177:35] + rd_bypass_idle <= _T_97 @[axi4_to_ahb.scala 177:22] + node _T_98 = bits(bypass_en, 0, 0) @[Bitwise.scala 72:15] + node _T_99 = mux(_T_98, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_100 = and(_T_99, UInt<2>("h02")) @[axi4_to_ahb.scala 178:49] + io.ahb.out.htrans <= _T_100 @[axi4_to_ahb.scala 178:25] + skip @[Conditional.scala 40:58] + else : @[Conditional.scala 39:67] + node _T_101 = eq(UInt<3>("h01"), buf_state) @[Conditional.scala 37:30] + when _T_101 : @[Conditional.scala 39:67] + node _T_102 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 182:54] + node _T_103 = eq(_T_102, UInt<1>("h00")) @[axi4_to_ahb.scala 182:61] + node _T_104 = and(master_valid, _T_103) @[axi4_to_ahb.scala 182:41] + node _T_105 = bits(_T_104, 0, 0) @[axi4_to_ahb.scala 182:82] + node _T_106 = mux(_T_105, UInt<3>("h06"), UInt<3>("h03")) @[axi4_to_ahb.scala 182:26] + buf_nxtstate <= _T_106 @[axi4_to_ahb.scala 182:20] + node _T_107 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 183:51] + node _T_108 = neq(_T_107, UInt<1>("h00")) @[axi4_to_ahb.scala 183:58] + node _T_109 = and(ahb_hready_q, _T_108) @[axi4_to_ahb.scala 183:36] + node _T_110 = eq(ahb_hwrite_q, UInt<1>("h00")) @[axi4_to_ahb.scala 183:72] + node _T_111 = and(_T_109, _T_110) @[axi4_to_ahb.scala 183:70] + buf_state_en <= _T_111 @[axi4_to_ahb.scala 183:20] + node _T_112 = eq(master_valid, UInt<1>("h00")) @[axi4_to_ahb.scala 184:34] + node _T_113 = and(buf_state_en, _T_112) @[axi4_to_ahb.scala 184:32] + cmd_done <= _T_113 @[axi4_to_ahb.scala 184:16] + slvbuf_wr_en <= buf_state_en @[axi4_to_ahb.scala 185:20] + node _T_114 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 186:52] + node _T_115 = neq(_T_114, UInt<1>("h00")) @[axi4_to_ahb.scala 186:59] + node _T_116 = and(ahb_hready_q, _T_115) @[axi4_to_ahb.scala 186:37] + node _T_117 = eq(ahb_hwrite_q, UInt<1>("h00")) @[axi4_to_ahb.scala 186:73] + node _T_118 = and(_T_116, _T_117) @[axi4_to_ahb.scala 186:71] + node _T_119 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 186:122] + node _T_120 = eq(_T_119, UInt<1>("h00")) @[axi4_to_ahb.scala 186:129] + node _T_121 = and(master_valid, _T_120) @[axi4_to_ahb.scala 186:109] + node _T_122 = bits(_T_121, 0, 0) @[axi4_to_ahb.scala 186:150] + node _T_123 = mux(_T_122, UInt<3>("h06"), UInt<3>("h03")) @[axi4_to_ahb.scala 186:94] + node _T_124 = eq(_T_123, UInt<3>("h06")) @[axi4_to_ahb.scala 186:174] + node _T_125 = and(_T_118, _T_124) @[axi4_to_ahb.scala 186:88] + master_ready <= _T_125 @[axi4_to_ahb.scala 186:20] + buf_wr_en <= master_ready @[axi4_to_ahb.scala 187:17] + node _T_126 = and(master_ready, master_valid) @[axi4_to_ahb.scala 188:33] + bypass_en <= _T_126 @[axi4_to_ahb.scala 188:17] + node _T_127 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 189:47] + node _T_128 = bits(master_addr, 2, 0) @[axi4_to_ahb.scala 189:62] + node _T_129 = bits(buf_addr, 2, 0) @[axi4_to_ahb.scala 189:78] + node _T_130 = mux(_T_127, _T_128, _T_129) @[axi4_to_ahb.scala 189:30] + buf_cmd_byte_ptr <= _T_130 @[axi4_to_ahb.scala 189:24] + node _T_131 = eq(buf_state_en, UInt<1>("h00")) @[axi4_to_ahb.scala 190:48] + node _T_132 = or(_T_131, bypass_en) @[axi4_to_ahb.scala 190:62] + node _T_133 = bits(_T_132, 0, 0) @[Bitwise.scala 72:15] + node _T_134 = mux(_T_133, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_135 = and(UInt<2>("h02"), _T_134) @[axi4_to_ahb.scala 190:36] + io.ahb.out.htrans <= _T_135 @[axi4_to_ahb.scala 190:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_136 = eq(UInt<3>("h06"), buf_state) @[Conditional.scala 37:30] + when _T_136 : @[Conditional.scala 39:67] + node _T_137 = eq(ahb_hresp_q, UInt<1>("h00")) @[axi4_to_ahb.scala 194:39] + node _T_138 = and(ahb_hready_q, _T_137) @[axi4_to_ahb.scala 194:37] + node _T_139 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 194:82] + node _T_140 = eq(_T_139, UInt<1>("h01")) @[axi4_to_ahb.scala 194:89] + node _T_141 = and(master_valid, _T_140) @[axi4_to_ahb.scala 194:70] + node _T_142 = not(_T_141) @[axi4_to_ahb.scala 194:55] + node _T_143 = and(_T_138, _T_142) @[axi4_to_ahb.scala 194:53] + master_ready <= _T_143 @[axi4_to_ahb.scala 194:20] + node _T_144 = and(master_valid, master_ready) @[axi4_to_ahb.scala 195:34] + node _T_145 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 195:62] + node _T_146 = eq(_T_145, UInt<1>("h00")) @[axi4_to_ahb.scala 195:69] + node _T_147 = and(_T_144, _T_146) @[axi4_to_ahb.scala 195:49] + buf_wr_en <= _T_147 @[axi4_to_ahb.scala 195:17] + node _T_148 = bits(ahb_hresp_q, 0, 0) @[axi4_to_ahb.scala 196:45] + node _T_149 = and(master_valid, master_ready) @[axi4_to_ahb.scala 196:82] + node _T_150 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 196:110] + node _T_151 = eq(_T_150, UInt<1>("h00")) @[axi4_to_ahb.scala 196:117] + node _T_152 = and(_T_149, _T_151) @[axi4_to_ahb.scala 196:97] + node _T_153 = bits(_T_152, 0, 0) @[axi4_to_ahb.scala 196:138] + node _T_154 = mux(_T_153, UInt<3>("h06"), UInt<3>("h03")) @[axi4_to_ahb.scala 196:67] + node _T_155 = mux(_T_148, UInt<3>("h07"), _T_154) @[axi4_to_ahb.scala 196:26] + buf_nxtstate <= _T_155 @[axi4_to_ahb.scala 196:20] + node _T_156 = or(ahb_hready_q, ahb_hresp_q) @[axi4_to_ahb.scala 197:37] + buf_state_en <= _T_156 @[axi4_to_ahb.scala 197:20] + buf_data_wr_en <= buf_state_en @[axi4_to_ahb.scala 198:22] + slvbuf_error_in <= ahb_hresp_q @[axi4_to_ahb.scala 199:23] + slvbuf_error_en <= buf_state_en @[axi4_to_ahb.scala 200:23] + node _T_157 = eq(ahb_hresp_q, UInt<1>("h00")) @[axi4_to_ahb.scala 201:41] + node _T_158 = and(buf_state_en, _T_157) @[axi4_to_ahb.scala 201:39] + slave_valid_pre <= _T_158 @[axi4_to_ahb.scala 201:23] + node _T_159 = eq(master_valid, UInt<1>("h00")) @[axi4_to_ahb.scala 202:34] + node _T_160 = and(buf_state_en, _T_159) @[axi4_to_ahb.scala 202:32] + cmd_done <= _T_160 @[axi4_to_ahb.scala 202:16] + node _T_161 = and(master_ready, master_valid) @[axi4_to_ahb.scala 203:33] + node _T_162 = eq(buf_nxtstate, UInt<3>("h06")) @[axi4_to_ahb.scala 203:64] + node _T_163 = and(_T_161, _T_162) @[axi4_to_ahb.scala 203:48] + node _T_164 = and(_T_163, buf_state_en) @[axi4_to_ahb.scala 203:79] + bypass_en <= _T_164 @[axi4_to_ahb.scala 203:17] + node _T_165 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 204:47] + node _T_166 = bits(master_addr, 2, 0) @[axi4_to_ahb.scala 204:62] + node _T_167 = bits(buf_addr, 2, 0) @[axi4_to_ahb.scala 204:78] + node _T_168 = mux(_T_165, _T_166, _T_167) @[axi4_to_ahb.scala 204:30] + buf_cmd_byte_ptr <= _T_168 @[axi4_to_ahb.scala 204:24] + node _T_169 = neq(buf_nxtstate, UInt<3>("h06")) @[axi4_to_ahb.scala 205:63] + node _T_170 = and(_T_169, buf_state_en) @[axi4_to_ahb.scala 205:78] + node _T_171 = eq(_T_170, UInt<1>("h00")) @[axi4_to_ahb.scala 205:47] + node _T_172 = bits(_T_171, 0, 0) @[Bitwise.scala 72:15] + node _T_173 = mux(_T_172, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_174 = and(UInt<2>("h02"), _T_173) @[axi4_to_ahb.scala 205:36] + io.ahb.out.htrans <= _T_174 @[axi4_to_ahb.scala 205:25] + slvbuf_wr_en <= buf_wr_en @[axi4_to_ahb.scala 206:20] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_175 = eq(UInt<3>("h07"), buf_state) @[Conditional.scala 37:30] + when _T_175 : @[Conditional.scala 39:67] + buf_nxtstate <= UInt<3>("h03") @[axi4_to_ahb.scala 210:20] + node _T_176 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 211:51] + node _T_177 = neq(_T_176, UInt<1>("h00")) @[axi4_to_ahb.scala 211:58] + node _T_178 = and(ahb_hready_q, _T_177) @[axi4_to_ahb.scala 211:36] + node _T_179 = eq(ahb_hwrite_q, UInt<1>("h00")) @[axi4_to_ahb.scala 211:72] + node _T_180 = and(_T_178, _T_179) @[axi4_to_ahb.scala 211:70] + buf_state_en <= _T_180 @[axi4_to_ahb.scala 211:20] + slave_valid_pre <= buf_state_en @[axi4_to_ahb.scala 212:23] + slvbuf_wr_en <= buf_state_en @[axi4_to_ahb.scala 213:20] + node _T_181 = bits(buf_addr, 2, 0) @[axi4_to_ahb.scala 214:35] + buf_cmd_byte_ptr <= _T_181 @[axi4_to_ahb.scala 214:24] + node _T_182 = eq(buf_state_en, UInt<1>("h00")) @[axi4_to_ahb.scala 215:51] + node _T_183 = bits(_T_182, 0, 0) @[Bitwise.scala 72:15] + node _T_184 = mux(_T_183, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_185 = and(UInt<2>("h02"), _T_184) @[axi4_to_ahb.scala 215:41] + io.ahb.out.htrans <= _T_185 @[axi4_to_ahb.scala 215:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_186 = eq(UInt<3>("h03"), buf_state) @[Conditional.scala 37:30] + when _T_186 : @[Conditional.scala 39:67] + buf_nxtstate <= UInt<3>("h05") @[axi4_to_ahb.scala 219:20] + node _T_187 = or(ahb_hready_q, ahb_hresp_q) @[axi4_to_ahb.scala 220:37] + buf_state_en <= _T_187 @[axi4_to_ahb.scala 220:20] + buf_data_wr_en <= buf_state_en @[axi4_to_ahb.scala 221:22] + slvbuf_error_in <= ahb_hresp_q @[axi4_to_ahb.scala 222:23] + slvbuf_error_en <= buf_state_en @[axi4_to_ahb.scala 223:23] + slvbuf_wr_en <= buf_state_en @[axi4_to_ahb.scala 224:20] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_188 = eq(UInt<3>("h02"), buf_state) @[Conditional.scala 37:30] + when _T_188 : @[Conditional.scala 39:67] + buf_nxtstate <= UInt<3>("h04") @[axi4_to_ahb.scala 228:20] + node _T_189 = and(ahb_hready_q, ahb_hwrite_q) @[axi4_to_ahb.scala 229:33] + node _T_190 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 229:63] + node _T_191 = neq(_T_190, UInt<1>("h00")) @[axi4_to_ahb.scala 229:70] + node _T_192 = and(_T_189, _T_191) @[axi4_to_ahb.scala 229:48] + trxn_done <= _T_192 @[axi4_to_ahb.scala 229:17] + buf_state_en <= trxn_done @[axi4_to_ahb.scala 230:20] + buf_cmd_byte_ptr_en <= buf_state_en @[axi4_to_ahb.scala 231:27] + slvbuf_wr_en <= buf_state_en @[axi4_to_ahb.scala 232:20] + node _T_193 = bits(trxn_done, 0, 0) @[axi4_to_ahb.scala 233:47] + node _T_194 = bits(buf_cmd_byte_ptrQ, 2, 0) @[axi4_to_ahb.scala 233:85] + node _T_195 = bits(buf_byteen, 7, 0) @[axi4_to_ahb.scala 233:103] + node _T_196 = add(_T_194, UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] + node _T_197 = tail(_T_196, 1) @[axi4_to_ahb.scala 135:52] + node _T_198 = mux(UInt<1>("h01"), _T_197, _T_194) @[axi4_to_ahb.scala 135:24] + node _T_199 = bits(_T_195, 0, 0) @[axi4_to_ahb.scala 136:44] + node _T_200 = geq(UInt<1>("h00"), _T_198) @[axi4_to_ahb.scala 136:62] + node _T_201 = and(_T_199, _T_200) @[axi4_to_ahb.scala 136:48] + node _T_202 = bits(_T_195, 1, 1) @[axi4_to_ahb.scala 136:44] + node _T_203 = geq(UInt<1>("h01"), _T_198) @[axi4_to_ahb.scala 136:62] + node _T_204 = and(_T_202, _T_203) @[axi4_to_ahb.scala 136:48] + node _T_205 = bits(_T_195, 2, 2) @[axi4_to_ahb.scala 136:44] + node _T_206 = geq(UInt<2>("h02"), _T_198) @[axi4_to_ahb.scala 136:62] + node _T_207 = and(_T_205, _T_206) @[axi4_to_ahb.scala 136:48] + node _T_208 = bits(_T_195, 3, 3) @[axi4_to_ahb.scala 136:44] + node _T_209 = geq(UInt<2>("h03"), _T_198) @[axi4_to_ahb.scala 136:62] + node _T_210 = and(_T_208, _T_209) @[axi4_to_ahb.scala 136:48] + node _T_211 = bits(_T_195, 4, 4) @[axi4_to_ahb.scala 136:44] + node _T_212 = geq(UInt<3>("h04"), _T_198) @[axi4_to_ahb.scala 136:62] + node _T_213 = and(_T_211, _T_212) @[axi4_to_ahb.scala 136:48] + node _T_214 = bits(_T_195, 5, 5) @[axi4_to_ahb.scala 136:44] + node _T_215 = geq(UInt<3>("h05"), _T_198) @[axi4_to_ahb.scala 136:62] + node _T_216 = and(_T_214, _T_215) @[axi4_to_ahb.scala 136:48] + node _T_217 = bits(_T_195, 6, 6) @[axi4_to_ahb.scala 136:44] + node _T_218 = geq(UInt<3>("h06"), _T_198) @[axi4_to_ahb.scala 136:62] + node _T_219 = and(_T_217, _T_218) @[axi4_to_ahb.scala 136:48] + node _T_220 = bits(_T_195, 7, 7) @[axi4_to_ahb.scala 136:44] + node _T_221 = geq(UInt<3>("h07"), _T_198) @[axi4_to_ahb.scala 136:62] + node _T_222 = and(_T_220, _T_221) @[axi4_to_ahb.scala 136:48] + node _T_223 = mux(_T_222, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] + node _T_224 = mux(_T_219, UInt<3>("h06"), _T_223) @[Mux.scala 98:16] + node _T_225 = mux(_T_216, UInt<3>("h05"), _T_224) @[Mux.scala 98:16] + node _T_226 = mux(_T_213, UInt<3>("h04"), _T_225) @[Mux.scala 98:16] + node _T_227 = mux(_T_210, UInt<2>("h03"), _T_226) @[Mux.scala 98:16] + node _T_228 = mux(_T_207, UInt<2>("h02"), _T_227) @[Mux.scala 98:16] + node _T_229 = mux(_T_204, UInt<1>("h01"), _T_228) @[Mux.scala 98:16] + node _T_230 = mux(_T_201, UInt<1>("h00"), _T_229) @[Mux.scala 98:16] + node _T_231 = mux(_T_193, _T_230, buf_cmd_byte_ptrQ) @[axi4_to_ahb.scala 233:30] + buf_cmd_byte_ptr <= _T_231 @[axi4_to_ahb.scala 233:24] + node _T_232 = eq(buf_cmd_byte_ptrQ, UInt<3>("h07")) @[axi4_to_ahb.scala 234:65] + node _T_233 = or(buf_aligned, _T_232) @[axi4_to_ahb.scala 234:44] + node _T_234 = bits(buf_cmd_byte_ptrQ, 2, 0) @[axi4_to_ahb.scala 234:127] + node _T_235 = bits(buf_byteen, 7, 0) @[axi4_to_ahb.scala 234:145] + node _T_236 = add(_T_234, UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] + node _T_237 = tail(_T_236, 1) @[axi4_to_ahb.scala 135:52] + node _T_238 = mux(UInt<1>("h01"), _T_237, _T_234) @[axi4_to_ahb.scala 135:24] + node _T_239 = bits(_T_235, 0, 0) @[axi4_to_ahb.scala 136:44] + node _T_240 = geq(UInt<1>("h00"), _T_238) @[axi4_to_ahb.scala 136:62] + node _T_241 = and(_T_239, _T_240) @[axi4_to_ahb.scala 136:48] + node _T_242 = bits(_T_235, 1, 1) @[axi4_to_ahb.scala 136:44] + node _T_243 = geq(UInt<1>("h01"), _T_238) @[axi4_to_ahb.scala 136:62] + node _T_244 = and(_T_242, _T_243) @[axi4_to_ahb.scala 136:48] + node _T_245 = bits(_T_235, 2, 2) @[axi4_to_ahb.scala 136:44] + node _T_246 = geq(UInt<2>("h02"), _T_238) @[axi4_to_ahb.scala 136:62] + node _T_247 = and(_T_245, _T_246) @[axi4_to_ahb.scala 136:48] + node _T_248 = bits(_T_235, 3, 3) @[axi4_to_ahb.scala 136:44] + node _T_249 = geq(UInt<2>("h03"), _T_238) @[axi4_to_ahb.scala 136:62] + node _T_250 = and(_T_248, _T_249) @[axi4_to_ahb.scala 136:48] + node _T_251 = bits(_T_235, 4, 4) @[axi4_to_ahb.scala 136:44] + node _T_252 = geq(UInt<3>("h04"), _T_238) @[axi4_to_ahb.scala 136:62] + node _T_253 = and(_T_251, _T_252) @[axi4_to_ahb.scala 136:48] + node _T_254 = bits(_T_235, 5, 5) @[axi4_to_ahb.scala 136:44] + node _T_255 = geq(UInt<3>("h05"), _T_238) @[axi4_to_ahb.scala 136:62] + node _T_256 = and(_T_254, _T_255) @[axi4_to_ahb.scala 136:48] + node _T_257 = bits(_T_235, 6, 6) @[axi4_to_ahb.scala 136:44] + node _T_258 = geq(UInt<3>("h06"), _T_238) @[axi4_to_ahb.scala 136:62] + node _T_259 = and(_T_257, _T_258) @[axi4_to_ahb.scala 136:48] + node _T_260 = bits(_T_235, 7, 7) @[axi4_to_ahb.scala 136:44] + node _T_261 = geq(UInt<3>("h07"), _T_238) @[axi4_to_ahb.scala 136:62] + node _T_262 = and(_T_260, _T_261) @[axi4_to_ahb.scala 136:48] + node _T_263 = mux(_T_262, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] + node _T_264 = mux(_T_259, UInt<3>("h06"), _T_263) @[Mux.scala 98:16] + node _T_265 = mux(_T_256, UInt<3>("h05"), _T_264) @[Mux.scala 98:16] + node _T_266 = mux(_T_253, UInt<3>("h04"), _T_265) @[Mux.scala 98:16] + node _T_267 = mux(_T_250, UInt<2>("h03"), _T_266) @[Mux.scala 98:16] + node _T_268 = mux(_T_247, UInt<2>("h02"), _T_267) @[Mux.scala 98:16] + node _T_269 = mux(_T_244, UInt<1>("h01"), _T_268) @[Mux.scala 98:16] + node _T_270 = mux(_T_241, UInt<1>("h00"), _T_269) @[Mux.scala 98:16] + node _T_271 = dshr(buf_byteen, _T_270) @[axi4_to_ahb.scala 234:92] + node _T_272 = bits(_T_271, 0, 0) @[axi4_to_ahb.scala 234:92] + node _T_273 = eq(_T_272, UInt<1>("h00")) @[axi4_to_ahb.scala 234:163] + node _T_274 = or(_T_233, _T_273) @[axi4_to_ahb.scala 234:79] + node _T_275 = and(trxn_done, _T_274) @[axi4_to_ahb.scala 234:29] + cmd_done <= _T_275 @[axi4_to_ahb.scala 234:16] + node _T_276 = or(cmd_done, cmd_doneQ) @[axi4_to_ahb.scala 235:47] + node _T_277 = eq(_T_276, UInt<1>("h00")) @[axi4_to_ahb.scala 235:36] + node _T_278 = bits(_T_277, 0, 0) @[Bitwise.scala 72:15] + node _T_279 = mux(_T_278, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_280 = and(_T_279, UInt<2>("h02")) @[axi4_to_ahb.scala 235:61] + io.ahb.out.htrans <= _T_280 @[axi4_to_ahb.scala 235:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_281 = eq(UInt<3>("h04"), buf_state) @[Conditional.scala 37:30] + when _T_281 : @[Conditional.scala 39:67] + node _T_282 = and(cmd_doneQ, ahb_hready_q) @[axi4_to_ahb.scala 239:34] + node _T_283 = or(_T_282, ahb_hresp_q) @[axi4_to_ahb.scala 239:50] + buf_state_en <= _T_283 @[axi4_to_ahb.scala 239:20] + node _T_284 = eq(ahb_hresp_q, UInt<1>("h00")) @[axi4_to_ahb.scala 240:38] + node _T_285 = and(buf_state_en, _T_284) @[axi4_to_ahb.scala 240:36] + node _T_286 = and(_T_285, slave_ready) @[axi4_to_ahb.scala 240:51] + master_ready <= _T_286 @[axi4_to_ahb.scala 240:20] + node _T_287 = eq(slave_ready, UInt<1>("h00")) @[axi4_to_ahb.scala 241:42] + node _T_288 = or(ahb_hresp_q, _T_287) @[axi4_to_ahb.scala 241:40] + node _T_289 = and(master_valid, master_valid) @[axi4_to_ahb.scala 241:80] + node _T_290 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 241:111] + node _T_291 = eq(_T_290, UInt<1>("h01")) @[axi4_to_ahb.scala 241:117] + node _T_292 = bits(_T_291, 0, 0) @[axi4_to_ahb.scala 241:132] + node _T_293 = mux(_T_292, UInt<3>("h02"), UInt<3>("h01")) @[axi4_to_ahb.scala 241:99] + node _T_294 = mux(_T_289, _T_293, UInt<3>("h00")) @[axi4_to_ahb.scala 241:65] + node _T_295 = mux(_T_288, UInt<3>("h05"), _T_294) @[axi4_to_ahb.scala 241:26] + buf_nxtstate <= _T_295 @[axi4_to_ahb.scala 241:20] + slvbuf_error_in <= ahb_hresp_q @[axi4_to_ahb.scala 242:23] + slvbuf_error_en <= buf_state_en @[axi4_to_ahb.scala 243:23] + node _T_296 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 244:33] + node _T_297 = eq(_T_296, UInt<1>("h01")) @[axi4_to_ahb.scala 244:39] + buf_write_in <= _T_297 @[axi4_to_ahb.scala 244:20] + node _T_298 = eq(buf_nxtstate, UInt<3>("h02")) @[axi4_to_ahb.scala 245:50] + node _T_299 = eq(buf_nxtstate, UInt<3>("h01")) @[axi4_to_ahb.scala 245:78] + node _T_300 = or(_T_298, _T_299) @[axi4_to_ahb.scala 245:62] + node _T_301 = and(buf_state_en, _T_300) @[axi4_to_ahb.scala 245:33] + buf_wr_en <= _T_301 @[axi4_to_ahb.scala 245:17] + buf_data_wr_en <= buf_wr_en @[axi4_to_ahb.scala 246:22] + node _T_302 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 247:65] + node _T_303 = neq(_T_302, UInt<1>("h00")) @[axi4_to_ahb.scala 247:71] + node _T_304 = and(ahb_hready_q, _T_303) @[axi4_to_ahb.scala 247:50] + node _T_305 = eq(buf_cmd_byte_ptrQ, UInt<3>("h07")) @[axi4_to_ahb.scala 248:29] + node _T_306 = bits(buf_cmd_byte_ptrQ, 2, 0) @[axi4_to_ahb.scala 248:85] + node _T_307 = bits(buf_byteen, 7, 0) @[axi4_to_ahb.scala 248:101] + node _T_308 = add(_T_306, UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] + node _T_309 = tail(_T_308, 1) @[axi4_to_ahb.scala 135:52] + node _T_310 = mux(UInt<1>("h01"), _T_309, _T_306) @[axi4_to_ahb.scala 135:24] + node _T_311 = bits(_T_307, 0, 0) @[axi4_to_ahb.scala 136:44] + node _T_312 = geq(UInt<1>("h00"), _T_310) @[axi4_to_ahb.scala 136:62] + node _T_313 = and(_T_311, _T_312) @[axi4_to_ahb.scala 136:48] + node _T_314 = bits(_T_307, 1, 1) @[axi4_to_ahb.scala 136:44] + node _T_315 = geq(UInt<1>("h01"), _T_310) @[axi4_to_ahb.scala 136:62] + node _T_316 = and(_T_314, _T_315) @[axi4_to_ahb.scala 136:48] + node _T_317 = bits(_T_307, 2, 2) @[axi4_to_ahb.scala 136:44] + node _T_318 = geq(UInt<2>("h02"), _T_310) @[axi4_to_ahb.scala 136:62] + node _T_319 = and(_T_317, _T_318) @[axi4_to_ahb.scala 136:48] + node _T_320 = bits(_T_307, 3, 3) @[axi4_to_ahb.scala 136:44] + node _T_321 = geq(UInt<2>("h03"), _T_310) @[axi4_to_ahb.scala 136:62] + node _T_322 = and(_T_320, _T_321) @[axi4_to_ahb.scala 136:48] + node _T_323 = bits(_T_307, 4, 4) @[axi4_to_ahb.scala 136:44] + node _T_324 = geq(UInt<3>("h04"), _T_310) @[axi4_to_ahb.scala 136:62] + node _T_325 = and(_T_323, _T_324) @[axi4_to_ahb.scala 136:48] + node _T_326 = bits(_T_307, 5, 5) @[axi4_to_ahb.scala 136:44] + node _T_327 = geq(UInt<3>("h05"), _T_310) @[axi4_to_ahb.scala 136:62] + node _T_328 = and(_T_326, _T_327) @[axi4_to_ahb.scala 136:48] + node _T_329 = bits(_T_307, 6, 6) @[axi4_to_ahb.scala 136:44] + node _T_330 = geq(UInt<3>("h06"), _T_310) @[axi4_to_ahb.scala 136:62] + node _T_331 = and(_T_329, _T_330) @[axi4_to_ahb.scala 136:48] + node _T_332 = bits(_T_307, 7, 7) @[axi4_to_ahb.scala 136:44] + node _T_333 = geq(UInt<3>("h07"), _T_310) @[axi4_to_ahb.scala 136:62] + node _T_334 = and(_T_332, _T_333) @[axi4_to_ahb.scala 136:48] + node _T_335 = mux(_T_334, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] + node _T_336 = mux(_T_331, UInt<3>("h06"), _T_335) @[Mux.scala 98:16] + node _T_337 = mux(_T_328, UInt<3>("h05"), _T_336) @[Mux.scala 98:16] + node _T_338 = mux(_T_325, UInt<3>("h04"), _T_337) @[Mux.scala 98:16] + node _T_339 = mux(_T_322, UInt<2>("h03"), _T_338) @[Mux.scala 98:16] + node _T_340 = mux(_T_319, UInt<2>("h02"), _T_339) @[Mux.scala 98:16] + node _T_341 = mux(_T_316, UInt<1>("h01"), _T_340) @[Mux.scala 98:16] + node _T_342 = mux(_T_313, UInt<1>("h00"), _T_341) @[Mux.scala 98:16] + node _T_343 = dshr(buf_byteen, _T_342) @[axi4_to_ahb.scala 248:51] + node _T_344 = bits(_T_343, 0, 0) @[axi4_to_ahb.scala 248:51] + node _T_345 = eq(_T_344, UInt<1>("h00")) @[axi4_to_ahb.scala 248:116] + node _T_346 = or(_T_305, _T_345) @[axi4_to_ahb.scala 248:38] + node _T_347 = and(_T_304, _T_346) @[axi4_to_ahb.scala 247:80] + node _T_348 = or(ahb_hresp_q, _T_347) @[axi4_to_ahb.scala 247:34] + cmd_done <= _T_348 @[axi4_to_ahb.scala 247:16] + node _T_349 = and(buf_state_en, buf_write_in) @[axi4_to_ahb.scala 249:33] + node _T_350 = eq(buf_nxtstate, UInt<3>("h02")) @[axi4_to_ahb.scala 249:64] + node _T_351 = and(_T_349, _T_350) @[axi4_to_ahb.scala 249:48] + bypass_en <= _T_351 @[axi4_to_ahb.scala 249:17] + node _T_352 = or(cmd_done, cmd_doneQ) @[axi4_to_ahb.scala 250:48] + node _T_353 = eq(_T_352, UInt<1>("h00")) @[axi4_to_ahb.scala 250:37] + node _T_354 = or(_T_353, bypass_en) @[axi4_to_ahb.scala 250:61] + node _T_355 = bits(_T_354, 0, 0) @[Bitwise.scala 72:15] + node _T_356 = mux(_T_355, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_357 = and(_T_356, UInt<2>("h02")) @[axi4_to_ahb.scala 250:75] + io.ahb.out.htrans <= _T_357 @[axi4_to_ahb.scala 250:25] + node _T_358 = neq(buf_nxtstate, UInt<3>("h05")) @[axi4_to_ahb.scala 251:55] + node _T_359 = and(buf_state_en, _T_358) @[axi4_to_ahb.scala 251:39] + slave_valid_pre <= _T_359 @[axi4_to_ahb.scala 251:23] + node _T_360 = and(ahb_hready_q, ahb_hwrite_q) @[axi4_to_ahb.scala 252:33] + node _T_361 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 252:63] + node _T_362 = neq(_T_361, UInt<1>("h00")) @[axi4_to_ahb.scala 252:69] + node _T_363 = and(_T_360, _T_362) @[axi4_to_ahb.scala 252:48] + trxn_done <= _T_363 @[axi4_to_ahb.scala 252:17] + node _T_364 = or(trxn_done, bypass_en) @[axi4_to_ahb.scala 253:40] + buf_cmd_byte_ptr_en <= _T_364 @[axi4_to_ahb.scala 253:27] + node _T_365 = bits(buf_byteen_in, 7, 0) @[axi4_to_ahb.scala 254:79] + node _T_366 = add(UInt<3>("h00"), UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] + node _T_367 = tail(_T_366, 1) @[axi4_to_ahb.scala 135:52] + node _T_368 = mux(UInt<1>("h00"), _T_367, UInt<3>("h00")) @[axi4_to_ahb.scala 135:24] + node _T_369 = bits(_T_365, 0, 0) @[axi4_to_ahb.scala 136:44] + node _T_370 = geq(UInt<1>("h00"), _T_368) @[axi4_to_ahb.scala 136:62] + node _T_371 = and(_T_369, _T_370) @[axi4_to_ahb.scala 136:48] + node _T_372 = bits(_T_365, 1, 1) @[axi4_to_ahb.scala 136:44] + node _T_373 = geq(UInt<1>("h01"), _T_368) @[axi4_to_ahb.scala 136:62] + node _T_374 = and(_T_372, _T_373) @[axi4_to_ahb.scala 136:48] + node _T_375 = bits(_T_365, 2, 2) @[axi4_to_ahb.scala 136:44] + node _T_376 = geq(UInt<2>("h02"), _T_368) @[axi4_to_ahb.scala 136:62] + node _T_377 = and(_T_375, _T_376) @[axi4_to_ahb.scala 136:48] + node _T_378 = bits(_T_365, 3, 3) @[axi4_to_ahb.scala 136:44] + node _T_379 = geq(UInt<2>("h03"), _T_368) @[axi4_to_ahb.scala 136:62] + node _T_380 = and(_T_378, _T_379) @[axi4_to_ahb.scala 136:48] + node _T_381 = bits(_T_365, 4, 4) @[axi4_to_ahb.scala 136:44] + node _T_382 = geq(UInt<3>("h04"), _T_368) @[axi4_to_ahb.scala 136:62] + node _T_383 = and(_T_381, _T_382) @[axi4_to_ahb.scala 136:48] + node _T_384 = bits(_T_365, 5, 5) @[axi4_to_ahb.scala 136:44] + node _T_385 = geq(UInt<3>("h05"), _T_368) @[axi4_to_ahb.scala 136:62] + node _T_386 = and(_T_384, _T_385) @[axi4_to_ahb.scala 136:48] + node _T_387 = bits(_T_365, 6, 6) @[axi4_to_ahb.scala 136:44] + node _T_388 = geq(UInt<3>("h06"), _T_368) @[axi4_to_ahb.scala 136:62] + node _T_389 = and(_T_387, _T_388) @[axi4_to_ahb.scala 136:48] + node _T_390 = bits(_T_365, 7, 7) @[axi4_to_ahb.scala 136:44] + node _T_391 = geq(UInt<3>("h07"), _T_368) @[axi4_to_ahb.scala 136:62] + node _T_392 = and(_T_390, _T_391) @[axi4_to_ahb.scala 136:48] + node _T_393 = mux(_T_392, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] + node _T_394 = mux(_T_389, UInt<3>("h06"), _T_393) @[Mux.scala 98:16] + node _T_395 = mux(_T_386, UInt<3>("h05"), _T_394) @[Mux.scala 98:16] + node _T_396 = mux(_T_383, UInt<3>("h04"), _T_395) @[Mux.scala 98:16] + node _T_397 = mux(_T_380, UInt<2>("h03"), _T_396) @[Mux.scala 98:16] + node _T_398 = mux(_T_377, UInt<2>("h02"), _T_397) @[Mux.scala 98:16] + node _T_399 = mux(_T_374, UInt<1>("h01"), _T_398) @[Mux.scala 98:16] + node _T_400 = mux(_T_371, UInt<1>("h00"), _T_399) @[Mux.scala 98:16] + node _T_401 = bits(buf_cmd_byte_ptrQ, 2, 0) @[axi4_to_ahb.scala 254:141] + node _T_402 = bits(buf_byteen, 7, 0) @[axi4_to_ahb.scala 254:157] + node _T_403 = add(_T_401, UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] + node _T_404 = tail(_T_403, 1) @[axi4_to_ahb.scala 135:52] + node _T_405 = mux(UInt<1>("h01"), _T_404, _T_401) @[axi4_to_ahb.scala 135:24] + node _T_406 = bits(_T_402, 0, 0) @[axi4_to_ahb.scala 136:44] + node _T_407 = geq(UInt<1>("h00"), _T_405) @[axi4_to_ahb.scala 136:62] + node _T_408 = and(_T_406, _T_407) @[axi4_to_ahb.scala 136:48] + node _T_409 = bits(_T_402, 1, 1) @[axi4_to_ahb.scala 136:44] + node _T_410 = geq(UInt<1>("h01"), _T_405) @[axi4_to_ahb.scala 136:62] + node _T_411 = and(_T_409, _T_410) @[axi4_to_ahb.scala 136:48] + node _T_412 = bits(_T_402, 2, 2) @[axi4_to_ahb.scala 136:44] + node _T_413 = geq(UInt<2>("h02"), _T_405) @[axi4_to_ahb.scala 136:62] + node _T_414 = and(_T_412, _T_413) @[axi4_to_ahb.scala 136:48] + node _T_415 = bits(_T_402, 3, 3) @[axi4_to_ahb.scala 136:44] + node _T_416 = geq(UInt<2>("h03"), _T_405) @[axi4_to_ahb.scala 136:62] + node _T_417 = and(_T_415, _T_416) @[axi4_to_ahb.scala 136:48] + node _T_418 = bits(_T_402, 4, 4) @[axi4_to_ahb.scala 136:44] + node _T_419 = geq(UInt<3>("h04"), _T_405) @[axi4_to_ahb.scala 136:62] + node _T_420 = and(_T_418, _T_419) @[axi4_to_ahb.scala 136:48] + node _T_421 = bits(_T_402, 5, 5) @[axi4_to_ahb.scala 136:44] + node _T_422 = geq(UInt<3>("h05"), _T_405) @[axi4_to_ahb.scala 136:62] + node _T_423 = and(_T_421, _T_422) @[axi4_to_ahb.scala 136:48] + node _T_424 = bits(_T_402, 6, 6) @[axi4_to_ahb.scala 136:44] + node _T_425 = geq(UInt<3>("h06"), _T_405) @[axi4_to_ahb.scala 136:62] + node _T_426 = and(_T_424, _T_425) @[axi4_to_ahb.scala 136:48] + node _T_427 = bits(_T_402, 7, 7) @[axi4_to_ahb.scala 136:44] + node _T_428 = geq(UInt<3>("h07"), _T_405) @[axi4_to_ahb.scala 136:62] + node _T_429 = and(_T_427, _T_428) @[axi4_to_ahb.scala 136:48] + node _T_430 = mux(_T_429, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] + node _T_431 = mux(_T_426, UInt<3>("h06"), _T_430) @[Mux.scala 98:16] + node _T_432 = mux(_T_423, UInt<3>("h05"), _T_431) @[Mux.scala 98:16] + node _T_433 = mux(_T_420, UInt<3>("h04"), _T_432) @[Mux.scala 98:16] + node _T_434 = mux(_T_417, UInt<2>("h03"), _T_433) @[Mux.scala 98:16] + node _T_435 = mux(_T_414, UInt<2>("h02"), _T_434) @[Mux.scala 98:16] + node _T_436 = mux(_T_411, UInt<1>("h01"), _T_435) @[Mux.scala 98:16] + node _T_437 = mux(_T_408, UInt<1>("h00"), _T_436) @[Mux.scala 98:16] + node _T_438 = mux(trxn_done, _T_437, buf_cmd_byte_ptrQ) @[axi4_to_ahb.scala 254:97] + node _T_439 = mux(bypass_en, _T_400, _T_438) @[axi4_to_ahb.scala 254:30] + buf_cmd_byte_ptr <= _T_439 @[axi4_to_ahb.scala 254:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_440 = eq(UInt<3>("h05"), buf_state) @[Conditional.scala 37:30] + when _T_440 : @[Conditional.scala 39:67] + buf_nxtstate <= UInt<3>("h00") @[axi4_to_ahb.scala 257:20] + buf_state_en <= slave_ready @[axi4_to_ahb.scala 258:20] + slvbuf_error_en <= UInt<1>("h01") @[axi4_to_ahb.scala 259:23] + slave_valid_pre <= UInt<1>("h01") @[axi4_to_ahb.scala 260:23] + skip @[Conditional.scala 39:67] + cmd_done_rst <= slave_valid_pre @[axi4_to_ahb.scala 264:16] + node _T_441 = bits(master_addr, 31, 3) @[axi4_to_ahb.scala 265:33] + node _T_442 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 265:73] + node _T_443 = eq(_T_442, UInt<1>("h01")) @[axi4_to_ahb.scala 265:80] + node _T_444 = and(buf_aligned_in, _T_443) @[axi4_to_ahb.scala 265:60] + node _T_445 = bits(_T_444, 0, 0) @[axi4_to_ahb.scala 265:100] + node _T_446 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 265:132] + node _T_447 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 127:50] + node _T_448 = eq(_T_447, UInt<8>("h0ff")) @[axi4_to_ahb.scala 127:57] + node _T_449 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 127:81] + node _T_450 = eq(_T_449, UInt<8>("h0f")) @[axi4_to_ahb.scala 127:88] + node _T_451 = or(_T_448, _T_450) @[axi4_to_ahb.scala 127:70] + node _T_452 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 127:117] + node _T_453 = eq(_T_452, UInt<8>("h03")) @[axi4_to_ahb.scala 127:124] + node _T_454 = or(_T_451, _T_453) @[axi4_to_ahb.scala 127:106] + node _T_455 = bits(_T_454, 0, 0) @[Bitwise.scala 72:15] + node _T_456 = mux(_T_455, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_457 = and(UInt<3>("h00"), _T_456) @[axi4_to_ahb.scala 127:29] + node _T_458 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 128:35] + node _T_459 = eq(_T_458, UInt<8>("h0c")) @[axi4_to_ahb.scala 128:42] + node _T_460 = bits(_T_459, 0, 0) @[Bitwise.scala 72:15] + node _T_461 = mux(_T_460, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_462 = and(UInt<2>("h02"), _T_461) @[axi4_to_ahb.scala 128:15] + node _T_463 = or(_T_457, _T_462) @[axi4_to_ahb.scala 127:146] + node _T_464 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 129:36] + node _T_465 = eq(_T_464, UInt<8>("h0f0")) @[axi4_to_ahb.scala 129:43] + node _T_466 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 129:67] + node _T_467 = eq(_T_466, UInt<8>("h03")) @[axi4_to_ahb.scala 129:74] + node _T_468 = or(_T_465, _T_467) @[axi4_to_ahb.scala 129:56] + node _T_469 = bits(_T_468, 0, 0) @[Bitwise.scala 72:15] + node _T_470 = mux(_T_469, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_471 = and(UInt<3>("h04"), _T_470) @[axi4_to_ahb.scala 129:15] + node _T_472 = or(_T_463, _T_471) @[axi4_to_ahb.scala 128:63] + node _T_473 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 130:35] + node _T_474 = eq(_T_473, UInt<8>("h0c0")) @[axi4_to_ahb.scala 130:42] + node _T_475 = bits(_T_474, 0, 0) @[Bitwise.scala 72:15] + node _T_476 = mux(_T_475, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_477 = and(UInt<3>("h06"), _T_476) @[axi4_to_ahb.scala 130:15] + node _T_478 = or(_T_472, _T_477) @[axi4_to_ahb.scala 129:96] + node _T_479 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 131:33] + node _T_480 = eq(_T_479, UInt<8>("h0c0")) @[axi4_to_ahb.scala 131:40] + node _T_481 = bits(_T_480, 0, 0) @[Bitwise.scala 72:15] + node _T_482 = mux(_T_481, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_483 = and(UInt<3>("h06"), _T_482) @[axi4_to_ahb.scala 131:13] + node _T_484 = bits(master_addr, 2, 0) @[axi4_to_ahb.scala 265:152] + node _T_485 = mux(_T_445, _T_478, _T_484) @[axi4_to_ahb.scala 265:43] + node _T_486 = cat(_T_441, _T_485) @[Cat.scala 29:58] + buf_addr_in <= _T_486 @[axi4_to_ahb.scala 265:15] + node _T_487 = bits(master_tag, 2, 0) @[axi4_to_ahb.scala 266:27] + buf_tag_in <= _T_487 @[axi4_to_ahb.scala 266:14] + node _T_488 = bits(wrbuf_byteen, 7, 0) @[axi4_to_ahb.scala 267:32] + buf_byteen_in <= _T_488 @[axi4_to_ahb.scala 267:17] + node _T_489 = eq(buf_state, UInt<3>("h03")) @[axi4_to_ahb.scala 268:33] + node _T_490 = bits(ahb_hrdata_q, 63, 0) @[axi4_to_ahb.scala 268:59] + node _T_491 = bits(master_wdata, 63, 0) @[axi4_to_ahb.scala 268:80] + node _T_492 = mux(_T_489, _T_490, _T_491) @[axi4_to_ahb.scala 268:21] + buf_data_in <= _T_492 @[axi4_to_ahb.scala 268:15] + node _T_493 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 269:52] + node _T_494 = eq(_T_493, UInt<2>("h03")) @[axi4_to_ahb.scala 269:58] + node _T_495 = and(buf_aligned_in, _T_494) @[axi4_to_ahb.scala 269:38] + node _T_496 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 269:84] + node _T_497 = eq(_T_496, UInt<1>("h01")) @[axi4_to_ahb.scala 269:91] + node _T_498 = and(_T_495, _T_497) @[axi4_to_ahb.scala 269:71] + node _T_499 = bits(_T_498, 0, 0) @[axi4_to_ahb.scala 269:111] + node _T_500 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 269:142] + node _T_501 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 121:42] + node _T_502 = eq(_T_501, UInt<8>("h0ff")) @[axi4_to_ahb.scala 121:49] + node _T_503 = bits(_T_502, 0, 0) @[Bitwise.scala 72:15] + node _T_504 = mux(_T_503, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_505 = and(UInt<2>("h03"), _T_504) @[axi4_to_ahb.scala 121:25] + node _T_506 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 122:35] + node _T_507 = eq(_T_506, UInt<8>("h0f0")) @[axi4_to_ahb.scala 122:42] + node _T_508 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 122:64] + node _T_509 = eq(_T_508, UInt<8>("h0f")) @[axi4_to_ahb.scala 122:71] + node _T_510 = or(_T_507, _T_509) @[axi4_to_ahb.scala 122:55] + node _T_511 = bits(_T_510, 0, 0) @[Bitwise.scala 72:15] + node _T_512 = mux(_T_511, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_513 = and(UInt<2>("h02"), _T_512) @[axi4_to_ahb.scala 122:16] + node _T_514 = or(_T_505, _T_513) @[axi4_to_ahb.scala 121:64] + node _T_515 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 123:40] + node _T_516 = eq(_T_515, UInt<8>("h0c0")) @[axi4_to_ahb.scala 123:47] + node _T_517 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 123:69] + node _T_518 = eq(_T_517, UInt<6>("h030")) @[axi4_to_ahb.scala 123:76] + node _T_519 = or(_T_516, _T_518) @[axi4_to_ahb.scala 123:60] + node _T_520 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 123:98] + node _T_521 = eq(_T_520, UInt<8>("h0c")) @[axi4_to_ahb.scala 123:105] + node _T_522 = or(_T_519, _T_521) @[axi4_to_ahb.scala 123:89] + node _T_523 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 123:132] + node _T_524 = eq(_T_523, UInt<8>("h03")) @[axi4_to_ahb.scala 123:139] + node _T_525 = or(_T_522, _T_524) @[axi4_to_ahb.scala 123:123] + node _T_526 = bits(_T_525, 0, 0) @[Bitwise.scala 72:15] + node _T_527 = mux(_T_526, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_528 = and(UInt<2>("h01"), _T_527) @[axi4_to_ahb.scala 123:21] + node _T_529 = or(_T_514, _T_528) @[axi4_to_ahb.scala 122:93] + node _T_530 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 269:161] + node _T_531 = mux(_T_499, _T_529, _T_530) @[axi4_to_ahb.scala 269:21] + buf_size_in <= _T_531 @[axi4_to_ahb.scala 269:15] + node _T_532 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 270:32] + node _T_533 = eq(_T_532, UInt<1>("h00")) @[axi4_to_ahb.scala 270:39] + node _T_534 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 271:17] + node _T_535 = eq(_T_534, UInt<1>("h00")) @[axi4_to_ahb.scala 271:24] + node _T_536 = or(_T_533, _T_535) @[axi4_to_ahb.scala 270:48] + node _T_537 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 271:47] + node _T_538 = eq(_T_537, UInt<2>("h01")) @[axi4_to_ahb.scala 271:54] + node _T_539 = or(_T_536, _T_538) @[axi4_to_ahb.scala 271:33] + node _T_540 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 271:86] + node _T_541 = eq(_T_540, UInt<2>("h02")) @[axi4_to_ahb.scala 271:93] + node _T_542 = or(_T_539, _T_541) @[axi4_to_ahb.scala 271:72] + node _T_543 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 272:18] + node _T_544 = eq(_T_543, UInt<2>("h03")) @[axi4_to_ahb.scala 272:25] + node _T_545 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 272:55] + node _T_546 = eq(_T_545, UInt<2>("h03")) @[axi4_to_ahb.scala 272:62] + node _T_547 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 272:90] + node _T_548 = eq(_T_547, UInt<4>("h0c")) @[axi4_to_ahb.scala 272:97] + node _T_549 = or(_T_546, _T_548) @[axi4_to_ahb.scala 272:74] + node _T_550 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 272:125] + node _T_551 = eq(_T_550, UInt<6>("h030")) @[axi4_to_ahb.scala 272:132] + node _T_552 = or(_T_549, _T_551) @[axi4_to_ahb.scala 272:109] + node _T_553 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 272:161] + node _T_554 = eq(_T_553, UInt<8>("h0c0")) @[axi4_to_ahb.scala 272:168] + node _T_555 = or(_T_552, _T_554) @[axi4_to_ahb.scala 272:145] + node _T_556 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 273:21] + node _T_557 = eq(_T_556, UInt<4>("h0f")) @[axi4_to_ahb.scala 273:28] + node _T_558 = or(_T_555, _T_557) @[axi4_to_ahb.scala 272:181] + node _T_559 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 273:56] + node _T_560 = eq(_T_559, UInt<8>("h0f0")) @[axi4_to_ahb.scala 273:63] + node _T_561 = or(_T_558, _T_560) @[axi4_to_ahb.scala 273:40] + node _T_562 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 273:92] + node _T_563 = eq(_T_562, UInt<8>("h0ff")) @[axi4_to_ahb.scala 273:99] + node _T_564 = or(_T_561, _T_563) @[axi4_to_ahb.scala 273:76] + node _T_565 = and(_T_544, _T_564) @[axi4_to_ahb.scala 272:38] + node _T_566 = or(_T_542, _T_565) @[axi4_to_ahb.scala 271:106] + buf_aligned_in <= _T_566 @[axi4_to_ahb.scala 270:18] + node _T_567 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 275:43] + node _T_568 = bits(master_addr, 31, 3) @[axi4_to_ahb.scala 275:62] + node _T_569 = bits(buf_cmd_byte_ptr, 2, 0) @[axi4_to_ahb.scala 275:87] + node _T_570 = cat(_T_568, _T_569) @[Cat.scala 29:58] + node _T_571 = bits(buf_addr, 31, 3) @[axi4_to_ahb.scala 275:108] + node _T_572 = bits(buf_cmd_byte_ptr, 2, 0) @[axi4_to_ahb.scala 275:133] + node _T_573 = cat(_T_571, _T_572) @[Cat.scala 29:58] + node _T_574 = mux(_T_567, _T_570, _T_573) @[axi4_to_ahb.scala 275:26] + io.ahb.out.haddr <= _T_574 @[axi4_to_ahb.scala 275:20] + node _T_575 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 276:43] + node _T_576 = bits(buf_aligned_in, 0, 0) @[Bitwise.scala 72:15] + node _T_577 = mux(_T_576, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_578 = bits(buf_size_in, 1, 0) @[axi4_to_ahb.scala 276:94] + node _T_579 = and(_T_577, _T_578) @[axi4_to_ahb.scala 276:81] + node _T_580 = cat(UInt<1>("h00"), _T_579) @[Cat.scala 29:58] + node _T_581 = bits(buf_aligned, 0, 0) @[Bitwise.scala 72:15] + node _T_582 = mux(_T_581, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_583 = bits(buf_size, 1, 0) @[axi4_to_ahb.scala 276:148] + node _T_584 = and(_T_582, _T_583) @[axi4_to_ahb.scala 276:138] + node _T_585 = cat(UInt<1>("h00"), _T_584) @[Cat.scala 29:58] + node _T_586 = mux(_T_575, _T_580, _T_585) @[axi4_to_ahb.scala 276:26] + io.ahb.out.hsize <= _T_586 @[axi4_to_ahb.scala 276:20] + io.ahb.out.hburst <= UInt<1>("h00") @[axi4_to_ahb.scala 278:21] + io.ahb.out.hmastlock <= UInt<1>("h00") @[axi4_to_ahb.scala 279:24] + node _T_587 = bits(io.axi.ar.bits.prot, 2, 2) @[axi4_to_ahb.scala 280:57] + node _T_588 = eq(_T_587, UInt<1>("h00")) @[axi4_to_ahb.scala 280:37] + node _T_589 = cat(UInt<1>("h01"), _T_588) @[Cat.scala 29:58] + io.ahb.out.hprot <= _T_589 @[axi4_to_ahb.scala 280:20] + node _T_590 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 281:44] + node _T_591 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 281:59] + node _T_592 = eq(_T_591, UInt<1>("h01")) @[axi4_to_ahb.scala 281:66] + node _T_593 = mux(_T_590, _T_592, buf_write) @[axi4_to_ahb.scala 281:27] + io.ahb.out.hwrite <= _T_593 @[axi4_to_ahb.scala 281:21] + node _T_594 = bits(buf_data, 63, 0) @[axi4_to_ahb.scala 282:32] + io.ahb.out.hwdata <= _T_594 @[axi4_to_ahb.scala 282:21] + slave_valid <= slave_valid_pre @[axi4_to_ahb.scala 284:15] + node _T_595 = bits(slvbuf_write, 0, 0) @[axi4_to_ahb.scala 285:43] + node _T_596 = mux(_T_595, UInt<2>("h03"), UInt<1>("h00")) @[axi4_to_ahb.scala 285:23] + node _T_597 = bits(slvbuf_error, 0, 0) @[Bitwise.scala 72:15] + node _T_598 = mux(_T_597, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_599 = and(_T_598, UInt<2>("h02")) @[axi4_to_ahb.scala 285:88] + node _T_600 = cat(_T_596, _T_599) @[Cat.scala 29:58] + slave_opc <= _T_600 @[axi4_to_ahb.scala 285:13] + node _T_601 = bits(slvbuf_error, 0, 0) @[axi4_to_ahb.scala 286:41] + node _T_602 = bits(last_bus_addr, 31, 0) @[axi4_to_ahb.scala 286:66] + node _T_603 = cat(_T_602, _T_602) @[Cat.scala 29:58] + node _T_604 = eq(buf_state, UInt<3>("h05")) @[axi4_to_ahb.scala 286:91] + node _T_605 = bits(buf_data, 63, 0) @[axi4_to_ahb.scala 286:110] + node _T_606 = bits(ahb_hrdata_q, 63, 0) @[axi4_to_ahb.scala 286:131] + node _T_607 = mux(_T_604, _T_605, _T_606) @[axi4_to_ahb.scala 286:79] + node _T_608 = mux(_T_601, _T_603, _T_607) @[axi4_to_ahb.scala 286:21] + slave_rdata <= _T_608 @[axi4_to_ahb.scala 286:15] + node _T_609 = bits(slvbuf_tag, 2, 0) @[axi4_to_ahb.scala 287:26] + slave_tag <= _T_609 @[axi4_to_ahb.scala 287:13] + node _T_610 = bits(io.ahb.out.htrans, 1, 0) @[axi4_to_ahb.scala 289:37] + node _T_611 = neq(_T_610, UInt<1>("h00")) @[axi4_to_ahb.scala 289:44] + node _T_612 = and(_T_611, io.ahb.in.hready) @[axi4_to_ahb.scala 289:56] + node _T_613 = and(_T_612, io.ahb.out.hwrite) @[axi4_to_ahb.scala 289:75] + last_addr_en <= _T_613 @[axi4_to_ahb.scala 289:16] + node _T_614 = and(io.axi.aw.valid, io.axi.aw.ready) @[axi4_to_ahb.scala 291:31] + node _T_615 = and(_T_614, master_ready) @[axi4_to_ahb.scala 291:49] + wrbuf_en <= _T_615 @[axi4_to_ahb.scala 291:12] + node _T_616 = and(io.axi.w.valid, io.axi.w.ready) @[axi4_to_ahb.scala 292:35] + node _T_617 = and(_T_616, master_ready) @[axi4_to_ahb.scala 292:52] + wrbuf_data_en <= _T_617 @[axi4_to_ahb.scala 292:17] + node _T_618 = and(master_valid, master_ready) @[axi4_to_ahb.scala 293:34] + node _T_619 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 293:62] + node _T_620 = eq(_T_619, UInt<1>("h01")) @[axi4_to_ahb.scala 293:69] + node _T_621 = and(_T_618, _T_620) @[axi4_to_ahb.scala 293:49] + wrbuf_cmd_sent <= _T_621 @[axi4_to_ahb.scala 293:18] + node _T_622 = eq(wrbuf_en, UInt<1>("h00")) @[axi4_to_ahb.scala 294:33] + node _T_623 = and(wrbuf_cmd_sent, _T_622) @[axi4_to_ahb.scala 294:31] + wrbuf_rst <= _T_623 @[axi4_to_ahb.scala 294:13] + node _T_624 = eq(wrbuf_cmd_sent, UInt<1>("h00")) @[axi4_to_ahb.scala 296:36] + node _T_625 = and(wrbuf_vld, _T_624) @[axi4_to_ahb.scala 296:34] + node _T_626 = eq(_T_625, UInt<1>("h00")) @[axi4_to_ahb.scala 296:22] + node _T_627 = and(_T_626, master_ready) @[axi4_to_ahb.scala 296:53] + io.axi.aw.ready <= _T_627 @[axi4_to_ahb.scala 296:19] + node _T_628 = eq(wrbuf_cmd_sent, UInt<1>("h00")) @[axi4_to_ahb.scala 297:40] + node _T_629 = and(wrbuf_data_vld, _T_628) @[axi4_to_ahb.scala 297:38] + node _T_630 = eq(_T_629, UInt<1>("h00")) @[axi4_to_ahb.scala 297:21] + node _T_631 = and(_T_630, master_ready) @[axi4_to_ahb.scala 297:57] + io.axi.w.ready <= _T_631 @[axi4_to_ahb.scala 297:18] + node _T_632 = and(wrbuf_vld, wrbuf_data_vld) @[axi4_to_ahb.scala 298:34] + node _T_633 = eq(_T_632, UInt<1>("h00")) @[axi4_to_ahb.scala 298:22] + node _T_634 = and(_T_633, master_ready) @[axi4_to_ahb.scala 298:52] + io.axi.ar.ready <= _T_634 @[axi4_to_ahb.scala 298:19] + io.axi.r.bits.last <= UInt<1>("h01") @[axi4_to_ahb.scala 299:22] + node _T_635 = bits(wrbuf_en, 0, 0) @[axi4_to_ahb.scala 301:71] + node _T_636 = mux(_T_635, UInt<1>("h01"), wrbuf_vld) @[axi4_to_ahb.scala 301:55] + node _T_637 = eq(wrbuf_rst, UInt<1>("h00")) @[axi4_to_ahb.scala 301:91] + node _T_638 = and(_T_636, _T_637) @[axi4_to_ahb.scala 301:89] + reg _T_639 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 301:51] + _T_639 <= _T_638 @[axi4_to_ahb.scala 301:51] + wrbuf_vld <= _T_639 @[axi4_to_ahb.scala 301:21] + node _T_640 = bits(wrbuf_data_en, 0, 0) @[axi4_to_ahb.scala 302:76] + node _T_641 = mux(_T_640, UInt<1>("h01"), wrbuf_data_vld) @[axi4_to_ahb.scala 302:55] + node _T_642 = eq(wrbuf_rst, UInt<1>("h00")) @[axi4_to_ahb.scala 302:102] + node _T_643 = and(_T_641, _T_642) @[axi4_to_ahb.scala 302:100] + reg _T_644 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 302:51] + _T_644 <= _T_643 @[axi4_to_ahb.scala 302:51] + wrbuf_data_vld <= _T_644 @[axi4_to_ahb.scala 302:21] + node _T_645 = bits(io.axi.aw.bits.id, 2, 0) @[axi4_to_ahb.scala 303:71] + node _T_646 = bits(wrbuf_en, 0, 0) @[axi4_to_ahb.scala 303:105] + reg _T_647 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_646 : @[Reg.scala 28:19] + _T_647 <= _T_645 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + wrbuf_tag <= _T_647 @[axi4_to_ahb.scala 303:21] + node _T_648 = bits(io.axi.aw.bits.size, 2, 0) @[axi4_to_ahb.scala 304:73] + node _T_649 = bits(wrbuf_en, 0, 0) @[axi4_to_ahb.scala 304:101] + reg _T_650 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_649 : @[Reg.scala 28:19] + _T_650 <= _T_648 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + wrbuf_size <= _T_650 @[axi4_to_ahb.scala 304:21] + node _T_651 = bits(wrbuf_en, 0, 0) @[axi4_to_ahb.scala 305:61] + inst rvclkhdr_2 of rvclkhdr_861 @[lib.scala 368:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= bus_clk @[lib.scala 370:18] + rvclkhdr_2.io.en <= _T_651 @[lib.scala 371:17] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_652 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_652 <= io.axi.aw.bits.addr @[lib.scala 374:16] + wrbuf_addr <= _T_652 @[axi4_to_ahb.scala 305:21] + node _T_653 = bits(wrbuf_data_en, 0, 0) @[axi4_to_ahb.scala 306:65] + inst rvclkhdr_3 of rvclkhdr_862 @[lib.scala 368:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= bus_clk @[lib.scala 370:18] + rvclkhdr_3.io.en <= _T_653 @[lib.scala 371:17] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_654 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_654 <= io.axi.w.bits.data @[lib.scala 374:16] + wrbuf_data <= _T_654 @[axi4_to_ahb.scala 306:21] + node _T_655 = bits(io.axi.w.bits.strb, 7, 0) @[axi4_to_ahb.scala 307:72] + node _T_656 = bits(wrbuf_data_en, 0, 0) @[axi4_to_ahb.scala 307:105] + reg _T_657 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_656 : @[Reg.scala 28:19] + _T_657 <= _T_655 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + wrbuf_byteen <= _T_657 @[axi4_to_ahb.scala 307:21] + node _T_658 = bits(io.ahb.out.haddr, 31, 0) @[axi4_to_ahb.scala 308:71] + node _T_659 = bits(last_addr_en, 0, 0) @[axi4_to_ahb.scala 308:104] + reg _T_660 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_659 : @[Reg.scala 28:19] + _T_660 <= _T_658 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + last_bus_addr <= _T_660 @[axi4_to_ahb.scala 308:21] + node _T_661 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 309:89] + reg _T_662 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_661 : @[Reg.scala 28:19] + _T_662 <= buf_write_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_write <= _T_662 @[axi4_to_ahb.scala 309:21] + node _T_663 = bits(buf_tag_in, 2, 0) @[axi4_to_ahb.scala 310:64] + node _T_664 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 310:99] + reg _T_665 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_664 : @[Reg.scala 28:19] + _T_665 <= _T_663 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_tag <= _T_665 @[axi4_to_ahb.scala 310:21] + node _T_666 = bits(buf_addr_in, 31, 0) @[axi4_to_ahb.scala 311:42] + node _T_667 = and(buf_wr_en, io.bus_clk_en) @[axi4_to_ahb.scala 311:61] + node _T_668 = bits(_T_667, 0, 0) @[axi4_to_ahb.scala 311:78] + inst rvclkhdr_4 of rvclkhdr_863 @[lib.scala 368:23] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_4.io.en <= _T_668 @[lib.scala 371:17] + rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_669 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_669 <= _T_666 @[lib.scala 374:16] + buf_addr <= _T_669 @[axi4_to_ahb.scala 311:21] + node _T_670 = bits(buf_size_in, 1, 0) @[axi4_to_ahb.scala 312:65] + node _T_671 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 312:94] + reg _T_672 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_671 : @[Reg.scala 28:19] + _T_672 <= _T_670 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_size <= _T_672 @[axi4_to_ahb.scala 312:21] + node _T_673 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 313:91] + reg _T_674 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_673 : @[Reg.scala 28:19] + _T_674 <= buf_aligned_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_aligned <= _T_674 @[axi4_to_ahb.scala 313:21] + node _T_675 = bits(buf_byteen_in, 7, 0) @[axi4_to_ahb.scala 314:67] + node _T_676 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 314:96] + reg _T_677 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_676 : @[Reg.scala 28:19] + _T_677 <= _T_675 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_byteen <= _T_677 @[axi4_to_ahb.scala 314:21] + node _T_678 = bits(buf_data_in, 63, 0) @[axi4_to_ahb.scala 315:42] + node _T_679 = and(buf_data_wr_en, io.bus_clk_en) @[axi4_to_ahb.scala 315:66] + node _T_680 = bits(_T_679, 0, 0) @[axi4_to_ahb.scala 315:89] + inst rvclkhdr_5 of rvclkhdr_864 @[lib.scala 368:23] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_5.io.en <= _T_680 @[lib.scala 371:17] + rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_681 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_681 <= _T_678 @[lib.scala 374:16] + buf_data <= _T_681 @[axi4_to_ahb.scala 315:21] + node _T_682 = bits(slvbuf_wr_en, 0, 0) @[axi4_to_ahb.scala 316:89] + reg _T_683 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_682 : @[Reg.scala 28:19] + _T_683 <= buf_write @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + slvbuf_write <= _T_683 @[axi4_to_ahb.scala 316:21] + node _T_684 = bits(buf_tag, 2, 0) @[axi4_to_ahb.scala 317:61] + node _T_685 = bits(slvbuf_wr_en, 0, 0) @[axi4_to_ahb.scala 317:99] + reg _T_686 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_685 : @[Reg.scala 28:19] + _T_686 <= _T_684 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + slvbuf_tag <= _T_686 @[axi4_to_ahb.scala 317:21] + node _T_687 = bits(slvbuf_error_en, 0, 0) @[axi4_to_ahb.scala 318:99] + reg _T_688 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_687 : @[Reg.scala 28:19] + _T_688 <= slvbuf_error_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + slvbuf_error <= _T_688 @[axi4_to_ahb.scala 318:21] + node _T_689 = bits(cmd_done, 0, 0) @[axi4_to_ahb.scala 319:72] + node _T_690 = mux(_T_689, UInt<1>("h01"), cmd_doneQ) @[axi4_to_ahb.scala 319:56] + node _T_691 = eq(cmd_done_rst, UInt<1>("h00")) @[axi4_to_ahb.scala 319:92] + node _T_692 = and(_T_690, _T_691) @[axi4_to_ahb.scala 319:90] + reg _T_693 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 319:52] + _T_693 <= _T_692 @[axi4_to_ahb.scala 319:52] + cmd_doneQ <= _T_693 @[axi4_to_ahb.scala 319:21] + node _T_694 = bits(buf_cmd_byte_ptr, 2, 0) @[axi4_to_ahb.scala 320:71] + node _T_695 = bits(buf_cmd_byte_ptr_en, 0, 0) @[axi4_to_ahb.scala 320:110] + reg _T_696 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_695 : @[Reg.scala 28:19] + _T_696 <= _T_694 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_cmd_byte_ptrQ <= _T_696 @[axi4_to_ahb.scala 320:21] + reg _T_697 : UInt<1>, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 321:52] + _T_697 <= io.ahb.in.hready @[axi4_to_ahb.scala 321:52] + ahb_hready_q <= _T_697 @[axi4_to_ahb.scala 321:21] + node _T_698 = bits(io.ahb.out.htrans, 1, 0) @[axi4_to_ahb.scala 322:70] + reg _T_699 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 322:52] + _T_699 <= _T_698 @[axi4_to_ahb.scala 322:52] + ahb_htrans_q <= _T_699 @[axi4_to_ahb.scala 322:21] + reg _T_700 : UInt<1>, ahbm_addr_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 323:57] + _T_700 <= io.ahb.out.hwrite @[axi4_to_ahb.scala 323:57] + ahb_hwrite_q <= _T_700 @[axi4_to_ahb.scala 323:21] + reg _T_701 : UInt<1>, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 324:52] + _T_701 <= io.ahb.in.hresp @[axi4_to_ahb.scala 324:52] + ahb_hresp_q <= _T_701 @[axi4_to_ahb.scala 324:21] + node _T_702 = bits(io.ahb.in.hrdata, 63, 0) @[axi4_to_ahb.scala 325:74] + reg _T_703 : UInt, ahbm_data_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 325:57] + _T_703 <= _T_702 @[axi4_to_ahb.scala 325:57] + ahb_hrdata_q <= _T_703 @[axi4_to_ahb.scala 325:21] + node _T_704 = or(buf_wr_en, slvbuf_wr_en) @[axi4_to_ahb.scala 327:43] + node _T_705 = or(_T_704, io.clk_override) @[axi4_to_ahb.scala 327:58] + node _T_706 = and(io.bus_clk_en, _T_705) @[axi4_to_ahb.scala 327:30] + buf_clken <= _T_706 @[axi4_to_ahb.scala 327:13] + node _T_707 = bits(io.ahb.out.htrans, 1, 1) @[axi4_to_ahb.scala 328:76] + node _T_708 = and(io.ahb.in.hready, _T_707) @[axi4_to_ahb.scala 328:57] + node _T_709 = or(_T_708, io.clk_override) @[axi4_to_ahb.scala 328:81] + node _T_710 = and(io.bus_clk_en, _T_709) @[axi4_to_ahb.scala 328:36] + ahbm_addr_clken <= _T_710 @[axi4_to_ahb.scala 328:19] + node _T_711 = neq(buf_state, UInt<3>("h00")) @[axi4_to_ahb.scala 329:50] + node _T_712 = or(_T_711, io.clk_override) @[axi4_to_ahb.scala 329:60] + node _T_713 = and(io.bus_clk_en, _T_712) @[axi4_to_ahb.scala 329:36] + ahbm_data_clken <= _T_713 @[axi4_to_ahb.scala 329:19] + inst rvclkhdr_6 of rvclkhdr_865 @[lib.scala 343:22] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= reset + rvclkhdr_6.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_6.io.en <= buf_clken @[lib.scala 345:16] + rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + buf_clk <= rvclkhdr_6.io.l1clk @[axi4_to_ahb.scala 332:12] + inst rvclkhdr_7 of rvclkhdr_866 @[lib.scala 343:22] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= reset + rvclkhdr_7.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_7.io.en <= io.bus_clk_en @[lib.scala 345:16] + rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + ahbm_clk <= rvclkhdr_7.io.l1clk @[axi4_to_ahb.scala 333:12] + inst rvclkhdr_8 of rvclkhdr_867 @[lib.scala 343:22] + rvclkhdr_8.clock <= clock + rvclkhdr_8.reset <= reset + rvclkhdr_8.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_8.io.en <= ahbm_addr_clken @[lib.scala 345:16] + rvclkhdr_8.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + ahbm_addr_clk <= rvclkhdr_8.io.l1clk @[axi4_to_ahb.scala 334:17] + inst rvclkhdr_9 of rvclkhdr_868 @[lib.scala 343:22] + rvclkhdr_9.clock <= clock + rvclkhdr_9.reset <= reset + rvclkhdr_9.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_9.io.en <= ahbm_data_clken @[lib.scala 345:16] + rvclkhdr_9.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + ahbm_data_clk <= rvclkhdr_9.io.l1clk @[axi4_to_ahb.scala 335:17] + + extmodule gated_latch_869 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_869 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_869 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_870 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_870 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_870 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_871 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_871 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_871 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_872 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_872 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_872 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_873 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_873 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_873 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_874 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_874 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_874 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_875 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_875 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_875 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_876 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_876 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_876 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_877 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_877 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_877 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_878 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_878 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_878 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module axi4_to_ahb_2 : + input clock : Clock + input reset : AsyncReset + output io : {flip scan_mode : UInt<1>, flip bus_clk_en : UInt<1>, flip clk_override : UInt<1>, flip axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, ahb : {in : {flip hrdata : UInt<64>, flip hready : UInt<1>, flip hresp : UInt<1>}, out : {haddr : UInt<32>, hburst : UInt<3>, hmastlock : UInt<1>, hprot : UInt<4>, hsize : UInt<3>, htrans : UInt<2>, hwrite : UInt<1>, hwdata : UInt<64>}}} + + wire buf_rst : UInt<1> + buf_rst <= UInt<1>("h00") + buf_rst <= UInt<1>("h00") @[axi4_to_ahb.scala 21:11] + io.ahb.out.htrans <= UInt<1>("h00") @[axi4_to_ahb.scala 22:21] + wire buf_state_en : UInt<1> + buf_state_en <= UInt<1>("h00") + wire ahbm_clk : Clock @[axi4_to_ahb.scala 24:22] + wire ahbm_addr_clk : Clock @[axi4_to_ahb.scala 25:27] + wire ahbm_data_clk : Clock @[axi4_to_ahb.scala 26:27] + wire buf_state : UInt<3> + buf_state <= UInt<3>("h00") + wire buf_nxtstate : UInt<3> + buf_nxtstate <= UInt<3>("h00") + node _T = bits(buf_state_en, 0, 0) @[axi4_to_ahb.scala 30:70] + node _T_1 = mux(_T, buf_nxtstate, buf_state) @[axi4_to_ahb.scala 30:50] + node _T_2 = eq(buf_rst, UInt<1>("h00")) @[axi4_to_ahb.scala 30:108] + node _T_3 = bits(_T_2, 0, 0) @[Bitwise.scala 72:15] + node _T_4 = mux(_T_3, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_5 = and(_T_1, _T_4) @[axi4_to_ahb.scala 30:98] + reg _T_6 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 30:45] + _T_6 <= _T_5 @[axi4_to_ahb.scala 30:45] + buf_state <= _T_6 @[axi4_to_ahb.scala 30:13] + wire slave_valid : UInt<1> + slave_valid <= UInt<1>("h00") + wire slave_ready : UInt<1> + slave_ready <= UInt<1>("h00") + wire slave_tag : UInt<3> + slave_tag <= UInt<3>("h00") + wire slave_rdata : UInt<64> + slave_rdata <= UInt<64>("h00") + wire slave_opc : UInt<4> + slave_opc <= UInt<4>("h00") + wire wrbuf_en : UInt<1> + wrbuf_en <= UInt<1>("h00") + wire wrbuf_data_en : UInt<1> + wrbuf_data_en <= UInt<1>("h00") + wire wrbuf_cmd_sent : UInt<1> + wrbuf_cmd_sent <= UInt<1>("h00") + wire wrbuf_rst : UInt<1> + wrbuf_rst <= UInt<1>("h00") + wire wrbuf_vld : UInt<1> + wrbuf_vld <= UInt<1>("h00") + wire wrbuf_data_vld : UInt<1> + wrbuf_data_vld <= UInt<1>("h00") + wire wrbuf_tag : UInt<3> + wrbuf_tag <= UInt<3>("h00") + wire wrbuf_size : UInt<3> + wrbuf_size <= UInt<3>("h00") + wire wrbuf_addr : UInt<32> + wrbuf_addr <= UInt<32>("h00") + wire wrbuf_data : UInt<64> + wrbuf_data <= UInt<64>("h00") + wire wrbuf_byteen : UInt<8> + wrbuf_byteen <= UInt<8>("h00") + wire bus_write_clk_en : UInt<1> + bus_write_clk_en <= UInt<1>("h00") + wire bus_clk : Clock @[axi4_to_ahb.scala 50:21] + wire bus_write_clk : Clock @[axi4_to_ahb.scala 51:27] + wire master_valid : UInt<1> + master_valid <= UInt<1>("h00") + wire master_ready : UInt<1> + master_ready <= UInt<1>("h00") + wire master_tag : UInt<3> + master_tag <= UInt<3>("h00") + wire master_addr : UInt<32> + master_addr <= UInt<32>("h00") + wire master_wdata : UInt<64> + master_wdata <= UInt<64>("h00") + wire master_size : UInt<3> + master_size <= UInt<3>("h00") + wire master_opc : UInt<3> + master_opc <= UInt<3>("h00") + wire master_byteen : UInt<8> + master_byteen <= UInt<8>("h00") + wire buf_addr : UInt<32> + buf_addr <= UInt<32>("h00") + wire buf_size : UInt<2> + buf_size <= UInt<2>("h00") + wire buf_write : UInt<1> + buf_write <= UInt<1>("h00") + wire buf_byteen : UInt<8> + buf_byteen <= UInt<8>("h00") + wire buf_aligned : UInt<1> + buf_aligned <= UInt<1>("h00") + wire buf_data : UInt<64> + buf_data <= UInt<64>("h00") + wire buf_tag : UInt<3> + buf_tag <= UInt<3>("h00") + wire buf_tag_in : UInt<3> + buf_tag_in <= UInt<3>("h00") + wire buf_addr_in : UInt<32> + buf_addr_in <= UInt<32>("h00") + wire buf_byteen_in : UInt<8> + buf_byteen_in <= UInt<8>("h00") + wire buf_data_in : UInt<64> + buf_data_in <= UInt<64>("h00") + wire buf_write_in : UInt<1> + buf_write_in <= UInt<1>("h00") + wire buf_aligned_in : UInt<1> + buf_aligned_in <= UInt<1>("h00") + wire buf_size_in : UInt<3> + buf_size_in <= UInt<3>("h00") + wire buf_wr_en : UInt<1> + buf_wr_en <= UInt<1>("h00") + wire buf_data_wr_en : UInt<1> + buf_data_wr_en <= UInt<1>("h00") + wire slvbuf_error_en : UInt<1> + slvbuf_error_en <= UInt<1>("h00") + wire wr_cmd_vld : UInt<1> + wr_cmd_vld <= UInt<1>("h00") + wire cmd_done_rst : UInt<1> + cmd_done_rst <= UInt<1>("h00") + wire cmd_done : UInt<1> + cmd_done <= UInt<1>("h00") + wire cmd_doneQ : UInt<1> + cmd_doneQ <= UInt<1>("h00") + wire trxn_done : UInt<1> + trxn_done <= UInt<1>("h00") + wire buf_cmd_byte_ptr : UInt<3> + buf_cmd_byte_ptr <= UInt<3>("h00") + wire buf_cmd_byte_ptrQ : UInt<3> + buf_cmd_byte_ptrQ <= UInt<3>("h00") + wire buf_cmd_nxtbyte_ptr : UInt<3> + buf_cmd_nxtbyte_ptr <= UInt<3>("h00") + wire buf_cmd_byte_ptr_en : UInt<1> + buf_cmd_byte_ptr_en <= UInt<1>("h00") + wire found : UInt<1> + found <= UInt<1>("h00") + wire slave_valid_pre : UInt<1> + slave_valid_pre <= UInt<1>("h00") + wire ahb_hready_q : UInt<1> + ahb_hready_q <= UInt<1>("h00") + wire ahb_hresp_q : UInt<1> + ahb_hresp_q <= UInt<1>("h00") + wire ahb_htrans_q : UInt<2> + ahb_htrans_q <= UInt<2>("h00") + wire ahb_hwrite_q : UInt<1> + ahb_hwrite_q <= UInt<1>("h00") + wire ahb_hrdata_q : UInt<64> + ahb_hrdata_q <= UInt<64>("h00") + wire slvbuf_write : UInt<1> + slvbuf_write <= UInt<1>("h00") + wire slvbuf_error : UInt<1> + slvbuf_error <= UInt<1>("h00") + wire slvbuf_tag : UInt<3> + slvbuf_tag <= UInt<3>("h00") + wire slvbuf_error_in : UInt<1> + slvbuf_error_in <= UInt<1>("h00") + wire slvbuf_wr_en : UInt<1> + slvbuf_wr_en <= UInt<1>("h00") + wire bypass_en : UInt<1> + bypass_en <= UInt<1>("h00") + wire rd_bypass_idle : UInt<1> + rd_bypass_idle <= UInt<1>("h00") + wire last_addr_en : UInt<1> + last_addr_en <= UInt<1>("h00") + wire last_bus_addr : UInt<32> + last_bus_addr <= UInt<32>("h00") + wire buf_clken : UInt<1> + buf_clken <= UInt<1>("h00") + wire slvbuf_clken : UInt<1> + slvbuf_clken <= UInt<1>("h00") + wire ahbm_addr_clken : UInt<1> + ahbm_addr_clken <= UInt<1>("h00") + wire ahbm_data_clken : UInt<1> + ahbm_data_clken <= UInt<1>("h00") + wire buf_clk : Clock @[axi4_to_ahb.scala 118:21] + node _T_7 = and(wrbuf_vld, wrbuf_data_vld) @[axi4_to_ahb.scala 139:27] + wr_cmd_vld <= _T_7 @[axi4_to_ahb.scala 139:14] + node _T_8 = or(wr_cmd_vld, io.axi.ar.valid) @[axi4_to_ahb.scala 140:30] + master_valid <= _T_8 @[axi4_to_ahb.scala 140:16] + node _T_9 = bits(wr_cmd_vld, 0, 0) @[axi4_to_ahb.scala 141:38] + node _T_10 = bits(wrbuf_tag, 2, 0) @[axi4_to_ahb.scala 141:51] + node _T_11 = bits(io.axi.ar.bits.id, 2, 0) @[axi4_to_ahb.scala 141:82] + node _T_12 = mux(_T_9, _T_10, _T_11) @[axi4_to_ahb.scala 141:20] + master_tag <= _T_12 @[axi4_to_ahb.scala 141:14] + node _T_13 = bits(wr_cmd_vld, 0, 0) @[axi4_to_ahb.scala 142:38] + node _T_14 = mux(_T_13, UInt<2>("h03"), UInt<1>("h00")) @[axi4_to_ahb.scala 142:20] + master_opc <= _T_14 @[axi4_to_ahb.scala 142:14] + node _T_15 = bits(wr_cmd_vld, 0, 0) @[axi4_to_ahb.scala 143:39] + node _T_16 = bits(wrbuf_addr, 31, 0) @[axi4_to_ahb.scala 143:53] + node _T_17 = bits(io.axi.ar.bits.addr, 31, 0) @[axi4_to_ahb.scala 143:81] + node _T_18 = mux(_T_15, _T_16, _T_17) @[axi4_to_ahb.scala 143:21] + master_addr <= _T_18 @[axi4_to_ahb.scala 143:15] + node _T_19 = bits(wr_cmd_vld, 0, 0) @[axi4_to_ahb.scala 144:39] + node _T_20 = bits(wrbuf_size, 2, 0) @[axi4_to_ahb.scala 144:53] + node _T_21 = bits(io.axi.ar.bits.size, 2, 0) @[axi4_to_ahb.scala 144:80] + node _T_22 = mux(_T_19, _T_20, _T_21) @[axi4_to_ahb.scala 144:21] + master_size <= _T_22 @[axi4_to_ahb.scala 144:15] + node _T_23 = bits(wrbuf_byteen, 7, 0) @[axi4_to_ahb.scala 145:32] + master_byteen <= _T_23 @[axi4_to_ahb.scala 145:17] + node _T_24 = bits(wrbuf_data, 63, 0) @[axi4_to_ahb.scala 146:29] + master_wdata <= _T_24 @[axi4_to_ahb.scala 146:16] + node _T_25 = and(slave_valid, slave_ready) @[axi4_to_ahb.scala 149:33] + node _T_26 = bits(slave_opc, 3, 3) @[axi4_to_ahb.scala 149:58] + node _T_27 = and(_T_25, _T_26) @[axi4_to_ahb.scala 149:47] + io.axi.b.valid <= _T_27 @[axi4_to_ahb.scala 149:18] + node _T_28 = bits(slave_opc, 0, 0) @[axi4_to_ahb.scala 150:38] + node _T_29 = bits(slave_opc, 1, 1) @[axi4_to_ahb.scala 150:65] + node _T_30 = mux(_T_29, UInt<2>("h03"), UInt<1>("h00")) @[axi4_to_ahb.scala 150:55] + node _T_31 = mux(_T_28, UInt<2>("h02"), _T_30) @[axi4_to_ahb.scala 150:28] + io.axi.b.bits.resp <= _T_31 @[axi4_to_ahb.scala 150:22] + node _T_32 = bits(slave_tag, 2, 0) @[axi4_to_ahb.scala 151:32] + io.axi.b.bits.id <= _T_32 @[axi4_to_ahb.scala 151:20] + node _T_33 = and(slave_valid, slave_ready) @[axi4_to_ahb.scala 153:33] + node _T_34 = bits(slave_opc, 3, 2) @[axi4_to_ahb.scala 153:59] + node _T_35 = eq(_T_34, UInt<1>("h00")) @[axi4_to_ahb.scala 153:66] + node _T_36 = and(_T_33, _T_35) @[axi4_to_ahb.scala 153:47] + io.axi.r.valid <= _T_36 @[axi4_to_ahb.scala 153:18] + node _T_37 = bits(slave_opc, 0, 0) @[axi4_to_ahb.scala 154:38] + node _T_38 = bits(slave_opc, 1, 1) @[axi4_to_ahb.scala 154:65] + node _T_39 = mux(_T_38, UInt<2>("h03"), UInt<1>("h00")) @[axi4_to_ahb.scala 154:55] + node _T_40 = mux(_T_37, UInt<2>("h02"), _T_39) @[axi4_to_ahb.scala 154:28] + io.axi.r.bits.resp <= _T_40 @[axi4_to_ahb.scala 154:22] + node _T_41 = bits(slave_tag, 2, 0) @[axi4_to_ahb.scala 155:32] + io.axi.r.bits.id <= _T_41 @[axi4_to_ahb.scala 155:20] + node _T_42 = bits(slave_rdata, 63, 0) @[axi4_to_ahb.scala 156:36] + io.axi.r.bits.data <= _T_42 @[axi4_to_ahb.scala 156:22] + node _T_43 = and(io.axi.b.ready, io.axi.r.ready) @[axi4_to_ahb.scala 157:33] + slave_ready <= _T_43 @[axi4_to_ahb.scala 157:15] + node _T_44 = and(io.axi.aw.valid, io.axi.aw.ready) @[axi4_to_ahb.scala 160:57] + node _T_45 = and(io.axi.w.valid, io.axi.w.ready) @[axi4_to_ahb.scala 160:94] + node _T_46 = or(_T_44, _T_45) @[axi4_to_ahb.scala 160:76] + node _T_47 = and(io.bus_clk_en, _T_46) @[axi4_to_ahb.scala 160:37] + bus_write_clk_en <= _T_47 @[axi4_to_ahb.scala 160:20] + inst rvclkhdr of rvclkhdr_869 @[lib.scala 343:22] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 344:17] + rvclkhdr.io.en <= io.bus_clk_en @[lib.scala 345:16] + rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + bus_clk <= rvclkhdr.io.l1clk @[axi4_to_ahb.scala 162:11] + node _T_48 = bits(bus_write_clk_en, 0, 0) @[axi4_to_ahb.scala 163:59] + inst rvclkhdr_1 of rvclkhdr_870 @[lib.scala 343:22] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_1.io.en <= _T_48 @[lib.scala 345:16] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + bus_write_clk <= rvclkhdr_1.io.l1clk @[axi4_to_ahb.scala 163:17] + node _T_49 = eq(UInt<3>("h00"), buf_state) @[Conditional.scala 37:30] + when _T_49 : @[Conditional.scala 40:58] + master_ready <= UInt<1>("h01") @[axi4_to_ahb.scala 167:20] + node _T_50 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 168:34] + node _T_51 = eq(_T_50, UInt<1>("h01")) @[axi4_to_ahb.scala 168:41] + buf_write_in <= _T_51 @[axi4_to_ahb.scala 168:20] + node _T_52 = bits(buf_write_in, 0, 0) @[axi4_to_ahb.scala 169:46] + node _T_53 = mux(_T_52, UInt<3>("h02"), UInt<3>("h01")) @[axi4_to_ahb.scala 169:26] + buf_nxtstate <= _T_53 @[axi4_to_ahb.scala 169:20] + node _T_54 = and(master_valid, UInt<1>("h01")) @[axi4_to_ahb.scala 170:36] + buf_state_en <= _T_54 @[axi4_to_ahb.scala 170:20] + buf_wr_en <= buf_state_en @[axi4_to_ahb.scala 171:17] + node _T_55 = eq(buf_nxtstate, UInt<3>("h02")) @[axi4_to_ahb.scala 172:54] + node _T_56 = and(buf_state_en, _T_55) @[axi4_to_ahb.scala 172:38] + buf_data_wr_en <= _T_56 @[axi4_to_ahb.scala 172:22] + buf_cmd_byte_ptr_en <= buf_state_en @[axi4_to_ahb.scala 173:27] + node _T_57 = bits(buf_write_in, 0, 0) @[axi4_to_ahb.scala 175:50] + node _T_58 = bits(buf_byteen_in, 7, 0) @[axi4_to_ahb.scala 175:94] + node _T_59 = add(UInt<3>("h00"), UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] + node _T_60 = tail(_T_59, 1) @[axi4_to_ahb.scala 135:52] + node _T_61 = mux(UInt<1>("h00"), _T_60, UInt<3>("h00")) @[axi4_to_ahb.scala 135:24] + node _T_62 = bits(_T_58, 0, 0) @[axi4_to_ahb.scala 136:44] + node _T_63 = geq(UInt<1>("h00"), _T_61) @[axi4_to_ahb.scala 136:62] + node _T_64 = and(_T_62, _T_63) @[axi4_to_ahb.scala 136:48] + node _T_65 = bits(_T_58, 1, 1) @[axi4_to_ahb.scala 136:44] + node _T_66 = geq(UInt<1>("h01"), _T_61) @[axi4_to_ahb.scala 136:62] + node _T_67 = and(_T_65, _T_66) @[axi4_to_ahb.scala 136:48] + node _T_68 = bits(_T_58, 2, 2) @[axi4_to_ahb.scala 136:44] + node _T_69 = geq(UInt<2>("h02"), _T_61) @[axi4_to_ahb.scala 136:62] + node _T_70 = and(_T_68, _T_69) @[axi4_to_ahb.scala 136:48] + node _T_71 = bits(_T_58, 3, 3) @[axi4_to_ahb.scala 136:44] + node _T_72 = geq(UInt<2>("h03"), _T_61) @[axi4_to_ahb.scala 136:62] + node _T_73 = and(_T_71, _T_72) @[axi4_to_ahb.scala 136:48] + node _T_74 = bits(_T_58, 4, 4) @[axi4_to_ahb.scala 136:44] + node _T_75 = geq(UInt<3>("h04"), _T_61) @[axi4_to_ahb.scala 136:62] + node _T_76 = and(_T_74, _T_75) @[axi4_to_ahb.scala 136:48] + node _T_77 = bits(_T_58, 5, 5) @[axi4_to_ahb.scala 136:44] + node _T_78 = geq(UInt<3>("h05"), _T_61) @[axi4_to_ahb.scala 136:62] + node _T_79 = and(_T_77, _T_78) @[axi4_to_ahb.scala 136:48] + node _T_80 = bits(_T_58, 6, 6) @[axi4_to_ahb.scala 136:44] + node _T_81 = geq(UInt<3>("h06"), _T_61) @[axi4_to_ahb.scala 136:62] + node _T_82 = and(_T_80, _T_81) @[axi4_to_ahb.scala 136:48] + node _T_83 = bits(_T_58, 7, 7) @[axi4_to_ahb.scala 136:44] + node _T_84 = geq(UInt<3>("h07"), _T_61) @[axi4_to_ahb.scala 136:62] + node _T_85 = and(_T_83, _T_84) @[axi4_to_ahb.scala 136:48] + node _T_86 = mux(_T_85, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] + node _T_87 = mux(_T_82, UInt<3>("h06"), _T_86) @[Mux.scala 98:16] + node _T_88 = mux(_T_79, UInt<3>("h05"), _T_87) @[Mux.scala 98:16] + node _T_89 = mux(_T_76, UInt<3>("h04"), _T_88) @[Mux.scala 98:16] + node _T_90 = mux(_T_73, UInt<2>("h03"), _T_89) @[Mux.scala 98:16] + node _T_91 = mux(_T_70, UInt<2>("h02"), _T_90) @[Mux.scala 98:16] + node _T_92 = mux(_T_67, UInt<1>("h01"), _T_91) @[Mux.scala 98:16] + node _T_93 = mux(_T_64, UInt<1>("h00"), _T_92) @[Mux.scala 98:16] + node _T_94 = bits(master_addr, 2, 0) @[axi4_to_ahb.scala 175:124] + node _T_95 = mux(_T_57, _T_93, _T_94) @[axi4_to_ahb.scala 175:30] + buf_cmd_byte_ptr <= _T_95 @[axi4_to_ahb.scala 175:24] + bypass_en <= buf_state_en @[axi4_to_ahb.scala 176:17] + node _T_96 = eq(buf_nxtstate, UInt<3>("h01")) @[axi4_to_ahb.scala 177:51] + node _T_97 = and(bypass_en, _T_96) @[axi4_to_ahb.scala 177:35] + rd_bypass_idle <= _T_97 @[axi4_to_ahb.scala 177:22] + node _T_98 = bits(bypass_en, 0, 0) @[Bitwise.scala 72:15] + node _T_99 = mux(_T_98, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_100 = and(_T_99, UInt<2>("h02")) @[axi4_to_ahb.scala 178:49] + io.ahb.out.htrans <= _T_100 @[axi4_to_ahb.scala 178:25] + skip @[Conditional.scala 40:58] + else : @[Conditional.scala 39:67] + node _T_101 = eq(UInt<3>("h01"), buf_state) @[Conditional.scala 37:30] + when _T_101 : @[Conditional.scala 39:67] + node _T_102 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 182:54] + node _T_103 = eq(_T_102, UInt<1>("h00")) @[axi4_to_ahb.scala 182:61] + node _T_104 = and(master_valid, _T_103) @[axi4_to_ahb.scala 182:41] + node _T_105 = bits(_T_104, 0, 0) @[axi4_to_ahb.scala 182:82] + node _T_106 = mux(_T_105, UInt<3>("h06"), UInt<3>("h03")) @[axi4_to_ahb.scala 182:26] + buf_nxtstate <= _T_106 @[axi4_to_ahb.scala 182:20] + node _T_107 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 183:51] + node _T_108 = neq(_T_107, UInt<1>("h00")) @[axi4_to_ahb.scala 183:58] + node _T_109 = and(ahb_hready_q, _T_108) @[axi4_to_ahb.scala 183:36] + node _T_110 = eq(ahb_hwrite_q, UInt<1>("h00")) @[axi4_to_ahb.scala 183:72] + node _T_111 = and(_T_109, _T_110) @[axi4_to_ahb.scala 183:70] + buf_state_en <= _T_111 @[axi4_to_ahb.scala 183:20] + node _T_112 = eq(master_valid, UInt<1>("h00")) @[axi4_to_ahb.scala 184:34] + node _T_113 = and(buf_state_en, _T_112) @[axi4_to_ahb.scala 184:32] + cmd_done <= _T_113 @[axi4_to_ahb.scala 184:16] + slvbuf_wr_en <= buf_state_en @[axi4_to_ahb.scala 185:20] + node _T_114 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 186:52] + node _T_115 = neq(_T_114, UInt<1>("h00")) @[axi4_to_ahb.scala 186:59] + node _T_116 = and(ahb_hready_q, _T_115) @[axi4_to_ahb.scala 186:37] + node _T_117 = eq(ahb_hwrite_q, UInt<1>("h00")) @[axi4_to_ahb.scala 186:73] + node _T_118 = and(_T_116, _T_117) @[axi4_to_ahb.scala 186:71] + node _T_119 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 186:122] + node _T_120 = eq(_T_119, UInt<1>("h00")) @[axi4_to_ahb.scala 186:129] + node _T_121 = and(master_valid, _T_120) @[axi4_to_ahb.scala 186:109] + node _T_122 = bits(_T_121, 0, 0) @[axi4_to_ahb.scala 186:150] + node _T_123 = mux(_T_122, UInt<3>("h06"), UInt<3>("h03")) @[axi4_to_ahb.scala 186:94] + node _T_124 = eq(_T_123, UInt<3>("h06")) @[axi4_to_ahb.scala 186:174] + node _T_125 = and(_T_118, _T_124) @[axi4_to_ahb.scala 186:88] + master_ready <= _T_125 @[axi4_to_ahb.scala 186:20] + buf_wr_en <= master_ready @[axi4_to_ahb.scala 187:17] + node _T_126 = and(master_ready, master_valid) @[axi4_to_ahb.scala 188:33] + bypass_en <= _T_126 @[axi4_to_ahb.scala 188:17] + node _T_127 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 189:47] + node _T_128 = bits(master_addr, 2, 0) @[axi4_to_ahb.scala 189:62] + node _T_129 = bits(buf_addr, 2, 0) @[axi4_to_ahb.scala 189:78] + node _T_130 = mux(_T_127, _T_128, _T_129) @[axi4_to_ahb.scala 189:30] + buf_cmd_byte_ptr <= _T_130 @[axi4_to_ahb.scala 189:24] + node _T_131 = eq(buf_state_en, UInt<1>("h00")) @[axi4_to_ahb.scala 190:48] + node _T_132 = or(_T_131, bypass_en) @[axi4_to_ahb.scala 190:62] + node _T_133 = bits(_T_132, 0, 0) @[Bitwise.scala 72:15] + node _T_134 = mux(_T_133, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_135 = and(UInt<2>("h02"), _T_134) @[axi4_to_ahb.scala 190:36] + io.ahb.out.htrans <= _T_135 @[axi4_to_ahb.scala 190:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_136 = eq(UInt<3>("h06"), buf_state) @[Conditional.scala 37:30] + when _T_136 : @[Conditional.scala 39:67] + node _T_137 = eq(ahb_hresp_q, UInt<1>("h00")) @[axi4_to_ahb.scala 194:39] + node _T_138 = and(ahb_hready_q, _T_137) @[axi4_to_ahb.scala 194:37] + node _T_139 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 194:82] + node _T_140 = eq(_T_139, UInt<1>("h01")) @[axi4_to_ahb.scala 194:89] + node _T_141 = and(master_valid, _T_140) @[axi4_to_ahb.scala 194:70] + node _T_142 = not(_T_141) @[axi4_to_ahb.scala 194:55] + node _T_143 = and(_T_138, _T_142) @[axi4_to_ahb.scala 194:53] + master_ready <= _T_143 @[axi4_to_ahb.scala 194:20] + node _T_144 = and(master_valid, master_ready) @[axi4_to_ahb.scala 195:34] + node _T_145 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 195:62] + node _T_146 = eq(_T_145, UInt<1>("h00")) @[axi4_to_ahb.scala 195:69] + node _T_147 = and(_T_144, _T_146) @[axi4_to_ahb.scala 195:49] + buf_wr_en <= _T_147 @[axi4_to_ahb.scala 195:17] + node _T_148 = bits(ahb_hresp_q, 0, 0) @[axi4_to_ahb.scala 196:45] + node _T_149 = and(master_valid, master_ready) @[axi4_to_ahb.scala 196:82] + node _T_150 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 196:110] + node _T_151 = eq(_T_150, UInt<1>("h00")) @[axi4_to_ahb.scala 196:117] + node _T_152 = and(_T_149, _T_151) @[axi4_to_ahb.scala 196:97] + node _T_153 = bits(_T_152, 0, 0) @[axi4_to_ahb.scala 196:138] + node _T_154 = mux(_T_153, UInt<3>("h06"), UInt<3>("h03")) @[axi4_to_ahb.scala 196:67] + node _T_155 = mux(_T_148, UInt<3>("h07"), _T_154) @[axi4_to_ahb.scala 196:26] + buf_nxtstate <= _T_155 @[axi4_to_ahb.scala 196:20] + node _T_156 = or(ahb_hready_q, ahb_hresp_q) @[axi4_to_ahb.scala 197:37] + buf_state_en <= _T_156 @[axi4_to_ahb.scala 197:20] + buf_data_wr_en <= buf_state_en @[axi4_to_ahb.scala 198:22] + slvbuf_error_in <= ahb_hresp_q @[axi4_to_ahb.scala 199:23] + slvbuf_error_en <= buf_state_en @[axi4_to_ahb.scala 200:23] + node _T_157 = eq(ahb_hresp_q, UInt<1>("h00")) @[axi4_to_ahb.scala 201:41] + node _T_158 = and(buf_state_en, _T_157) @[axi4_to_ahb.scala 201:39] + slave_valid_pre <= _T_158 @[axi4_to_ahb.scala 201:23] + node _T_159 = eq(master_valid, UInt<1>("h00")) @[axi4_to_ahb.scala 202:34] + node _T_160 = and(buf_state_en, _T_159) @[axi4_to_ahb.scala 202:32] + cmd_done <= _T_160 @[axi4_to_ahb.scala 202:16] + node _T_161 = and(master_ready, master_valid) @[axi4_to_ahb.scala 203:33] + node _T_162 = eq(buf_nxtstate, UInt<3>("h06")) @[axi4_to_ahb.scala 203:64] + node _T_163 = and(_T_161, _T_162) @[axi4_to_ahb.scala 203:48] + node _T_164 = and(_T_163, buf_state_en) @[axi4_to_ahb.scala 203:79] + bypass_en <= _T_164 @[axi4_to_ahb.scala 203:17] + node _T_165 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 204:47] + node _T_166 = bits(master_addr, 2, 0) @[axi4_to_ahb.scala 204:62] + node _T_167 = bits(buf_addr, 2, 0) @[axi4_to_ahb.scala 204:78] + node _T_168 = mux(_T_165, _T_166, _T_167) @[axi4_to_ahb.scala 204:30] + buf_cmd_byte_ptr <= _T_168 @[axi4_to_ahb.scala 204:24] + node _T_169 = neq(buf_nxtstate, UInt<3>("h06")) @[axi4_to_ahb.scala 205:63] + node _T_170 = and(_T_169, buf_state_en) @[axi4_to_ahb.scala 205:78] + node _T_171 = eq(_T_170, UInt<1>("h00")) @[axi4_to_ahb.scala 205:47] + node _T_172 = bits(_T_171, 0, 0) @[Bitwise.scala 72:15] + node _T_173 = mux(_T_172, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_174 = and(UInt<2>("h02"), _T_173) @[axi4_to_ahb.scala 205:36] + io.ahb.out.htrans <= _T_174 @[axi4_to_ahb.scala 205:25] + slvbuf_wr_en <= buf_wr_en @[axi4_to_ahb.scala 206:20] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_175 = eq(UInt<3>("h07"), buf_state) @[Conditional.scala 37:30] + when _T_175 : @[Conditional.scala 39:67] + buf_nxtstate <= UInt<3>("h03") @[axi4_to_ahb.scala 210:20] + node _T_176 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 211:51] + node _T_177 = neq(_T_176, UInt<1>("h00")) @[axi4_to_ahb.scala 211:58] + node _T_178 = and(ahb_hready_q, _T_177) @[axi4_to_ahb.scala 211:36] + node _T_179 = eq(ahb_hwrite_q, UInt<1>("h00")) @[axi4_to_ahb.scala 211:72] + node _T_180 = and(_T_178, _T_179) @[axi4_to_ahb.scala 211:70] + buf_state_en <= _T_180 @[axi4_to_ahb.scala 211:20] + slave_valid_pre <= buf_state_en @[axi4_to_ahb.scala 212:23] + slvbuf_wr_en <= buf_state_en @[axi4_to_ahb.scala 213:20] + node _T_181 = bits(buf_addr, 2, 0) @[axi4_to_ahb.scala 214:35] + buf_cmd_byte_ptr <= _T_181 @[axi4_to_ahb.scala 214:24] + node _T_182 = eq(buf_state_en, UInt<1>("h00")) @[axi4_to_ahb.scala 215:51] + node _T_183 = bits(_T_182, 0, 0) @[Bitwise.scala 72:15] + node _T_184 = mux(_T_183, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_185 = and(UInt<2>("h02"), _T_184) @[axi4_to_ahb.scala 215:41] + io.ahb.out.htrans <= _T_185 @[axi4_to_ahb.scala 215:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_186 = eq(UInt<3>("h03"), buf_state) @[Conditional.scala 37:30] + when _T_186 : @[Conditional.scala 39:67] + buf_nxtstate <= UInt<3>("h05") @[axi4_to_ahb.scala 219:20] + node _T_187 = or(ahb_hready_q, ahb_hresp_q) @[axi4_to_ahb.scala 220:37] + buf_state_en <= _T_187 @[axi4_to_ahb.scala 220:20] + buf_data_wr_en <= buf_state_en @[axi4_to_ahb.scala 221:22] + slvbuf_error_in <= ahb_hresp_q @[axi4_to_ahb.scala 222:23] + slvbuf_error_en <= buf_state_en @[axi4_to_ahb.scala 223:23] + slvbuf_wr_en <= buf_state_en @[axi4_to_ahb.scala 224:20] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_188 = eq(UInt<3>("h02"), buf_state) @[Conditional.scala 37:30] + when _T_188 : @[Conditional.scala 39:67] + buf_nxtstate <= UInt<3>("h04") @[axi4_to_ahb.scala 228:20] + node _T_189 = and(ahb_hready_q, ahb_hwrite_q) @[axi4_to_ahb.scala 229:33] + node _T_190 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 229:63] + node _T_191 = neq(_T_190, UInt<1>("h00")) @[axi4_to_ahb.scala 229:70] + node _T_192 = and(_T_189, _T_191) @[axi4_to_ahb.scala 229:48] + trxn_done <= _T_192 @[axi4_to_ahb.scala 229:17] + buf_state_en <= trxn_done @[axi4_to_ahb.scala 230:20] + buf_cmd_byte_ptr_en <= buf_state_en @[axi4_to_ahb.scala 231:27] + slvbuf_wr_en <= buf_state_en @[axi4_to_ahb.scala 232:20] + node _T_193 = bits(trxn_done, 0, 0) @[axi4_to_ahb.scala 233:47] + node _T_194 = bits(buf_cmd_byte_ptrQ, 2, 0) @[axi4_to_ahb.scala 233:85] + node _T_195 = bits(buf_byteen, 7, 0) @[axi4_to_ahb.scala 233:103] + node _T_196 = add(_T_194, UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] + node _T_197 = tail(_T_196, 1) @[axi4_to_ahb.scala 135:52] + node _T_198 = mux(UInt<1>("h01"), _T_197, _T_194) @[axi4_to_ahb.scala 135:24] + node _T_199 = bits(_T_195, 0, 0) @[axi4_to_ahb.scala 136:44] + node _T_200 = geq(UInt<1>("h00"), _T_198) @[axi4_to_ahb.scala 136:62] + node _T_201 = and(_T_199, _T_200) @[axi4_to_ahb.scala 136:48] + node _T_202 = bits(_T_195, 1, 1) @[axi4_to_ahb.scala 136:44] + node _T_203 = geq(UInt<1>("h01"), _T_198) @[axi4_to_ahb.scala 136:62] + node _T_204 = and(_T_202, _T_203) @[axi4_to_ahb.scala 136:48] + node _T_205 = bits(_T_195, 2, 2) @[axi4_to_ahb.scala 136:44] + node _T_206 = geq(UInt<2>("h02"), _T_198) @[axi4_to_ahb.scala 136:62] + node _T_207 = and(_T_205, _T_206) @[axi4_to_ahb.scala 136:48] + node _T_208 = bits(_T_195, 3, 3) @[axi4_to_ahb.scala 136:44] + node _T_209 = geq(UInt<2>("h03"), _T_198) @[axi4_to_ahb.scala 136:62] + node _T_210 = and(_T_208, _T_209) @[axi4_to_ahb.scala 136:48] + node _T_211 = bits(_T_195, 4, 4) @[axi4_to_ahb.scala 136:44] + node _T_212 = geq(UInt<3>("h04"), _T_198) @[axi4_to_ahb.scala 136:62] + node _T_213 = and(_T_211, _T_212) @[axi4_to_ahb.scala 136:48] + node _T_214 = bits(_T_195, 5, 5) @[axi4_to_ahb.scala 136:44] + node _T_215 = geq(UInt<3>("h05"), _T_198) @[axi4_to_ahb.scala 136:62] + node _T_216 = and(_T_214, _T_215) @[axi4_to_ahb.scala 136:48] + node _T_217 = bits(_T_195, 6, 6) @[axi4_to_ahb.scala 136:44] + node _T_218 = geq(UInt<3>("h06"), _T_198) @[axi4_to_ahb.scala 136:62] + node _T_219 = and(_T_217, _T_218) @[axi4_to_ahb.scala 136:48] + node _T_220 = bits(_T_195, 7, 7) @[axi4_to_ahb.scala 136:44] + node _T_221 = geq(UInt<3>("h07"), _T_198) @[axi4_to_ahb.scala 136:62] + node _T_222 = and(_T_220, _T_221) @[axi4_to_ahb.scala 136:48] + node _T_223 = mux(_T_222, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] + node _T_224 = mux(_T_219, UInt<3>("h06"), _T_223) @[Mux.scala 98:16] + node _T_225 = mux(_T_216, UInt<3>("h05"), _T_224) @[Mux.scala 98:16] + node _T_226 = mux(_T_213, UInt<3>("h04"), _T_225) @[Mux.scala 98:16] + node _T_227 = mux(_T_210, UInt<2>("h03"), _T_226) @[Mux.scala 98:16] + node _T_228 = mux(_T_207, UInt<2>("h02"), _T_227) @[Mux.scala 98:16] + node _T_229 = mux(_T_204, UInt<1>("h01"), _T_228) @[Mux.scala 98:16] + node _T_230 = mux(_T_201, UInt<1>("h00"), _T_229) @[Mux.scala 98:16] + node _T_231 = mux(_T_193, _T_230, buf_cmd_byte_ptrQ) @[axi4_to_ahb.scala 233:30] + buf_cmd_byte_ptr <= _T_231 @[axi4_to_ahb.scala 233:24] + node _T_232 = eq(buf_cmd_byte_ptrQ, UInt<3>("h07")) @[axi4_to_ahb.scala 234:65] + node _T_233 = or(buf_aligned, _T_232) @[axi4_to_ahb.scala 234:44] + node _T_234 = bits(buf_cmd_byte_ptrQ, 2, 0) @[axi4_to_ahb.scala 234:127] + node _T_235 = bits(buf_byteen, 7, 0) @[axi4_to_ahb.scala 234:145] + node _T_236 = add(_T_234, UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] + node _T_237 = tail(_T_236, 1) @[axi4_to_ahb.scala 135:52] + node _T_238 = mux(UInt<1>("h01"), _T_237, _T_234) @[axi4_to_ahb.scala 135:24] + node _T_239 = bits(_T_235, 0, 0) @[axi4_to_ahb.scala 136:44] + node _T_240 = geq(UInt<1>("h00"), _T_238) @[axi4_to_ahb.scala 136:62] + node _T_241 = and(_T_239, _T_240) @[axi4_to_ahb.scala 136:48] + node _T_242 = bits(_T_235, 1, 1) @[axi4_to_ahb.scala 136:44] + node _T_243 = geq(UInt<1>("h01"), _T_238) @[axi4_to_ahb.scala 136:62] + node _T_244 = and(_T_242, _T_243) @[axi4_to_ahb.scala 136:48] + node _T_245 = bits(_T_235, 2, 2) @[axi4_to_ahb.scala 136:44] + node _T_246 = geq(UInt<2>("h02"), _T_238) @[axi4_to_ahb.scala 136:62] + node _T_247 = and(_T_245, _T_246) @[axi4_to_ahb.scala 136:48] + node _T_248 = bits(_T_235, 3, 3) @[axi4_to_ahb.scala 136:44] + node _T_249 = geq(UInt<2>("h03"), _T_238) @[axi4_to_ahb.scala 136:62] + node _T_250 = and(_T_248, _T_249) @[axi4_to_ahb.scala 136:48] + node _T_251 = bits(_T_235, 4, 4) @[axi4_to_ahb.scala 136:44] + node _T_252 = geq(UInt<3>("h04"), _T_238) @[axi4_to_ahb.scala 136:62] + node _T_253 = and(_T_251, _T_252) @[axi4_to_ahb.scala 136:48] + node _T_254 = bits(_T_235, 5, 5) @[axi4_to_ahb.scala 136:44] + node _T_255 = geq(UInt<3>("h05"), _T_238) @[axi4_to_ahb.scala 136:62] + node _T_256 = and(_T_254, _T_255) @[axi4_to_ahb.scala 136:48] + node _T_257 = bits(_T_235, 6, 6) @[axi4_to_ahb.scala 136:44] + node _T_258 = geq(UInt<3>("h06"), _T_238) @[axi4_to_ahb.scala 136:62] + node _T_259 = and(_T_257, _T_258) @[axi4_to_ahb.scala 136:48] + node _T_260 = bits(_T_235, 7, 7) @[axi4_to_ahb.scala 136:44] + node _T_261 = geq(UInt<3>("h07"), _T_238) @[axi4_to_ahb.scala 136:62] + node _T_262 = and(_T_260, _T_261) @[axi4_to_ahb.scala 136:48] + node _T_263 = mux(_T_262, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] + node _T_264 = mux(_T_259, UInt<3>("h06"), _T_263) @[Mux.scala 98:16] + node _T_265 = mux(_T_256, UInt<3>("h05"), _T_264) @[Mux.scala 98:16] + node _T_266 = mux(_T_253, UInt<3>("h04"), _T_265) @[Mux.scala 98:16] + node _T_267 = mux(_T_250, UInt<2>("h03"), _T_266) @[Mux.scala 98:16] + node _T_268 = mux(_T_247, UInt<2>("h02"), _T_267) @[Mux.scala 98:16] + node _T_269 = mux(_T_244, UInt<1>("h01"), _T_268) @[Mux.scala 98:16] + node _T_270 = mux(_T_241, UInt<1>("h00"), _T_269) @[Mux.scala 98:16] + node _T_271 = dshr(buf_byteen, _T_270) @[axi4_to_ahb.scala 234:92] + node _T_272 = bits(_T_271, 0, 0) @[axi4_to_ahb.scala 234:92] + node _T_273 = eq(_T_272, UInt<1>("h00")) @[axi4_to_ahb.scala 234:163] + node _T_274 = or(_T_233, _T_273) @[axi4_to_ahb.scala 234:79] + node _T_275 = and(trxn_done, _T_274) @[axi4_to_ahb.scala 234:29] + cmd_done <= _T_275 @[axi4_to_ahb.scala 234:16] + node _T_276 = or(cmd_done, cmd_doneQ) @[axi4_to_ahb.scala 235:47] + node _T_277 = eq(_T_276, UInt<1>("h00")) @[axi4_to_ahb.scala 235:36] + node _T_278 = bits(_T_277, 0, 0) @[Bitwise.scala 72:15] + node _T_279 = mux(_T_278, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_280 = and(_T_279, UInt<2>("h02")) @[axi4_to_ahb.scala 235:61] + io.ahb.out.htrans <= _T_280 @[axi4_to_ahb.scala 235:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_281 = eq(UInt<3>("h04"), buf_state) @[Conditional.scala 37:30] + when _T_281 : @[Conditional.scala 39:67] + node _T_282 = and(cmd_doneQ, ahb_hready_q) @[axi4_to_ahb.scala 239:34] + node _T_283 = or(_T_282, ahb_hresp_q) @[axi4_to_ahb.scala 239:50] + buf_state_en <= _T_283 @[axi4_to_ahb.scala 239:20] + node _T_284 = eq(ahb_hresp_q, UInt<1>("h00")) @[axi4_to_ahb.scala 240:38] + node _T_285 = and(buf_state_en, _T_284) @[axi4_to_ahb.scala 240:36] + node _T_286 = and(_T_285, slave_ready) @[axi4_to_ahb.scala 240:51] + master_ready <= _T_286 @[axi4_to_ahb.scala 240:20] + node _T_287 = eq(slave_ready, UInt<1>("h00")) @[axi4_to_ahb.scala 241:42] + node _T_288 = or(ahb_hresp_q, _T_287) @[axi4_to_ahb.scala 241:40] + node _T_289 = and(master_valid, master_valid) @[axi4_to_ahb.scala 241:80] + node _T_290 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 241:111] + node _T_291 = eq(_T_290, UInt<1>("h01")) @[axi4_to_ahb.scala 241:117] + node _T_292 = bits(_T_291, 0, 0) @[axi4_to_ahb.scala 241:132] + node _T_293 = mux(_T_292, UInt<3>("h02"), UInt<3>("h01")) @[axi4_to_ahb.scala 241:99] + node _T_294 = mux(_T_289, _T_293, UInt<3>("h00")) @[axi4_to_ahb.scala 241:65] + node _T_295 = mux(_T_288, UInt<3>("h05"), _T_294) @[axi4_to_ahb.scala 241:26] + buf_nxtstate <= _T_295 @[axi4_to_ahb.scala 241:20] + slvbuf_error_in <= ahb_hresp_q @[axi4_to_ahb.scala 242:23] + slvbuf_error_en <= buf_state_en @[axi4_to_ahb.scala 243:23] + node _T_296 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 244:33] + node _T_297 = eq(_T_296, UInt<1>("h01")) @[axi4_to_ahb.scala 244:39] + buf_write_in <= _T_297 @[axi4_to_ahb.scala 244:20] + node _T_298 = eq(buf_nxtstate, UInt<3>("h02")) @[axi4_to_ahb.scala 245:50] + node _T_299 = eq(buf_nxtstate, UInt<3>("h01")) @[axi4_to_ahb.scala 245:78] + node _T_300 = or(_T_298, _T_299) @[axi4_to_ahb.scala 245:62] + node _T_301 = and(buf_state_en, _T_300) @[axi4_to_ahb.scala 245:33] + buf_wr_en <= _T_301 @[axi4_to_ahb.scala 245:17] + buf_data_wr_en <= buf_wr_en @[axi4_to_ahb.scala 246:22] + node _T_302 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 247:65] + node _T_303 = neq(_T_302, UInt<1>("h00")) @[axi4_to_ahb.scala 247:71] + node _T_304 = and(ahb_hready_q, _T_303) @[axi4_to_ahb.scala 247:50] + node _T_305 = eq(buf_cmd_byte_ptrQ, UInt<3>("h07")) @[axi4_to_ahb.scala 248:29] + node _T_306 = bits(buf_cmd_byte_ptrQ, 2, 0) @[axi4_to_ahb.scala 248:85] + node _T_307 = bits(buf_byteen, 7, 0) @[axi4_to_ahb.scala 248:101] + node _T_308 = add(_T_306, UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] + node _T_309 = tail(_T_308, 1) @[axi4_to_ahb.scala 135:52] + node _T_310 = mux(UInt<1>("h01"), _T_309, _T_306) @[axi4_to_ahb.scala 135:24] + node _T_311 = bits(_T_307, 0, 0) @[axi4_to_ahb.scala 136:44] + node _T_312 = geq(UInt<1>("h00"), _T_310) @[axi4_to_ahb.scala 136:62] + node _T_313 = and(_T_311, _T_312) @[axi4_to_ahb.scala 136:48] + node _T_314 = bits(_T_307, 1, 1) @[axi4_to_ahb.scala 136:44] + node _T_315 = geq(UInt<1>("h01"), _T_310) @[axi4_to_ahb.scala 136:62] + node _T_316 = and(_T_314, _T_315) @[axi4_to_ahb.scala 136:48] + node _T_317 = bits(_T_307, 2, 2) @[axi4_to_ahb.scala 136:44] + node _T_318 = geq(UInt<2>("h02"), _T_310) @[axi4_to_ahb.scala 136:62] + node _T_319 = and(_T_317, _T_318) @[axi4_to_ahb.scala 136:48] + node _T_320 = bits(_T_307, 3, 3) @[axi4_to_ahb.scala 136:44] + node _T_321 = geq(UInt<2>("h03"), _T_310) @[axi4_to_ahb.scala 136:62] + node _T_322 = and(_T_320, _T_321) @[axi4_to_ahb.scala 136:48] + node _T_323 = bits(_T_307, 4, 4) @[axi4_to_ahb.scala 136:44] + node _T_324 = geq(UInt<3>("h04"), _T_310) @[axi4_to_ahb.scala 136:62] + node _T_325 = and(_T_323, _T_324) @[axi4_to_ahb.scala 136:48] + node _T_326 = bits(_T_307, 5, 5) @[axi4_to_ahb.scala 136:44] + node _T_327 = geq(UInt<3>("h05"), _T_310) @[axi4_to_ahb.scala 136:62] + node _T_328 = and(_T_326, _T_327) @[axi4_to_ahb.scala 136:48] + node _T_329 = bits(_T_307, 6, 6) @[axi4_to_ahb.scala 136:44] + node _T_330 = geq(UInt<3>("h06"), _T_310) @[axi4_to_ahb.scala 136:62] + node _T_331 = and(_T_329, _T_330) @[axi4_to_ahb.scala 136:48] + node _T_332 = bits(_T_307, 7, 7) @[axi4_to_ahb.scala 136:44] + node _T_333 = geq(UInt<3>("h07"), _T_310) @[axi4_to_ahb.scala 136:62] + node _T_334 = and(_T_332, _T_333) @[axi4_to_ahb.scala 136:48] + node _T_335 = mux(_T_334, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] + node _T_336 = mux(_T_331, UInt<3>("h06"), _T_335) @[Mux.scala 98:16] + node _T_337 = mux(_T_328, UInt<3>("h05"), _T_336) @[Mux.scala 98:16] + node _T_338 = mux(_T_325, UInt<3>("h04"), _T_337) @[Mux.scala 98:16] + node _T_339 = mux(_T_322, UInt<2>("h03"), _T_338) @[Mux.scala 98:16] + node _T_340 = mux(_T_319, UInt<2>("h02"), _T_339) @[Mux.scala 98:16] + node _T_341 = mux(_T_316, UInt<1>("h01"), _T_340) @[Mux.scala 98:16] + node _T_342 = mux(_T_313, UInt<1>("h00"), _T_341) @[Mux.scala 98:16] + node _T_343 = dshr(buf_byteen, _T_342) @[axi4_to_ahb.scala 248:51] + node _T_344 = bits(_T_343, 0, 0) @[axi4_to_ahb.scala 248:51] + node _T_345 = eq(_T_344, UInt<1>("h00")) @[axi4_to_ahb.scala 248:116] + node _T_346 = or(_T_305, _T_345) @[axi4_to_ahb.scala 248:38] + node _T_347 = and(_T_304, _T_346) @[axi4_to_ahb.scala 247:80] + node _T_348 = or(ahb_hresp_q, _T_347) @[axi4_to_ahb.scala 247:34] + cmd_done <= _T_348 @[axi4_to_ahb.scala 247:16] + node _T_349 = and(buf_state_en, buf_write_in) @[axi4_to_ahb.scala 249:33] + node _T_350 = eq(buf_nxtstate, UInt<3>("h02")) @[axi4_to_ahb.scala 249:64] + node _T_351 = and(_T_349, _T_350) @[axi4_to_ahb.scala 249:48] + bypass_en <= _T_351 @[axi4_to_ahb.scala 249:17] + node _T_352 = or(cmd_done, cmd_doneQ) @[axi4_to_ahb.scala 250:48] + node _T_353 = eq(_T_352, UInt<1>("h00")) @[axi4_to_ahb.scala 250:37] + node _T_354 = or(_T_353, bypass_en) @[axi4_to_ahb.scala 250:61] + node _T_355 = bits(_T_354, 0, 0) @[Bitwise.scala 72:15] + node _T_356 = mux(_T_355, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_357 = and(_T_356, UInt<2>("h02")) @[axi4_to_ahb.scala 250:75] + io.ahb.out.htrans <= _T_357 @[axi4_to_ahb.scala 250:25] + node _T_358 = neq(buf_nxtstate, UInt<3>("h05")) @[axi4_to_ahb.scala 251:55] + node _T_359 = and(buf_state_en, _T_358) @[axi4_to_ahb.scala 251:39] + slave_valid_pre <= _T_359 @[axi4_to_ahb.scala 251:23] + node _T_360 = and(ahb_hready_q, ahb_hwrite_q) @[axi4_to_ahb.scala 252:33] + node _T_361 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 252:63] + node _T_362 = neq(_T_361, UInt<1>("h00")) @[axi4_to_ahb.scala 252:69] + node _T_363 = and(_T_360, _T_362) @[axi4_to_ahb.scala 252:48] + trxn_done <= _T_363 @[axi4_to_ahb.scala 252:17] + node _T_364 = or(trxn_done, bypass_en) @[axi4_to_ahb.scala 253:40] + buf_cmd_byte_ptr_en <= _T_364 @[axi4_to_ahb.scala 253:27] + node _T_365 = bits(buf_byteen_in, 7, 0) @[axi4_to_ahb.scala 254:79] + node _T_366 = add(UInt<3>("h00"), UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] + node _T_367 = tail(_T_366, 1) @[axi4_to_ahb.scala 135:52] + node _T_368 = mux(UInt<1>("h00"), _T_367, UInt<3>("h00")) @[axi4_to_ahb.scala 135:24] + node _T_369 = bits(_T_365, 0, 0) @[axi4_to_ahb.scala 136:44] + node _T_370 = geq(UInt<1>("h00"), _T_368) @[axi4_to_ahb.scala 136:62] + node _T_371 = and(_T_369, _T_370) @[axi4_to_ahb.scala 136:48] + node _T_372 = bits(_T_365, 1, 1) @[axi4_to_ahb.scala 136:44] + node _T_373 = geq(UInt<1>("h01"), _T_368) @[axi4_to_ahb.scala 136:62] + node _T_374 = and(_T_372, _T_373) @[axi4_to_ahb.scala 136:48] + node _T_375 = bits(_T_365, 2, 2) @[axi4_to_ahb.scala 136:44] + node _T_376 = geq(UInt<2>("h02"), _T_368) @[axi4_to_ahb.scala 136:62] + node _T_377 = and(_T_375, _T_376) @[axi4_to_ahb.scala 136:48] + node _T_378 = bits(_T_365, 3, 3) @[axi4_to_ahb.scala 136:44] + node _T_379 = geq(UInt<2>("h03"), _T_368) @[axi4_to_ahb.scala 136:62] + node _T_380 = and(_T_378, _T_379) @[axi4_to_ahb.scala 136:48] + node _T_381 = bits(_T_365, 4, 4) @[axi4_to_ahb.scala 136:44] + node _T_382 = geq(UInt<3>("h04"), _T_368) @[axi4_to_ahb.scala 136:62] + node _T_383 = and(_T_381, _T_382) @[axi4_to_ahb.scala 136:48] + node _T_384 = bits(_T_365, 5, 5) @[axi4_to_ahb.scala 136:44] + node _T_385 = geq(UInt<3>("h05"), _T_368) @[axi4_to_ahb.scala 136:62] + node _T_386 = and(_T_384, _T_385) @[axi4_to_ahb.scala 136:48] + node _T_387 = bits(_T_365, 6, 6) @[axi4_to_ahb.scala 136:44] + node _T_388 = geq(UInt<3>("h06"), _T_368) @[axi4_to_ahb.scala 136:62] + node _T_389 = and(_T_387, _T_388) @[axi4_to_ahb.scala 136:48] + node _T_390 = bits(_T_365, 7, 7) @[axi4_to_ahb.scala 136:44] + node _T_391 = geq(UInt<3>("h07"), _T_368) @[axi4_to_ahb.scala 136:62] + node _T_392 = and(_T_390, _T_391) @[axi4_to_ahb.scala 136:48] + node _T_393 = mux(_T_392, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] + node _T_394 = mux(_T_389, UInt<3>("h06"), _T_393) @[Mux.scala 98:16] + node _T_395 = mux(_T_386, UInt<3>("h05"), _T_394) @[Mux.scala 98:16] + node _T_396 = mux(_T_383, UInt<3>("h04"), _T_395) @[Mux.scala 98:16] + node _T_397 = mux(_T_380, UInt<2>("h03"), _T_396) @[Mux.scala 98:16] + node _T_398 = mux(_T_377, UInt<2>("h02"), _T_397) @[Mux.scala 98:16] + node _T_399 = mux(_T_374, UInt<1>("h01"), _T_398) @[Mux.scala 98:16] + node _T_400 = mux(_T_371, UInt<1>("h00"), _T_399) @[Mux.scala 98:16] + node _T_401 = bits(buf_cmd_byte_ptrQ, 2, 0) @[axi4_to_ahb.scala 254:141] + node _T_402 = bits(buf_byteen, 7, 0) @[axi4_to_ahb.scala 254:157] + node _T_403 = add(_T_401, UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] + node _T_404 = tail(_T_403, 1) @[axi4_to_ahb.scala 135:52] + node _T_405 = mux(UInt<1>("h01"), _T_404, _T_401) @[axi4_to_ahb.scala 135:24] + node _T_406 = bits(_T_402, 0, 0) @[axi4_to_ahb.scala 136:44] + node _T_407 = geq(UInt<1>("h00"), _T_405) @[axi4_to_ahb.scala 136:62] + node _T_408 = and(_T_406, _T_407) @[axi4_to_ahb.scala 136:48] + node _T_409 = bits(_T_402, 1, 1) @[axi4_to_ahb.scala 136:44] + node _T_410 = geq(UInt<1>("h01"), _T_405) @[axi4_to_ahb.scala 136:62] + node _T_411 = and(_T_409, _T_410) @[axi4_to_ahb.scala 136:48] + node _T_412 = bits(_T_402, 2, 2) @[axi4_to_ahb.scala 136:44] + node _T_413 = geq(UInt<2>("h02"), _T_405) @[axi4_to_ahb.scala 136:62] + node _T_414 = and(_T_412, _T_413) @[axi4_to_ahb.scala 136:48] + node _T_415 = bits(_T_402, 3, 3) @[axi4_to_ahb.scala 136:44] + node _T_416 = geq(UInt<2>("h03"), _T_405) @[axi4_to_ahb.scala 136:62] + node _T_417 = and(_T_415, _T_416) @[axi4_to_ahb.scala 136:48] + node _T_418 = bits(_T_402, 4, 4) @[axi4_to_ahb.scala 136:44] + node _T_419 = geq(UInt<3>("h04"), _T_405) @[axi4_to_ahb.scala 136:62] + node _T_420 = and(_T_418, _T_419) @[axi4_to_ahb.scala 136:48] + node _T_421 = bits(_T_402, 5, 5) @[axi4_to_ahb.scala 136:44] + node _T_422 = geq(UInt<3>("h05"), _T_405) @[axi4_to_ahb.scala 136:62] + node _T_423 = and(_T_421, _T_422) @[axi4_to_ahb.scala 136:48] + node _T_424 = bits(_T_402, 6, 6) @[axi4_to_ahb.scala 136:44] + node _T_425 = geq(UInt<3>("h06"), _T_405) @[axi4_to_ahb.scala 136:62] + node _T_426 = and(_T_424, _T_425) @[axi4_to_ahb.scala 136:48] + node _T_427 = bits(_T_402, 7, 7) @[axi4_to_ahb.scala 136:44] + node _T_428 = geq(UInt<3>("h07"), _T_405) @[axi4_to_ahb.scala 136:62] + node _T_429 = and(_T_427, _T_428) @[axi4_to_ahb.scala 136:48] + node _T_430 = mux(_T_429, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] + node _T_431 = mux(_T_426, UInt<3>("h06"), _T_430) @[Mux.scala 98:16] + node _T_432 = mux(_T_423, UInt<3>("h05"), _T_431) @[Mux.scala 98:16] + node _T_433 = mux(_T_420, UInt<3>("h04"), _T_432) @[Mux.scala 98:16] + node _T_434 = mux(_T_417, UInt<2>("h03"), _T_433) @[Mux.scala 98:16] + node _T_435 = mux(_T_414, UInt<2>("h02"), _T_434) @[Mux.scala 98:16] + node _T_436 = mux(_T_411, UInt<1>("h01"), _T_435) @[Mux.scala 98:16] + node _T_437 = mux(_T_408, UInt<1>("h00"), _T_436) @[Mux.scala 98:16] + node _T_438 = mux(trxn_done, _T_437, buf_cmd_byte_ptrQ) @[axi4_to_ahb.scala 254:97] + node _T_439 = mux(bypass_en, _T_400, _T_438) @[axi4_to_ahb.scala 254:30] + buf_cmd_byte_ptr <= _T_439 @[axi4_to_ahb.scala 254:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_440 = eq(UInt<3>("h05"), buf_state) @[Conditional.scala 37:30] + when _T_440 : @[Conditional.scala 39:67] + buf_nxtstate <= UInt<3>("h00") @[axi4_to_ahb.scala 257:20] + buf_state_en <= slave_ready @[axi4_to_ahb.scala 258:20] + slvbuf_error_en <= UInt<1>("h01") @[axi4_to_ahb.scala 259:23] + slave_valid_pre <= UInt<1>("h01") @[axi4_to_ahb.scala 260:23] + skip @[Conditional.scala 39:67] + cmd_done_rst <= slave_valid_pre @[axi4_to_ahb.scala 264:16] + node _T_441 = bits(master_addr, 31, 3) @[axi4_to_ahb.scala 265:33] + node _T_442 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 265:73] + node _T_443 = eq(_T_442, UInt<1>("h01")) @[axi4_to_ahb.scala 265:80] + node _T_444 = and(buf_aligned_in, _T_443) @[axi4_to_ahb.scala 265:60] + node _T_445 = bits(_T_444, 0, 0) @[axi4_to_ahb.scala 265:100] + node _T_446 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 265:132] + node _T_447 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 127:50] + node _T_448 = eq(_T_447, UInt<8>("h0ff")) @[axi4_to_ahb.scala 127:57] + node _T_449 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 127:81] + node _T_450 = eq(_T_449, UInt<8>("h0f")) @[axi4_to_ahb.scala 127:88] + node _T_451 = or(_T_448, _T_450) @[axi4_to_ahb.scala 127:70] + node _T_452 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 127:117] + node _T_453 = eq(_T_452, UInt<8>("h03")) @[axi4_to_ahb.scala 127:124] + node _T_454 = or(_T_451, _T_453) @[axi4_to_ahb.scala 127:106] + node _T_455 = bits(_T_454, 0, 0) @[Bitwise.scala 72:15] + node _T_456 = mux(_T_455, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_457 = and(UInt<3>("h00"), _T_456) @[axi4_to_ahb.scala 127:29] + node _T_458 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 128:35] + node _T_459 = eq(_T_458, UInt<8>("h0c")) @[axi4_to_ahb.scala 128:42] + node _T_460 = bits(_T_459, 0, 0) @[Bitwise.scala 72:15] + node _T_461 = mux(_T_460, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_462 = and(UInt<2>("h02"), _T_461) @[axi4_to_ahb.scala 128:15] + node _T_463 = or(_T_457, _T_462) @[axi4_to_ahb.scala 127:146] + node _T_464 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 129:36] + node _T_465 = eq(_T_464, UInt<8>("h0f0")) @[axi4_to_ahb.scala 129:43] + node _T_466 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 129:67] + node _T_467 = eq(_T_466, UInt<8>("h03")) @[axi4_to_ahb.scala 129:74] + node _T_468 = or(_T_465, _T_467) @[axi4_to_ahb.scala 129:56] + node _T_469 = bits(_T_468, 0, 0) @[Bitwise.scala 72:15] + node _T_470 = mux(_T_469, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_471 = and(UInt<3>("h04"), _T_470) @[axi4_to_ahb.scala 129:15] + node _T_472 = or(_T_463, _T_471) @[axi4_to_ahb.scala 128:63] + node _T_473 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 130:35] + node _T_474 = eq(_T_473, UInt<8>("h0c0")) @[axi4_to_ahb.scala 130:42] + node _T_475 = bits(_T_474, 0, 0) @[Bitwise.scala 72:15] + node _T_476 = mux(_T_475, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_477 = and(UInt<3>("h06"), _T_476) @[axi4_to_ahb.scala 130:15] + node _T_478 = or(_T_472, _T_477) @[axi4_to_ahb.scala 129:96] + node _T_479 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 131:33] + node _T_480 = eq(_T_479, UInt<8>("h0c0")) @[axi4_to_ahb.scala 131:40] + node _T_481 = bits(_T_480, 0, 0) @[Bitwise.scala 72:15] + node _T_482 = mux(_T_481, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_483 = and(UInt<3>("h06"), _T_482) @[axi4_to_ahb.scala 131:13] + node _T_484 = bits(master_addr, 2, 0) @[axi4_to_ahb.scala 265:152] + node _T_485 = mux(_T_445, _T_478, _T_484) @[axi4_to_ahb.scala 265:43] + node _T_486 = cat(_T_441, _T_485) @[Cat.scala 29:58] + buf_addr_in <= _T_486 @[axi4_to_ahb.scala 265:15] + node _T_487 = bits(master_tag, 2, 0) @[axi4_to_ahb.scala 266:27] + buf_tag_in <= _T_487 @[axi4_to_ahb.scala 266:14] + node _T_488 = bits(wrbuf_byteen, 7, 0) @[axi4_to_ahb.scala 267:32] + buf_byteen_in <= _T_488 @[axi4_to_ahb.scala 267:17] + node _T_489 = eq(buf_state, UInt<3>("h03")) @[axi4_to_ahb.scala 268:33] + node _T_490 = bits(ahb_hrdata_q, 63, 0) @[axi4_to_ahb.scala 268:59] + node _T_491 = bits(master_wdata, 63, 0) @[axi4_to_ahb.scala 268:80] + node _T_492 = mux(_T_489, _T_490, _T_491) @[axi4_to_ahb.scala 268:21] + buf_data_in <= _T_492 @[axi4_to_ahb.scala 268:15] + node _T_493 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 269:52] + node _T_494 = eq(_T_493, UInt<2>("h03")) @[axi4_to_ahb.scala 269:58] + node _T_495 = and(buf_aligned_in, _T_494) @[axi4_to_ahb.scala 269:38] + node _T_496 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 269:84] + node _T_497 = eq(_T_496, UInt<1>("h01")) @[axi4_to_ahb.scala 269:91] + node _T_498 = and(_T_495, _T_497) @[axi4_to_ahb.scala 269:71] + node _T_499 = bits(_T_498, 0, 0) @[axi4_to_ahb.scala 269:111] + node _T_500 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 269:142] + node _T_501 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 121:42] + node _T_502 = eq(_T_501, UInt<8>("h0ff")) @[axi4_to_ahb.scala 121:49] + node _T_503 = bits(_T_502, 0, 0) @[Bitwise.scala 72:15] + node _T_504 = mux(_T_503, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_505 = and(UInt<2>("h03"), _T_504) @[axi4_to_ahb.scala 121:25] + node _T_506 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 122:35] + node _T_507 = eq(_T_506, UInt<8>("h0f0")) @[axi4_to_ahb.scala 122:42] + node _T_508 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 122:64] + node _T_509 = eq(_T_508, UInt<8>("h0f")) @[axi4_to_ahb.scala 122:71] + node _T_510 = or(_T_507, _T_509) @[axi4_to_ahb.scala 122:55] + node _T_511 = bits(_T_510, 0, 0) @[Bitwise.scala 72:15] + node _T_512 = mux(_T_511, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_513 = and(UInt<2>("h02"), _T_512) @[axi4_to_ahb.scala 122:16] + node _T_514 = or(_T_505, _T_513) @[axi4_to_ahb.scala 121:64] + node _T_515 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 123:40] + node _T_516 = eq(_T_515, UInt<8>("h0c0")) @[axi4_to_ahb.scala 123:47] + node _T_517 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 123:69] + node _T_518 = eq(_T_517, UInt<6>("h030")) @[axi4_to_ahb.scala 123:76] + node _T_519 = or(_T_516, _T_518) @[axi4_to_ahb.scala 123:60] + node _T_520 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 123:98] + node _T_521 = eq(_T_520, UInt<8>("h0c")) @[axi4_to_ahb.scala 123:105] + node _T_522 = or(_T_519, _T_521) @[axi4_to_ahb.scala 123:89] + node _T_523 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 123:132] + node _T_524 = eq(_T_523, UInt<8>("h03")) @[axi4_to_ahb.scala 123:139] + node _T_525 = or(_T_522, _T_524) @[axi4_to_ahb.scala 123:123] + node _T_526 = bits(_T_525, 0, 0) @[Bitwise.scala 72:15] + node _T_527 = mux(_T_526, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_528 = and(UInt<2>("h01"), _T_527) @[axi4_to_ahb.scala 123:21] + node _T_529 = or(_T_514, _T_528) @[axi4_to_ahb.scala 122:93] + node _T_530 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 269:161] + node _T_531 = mux(_T_499, _T_529, _T_530) @[axi4_to_ahb.scala 269:21] + buf_size_in <= _T_531 @[axi4_to_ahb.scala 269:15] + node _T_532 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 270:32] + node _T_533 = eq(_T_532, UInt<1>("h00")) @[axi4_to_ahb.scala 270:39] + node _T_534 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 271:17] + node _T_535 = eq(_T_534, UInt<1>("h00")) @[axi4_to_ahb.scala 271:24] + node _T_536 = or(_T_533, _T_535) @[axi4_to_ahb.scala 270:48] + node _T_537 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 271:47] + node _T_538 = eq(_T_537, UInt<2>("h01")) @[axi4_to_ahb.scala 271:54] + node _T_539 = or(_T_536, _T_538) @[axi4_to_ahb.scala 271:33] + node _T_540 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 271:86] + node _T_541 = eq(_T_540, UInt<2>("h02")) @[axi4_to_ahb.scala 271:93] + node _T_542 = or(_T_539, _T_541) @[axi4_to_ahb.scala 271:72] + node _T_543 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 272:18] + node _T_544 = eq(_T_543, UInt<2>("h03")) @[axi4_to_ahb.scala 272:25] + node _T_545 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 272:55] + node _T_546 = eq(_T_545, UInt<2>("h03")) @[axi4_to_ahb.scala 272:62] + node _T_547 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 272:90] + node _T_548 = eq(_T_547, UInt<4>("h0c")) @[axi4_to_ahb.scala 272:97] + node _T_549 = or(_T_546, _T_548) @[axi4_to_ahb.scala 272:74] + node _T_550 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 272:125] + node _T_551 = eq(_T_550, UInt<6>("h030")) @[axi4_to_ahb.scala 272:132] + node _T_552 = or(_T_549, _T_551) @[axi4_to_ahb.scala 272:109] + node _T_553 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 272:161] + node _T_554 = eq(_T_553, UInt<8>("h0c0")) @[axi4_to_ahb.scala 272:168] + node _T_555 = or(_T_552, _T_554) @[axi4_to_ahb.scala 272:145] + node _T_556 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 273:21] + node _T_557 = eq(_T_556, UInt<4>("h0f")) @[axi4_to_ahb.scala 273:28] + node _T_558 = or(_T_555, _T_557) @[axi4_to_ahb.scala 272:181] + node _T_559 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 273:56] + node _T_560 = eq(_T_559, UInt<8>("h0f0")) @[axi4_to_ahb.scala 273:63] + node _T_561 = or(_T_558, _T_560) @[axi4_to_ahb.scala 273:40] + node _T_562 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 273:92] + node _T_563 = eq(_T_562, UInt<8>("h0ff")) @[axi4_to_ahb.scala 273:99] + node _T_564 = or(_T_561, _T_563) @[axi4_to_ahb.scala 273:76] + node _T_565 = and(_T_544, _T_564) @[axi4_to_ahb.scala 272:38] + node _T_566 = or(_T_542, _T_565) @[axi4_to_ahb.scala 271:106] + buf_aligned_in <= _T_566 @[axi4_to_ahb.scala 270:18] + node _T_567 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 275:43] + node _T_568 = bits(master_addr, 31, 3) @[axi4_to_ahb.scala 275:62] + node _T_569 = bits(buf_cmd_byte_ptr, 2, 0) @[axi4_to_ahb.scala 275:87] + node _T_570 = cat(_T_568, _T_569) @[Cat.scala 29:58] + node _T_571 = bits(buf_addr, 31, 3) @[axi4_to_ahb.scala 275:108] + node _T_572 = bits(buf_cmd_byte_ptr, 2, 0) @[axi4_to_ahb.scala 275:133] + node _T_573 = cat(_T_571, _T_572) @[Cat.scala 29:58] + node _T_574 = mux(_T_567, _T_570, _T_573) @[axi4_to_ahb.scala 275:26] + io.ahb.out.haddr <= _T_574 @[axi4_to_ahb.scala 275:20] + node _T_575 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 276:43] + node _T_576 = bits(buf_aligned_in, 0, 0) @[Bitwise.scala 72:15] + node _T_577 = mux(_T_576, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_578 = bits(buf_size_in, 1, 0) @[axi4_to_ahb.scala 276:94] + node _T_579 = and(_T_577, _T_578) @[axi4_to_ahb.scala 276:81] + node _T_580 = cat(UInt<1>("h00"), _T_579) @[Cat.scala 29:58] + node _T_581 = bits(buf_aligned, 0, 0) @[Bitwise.scala 72:15] + node _T_582 = mux(_T_581, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_583 = bits(buf_size, 1, 0) @[axi4_to_ahb.scala 276:148] + node _T_584 = and(_T_582, _T_583) @[axi4_to_ahb.scala 276:138] + node _T_585 = cat(UInt<1>("h00"), _T_584) @[Cat.scala 29:58] + node _T_586 = mux(_T_575, _T_580, _T_585) @[axi4_to_ahb.scala 276:26] + io.ahb.out.hsize <= _T_586 @[axi4_to_ahb.scala 276:20] + io.ahb.out.hburst <= UInt<1>("h00") @[axi4_to_ahb.scala 278:21] + io.ahb.out.hmastlock <= UInt<1>("h00") @[axi4_to_ahb.scala 279:24] + node _T_587 = bits(io.axi.ar.bits.prot, 2, 2) @[axi4_to_ahb.scala 280:57] + node _T_588 = eq(_T_587, UInt<1>("h00")) @[axi4_to_ahb.scala 280:37] + node _T_589 = cat(UInt<1>("h01"), _T_588) @[Cat.scala 29:58] + io.ahb.out.hprot <= _T_589 @[axi4_to_ahb.scala 280:20] + node _T_590 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 281:44] + node _T_591 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 281:59] + node _T_592 = eq(_T_591, UInt<1>("h01")) @[axi4_to_ahb.scala 281:66] + node _T_593 = mux(_T_590, _T_592, buf_write) @[axi4_to_ahb.scala 281:27] + io.ahb.out.hwrite <= _T_593 @[axi4_to_ahb.scala 281:21] + node _T_594 = bits(buf_data, 63, 0) @[axi4_to_ahb.scala 282:32] + io.ahb.out.hwdata <= _T_594 @[axi4_to_ahb.scala 282:21] + slave_valid <= slave_valid_pre @[axi4_to_ahb.scala 284:15] + node _T_595 = bits(slvbuf_write, 0, 0) @[axi4_to_ahb.scala 285:43] + node _T_596 = mux(_T_595, UInt<2>("h03"), UInt<1>("h00")) @[axi4_to_ahb.scala 285:23] + node _T_597 = bits(slvbuf_error, 0, 0) @[Bitwise.scala 72:15] + node _T_598 = mux(_T_597, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_599 = and(_T_598, UInt<2>("h02")) @[axi4_to_ahb.scala 285:88] + node _T_600 = cat(_T_596, _T_599) @[Cat.scala 29:58] + slave_opc <= _T_600 @[axi4_to_ahb.scala 285:13] + node _T_601 = bits(slvbuf_error, 0, 0) @[axi4_to_ahb.scala 286:41] + node _T_602 = bits(last_bus_addr, 31, 0) @[axi4_to_ahb.scala 286:66] + node _T_603 = cat(_T_602, _T_602) @[Cat.scala 29:58] + node _T_604 = eq(buf_state, UInt<3>("h05")) @[axi4_to_ahb.scala 286:91] + node _T_605 = bits(buf_data, 63, 0) @[axi4_to_ahb.scala 286:110] + node _T_606 = bits(ahb_hrdata_q, 63, 0) @[axi4_to_ahb.scala 286:131] + node _T_607 = mux(_T_604, _T_605, _T_606) @[axi4_to_ahb.scala 286:79] + node _T_608 = mux(_T_601, _T_603, _T_607) @[axi4_to_ahb.scala 286:21] + slave_rdata <= _T_608 @[axi4_to_ahb.scala 286:15] + node _T_609 = bits(slvbuf_tag, 2, 0) @[axi4_to_ahb.scala 287:26] + slave_tag <= _T_609 @[axi4_to_ahb.scala 287:13] + node _T_610 = bits(io.ahb.out.htrans, 1, 0) @[axi4_to_ahb.scala 289:37] + node _T_611 = neq(_T_610, UInt<1>("h00")) @[axi4_to_ahb.scala 289:44] + node _T_612 = and(_T_611, io.ahb.in.hready) @[axi4_to_ahb.scala 289:56] + node _T_613 = and(_T_612, io.ahb.out.hwrite) @[axi4_to_ahb.scala 289:75] + last_addr_en <= _T_613 @[axi4_to_ahb.scala 289:16] + node _T_614 = and(io.axi.aw.valid, io.axi.aw.ready) @[axi4_to_ahb.scala 291:31] + node _T_615 = and(_T_614, master_ready) @[axi4_to_ahb.scala 291:49] + wrbuf_en <= _T_615 @[axi4_to_ahb.scala 291:12] + node _T_616 = and(io.axi.w.valid, io.axi.w.ready) @[axi4_to_ahb.scala 292:35] + node _T_617 = and(_T_616, master_ready) @[axi4_to_ahb.scala 292:52] + wrbuf_data_en <= _T_617 @[axi4_to_ahb.scala 292:17] + node _T_618 = and(master_valid, master_ready) @[axi4_to_ahb.scala 293:34] + node _T_619 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 293:62] + node _T_620 = eq(_T_619, UInt<1>("h01")) @[axi4_to_ahb.scala 293:69] + node _T_621 = and(_T_618, _T_620) @[axi4_to_ahb.scala 293:49] + wrbuf_cmd_sent <= _T_621 @[axi4_to_ahb.scala 293:18] + node _T_622 = eq(wrbuf_en, UInt<1>("h00")) @[axi4_to_ahb.scala 294:33] + node _T_623 = and(wrbuf_cmd_sent, _T_622) @[axi4_to_ahb.scala 294:31] + wrbuf_rst <= _T_623 @[axi4_to_ahb.scala 294:13] + node _T_624 = eq(wrbuf_cmd_sent, UInt<1>("h00")) @[axi4_to_ahb.scala 296:36] + node _T_625 = and(wrbuf_vld, _T_624) @[axi4_to_ahb.scala 296:34] + node _T_626 = eq(_T_625, UInt<1>("h00")) @[axi4_to_ahb.scala 296:22] + node _T_627 = and(_T_626, master_ready) @[axi4_to_ahb.scala 296:53] + io.axi.aw.ready <= _T_627 @[axi4_to_ahb.scala 296:19] + node _T_628 = eq(wrbuf_cmd_sent, UInt<1>("h00")) @[axi4_to_ahb.scala 297:40] + node _T_629 = and(wrbuf_data_vld, _T_628) @[axi4_to_ahb.scala 297:38] + node _T_630 = eq(_T_629, UInt<1>("h00")) @[axi4_to_ahb.scala 297:21] + node _T_631 = and(_T_630, master_ready) @[axi4_to_ahb.scala 297:57] + io.axi.w.ready <= _T_631 @[axi4_to_ahb.scala 297:18] + node _T_632 = and(wrbuf_vld, wrbuf_data_vld) @[axi4_to_ahb.scala 298:34] + node _T_633 = eq(_T_632, UInt<1>("h00")) @[axi4_to_ahb.scala 298:22] + node _T_634 = and(_T_633, master_ready) @[axi4_to_ahb.scala 298:52] + io.axi.ar.ready <= _T_634 @[axi4_to_ahb.scala 298:19] + io.axi.r.bits.last <= UInt<1>("h01") @[axi4_to_ahb.scala 299:22] + node _T_635 = bits(wrbuf_en, 0, 0) @[axi4_to_ahb.scala 301:71] + node _T_636 = mux(_T_635, UInt<1>("h01"), wrbuf_vld) @[axi4_to_ahb.scala 301:55] + node _T_637 = eq(wrbuf_rst, UInt<1>("h00")) @[axi4_to_ahb.scala 301:91] + node _T_638 = and(_T_636, _T_637) @[axi4_to_ahb.scala 301:89] + reg _T_639 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 301:51] + _T_639 <= _T_638 @[axi4_to_ahb.scala 301:51] + wrbuf_vld <= _T_639 @[axi4_to_ahb.scala 301:21] + node _T_640 = bits(wrbuf_data_en, 0, 0) @[axi4_to_ahb.scala 302:76] + node _T_641 = mux(_T_640, UInt<1>("h01"), wrbuf_data_vld) @[axi4_to_ahb.scala 302:55] + node _T_642 = eq(wrbuf_rst, UInt<1>("h00")) @[axi4_to_ahb.scala 302:102] + node _T_643 = and(_T_641, _T_642) @[axi4_to_ahb.scala 302:100] + reg _T_644 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 302:51] + _T_644 <= _T_643 @[axi4_to_ahb.scala 302:51] + wrbuf_data_vld <= _T_644 @[axi4_to_ahb.scala 302:21] + node _T_645 = bits(io.axi.aw.bits.id, 2, 0) @[axi4_to_ahb.scala 303:71] + node _T_646 = bits(wrbuf_en, 0, 0) @[axi4_to_ahb.scala 303:105] + reg _T_647 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_646 : @[Reg.scala 28:19] + _T_647 <= _T_645 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + wrbuf_tag <= _T_647 @[axi4_to_ahb.scala 303:21] + node _T_648 = bits(io.axi.aw.bits.size, 2, 0) @[axi4_to_ahb.scala 304:73] + node _T_649 = bits(wrbuf_en, 0, 0) @[axi4_to_ahb.scala 304:101] + reg _T_650 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_649 : @[Reg.scala 28:19] + _T_650 <= _T_648 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + wrbuf_size <= _T_650 @[axi4_to_ahb.scala 304:21] + node _T_651 = bits(wrbuf_en, 0, 0) @[axi4_to_ahb.scala 305:61] + inst rvclkhdr_2 of rvclkhdr_871 @[lib.scala 368:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= bus_clk @[lib.scala 370:18] + rvclkhdr_2.io.en <= _T_651 @[lib.scala 371:17] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_652 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_652 <= io.axi.aw.bits.addr @[lib.scala 374:16] + wrbuf_addr <= _T_652 @[axi4_to_ahb.scala 305:21] + node _T_653 = bits(wrbuf_data_en, 0, 0) @[axi4_to_ahb.scala 306:65] + inst rvclkhdr_3 of rvclkhdr_872 @[lib.scala 368:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= bus_clk @[lib.scala 370:18] + rvclkhdr_3.io.en <= _T_653 @[lib.scala 371:17] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_654 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_654 <= io.axi.w.bits.data @[lib.scala 374:16] + wrbuf_data <= _T_654 @[axi4_to_ahb.scala 306:21] + node _T_655 = bits(io.axi.w.bits.strb, 7, 0) @[axi4_to_ahb.scala 307:72] + node _T_656 = bits(wrbuf_data_en, 0, 0) @[axi4_to_ahb.scala 307:105] + reg _T_657 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_656 : @[Reg.scala 28:19] + _T_657 <= _T_655 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + wrbuf_byteen <= _T_657 @[axi4_to_ahb.scala 307:21] + node _T_658 = bits(io.ahb.out.haddr, 31, 0) @[axi4_to_ahb.scala 308:71] + node _T_659 = bits(last_addr_en, 0, 0) @[axi4_to_ahb.scala 308:104] + reg _T_660 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_659 : @[Reg.scala 28:19] + _T_660 <= _T_658 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + last_bus_addr <= _T_660 @[axi4_to_ahb.scala 308:21] + node _T_661 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 309:89] + reg _T_662 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_661 : @[Reg.scala 28:19] + _T_662 <= buf_write_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_write <= _T_662 @[axi4_to_ahb.scala 309:21] + node _T_663 = bits(buf_tag_in, 2, 0) @[axi4_to_ahb.scala 310:64] + node _T_664 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 310:99] + reg _T_665 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_664 : @[Reg.scala 28:19] + _T_665 <= _T_663 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_tag <= _T_665 @[axi4_to_ahb.scala 310:21] + node _T_666 = bits(buf_addr_in, 31, 0) @[axi4_to_ahb.scala 311:42] + node _T_667 = and(buf_wr_en, io.bus_clk_en) @[axi4_to_ahb.scala 311:61] + node _T_668 = bits(_T_667, 0, 0) @[axi4_to_ahb.scala 311:78] + inst rvclkhdr_4 of rvclkhdr_873 @[lib.scala 368:23] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_4.io.en <= _T_668 @[lib.scala 371:17] + rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_669 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_669 <= _T_666 @[lib.scala 374:16] + buf_addr <= _T_669 @[axi4_to_ahb.scala 311:21] + node _T_670 = bits(buf_size_in, 1, 0) @[axi4_to_ahb.scala 312:65] + node _T_671 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 312:94] + reg _T_672 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_671 : @[Reg.scala 28:19] + _T_672 <= _T_670 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_size <= _T_672 @[axi4_to_ahb.scala 312:21] + node _T_673 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 313:91] + reg _T_674 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_673 : @[Reg.scala 28:19] + _T_674 <= buf_aligned_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_aligned <= _T_674 @[axi4_to_ahb.scala 313:21] + node _T_675 = bits(buf_byteen_in, 7, 0) @[axi4_to_ahb.scala 314:67] + node _T_676 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 314:96] + reg _T_677 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_676 : @[Reg.scala 28:19] + _T_677 <= _T_675 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_byteen <= _T_677 @[axi4_to_ahb.scala 314:21] + node _T_678 = bits(buf_data_in, 63, 0) @[axi4_to_ahb.scala 315:42] + node _T_679 = and(buf_data_wr_en, io.bus_clk_en) @[axi4_to_ahb.scala 315:66] + node _T_680 = bits(_T_679, 0, 0) @[axi4_to_ahb.scala 315:89] + inst rvclkhdr_5 of rvclkhdr_874 @[lib.scala 368:23] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_5.io.en <= _T_680 @[lib.scala 371:17] + rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_681 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_681 <= _T_678 @[lib.scala 374:16] + buf_data <= _T_681 @[axi4_to_ahb.scala 315:21] + node _T_682 = bits(slvbuf_wr_en, 0, 0) @[axi4_to_ahb.scala 316:89] + reg _T_683 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_682 : @[Reg.scala 28:19] + _T_683 <= buf_write @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + slvbuf_write <= _T_683 @[axi4_to_ahb.scala 316:21] + node _T_684 = bits(buf_tag, 2, 0) @[axi4_to_ahb.scala 317:61] + node _T_685 = bits(slvbuf_wr_en, 0, 0) @[axi4_to_ahb.scala 317:99] + reg _T_686 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_685 : @[Reg.scala 28:19] + _T_686 <= _T_684 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + slvbuf_tag <= _T_686 @[axi4_to_ahb.scala 317:21] + node _T_687 = bits(slvbuf_error_en, 0, 0) @[axi4_to_ahb.scala 318:99] + reg _T_688 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_687 : @[Reg.scala 28:19] + _T_688 <= slvbuf_error_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + slvbuf_error <= _T_688 @[axi4_to_ahb.scala 318:21] + node _T_689 = bits(cmd_done, 0, 0) @[axi4_to_ahb.scala 319:72] + node _T_690 = mux(_T_689, UInt<1>("h01"), cmd_doneQ) @[axi4_to_ahb.scala 319:56] + node _T_691 = eq(cmd_done_rst, UInt<1>("h00")) @[axi4_to_ahb.scala 319:92] + node _T_692 = and(_T_690, _T_691) @[axi4_to_ahb.scala 319:90] + reg _T_693 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 319:52] + _T_693 <= _T_692 @[axi4_to_ahb.scala 319:52] + cmd_doneQ <= _T_693 @[axi4_to_ahb.scala 319:21] + node _T_694 = bits(buf_cmd_byte_ptr, 2, 0) @[axi4_to_ahb.scala 320:71] + node _T_695 = bits(buf_cmd_byte_ptr_en, 0, 0) @[axi4_to_ahb.scala 320:110] + reg _T_696 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_695 : @[Reg.scala 28:19] + _T_696 <= _T_694 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_cmd_byte_ptrQ <= _T_696 @[axi4_to_ahb.scala 320:21] + reg _T_697 : UInt<1>, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 321:52] + _T_697 <= io.ahb.in.hready @[axi4_to_ahb.scala 321:52] + ahb_hready_q <= _T_697 @[axi4_to_ahb.scala 321:21] + node _T_698 = bits(io.ahb.out.htrans, 1, 0) @[axi4_to_ahb.scala 322:70] + reg _T_699 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 322:52] + _T_699 <= _T_698 @[axi4_to_ahb.scala 322:52] + ahb_htrans_q <= _T_699 @[axi4_to_ahb.scala 322:21] + reg _T_700 : UInt<1>, ahbm_addr_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 323:57] + _T_700 <= io.ahb.out.hwrite @[axi4_to_ahb.scala 323:57] + ahb_hwrite_q <= _T_700 @[axi4_to_ahb.scala 323:21] + reg _T_701 : UInt<1>, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 324:52] + _T_701 <= io.ahb.in.hresp @[axi4_to_ahb.scala 324:52] + ahb_hresp_q <= _T_701 @[axi4_to_ahb.scala 324:21] + node _T_702 = bits(io.ahb.in.hrdata, 63, 0) @[axi4_to_ahb.scala 325:74] + reg _T_703 : UInt, ahbm_data_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 325:57] + _T_703 <= _T_702 @[axi4_to_ahb.scala 325:57] + ahb_hrdata_q <= _T_703 @[axi4_to_ahb.scala 325:21] + node _T_704 = or(buf_wr_en, slvbuf_wr_en) @[axi4_to_ahb.scala 327:43] + node _T_705 = or(_T_704, io.clk_override) @[axi4_to_ahb.scala 327:58] + node _T_706 = and(io.bus_clk_en, _T_705) @[axi4_to_ahb.scala 327:30] + buf_clken <= _T_706 @[axi4_to_ahb.scala 327:13] + node _T_707 = bits(io.ahb.out.htrans, 1, 1) @[axi4_to_ahb.scala 328:76] + node _T_708 = and(io.ahb.in.hready, _T_707) @[axi4_to_ahb.scala 328:57] + node _T_709 = or(_T_708, io.clk_override) @[axi4_to_ahb.scala 328:81] + node _T_710 = and(io.bus_clk_en, _T_709) @[axi4_to_ahb.scala 328:36] + ahbm_addr_clken <= _T_710 @[axi4_to_ahb.scala 328:19] + node _T_711 = neq(buf_state, UInt<3>("h00")) @[axi4_to_ahb.scala 329:50] + node _T_712 = or(_T_711, io.clk_override) @[axi4_to_ahb.scala 329:60] + node _T_713 = and(io.bus_clk_en, _T_712) @[axi4_to_ahb.scala 329:36] + ahbm_data_clken <= _T_713 @[axi4_to_ahb.scala 329:19] + inst rvclkhdr_6 of rvclkhdr_875 @[lib.scala 343:22] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= reset + rvclkhdr_6.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_6.io.en <= buf_clken @[lib.scala 345:16] + rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + buf_clk <= rvclkhdr_6.io.l1clk @[axi4_to_ahb.scala 332:12] + inst rvclkhdr_7 of rvclkhdr_876 @[lib.scala 343:22] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= reset + rvclkhdr_7.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_7.io.en <= io.bus_clk_en @[lib.scala 345:16] + rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + ahbm_clk <= rvclkhdr_7.io.l1clk @[axi4_to_ahb.scala 333:12] + inst rvclkhdr_8 of rvclkhdr_877 @[lib.scala 343:22] + rvclkhdr_8.clock <= clock + rvclkhdr_8.reset <= reset + rvclkhdr_8.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_8.io.en <= ahbm_addr_clken @[lib.scala 345:16] + rvclkhdr_8.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + ahbm_addr_clk <= rvclkhdr_8.io.l1clk @[axi4_to_ahb.scala 334:17] + inst rvclkhdr_9 of rvclkhdr_878 @[lib.scala 343:22] + rvclkhdr_9.clock <= clock + rvclkhdr_9.reset <= reset + rvclkhdr_9.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_9.io.en <= ahbm_data_clken @[lib.scala 345:16] + rvclkhdr_9.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + ahbm_data_clk <= rvclkhdr_9.io.l1clk @[axi4_to_ahb.scala 335:17] + + extmodule gated_latch_879 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_879 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_879 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_880 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_880 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_880 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_881 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_881 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_881 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_882 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_882 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_882 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_883 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_883 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_883 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_884 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_884 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_884 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module ahb_to_axi4 : + input clock : Clock + input reset : AsyncReset + output io : {flip scan_mode : UInt<1>, flip bus_clk_en : UInt<1>, flip clk_override : UInt<1>, axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<1>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, ahb : {flip sig : {in : {flip hrdata : UInt<64>, flip hready : UInt<1>, flip hresp : UInt<1>}, out : {haddr : UInt<32>, hburst : UInt<3>, hmastlock : UInt<1>, hprot : UInt<4>, hsize : UInt<3>, htrans : UInt<2>, hwrite : UInt<1>, hwdata : UInt<64>}}, flip hsel : UInt<1>, flip hreadyin : UInt<1>}} + + wire _T : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<1>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}} @[ahb_to_axi4.scala 20:25] + _T.r.bits.last <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] + _T.r.bits.resp <= UInt<2>("h00") @[ahb_to_axi4.scala 20:25] + _T.r.bits.data <= UInt<64>("h00") @[ahb_to_axi4.scala 20:25] + _T.r.bits.id <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] + _T.r.valid <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] + _T.r.ready <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] + _T.ar.bits.qos <= UInt<4>("h00") @[ahb_to_axi4.scala 20:25] + _T.ar.bits.prot <= UInt<3>("h00") @[ahb_to_axi4.scala 20:25] + _T.ar.bits.cache <= UInt<4>("h00") @[ahb_to_axi4.scala 20:25] + _T.ar.bits.lock <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] + _T.ar.bits.burst <= UInt<2>("h00") @[ahb_to_axi4.scala 20:25] + _T.ar.bits.size <= UInt<3>("h00") @[ahb_to_axi4.scala 20:25] + _T.ar.bits.len <= UInt<8>("h00") @[ahb_to_axi4.scala 20:25] + _T.ar.bits.region <= UInt<4>("h00") @[ahb_to_axi4.scala 20:25] + _T.ar.bits.addr <= UInt<32>("h00") @[ahb_to_axi4.scala 20:25] + _T.ar.bits.id <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] + _T.ar.valid <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] + _T.ar.ready <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] + _T.b.bits.id <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] + _T.b.bits.resp <= UInt<2>("h00") @[ahb_to_axi4.scala 20:25] + _T.b.valid <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] + _T.b.ready <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] + _T.w.bits.last <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] + _T.w.bits.strb <= UInt<8>("h00") @[ahb_to_axi4.scala 20:25] + _T.w.bits.data <= UInt<64>("h00") @[ahb_to_axi4.scala 20:25] + _T.w.valid <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] + _T.w.ready <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] + _T.aw.bits.qos <= UInt<4>("h00") @[ahb_to_axi4.scala 20:25] + _T.aw.bits.prot <= UInt<3>("h00") @[ahb_to_axi4.scala 20:25] + _T.aw.bits.cache <= UInt<4>("h00") @[ahb_to_axi4.scala 20:25] + _T.aw.bits.lock <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] + _T.aw.bits.burst <= UInt<2>("h00") @[ahb_to_axi4.scala 20:25] + _T.aw.bits.size <= UInt<3>("h00") @[ahb_to_axi4.scala 20:25] + _T.aw.bits.len <= UInt<8>("h00") @[ahb_to_axi4.scala 20:25] + _T.aw.bits.region <= UInt<4>("h00") @[ahb_to_axi4.scala 20:25] + _T.aw.bits.addr <= UInt<32>("h00") @[ahb_to_axi4.scala 20:25] + _T.aw.bits.id <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] + _T.aw.valid <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] + _T.aw.ready <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] + _T.r.bits.last <= io.axi.r.bits.last @[ahb_to_axi4.scala 20:10] + _T.r.bits.resp <= io.axi.r.bits.resp @[ahb_to_axi4.scala 20:10] + _T.r.bits.data <= io.axi.r.bits.data @[ahb_to_axi4.scala 20:10] + _T.r.bits.id <= io.axi.r.bits.id @[ahb_to_axi4.scala 20:10] + _T.r.valid <= io.axi.r.valid @[ahb_to_axi4.scala 20:10] + io.axi.r.ready <= _T.r.ready @[ahb_to_axi4.scala 20:10] + io.axi.ar.bits.qos <= _T.ar.bits.qos @[ahb_to_axi4.scala 20:10] + io.axi.ar.bits.prot <= _T.ar.bits.prot @[ahb_to_axi4.scala 20:10] + io.axi.ar.bits.cache <= _T.ar.bits.cache @[ahb_to_axi4.scala 20:10] + io.axi.ar.bits.lock <= _T.ar.bits.lock @[ahb_to_axi4.scala 20:10] + io.axi.ar.bits.burst <= _T.ar.bits.burst @[ahb_to_axi4.scala 20:10] + io.axi.ar.bits.size <= _T.ar.bits.size @[ahb_to_axi4.scala 20:10] + io.axi.ar.bits.len <= _T.ar.bits.len @[ahb_to_axi4.scala 20:10] + io.axi.ar.bits.region <= _T.ar.bits.region @[ahb_to_axi4.scala 20:10] + io.axi.ar.bits.addr <= _T.ar.bits.addr @[ahb_to_axi4.scala 20:10] + io.axi.ar.bits.id <= _T.ar.bits.id @[ahb_to_axi4.scala 20:10] + io.axi.ar.valid <= _T.ar.valid @[ahb_to_axi4.scala 20:10] + _T.ar.ready <= io.axi.ar.ready @[ahb_to_axi4.scala 20:10] + _T.b.bits.id <= io.axi.b.bits.id @[ahb_to_axi4.scala 20:10] + _T.b.bits.resp <= io.axi.b.bits.resp @[ahb_to_axi4.scala 20:10] + _T.b.valid <= io.axi.b.valid @[ahb_to_axi4.scala 20:10] + io.axi.b.ready <= _T.b.ready @[ahb_to_axi4.scala 20:10] + io.axi.w.bits.last <= _T.w.bits.last @[ahb_to_axi4.scala 20:10] + io.axi.w.bits.strb <= _T.w.bits.strb @[ahb_to_axi4.scala 20:10] + io.axi.w.bits.data <= _T.w.bits.data @[ahb_to_axi4.scala 20:10] + io.axi.w.valid <= _T.w.valid @[ahb_to_axi4.scala 20:10] + _T.w.ready <= io.axi.w.ready @[ahb_to_axi4.scala 20:10] + io.axi.aw.bits.qos <= _T.aw.bits.qos @[ahb_to_axi4.scala 20:10] + io.axi.aw.bits.prot <= _T.aw.bits.prot @[ahb_to_axi4.scala 20:10] + io.axi.aw.bits.cache <= _T.aw.bits.cache @[ahb_to_axi4.scala 20:10] + io.axi.aw.bits.lock <= _T.aw.bits.lock @[ahb_to_axi4.scala 20:10] + io.axi.aw.bits.burst <= _T.aw.bits.burst @[ahb_to_axi4.scala 20:10] + io.axi.aw.bits.size <= _T.aw.bits.size @[ahb_to_axi4.scala 20:10] + io.axi.aw.bits.len <= _T.aw.bits.len @[ahb_to_axi4.scala 20:10] + io.axi.aw.bits.region <= _T.aw.bits.region @[ahb_to_axi4.scala 20:10] + io.axi.aw.bits.addr <= _T.aw.bits.addr @[ahb_to_axi4.scala 20:10] + io.axi.aw.bits.id <= _T.aw.bits.id @[ahb_to_axi4.scala 20:10] + io.axi.aw.valid <= _T.aw.valid @[ahb_to_axi4.scala 20:10] + _T.aw.ready <= io.axi.aw.ready @[ahb_to_axi4.scala 20:10] + wire master_wstrb : UInt<8> + master_wstrb <= UInt<8>("h00") + wire buf_state_en : UInt<1> + buf_state_en <= UInt<1>("h00") + wire buf_read_error_in : UInt<1> + buf_read_error_in <= UInt<1>("h00") + wire buf_read_error : UInt<1> + buf_read_error <= UInt<1>("h00") + wire buf_rdata : UInt<64> + buf_rdata <= UInt<64>("h00") + wire ahb_hready : UInt<1> + ahb_hready <= UInt<1>("h00") + wire ahb_hready_q : UInt<1> + ahb_hready_q <= UInt<1>("h00") + wire ahb_htrans_in : UInt<2> + ahb_htrans_in <= UInt<2>("h00") + wire ahb_htrans_q : UInt<2> + ahb_htrans_q <= UInt<2>("h00") + wire ahb_hsize_q : UInt<3> + ahb_hsize_q <= UInt<3>("h00") + wire ahb_hwrite_q : UInt<1> + ahb_hwrite_q <= UInt<1>("h00") + wire ahb_haddr_q : UInt<32> + ahb_haddr_q <= UInt<32>("h00") + wire ahb_hwdata_q : UInt<64> + ahb_hwdata_q <= UInt<64>("h00") + wire ahb_hresp_q : UInt<1> + ahb_hresp_q <= UInt<1>("h00") + wire buf_rdata_en : UInt<1> + buf_rdata_en <= UInt<1>("h00") + wire ahb_bus_addr_clk_en : UInt<1> + ahb_bus_addr_clk_en <= UInt<1>("h00") + wire buf_rdata_clk_en : UInt<1> + buf_rdata_clk_en <= UInt<1>("h00") + wire ahb_clk : Clock @[ahb_to_axi4.scala 43:33] + wire ahb_addr_clk : Clock @[ahb_to_axi4.scala 44:33] + wire buf_rdata_clk : Clock @[ahb_to_axi4.scala 45:33] + wire cmdbuf_wr_en : UInt<1> + cmdbuf_wr_en <= UInt<1>("h00") + wire cmdbuf_rst : UInt<1> + cmdbuf_rst <= UInt<1>("h00") + wire cmdbuf_full : UInt<1> + cmdbuf_full <= UInt<1>("h00") + wire cmdbuf_vld : UInt<1> + cmdbuf_vld <= UInt<1>("h00") + wire cmdbuf_write : UInt<1> + cmdbuf_write <= UInt<1>("h00") + wire cmdbuf_size : UInt<2> + cmdbuf_size <= UInt<2>("h00") + wire cmdbuf_wstrb : UInt<8> + cmdbuf_wstrb <= UInt<8>("h00") + wire cmdbuf_addr : UInt<32> + cmdbuf_addr <= UInt<32>("h00") + wire cmdbuf_wdata : UInt<64> + cmdbuf_wdata <= UInt<64>("h00") + wire bus_clk : Clock @[ahb_to_axi4.scala 57:33] + node _T_1 = bits(ahb_haddr_q, 31, 28) @[lib.scala 84:25] + node ahb_addr_in_dccm_region_nc = eq(_T_1, UInt<4>("h0f")) @[lib.scala 84:47] + node _T_2 = bits(ahb_haddr_q, 31, 16) @[lib.scala 87:14] + node ahb_addr_in_dccm = eq(_T_2, UInt<16>("h0f004")) @[lib.scala 87:29] + node _T_3 = bits(ahb_haddr_q, 31, 28) @[lib.scala 84:25] + node ahb_addr_in_iccm_region_nc = eq(_T_3, UInt<4>("h0e")) @[lib.scala 84:47] + node _T_4 = bits(ahb_haddr_q, 31, 16) @[lib.scala 87:14] + node ahb_addr_in_iccm = eq(_T_4, UInt<16>("h0ee00")) @[lib.scala 87:29] + node _T_5 = bits(ahb_haddr_q, 31, 28) @[lib.scala 84:25] + node ahb_addr_in_pic_region_nc = eq(_T_5, UInt<4>("h0f")) @[lib.scala 84:47] + node _T_6 = bits(ahb_haddr_q, 31, 15) @[lib.scala 87:14] + node ahb_addr_in_pic = eq(_T_6, UInt<17>("h01e018")) @[lib.scala 87:29] + wire buf_state : UInt<2> + buf_state <= UInt<2>("h00") + wire buf_nxtstate : UInt<2> + buf_nxtstate <= UInt<2>("h00") + buf_nxtstate <= UInt<2>("h00") @[ahb_to_axi4.scala 67:31] + buf_state_en <= UInt<1>("h00") @[ahb_to_axi4.scala 68:31] + buf_rdata_en <= UInt<1>("h00") @[ahb_to_axi4.scala 69:31] + buf_read_error_in <= UInt<1>("h00") @[ahb_to_axi4.scala 70:31] + cmdbuf_wr_en <= UInt<1>("h00") @[ahb_to_axi4.scala 71:31] + node _T_7 = eq(UInt<2>("h00"), buf_state) @[Conditional.scala 37:30] + when _T_7 : @[Conditional.scala 40:58] + node _T_8 = mux(io.ahb.sig.out.hwrite, UInt<2>("h01"), UInt<2>("h02")) @[ahb_to_axi4.scala 75:26] + buf_nxtstate <= _T_8 @[ahb_to_axi4.scala 75:20] + node _T_9 = bits(io.ahb.sig.out.htrans, 1, 1) @[ahb_to_axi4.scala 76:57] + node _T_10 = and(ahb_hready, _T_9) @[ahb_to_axi4.scala 76:34] + node _T_11 = and(_T_10, io.ahb.hsel) @[ahb_to_axi4.scala 76:61] + buf_state_en <= _T_11 @[ahb_to_axi4.scala 76:20] + skip @[Conditional.scala 40:58] + else : @[Conditional.scala 39:67] + node _T_12 = eq(UInt<2>("h01"), buf_state) @[Conditional.scala 37:30] + when _T_12 : @[Conditional.scala 39:67] + node _T_13 = bits(io.ahb.sig.out.htrans, 1, 0) @[ahb_to_axi4.scala 79:72] + node _T_14 = eq(_T_13, UInt<1>("h00")) @[ahb_to_axi4.scala 79:79] + node _T_15 = or(io.ahb.sig.in.hresp, _T_14) @[ahb_to_axi4.scala 79:48] + node _T_16 = eq(io.ahb.hsel, UInt<1>("h00")) @[ahb_to_axi4.scala 79:93] + node _T_17 = or(_T_15, _T_16) @[ahb_to_axi4.scala 79:91] + node _T_18 = bits(_T_17, 0, 0) @[ahb_to_axi4.scala 79:107] + node _T_19 = mux(io.ahb.sig.out.hwrite, UInt<2>("h01"), UInt<2>("h02")) @[ahb_to_axi4.scala 79:124] + node _T_20 = mux(_T_18, UInt<2>("h00"), _T_19) @[ahb_to_axi4.scala 79:26] + buf_nxtstate <= _T_20 @[ahb_to_axi4.scala 79:20] + node _T_21 = eq(cmdbuf_full, UInt<1>("h00")) @[ahb_to_axi4.scala 80:24] + node _T_22 = or(_T_21, io.ahb.sig.in.hresp) @[ahb_to_axi4.scala 80:37] + buf_state_en <= _T_22 @[ahb_to_axi4.scala 80:20] + node _T_23 = eq(cmdbuf_full, UInt<1>("h00")) @[ahb_to_axi4.scala 81:23] + node _T_24 = bits(io.ahb.sig.out.htrans, 1, 0) @[ahb_to_axi4.scala 81:85] + node _T_25 = eq(_T_24, UInt<2>("h01")) @[ahb_to_axi4.scala 81:92] + node _T_26 = and(_T_25, io.ahb.hsel) @[ahb_to_axi4.scala 81:110] + node _T_27 = or(io.ahb.sig.in.hresp, _T_26) @[ahb_to_axi4.scala 81:60] + node _T_28 = eq(_T_27, UInt<1>("h00")) @[ahb_to_axi4.scala 81:38] + node _T_29 = and(_T_23, _T_28) @[ahb_to_axi4.scala 81:36] + cmdbuf_wr_en <= _T_29 @[ahb_to_axi4.scala 81:20] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_30 = eq(UInt<2>("h02"), buf_state) @[Conditional.scala 37:30] + when _T_30 : @[Conditional.scala 39:67] + node _T_31 = mux(io.ahb.sig.in.hresp, UInt<2>("h00"), UInt<2>("h03")) @[ahb_to_axi4.scala 84:26] + buf_nxtstate <= _T_31 @[ahb_to_axi4.scala 84:20] + node _T_32 = eq(cmdbuf_full, UInt<1>("h00")) @[ahb_to_axi4.scala 85:24] + node _T_33 = or(_T_32, io.ahb.sig.in.hresp) @[ahb_to_axi4.scala 85:37] + buf_state_en <= _T_33 @[ahb_to_axi4.scala 85:20] + node _T_34 = eq(io.ahb.sig.in.hresp, UInt<1>("h00")) @[ahb_to_axi4.scala 86:23] + node _T_35 = eq(cmdbuf_full, UInt<1>("h00")) @[ahb_to_axi4.scala 86:46] + node _T_36 = and(_T_34, _T_35) @[ahb_to_axi4.scala 86:44] + cmdbuf_wr_en <= _T_36 @[ahb_to_axi4.scala 86:20] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_37 = eq(UInt<2>("h03"), buf_state) @[Conditional.scala 37:30] + when _T_37 : @[Conditional.scala 39:67] + buf_nxtstate <= UInt<2>("h00") @[ahb_to_axi4.scala 89:20] + node _T_38 = eq(cmdbuf_write, UInt<1>("h00")) @[ahb_to_axi4.scala 90:40] + node _T_39 = and(io.axi.r.valid, _T_38) @[ahb_to_axi4.scala 90:38] + buf_state_en <= _T_39 @[ahb_to_axi4.scala 90:20] + buf_rdata_en <= buf_state_en @[ahb_to_axi4.scala 91:20] + node _T_40 = bits(io.axi.r.bits.resp, 1, 0) @[ahb_to_axi4.scala 92:61] + node _T_41 = orr(_T_40) @[ahb_to_axi4.scala 92:68] + node _T_42 = and(buf_state_en, _T_41) @[ahb_to_axi4.scala 92:41] + buf_read_error_in <= _T_42 @[ahb_to_axi4.scala 92:25] + skip @[Conditional.scala 39:67] + node _T_43 = bits(buf_state_en, 0, 0) @[ahb_to_axi4.scala 95:99] + reg _T_44 : UInt, ahb_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_43 : @[Reg.scala 28:19] + _T_44 <= buf_nxtstate @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_state <= _T_44 @[ahb_to_axi4.scala 95:31] + node _T_45 = bits(ahb_hsize_q, 2, 0) @[ahb_to_axi4.scala 97:54] + node _T_46 = eq(_T_45, UInt<1>("h00")) @[ahb_to_axi4.scala 97:60] + node _T_47 = bits(_T_46, 0, 0) @[Bitwise.scala 72:15] + node _T_48 = mux(_T_47, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_49 = bits(ahb_haddr_q, 2, 0) @[ahb_to_axi4.scala 97:92] + node _T_50 = dshl(UInt<1>("h01"), _T_49) @[ahb_to_axi4.scala 97:78] + node _T_51 = and(_T_48, _T_50) @[ahb_to_axi4.scala 97:70] + node _T_52 = bits(ahb_hsize_q, 2, 0) @[ahb_to_axi4.scala 98:24] + node _T_53 = eq(_T_52, UInt<1>("h01")) @[ahb_to_axi4.scala 98:30] + node _T_54 = bits(_T_53, 0, 0) @[Bitwise.scala 72:15] + node _T_55 = mux(_T_54, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_56 = bits(ahb_haddr_q, 2, 0) @[ahb_to_axi4.scala 98:62] + node _T_57 = dshl(UInt<2>("h03"), _T_56) @[ahb_to_axi4.scala 98:48] + node _T_58 = and(_T_55, _T_57) @[ahb_to_axi4.scala 98:40] + node _T_59 = or(_T_51, _T_58) @[ahb_to_axi4.scala 97:109] + node _T_60 = bits(ahb_hsize_q, 2, 0) @[ahb_to_axi4.scala 99:24] + node _T_61 = eq(_T_60, UInt<2>("h02")) @[ahb_to_axi4.scala 99:30] + node _T_62 = bits(_T_61, 0, 0) @[Bitwise.scala 72:15] + node _T_63 = mux(_T_62, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_64 = bits(ahb_haddr_q, 2, 0) @[ahb_to_axi4.scala 99:62] + node _T_65 = dshl(UInt<4>("h0f"), _T_64) @[ahb_to_axi4.scala 99:48] + node _T_66 = and(_T_63, _T_65) @[ahb_to_axi4.scala 99:40] + node _T_67 = or(_T_59, _T_66) @[ahb_to_axi4.scala 98:79] + node _T_68 = bits(ahb_hsize_q, 2, 0) @[ahb_to_axi4.scala 100:24] + node _T_69 = eq(_T_68, UInt<2>("h03")) @[ahb_to_axi4.scala 100:30] + node _T_70 = bits(_T_69, 0, 0) @[Bitwise.scala 72:15] + node _T_71 = mux(_T_70, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_72 = and(_T_71, UInt<8>("h0ff")) @[ahb_to_axi4.scala 100:40] + node _T_73 = or(_T_67, _T_72) @[ahb_to_axi4.scala 99:79] + master_wstrb <= _T_73 @[ahb_to_axi4.scala 97:31] + node _T_74 = eq(ahb_hready_q, UInt<1>("h00")) @[ahb_to_axi4.scala 103:80] + node _T_75 = and(ahb_hresp_q, _T_74) @[ahb_to_axi4.scala 103:78] + node _T_76 = eq(cmdbuf_full, UInt<1>("h00")) @[ahb_to_axi4.scala 103:98] + node _T_77 = eq(buf_state, UInt<2>("h00")) @[ahb_to_axi4.scala 103:124] + node _T_78 = or(_T_76, _T_77) @[ahb_to_axi4.scala 103:111] + node _T_79 = eq(buf_state, UInt<2>("h02")) @[ahb_to_axi4.scala 103:149] + node _T_80 = eq(buf_state, UInt<2>("h03")) @[ahb_to_axi4.scala 103:168] + node _T_81 = or(_T_79, _T_80) @[ahb_to_axi4.scala 103:156] + node _T_82 = eq(_T_81, UInt<1>("h00")) @[ahb_to_axi4.scala 103:137] + node _T_83 = and(_T_78, _T_82) @[ahb_to_axi4.scala 103:135] + node _T_84 = eq(buf_read_error, UInt<1>("h00")) @[ahb_to_axi4.scala 103:181] + node _T_85 = and(_T_83, _T_84) @[ahb_to_axi4.scala 103:179] + node _T_86 = mux(io.ahb.sig.in.hresp, _T_75, _T_85) @[ahb_to_axi4.scala 103:44] + io.ahb.sig.in.hready <= _T_86 @[ahb_to_axi4.scala 103:38] + node _T_87 = and(io.ahb.sig.in.hready, io.ahb.hreadyin) @[ahb_to_axi4.scala 104:55] + ahb_hready <= _T_87 @[ahb_to_axi4.scala 104:31] + node _T_88 = bits(io.ahb.hsel, 0, 0) @[Bitwise.scala 72:15] + node _T_89 = mux(_T_88, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_90 = bits(io.ahb.sig.out.htrans, 1, 0) @[ahb_to_axi4.scala 105:77] + node _T_91 = and(_T_89, _T_90) @[ahb_to_axi4.scala 105:54] + ahb_htrans_in <= _T_91 @[ahb_to_axi4.scala 105:31] + node _T_92 = bits(buf_rdata, 63, 0) @[ahb_to_axi4.scala 106:50] + io.ahb.sig.in.hrdata <= _T_92 @[ahb_to_axi4.scala 106:38] + node _T_93 = bits(ahb_htrans_q, 1, 0) @[ahb_to_axi4.scala 107:55] + node _T_94 = neq(_T_93, UInt<1>("h00")) @[ahb_to_axi4.scala 107:61] + node _T_95 = neq(buf_state, UInt<2>("h00")) @[ahb_to_axi4.scala 107:83] + node _T_96 = and(_T_94, _T_95) @[ahb_to_axi4.scala 107:70] + node _T_97 = or(ahb_addr_in_dccm, ahb_addr_in_iccm) @[ahb_to_axi4.scala 108:26] + node _T_98 = eq(_T_97, UInt<1>("h00")) @[ahb_to_axi4.scala 108:7] + node _T_99 = and(ahb_addr_in_dccm, ahb_hwrite_q) @[ahb_to_axi4.scala 109:46] + node _T_100 = or(ahb_addr_in_iccm, _T_99) @[ahb_to_axi4.scala 109:26] + node _T_101 = bits(ahb_hsize_q, 1, 0) @[ahb_to_axi4.scala 109:80] + node _T_102 = eq(_T_101, UInt<2>("h02")) @[ahb_to_axi4.scala 109:86] + node _T_103 = bits(ahb_hsize_q, 1, 0) @[ahb_to_axi4.scala 109:109] + node _T_104 = eq(_T_103, UInt<2>("h03")) @[ahb_to_axi4.scala 109:115] + node _T_105 = or(_T_102, _T_104) @[ahb_to_axi4.scala 109:95] + node _T_106 = eq(_T_105, UInt<1>("h00")) @[ahb_to_axi4.scala 109:66] + node _T_107 = and(_T_100, _T_106) @[ahb_to_axi4.scala 109:64] + node _T_108 = or(_T_98, _T_107) @[ahb_to_axi4.scala 108:47] + node _T_109 = bits(ahb_hsize_q, 2, 0) @[ahb_to_axi4.scala 110:20] + node _T_110 = eq(_T_109, UInt<1>("h01")) @[ahb_to_axi4.scala 110:26] + node _T_111 = bits(ahb_haddr_q, 0, 0) @[ahb_to_axi4.scala 110:48] + node _T_112 = and(_T_110, _T_111) @[ahb_to_axi4.scala 110:35] + node _T_113 = or(_T_108, _T_112) @[ahb_to_axi4.scala 109:126] + node _T_114 = bits(ahb_hsize_q, 2, 0) @[ahb_to_axi4.scala 111:20] + node _T_115 = eq(_T_114, UInt<2>("h02")) @[ahb_to_axi4.scala 111:26] + node _T_116 = bits(ahb_haddr_q, 1, 0) @[ahb_to_axi4.scala 111:49] + node _T_117 = orr(_T_116) @[ahb_to_axi4.scala 111:56] + node _T_118 = and(_T_115, _T_117) @[ahb_to_axi4.scala 111:35] + node _T_119 = or(_T_113, _T_118) @[ahb_to_axi4.scala 110:55] + node _T_120 = bits(ahb_hsize_q, 2, 0) @[ahb_to_axi4.scala 112:20] + node _T_121 = eq(_T_120, UInt<2>("h03")) @[ahb_to_axi4.scala 112:26] + node _T_122 = bits(ahb_haddr_q, 2, 0) @[ahb_to_axi4.scala 112:49] + node _T_123 = orr(_T_122) @[ahb_to_axi4.scala 112:56] + node _T_124 = and(_T_121, _T_123) @[ahb_to_axi4.scala 112:35] + node _T_125 = or(_T_119, _T_124) @[ahb_to_axi4.scala 111:61] + node _T_126 = and(_T_96, _T_125) @[ahb_to_axi4.scala 107:94] + node _T_127 = or(_T_126, buf_read_error) @[ahb_to_axi4.scala 112:63] + node _T_128 = eq(ahb_hready_q, UInt<1>("h00")) @[ahb_to_axi4.scala 114:20] + node _T_129 = and(ahb_hresp_q, _T_128) @[ahb_to_axi4.scala 114:18] + node _T_130 = or(_T_127, _T_129) @[ahb_to_axi4.scala 113:20] + io.ahb.sig.in.hresp <= _T_130 @[ahb_to_axi4.scala 107:38] + reg _T_131 : UInt, buf_rdata_clk with : (reset => (reset, UInt<1>("h00"))) @[ahb_to_axi4.scala 117:66] + _T_131 <= io.axi.r.bits.data @[ahb_to_axi4.scala 117:66] + buf_rdata <= _T_131 @[ahb_to_axi4.scala 117:31] + reg _T_132 : UInt<1>, ahb_clk with : (reset => (reset, UInt<1>("h00"))) @[ahb_to_axi4.scala 118:60] + _T_132 <= buf_read_error_in @[ahb_to_axi4.scala 118:60] + buf_read_error <= _T_132 @[ahb_to_axi4.scala 118:31] + reg _T_133 : UInt<1>, ahb_clk with : (reset => (reset, UInt<1>("h00"))) @[ahb_to_axi4.scala 121:60] + _T_133 <= io.ahb.sig.in.hresp @[ahb_to_axi4.scala 121:60] + ahb_hresp_q <= _T_133 @[ahb_to_axi4.scala 121:31] + reg _T_134 : UInt<1>, ahb_clk with : (reset => (reset, UInt<1>("h00"))) @[ahb_to_axi4.scala 122:60] + _T_134 <= ahb_hready @[ahb_to_axi4.scala 122:60] + ahb_hready_q <= _T_134 @[ahb_to_axi4.scala 122:31] + reg _T_135 : UInt, ahb_clk with : (reset => (reset, UInt<1>("h00"))) @[ahb_to_axi4.scala 123:60] + _T_135 <= ahb_htrans_in @[ahb_to_axi4.scala 123:60] + ahb_htrans_q <= _T_135 @[ahb_to_axi4.scala 123:31] + reg _T_136 : UInt, ahb_addr_clk with : (reset => (reset, UInt<1>("h00"))) @[ahb_to_axi4.scala 124:65] + _T_136 <= io.ahb.sig.out.hsize @[ahb_to_axi4.scala 124:65] + ahb_hsize_q <= _T_136 @[ahb_to_axi4.scala 124:31] + reg _T_137 : UInt<1>, ahb_addr_clk with : (reset => (reset, UInt<1>("h00"))) @[ahb_to_axi4.scala 125:65] + _T_137 <= io.ahb.sig.out.hwrite @[ahb_to_axi4.scala 125:65] + ahb_hwrite_q <= _T_137 @[ahb_to_axi4.scala 125:31] + reg _T_138 : UInt, ahb_addr_clk with : (reset => (reset, UInt<1>("h00"))) @[ahb_to_axi4.scala 126:65] + _T_138 <= io.ahb.sig.out.haddr @[ahb_to_axi4.scala 126:65] + ahb_haddr_q <= _T_138 @[ahb_to_axi4.scala 126:31] + node _T_139 = bits(io.ahb.sig.out.htrans, 1, 1) @[ahb_to_axi4.scala 129:85] + node _T_140 = and(ahb_hready, _T_139) @[ahb_to_axi4.scala 129:62] + node _T_141 = and(io.bus_clk_en, _T_140) @[ahb_to_axi4.scala 129:48] + ahb_bus_addr_clk_en <= _T_141 @[ahb_to_axi4.scala 129:31] + node _T_142 = and(io.bus_clk_en, buf_rdata_en) @[ahb_to_axi4.scala 130:48] + buf_rdata_clk_en <= _T_142 @[ahb_to_axi4.scala 130:31] + inst rvclkhdr of rvclkhdr_879 @[lib.scala 343:22] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 344:17] + rvclkhdr.io.en <= io.bus_clk_en @[lib.scala 345:16] + rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + ahb_clk <= rvclkhdr.io.l1clk @[ahb_to_axi4.scala 132:31] + inst rvclkhdr_1 of rvclkhdr_880 @[lib.scala 343:22] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_1.io.en <= ahb_bus_addr_clk_en @[lib.scala 345:16] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + ahb_addr_clk <= rvclkhdr_1.io.l1clk @[ahb_to_axi4.scala 133:31] + inst rvclkhdr_2 of rvclkhdr_881 @[lib.scala 343:22] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_2.io.en <= buf_rdata_clk_en @[lib.scala 345:16] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + buf_rdata_clk <= rvclkhdr_2.io.l1clk @[ahb_to_axi4.scala 134:31] + node _T_143 = and(io.axi.aw.valid, io.axi.aw.ready) @[ahb_to_axi4.scala 136:53] + node _T_144 = and(io.axi.ar.valid, io.axi.ar.ready) @[ahb_to_axi4.scala 136:91] + node _T_145 = or(_T_143, _T_144) @[ahb_to_axi4.scala 136:72] + node _T_146 = eq(cmdbuf_wr_en, UInt<1>("h00")) @[ahb_to_axi4.scala 136:113] + node _T_147 = and(_T_145, _T_146) @[ahb_to_axi4.scala 136:111] + node _T_148 = eq(cmdbuf_write, UInt<1>("h00")) @[ahb_to_axi4.scala 136:153] + node _T_149 = and(io.ahb.sig.in.hresp, _T_148) @[ahb_to_axi4.scala 136:151] + node _T_150 = or(_T_147, _T_149) @[ahb_to_axi4.scala 136:128] + cmdbuf_rst <= _T_150 @[ahb_to_axi4.scala 136:31] + node _T_151 = and(io.axi.aw.valid, io.axi.aw.ready) @[ahb_to_axi4.scala 137:67] + node _T_152 = and(io.axi.ar.valid, io.axi.ar.ready) @[ahb_to_axi4.scala 137:105] + node _T_153 = or(_T_151, _T_152) @[ahb_to_axi4.scala 137:86] + node _T_154 = eq(_T_153, UInt<1>("h00")) @[ahb_to_axi4.scala 137:48] + node _T_155 = and(cmdbuf_vld, _T_154) @[ahb_to_axi4.scala 137:46] + cmdbuf_full <= _T_155 @[ahb_to_axi4.scala 137:31] + node _T_156 = bits(cmdbuf_wr_en, 0, 0) @[ahb_to_axi4.scala 139:86] + node _T_157 = mux(_T_156, UInt<1>("h01"), cmdbuf_vld) @[ahb_to_axi4.scala 139:66] + node _T_158 = eq(cmdbuf_rst, UInt<1>("h00")) @[ahb_to_axi4.scala 139:110] + node _T_159 = and(_T_157, _T_158) @[ahb_to_axi4.scala 139:108] + reg _T_160 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[ahb_to_axi4.scala 139:61] + _T_160 <= _T_159 @[ahb_to_axi4.scala 139:61] + cmdbuf_vld <= _T_160 @[ahb_to_axi4.scala 139:31] + node _T_161 = bits(cmdbuf_wr_en, 0, 0) @[ahb_to_axi4.scala 143:53] + reg _T_162 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_161 : @[Reg.scala 28:19] + _T_162 <= ahb_hwrite_q @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + cmdbuf_write <= _T_162 @[ahb_to_axi4.scala 142:31] + node _T_163 = bits(cmdbuf_wr_en, 0, 0) @[ahb_to_axi4.scala 146:52] + reg _T_164 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_163 : @[Reg.scala 28:19] + _T_164 <= ahb_hsize_q @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + cmdbuf_size <= _T_164 @[ahb_to_axi4.scala 145:31] + node _T_165 = bits(cmdbuf_wr_en, 0, 0) @[ahb_to_axi4.scala 149:53] + reg _T_166 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_165 : @[Reg.scala 28:19] + _T_166 <= master_wstrb @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + cmdbuf_wstrb <= _T_166 @[ahb_to_axi4.scala 148:31] + node _T_167 = bits(cmdbuf_wr_en, 0, 0) @[ahb_to_axi4.scala 152:57] + inst rvclkhdr_3 of rvclkhdr_882 @[lib.scala 368:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= bus_clk @[lib.scala 370:18] + rvclkhdr_3.io.en <= _T_167 @[lib.scala 371:17] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_168 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_168 <= ahb_haddr_q @[lib.scala 374:16] + cmdbuf_addr <= _T_168 @[ahb_to_axi4.scala 152:15] + node _T_169 = bits(cmdbuf_wr_en, 0, 0) @[ahb_to_axi4.scala 153:68] + inst rvclkhdr_4 of rvclkhdr_883 @[lib.scala 368:23] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= bus_clk @[lib.scala 370:18] + rvclkhdr_4.io.en <= _T_169 @[lib.scala 371:17] + rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_170 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_170 <= io.ahb.sig.out.hwdata @[lib.scala 374:16] + cmdbuf_wdata <= _T_170 @[ahb_to_axi4.scala 153:16] + node _T_171 = and(cmdbuf_vld, cmdbuf_write) @[ahb_to_axi4.scala 156:42] + io.axi.aw.valid <= _T_171 @[ahb_to_axi4.scala 156:28] + io.axi.aw.bits.id <= UInt<1>("h00") @[ahb_to_axi4.scala 157:33] + io.axi.aw.bits.addr <= cmdbuf_addr @[ahb_to_axi4.scala 158:33] + node _T_172 = bits(cmdbuf_size, 1, 0) @[ahb_to_axi4.scala 159:59] + node _T_173 = cat(UInt<1>("h00"), _T_172) @[Cat.scala 29:58] + io.axi.aw.bits.size <= _T_173 @[ahb_to_axi4.scala 159:33] + node _T_174 = mux(UInt<1>("h00"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + io.axi.aw.bits.prot <= _T_174 @[ahb_to_axi4.scala 160:33] + node _T_175 = mux(UInt<1>("h00"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + io.axi.aw.bits.len <= _T_175 @[ahb_to_axi4.scala 161:33] + io.axi.aw.bits.burst <= UInt<1>("h01") @[ahb_to_axi4.scala 162:33] + node _T_176 = and(cmdbuf_vld, cmdbuf_write) @[ahb_to_axi4.scala 164:42] + io.axi.w.valid <= _T_176 @[ahb_to_axi4.scala 164:28] + io.axi.w.bits.data <= cmdbuf_wdata @[ahb_to_axi4.scala 165:33] + io.axi.w.bits.strb <= cmdbuf_wstrb @[ahb_to_axi4.scala 166:33] + io.axi.w.bits.last <= UInt<1>("h01") @[ahb_to_axi4.scala 167:33] + io.axi.b.ready <= UInt<1>("h01") @[ahb_to_axi4.scala 169:28] + node _T_177 = eq(cmdbuf_write, UInt<1>("h00")) @[ahb_to_axi4.scala 171:44] + node _T_178 = and(cmdbuf_vld, _T_177) @[ahb_to_axi4.scala 171:42] + io.axi.ar.valid <= _T_178 @[ahb_to_axi4.scala 171:28] + io.axi.ar.bits.id <= UInt<1>("h00") @[ahb_to_axi4.scala 172:33] + io.axi.ar.bits.addr <= cmdbuf_addr @[ahb_to_axi4.scala 173:33] + node _T_179 = bits(cmdbuf_size, 1, 0) @[ahb_to_axi4.scala 174:59] + node _T_180 = cat(UInt<1>("h00"), _T_179) @[Cat.scala 29:58] + io.axi.ar.bits.size <= _T_180 @[ahb_to_axi4.scala 174:33] + node _T_181 = mux(UInt<1>("h00"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + io.axi.ar.bits.prot <= _T_181 @[ahb_to_axi4.scala 175:33] + node _T_182 = mux(UInt<1>("h00"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + io.axi.ar.bits.len <= _T_182 @[ahb_to_axi4.scala 176:33] + io.axi.ar.bits.burst <= UInt<1>("h01") @[ahb_to_axi4.scala 177:33] + io.axi.r.ready <= UInt<1>("h01") @[ahb_to_axi4.scala 179:28] + inst rvclkhdr_5 of rvclkhdr_884 @[lib.scala 343:22] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_5.io.en <= io.bus_clk_en @[lib.scala 345:16] + rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + bus_clk <= rvclkhdr_5.io.l1clk @[ahb_to_axi4.scala 180:27] + module quasar : input clock : Clock input reset : AsyncReset - output io : {lsu_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, ifu_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, sb_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<1>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip dma_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<1>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip dbg_rst_l : AsyncReset, flip rst_vec : UInt<31>, flip nmi_int : UInt<1>, flip nmi_vec : UInt<31>, core_rst_l : AsyncReset, trace : {rv_i_valid_ip : UInt<2>, rv_i_insn_ip : UInt<32>, rv_i_address_ip : UInt<32>, rv_i_exception_ip : UInt<2>, rv_i_ecause_ip : UInt<5>, rv_i_interrupt_ip : UInt<2>, rv_i_tval_ip : UInt<32>}, dccm_clk_override : UInt<1>, icm_clk_override : UInt<1>, dec_tlu_core_ecc_disable : UInt<1>, flip i_cpu_halt_req : UInt<1>, flip i_cpu_run_req : UInt<1>, o_cpu_halt_ack : UInt<1>, o_cpu_halt_status : UInt<1>, o_cpu_run_ack : UInt<1>, o_debug_mode_status : UInt<1>, flip core_id : UInt<28>, flip mpc_debug_halt_req : UInt<1>, flip mpc_debug_run_req : UInt<1>, flip mpc_reset_run_req : UInt<1>, mpc_debug_halt_ack : UInt<1>, mpc_debug_run_ack : UInt<1>, debug_brkpt_status : UInt<1>, dec_tlu_perfcnt0 : UInt<1>, dec_tlu_perfcnt1 : UInt<1>, dec_tlu_perfcnt2 : UInt<1>, dec_tlu_perfcnt3 : UInt<1>, flip swerv_mem : {flip wren : UInt<1>, flip rden : UInt<1>, flip wr_addr_lo : UInt<16>, flip wr_addr_hi : UInt<16>, flip rd_addr_lo : UInt<16>, flip rd_addr_hi : UInt<16>, flip wr_data_lo : UInt<39>, flip wr_data_hi : UInt<39>, rd_data_lo : UInt<39>, rd_data_hi : UInt<39>}, ic : {rw_addr : UInt<31>, tag_valid : UInt<2>, wr_en : UInt<2>, rd_en : UInt<1>, wr_data : UInt<71>[2], debug_wr_data : UInt<71>, debug_addr : UInt<10>, flip rd_data : UInt<64>, flip debug_rd_data : UInt<71>, flip tag_debug_rd_data : UInt<26>, flip eccerr : UInt<2>, flip parerr : UInt<2>, flip rd_hit : UInt<2>, flip tag_perr : UInt<1>, debug_rd_en : UInt<1>, debug_wr_en : UInt<1>, debug_tag_array : UInt<1>, debug_way : UInt<2>, premux_data : UInt<64>, sel_premux_data : UInt<1>}, iccm : {rw_addr : UInt<15>, buf_correct_ecc : UInt<1>, correction_state : UInt<1>, wren : UInt<1>, rden : UInt<1>, wr_size : UInt<3>, wr_data : UInt<78>, flip rd_data : UInt<64>, flip rd_data_ecc : UInt<78>}, haddr : UInt<32>, hburst : UInt<3>, hmastlock : UInt<1>, hprot : UInt<4>, hsize : UInt<3>, htrans : UInt<2>, hwrite : UInt<1>, flip hrdata : UInt<64>, flip hready : UInt<1>, flip hresp : UInt<1>, lsu_haddr : UInt<32>, lsu_hburst : UInt<3>, lsu_hmastlock : UInt<1>, lsu_hprot : UInt<4>, lsu_hsize : UInt<3>, lsu_htrans : UInt<2>, lsu_hwrite : UInt<1>, lsu_hwdata : UInt<64>, flip lsu_hrdata : UInt<64>, flip lsu_hready : UInt<1>, flip lsu_hresp : UInt<1>, sb_haddr : UInt<32>, sb_hburst : UInt<3>, sb_hmastlock : UInt<1>, sb_hprot : UInt<4>, sb_hsize : UInt<3>, sb_htrans : UInt<2>, sb_hwrite : UInt<1>, sb_hwdata : UInt<64>, flip sb_hrdata : UInt<64>, flip sb_hready : UInt<1>, flip sb_hresp : UInt<1>, flip dma_hsel : UInt<1>, flip dma_haddr : UInt<32>, flip dma_hburst : UInt<3>, flip dma_hmastlock : UInt<1>, flip dma_hprot : UInt<4>, flip dma_hsize : UInt<3>, flip dma_htrans : UInt<2>, flip dma_hwrite : UInt<1>, flip dma_hwdata : UInt<64>, flip dma_hreadyin : UInt<1>, dma_hrdata : UInt<64>, dma_hreadyout : UInt<1>, dma_hresp : UInt<1>, flip lsu_bus_clk_en : UInt<1>, flip ifu_bus_clk_en : UInt<1>, flip dbg_bus_clk_en : UInt<1>, flip dma_bus_clk_en : UInt<1>, flip dmi_reg_en : UInt<1>, flip dmi_reg_addr : UInt<7>, flip dmi_reg_wr_en : UInt<1>, flip dmi_reg_wdata : UInt<32>, dmi_reg_rdata : UInt<32>, flip dmi_hard_reset : UInt<1>, flip extintsrc_req : UInt<31>, flip timer_int : UInt<1>, flip soft_int : UInt<1>, flip scan_mode : UInt<1>} + output io : {lsu_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, ifu_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, sb_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<1>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip dma_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<1>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, lsu_ahb : {in : {flip hrdata : UInt<64>, flip hready : UInt<1>, flip hresp : UInt<1>}, out : {haddr : UInt<32>, hburst : UInt<3>, hmastlock : UInt<1>, hprot : UInt<4>, hsize : UInt<3>, htrans : UInt<2>, hwrite : UInt<1>, hwdata : UInt<64>}}, ifu_ahb : {in : {flip hrdata : UInt<64>, flip hready : UInt<1>, flip hresp : UInt<1>}, out : {haddr : UInt<32>, hburst : UInt<3>, hmastlock : UInt<1>, hprot : UInt<4>, hsize : UInt<3>, htrans : UInt<2>, hwrite : UInt<1>, hwdata : UInt<64>}}, sb_ahb : {in : {flip hrdata : UInt<64>, flip hready : UInt<1>, flip hresp : UInt<1>}, out : {haddr : UInt<32>, hburst : UInt<3>, hmastlock : UInt<1>, hprot : UInt<4>, hsize : UInt<3>, htrans : UInt<2>, hwrite : UInt<1>, hwdata : UInt<64>}}, dma_ahb : {flip sig : {in : {flip hrdata : UInt<64>, flip hready : UInt<1>, flip hresp : UInt<1>}, out : {haddr : UInt<32>, hburst : UInt<3>, hmastlock : UInt<1>, hprot : UInt<4>, hsize : UInt<3>, htrans : UInt<2>, hwrite : UInt<1>, hwdata : UInt<64>}}, flip hsel : UInt<1>, flip hreadyin : UInt<1>}, flip dbg_rst_l : AsyncReset, flip rst_vec : UInt<31>, flip nmi_int : UInt<1>, flip nmi_vec : UInt<31>, core_rst_l : AsyncReset, rv_trace_pkt : {rv_i_valid_ip : UInt<2>, rv_i_insn_ip : UInt<32>, rv_i_address_ip : UInt<32>, rv_i_exception_ip : UInt<2>, rv_i_ecause_ip : UInt<5>, rv_i_interrupt_ip : UInt<2>, rv_i_tval_ip : UInt<32>}, dccm_clk_override : UInt<1>, icm_clk_override : UInt<1>, dec_tlu_core_ecc_disable : UInt<1>, flip i_cpu_halt_req : UInt<1>, flip i_cpu_run_req : UInt<1>, o_cpu_halt_ack : UInt<1>, o_cpu_halt_status : UInt<1>, o_cpu_run_ack : UInt<1>, o_debug_mode_status : UInt<1>, flip core_id : UInt<28>, flip mpc_debug_halt_req : UInt<1>, flip mpc_debug_run_req : UInt<1>, flip mpc_reset_run_req : UInt<1>, mpc_debug_halt_ack : UInt<1>, mpc_debug_run_ack : UInt<1>, debug_brkpt_status : UInt<1>, dec_tlu_perfcnt0 : UInt<1>, dec_tlu_perfcnt1 : UInt<1>, dec_tlu_perfcnt2 : UInt<1>, dec_tlu_perfcnt3 : UInt<1>, flip dccm : {flip wren : UInt<1>, flip rden : UInt<1>, flip wr_addr_lo : UInt<16>, flip wr_addr_hi : UInt<16>, flip rd_addr_lo : UInt<16>, flip rd_addr_hi : UInt<16>, flip wr_data_lo : UInt<39>, flip wr_data_hi : UInt<39>, rd_data_lo : UInt<39>, rd_data_hi : UInt<39>}, ic : {rw_addr : UInt<31>, tag_valid : UInt<2>, wr_en : UInt<2>, rd_en : UInt<1>, wr_data : UInt<71>[2], debug_wr_data : UInt<71>, debug_addr : UInt<10>, flip rd_data : UInt<64>, flip debug_rd_data : UInt<71>, flip tag_debug_rd_data : UInt<26>, flip eccerr : UInt<2>, flip parerr : UInt<2>, flip rd_hit : UInt<2>, flip tag_perr : UInt<1>, debug_rd_en : UInt<1>, debug_wr_en : UInt<1>, debug_tag_array : UInt<1>, debug_way : UInt<2>, premux_data : UInt<64>, sel_premux_data : UInt<1>}, iccm : {rw_addr : UInt<15>, buf_correct_ecc : UInt<1>, correction_state : UInt<1>, wren : UInt<1>, rden : UInt<1>, wr_size : UInt<3>, wr_data : UInt<78>, flip rd_data : UInt<64>, flip rd_data_ecc : UInt<78>}, flip lsu_bus_clk_en : UInt<1>, flip ifu_bus_clk_en : UInt<1>, flip dbg_bus_clk_en : UInt<1>, flip dma_bus_clk_en : UInt<1>, flip dmi_reg_en : UInt<1>, flip dmi_reg_addr : UInt<7>, flip dmi_reg_wr_en : UInt<1>, flip dmi_reg_wdata : UInt<32>, dmi_reg_rdata : UInt<32>, flip dmi_hard_reset : UInt<1>, flip extintsrc_req : UInt<31>, flip timer_int : UInt<1>, flip soft_int : UInt<1>, flip scan_mode : UInt<1>} - inst ifu of ifu @[quasar.scala 158:19] + inst ifu of ifu @[quasar.scala 74:19] ifu.clock <= clock ifu.reset <= reset - inst dec of dec @[quasar.scala 159:19] + inst dec of dec @[quasar.scala 75:19] dec.clock <= clock dec.reset <= reset - inst dbg of dbg @[quasar.scala 160:19] + inst dbg of dbg @[quasar.scala 76:19] dbg.clock <= clock dbg.reset <= reset - inst exu of exu @[quasar.scala 161:19] + inst exu of exu @[quasar.scala 77:19] exu.clock <= clock exu.reset <= reset - inst lsu of lsu @[quasar.scala 162:19] + inst lsu of lsu @[quasar.scala 78:19] lsu.clock <= clock lsu.reset <= reset - inst pic_ctrl_inst of pic_ctrl @[quasar.scala 163:29] + inst pic_ctrl_inst of pic_ctrl @[quasar.scala 79:29] pic_ctrl_inst.clock <= clock pic_ctrl_inst.reset <= reset - inst dma_ctrl of dma_ctrl @[quasar.scala 164:24] + inst dma_ctrl of dma_ctrl @[quasar.scala 80:24] dma_ctrl.clock <= clock dma_ctrl.reset <= reset - node _T = asUInt(reset) @[quasar.scala 166:33] - node _T_1 = bits(dbg.io.dbg_core_rst_l, 0, 0) @[quasar.scala 166:67] - node _T_2 = or(_T_1, io.scan_mode) @[quasar.scala 166:70] - node _T_3 = and(_T, _T_2) @[quasar.scala 166:36] - node _T_4 = asAsyncReset(_T_3) @[quasar.scala 166:99] - io.core_rst_l <= _T_4 @[quasar.scala 166:17] - node _T_5 = eq(dec.io.dec_pause_state_cg, UInt<1>("h00")) @[quasar.scala 167:23] - node _T_6 = or(_T_5, dec.io.dec_exu.tlu_exu.dec_tlu_flush_lower_r) @[quasar.scala 167:50] - node active_state = or(_T_6, dec.io.dec_tlu_misc_clk_override) @[quasar.scala 167:98] + node _T = asUInt(reset) @[quasar.scala 82:33] + node _T_1 = bits(dbg.io.dbg_core_rst_l, 0, 0) @[quasar.scala 82:67] + node _T_2 = or(_T_1, io.scan_mode) @[quasar.scala 82:70] + node _T_3 = and(_T, _T_2) @[quasar.scala 82:36] + node _T_4 = asAsyncReset(_T_3) @[quasar.scala 82:99] + io.core_rst_l <= _T_4 @[quasar.scala 82:17] + node _T_5 = eq(dec.io.dec_pause_state_cg, UInt<1>("h00")) @[quasar.scala 83:23] + node _T_6 = or(_T_5, dec.io.dec_exu.tlu_exu.dec_tlu_flush_lower_r) @[quasar.scala 83:50] + node active_state = or(_T_6, dec.io.dec_tlu_misc_clk_override) @[quasar.scala 83:98] inst rvclkhdr of rvclkhdr_847 @[lib.scala 343:22] rvclkhdr.clock <= clock rvclkhdr.reset <= reset rvclkhdr.io.clk <= clock @[lib.scala 344:17] rvclkhdr.io.en <= UInt<1>("h01") @[lib.scala 345:16] rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - node _T_7 = bits(active_state, 0, 0) @[quasar.scala 169:49] + node _T_7 = bits(active_state, 0, 0) @[quasar.scala 85:49] inst rvclkhdr_1 of rvclkhdr_848 @[lib.scala 343:22] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset rvclkhdr_1.io.clk <= clock @[lib.scala 344:17] rvclkhdr_1.io.en <= _T_7 @[lib.scala 345:16] rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - node core_dbg_cmd_done = or(dma_ctrl.io.dma_dbg_cmd_done, dec.io.dec_dbg_cmd_done) @[quasar.scala 170:56] - node core_dbg_cmd_fail = or(dma_ctrl.io.dma_dbg_cmd_fail, dec.io.dec_dbg_cmd_fail) @[quasar.scala 171:56] - node core_dbg_rddata = mux(dma_ctrl.io.dma_dbg_cmd_done, dma_ctrl.io.dma_dbg_rddata, dec.io.dec_dbg_rddata) @[quasar.scala 172:28] - ifu.io.ifu_dec.dec_bp.dec_tlu_bpred_disable <= dec.io.ifu_dec.dec_bp.dec_tlu_bpred_disable @[quasar.scala 175:18] - ifu.io.ifu_dec.dec_bp.dec_tlu_flush_leak_one_wb <= dec.io.ifu_dec.dec_bp.dec_tlu_flush_leak_one_wb @[quasar.scala 175:18] - ifu.io.ifu_dec.dec_bp.dec_tlu_flush_lower_wb <= dec.io.ifu_dec.dec_bp.dec_tlu_flush_lower_wb @[quasar.scala 175:18] - ifu.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.middle <= dec.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.middle @[quasar.scala 175:18] - ifu.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.way <= dec.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.way @[quasar.scala 175:18] - ifu.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.br_start_error <= dec.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.br_start_error @[quasar.scala 175:18] - ifu.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.br_error <= dec.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.br_error @[quasar.scala 175:18] - ifu.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.hist <= dec.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.hist @[quasar.scala 175:18] - ifu.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.valid <= dec.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.valid @[quasar.scala 175:18] - dec.io.ifu_dec.dec_ifc.ifu_pmu_fetch_stall <= ifu.io.ifu_dec.dec_ifc.ifu_pmu_fetch_stall @[quasar.scala 175:18] - ifu.io.ifu_dec.dec_ifc.dec_tlu_mrac_ff <= dec.io.ifu_dec.dec_ifc.dec_tlu_mrac_ff @[quasar.scala 175:18] - ifu.io.ifu_dec.dec_ifc.dec_tlu_flush_noredir_wb <= dec.io.ifu_dec.dec_ifc.dec_tlu_flush_noredir_wb @[quasar.scala 175:18] - dec.io.ifu_dec.dec_mem_ctrl.ifu_miss_state_idle <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_miss_state_idle @[quasar.scala 175:18] - dec.io.ifu_dec.dec_mem_ctrl.ifu_ic_debug_rd_data_valid <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_ic_debug_rd_data_valid @[quasar.scala 175:18] - dec.io.ifu_dec.dec_mem_ctrl.ifu_ic_debug_rd_data <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_ic_debug_rd_data @[quasar.scala 175:18] - dec.io.ifu_dec.dec_mem_ctrl.ifu_iccm_rd_ecc_single_err <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_iccm_rd_ecc_single_err @[quasar.scala 175:18] - dec.io.ifu_dec.dec_mem_ctrl.ifu_ic_error_start <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_ic_error_start @[quasar.scala 175:18] - dec.io.ifu_dec.dec_mem_ctrl.ifu_pmu_bus_trxn <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_pmu_bus_trxn @[quasar.scala 175:18] - dec.io.ifu_dec.dec_mem_ctrl.ifu_pmu_bus_busy <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_pmu_bus_busy @[quasar.scala 175:18] - dec.io.ifu_dec.dec_mem_ctrl.ifu_pmu_bus_error <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_pmu_bus_error @[quasar.scala 175:18] - dec.io.ifu_dec.dec_mem_ctrl.ifu_pmu_ic_hit <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_pmu_ic_hit @[quasar.scala 175:18] - dec.io.ifu_dec.dec_mem_ctrl.ifu_pmu_ic_miss <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_pmu_ic_miss @[quasar.scala 175:18] - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_core_ecc_disable <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_core_ecc_disable @[quasar.scala 175:18] - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wr_valid <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wr_valid @[quasar.scala 175:18] - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_rd_valid <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_rd_valid @[quasar.scala 175:18] - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_dicawics <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_dicawics @[quasar.scala 175:18] - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wrdata <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wrdata @[quasar.scala 175:18] - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_fence_i_wb <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_fence_i_wb @[quasar.scala 175:18] - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_force_halt <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_force_halt @[quasar.scala 175:18] - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_i0_commit_cmt <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_i0_commit_cmt @[quasar.scala 175:18] - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_flush_err_wb <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_flush_err_wb @[quasar.scala 175:18] - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_flush_lower_wb <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_flush_lower_wb @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.ifu_pmu_instr_aligned <= ifu.io.ifu_dec.dec_aln.ifu_pmu_instr_aligned @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.ret <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.ret @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.way <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.way @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.prett <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.prett @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.bank <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.bank @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.br_start_error <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.br_start_error @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.br_error <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.br_error @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.hist <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.hist @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.toffset <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.toffset @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.valid <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.valid @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_pc4 <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_pc4 @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_pc <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_pc @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_instr <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_instr @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_valid <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_valid @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_bp_btag <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_bp_btag @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_bp_fghr <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_bp_fghr @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_bp_index <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_bp_index @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_dbecc <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_dbecc @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_icaf_f1 <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_icaf_f1 @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_icaf_type <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_icaf_type @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_icaf <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_icaf @[quasar.scala 175:18] - dec.io.ifu_dec.dec_aln.aln_dec.ifu_i0_cinst <= ifu.io.ifu_dec.dec_aln.aln_dec.ifu_i0_cinst @[quasar.scala 175:18] - ifu.io.ifu_dec.dec_aln.aln_dec.dec_i0_decode_d <= dec.io.ifu_dec.dec_aln.aln_dec.dec_i0_decode_d @[quasar.scala 175:18] - ifu.reset <= io.core_rst_l @[quasar.scala 177:13] - ifu.io.scan_mode <= io.scan_mode @[quasar.scala 178:20] - ifu.io.free_clk <= rvclkhdr.io.l1clk @[quasar.scala 179:19] - ifu.io.active_clk <= rvclkhdr_1.io.l1clk @[quasar.scala 180:21] - ifu.io.exu_flush_final <= dec.io.exu_flush_final @[quasar.scala 182:26] - ifu.io.exu_flush_path_final <= exu.io.exu_flush_path_final @[quasar.scala 183:31] - node _T_8 = mux(UInt<1>("h00"), UInt<1>("h00"), io.ifu_axi.ar.ready) @[quasar.scala 184:29] - ifu.io.ifu.ar.ready <= _T_8 @[quasar.scala 184:23] - node _T_9 = mux(UInt<1>("h00"), UInt<1>("h00"), io.ifu_axi.r.valid) @[quasar.scala 185:28] - ifu.io.ifu.r.valid <= _T_9 @[quasar.scala 185:22] - node _T_10 = mux(UInt<1>("h00"), UInt<1>("h00"), io.ifu_axi.r.bits.id) @[quasar.scala 186:30] - ifu.io.ifu.r.bits.id <= _T_10 @[quasar.scala 186:24] - node _T_11 = mux(UInt<1>("h00"), UInt<1>("h00"), io.ifu_axi.r.bits.data) @[quasar.scala 187:32] - ifu.io.ifu.r.bits.data <= _T_11 @[quasar.scala 187:26] - node _T_12 = mux(UInt<1>("h00"), UInt<1>("h00"), io.ifu_axi.r.bits.resp) @[quasar.scala 188:32] - ifu.io.ifu.r.bits.resp <= _T_12 @[quasar.scala 188:26] - ifu.io.ifu_bus_clk_en <= io.ifu_bus_clk_en @[quasar.scala 189:25] - ifu.io.ifu_dma.dma_mem_ctl.dma_mem_tag <= dma_ctrl.io.ifu_dma.dma_mem_ctl.dma_mem_tag @[quasar.scala 190:18] - ifu.io.ifu_dma.dma_mem_ctl.dma_mem_wdata <= dma_ctrl.io.ifu_dma.dma_mem_ctl.dma_mem_wdata @[quasar.scala 190:18] - ifu.io.ifu_dma.dma_mem_ctl.dma_mem_write <= dma_ctrl.io.ifu_dma.dma_mem_ctl.dma_mem_write @[quasar.scala 190:18] - ifu.io.ifu_dma.dma_mem_ctl.dma_mem_sz <= dma_ctrl.io.ifu_dma.dma_mem_ctl.dma_mem_sz @[quasar.scala 190:18] - ifu.io.ifu_dma.dma_mem_ctl.dma_mem_addr <= dma_ctrl.io.ifu_dma.dma_mem_ctl.dma_mem_addr @[quasar.scala 190:18] - ifu.io.ifu_dma.dma_mem_ctl.dma_iccm_req <= dma_ctrl.io.ifu_dma.dma_mem_ctl.dma_iccm_req @[quasar.scala 190:18] - ifu.io.ifu_dma.dma_ifc.dma_iccm_stall_any <= dma_ctrl.io.ifu_dma.dma_ifc.dma_iccm_stall_any @[quasar.scala 190:18] - io.ic.sel_premux_data <= ifu.io.ic.sel_premux_data @[quasar.scala 191:13] - io.ic.premux_data <= ifu.io.ic.premux_data @[quasar.scala 191:13] - io.ic.debug_way <= ifu.io.ic.debug_way @[quasar.scala 191:13] - io.ic.debug_tag_array <= ifu.io.ic.debug_tag_array @[quasar.scala 191:13] - io.ic.debug_wr_en <= ifu.io.ic.debug_wr_en @[quasar.scala 191:13] - io.ic.debug_rd_en <= ifu.io.ic.debug_rd_en @[quasar.scala 191:13] - ifu.io.ic.tag_perr <= io.ic.tag_perr @[quasar.scala 191:13] - ifu.io.ic.rd_hit <= io.ic.rd_hit @[quasar.scala 191:13] - ifu.io.ic.parerr <= io.ic.parerr @[quasar.scala 191:13] - ifu.io.ic.eccerr <= io.ic.eccerr @[quasar.scala 191:13] - ifu.io.ic.tag_debug_rd_data <= io.ic.tag_debug_rd_data @[quasar.scala 191:13] - ifu.io.ic.debug_rd_data <= io.ic.debug_rd_data @[quasar.scala 191:13] - ifu.io.ic.rd_data <= io.ic.rd_data @[quasar.scala 191:13] - io.ic.debug_addr <= ifu.io.ic.debug_addr @[quasar.scala 191:13] - io.ic.debug_wr_data <= ifu.io.ic.debug_wr_data @[quasar.scala 191:13] - io.ic.wr_data[0] <= ifu.io.ic.wr_data[0] @[quasar.scala 191:13] - io.ic.wr_data[1] <= ifu.io.ic.wr_data[1] @[quasar.scala 191:13] - io.ic.rd_en <= ifu.io.ic.rd_en @[quasar.scala 191:13] - io.ic.wr_en <= ifu.io.ic.wr_en @[quasar.scala 191:13] - io.ic.tag_valid <= ifu.io.ic.tag_valid @[quasar.scala 191:13] - io.ic.rw_addr <= ifu.io.ic.rw_addr @[quasar.scala 191:13] - ifu.io.iccm.rd_data_ecc <= io.iccm.rd_data_ecc @[quasar.scala 192:15] - ifu.io.iccm.rd_data <= io.iccm.rd_data @[quasar.scala 192:15] - io.iccm.wr_data <= ifu.io.iccm.wr_data @[quasar.scala 192:15] - io.iccm.wr_size <= ifu.io.iccm.wr_size @[quasar.scala 192:15] - io.iccm.rden <= ifu.io.iccm.rden @[quasar.scala 192:15] - io.iccm.wren <= ifu.io.iccm.wren @[quasar.scala 192:15] - io.iccm.correction_state <= ifu.io.iccm.correction_state @[quasar.scala 192:15] - io.iccm.buf_correct_ecc <= ifu.io.iccm.buf_correct_ecc @[quasar.scala 192:15] - io.iccm.rw_addr <= ifu.io.iccm.rw_addr @[quasar.scala 192:15] - ifu.io.exu_ifu.exu_bp.exu_mp_btag <= exu.io.exu_bp.exu_mp_btag @[quasar.scala 201:25] - ifu.io.exu_ifu.exu_bp.exu_mp_index <= exu.io.exu_bp.exu_mp_index @[quasar.scala 201:25] - ifu.io.exu_ifu.exu_bp.exu_mp_fghr <= exu.io.exu_bp.exu_mp_fghr @[quasar.scala 201:25] - ifu.io.exu_ifu.exu_bp.exu_mp_eghr <= exu.io.exu_bp.exu_mp_eghr @[quasar.scala 201:25] - ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.way <= exu.io.exu_bp.exu_mp_pkt.bits.way @[quasar.scala 201:25] - ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.pja <= exu.io.exu_bp.exu_mp_pkt.bits.pja @[quasar.scala 201:25] - ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.pret <= exu.io.exu_bp.exu_mp_pkt.bits.pret @[quasar.scala 201:25] - ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.pcall <= exu.io.exu_bp.exu_mp_pkt.bits.pcall @[quasar.scala 201:25] - ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.prett <= exu.io.exu_bp.exu_mp_pkt.bits.prett @[quasar.scala 201:25] - ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.br_start_error <= exu.io.exu_bp.exu_mp_pkt.bits.br_start_error @[quasar.scala 201:25] - ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.br_error <= exu.io.exu_bp.exu_mp_pkt.bits.br_error @[quasar.scala 201:25] - ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.toffset <= exu.io.exu_bp.exu_mp_pkt.bits.toffset @[quasar.scala 201:25] - ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.hist <= exu.io.exu_bp.exu_mp_pkt.bits.hist @[quasar.scala 201:25] - ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.pc4 <= exu.io.exu_bp.exu_mp_pkt.bits.pc4 @[quasar.scala 201:25] - ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.boffset <= exu.io.exu_bp.exu_mp_pkt.bits.boffset @[quasar.scala 201:25] - ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.ataken <= exu.io.exu_bp.exu_mp_pkt.bits.ataken @[quasar.scala 201:25] - ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.misp <= exu.io.exu_bp.exu_mp_pkt.bits.misp @[quasar.scala 201:25] - ifu.io.exu_ifu.exu_bp.exu_mp_pkt.valid <= exu.io.exu_bp.exu_mp_pkt.valid @[quasar.scala 201:25] - ifu.io.exu_ifu.exu_bp.exu_i0_br_way_r <= exu.io.exu_bp.exu_i0_br_way_r @[quasar.scala 201:25] - ifu.io.exu_ifu.exu_bp.exu_i0_br_fghr_r <= exu.io.exu_bp.exu_i0_br_fghr_r @[quasar.scala 201:25] - ifu.io.exu_ifu.exu_bp.exu_i0_br_index_r <= exu.io.exu_bp.exu_i0_br_index_r @[quasar.scala 201:25] - ifu.io.exu_ifu.exu_bp.exu_i0_br_fghr_r <= exu.io.exu_bp.exu_i0_br_fghr_r @[quasar.scala 202:42] - ifu.io.exu_ifu.exu_bp.exu_i0_br_index_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_index_r @[quasar.scala 203:43] - ifu.io.dec_tlu_flush_lower_wb <= dec.io.dec_exu.tlu_exu.dec_tlu_flush_lower_r @[quasar.scala 204:33] - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_flush_lower_wb <= dec.io.dec_exu.tlu_exu.dec_tlu_flush_lower_r @[quasar.scala 205:54] - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wr_valid <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wr_valid @[quasar.scala 206:51] - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_rd_valid <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_rd_valid @[quasar.scala 206:51] - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_dicawics <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_dicawics @[quasar.scala 206:51] - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wrdata <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wrdata @[quasar.scala 206:51] - dec.reset <= io.core_rst_l @[quasar.scala 209:13] - dec.io.free_clk <= rvclkhdr.io.l1clk @[quasar.scala 210:19] - dec.io.active_clk <= rvclkhdr_1.io.l1clk @[quasar.scala 211:21] - dec.io.lsu_fastint_stall_any <= lsu.io.lsu_fastint_stall_any @[quasar.scala 212:32] - dec.io.rst_vec <= io.rst_vec @[quasar.scala 213:18] - dec.io.nmi_int <= io.nmi_int @[quasar.scala 214:18] - dec.io.nmi_vec <= io.nmi_vec @[quasar.scala 215:18] - dec.io.i_cpu_halt_req <= io.i_cpu_halt_req @[quasar.scala 216:25] - dec.io.i_cpu_run_req <= io.i_cpu_run_req @[quasar.scala 217:24] - dec.io.core_id <= io.core_id @[quasar.scala 218:18] - dec.io.mpc_debug_halt_req <= io.mpc_debug_halt_req @[quasar.scala 219:29] - dec.io.mpc_debug_run_req <= io.mpc_debug_run_req @[quasar.scala 220:28] - dec.io.mpc_reset_run_req <= io.mpc_reset_run_req @[quasar.scala 221:28] - dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data @[quasar.scala 222:18] - dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_tag <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_tag @[quasar.scala 222:18] - dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_error <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_error @[quasar.scala 222:18] - dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_valid <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_valid @[quasar.scala 222:18] - dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_inv_tag_r @[quasar.scala 222:18] - dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_inv_r <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_inv_r @[quasar.scala 222:18] - dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_tag_m <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_tag_m @[quasar.scala 222:18] - dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_valid_m <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_valid_m @[quasar.scala 222:18] - dec.io.lsu_dec.tlu_busbuff.lsu_imprecise_error_addr_any <= lsu.io.lsu_dec.tlu_busbuff.lsu_imprecise_error_addr_any @[quasar.scala 222:18] - dec.io.lsu_dec.tlu_busbuff.lsu_imprecise_error_store_any <= lsu.io.lsu_dec.tlu_busbuff.lsu_imprecise_error_store_any @[quasar.scala 222:18] - dec.io.lsu_dec.tlu_busbuff.lsu_imprecise_error_load_any <= lsu.io.lsu_dec.tlu_busbuff.lsu_imprecise_error_load_any @[quasar.scala 222:18] - lsu.io.lsu_dec.tlu_busbuff.dec_tlu_sideeffect_posted_disable <= dec.io.lsu_dec.tlu_busbuff.dec_tlu_sideeffect_posted_disable @[quasar.scala 222:18] - lsu.io.lsu_dec.tlu_busbuff.dec_tlu_wb_coalescing_disable <= dec.io.lsu_dec.tlu_busbuff.dec_tlu_wb_coalescing_disable @[quasar.scala 222:18] - lsu.io.lsu_dec.tlu_busbuff.dec_tlu_external_ldfwd_disable <= dec.io.lsu_dec.tlu_busbuff.dec_tlu_external_ldfwd_disable @[quasar.scala 222:18] - dec.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_busy <= lsu.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_busy @[quasar.scala 222:18] - dec.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_error <= lsu.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_error @[quasar.scala 222:18] - dec.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_misaligned <= lsu.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_misaligned @[quasar.scala 222:18] - dec.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_trxn <= lsu.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_trxn @[quasar.scala 222:18] - dec.io.lsu_tlu.lsu_pmu_store_external_m <= lsu.io.lsu_tlu.lsu_pmu_store_external_m @[quasar.scala 223:18] - dec.io.lsu_tlu.lsu_pmu_load_external_m <= lsu.io.lsu_tlu.lsu_pmu_load_external_m @[quasar.scala 223:18] - dec.io.dec_dma.tlu_dma.dma_iccm_stall_any <= dma_ctrl.io.dec_dma.tlu_dma.dma_iccm_stall_any @[quasar.scala 224:18] - dec.io.dec_dma.tlu_dma.dma_dccm_stall_any <= dma_ctrl.io.dec_dma.tlu_dma.dma_dccm_stall_any @[quasar.scala 224:18] - dma_ctrl.io.dec_dma.tlu_dma.dec_tlu_dma_qos_prty <= dec.io.dec_dma.tlu_dma.dec_tlu_dma_qos_prty @[quasar.scala 224:18] - dec.io.dec_dma.tlu_dma.dma_pmu_any_write <= dma_ctrl.io.dec_dma.tlu_dma.dma_pmu_any_write @[quasar.scala 224:18] - dec.io.dec_dma.tlu_dma.dma_pmu_any_read <= dma_ctrl.io.dec_dma.tlu_dma.dma_pmu_any_read @[quasar.scala 224:18] - dec.io.dec_dma.tlu_dma.dma_pmu_dccm_write <= dma_ctrl.io.dec_dma.tlu_dma.dma_pmu_dccm_write @[quasar.scala 224:18] - dec.io.dec_dma.tlu_dma.dma_pmu_dccm_read <= dma_ctrl.io.dec_dma.tlu_dma.dma_pmu_dccm_read @[quasar.scala 224:18] - dec.io.dec_dma.dctl_dma.dma_dccm_stall_any <= dma_ctrl.io.dec_dma.dctl_dma.dma_dccm_stall_any @[quasar.scala 224:18] - dec.io.dec_pic.mexintpend <= pic_ctrl_inst.io.dec_pic.mexintpend @[quasar.scala 225:18] - pic_ctrl_inst.io.dec_pic.dec_tlu_meipt <= dec.io.dec_pic.dec_tlu_meipt @[quasar.scala 225:18] - pic_ctrl_inst.io.dec_pic.dec_tlu_meicurpl <= dec.io.dec_pic.dec_tlu_meicurpl @[quasar.scala 225:18] - dec.io.dec_pic.mhwakeup <= pic_ctrl_inst.io.dec_pic.mhwakeup @[quasar.scala 225:18] - dec.io.dec_pic.pic_pl <= pic_ctrl_inst.io.dec_pic.pic_pl @[quasar.scala 225:18] - dec.io.dec_pic.pic_claimid <= pic_ctrl_inst.io.dec_pic.pic_claimid @[quasar.scala 225:18] - dec.io.lsu_pmu_misaligned_m <= lsu.io.lsu_pmu_misaligned_m @[quasar.scala 226:31] - dec.io.lsu_fir_addr <= lsu.io.lsu_fir_addr @[quasar.scala 227:23] - dec.io.lsu_fir_error <= lsu.io.lsu_fir_error @[quasar.scala 228:24] - dec.io.lsu_trigger_match_m <= lsu.io.lsu_trigger_match_m @[quasar.scala 229:30] - dec.io.dec_dbg.dbg_dctl.dbg_cmd_wrdata <= dbg.io.dbg_dec.dbg_dctl.dbg_cmd_wrdata @[quasar.scala 230:18] - dec.io.dec_dbg.dbg_ib.dbg_cmd_addr <= dbg.io.dbg_dec.dbg_ib.dbg_cmd_addr @[quasar.scala 230:18] - dec.io.dec_dbg.dbg_ib.dbg_cmd_type <= dbg.io.dbg_dec.dbg_ib.dbg_cmd_type @[quasar.scala 230:18] - dec.io.dec_dbg.dbg_ib.dbg_cmd_write <= dbg.io.dbg_dec.dbg_ib.dbg_cmd_write @[quasar.scala 230:18] - dec.io.dec_dbg.dbg_ib.dbg_cmd_valid <= dbg.io.dbg_dec.dbg_ib.dbg_cmd_valid @[quasar.scala 230:18] - dec.io.lsu_idle_any <= lsu.io.lsu_idle_any @[quasar.scala 231:23] - dec.io.lsu_error_pkt_r.bits.addr <= lsu.io.lsu_error_pkt_r.bits.addr @[quasar.scala 232:26] - dec.io.lsu_error_pkt_r.bits.mscause <= lsu.io.lsu_error_pkt_r.bits.mscause @[quasar.scala 232:26] - dec.io.lsu_error_pkt_r.bits.exc_type <= lsu.io.lsu_error_pkt_r.bits.exc_type @[quasar.scala 232:26] - dec.io.lsu_error_pkt_r.bits.inst_type <= lsu.io.lsu_error_pkt_r.bits.inst_type @[quasar.scala 232:26] - dec.io.lsu_error_pkt_r.bits.single_ecc_error <= lsu.io.lsu_error_pkt_r.bits.single_ecc_error @[quasar.scala 232:26] - dec.io.lsu_error_pkt_r.valid <= lsu.io.lsu_error_pkt_r.valid @[quasar.scala 232:26] - dec.io.lsu_single_ecc_error_incr <= lsu.io.lsu_single_ecc_error_incr @[quasar.scala 233:36] - dec.io.exu_div_result <= exu.io.exu_div_result @[quasar.scala 234:25] - dec.io.exu_div_wren <= exu.io.exu_div_wren @[quasar.scala 235:23] - dec.io.lsu_result_m <= lsu.io.lsu_result_m @[quasar.scala 236:23] - dec.io.lsu_result_corr_r <= lsu.io.lsu_result_corr_r @[quasar.scala 237:28] - dec.io.lsu_load_stall_any <= lsu.io.lsu_load_stall_any @[quasar.scala 238:29] - dec.io.lsu_store_stall_any <= lsu.io.lsu_store_stall_any @[quasar.scala 239:30] - dec.io.iccm_dma_sb_error <= ifu.io.iccm_dma_sb_error @[quasar.scala 240:28] - dec.io.exu_flush_final <= exu.io.exu_flush_final @[quasar.scala 241:26] - dec.io.soft_int <= io.soft_int @[quasar.scala 243:19] - dec.io.dbg_halt_req <= dbg.io.dbg_halt_req @[quasar.scala 247:23] - dec.io.dbg_resume_req <= dbg.io.dbg_resume_req @[quasar.scala 248:25] - dec.io.exu_i0_br_way_r <= exu.io.exu_bp.exu_i0_br_way_r @[quasar.scala 249:26] - dec.io.timer_int <= io.timer_int @[quasar.scala 250:20] - dec.io.scan_mode <= io.scan_mode @[quasar.scala 251:20] - exu.io.dec_exu.gpr_exu.gpr_i0_rs2_d <= dec.io.dec_exu.gpr_exu.gpr_i0_rs2_d @[quasar.scala 254:18] - exu.io.dec_exu.gpr_exu.gpr_i0_rs1_d <= dec.io.dec_exu.gpr_exu.gpr_i0_rs1_d @[quasar.scala 254:18] - exu.io.dec_exu.ib_exu.dec_debug_wdata_rs1_d <= dec.io.dec_exu.ib_exu.dec_debug_wdata_rs1_d @[quasar.scala 254:18] - exu.io.dec_exu.ib_exu.dec_i0_pc_d <= dec.io.dec_exu.ib_exu.dec_i0_pc_d @[quasar.scala 254:18] - dec.io.dec_exu.tlu_exu.exu_npc_r <= exu.io.dec_exu.tlu_exu.exu_npc_r @[quasar.scala 254:18] - dec.io.dec_exu.tlu_exu.exu_pmu_i0_pc4 <= exu.io.dec_exu.tlu_exu.exu_pmu_i0_pc4 @[quasar.scala 254:18] - dec.io.dec_exu.tlu_exu.exu_pmu_i0_br_ataken <= exu.io.dec_exu.tlu_exu.exu_pmu_i0_br_ataken @[quasar.scala 254:18] - dec.io.dec_exu.tlu_exu.exu_pmu_i0_br_misp <= exu.io.dec_exu.tlu_exu.exu_pmu_i0_br_misp @[quasar.scala 254:18] - dec.io.dec_exu.tlu_exu.exu_i0_br_middle_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_middle_r @[quasar.scala 254:18] - dec.io.dec_exu.tlu_exu.exu_i0_br_mp_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_mp_r @[quasar.scala 254:18] - dec.io.dec_exu.tlu_exu.exu_i0_br_valid_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_valid_r @[quasar.scala 254:18] - dec.io.dec_exu.tlu_exu.exu_i0_br_index_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_index_r @[quasar.scala 254:18] - dec.io.dec_exu.tlu_exu.exu_i0_br_start_error_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_start_error_r @[quasar.scala 254:18] - dec.io.dec_exu.tlu_exu.exu_i0_br_error_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_error_r @[quasar.scala 254:18] - dec.io.dec_exu.tlu_exu.exu_i0_br_hist_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_hist_r @[quasar.scala 254:18] - exu.io.dec_exu.tlu_exu.dec_tlu_flush_path_r <= dec.io.dec_exu.tlu_exu.dec_tlu_flush_path_r @[quasar.scala 254:18] - exu.io.dec_exu.tlu_exu.dec_tlu_flush_lower_r <= dec.io.dec_exu.tlu_exu.dec_tlu_flush_lower_r @[quasar.scala 254:18] - exu.io.dec_exu.tlu_exu.dec_tlu_meihap <= dec.io.dec_exu.tlu_exu.dec_tlu_meihap @[quasar.scala 254:18] - dec.io.dec_exu.decode_exu.exu_csr_rs1_x <= exu.io.dec_exu.decode_exu.exu_csr_rs1_x @[quasar.scala 254:18] - dec.io.dec_exu.decode_exu.exu_i0_result_x <= exu.io.dec_exu.decode_exu.exu_i0_result_x @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_extint_stall <= dec.io.dec_exu.decode_exu.dec_extint_stall @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.pred_correct_npc_x <= dec.io.dec_exu.decode_exu.pred_correct_npc_x @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.mul_p.bits.bfp <= dec.io.dec_exu.decode_exu.mul_p.bits.bfp @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.mul_p.bits.crc32c_w <= dec.io.dec_exu.decode_exu.mul_p.bits.crc32c_w @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.mul_p.bits.crc32c_h <= dec.io.dec_exu.decode_exu.mul_p.bits.crc32c_h @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.mul_p.bits.crc32c_b <= dec.io.dec_exu.decode_exu.mul_p.bits.crc32c_b @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.mul_p.bits.crc32_w <= dec.io.dec_exu.decode_exu.mul_p.bits.crc32_w @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.mul_p.bits.crc32_h <= dec.io.dec_exu.decode_exu.mul_p.bits.crc32_h @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.mul_p.bits.crc32_b <= dec.io.dec_exu.decode_exu.mul_p.bits.crc32_b @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.mul_p.bits.unshfl <= dec.io.dec_exu.decode_exu.mul_p.bits.unshfl @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.mul_p.bits.shfl <= dec.io.dec_exu.decode_exu.mul_p.bits.shfl @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.mul_p.bits.grev <= dec.io.dec_exu.decode_exu.mul_p.bits.grev @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.mul_p.bits.clmulr <= dec.io.dec_exu.decode_exu.mul_p.bits.clmulr @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.mul_p.bits.clmulh <= dec.io.dec_exu.decode_exu.mul_p.bits.clmulh @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.mul_p.bits.clmul <= dec.io.dec_exu.decode_exu.mul_p.bits.clmul @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.mul_p.bits.bdep <= dec.io.dec_exu.decode_exu.mul_p.bits.bdep @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.mul_p.bits.bext <= dec.io.dec_exu.decode_exu.mul_p.bits.bext @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.mul_p.bits.low <= dec.io.dec_exu.decode_exu.mul_p.bits.low @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.mul_p.bits.rs2_sign <= dec.io.dec_exu.decode_exu.mul_p.bits.rs2_sign @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.mul_p.bits.rs1_sign <= dec.io.dec_exu.decode_exu.mul_p.bits.rs1_sign @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.mul_p.valid <= dec.io.dec_exu.decode_exu.mul_p.valid @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_rs2_bypass_en_d <= dec.io.dec_exu.decode_exu.dec_i0_rs2_bypass_en_d @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_rs1_bypass_en_d <= dec.io.dec_exu.decode_exu.dec_i0_rs1_bypass_en_d @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_select_pc_d <= dec.io.dec_exu.decode_exu.dec_i0_select_pc_d @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_rs2_bypass_data_d <= dec.io.dec_exu.decode_exu.dec_i0_rs2_bypass_data_d @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_rs1_bypass_data_d <= dec.io.dec_exu.decode_exu.dec_i0_rs1_bypass_data_d @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_immed_d <= dec.io.dec_exu.decode_exu.dec_i0_immed_d @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_rs2_en_d <= dec.io.dec_exu.decode_exu.dec_i0_rs2_en_d @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_rs1_en_d <= dec.io.dec_exu.decode_exu.dec_i0_rs1_en_d @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_predict_btag_d <= dec.io.dec_exu.decode_exu.i0_predict_btag_d @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_predict_index_d <= dec.io.dec_exu.decode_exu.i0_predict_index_d @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_predict_fghr_d <= dec.io.dec_exu.decode_exu.i0_predict_fghr_d @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.way <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.way @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pja <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pja @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pret <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pret @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pcall <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pcall @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.prett <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.prett @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.br_start_error <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.br_start_error @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.br_error <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.br_error @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.toffset <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.toffset @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.hist <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.hist @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pc4 <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pc4 @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.boffset <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.boffset @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.ataken <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.ataken @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.misp <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.misp @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.valid <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.valid @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_ap.csr_imm <= dec.io.dec_exu.decode_exu.i0_ap.csr_imm @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_ap.csr_write <= dec.io.dec_exu.decode_exu.i0_ap.csr_write @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_ap.predict_nt <= dec.io.dec_exu.decode_exu.i0_ap.predict_nt @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_ap.predict_t <= dec.io.dec_exu.decode_exu.i0_ap.predict_t @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_ap.jal <= dec.io.dec_exu.decode_exu.i0_ap.jal @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_ap.unsign <= dec.io.dec_exu.decode_exu.i0_ap.unsign @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_ap.slt <= dec.io.dec_exu.decode_exu.i0_ap.slt @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_ap.sub <= dec.io.dec_exu.decode_exu.i0_ap.sub @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_ap.add <= dec.io.dec_exu.decode_exu.i0_ap.add @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_ap.bge <= dec.io.dec_exu.decode_exu.i0_ap.bge @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_ap.blt <= dec.io.dec_exu.decode_exu.i0_ap.blt @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_ap.bne <= dec.io.dec_exu.decode_exu.i0_ap.bne @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_ap.beq <= dec.io.dec_exu.decode_exu.i0_ap.beq @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_ap.sra <= dec.io.dec_exu.decode_exu.i0_ap.sra @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_ap.srl <= dec.io.dec_exu.decode_exu.i0_ap.srl @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_ap.sll <= dec.io.dec_exu.decode_exu.i0_ap.sll @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_ap.lxor <= dec.io.dec_exu.decode_exu.i0_ap.lxor @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_ap.lor <= dec.io.dec_exu.decode_exu.i0_ap.lor @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.i0_ap.land <= dec.io.dec_exu.decode_exu.i0_ap.land @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_ctl_en <= dec.io.dec_exu.decode_exu.dec_ctl_en @[quasar.scala 254:18] - exu.io.dec_exu.decode_exu.dec_data_en <= dec.io.dec_exu.decode_exu.dec_data_en @[quasar.scala 254:18] - exu.io.dec_exu.dec_div.dec_div_cancel <= dec.io.dec_exu.dec_div.dec_div_cancel @[quasar.scala 254:18] - exu.io.dec_exu.dec_div.div_p.bits.rem <= dec.io.dec_exu.dec_div.div_p.bits.rem @[quasar.scala 254:18] - exu.io.dec_exu.dec_div.div_p.bits.unsign <= dec.io.dec_exu.dec_div.div_p.bits.unsign @[quasar.scala 254:18] - exu.io.dec_exu.dec_div.div_p.valid <= dec.io.dec_exu.dec_div.div_p.valid @[quasar.scala 254:18] - dec.io.dec_exu.dec_alu.exu_i0_pc_x <= exu.io.dec_exu.dec_alu.exu_i0_pc_x @[quasar.scala 254:18] - exu.io.dec_exu.dec_alu.dec_i0_br_immed_d <= dec.io.dec_exu.dec_alu.dec_i0_br_immed_d @[quasar.scala 254:18] - exu.io.dec_exu.dec_alu.dec_csr_ren_d <= dec.io.dec_exu.dec_alu.dec_csr_ren_d @[quasar.scala 254:18] - exu.io.dec_exu.dec_alu.dec_i0_alu_decode_d <= dec.io.dec_exu.dec_alu.dec_i0_alu_decode_d @[quasar.scala 254:18] - exu.reset <= io.core_rst_l @[quasar.scala 255:13] - exu.io.scan_mode <= io.scan_mode @[quasar.scala 256:20] - exu.io.dbg_cmd_wrdata <= dbg.io.dbg_dec.dbg_dctl.dbg_cmd_wrdata @[quasar.scala 257:25] - lsu.reset <= io.core_rst_l @[quasar.scala 260:13] - lsu.io.clk_override <= dec.io.dec_tlu_lsu_clk_override @[quasar.scala 261:23] - lsu.io.dec_tlu_flush_lower_r <= dec.io.dec_exu.tlu_exu.dec_tlu_flush_lower_r @[quasar.scala 262:32] - lsu.io.dec_tlu_i0_kill_writeb_r <= dec.io.dec_tlu_i0_kill_writeb_r @[quasar.scala 263:35] - lsu.io.dec_tlu_force_halt <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_force_halt @[quasar.scala 264:29] - lsu.io.dec_tlu_core_ecc_disable <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_core_ecc_disable @[quasar.scala 265:35] - lsu.io.lsu_exu.exu_lsu_rs2_d <= exu.io.lsu_exu.exu_lsu_rs2_d @[quasar.scala 266:18] - lsu.io.lsu_exu.exu_lsu_rs1_d <= exu.io.lsu_exu.exu_lsu_rs1_d @[quasar.scala 266:18] - lsu.io.dec_lsu_offset_d <= dec.io.dec_lsu_offset_d @[quasar.scala 267:27] - lsu.io.lsu_p.bits.store_data_bypass_m <= dec.io.lsu_p.bits.store_data_bypass_m @[quasar.scala 268:16] - lsu.io.lsu_p.bits.load_ldst_bypass_d <= dec.io.lsu_p.bits.load_ldst_bypass_d @[quasar.scala 268:16] - lsu.io.lsu_p.bits.store_data_bypass_d <= dec.io.lsu_p.bits.store_data_bypass_d @[quasar.scala 268:16] - lsu.io.lsu_p.bits.dma <= dec.io.lsu_p.bits.dma @[quasar.scala 268:16] - lsu.io.lsu_p.bits.unsign <= dec.io.lsu_p.bits.unsign @[quasar.scala 268:16] - lsu.io.lsu_p.bits.store <= dec.io.lsu_p.bits.store @[quasar.scala 268:16] - lsu.io.lsu_p.bits.load <= dec.io.lsu_p.bits.load @[quasar.scala 268:16] - lsu.io.lsu_p.bits.dword <= dec.io.lsu_p.bits.dword @[quasar.scala 268:16] - lsu.io.lsu_p.bits.word <= dec.io.lsu_p.bits.word @[quasar.scala 268:16] - lsu.io.lsu_p.bits.half <= dec.io.lsu_p.bits.half @[quasar.scala 268:16] - lsu.io.lsu_p.bits.by <= dec.io.lsu_p.bits.by @[quasar.scala 268:16] - lsu.io.lsu_p.bits.fast_int <= dec.io.lsu_p.bits.fast_int @[quasar.scala 268:16] - lsu.io.lsu_p.valid <= dec.io.lsu_p.valid @[quasar.scala 268:16] - lsu.io.dec_lsu_valid_raw_d <= dec.io.dec_lsu_valid_raw_d @[quasar.scala 269:30] - lsu.io.dec_tlu_mrac_ff <= dec.io.ifu_dec.dec_ifc.dec_tlu_mrac_ff @[quasar.scala 270:26] - lsu.io.trigger_pkt_any[0].tdata2 <= dec.io.trigger_pkt_any[0].tdata2 @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[0].m <= dec.io.trigger_pkt_any[0].m @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[0].execute <= dec.io.trigger_pkt_any[0].execute @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[0].load <= dec.io.trigger_pkt_any[0].load @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[0].store <= dec.io.trigger_pkt_any[0].store @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[0].match_pkt <= dec.io.trigger_pkt_any[0].match_pkt @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[0].select <= dec.io.trigger_pkt_any[0].select @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[1].tdata2 <= dec.io.trigger_pkt_any[1].tdata2 @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[1].m <= dec.io.trigger_pkt_any[1].m @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[1].execute <= dec.io.trigger_pkt_any[1].execute @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[1].load <= dec.io.trigger_pkt_any[1].load @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[1].store <= dec.io.trigger_pkt_any[1].store @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[1].match_pkt <= dec.io.trigger_pkt_any[1].match_pkt @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[1].select <= dec.io.trigger_pkt_any[1].select @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[2].tdata2 <= dec.io.trigger_pkt_any[2].tdata2 @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[2].m <= dec.io.trigger_pkt_any[2].m @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[2].execute <= dec.io.trigger_pkt_any[2].execute @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[2].load <= dec.io.trigger_pkt_any[2].load @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[2].store <= dec.io.trigger_pkt_any[2].store @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[2].match_pkt <= dec.io.trigger_pkt_any[2].match_pkt @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[2].select <= dec.io.trigger_pkt_any[2].select @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[3].tdata2 <= dec.io.trigger_pkt_any[3].tdata2 @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[3].m <= dec.io.trigger_pkt_any[3].m @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[3].execute <= dec.io.trigger_pkt_any[3].execute @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[3].load <= dec.io.trigger_pkt_any[3].load @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[3].store <= dec.io.trigger_pkt_any[3].store @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[3].match_pkt <= dec.io.trigger_pkt_any[3].match_pkt @[quasar.scala 271:26] - lsu.io.trigger_pkt_any[3].select <= dec.io.trigger_pkt_any[3].select @[quasar.scala 271:26] - node _T_13 = mux(UInt<1>("h00"), UInt<1>("h00"), io.lsu_axi.aw.ready) @[quasar.scala 272:29] - lsu.io.axi.aw.ready <= _T_13 @[quasar.scala 272:23] - node _T_14 = mux(UInt<1>("h00"), UInt<1>("h00"), io.lsu_axi.w.ready) @[quasar.scala 273:29] - lsu.io.axi.w.ready <= _T_14 @[quasar.scala 273:22] - node _T_15 = mux(UInt<1>("h00"), UInt<1>("h00"), io.lsu_axi.b.valid) @[quasar.scala 274:29] - lsu.io.axi.b.valid <= _T_15 @[quasar.scala 274:22] - node _T_16 = mux(UInt<1>("h00"), UInt<1>("h00"), io.lsu_axi.b.bits.resp) @[quasar.scala 275:33] - lsu.io.axi.b.bits.resp <= _T_16 @[quasar.scala 275:26] - node _T_17 = mux(UInt<1>("h00"), UInt<1>("h00"), io.lsu_axi.b.bits.id) @[quasar.scala 276:31] - lsu.io.axi.b.bits.id <= _T_17 @[quasar.scala 276:24] - node _T_18 = mux(UInt<1>("h00"), UInt<1>("h00"), io.lsu_axi.ar.ready) @[quasar.scala 277:30] - lsu.io.axi.ar.ready <= _T_18 @[quasar.scala 277:23] - node _T_19 = mux(UInt<1>("h00"), UInt<1>("h00"), io.lsu_axi.r.valid) @[quasar.scala 278:29] - lsu.io.axi.r.valid <= _T_19 @[quasar.scala 278:22] - node _T_20 = mux(UInt<1>("h00"), UInt<1>("h00"), io.lsu_axi.r.bits.id) @[quasar.scala 279:31] - lsu.io.axi.r.bits.id <= _T_20 @[quasar.scala 279:24] - node _T_21 = mux(UInt<1>("h00"), UInt<1>("h00"), io.lsu_axi.r.bits.data) @[quasar.scala 280:33] - lsu.io.axi.r.bits.data <= _T_21 @[quasar.scala 280:26] - node _T_22 = mux(UInt<1>("h00"), UInt<1>("h00"), io.lsu_axi.r.bits.resp) @[quasar.scala 281:33] - lsu.io.axi.r.bits.resp <= _T_22 @[quasar.scala 281:26] - node _T_23 = mux(UInt<1>("h00"), UInt<1>("h00"), io.lsu_axi.r.bits.last) @[quasar.scala 282:33] - lsu.io.axi.r.bits.last <= _T_23 @[quasar.scala 282:26] - lsu.io.lsu_bus_clk_en <= io.lsu_bus_clk_en @[quasar.scala 283:25] - lsu.io.lsu_dma.dma_mem_tag <= dma_ctrl.io.lsu_dma.dma_mem_tag @[quasar.scala 284:18] - dma_ctrl.io.lsu_dma.dccm_ready <= lsu.io.lsu_dma.dccm_ready @[quasar.scala 284:18] - dma_ctrl.io.lsu_dma.dma_dccm_ctl.dccm_dma_rdata <= lsu.io.lsu_dma.dma_dccm_ctl.dccm_dma_rdata @[quasar.scala 284:18] - dma_ctrl.io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag <= lsu.io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag @[quasar.scala 284:18] - dma_ctrl.io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error <= lsu.io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error @[quasar.scala 284:18] - dma_ctrl.io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid <= lsu.io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid @[quasar.scala 284:18] - lsu.io.lsu_dma.dma_dccm_ctl.dma_mem_wdata <= dma_ctrl.io.lsu_dma.dma_dccm_ctl.dma_mem_wdata @[quasar.scala 284:18] - lsu.io.lsu_dma.dma_dccm_ctl.dma_mem_addr <= dma_ctrl.io.lsu_dma.dma_dccm_ctl.dma_mem_addr @[quasar.scala 284:18] - lsu.io.lsu_dma.dma_lsc_ctl.dma_mem_wdata <= dma_ctrl.io.lsu_dma.dma_lsc_ctl.dma_mem_wdata @[quasar.scala 284:18] - lsu.io.lsu_dma.dma_lsc_ctl.dma_mem_write <= dma_ctrl.io.lsu_dma.dma_lsc_ctl.dma_mem_write @[quasar.scala 284:18] - lsu.io.lsu_dma.dma_lsc_ctl.dma_mem_sz <= dma_ctrl.io.lsu_dma.dma_lsc_ctl.dma_mem_sz @[quasar.scala 284:18] - lsu.io.lsu_dma.dma_lsc_ctl.dma_mem_addr <= dma_ctrl.io.lsu_dma.dma_lsc_ctl.dma_mem_addr @[quasar.scala 284:18] - lsu.io.lsu_dma.dma_lsc_ctl.dma_dccm_req <= dma_ctrl.io.lsu_dma.dma_lsc_ctl.dma_dccm_req @[quasar.scala 284:18] - lsu.io.scan_mode <= io.scan_mode @[quasar.scala 285:20] - lsu.io.free_clk <= rvclkhdr.io.l1clk @[quasar.scala 286:19] - dbg.reset <= io.core_rst_l @[quasar.scala 289:13] - node _T_24 = mux(dma_ctrl.io.dma_dbg_cmd_done, dma_ctrl.io.dma_dbg_rddata, dec.io.dec_dbg_rddata) @[quasar.scala 290:32] - dbg.io.core_dbg_rddata <= _T_24 @[quasar.scala 290:26] - node _T_25 = or(dma_ctrl.io.dma_dbg_cmd_done, dec.io.dec_dbg_cmd_done) @[quasar.scala 291:60] - dbg.io.core_dbg_cmd_done <= _T_25 @[quasar.scala 291:28] - node _T_26 = or(dma_ctrl.io.dma_dbg_cmd_fail, dec.io.dec_dbg_cmd_fail) @[quasar.scala 292:60] - dbg.io.core_dbg_cmd_fail <= _T_26 @[quasar.scala 292:28] - dbg.io.dec_tlu_debug_mode <= dec.io.dec_tlu_debug_mode @[quasar.scala 293:29] - dbg.io.dec_tlu_dbg_halted <= dec.io.dec_tlu_dbg_halted @[quasar.scala 294:29] - dbg.io.dec_tlu_mpc_halted_only <= dec.io.dec_tlu_mpc_halted_only @[quasar.scala 295:34] - dbg.io.dec_tlu_resume_ack <= dec.io.dec_tlu_resume_ack @[quasar.scala 296:29] - dbg.io.dmi_reg_en <= io.dmi_reg_en @[quasar.scala 297:21] - dbg.io.dmi_reg_addr <= io.dmi_reg_addr @[quasar.scala 298:23] - dbg.io.dmi_reg_wr_en <= io.dmi_reg_wr_en @[quasar.scala 299:24] - dbg.io.dmi_reg_wdata <= io.dmi_reg_wdata @[quasar.scala 300:24] - dbg.io.sb_axi.r.bits.last <= io.sb_axi.r.bits.last @[quasar.scala 301:17] - dbg.io.sb_axi.r.bits.resp <= io.sb_axi.r.bits.resp @[quasar.scala 301:17] - dbg.io.sb_axi.r.bits.data <= io.sb_axi.r.bits.data @[quasar.scala 301:17] - dbg.io.sb_axi.r.bits.id <= io.sb_axi.r.bits.id @[quasar.scala 301:17] - dbg.io.sb_axi.r.valid <= io.sb_axi.r.valid @[quasar.scala 301:17] - io.sb_axi.r.ready <= dbg.io.sb_axi.r.ready @[quasar.scala 301:17] - io.sb_axi.ar.bits.qos <= dbg.io.sb_axi.ar.bits.qos @[quasar.scala 301:17] - io.sb_axi.ar.bits.prot <= dbg.io.sb_axi.ar.bits.prot @[quasar.scala 301:17] - io.sb_axi.ar.bits.cache <= dbg.io.sb_axi.ar.bits.cache @[quasar.scala 301:17] - io.sb_axi.ar.bits.lock <= dbg.io.sb_axi.ar.bits.lock @[quasar.scala 301:17] - io.sb_axi.ar.bits.burst <= dbg.io.sb_axi.ar.bits.burst @[quasar.scala 301:17] - io.sb_axi.ar.bits.size <= dbg.io.sb_axi.ar.bits.size @[quasar.scala 301:17] - io.sb_axi.ar.bits.len <= dbg.io.sb_axi.ar.bits.len @[quasar.scala 301:17] - io.sb_axi.ar.bits.region <= dbg.io.sb_axi.ar.bits.region @[quasar.scala 301:17] - io.sb_axi.ar.bits.addr <= dbg.io.sb_axi.ar.bits.addr @[quasar.scala 301:17] - io.sb_axi.ar.bits.id <= dbg.io.sb_axi.ar.bits.id @[quasar.scala 301:17] - io.sb_axi.ar.valid <= dbg.io.sb_axi.ar.valid @[quasar.scala 301:17] - dbg.io.sb_axi.ar.ready <= io.sb_axi.ar.ready @[quasar.scala 301:17] - dbg.io.sb_axi.b.bits.id <= io.sb_axi.b.bits.id @[quasar.scala 301:17] - dbg.io.sb_axi.b.bits.resp <= io.sb_axi.b.bits.resp @[quasar.scala 301:17] - dbg.io.sb_axi.b.valid <= io.sb_axi.b.valid @[quasar.scala 301:17] - io.sb_axi.b.ready <= dbg.io.sb_axi.b.ready @[quasar.scala 301:17] - io.sb_axi.w.bits.last <= dbg.io.sb_axi.w.bits.last @[quasar.scala 301:17] - io.sb_axi.w.bits.strb <= dbg.io.sb_axi.w.bits.strb @[quasar.scala 301:17] - io.sb_axi.w.bits.data <= dbg.io.sb_axi.w.bits.data @[quasar.scala 301:17] - io.sb_axi.w.valid <= dbg.io.sb_axi.w.valid @[quasar.scala 301:17] - dbg.io.sb_axi.w.ready <= io.sb_axi.w.ready @[quasar.scala 301:17] - io.sb_axi.aw.bits.qos <= dbg.io.sb_axi.aw.bits.qos @[quasar.scala 301:17] - io.sb_axi.aw.bits.prot <= dbg.io.sb_axi.aw.bits.prot @[quasar.scala 301:17] - io.sb_axi.aw.bits.cache <= dbg.io.sb_axi.aw.bits.cache @[quasar.scala 301:17] - io.sb_axi.aw.bits.lock <= dbg.io.sb_axi.aw.bits.lock @[quasar.scala 301:17] - io.sb_axi.aw.bits.burst <= dbg.io.sb_axi.aw.bits.burst @[quasar.scala 301:17] - io.sb_axi.aw.bits.size <= dbg.io.sb_axi.aw.bits.size @[quasar.scala 301:17] - io.sb_axi.aw.bits.len <= dbg.io.sb_axi.aw.bits.len @[quasar.scala 301:17] - io.sb_axi.aw.bits.region <= dbg.io.sb_axi.aw.bits.region @[quasar.scala 301:17] - io.sb_axi.aw.bits.addr <= dbg.io.sb_axi.aw.bits.addr @[quasar.scala 301:17] - io.sb_axi.aw.bits.id <= dbg.io.sb_axi.aw.bits.id @[quasar.scala 301:17] - io.sb_axi.aw.valid <= dbg.io.sb_axi.aw.valid @[quasar.scala 301:17] - dbg.io.sb_axi.aw.ready <= io.sb_axi.aw.ready @[quasar.scala 301:17] - dbg.io.dbg_bus_clk_en <= io.dbg_bus_clk_en @[quasar.scala 302:25] - node _T_27 = asUInt(io.dbg_rst_l) @[quasar.scala 303:42] - dbg.io.dbg_rst_l <= _T_27 @[quasar.scala 303:20] - dbg.io.clk_override <= dec.io.dec_tlu_misc_clk_override @[quasar.scala 304:23] - dbg.io.scan_mode <= io.scan_mode @[quasar.scala 305:20] - dma_ctrl.reset <= io.core_rst_l @[quasar.scala 309:18] - dma_ctrl.io.free_clk <= rvclkhdr.io.l1clk @[quasar.scala 310:24] - dma_ctrl.io.dma_bus_clk_en <= io.dma_bus_clk_en @[quasar.scala 311:30] - dma_ctrl.io.clk_override <= dec.io.dec_tlu_misc_clk_override @[quasar.scala 312:28] - dma_ctrl.io.scan_mode <= io.scan_mode @[quasar.scala 313:25] - dma_ctrl.io.dbg_dma.dbg_dctl.dbg_cmd_wrdata <= dbg.io.dbg_dma.dbg_dctl.dbg_cmd_wrdata @[quasar.scala 314:23] - dma_ctrl.io.dbg_dma.dbg_ib.dbg_cmd_addr <= dbg.io.dbg_dma.dbg_ib.dbg_cmd_addr @[quasar.scala 314:23] - dma_ctrl.io.dbg_dma.dbg_ib.dbg_cmd_type <= dbg.io.dbg_dma.dbg_ib.dbg_cmd_type @[quasar.scala 314:23] - dma_ctrl.io.dbg_dma.dbg_ib.dbg_cmd_write <= dbg.io.dbg_dma.dbg_ib.dbg_cmd_write @[quasar.scala 314:23] - dma_ctrl.io.dbg_dma.dbg_ib.dbg_cmd_valid <= dbg.io.dbg_dma.dbg_ib.dbg_cmd_valid @[quasar.scala 314:23] - dbg.io.dbg_dma_io.dma_dbg_ready <= dma_ctrl.io.dbg_dma_io.dma_dbg_ready @[quasar.scala 315:26] - dma_ctrl.io.dbg_dma_io.dbg_dma_bubble <= dbg.io.dbg_dma_io.dbg_dma_bubble @[quasar.scala 315:26] - dma_ctrl.io.dbg_cmd_size <= dbg.io.dbg_cmd_size @[quasar.scala 316:28] - dma_ctrl.io.iccm_dma_rvalid <= ifu.io.iccm_dma_rvalid @[quasar.scala 317:31] - dma_ctrl.io.iccm_dma_rtag <= ifu.io.iccm_dma_rtag @[quasar.scala 318:29] - dma_ctrl.io.iccm_dma_rdata <= ifu.io.iccm_dma_rdata @[quasar.scala 319:30] - dma_ctrl.io.iccm_ready <= ifu.io.iccm_ready @[quasar.scala 320:26] - dma_ctrl.io.iccm_dma_ecc_error <= ifu.io.iccm_dma_ecc_error @[quasar.scala 321:34] - pic_ctrl_inst.io.scan_mode <= io.scan_mode @[quasar.scala 324:30] - pic_ctrl_inst.reset <= io.core_rst_l @[quasar.scala 325:23] - pic_ctrl_inst.io.free_clk <= rvclkhdr.io.l1clk @[quasar.scala 326:29] - pic_ctrl_inst.io.active_clk <= rvclkhdr_1.io.l1clk @[quasar.scala 327:31] - pic_ctrl_inst.io.clk_override <= dec.io.dec_tlu_pic_clk_override @[quasar.scala 328:33] - pic_ctrl_inst.io.extintsrc_req <= io.extintsrc_req @[quasar.scala 329:34] - lsu.io.lsu_pic.picm_rd_data <= pic_ctrl_inst.io.lsu_pic.picm_rd_data @[quasar.scala 330:28] - pic_ctrl_inst.io.lsu_pic.picm_wr_data <= lsu.io.lsu_pic.picm_wr_data @[quasar.scala 330:28] - pic_ctrl_inst.io.lsu_pic.picm_wraddr <= lsu.io.lsu_pic.picm_wraddr @[quasar.scala 330:28] - pic_ctrl_inst.io.lsu_pic.picm_rdaddr <= lsu.io.lsu_pic.picm_rdaddr @[quasar.scala 330:28] - pic_ctrl_inst.io.lsu_pic.picm_mken <= lsu.io.lsu_pic.picm_mken @[quasar.scala 330:28] - pic_ctrl_inst.io.lsu_pic.picm_rden <= lsu.io.lsu_pic.picm_rden @[quasar.scala 330:28] - pic_ctrl_inst.io.lsu_pic.picm_wren <= lsu.io.lsu_pic.picm_wren @[quasar.scala 330:28] - io.trace.rv_i_tval_ip <= dec.io.rv_trace_pkt.rv_i_tval_ip @[quasar.scala 332:12] - io.trace.rv_i_interrupt_ip <= dec.io.rv_trace_pkt.rv_i_interrupt_ip @[quasar.scala 332:12] - io.trace.rv_i_ecause_ip <= dec.io.rv_trace_pkt.rv_i_ecause_ip @[quasar.scala 332:12] - io.trace.rv_i_exception_ip <= dec.io.rv_trace_pkt.rv_i_exception_ip @[quasar.scala 332:12] - io.trace.rv_i_address_ip <= dec.io.rv_trace_pkt.rv_i_address_ip @[quasar.scala 332:12] - io.trace.rv_i_insn_ip <= dec.io.rv_trace_pkt.rv_i_insn_ip @[quasar.scala 332:12] - io.trace.rv_i_valid_ip <= dec.io.rv_trace_pkt.rv_i_valid_ip @[quasar.scala 332:12] - io.dccm_clk_override <= dec.io.dec_tlu_dccm_clk_override @[quasar.scala 342:24] - io.icm_clk_override <= dec.io.dec_tlu_icm_clk_override @[quasar.scala 343:23] - io.dec_tlu_core_ecc_disable <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_core_ecc_disable @[quasar.scala 344:31] - io.o_cpu_halt_ack <= dec.io.o_cpu_halt_ack @[quasar.scala 345:21] - io.o_cpu_halt_status <= dec.io.o_cpu_halt_status @[quasar.scala 346:24] - io.o_cpu_run_ack <= dec.io.o_cpu_run_ack @[quasar.scala 347:20] - io.o_debug_mode_status <= dec.io.o_debug_mode_status @[quasar.scala 348:26] - io.mpc_debug_halt_ack <= dec.io.mpc_debug_halt_ack @[quasar.scala 349:25] - io.mpc_debug_run_ack <= dec.io.mpc_debug_run_ack @[quasar.scala 350:24] - io.debug_brkpt_status <= dec.io.debug_brkpt_status @[quasar.scala 351:25] - io.dec_tlu_perfcnt0 <= dec.io.dec_tlu_perfcnt0 @[quasar.scala 352:23] - io.dec_tlu_perfcnt1 <= dec.io.dec_tlu_perfcnt1 @[quasar.scala 353:23] - io.dec_tlu_perfcnt2 <= dec.io.dec_tlu_perfcnt2 @[quasar.scala 354:23] - io.dec_tlu_perfcnt3 <= dec.io.dec_tlu_perfcnt3 @[quasar.scala 355:23] - lsu.io.dccm.rd_data_hi <= io.swerv_mem.rd_data_hi @[quasar.scala 357:16] - lsu.io.dccm.rd_data_lo <= io.swerv_mem.rd_data_lo @[quasar.scala 357:16] - io.swerv_mem.wr_data_hi <= lsu.io.dccm.wr_data_hi @[quasar.scala 357:16] - io.swerv_mem.wr_data_lo <= lsu.io.dccm.wr_data_lo @[quasar.scala 357:16] - io.swerv_mem.rd_addr_hi <= lsu.io.dccm.rd_addr_hi @[quasar.scala 357:16] - io.swerv_mem.rd_addr_lo <= lsu.io.dccm.rd_addr_lo @[quasar.scala 357:16] - io.swerv_mem.wr_addr_hi <= lsu.io.dccm.wr_addr_hi @[quasar.scala 357:16] - io.swerv_mem.wr_addr_lo <= lsu.io.dccm.wr_addr_lo @[quasar.scala 357:16] - io.swerv_mem.rden <= lsu.io.dccm.rden @[quasar.scala 357:16] - io.swerv_mem.wren <= lsu.io.dccm.wren @[quasar.scala 357:16] - lsu.io.axi.r.bits.last <= io.lsu_axi.r.bits.last @[quasar.scala 381:14] - lsu.io.axi.r.bits.resp <= io.lsu_axi.r.bits.resp @[quasar.scala 381:14] - lsu.io.axi.r.bits.data <= io.lsu_axi.r.bits.data @[quasar.scala 381:14] - lsu.io.axi.r.bits.id <= io.lsu_axi.r.bits.id @[quasar.scala 381:14] - lsu.io.axi.r.valid <= io.lsu_axi.r.valid @[quasar.scala 381:14] - io.lsu_axi.r.ready <= lsu.io.axi.r.ready @[quasar.scala 381:14] - io.lsu_axi.ar.bits.qos <= lsu.io.axi.ar.bits.qos @[quasar.scala 381:14] - io.lsu_axi.ar.bits.prot <= lsu.io.axi.ar.bits.prot @[quasar.scala 381:14] - io.lsu_axi.ar.bits.cache <= lsu.io.axi.ar.bits.cache @[quasar.scala 381:14] - io.lsu_axi.ar.bits.lock <= lsu.io.axi.ar.bits.lock @[quasar.scala 381:14] - io.lsu_axi.ar.bits.burst <= lsu.io.axi.ar.bits.burst @[quasar.scala 381:14] - io.lsu_axi.ar.bits.size <= lsu.io.axi.ar.bits.size @[quasar.scala 381:14] - io.lsu_axi.ar.bits.len <= lsu.io.axi.ar.bits.len @[quasar.scala 381:14] - io.lsu_axi.ar.bits.region <= lsu.io.axi.ar.bits.region @[quasar.scala 381:14] - io.lsu_axi.ar.bits.addr <= lsu.io.axi.ar.bits.addr @[quasar.scala 381:14] - io.lsu_axi.ar.bits.id <= lsu.io.axi.ar.bits.id @[quasar.scala 381:14] - io.lsu_axi.ar.valid <= lsu.io.axi.ar.valid @[quasar.scala 381:14] - lsu.io.axi.ar.ready <= io.lsu_axi.ar.ready @[quasar.scala 381:14] - lsu.io.axi.b.bits.id <= io.lsu_axi.b.bits.id @[quasar.scala 381:14] - lsu.io.axi.b.bits.resp <= io.lsu_axi.b.bits.resp @[quasar.scala 381:14] - lsu.io.axi.b.valid <= io.lsu_axi.b.valid @[quasar.scala 381:14] - io.lsu_axi.b.ready <= lsu.io.axi.b.ready @[quasar.scala 381:14] - io.lsu_axi.w.bits.last <= lsu.io.axi.w.bits.last @[quasar.scala 381:14] - io.lsu_axi.w.bits.strb <= lsu.io.axi.w.bits.strb @[quasar.scala 381:14] - io.lsu_axi.w.bits.data <= lsu.io.axi.w.bits.data @[quasar.scala 381:14] - io.lsu_axi.w.valid <= lsu.io.axi.w.valid @[quasar.scala 381:14] - lsu.io.axi.w.ready <= io.lsu_axi.w.ready @[quasar.scala 381:14] - io.lsu_axi.aw.bits.qos <= lsu.io.axi.aw.bits.qos @[quasar.scala 381:14] - io.lsu_axi.aw.bits.prot <= lsu.io.axi.aw.bits.prot @[quasar.scala 381:14] - io.lsu_axi.aw.bits.cache <= lsu.io.axi.aw.bits.cache @[quasar.scala 381:14] - io.lsu_axi.aw.bits.lock <= lsu.io.axi.aw.bits.lock @[quasar.scala 381:14] - io.lsu_axi.aw.bits.burst <= lsu.io.axi.aw.bits.burst @[quasar.scala 381:14] - io.lsu_axi.aw.bits.size <= lsu.io.axi.aw.bits.size @[quasar.scala 381:14] - io.lsu_axi.aw.bits.len <= lsu.io.axi.aw.bits.len @[quasar.scala 381:14] - io.lsu_axi.aw.bits.region <= lsu.io.axi.aw.bits.region @[quasar.scala 381:14] - io.lsu_axi.aw.bits.addr <= lsu.io.axi.aw.bits.addr @[quasar.scala 381:14] - io.lsu_axi.aw.bits.id <= lsu.io.axi.aw.bits.id @[quasar.scala 381:14] - io.lsu_axi.aw.valid <= lsu.io.axi.aw.valid @[quasar.scala 381:14] - lsu.io.axi.aw.ready <= io.lsu_axi.aw.ready @[quasar.scala 381:14] - ifu.io.ifu.r.bits.last <= io.ifu_axi.r.bits.last @[quasar.scala 384:14] - ifu.io.ifu.r.bits.resp <= io.ifu_axi.r.bits.resp @[quasar.scala 384:14] - ifu.io.ifu.r.bits.data <= io.ifu_axi.r.bits.data @[quasar.scala 384:14] - ifu.io.ifu.r.bits.id <= io.ifu_axi.r.bits.id @[quasar.scala 384:14] - ifu.io.ifu.r.valid <= io.ifu_axi.r.valid @[quasar.scala 384:14] - io.ifu_axi.r.ready <= ifu.io.ifu.r.ready @[quasar.scala 384:14] - io.ifu_axi.ar.bits.qos <= ifu.io.ifu.ar.bits.qos @[quasar.scala 384:14] - io.ifu_axi.ar.bits.prot <= ifu.io.ifu.ar.bits.prot @[quasar.scala 384:14] - io.ifu_axi.ar.bits.cache <= ifu.io.ifu.ar.bits.cache @[quasar.scala 384:14] - io.ifu_axi.ar.bits.lock <= ifu.io.ifu.ar.bits.lock @[quasar.scala 384:14] - io.ifu_axi.ar.bits.burst <= ifu.io.ifu.ar.bits.burst @[quasar.scala 384:14] - io.ifu_axi.ar.bits.size <= ifu.io.ifu.ar.bits.size @[quasar.scala 384:14] - io.ifu_axi.ar.bits.len <= ifu.io.ifu.ar.bits.len @[quasar.scala 384:14] - io.ifu_axi.ar.bits.region <= ifu.io.ifu.ar.bits.region @[quasar.scala 384:14] - io.ifu_axi.ar.bits.addr <= ifu.io.ifu.ar.bits.addr @[quasar.scala 384:14] - io.ifu_axi.ar.bits.id <= ifu.io.ifu.ar.bits.id @[quasar.scala 384:14] - io.ifu_axi.ar.valid <= ifu.io.ifu.ar.valid @[quasar.scala 384:14] - ifu.io.ifu.ar.ready <= io.ifu_axi.ar.ready @[quasar.scala 384:14] - ifu.io.ifu.b.bits.id <= io.ifu_axi.b.bits.id @[quasar.scala 384:14] - ifu.io.ifu.b.bits.resp <= io.ifu_axi.b.bits.resp @[quasar.scala 384:14] - ifu.io.ifu.b.valid <= io.ifu_axi.b.valid @[quasar.scala 384:14] - io.ifu_axi.b.ready <= ifu.io.ifu.b.ready @[quasar.scala 384:14] - io.ifu_axi.w.bits.last <= ifu.io.ifu.w.bits.last @[quasar.scala 384:14] - io.ifu_axi.w.bits.strb <= ifu.io.ifu.w.bits.strb @[quasar.scala 384:14] - io.ifu_axi.w.bits.data <= ifu.io.ifu.w.bits.data @[quasar.scala 384:14] - io.ifu_axi.w.valid <= ifu.io.ifu.w.valid @[quasar.scala 384:14] - ifu.io.ifu.w.ready <= io.ifu_axi.w.ready @[quasar.scala 384:14] - io.ifu_axi.aw.bits.qos <= ifu.io.ifu.aw.bits.qos @[quasar.scala 384:14] - io.ifu_axi.aw.bits.prot <= ifu.io.ifu.aw.bits.prot @[quasar.scala 384:14] - io.ifu_axi.aw.bits.cache <= ifu.io.ifu.aw.bits.cache @[quasar.scala 384:14] - io.ifu_axi.aw.bits.lock <= ifu.io.ifu.aw.bits.lock @[quasar.scala 384:14] - io.ifu_axi.aw.bits.burst <= ifu.io.ifu.aw.bits.burst @[quasar.scala 384:14] - io.ifu_axi.aw.bits.size <= ifu.io.ifu.aw.bits.size @[quasar.scala 384:14] - io.ifu_axi.aw.bits.len <= ifu.io.ifu.aw.bits.len @[quasar.scala 384:14] - io.ifu_axi.aw.bits.region <= ifu.io.ifu.aw.bits.region @[quasar.scala 384:14] - io.ifu_axi.aw.bits.addr <= ifu.io.ifu.aw.bits.addr @[quasar.scala 384:14] - io.ifu_axi.aw.bits.id <= ifu.io.ifu.aw.bits.id @[quasar.scala 384:14] - io.ifu_axi.aw.valid <= ifu.io.ifu.aw.valid @[quasar.scala 384:14] - ifu.io.ifu.aw.ready <= io.ifu_axi.aw.ready @[quasar.scala 384:14] - io.dma_axi.r.bits.last <= dma_ctrl.io.dma_axi.r.bits.last @[quasar.scala 385:14] - io.dma_axi.r.bits.resp <= dma_ctrl.io.dma_axi.r.bits.resp @[quasar.scala 385:14] - io.dma_axi.r.bits.data <= dma_ctrl.io.dma_axi.r.bits.data @[quasar.scala 385:14] - io.dma_axi.r.bits.id <= dma_ctrl.io.dma_axi.r.bits.id @[quasar.scala 385:14] - io.dma_axi.r.valid <= dma_ctrl.io.dma_axi.r.valid @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.r.ready <= io.dma_axi.r.ready @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.ar.bits.qos <= io.dma_axi.ar.bits.qos @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.ar.bits.prot <= io.dma_axi.ar.bits.prot @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.ar.bits.cache <= io.dma_axi.ar.bits.cache @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.ar.bits.lock <= io.dma_axi.ar.bits.lock @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.ar.bits.burst <= io.dma_axi.ar.bits.burst @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.ar.bits.size <= io.dma_axi.ar.bits.size @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.ar.bits.len <= io.dma_axi.ar.bits.len @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.ar.bits.region <= io.dma_axi.ar.bits.region @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.ar.bits.addr <= io.dma_axi.ar.bits.addr @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.ar.bits.id <= io.dma_axi.ar.bits.id @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.ar.valid <= io.dma_axi.ar.valid @[quasar.scala 385:14] - io.dma_axi.ar.ready <= dma_ctrl.io.dma_axi.ar.ready @[quasar.scala 385:14] - io.dma_axi.b.bits.id <= dma_ctrl.io.dma_axi.b.bits.id @[quasar.scala 385:14] - io.dma_axi.b.bits.resp <= dma_ctrl.io.dma_axi.b.bits.resp @[quasar.scala 385:14] - io.dma_axi.b.valid <= dma_ctrl.io.dma_axi.b.valid @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.b.ready <= io.dma_axi.b.ready @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.w.bits.last <= io.dma_axi.w.bits.last @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.w.bits.strb <= io.dma_axi.w.bits.strb @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.w.bits.data <= io.dma_axi.w.bits.data @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.w.valid <= io.dma_axi.w.valid @[quasar.scala 385:14] - io.dma_axi.w.ready <= dma_ctrl.io.dma_axi.w.ready @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.aw.bits.qos <= io.dma_axi.aw.bits.qos @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.aw.bits.prot <= io.dma_axi.aw.bits.prot @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.aw.bits.cache <= io.dma_axi.aw.bits.cache @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.aw.bits.lock <= io.dma_axi.aw.bits.lock @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.aw.bits.burst <= io.dma_axi.aw.bits.burst @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.aw.bits.size <= io.dma_axi.aw.bits.size @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.aw.bits.len <= io.dma_axi.aw.bits.len @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.aw.bits.region <= io.dma_axi.aw.bits.region @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.aw.bits.addr <= io.dma_axi.aw.bits.addr @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.aw.bits.id <= io.dma_axi.aw.bits.id @[quasar.scala 385:14] - dma_ctrl.io.dma_axi.aw.valid <= io.dma_axi.aw.valid @[quasar.scala 385:14] - io.dma_axi.aw.ready <= dma_ctrl.io.dma_axi.aw.ready @[quasar.scala 385:14] - io.hburst <= UInt<1>("h00") @[quasar.scala 388:13] - io.hmastlock <= UInt<1>("h00") @[quasar.scala 389:16] - io.hprot <= UInt<1>("h00") @[quasar.scala 390:12] - io.hsize <= UInt<1>("h00") @[quasar.scala 391:12] - io.htrans <= UInt<1>("h00") @[quasar.scala 392:13] - io.hwrite <= UInt<1>("h00") @[quasar.scala 393:13] - io.haddr <= UInt<1>("h00") @[quasar.scala 394:12] - io.lsu_haddr <= UInt<1>("h00") @[quasar.scala 396:16] - io.lsu_hburst <= UInt<1>("h00") @[quasar.scala 397:17] - io.lsu_hmastlock <= UInt<1>("h00") @[quasar.scala 398:20] - io.lsu_hprot <= UInt<1>("h00") @[quasar.scala 399:16] - io.lsu_hsize <= UInt<1>("h00") @[quasar.scala 400:16] - io.lsu_htrans <= UInt<1>("h00") @[quasar.scala 401:17] - io.lsu_hwrite <= UInt<1>("h00") @[quasar.scala 402:17] - io.lsu_hwdata <= UInt<1>("h00") @[quasar.scala 403:17] - io.sb_haddr <= UInt<1>("h00") @[quasar.scala 405:15] - io.sb_hburst <= UInt<1>("h00") @[quasar.scala 406:16] - io.sb_hmastlock <= UInt<1>("h00") @[quasar.scala 407:19] - io.sb_hprot <= UInt<1>("h00") @[quasar.scala 408:15] - io.sb_hsize <= UInt<1>("h00") @[quasar.scala 409:15] - io.sb_htrans <= UInt<1>("h00") @[quasar.scala 410:16] - io.sb_hwrite <= UInt<1>("h00") @[quasar.scala 411:16] - io.sb_hwdata <= UInt<1>("h00") @[quasar.scala 412:16] - io.dma_hrdata <= UInt<1>("h00") @[quasar.scala 414:17] - io.dma_hreadyout <= UInt<1>("h00") @[quasar.scala 415:20] - io.dma_hresp <= UInt<1>("h00") @[quasar.scala 416:16] - io.dma_hresp <= UInt<1>("h00") @[quasar.scala 418:16] - io.dmi_reg_rdata <= UInt<1>("h00") @[quasar.scala 420:20] + ifu.io.ifu_dec.dec_bp.dec_tlu_bpred_disable <= dec.io.ifu_dec.dec_bp.dec_tlu_bpred_disable @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_bp.dec_tlu_flush_leak_one_wb <= dec.io.ifu_dec.dec_bp.dec_tlu_flush_leak_one_wb @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.middle <= dec.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.middle @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.way <= dec.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.way @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.br_start_error <= dec.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.br_start_error @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.br_error <= dec.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.br_error @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.hist <= dec.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.hist @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.valid <= dec.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.valid @[quasar.scala 88:18] + dec.io.ifu_dec.dec_ifc.ifu_pmu_fetch_stall <= ifu.io.ifu_dec.dec_ifc.ifu_pmu_fetch_stall @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_ifc.dec_tlu_mrac_ff <= dec.io.ifu_dec.dec_ifc.dec_tlu_mrac_ff @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_ifc.dec_tlu_flush_noredir_wb <= dec.io.ifu_dec.dec_ifc.dec_tlu_flush_noredir_wb @[quasar.scala 88:18] + dec.io.ifu_dec.dec_mem_ctrl.ifu_miss_state_idle <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_miss_state_idle @[quasar.scala 88:18] + dec.io.ifu_dec.dec_mem_ctrl.ifu_ic_debug_rd_data_valid <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_ic_debug_rd_data_valid @[quasar.scala 88:18] + dec.io.ifu_dec.dec_mem_ctrl.ifu_ic_debug_rd_data <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_ic_debug_rd_data @[quasar.scala 88:18] + dec.io.ifu_dec.dec_mem_ctrl.ifu_iccm_rd_ecc_single_err <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_iccm_rd_ecc_single_err @[quasar.scala 88:18] + dec.io.ifu_dec.dec_mem_ctrl.ifu_ic_error_start <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_ic_error_start @[quasar.scala 88:18] + dec.io.ifu_dec.dec_mem_ctrl.ifu_pmu_bus_trxn <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_pmu_bus_trxn @[quasar.scala 88:18] + dec.io.ifu_dec.dec_mem_ctrl.ifu_pmu_bus_busy <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_pmu_bus_busy @[quasar.scala 88:18] + dec.io.ifu_dec.dec_mem_ctrl.ifu_pmu_bus_error <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_pmu_bus_error @[quasar.scala 88:18] + dec.io.ifu_dec.dec_mem_ctrl.ifu_pmu_ic_hit <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_pmu_ic_hit @[quasar.scala 88:18] + dec.io.ifu_dec.dec_mem_ctrl.ifu_pmu_ic_miss <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_pmu_ic_miss @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_core_ecc_disable <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_core_ecc_disable @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wr_valid <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wr_valid @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_rd_valid <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_rd_valid @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_dicawics <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_dicawics @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wrdata <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wrdata @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_fence_i_wb <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_fence_i_wb @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_force_halt <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_force_halt @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_i0_commit_cmt <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_i0_commit_cmt @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_flush_err_wb <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_flush_err_wb @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.ifu_pmu_instr_aligned <= ifu.io.ifu_dec.dec_aln.ifu_pmu_instr_aligned @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.ret <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.ret @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.way <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.way @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.prett <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.prett @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.bank <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.bank @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.br_start_error <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.br_start_error @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.br_error <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.br_error @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.hist <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.hist @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.toffset <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.toffset @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.valid <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.valid @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_pc4 <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_pc4 @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_pc <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_pc @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_instr <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_instr @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_valid <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_valid @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_bp_btag <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_bp_btag @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_bp_fghr <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_bp_fghr @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_bp_index <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_bp_index @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_dbecc <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_dbecc @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_icaf_f1 <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_icaf_f1 @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_icaf_type <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_icaf_type @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_icaf <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_icaf @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_dec.ifu_i0_cinst <= ifu.io.ifu_dec.dec_aln.aln_dec.ifu_i0_cinst @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_aln.aln_dec.dec_i0_decode_d <= dec.io.ifu_dec.dec_aln.aln_dec.dec_i0_decode_d @[quasar.scala 88:18] + ifu.reset <= io.core_rst_l @[quasar.scala 90:13] + ifu.io.scan_mode <= io.scan_mode @[quasar.scala 91:20] + ifu.io.free_clk <= rvclkhdr.io.l1clk @[quasar.scala 92:19] + ifu.io.active_clk <= rvclkhdr_1.io.l1clk @[quasar.scala 93:21] + ifu.io.exu_flush_final <= dec.io.exu_flush_final @[quasar.scala 95:26] + ifu.io.exu_flush_path_final <= exu.io.exu_flush_path_final @[quasar.scala 96:31] + ifu.io.ifu_bus_clk_en <= io.ifu_bus_clk_en @[quasar.scala 98:25] + ifu.io.ifu_dma.dma_mem_ctl.dma_mem_tag <= dma_ctrl.io.ifu_dma.dma_mem_ctl.dma_mem_tag @[quasar.scala 99:18] + ifu.io.ifu_dma.dma_mem_ctl.dma_mem_wdata <= dma_ctrl.io.ifu_dma.dma_mem_ctl.dma_mem_wdata @[quasar.scala 99:18] + ifu.io.ifu_dma.dma_mem_ctl.dma_mem_write <= dma_ctrl.io.ifu_dma.dma_mem_ctl.dma_mem_write @[quasar.scala 99:18] + ifu.io.ifu_dma.dma_mem_ctl.dma_mem_sz <= dma_ctrl.io.ifu_dma.dma_mem_ctl.dma_mem_sz @[quasar.scala 99:18] + ifu.io.ifu_dma.dma_mem_ctl.dma_mem_addr <= dma_ctrl.io.ifu_dma.dma_mem_ctl.dma_mem_addr @[quasar.scala 99:18] + ifu.io.ifu_dma.dma_mem_ctl.dma_iccm_req <= dma_ctrl.io.ifu_dma.dma_mem_ctl.dma_iccm_req @[quasar.scala 99:18] + ifu.io.ifu_dma.dma_ifc.dma_iccm_stall_any <= dma_ctrl.io.ifu_dma.dma_ifc.dma_iccm_stall_any @[quasar.scala 99:18] + io.ic.sel_premux_data <= ifu.io.ic.sel_premux_data @[quasar.scala 100:13] + io.ic.premux_data <= ifu.io.ic.premux_data @[quasar.scala 100:13] + io.ic.debug_way <= ifu.io.ic.debug_way @[quasar.scala 100:13] + io.ic.debug_tag_array <= ifu.io.ic.debug_tag_array @[quasar.scala 100:13] + io.ic.debug_wr_en <= ifu.io.ic.debug_wr_en @[quasar.scala 100:13] + io.ic.debug_rd_en <= ifu.io.ic.debug_rd_en @[quasar.scala 100:13] + ifu.io.ic.tag_perr <= io.ic.tag_perr @[quasar.scala 100:13] + ifu.io.ic.rd_hit <= io.ic.rd_hit @[quasar.scala 100:13] + ifu.io.ic.parerr <= io.ic.parerr @[quasar.scala 100:13] + ifu.io.ic.eccerr <= io.ic.eccerr @[quasar.scala 100:13] + ifu.io.ic.tag_debug_rd_data <= io.ic.tag_debug_rd_data @[quasar.scala 100:13] + ifu.io.ic.debug_rd_data <= io.ic.debug_rd_data @[quasar.scala 100:13] + ifu.io.ic.rd_data <= io.ic.rd_data @[quasar.scala 100:13] + io.ic.debug_addr <= ifu.io.ic.debug_addr @[quasar.scala 100:13] + io.ic.debug_wr_data <= ifu.io.ic.debug_wr_data @[quasar.scala 100:13] + io.ic.wr_data[0] <= ifu.io.ic.wr_data[0] @[quasar.scala 100:13] + io.ic.wr_data[1] <= ifu.io.ic.wr_data[1] @[quasar.scala 100:13] + io.ic.rd_en <= ifu.io.ic.rd_en @[quasar.scala 100:13] + io.ic.wr_en <= ifu.io.ic.wr_en @[quasar.scala 100:13] + io.ic.tag_valid <= ifu.io.ic.tag_valid @[quasar.scala 100:13] + io.ic.rw_addr <= ifu.io.ic.rw_addr @[quasar.scala 100:13] + ifu.io.iccm.rd_data_ecc <= io.iccm.rd_data_ecc @[quasar.scala 101:15] + ifu.io.iccm.rd_data <= io.iccm.rd_data @[quasar.scala 101:15] + io.iccm.wr_data <= ifu.io.iccm.wr_data @[quasar.scala 101:15] + io.iccm.wr_size <= ifu.io.iccm.wr_size @[quasar.scala 101:15] + io.iccm.rden <= ifu.io.iccm.rden @[quasar.scala 101:15] + io.iccm.wren <= ifu.io.iccm.wren @[quasar.scala 101:15] + io.iccm.correction_state <= ifu.io.iccm.correction_state @[quasar.scala 101:15] + io.iccm.buf_correct_ecc <= ifu.io.iccm.buf_correct_ecc @[quasar.scala 101:15] + io.iccm.rw_addr <= ifu.io.iccm.rw_addr @[quasar.scala 101:15] + ifu.io.exu_ifu.exu_bp.exu_mp_btag <= exu.io.exu_bp.exu_mp_btag @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_index <= exu.io.exu_bp.exu_mp_index @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_fghr <= exu.io.exu_bp.exu_mp_fghr @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_eghr <= exu.io.exu_bp.exu_mp_eghr @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.way <= exu.io.exu_bp.exu_mp_pkt.bits.way @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.pja <= exu.io.exu_bp.exu_mp_pkt.bits.pja @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.pret <= exu.io.exu_bp.exu_mp_pkt.bits.pret @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.pcall <= exu.io.exu_bp.exu_mp_pkt.bits.pcall @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.prett <= exu.io.exu_bp.exu_mp_pkt.bits.prett @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.br_start_error <= exu.io.exu_bp.exu_mp_pkt.bits.br_start_error @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.br_error <= exu.io.exu_bp.exu_mp_pkt.bits.br_error @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.toffset <= exu.io.exu_bp.exu_mp_pkt.bits.toffset @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.hist <= exu.io.exu_bp.exu_mp_pkt.bits.hist @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.pc4 <= exu.io.exu_bp.exu_mp_pkt.bits.pc4 @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.boffset <= exu.io.exu_bp.exu_mp_pkt.bits.boffset @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.ataken <= exu.io.exu_bp.exu_mp_pkt.bits.ataken @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.misp <= exu.io.exu_bp.exu_mp_pkt.bits.misp @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_pkt.valid <= exu.io.exu_bp.exu_mp_pkt.valid @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_i0_br_way_r <= exu.io.exu_bp.exu_i0_br_way_r @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_i0_br_fghr_r <= exu.io.exu_bp.exu_i0_br_fghr_r @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_i0_br_index_r <= exu.io.exu_bp.exu_i0_br_index_r @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_i0_br_fghr_r <= exu.io.exu_bp.exu_i0_br_fghr_r @[quasar.scala 103:42] + ifu.io.exu_ifu.exu_bp.exu_i0_br_index_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_index_r @[quasar.scala 104:43] + ifu.io.dec_tlu_flush_lower_wb <= dec.io.dec_exu.tlu_exu.dec_tlu_flush_lower_r @[quasar.scala 105:33] + ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wr_valid <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wr_valid @[quasar.scala 106:51] + ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_rd_valid <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_rd_valid @[quasar.scala 106:51] + ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_dicawics <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_dicawics @[quasar.scala 106:51] + ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wrdata <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wrdata @[quasar.scala 106:51] + dec.reset <= io.core_rst_l @[quasar.scala 109:13] + dec.io.free_clk <= rvclkhdr.io.l1clk @[quasar.scala 110:19] + dec.io.active_clk <= rvclkhdr_1.io.l1clk @[quasar.scala 111:21] + dec.io.lsu_fastint_stall_any <= lsu.io.lsu_fastint_stall_any @[quasar.scala 112:32] + dec.io.rst_vec <= io.rst_vec @[quasar.scala 113:18] + dec.io.nmi_int <= io.nmi_int @[quasar.scala 114:18] + dec.io.nmi_vec <= io.nmi_vec @[quasar.scala 115:18] + dec.io.i_cpu_halt_req <= io.i_cpu_halt_req @[quasar.scala 116:25] + dec.io.i_cpu_run_req <= io.i_cpu_run_req @[quasar.scala 117:24] + dec.io.core_id <= io.core_id @[quasar.scala 118:18] + dec.io.mpc_debug_halt_req <= io.mpc_debug_halt_req @[quasar.scala 119:29] + dec.io.mpc_debug_run_req <= io.mpc_debug_run_req @[quasar.scala 120:28] + dec.io.mpc_reset_run_req <= io.mpc_reset_run_req @[quasar.scala 121:28] + dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data @[quasar.scala 122:18] + dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_tag <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_tag @[quasar.scala 122:18] + dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_error <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_error @[quasar.scala 122:18] + dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_valid <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_valid @[quasar.scala 122:18] + dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_inv_tag_r @[quasar.scala 122:18] + dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_inv_r <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_inv_r @[quasar.scala 122:18] + dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_tag_m <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_tag_m @[quasar.scala 122:18] + dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_valid_m <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_valid_m @[quasar.scala 122:18] + dec.io.lsu_dec.tlu_busbuff.lsu_imprecise_error_addr_any <= lsu.io.lsu_dec.tlu_busbuff.lsu_imprecise_error_addr_any @[quasar.scala 122:18] + dec.io.lsu_dec.tlu_busbuff.lsu_imprecise_error_store_any <= lsu.io.lsu_dec.tlu_busbuff.lsu_imprecise_error_store_any @[quasar.scala 122:18] + dec.io.lsu_dec.tlu_busbuff.lsu_imprecise_error_load_any <= lsu.io.lsu_dec.tlu_busbuff.lsu_imprecise_error_load_any @[quasar.scala 122:18] + lsu.io.lsu_dec.tlu_busbuff.dec_tlu_sideeffect_posted_disable <= dec.io.lsu_dec.tlu_busbuff.dec_tlu_sideeffect_posted_disable @[quasar.scala 122:18] + lsu.io.lsu_dec.tlu_busbuff.dec_tlu_wb_coalescing_disable <= dec.io.lsu_dec.tlu_busbuff.dec_tlu_wb_coalescing_disable @[quasar.scala 122:18] + lsu.io.lsu_dec.tlu_busbuff.dec_tlu_external_ldfwd_disable <= dec.io.lsu_dec.tlu_busbuff.dec_tlu_external_ldfwd_disable @[quasar.scala 122:18] + dec.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_busy <= lsu.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_busy @[quasar.scala 122:18] + dec.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_error <= lsu.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_error @[quasar.scala 122:18] + dec.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_misaligned <= lsu.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_misaligned @[quasar.scala 122:18] + dec.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_trxn <= lsu.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_trxn @[quasar.scala 122:18] + dec.io.lsu_tlu.lsu_pmu_store_external_m <= lsu.io.lsu_tlu.lsu_pmu_store_external_m @[quasar.scala 123:18] + dec.io.lsu_tlu.lsu_pmu_load_external_m <= lsu.io.lsu_tlu.lsu_pmu_load_external_m @[quasar.scala 123:18] + dec.io.lsu_pmu_misaligned_m <= lsu.io.lsu_pmu_misaligned_m @[quasar.scala 124:31] + dec.io.dec_dma.tlu_dma.dma_iccm_stall_any <= dma_ctrl.io.dec_dma.tlu_dma.dma_iccm_stall_any @[quasar.scala 125:18] + dec.io.dec_dma.tlu_dma.dma_dccm_stall_any <= dma_ctrl.io.dec_dma.tlu_dma.dma_dccm_stall_any @[quasar.scala 125:18] + dma_ctrl.io.dec_dma.tlu_dma.dec_tlu_dma_qos_prty <= dec.io.dec_dma.tlu_dma.dec_tlu_dma_qos_prty @[quasar.scala 125:18] + dec.io.dec_dma.tlu_dma.dma_pmu_any_write <= dma_ctrl.io.dec_dma.tlu_dma.dma_pmu_any_write @[quasar.scala 125:18] + dec.io.dec_dma.tlu_dma.dma_pmu_any_read <= dma_ctrl.io.dec_dma.tlu_dma.dma_pmu_any_read @[quasar.scala 125:18] + dec.io.dec_dma.tlu_dma.dma_pmu_dccm_write <= dma_ctrl.io.dec_dma.tlu_dma.dma_pmu_dccm_write @[quasar.scala 125:18] + dec.io.dec_dma.tlu_dma.dma_pmu_dccm_read <= dma_ctrl.io.dec_dma.tlu_dma.dma_pmu_dccm_read @[quasar.scala 125:18] + dec.io.dec_dma.dctl_dma.dma_dccm_stall_any <= dma_ctrl.io.dec_dma.dctl_dma.dma_dccm_stall_any @[quasar.scala 125:18] + dec.io.lsu_fir_addr <= lsu.io.lsu_fir_addr @[quasar.scala 127:23] + dec.io.lsu_fir_error <= lsu.io.lsu_fir_error @[quasar.scala 128:24] + dec.io.lsu_trigger_match_m <= lsu.io.lsu_trigger_match_m @[quasar.scala 129:30] + dec.io.dec_dbg.dbg_dctl.dbg_cmd_wrdata <= dbg.io.dbg_dec.dbg_dctl.dbg_cmd_wrdata @[quasar.scala 130:18] + dec.io.dec_dbg.dbg_ib.dbg_cmd_addr <= dbg.io.dbg_dec.dbg_ib.dbg_cmd_addr @[quasar.scala 130:18] + dec.io.dec_dbg.dbg_ib.dbg_cmd_type <= dbg.io.dbg_dec.dbg_ib.dbg_cmd_type @[quasar.scala 130:18] + dec.io.dec_dbg.dbg_ib.dbg_cmd_write <= dbg.io.dbg_dec.dbg_ib.dbg_cmd_write @[quasar.scala 130:18] + dec.io.dec_dbg.dbg_ib.dbg_cmd_valid <= dbg.io.dbg_dec.dbg_ib.dbg_cmd_valid @[quasar.scala 130:18] + dec.io.lsu_idle_any <= lsu.io.lsu_idle_any @[quasar.scala 131:23] + dec.io.lsu_error_pkt_r.bits.addr <= lsu.io.lsu_error_pkt_r.bits.addr @[quasar.scala 132:26] + dec.io.lsu_error_pkt_r.bits.mscause <= lsu.io.lsu_error_pkt_r.bits.mscause @[quasar.scala 132:26] + dec.io.lsu_error_pkt_r.bits.exc_type <= lsu.io.lsu_error_pkt_r.bits.exc_type @[quasar.scala 132:26] + dec.io.lsu_error_pkt_r.bits.inst_type <= lsu.io.lsu_error_pkt_r.bits.inst_type @[quasar.scala 132:26] + dec.io.lsu_error_pkt_r.bits.single_ecc_error <= lsu.io.lsu_error_pkt_r.bits.single_ecc_error @[quasar.scala 132:26] + dec.io.lsu_error_pkt_r.valid <= lsu.io.lsu_error_pkt_r.valid @[quasar.scala 132:26] + dec.io.lsu_single_ecc_error_incr <= lsu.io.lsu_single_ecc_error_incr @[quasar.scala 133:36] + dec.io.exu_div_result <= exu.io.exu_div_result @[quasar.scala 134:25] + dec.io.exu_div_wren <= exu.io.exu_div_wren @[quasar.scala 135:23] + dec.io.lsu_result_m <= lsu.io.lsu_result_m @[quasar.scala 136:23] + dec.io.lsu_result_corr_r <= lsu.io.lsu_result_corr_r @[quasar.scala 137:28] + dec.io.lsu_load_stall_any <= lsu.io.lsu_load_stall_any @[quasar.scala 138:29] + dec.io.lsu_store_stall_any <= lsu.io.lsu_store_stall_any @[quasar.scala 139:30] + dec.io.iccm_dma_sb_error <= ifu.io.iccm_dma_sb_error @[quasar.scala 140:28] + dec.io.exu_flush_final <= exu.io.exu_flush_final @[quasar.scala 141:26] + dec.io.soft_int <= io.soft_int @[quasar.scala 143:19] + dec.io.dbg_halt_req <= dbg.io.dbg_halt_req @[quasar.scala 144:23] + dec.io.dbg_resume_req <= dbg.io.dbg_resume_req @[quasar.scala 145:25] + dec.io.exu_i0_br_way_r <= exu.io.exu_bp.exu_i0_br_way_r @[quasar.scala 146:26] + dec.io.timer_int <= io.timer_int @[quasar.scala 147:20] + dec.io.scan_mode <= io.scan_mode @[quasar.scala 148:20] + exu.io.dec_exu.gpr_exu.gpr_i0_rs2_d <= dec.io.dec_exu.gpr_exu.gpr_i0_rs2_d @[quasar.scala 151:18] + exu.io.dec_exu.gpr_exu.gpr_i0_rs1_d <= dec.io.dec_exu.gpr_exu.gpr_i0_rs1_d @[quasar.scala 151:18] + exu.io.dec_exu.ib_exu.dec_debug_wdata_rs1_d <= dec.io.dec_exu.ib_exu.dec_debug_wdata_rs1_d @[quasar.scala 151:18] + exu.io.dec_exu.ib_exu.dec_i0_pc_d <= dec.io.dec_exu.ib_exu.dec_i0_pc_d @[quasar.scala 151:18] + dec.io.dec_exu.tlu_exu.exu_npc_r <= exu.io.dec_exu.tlu_exu.exu_npc_r @[quasar.scala 151:18] + dec.io.dec_exu.tlu_exu.exu_pmu_i0_pc4 <= exu.io.dec_exu.tlu_exu.exu_pmu_i0_pc4 @[quasar.scala 151:18] + dec.io.dec_exu.tlu_exu.exu_pmu_i0_br_ataken <= exu.io.dec_exu.tlu_exu.exu_pmu_i0_br_ataken @[quasar.scala 151:18] + dec.io.dec_exu.tlu_exu.exu_pmu_i0_br_misp <= exu.io.dec_exu.tlu_exu.exu_pmu_i0_br_misp @[quasar.scala 151:18] + dec.io.dec_exu.tlu_exu.exu_i0_br_middle_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_middle_r @[quasar.scala 151:18] + dec.io.dec_exu.tlu_exu.exu_i0_br_mp_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_mp_r @[quasar.scala 151:18] + dec.io.dec_exu.tlu_exu.exu_i0_br_valid_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_valid_r @[quasar.scala 151:18] + dec.io.dec_exu.tlu_exu.exu_i0_br_index_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_index_r @[quasar.scala 151:18] + dec.io.dec_exu.tlu_exu.exu_i0_br_start_error_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_start_error_r @[quasar.scala 151:18] + dec.io.dec_exu.tlu_exu.exu_i0_br_error_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_error_r @[quasar.scala 151:18] + dec.io.dec_exu.tlu_exu.exu_i0_br_hist_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_hist_r @[quasar.scala 151:18] + exu.io.dec_exu.tlu_exu.dec_tlu_flush_path_r <= dec.io.dec_exu.tlu_exu.dec_tlu_flush_path_r @[quasar.scala 151:18] + exu.io.dec_exu.tlu_exu.dec_tlu_flush_lower_r <= dec.io.dec_exu.tlu_exu.dec_tlu_flush_lower_r @[quasar.scala 151:18] + exu.io.dec_exu.tlu_exu.dec_tlu_meihap <= dec.io.dec_exu.tlu_exu.dec_tlu_meihap @[quasar.scala 151:18] + dec.io.dec_exu.decode_exu.exu_csr_rs1_x <= exu.io.dec_exu.decode_exu.exu_csr_rs1_x @[quasar.scala 151:18] + dec.io.dec_exu.decode_exu.exu_i0_result_x <= exu.io.dec_exu.decode_exu.exu_i0_result_x @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_extint_stall <= dec.io.dec_exu.decode_exu.dec_extint_stall @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.pred_correct_npc_x <= dec.io.dec_exu.decode_exu.pred_correct_npc_x @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.bfp <= dec.io.dec_exu.decode_exu.mul_p.bits.bfp @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.crc32c_w <= dec.io.dec_exu.decode_exu.mul_p.bits.crc32c_w @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.crc32c_h <= dec.io.dec_exu.decode_exu.mul_p.bits.crc32c_h @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.crc32c_b <= dec.io.dec_exu.decode_exu.mul_p.bits.crc32c_b @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.crc32_w <= dec.io.dec_exu.decode_exu.mul_p.bits.crc32_w @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.crc32_h <= dec.io.dec_exu.decode_exu.mul_p.bits.crc32_h @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.crc32_b <= dec.io.dec_exu.decode_exu.mul_p.bits.crc32_b @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.unshfl <= dec.io.dec_exu.decode_exu.mul_p.bits.unshfl @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.shfl <= dec.io.dec_exu.decode_exu.mul_p.bits.shfl @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.grev <= dec.io.dec_exu.decode_exu.mul_p.bits.grev @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.clmulr <= dec.io.dec_exu.decode_exu.mul_p.bits.clmulr @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.clmulh <= dec.io.dec_exu.decode_exu.mul_p.bits.clmulh @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.clmul <= dec.io.dec_exu.decode_exu.mul_p.bits.clmul @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.bdep <= dec.io.dec_exu.decode_exu.mul_p.bits.bdep @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.bext <= dec.io.dec_exu.decode_exu.mul_p.bits.bext @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.low <= dec.io.dec_exu.decode_exu.mul_p.bits.low @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.rs2_sign <= dec.io.dec_exu.decode_exu.mul_p.bits.rs2_sign @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.rs1_sign <= dec.io.dec_exu.decode_exu.mul_p.bits.rs1_sign @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.valid <= dec.io.dec_exu.decode_exu.mul_p.valid @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_rs2_bypass_en_d <= dec.io.dec_exu.decode_exu.dec_i0_rs2_bypass_en_d @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_rs1_bypass_en_d <= dec.io.dec_exu.decode_exu.dec_i0_rs1_bypass_en_d @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_select_pc_d <= dec.io.dec_exu.decode_exu.dec_i0_select_pc_d @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_rs2_bypass_data_d <= dec.io.dec_exu.decode_exu.dec_i0_rs2_bypass_data_d @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_rs1_bypass_data_d <= dec.io.dec_exu.decode_exu.dec_i0_rs1_bypass_data_d @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_immed_d <= dec.io.dec_exu.decode_exu.dec_i0_immed_d @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_rs2_en_d <= dec.io.dec_exu.decode_exu.dec_i0_rs2_en_d @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_rs1_en_d <= dec.io.dec_exu.decode_exu.dec_i0_rs1_en_d @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_predict_btag_d <= dec.io.dec_exu.decode_exu.i0_predict_btag_d @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_predict_index_d <= dec.io.dec_exu.decode_exu.i0_predict_index_d @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_predict_fghr_d <= dec.io.dec_exu.decode_exu.i0_predict_fghr_d @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.way <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.way @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pja <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pja @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pret <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pret @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pcall <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pcall @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.prett <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.prett @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.br_start_error <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.br_start_error @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.br_error <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.br_error @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.toffset <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.toffset @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.hist <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.hist @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pc4 <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pc4 @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.boffset <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.boffset @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.ataken <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.ataken @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.misp <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.misp @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.valid <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.valid @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.csr_imm <= dec.io.dec_exu.decode_exu.i0_ap.csr_imm @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.csr_write <= dec.io.dec_exu.decode_exu.i0_ap.csr_write @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.predict_nt <= dec.io.dec_exu.decode_exu.i0_ap.predict_nt @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.predict_t <= dec.io.dec_exu.decode_exu.i0_ap.predict_t @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.jal <= dec.io.dec_exu.decode_exu.i0_ap.jal @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.unsign <= dec.io.dec_exu.decode_exu.i0_ap.unsign @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.slt <= dec.io.dec_exu.decode_exu.i0_ap.slt @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.sub <= dec.io.dec_exu.decode_exu.i0_ap.sub @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.add <= dec.io.dec_exu.decode_exu.i0_ap.add @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.bge <= dec.io.dec_exu.decode_exu.i0_ap.bge @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.blt <= dec.io.dec_exu.decode_exu.i0_ap.blt @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.bne <= dec.io.dec_exu.decode_exu.i0_ap.bne @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.beq <= dec.io.dec_exu.decode_exu.i0_ap.beq @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.sra <= dec.io.dec_exu.decode_exu.i0_ap.sra @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.srl <= dec.io.dec_exu.decode_exu.i0_ap.srl @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.sll <= dec.io.dec_exu.decode_exu.i0_ap.sll @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.lxor <= dec.io.dec_exu.decode_exu.i0_ap.lxor @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.lor <= dec.io.dec_exu.decode_exu.i0_ap.lor @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.land <= dec.io.dec_exu.decode_exu.i0_ap.land @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_ctl_en <= dec.io.dec_exu.decode_exu.dec_ctl_en @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_data_en <= dec.io.dec_exu.decode_exu.dec_data_en @[quasar.scala 151:18] + exu.io.dec_exu.dec_div.dec_div_cancel <= dec.io.dec_exu.dec_div.dec_div_cancel @[quasar.scala 151:18] + exu.io.dec_exu.dec_div.div_p.bits.rem <= dec.io.dec_exu.dec_div.div_p.bits.rem @[quasar.scala 151:18] + exu.io.dec_exu.dec_div.div_p.bits.unsign <= dec.io.dec_exu.dec_div.div_p.bits.unsign @[quasar.scala 151:18] + exu.io.dec_exu.dec_div.div_p.valid <= dec.io.dec_exu.dec_div.div_p.valid @[quasar.scala 151:18] + dec.io.dec_exu.dec_alu.exu_i0_pc_x <= exu.io.dec_exu.dec_alu.exu_i0_pc_x @[quasar.scala 151:18] + exu.io.dec_exu.dec_alu.dec_i0_br_immed_d <= dec.io.dec_exu.dec_alu.dec_i0_br_immed_d @[quasar.scala 151:18] + exu.io.dec_exu.dec_alu.dec_csr_ren_d <= dec.io.dec_exu.dec_alu.dec_csr_ren_d @[quasar.scala 151:18] + exu.io.dec_exu.dec_alu.dec_i0_alu_decode_d <= dec.io.dec_exu.dec_alu.dec_i0_alu_decode_d @[quasar.scala 151:18] + exu.reset <= io.core_rst_l @[quasar.scala 152:13] + exu.io.scan_mode <= io.scan_mode @[quasar.scala 153:20] + exu.io.dbg_cmd_wrdata <= dbg.io.dbg_dec.dbg_dctl.dbg_cmd_wrdata @[quasar.scala 154:25] + lsu.reset <= io.core_rst_l @[quasar.scala 157:13] + lsu.io.clk_override <= dec.io.dec_tlu_lsu_clk_override @[quasar.scala 158:23] + lsu.io.dec_tlu_flush_lower_r <= dec.io.dec_exu.tlu_exu.dec_tlu_flush_lower_r @[quasar.scala 159:32] + lsu.io.dec_tlu_i0_kill_writeb_r <= dec.io.dec_tlu_i0_kill_writeb_r @[quasar.scala 160:35] + lsu.io.dec_tlu_force_halt <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_force_halt @[quasar.scala 161:29] + lsu.io.dec_tlu_core_ecc_disable <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_core_ecc_disable @[quasar.scala 162:35] + lsu.io.lsu_exu.exu_lsu_rs2_d <= exu.io.lsu_exu.exu_lsu_rs2_d @[quasar.scala 163:18] + lsu.io.lsu_exu.exu_lsu_rs1_d <= exu.io.lsu_exu.exu_lsu_rs1_d @[quasar.scala 163:18] + lsu.io.dec_lsu_offset_d <= dec.io.dec_lsu_offset_d @[quasar.scala 164:27] + lsu.io.lsu_p.bits.store_data_bypass_m <= dec.io.lsu_p.bits.store_data_bypass_m @[quasar.scala 165:16] + lsu.io.lsu_p.bits.load_ldst_bypass_d <= dec.io.lsu_p.bits.load_ldst_bypass_d @[quasar.scala 165:16] + lsu.io.lsu_p.bits.store_data_bypass_d <= dec.io.lsu_p.bits.store_data_bypass_d @[quasar.scala 165:16] + lsu.io.lsu_p.bits.dma <= dec.io.lsu_p.bits.dma @[quasar.scala 165:16] + lsu.io.lsu_p.bits.unsign <= dec.io.lsu_p.bits.unsign @[quasar.scala 165:16] + lsu.io.lsu_p.bits.store <= dec.io.lsu_p.bits.store @[quasar.scala 165:16] + lsu.io.lsu_p.bits.load <= dec.io.lsu_p.bits.load @[quasar.scala 165:16] + lsu.io.lsu_p.bits.dword <= dec.io.lsu_p.bits.dword @[quasar.scala 165:16] + lsu.io.lsu_p.bits.word <= dec.io.lsu_p.bits.word @[quasar.scala 165:16] + lsu.io.lsu_p.bits.half <= dec.io.lsu_p.bits.half @[quasar.scala 165:16] + lsu.io.lsu_p.bits.by <= dec.io.lsu_p.bits.by @[quasar.scala 165:16] + lsu.io.lsu_p.bits.fast_int <= dec.io.lsu_p.bits.fast_int @[quasar.scala 165:16] + lsu.io.lsu_p.valid <= dec.io.lsu_p.valid @[quasar.scala 165:16] + lsu.io.dec_lsu_valid_raw_d <= dec.io.dec_lsu_valid_raw_d @[quasar.scala 166:30] + lsu.io.dec_tlu_mrac_ff <= dec.io.ifu_dec.dec_ifc.dec_tlu_mrac_ff @[quasar.scala 167:26] + lsu.io.trigger_pkt_any[0].tdata2 <= dec.io.trigger_pkt_any[0].tdata2 @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[0].m <= dec.io.trigger_pkt_any[0].m @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[0].execute <= dec.io.trigger_pkt_any[0].execute @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[0].load <= dec.io.trigger_pkt_any[0].load @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[0].store <= dec.io.trigger_pkt_any[0].store @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[0].match_pkt <= dec.io.trigger_pkt_any[0].match_pkt @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[0].select <= dec.io.trigger_pkt_any[0].select @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[1].tdata2 <= dec.io.trigger_pkt_any[1].tdata2 @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[1].m <= dec.io.trigger_pkt_any[1].m @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[1].execute <= dec.io.trigger_pkt_any[1].execute @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[1].load <= dec.io.trigger_pkt_any[1].load @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[1].store <= dec.io.trigger_pkt_any[1].store @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[1].match_pkt <= dec.io.trigger_pkt_any[1].match_pkt @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[1].select <= dec.io.trigger_pkt_any[1].select @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[2].tdata2 <= dec.io.trigger_pkt_any[2].tdata2 @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[2].m <= dec.io.trigger_pkt_any[2].m @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[2].execute <= dec.io.trigger_pkt_any[2].execute @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[2].load <= dec.io.trigger_pkt_any[2].load @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[2].store <= dec.io.trigger_pkt_any[2].store @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[2].match_pkt <= dec.io.trigger_pkt_any[2].match_pkt @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[2].select <= dec.io.trigger_pkt_any[2].select @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[3].tdata2 <= dec.io.trigger_pkt_any[3].tdata2 @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[3].m <= dec.io.trigger_pkt_any[3].m @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[3].execute <= dec.io.trigger_pkt_any[3].execute @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[3].load <= dec.io.trigger_pkt_any[3].load @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[3].store <= dec.io.trigger_pkt_any[3].store @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[3].match_pkt <= dec.io.trigger_pkt_any[3].match_pkt @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[3].select <= dec.io.trigger_pkt_any[3].select @[quasar.scala 168:26] + lsu.io.lsu_bus_clk_en <= io.lsu_bus_clk_en @[quasar.scala 170:25] + lsu.io.lsu_dma.dma_mem_tag <= dma_ctrl.io.lsu_dma.dma_mem_tag @[quasar.scala 171:18] + dma_ctrl.io.lsu_dma.dccm_ready <= lsu.io.lsu_dma.dccm_ready @[quasar.scala 171:18] + dma_ctrl.io.lsu_dma.dma_dccm_ctl.dccm_dma_rdata <= lsu.io.lsu_dma.dma_dccm_ctl.dccm_dma_rdata @[quasar.scala 171:18] + dma_ctrl.io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag <= lsu.io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag @[quasar.scala 171:18] + dma_ctrl.io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error <= lsu.io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error @[quasar.scala 171:18] + dma_ctrl.io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid <= lsu.io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid @[quasar.scala 171:18] + lsu.io.lsu_dma.dma_dccm_ctl.dma_mem_wdata <= dma_ctrl.io.lsu_dma.dma_dccm_ctl.dma_mem_wdata @[quasar.scala 171:18] + lsu.io.lsu_dma.dma_dccm_ctl.dma_mem_addr <= dma_ctrl.io.lsu_dma.dma_dccm_ctl.dma_mem_addr @[quasar.scala 171:18] + lsu.io.lsu_dma.dma_lsc_ctl.dma_mem_wdata <= dma_ctrl.io.lsu_dma.dma_lsc_ctl.dma_mem_wdata @[quasar.scala 171:18] + lsu.io.lsu_dma.dma_lsc_ctl.dma_mem_write <= dma_ctrl.io.lsu_dma.dma_lsc_ctl.dma_mem_write @[quasar.scala 171:18] + lsu.io.lsu_dma.dma_lsc_ctl.dma_mem_sz <= dma_ctrl.io.lsu_dma.dma_lsc_ctl.dma_mem_sz @[quasar.scala 171:18] + lsu.io.lsu_dma.dma_lsc_ctl.dma_mem_addr <= dma_ctrl.io.lsu_dma.dma_lsc_ctl.dma_mem_addr @[quasar.scala 171:18] + lsu.io.lsu_dma.dma_lsc_ctl.dma_dccm_req <= dma_ctrl.io.lsu_dma.dma_lsc_ctl.dma_dccm_req @[quasar.scala 171:18] + lsu.io.scan_mode <= io.scan_mode @[quasar.scala 172:20] + lsu.io.free_clk <= rvclkhdr.io.l1clk @[quasar.scala 173:19] + node _T_8 = mux(dma_ctrl.io.dma_dbg_cmd_done, dma_ctrl.io.dma_dbg_rddata, dec.io.dec_dbg_rddata) @[quasar.scala 176:32] + dbg.io.core_dbg_rddata <= _T_8 @[quasar.scala 176:26] + node _T_9 = or(dma_ctrl.io.dma_dbg_cmd_done, dec.io.dec_dbg_cmd_done) @[quasar.scala 177:60] + dbg.io.core_dbg_cmd_done <= _T_9 @[quasar.scala 177:28] + node _T_10 = or(dma_ctrl.io.dma_dbg_cmd_fail, dec.io.dec_dbg_cmd_fail) @[quasar.scala 178:60] + dbg.io.core_dbg_cmd_fail <= _T_10 @[quasar.scala 178:28] + dbg.io.dec_tlu_debug_mode <= dec.io.dec_tlu_debug_mode @[quasar.scala 179:29] + dbg.io.dec_tlu_dbg_halted <= dec.io.dec_tlu_dbg_halted @[quasar.scala 180:29] + dbg.io.dec_tlu_mpc_halted_only <= dec.io.dec_tlu_mpc_halted_only @[quasar.scala 181:34] + dbg.io.dec_tlu_resume_ack <= dec.io.dec_tlu_resume_ack @[quasar.scala 182:29] + dbg.io.dmi_reg_en <= io.dmi_reg_en @[quasar.scala 183:21] + dbg.io.dmi_reg_addr <= io.dmi_reg_addr @[quasar.scala 184:23] + dbg.io.dmi_reg_wr_en <= io.dmi_reg_wr_en @[quasar.scala 185:24] + dbg.io.dmi_reg_wdata <= io.dmi_reg_wdata @[quasar.scala 186:24] + dbg.io.dbg_bus_clk_en <= io.dbg_bus_clk_en @[quasar.scala 187:25] + node _T_11 = asUInt(io.dbg_rst_l) @[quasar.scala 188:42] + dbg.io.dbg_rst_l <= _T_11 @[quasar.scala 188:20] + dbg.io.clk_override <= dec.io.dec_tlu_misc_clk_override @[quasar.scala 189:23] + dbg.io.scan_mode <= io.scan_mode @[quasar.scala 190:20] + dma_ctrl.reset <= io.core_rst_l @[quasar.scala 194:18] + dma_ctrl.io.free_clk <= rvclkhdr.io.l1clk @[quasar.scala 195:24] + dma_ctrl.io.dma_bus_clk_en <= io.dma_bus_clk_en @[quasar.scala 196:30] + dma_ctrl.io.clk_override <= dec.io.dec_tlu_misc_clk_override @[quasar.scala 197:28] + dma_ctrl.io.scan_mode <= io.scan_mode @[quasar.scala 198:25] + dma_ctrl.io.dbg_dma.dbg_dctl.dbg_cmd_wrdata <= dbg.io.dbg_dma.dbg_dctl.dbg_cmd_wrdata @[quasar.scala 199:23] + dma_ctrl.io.dbg_dma.dbg_ib.dbg_cmd_addr <= dbg.io.dbg_dma.dbg_ib.dbg_cmd_addr @[quasar.scala 199:23] + dma_ctrl.io.dbg_dma.dbg_ib.dbg_cmd_type <= dbg.io.dbg_dma.dbg_ib.dbg_cmd_type @[quasar.scala 199:23] + dma_ctrl.io.dbg_dma.dbg_ib.dbg_cmd_write <= dbg.io.dbg_dma.dbg_ib.dbg_cmd_write @[quasar.scala 199:23] + dma_ctrl.io.dbg_dma.dbg_ib.dbg_cmd_valid <= dbg.io.dbg_dma.dbg_ib.dbg_cmd_valid @[quasar.scala 199:23] + dbg.io.dbg_dma_io.dma_dbg_ready <= dma_ctrl.io.dbg_dma_io.dma_dbg_ready @[quasar.scala 200:26] + dma_ctrl.io.dbg_dma_io.dbg_dma_bubble <= dbg.io.dbg_dma_io.dbg_dma_bubble @[quasar.scala 200:26] + dma_ctrl.io.dbg_cmd_size <= dbg.io.dbg_cmd_size @[quasar.scala 201:28] + dma_ctrl.io.iccm_dma_rvalid <= ifu.io.iccm_dma_rvalid @[quasar.scala 202:31] + dma_ctrl.io.iccm_dma_rtag <= ifu.io.iccm_dma_rtag @[quasar.scala 203:29] + dma_ctrl.io.iccm_dma_rdata <= ifu.io.iccm_dma_rdata @[quasar.scala 204:30] + dma_ctrl.io.iccm_ready <= ifu.io.iccm_ready @[quasar.scala 205:26] + dma_ctrl.io.iccm_dma_ecc_error <= ifu.io.iccm_dma_ecc_error @[quasar.scala 206:34] + pic_ctrl_inst.io.scan_mode <= io.scan_mode @[quasar.scala 209:30] + pic_ctrl_inst.reset <= io.core_rst_l @[quasar.scala 210:23] + pic_ctrl_inst.io.free_clk <= rvclkhdr.io.l1clk @[quasar.scala 211:29] + pic_ctrl_inst.io.active_clk <= rvclkhdr_1.io.l1clk @[quasar.scala 212:31] + pic_ctrl_inst.io.clk_override <= dec.io.dec_tlu_pic_clk_override @[quasar.scala 213:33] + pic_ctrl_inst.io.extintsrc_req <= io.extintsrc_req @[quasar.scala 214:34] + lsu.io.lsu_pic.picm_rd_data <= pic_ctrl_inst.io.lsu_pic.picm_rd_data @[quasar.scala 215:28] + pic_ctrl_inst.io.lsu_pic.picm_wr_data <= lsu.io.lsu_pic.picm_wr_data @[quasar.scala 215:28] + pic_ctrl_inst.io.lsu_pic.picm_wraddr <= lsu.io.lsu_pic.picm_wraddr @[quasar.scala 215:28] + pic_ctrl_inst.io.lsu_pic.picm_rdaddr <= lsu.io.lsu_pic.picm_rdaddr @[quasar.scala 215:28] + pic_ctrl_inst.io.lsu_pic.picm_mken <= lsu.io.lsu_pic.picm_mken @[quasar.scala 215:28] + pic_ctrl_inst.io.lsu_pic.picm_rden <= lsu.io.lsu_pic.picm_rden @[quasar.scala 215:28] + pic_ctrl_inst.io.lsu_pic.picm_wren <= lsu.io.lsu_pic.picm_wren @[quasar.scala 215:28] + dec.io.dec_pic.mexintpend <= pic_ctrl_inst.io.dec_pic.mexintpend @[quasar.scala 216:28] + pic_ctrl_inst.io.dec_pic.dec_tlu_meipt <= dec.io.dec_pic.dec_tlu_meipt @[quasar.scala 216:28] + pic_ctrl_inst.io.dec_pic.dec_tlu_meicurpl <= dec.io.dec_pic.dec_tlu_meicurpl @[quasar.scala 216:28] + dec.io.dec_pic.mhwakeup <= pic_ctrl_inst.io.dec_pic.mhwakeup @[quasar.scala 216:28] + dec.io.dec_pic.pic_pl <= pic_ctrl_inst.io.dec_pic.pic_pl @[quasar.scala 216:28] + dec.io.dec_pic.pic_claimid <= pic_ctrl_inst.io.dec_pic.pic_claimid @[quasar.scala 216:28] + io.rv_trace_pkt.rv_i_tval_ip <= dec.io.rv_trace_pkt.rv_i_tval_ip @[quasar.scala 218:19] + io.rv_trace_pkt.rv_i_interrupt_ip <= dec.io.rv_trace_pkt.rv_i_interrupt_ip @[quasar.scala 218:19] + io.rv_trace_pkt.rv_i_ecause_ip <= dec.io.rv_trace_pkt.rv_i_ecause_ip @[quasar.scala 218:19] + io.rv_trace_pkt.rv_i_exception_ip <= dec.io.rv_trace_pkt.rv_i_exception_ip @[quasar.scala 218:19] + io.rv_trace_pkt.rv_i_address_ip <= dec.io.rv_trace_pkt.rv_i_address_ip @[quasar.scala 218:19] + io.rv_trace_pkt.rv_i_insn_ip <= dec.io.rv_trace_pkt.rv_i_insn_ip @[quasar.scala 218:19] + io.rv_trace_pkt.rv_i_valid_ip <= dec.io.rv_trace_pkt.rv_i_valid_ip @[quasar.scala 218:19] + io.dccm_clk_override <= dec.io.dec_tlu_dccm_clk_override @[quasar.scala 221:24] + io.icm_clk_override <= dec.io.dec_tlu_icm_clk_override @[quasar.scala 222:23] + io.dec_tlu_core_ecc_disable <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_core_ecc_disable @[quasar.scala 223:31] + io.o_cpu_halt_ack <= dec.io.o_cpu_halt_ack @[quasar.scala 224:21] + io.o_cpu_halt_status <= dec.io.o_cpu_halt_status @[quasar.scala 225:24] + io.o_cpu_run_ack <= dec.io.o_cpu_run_ack @[quasar.scala 226:20] + io.o_debug_mode_status <= dec.io.o_debug_mode_status @[quasar.scala 227:26] + io.mpc_debug_halt_ack <= dec.io.mpc_debug_halt_ack @[quasar.scala 228:25] + io.mpc_debug_run_ack <= dec.io.mpc_debug_run_ack @[quasar.scala 229:24] + io.debug_brkpt_status <= dec.io.debug_brkpt_status @[quasar.scala 230:25] + io.dec_tlu_perfcnt0 <= dec.io.dec_tlu_perfcnt0 @[quasar.scala 231:23] + io.dec_tlu_perfcnt1 <= dec.io.dec_tlu_perfcnt1 @[quasar.scala 232:23] + io.dec_tlu_perfcnt2 <= dec.io.dec_tlu_perfcnt2 @[quasar.scala 233:23] + io.dec_tlu_perfcnt3 <= dec.io.dec_tlu_perfcnt3 @[quasar.scala 234:23] + io.dmi_reg_rdata <= dbg.io.dmi_reg_rdata @[quasar.scala 235:20] + lsu.io.dccm.rd_data_hi <= io.dccm.rd_data_hi @[quasar.scala 238:11] + lsu.io.dccm.rd_data_lo <= io.dccm.rd_data_lo @[quasar.scala 238:11] + io.dccm.wr_data_hi <= lsu.io.dccm.wr_data_hi @[quasar.scala 238:11] + io.dccm.wr_data_lo <= lsu.io.dccm.wr_data_lo @[quasar.scala 238:11] + io.dccm.rd_addr_hi <= lsu.io.dccm.rd_addr_hi @[quasar.scala 238:11] + io.dccm.rd_addr_lo <= lsu.io.dccm.rd_addr_lo @[quasar.scala 238:11] + io.dccm.wr_addr_hi <= lsu.io.dccm.wr_addr_hi @[quasar.scala 238:11] + io.dccm.wr_addr_lo <= lsu.io.dccm.wr_addr_lo @[quasar.scala 238:11] + io.dccm.rden <= lsu.io.dccm.rden @[quasar.scala 238:11] + io.dccm.wren <= lsu.io.dccm.wren @[quasar.scala 238:11] + inst axi4_to_ahb of axi4_to_ahb @[quasar.scala 241:32] + axi4_to_ahb.clock <= clock + axi4_to_ahb.reset <= reset + inst axi4_to_ahb_1 of axi4_to_ahb_1 @[quasar.scala 242:33] + axi4_to_ahb_1.clock <= clock + axi4_to_ahb_1.reset <= reset + inst axi4_to_ahb_2 of axi4_to_ahb_2 @[quasar.scala 243:33] + axi4_to_ahb_2.clock <= clock + axi4_to_ahb_2.reset <= reset + inst ahb_to_axi4 of ahb_to_axi4 @[quasar.scala 244:33] + ahb_to_axi4.clock <= clock + ahb_to_axi4.reset <= reset + axi4_to_ahb_2.io.scan_mode <= io.scan_mode @[quasar.scala 246:34] + axi4_to_ahb_2.io.bus_clk_en <= io.lsu_bus_clk_en @[quasar.scala 247:35] + axi4_to_ahb_2.io.clk_override <= dec.io.dec_tlu_bus_clk_override @[quasar.scala 248:37] + lsu.io.axi.r.bits.last <= axi4_to_ahb_2.io.axi.r.bits.last @[quasar.scala 249:28] + lsu.io.axi.r.bits.resp <= axi4_to_ahb_2.io.axi.r.bits.resp @[quasar.scala 249:28] + lsu.io.axi.r.bits.data <= axi4_to_ahb_2.io.axi.r.bits.data @[quasar.scala 249:28] + lsu.io.axi.r.bits.id <= axi4_to_ahb_2.io.axi.r.bits.id @[quasar.scala 249:28] + lsu.io.axi.r.valid <= axi4_to_ahb_2.io.axi.r.valid @[quasar.scala 249:28] + axi4_to_ahb_2.io.axi.r.ready <= lsu.io.axi.r.ready @[quasar.scala 249:28] + axi4_to_ahb_2.io.axi.ar.bits.qos <= lsu.io.axi.ar.bits.qos @[quasar.scala 249:28] + axi4_to_ahb_2.io.axi.ar.bits.prot <= lsu.io.axi.ar.bits.prot @[quasar.scala 249:28] + axi4_to_ahb_2.io.axi.ar.bits.cache <= lsu.io.axi.ar.bits.cache @[quasar.scala 249:28] + axi4_to_ahb_2.io.axi.ar.bits.lock <= lsu.io.axi.ar.bits.lock @[quasar.scala 249:28] + axi4_to_ahb_2.io.axi.ar.bits.burst <= lsu.io.axi.ar.bits.burst @[quasar.scala 249:28] + axi4_to_ahb_2.io.axi.ar.bits.size <= lsu.io.axi.ar.bits.size @[quasar.scala 249:28] + axi4_to_ahb_2.io.axi.ar.bits.len <= lsu.io.axi.ar.bits.len @[quasar.scala 249:28] + axi4_to_ahb_2.io.axi.ar.bits.region <= lsu.io.axi.ar.bits.region @[quasar.scala 249:28] + axi4_to_ahb_2.io.axi.ar.bits.addr <= lsu.io.axi.ar.bits.addr @[quasar.scala 249:28] + axi4_to_ahb_2.io.axi.ar.bits.id <= lsu.io.axi.ar.bits.id @[quasar.scala 249:28] + axi4_to_ahb_2.io.axi.ar.valid <= lsu.io.axi.ar.valid @[quasar.scala 249:28] + lsu.io.axi.ar.ready <= axi4_to_ahb_2.io.axi.ar.ready @[quasar.scala 249:28] + lsu.io.axi.b.bits.id <= axi4_to_ahb_2.io.axi.b.bits.id @[quasar.scala 249:28] + lsu.io.axi.b.bits.resp <= axi4_to_ahb_2.io.axi.b.bits.resp @[quasar.scala 249:28] + lsu.io.axi.b.valid <= axi4_to_ahb_2.io.axi.b.valid @[quasar.scala 249:28] + axi4_to_ahb_2.io.axi.b.ready <= lsu.io.axi.b.ready @[quasar.scala 249:28] + axi4_to_ahb_2.io.axi.w.bits.last <= lsu.io.axi.w.bits.last @[quasar.scala 249:28] + axi4_to_ahb_2.io.axi.w.bits.strb <= lsu.io.axi.w.bits.strb @[quasar.scala 249:28] + axi4_to_ahb_2.io.axi.w.bits.data <= lsu.io.axi.w.bits.data @[quasar.scala 249:28] + axi4_to_ahb_2.io.axi.w.valid <= lsu.io.axi.w.valid @[quasar.scala 249:28] + lsu.io.axi.w.ready <= axi4_to_ahb_2.io.axi.w.ready @[quasar.scala 249:28] + axi4_to_ahb_2.io.axi.aw.bits.qos <= lsu.io.axi.aw.bits.qos @[quasar.scala 249:28] + axi4_to_ahb_2.io.axi.aw.bits.prot <= lsu.io.axi.aw.bits.prot @[quasar.scala 249:28] + axi4_to_ahb_2.io.axi.aw.bits.cache <= lsu.io.axi.aw.bits.cache @[quasar.scala 249:28] + axi4_to_ahb_2.io.axi.aw.bits.lock <= lsu.io.axi.aw.bits.lock @[quasar.scala 249:28] + axi4_to_ahb_2.io.axi.aw.bits.burst <= lsu.io.axi.aw.bits.burst @[quasar.scala 249:28] + axi4_to_ahb_2.io.axi.aw.bits.size <= lsu.io.axi.aw.bits.size @[quasar.scala 249:28] + axi4_to_ahb_2.io.axi.aw.bits.len <= lsu.io.axi.aw.bits.len @[quasar.scala 249:28] + axi4_to_ahb_2.io.axi.aw.bits.region <= lsu.io.axi.aw.bits.region @[quasar.scala 249:28] + axi4_to_ahb_2.io.axi.aw.bits.addr <= lsu.io.axi.aw.bits.addr @[quasar.scala 249:28] + axi4_to_ahb_2.io.axi.aw.bits.id <= lsu.io.axi.aw.bits.id @[quasar.scala 249:28] + axi4_to_ahb_2.io.axi.aw.valid <= lsu.io.axi.aw.valid @[quasar.scala 249:28] + lsu.io.axi.aw.ready <= axi4_to_ahb_2.io.axi.aw.ready @[quasar.scala 249:28] + io.lsu_ahb.out.hwdata <= axi4_to_ahb_2.io.ahb.out.hwdata @[quasar.scala 250:28] + io.lsu_ahb.out.hwrite <= axi4_to_ahb_2.io.ahb.out.hwrite @[quasar.scala 250:28] + io.lsu_ahb.out.htrans <= axi4_to_ahb_2.io.ahb.out.htrans @[quasar.scala 250:28] + io.lsu_ahb.out.hsize <= axi4_to_ahb_2.io.ahb.out.hsize @[quasar.scala 250:28] + io.lsu_ahb.out.hprot <= axi4_to_ahb_2.io.ahb.out.hprot @[quasar.scala 250:28] + io.lsu_ahb.out.hmastlock <= axi4_to_ahb_2.io.ahb.out.hmastlock @[quasar.scala 250:28] + io.lsu_ahb.out.hburst <= axi4_to_ahb_2.io.ahb.out.hburst @[quasar.scala 250:28] + io.lsu_ahb.out.haddr <= axi4_to_ahb_2.io.ahb.out.haddr @[quasar.scala 250:28] + axi4_to_ahb_2.io.ahb.in.hresp <= io.lsu_ahb.in.hresp @[quasar.scala 250:28] + axi4_to_ahb_2.io.ahb.in.hready <= io.lsu_ahb.in.hready @[quasar.scala 250:28] + axi4_to_ahb_2.io.ahb.in.hrdata <= io.lsu_ahb.in.hrdata @[quasar.scala 250:28] + axi4_to_ahb_1.io.scan_mode <= io.scan_mode @[quasar.scala 252:34] + axi4_to_ahb_1.io.bus_clk_en <= io.ifu_bus_clk_en @[quasar.scala 253:35] + axi4_to_ahb_1.io.clk_override <= dec.io.dec_tlu_bus_clk_override @[quasar.scala 254:37] + ifu.io.ifu.r.bits.last <= axi4_to_ahb_1.io.axi.r.bits.last @[quasar.scala 255:28] + ifu.io.ifu.r.bits.resp <= axi4_to_ahb_1.io.axi.r.bits.resp @[quasar.scala 255:28] + ifu.io.ifu.r.bits.data <= axi4_to_ahb_1.io.axi.r.bits.data @[quasar.scala 255:28] + ifu.io.ifu.r.bits.id <= axi4_to_ahb_1.io.axi.r.bits.id @[quasar.scala 255:28] + ifu.io.ifu.r.valid <= axi4_to_ahb_1.io.axi.r.valid @[quasar.scala 255:28] + axi4_to_ahb_1.io.axi.r.ready <= ifu.io.ifu.r.ready @[quasar.scala 255:28] + axi4_to_ahb_1.io.axi.ar.bits.qos <= ifu.io.ifu.ar.bits.qos @[quasar.scala 255:28] + axi4_to_ahb_1.io.axi.ar.bits.prot <= ifu.io.ifu.ar.bits.prot @[quasar.scala 255:28] + axi4_to_ahb_1.io.axi.ar.bits.cache <= ifu.io.ifu.ar.bits.cache @[quasar.scala 255:28] + axi4_to_ahb_1.io.axi.ar.bits.lock <= ifu.io.ifu.ar.bits.lock @[quasar.scala 255:28] + axi4_to_ahb_1.io.axi.ar.bits.burst <= ifu.io.ifu.ar.bits.burst @[quasar.scala 255:28] + axi4_to_ahb_1.io.axi.ar.bits.size <= ifu.io.ifu.ar.bits.size @[quasar.scala 255:28] + axi4_to_ahb_1.io.axi.ar.bits.len <= ifu.io.ifu.ar.bits.len @[quasar.scala 255:28] + axi4_to_ahb_1.io.axi.ar.bits.region <= ifu.io.ifu.ar.bits.region @[quasar.scala 255:28] + axi4_to_ahb_1.io.axi.ar.bits.addr <= ifu.io.ifu.ar.bits.addr @[quasar.scala 255:28] + axi4_to_ahb_1.io.axi.ar.bits.id <= ifu.io.ifu.ar.bits.id @[quasar.scala 255:28] + axi4_to_ahb_1.io.axi.ar.valid <= ifu.io.ifu.ar.valid @[quasar.scala 255:28] + ifu.io.ifu.ar.ready <= axi4_to_ahb_1.io.axi.ar.ready @[quasar.scala 255:28] + ifu.io.ifu.b.bits.id <= axi4_to_ahb_1.io.axi.b.bits.id @[quasar.scala 255:28] + ifu.io.ifu.b.bits.resp <= axi4_to_ahb_1.io.axi.b.bits.resp @[quasar.scala 255:28] + ifu.io.ifu.b.valid <= axi4_to_ahb_1.io.axi.b.valid @[quasar.scala 255:28] + axi4_to_ahb_1.io.axi.b.ready <= ifu.io.ifu.b.ready @[quasar.scala 255:28] + axi4_to_ahb_1.io.axi.w.bits.last <= ifu.io.ifu.w.bits.last @[quasar.scala 255:28] + axi4_to_ahb_1.io.axi.w.bits.strb <= ifu.io.ifu.w.bits.strb @[quasar.scala 255:28] + axi4_to_ahb_1.io.axi.w.bits.data <= ifu.io.ifu.w.bits.data @[quasar.scala 255:28] + axi4_to_ahb_1.io.axi.w.valid <= ifu.io.ifu.w.valid @[quasar.scala 255:28] + ifu.io.ifu.w.ready <= axi4_to_ahb_1.io.axi.w.ready @[quasar.scala 255:28] + axi4_to_ahb_1.io.axi.aw.bits.qos <= ifu.io.ifu.aw.bits.qos @[quasar.scala 255:28] + axi4_to_ahb_1.io.axi.aw.bits.prot <= ifu.io.ifu.aw.bits.prot @[quasar.scala 255:28] + axi4_to_ahb_1.io.axi.aw.bits.cache <= ifu.io.ifu.aw.bits.cache @[quasar.scala 255:28] + axi4_to_ahb_1.io.axi.aw.bits.lock <= ifu.io.ifu.aw.bits.lock @[quasar.scala 255:28] + axi4_to_ahb_1.io.axi.aw.bits.burst <= ifu.io.ifu.aw.bits.burst @[quasar.scala 255:28] + axi4_to_ahb_1.io.axi.aw.bits.size <= ifu.io.ifu.aw.bits.size @[quasar.scala 255:28] + axi4_to_ahb_1.io.axi.aw.bits.len <= ifu.io.ifu.aw.bits.len @[quasar.scala 255:28] + axi4_to_ahb_1.io.axi.aw.bits.region <= ifu.io.ifu.aw.bits.region @[quasar.scala 255:28] + axi4_to_ahb_1.io.axi.aw.bits.addr <= ifu.io.ifu.aw.bits.addr @[quasar.scala 255:28] + axi4_to_ahb_1.io.axi.aw.bits.id <= ifu.io.ifu.aw.bits.id @[quasar.scala 255:28] + axi4_to_ahb_1.io.axi.aw.valid <= ifu.io.ifu.aw.valid @[quasar.scala 255:28] + ifu.io.ifu.aw.ready <= axi4_to_ahb_1.io.axi.aw.ready @[quasar.scala 255:28] + io.ifu_ahb.out.hwdata <= axi4_to_ahb_1.io.ahb.out.hwdata @[quasar.scala 256:28] + io.ifu_ahb.out.hwrite <= axi4_to_ahb_1.io.ahb.out.hwrite @[quasar.scala 256:28] + io.ifu_ahb.out.htrans <= axi4_to_ahb_1.io.ahb.out.htrans @[quasar.scala 256:28] + io.ifu_ahb.out.hsize <= axi4_to_ahb_1.io.ahb.out.hsize @[quasar.scala 256:28] + io.ifu_ahb.out.hprot <= axi4_to_ahb_1.io.ahb.out.hprot @[quasar.scala 256:28] + io.ifu_ahb.out.hmastlock <= axi4_to_ahb_1.io.ahb.out.hmastlock @[quasar.scala 256:28] + io.ifu_ahb.out.hburst <= axi4_to_ahb_1.io.ahb.out.hburst @[quasar.scala 256:28] + io.ifu_ahb.out.haddr <= axi4_to_ahb_1.io.ahb.out.haddr @[quasar.scala 256:28] + axi4_to_ahb_1.io.ahb.in.hresp <= io.ifu_ahb.in.hresp @[quasar.scala 256:28] + axi4_to_ahb_1.io.ahb.in.hready <= io.ifu_ahb.in.hready @[quasar.scala 256:28] + axi4_to_ahb_1.io.ahb.in.hrdata <= io.ifu_ahb.in.hrdata @[quasar.scala 256:28] + axi4_to_ahb_1.io.axi.b.ready <= UInt<1>("h01") @[quasar.scala 257:36] + axi4_to_ahb.io.scan_mode <= io.scan_mode @[quasar.scala 259:33] + axi4_to_ahb.io.bus_clk_en <= io.dbg_bus_clk_en @[quasar.scala 260:34] + axi4_to_ahb.io.clk_override <= dec.io.dec_tlu_bus_clk_override @[quasar.scala 261:36] + dbg.io.sb_axi.r.bits.last <= axi4_to_ahb.io.axi.r.bits.last @[quasar.scala 262:27] + dbg.io.sb_axi.r.bits.resp <= axi4_to_ahb.io.axi.r.bits.resp @[quasar.scala 262:27] + dbg.io.sb_axi.r.bits.data <= axi4_to_ahb.io.axi.r.bits.data @[quasar.scala 262:27] + dbg.io.sb_axi.r.bits.id <= axi4_to_ahb.io.axi.r.bits.id @[quasar.scala 262:27] + dbg.io.sb_axi.r.valid <= axi4_to_ahb.io.axi.r.valid @[quasar.scala 262:27] + axi4_to_ahb.io.axi.r.ready <= dbg.io.sb_axi.r.ready @[quasar.scala 262:27] + axi4_to_ahb.io.axi.ar.bits.qos <= dbg.io.sb_axi.ar.bits.qos @[quasar.scala 262:27] + axi4_to_ahb.io.axi.ar.bits.prot <= dbg.io.sb_axi.ar.bits.prot @[quasar.scala 262:27] + axi4_to_ahb.io.axi.ar.bits.cache <= dbg.io.sb_axi.ar.bits.cache @[quasar.scala 262:27] + axi4_to_ahb.io.axi.ar.bits.lock <= dbg.io.sb_axi.ar.bits.lock @[quasar.scala 262:27] + axi4_to_ahb.io.axi.ar.bits.burst <= dbg.io.sb_axi.ar.bits.burst @[quasar.scala 262:27] + axi4_to_ahb.io.axi.ar.bits.size <= dbg.io.sb_axi.ar.bits.size @[quasar.scala 262:27] + axi4_to_ahb.io.axi.ar.bits.len <= dbg.io.sb_axi.ar.bits.len @[quasar.scala 262:27] + axi4_to_ahb.io.axi.ar.bits.region <= dbg.io.sb_axi.ar.bits.region @[quasar.scala 262:27] + axi4_to_ahb.io.axi.ar.bits.addr <= dbg.io.sb_axi.ar.bits.addr @[quasar.scala 262:27] + axi4_to_ahb.io.axi.ar.bits.id <= dbg.io.sb_axi.ar.bits.id @[quasar.scala 262:27] + axi4_to_ahb.io.axi.ar.valid <= dbg.io.sb_axi.ar.valid @[quasar.scala 262:27] + dbg.io.sb_axi.ar.ready <= axi4_to_ahb.io.axi.ar.ready @[quasar.scala 262:27] + dbg.io.sb_axi.b.bits.id <= axi4_to_ahb.io.axi.b.bits.id @[quasar.scala 262:27] + dbg.io.sb_axi.b.bits.resp <= axi4_to_ahb.io.axi.b.bits.resp @[quasar.scala 262:27] + dbg.io.sb_axi.b.valid <= axi4_to_ahb.io.axi.b.valid @[quasar.scala 262:27] + axi4_to_ahb.io.axi.b.ready <= dbg.io.sb_axi.b.ready @[quasar.scala 262:27] + axi4_to_ahb.io.axi.w.bits.last <= dbg.io.sb_axi.w.bits.last @[quasar.scala 262:27] + axi4_to_ahb.io.axi.w.bits.strb <= dbg.io.sb_axi.w.bits.strb @[quasar.scala 262:27] + axi4_to_ahb.io.axi.w.bits.data <= dbg.io.sb_axi.w.bits.data @[quasar.scala 262:27] + axi4_to_ahb.io.axi.w.valid <= dbg.io.sb_axi.w.valid @[quasar.scala 262:27] + dbg.io.sb_axi.w.ready <= axi4_to_ahb.io.axi.w.ready @[quasar.scala 262:27] + axi4_to_ahb.io.axi.aw.bits.qos <= dbg.io.sb_axi.aw.bits.qos @[quasar.scala 262:27] + axi4_to_ahb.io.axi.aw.bits.prot <= dbg.io.sb_axi.aw.bits.prot @[quasar.scala 262:27] + axi4_to_ahb.io.axi.aw.bits.cache <= dbg.io.sb_axi.aw.bits.cache @[quasar.scala 262:27] + axi4_to_ahb.io.axi.aw.bits.lock <= dbg.io.sb_axi.aw.bits.lock @[quasar.scala 262:27] + axi4_to_ahb.io.axi.aw.bits.burst <= dbg.io.sb_axi.aw.bits.burst @[quasar.scala 262:27] + axi4_to_ahb.io.axi.aw.bits.size <= dbg.io.sb_axi.aw.bits.size @[quasar.scala 262:27] + axi4_to_ahb.io.axi.aw.bits.len <= dbg.io.sb_axi.aw.bits.len @[quasar.scala 262:27] + axi4_to_ahb.io.axi.aw.bits.region <= dbg.io.sb_axi.aw.bits.region @[quasar.scala 262:27] + axi4_to_ahb.io.axi.aw.bits.addr <= dbg.io.sb_axi.aw.bits.addr @[quasar.scala 262:27] + axi4_to_ahb.io.axi.aw.bits.id <= dbg.io.sb_axi.aw.bits.id @[quasar.scala 262:27] + axi4_to_ahb.io.axi.aw.valid <= dbg.io.sb_axi.aw.valid @[quasar.scala 262:27] + dbg.io.sb_axi.aw.ready <= axi4_to_ahb.io.axi.aw.ready @[quasar.scala 262:27] + io.sb_ahb.out.hwdata <= axi4_to_ahb.io.ahb.out.hwdata @[quasar.scala 263:27] + io.sb_ahb.out.hwrite <= axi4_to_ahb.io.ahb.out.hwrite @[quasar.scala 263:27] + io.sb_ahb.out.htrans <= axi4_to_ahb.io.ahb.out.htrans @[quasar.scala 263:27] + io.sb_ahb.out.hsize <= axi4_to_ahb.io.ahb.out.hsize @[quasar.scala 263:27] + io.sb_ahb.out.hprot <= axi4_to_ahb.io.ahb.out.hprot @[quasar.scala 263:27] + io.sb_ahb.out.hmastlock <= axi4_to_ahb.io.ahb.out.hmastlock @[quasar.scala 263:27] + io.sb_ahb.out.hburst <= axi4_to_ahb.io.ahb.out.hburst @[quasar.scala 263:27] + io.sb_ahb.out.haddr <= axi4_to_ahb.io.ahb.out.haddr @[quasar.scala 263:27] + axi4_to_ahb.io.ahb.in.hresp <= io.sb_ahb.in.hresp @[quasar.scala 263:27] + axi4_to_ahb.io.ahb.in.hready <= io.sb_ahb.in.hready @[quasar.scala 263:27] + axi4_to_ahb.io.ahb.in.hrdata <= io.sb_ahb.in.hrdata @[quasar.scala 263:27] + ahb_to_axi4.io.scan_mode <= io.scan_mode @[quasar.scala 265:34] + ahb_to_axi4.io.bus_clk_en <= io.dma_bus_clk_en @[quasar.scala 266:35] + ahb_to_axi4.io.clk_override <= dec.io.dec_tlu_bus_clk_override @[quasar.scala 267:37] + ahb_to_axi4.io.axi.r.bits.last <= dma_ctrl.io.dma_axi.r.bits.last @[quasar.scala 268:28] + ahb_to_axi4.io.axi.r.bits.resp <= dma_ctrl.io.dma_axi.r.bits.resp @[quasar.scala 268:28] + ahb_to_axi4.io.axi.r.bits.data <= dma_ctrl.io.dma_axi.r.bits.data @[quasar.scala 268:28] + ahb_to_axi4.io.axi.r.bits.id <= dma_ctrl.io.dma_axi.r.bits.id @[quasar.scala 268:28] + ahb_to_axi4.io.axi.r.valid <= dma_ctrl.io.dma_axi.r.valid @[quasar.scala 268:28] + dma_ctrl.io.dma_axi.r.ready <= ahb_to_axi4.io.axi.r.ready @[quasar.scala 268:28] + dma_ctrl.io.dma_axi.ar.bits.qos <= ahb_to_axi4.io.axi.ar.bits.qos @[quasar.scala 268:28] + dma_ctrl.io.dma_axi.ar.bits.prot <= ahb_to_axi4.io.axi.ar.bits.prot @[quasar.scala 268:28] + dma_ctrl.io.dma_axi.ar.bits.cache <= ahb_to_axi4.io.axi.ar.bits.cache @[quasar.scala 268:28] + dma_ctrl.io.dma_axi.ar.bits.lock <= ahb_to_axi4.io.axi.ar.bits.lock @[quasar.scala 268:28] + dma_ctrl.io.dma_axi.ar.bits.burst <= ahb_to_axi4.io.axi.ar.bits.burst @[quasar.scala 268:28] + dma_ctrl.io.dma_axi.ar.bits.size <= ahb_to_axi4.io.axi.ar.bits.size @[quasar.scala 268:28] + dma_ctrl.io.dma_axi.ar.bits.len <= ahb_to_axi4.io.axi.ar.bits.len @[quasar.scala 268:28] + dma_ctrl.io.dma_axi.ar.bits.region <= ahb_to_axi4.io.axi.ar.bits.region @[quasar.scala 268:28] + dma_ctrl.io.dma_axi.ar.bits.addr <= ahb_to_axi4.io.axi.ar.bits.addr @[quasar.scala 268:28] + dma_ctrl.io.dma_axi.ar.bits.id <= ahb_to_axi4.io.axi.ar.bits.id @[quasar.scala 268:28] + dma_ctrl.io.dma_axi.ar.valid <= ahb_to_axi4.io.axi.ar.valid @[quasar.scala 268:28] + ahb_to_axi4.io.axi.ar.ready <= dma_ctrl.io.dma_axi.ar.ready @[quasar.scala 268:28] + ahb_to_axi4.io.axi.b.bits.id <= dma_ctrl.io.dma_axi.b.bits.id @[quasar.scala 268:28] + ahb_to_axi4.io.axi.b.bits.resp <= dma_ctrl.io.dma_axi.b.bits.resp @[quasar.scala 268:28] + ahb_to_axi4.io.axi.b.valid <= dma_ctrl.io.dma_axi.b.valid @[quasar.scala 268:28] + dma_ctrl.io.dma_axi.b.ready <= ahb_to_axi4.io.axi.b.ready @[quasar.scala 268:28] + dma_ctrl.io.dma_axi.w.bits.last <= ahb_to_axi4.io.axi.w.bits.last @[quasar.scala 268:28] + dma_ctrl.io.dma_axi.w.bits.strb <= ahb_to_axi4.io.axi.w.bits.strb @[quasar.scala 268:28] + dma_ctrl.io.dma_axi.w.bits.data <= ahb_to_axi4.io.axi.w.bits.data @[quasar.scala 268:28] + dma_ctrl.io.dma_axi.w.valid <= ahb_to_axi4.io.axi.w.valid @[quasar.scala 268:28] + ahb_to_axi4.io.axi.w.ready <= dma_ctrl.io.dma_axi.w.ready @[quasar.scala 268:28] + dma_ctrl.io.dma_axi.aw.bits.qos <= ahb_to_axi4.io.axi.aw.bits.qos @[quasar.scala 268:28] + dma_ctrl.io.dma_axi.aw.bits.prot <= ahb_to_axi4.io.axi.aw.bits.prot @[quasar.scala 268:28] + dma_ctrl.io.dma_axi.aw.bits.cache <= ahb_to_axi4.io.axi.aw.bits.cache @[quasar.scala 268:28] + dma_ctrl.io.dma_axi.aw.bits.lock <= ahb_to_axi4.io.axi.aw.bits.lock @[quasar.scala 268:28] + dma_ctrl.io.dma_axi.aw.bits.burst <= ahb_to_axi4.io.axi.aw.bits.burst @[quasar.scala 268:28] + dma_ctrl.io.dma_axi.aw.bits.size <= ahb_to_axi4.io.axi.aw.bits.size @[quasar.scala 268:28] + dma_ctrl.io.dma_axi.aw.bits.len <= ahb_to_axi4.io.axi.aw.bits.len @[quasar.scala 268:28] + dma_ctrl.io.dma_axi.aw.bits.region <= ahb_to_axi4.io.axi.aw.bits.region @[quasar.scala 268:28] + dma_ctrl.io.dma_axi.aw.bits.addr <= ahb_to_axi4.io.axi.aw.bits.addr @[quasar.scala 268:28] + dma_ctrl.io.dma_axi.aw.bits.id <= ahb_to_axi4.io.axi.aw.bits.id @[quasar.scala 268:28] + dma_ctrl.io.dma_axi.aw.valid <= ahb_to_axi4.io.axi.aw.valid @[quasar.scala 268:28] + ahb_to_axi4.io.axi.aw.ready <= dma_ctrl.io.dma_axi.aw.ready @[quasar.scala 268:28] + ahb_to_axi4.io.ahb.hreadyin <= io.dma_ahb.hreadyin @[quasar.scala 269:28] + ahb_to_axi4.io.ahb.hsel <= io.dma_ahb.hsel @[quasar.scala 269:28] + ahb_to_axi4.io.ahb.sig.out.hwdata <= io.dma_ahb.sig.out.hwdata @[quasar.scala 269:28] + ahb_to_axi4.io.ahb.sig.out.hwrite <= io.dma_ahb.sig.out.hwrite @[quasar.scala 269:28] + ahb_to_axi4.io.ahb.sig.out.htrans <= io.dma_ahb.sig.out.htrans @[quasar.scala 269:28] + ahb_to_axi4.io.ahb.sig.out.hsize <= io.dma_ahb.sig.out.hsize @[quasar.scala 269:28] + ahb_to_axi4.io.ahb.sig.out.hprot <= io.dma_ahb.sig.out.hprot @[quasar.scala 269:28] + ahb_to_axi4.io.ahb.sig.out.hmastlock <= io.dma_ahb.sig.out.hmastlock @[quasar.scala 269:28] + ahb_to_axi4.io.ahb.sig.out.hburst <= io.dma_ahb.sig.out.hburst @[quasar.scala 269:28] + ahb_to_axi4.io.ahb.sig.out.haddr <= io.dma_ahb.sig.out.haddr @[quasar.scala 269:28] + io.dma_ahb.sig.in.hresp <= ahb_to_axi4.io.ahb.sig.in.hresp @[quasar.scala 269:28] + io.dma_ahb.sig.in.hready <= ahb_to_axi4.io.ahb.sig.in.hready @[quasar.scala 269:28] + io.dma_ahb.sig.in.hrdata <= ahb_to_axi4.io.ahb.sig.in.hrdata @[quasar.scala 269:28] + wire _T_12 : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<1>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}} @[quasar.scala 271:36] + _T_12.r.bits.last <= UInt<1>("h00") @[quasar.scala 271:36] + _T_12.r.bits.resp <= UInt<2>("h00") @[quasar.scala 271:36] + _T_12.r.bits.data <= UInt<64>("h00") @[quasar.scala 271:36] + _T_12.r.bits.id <= UInt<1>("h00") @[quasar.scala 271:36] + _T_12.r.valid <= UInt<1>("h00") @[quasar.scala 271:36] + _T_12.r.ready <= UInt<1>("h00") @[quasar.scala 271:36] + _T_12.ar.bits.qos <= UInt<4>("h00") @[quasar.scala 271:36] + _T_12.ar.bits.prot <= UInt<3>("h00") @[quasar.scala 271:36] + _T_12.ar.bits.cache <= UInt<4>("h00") @[quasar.scala 271:36] + _T_12.ar.bits.lock <= UInt<1>("h00") @[quasar.scala 271:36] + _T_12.ar.bits.burst <= UInt<2>("h00") @[quasar.scala 271:36] + _T_12.ar.bits.size <= UInt<3>("h00") @[quasar.scala 271:36] + _T_12.ar.bits.len <= UInt<8>("h00") @[quasar.scala 271:36] + _T_12.ar.bits.region <= UInt<4>("h00") @[quasar.scala 271:36] + _T_12.ar.bits.addr <= UInt<32>("h00") @[quasar.scala 271:36] + _T_12.ar.bits.id <= UInt<1>("h00") @[quasar.scala 271:36] + _T_12.ar.valid <= UInt<1>("h00") @[quasar.scala 271:36] + _T_12.ar.ready <= UInt<1>("h00") @[quasar.scala 271:36] + _T_12.b.bits.id <= UInt<1>("h00") @[quasar.scala 271:36] + _T_12.b.bits.resp <= UInt<2>("h00") @[quasar.scala 271:36] + _T_12.b.valid <= UInt<1>("h00") @[quasar.scala 271:36] + _T_12.b.ready <= UInt<1>("h00") @[quasar.scala 271:36] + _T_12.w.bits.last <= UInt<1>("h00") @[quasar.scala 271:36] + _T_12.w.bits.strb <= UInt<8>("h00") @[quasar.scala 271:36] + _T_12.w.bits.data <= UInt<64>("h00") @[quasar.scala 271:36] + _T_12.w.valid <= UInt<1>("h00") @[quasar.scala 271:36] + _T_12.w.ready <= UInt<1>("h00") @[quasar.scala 271:36] + _T_12.aw.bits.qos <= UInt<4>("h00") @[quasar.scala 271:36] + _T_12.aw.bits.prot <= UInt<3>("h00") @[quasar.scala 271:36] + _T_12.aw.bits.cache <= UInt<4>("h00") @[quasar.scala 271:36] + _T_12.aw.bits.lock <= UInt<1>("h00") @[quasar.scala 271:36] + _T_12.aw.bits.burst <= UInt<2>("h00") @[quasar.scala 271:36] + _T_12.aw.bits.size <= UInt<3>("h00") @[quasar.scala 271:36] + _T_12.aw.bits.len <= UInt<8>("h00") @[quasar.scala 271:36] + _T_12.aw.bits.region <= UInt<4>("h00") @[quasar.scala 271:36] + _T_12.aw.bits.addr <= UInt<32>("h00") @[quasar.scala 271:36] + _T_12.aw.bits.id <= UInt<1>("h00") @[quasar.scala 271:36] + _T_12.aw.valid <= UInt<1>("h00") @[quasar.scala 271:36] + _T_12.aw.ready <= UInt<1>("h00") @[quasar.scala 271:36] + io.dma_axi.r.bits.last <= _T_12.r.bits.last @[quasar.scala 271:21] + io.dma_axi.r.bits.resp <= _T_12.r.bits.resp @[quasar.scala 271:21] + io.dma_axi.r.bits.data <= _T_12.r.bits.data @[quasar.scala 271:21] + io.dma_axi.r.bits.id <= _T_12.r.bits.id @[quasar.scala 271:21] + io.dma_axi.r.valid <= _T_12.r.valid @[quasar.scala 271:21] + _T_12.r.ready <= io.dma_axi.r.ready @[quasar.scala 271:21] + _T_12.ar.bits.qos <= io.dma_axi.ar.bits.qos @[quasar.scala 271:21] + _T_12.ar.bits.prot <= io.dma_axi.ar.bits.prot @[quasar.scala 271:21] + _T_12.ar.bits.cache <= io.dma_axi.ar.bits.cache @[quasar.scala 271:21] + _T_12.ar.bits.lock <= io.dma_axi.ar.bits.lock @[quasar.scala 271:21] + _T_12.ar.bits.burst <= io.dma_axi.ar.bits.burst @[quasar.scala 271:21] + _T_12.ar.bits.size <= io.dma_axi.ar.bits.size @[quasar.scala 271:21] + _T_12.ar.bits.len <= io.dma_axi.ar.bits.len @[quasar.scala 271:21] + _T_12.ar.bits.region <= io.dma_axi.ar.bits.region @[quasar.scala 271:21] + _T_12.ar.bits.addr <= io.dma_axi.ar.bits.addr @[quasar.scala 271:21] + _T_12.ar.bits.id <= io.dma_axi.ar.bits.id @[quasar.scala 271:21] + _T_12.ar.valid <= io.dma_axi.ar.valid @[quasar.scala 271:21] + io.dma_axi.ar.ready <= _T_12.ar.ready @[quasar.scala 271:21] + io.dma_axi.b.bits.id <= _T_12.b.bits.id @[quasar.scala 271:21] + io.dma_axi.b.bits.resp <= _T_12.b.bits.resp @[quasar.scala 271:21] + io.dma_axi.b.valid <= _T_12.b.valid @[quasar.scala 271:21] + _T_12.b.ready <= io.dma_axi.b.ready @[quasar.scala 271:21] + _T_12.w.bits.last <= io.dma_axi.w.bits.last @[quasar.scala 271:21] + _T_12.w.bits.strb <= io.dma_axi.w.bits.strb @[quasar.scala 271:21] + _T_12.w.bits.data <= io.dma_axi.w.bits.data @[quasar.scala 271:21] + _T_12.w.valid <= io.dma_axi.w.valid @[quasar.scala 271:21] + io.dma_axi.w.ready <= _T_12.w.ready @[quasar.scala 271:21] + _T_12.aw.bits.qos <= io.dma_axi.aw.bits.qos @[quasar.scala 271:21] + _T_12.aw.bits.prot <= io.dma_axi.aw.bits.prot @[quasar.scala 271:21] + _T_12.aw.bits.cache <= io.dma_axi.aw.bits.cache @[quasar.scala 271:21] + _T_12.aw.bits.lock <= io.dma_axi.aw.bits.lock @[quasar.scala 271:21] + _T_12.aw.bits.burst <= io.dma_axi.aw.bits.burst @[quasar.scala 271:21] + _T_12.aw.bits.size <= io.dma_axi.aw.bits.size @[quasar.scala 271:21] + _T_12.aw.bits.len <= io.dma_axi.aw.bits.len @[quasar.scala 271:21] + _T_12.aw.bits.region <= io.dma_axi.aw.bits.region @[quasar.scala 271:21] + _T_12.aw.bits.addr <= io.dma_axi.aw.bits.addr @[quasar.scala 271:21] + _T_12.aw.bits.id <= io.dma_axi.aw.bits.id @[quasar.scala 271:21] + _T_12.aw.valid <= io.dma_axi.aw.valid @[quasar.scala 271:21] + io.dma_axi.aw.ready <= _T_12.aw.ready @[quasar.scala 271:21] + wire _T_13 : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<1>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}} @[quasar.scala 272:36] + _T_13.r.bits.last <= UInt<1>("h00") @[quasar.scala 272:36] + _T_13.r.bits.resp <= UInt<2>("h00") @[quasar.scala 272:36] + _T_13.r.bits.data <= UInt<64>("h00") @[quasar.scala 272:36] + _T_13.r.bits.id <= UInt<1>("h00") @[quasar.scala 272:36] + _T_13.r.valid <= UInt<1>("h00") @[quasar.scala 272:36] + _T_13.r.ready <= UInt<1>("h00") @[quasar.scala 272:36] + _T_13.ar.bits.qos <= UInt<4>("h00") @[quasar.scala 272:36] + _T_13.ar.bits.prot <= UInt<3>("h00") @[quasar.scala 272:36] + _T_13.ar.bits.cache <= UInt<4>("h00") @[quasar.scala 272:36] + _T_13.ar.bits.lock <= UInt<1>("h00") @[quasar.scala 272:36] + _T_13.ar.bits.burst <= UInt<2>("h00") @[quasar.scala 272:36] + _T_13.ar.bits.size <= UInt<3>("h00") @[quasar.scala 272:36] + _T_13.ar.bits.len <= UInt<8>("h00") @[quasar.scala 272:36] + _T_13.ar.bits.region <= UInt<4>("h00") @[quasar.scala 272:36] + _T_13.ar.bits.addr <= UInt<32>("h00") @[quasar.scala 272:36] + _T_13.ar.bits.id <= UInt<1>("h00") @[quasar.scala 272:36] + _T_13.ar.valid <= UInt<1>("h00") @[quasar.scala 272:36] + _T_13.ar.ready <= UInt<1>("h00") @[quasar.scala 272:36] + _T_13.b.bits.id <= UInt<1>("h00") @[quasar.scala 272:36] + _T_13.b.bits.resp <= UInt<2>("h00") @[quasar.scala 272:36] + _T_13.b.valid <= UInt<1>("h00") @[quasar.scala 272:36] + _T_13.b.ready <= UInt<1>("h00") @[quasar.scala 272:36] + _T_13.w.bits.last <= UInt<1>("h00") @[quasar.scala 272:36] + _T_13.w.bits.strb <= UInt<8>("h00") @[quasar.scala 272:36] + _T_13.w.bits.data <= UInt<64>("h00") @[quasar.scala 272:36] + _T_13.w.valid <= UInt<1>("h00") @[quasar.scala 272:36] + _T_13.w.ready <= UInt<1>("h00") @[quasar.scala 272:36] + _T_13.aw.bits.qos <= UInt<4>("h00") @[quasar.scala 272:36] + _T_13.aw.bits.prot <= UInt<3>("h00") @[quasar.scala 272:36] + _T_13.aw.bits.cache <= UInt<4>("h00") @[quasar.scala 272:36] + _T_13.aw.bits.lock <= UInt<1>("h00") @[quasar.scala 272:36] + _T_13.aw.bits.burst <= UInt<2>("h00") @[quasar.scala 272:36] + _T_13.aw.bits.size <= UInt<3>("h00") @[quasar.scala 272:36] + _T_13.aw.bits.len <= UInt<8>("h00") @[quasar.scala 272:36] + _T_13.aw.bits.region <= UInt<4>("h00") @[quasar.scala 272:36] + _T_13.aw.bits.addr <= UInt<32>("h00") @[quasar.scala 272:36] + _T_13.aw.bits.id <= UInt<1>("h00") @[quasar.scala 272:36] + _T_13.aw.valid <= UInt<1>("h00") @[quasar.scala 272:36] + _T_13.aw.ready <= UInt<1>("h00") @[quasar.scala 272:36] + _T_13.r.bits.last <= io.sb_axi.r.bits.last @[quasar.scala 272:21] + _T_13.r.bits.resp <= io.sb_axi.r.bits.resp @[quasar.scala 272:21] + _T_13.r.bits.data <= io.sb_axi.r.bits.data @[quasar.scala 272:21] + _T_13.r.bits.id <= io.sb_axi.r.bits.id @[quasar.scala 272:21] + _T_13.r.valid <= io.sb_axi.r.valid @[quasar.scala 272:21] + io.sb_axi.r.ready <= _T_13.r.ready @[quasar.scala 272:21] + io.sb_axi.ar.bits.qos <= _T_13.ar.bits.qos @[quasar.scala 272:21] + io.sb_axi.ar.bits.prot <= _T_13.ar.bits.prot @[quasar.scala 272:21] + io.sb_axi.ar.bits.cache <= _T_13.ar.bits.cache @[quasar.scala 272:21] + io.sb_axi.ar.bits.lock <= _T_13.ar.bits.lock @[quasar.scala 272:21] + io.sb_axi.ar.bits.burst <= _T_13.ar.bits.burst @[quasar.scala 272:21] + io.sb_axi.ar.bits.size <= _T_13.ar.bits.size @[quasar.scala 272:21] + io.sb_axi.ar.bits.len <= _T_13.ar.bits.len @[quasar.scala 272:21] + io.sb_axi.ar.bits.region <= _T_13.ar.bits.region @[quasar.scala 272:21] + io.sb_axi.ar.bits.addr <= _T_13.ar.bits.addr @[quasar.scala 272:21] + io.sb_axi.ar.bits.id <= _T_13.ar.bits.id @[quasar.scala 272:21] + io.sb_axi.ar.valid <= _T_13.ar.valid @[quasar.scala 272:21] + _T_13.ar.ready <= io.sb_axi.ar.ready @[quasar.scala 272:21] + _T_13.b.bits.id <= io.sb_axi.b.bits.id @[quasar.scala 272:21] + _T_13.b.bits.resp <= io.sb_axi.b.bits.resp @[quasar.scala 272:21] + _T_13.b.valid <= io.sb_axi.b.valid @[quasar.scala 272:21] + io.sb_axi.b.ready <= _T_13.b.ready @[quasar.scala 272:21] + io.sb_axi.w.bits.last <= _T_13.w.bits.last @[quasar.scala 272:21] + io.sb_axi.w.bits.strb <= _T_13.w.bits.strb @[quasar.scala 272:21] + io.sb_axi.w.bits.data <= _T_13.w.bits.data @[quasar.scala 272:21] + io.sb_axi.w.valid <= _T_13.w.valid @[quasar.scala 272:21] + _T_13.w.ready <= io.sb_axi.w.ready @[quasar.scala 272:21] + io.sb_axi.aw.bits.qos <= _T_13.aw.bits.qos @[quasar.scala 272:21] + io.sb_axi.aw.bits.prot <= _T_13.aw.bits.prot @[quasar.scala 272:21] + io.sb_axi.aw.bits.cache <= _T_13.aw.bits.cache @[quasar.scala 272:21] + io.sb_axi.aw.bits.lock <= _T_13.aw.bits.lock @[quasar.scala 272:21] + io.sb_axi.aw.bits.burst <= _T_13.aw.bits.burst @[quasar.scala 272:21] + io.sb_axi.aw.bits.size <= _T_13.aw.bits.size @[quasar.scala 272:21] + io.sb_axi.aw.bits.len <= _T_13.aw.bits.len @[quasar.scala 272:21] + io.sb_axi.aw.bits.region <= _T_13.aw.bits.region @[quasar.scala 272:21] + io.sb_axi.aw.bits.addr <= _T_13.aw.bits.addr @[quasar.scala 272:21] + io.sb_axi.aw.bits.id <= _T_13.aw.bits.id @[quasar.scala 272:21] + io.sb_axi.aw.valid <= _T_13.aw.valid @[quasar.scala 272:21] + _T_13.aw.ready <= io.sb_axi.aw.ready @[quasar.scala 272:21] + wire _T_14 : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}} @[quasar.scala 273:36] + _T_14.r.bits.last <= UInt<1>("h00") @[quasar.scala 273:36] + _T_14.r.bits.resp <= UInt<2>("h00") @[quasar.scala 273:36] + _T_14.r.bits.data <= UInt<64>("h00") @[quasar.scala 273:36] + _T_14.r.bits.id <= UInt<3>("h00") @[quasar.scala 273:36] + _T_14.r.valid <= UInt<1>("h00") @[quasar.scala 273:36] + _T_14.r.ready <= UInt<1>("h00") @[quasar.scala 273:36] + _T_14.ar.bits.qos <= UInt<4>("h00") @[quasar.scala 273:36] + _T_14.ar.bits.prot <= UInt<3>("h00") @[quasar.scala 273:36] + _T_14.ar.bits.cache <= UInt<4>("h00") @[quasar.scala 273:36] + _T_14.ar.bits.lock <= UInt<1>("h00") @[quasar.scala 273:36] + _T_14.ar.bits.burst <= UInt<2>("h00") @[quasar.scala 273:36] + _T_14.ar.bits.size <= UInt<3>("h00") @[quasar.scala 273:36] + _T_14.ar.bits.len <= UInt<8>("h00") @[quasar.scala 273:36] + _T_14.ar.bits.region <= UInt<4>("h00") @[quasar.scala 273:36] + _T_14.ar.bits.addr <= UInt<32>("h00") @[quasar.scala 273:36] + _T_14.ar.bits.id <= UInt<3>("h00") @[quasar.scala 273:36] + _T_14.ar.valid <= UInt<1>("h00") @[quasar.scala 273:36] + _T_14.ar.ready <= UInt<1>("h00") @[quasar.scala 273:36] + _T_14.b.bits.id <= UInt<3>("h00") @[quasar.scala 273:36] + _T_14.b.bits.resp <= UInt<2>("h00") @[quasar.scala 273:36] + _T_14.b.valid <= UInt<1>("h00") @[quasar.scala 273:36] + _T_14.b.ready <= UInt<1>("h00") @[quasar.scala 273:36] + _T_14.w.bits.last <= UInt<1>("h00") @[quasar.scala 273:36] + _T_14.w.bits.strb <= UInt<8>("h00") @[quasar.scala 273:36] + _T_14.w.bits.data <= UInt<64>("h00") @[quasar.scala 273:36] + _T_14.w.valid <= UInt<1>("h00") @[quasar.scala 273:36] + _T_14.w.ready <= UInt<1>("h00") @[quasar.scala 273:36] + _T_14.aw.bits.qos <= UInt<4>("h00") @[quasar.scala 273:36] + _T_14.aw.bits.prot <= UInt<3>("h00") @[quasar.scala 273:36] + _T_14.aw.bits.cache <= UInt<4>("h00") @[quasar.scala 273:36] + _T_14.aw.bits.lock <= UInt<1>("h00") @[quasar.scala 273:36] + _T_14.aw.bits.burst <= UInt<2>("h00") @[quasar.scala 273:36] + _T_14.aw.bits.size <= UInt<3>("h00") @[quasar.scala 273:36] + _T_14.aw.bits.len <= UInt<8>("h00") @[quasar.scala 273:36] + _T_14.aw.bits.region <= UInt<4>("h00") @[quasar.scala 273:36] + _T_14.aw.bits.addr <= UInt<32>("h00") @[quasar.scala 273:36] + _T_14.aw.bits.id <= UInt<3>("h00") @[quasar.scala 273:36] + _T_14.aw.valid <= UInt<1>("h00") @[quasar.scala 273:36] + _T_14.aw.ready <= UInt<1>("h00") @[quasar.scala 273:36] + _T_14.r.bits.last <= io.ifu_axi.r.bits.last @[quasar.scala 273:21] + _T_14.r.bits.resp <= io.ifu_axi.r.bits.resp @[quasar.scala 273:21] + _T_14.r.bits.data <= io.ifu_axi.r.bits.data @[quasar.scala 273:21] + _T_14.r.bits.id <= io.ifu_axi.r.bits.id @[quasar.scala 273:21] + _T_14.r.valid <= io.ifu_axi.r.valid @[quasar.scala 273:21] + io.ifu_axi.r.ready <= _T_14.r.ready @[quasar.scala 273:21] + io.ifu_axi.ar.bits.qos <= _T_14.ar.bits.qos @[quasar.scala 273:21] + io.ifu_axi.ar.bits.prot <= _T_14.ar.bits.prot @[quasar.scala 273:21] + io.ifu_axi.ar.bits.cache <= _T_14.ar.bits.cache @[quasar.scala 273:21] + io.ifu_axi.ar.bits.lock <= _T_14.ar.bits.lock @[quasar.scala 273:21] + io.ifu_axi.ar.bits.burst <= _T_14.ar.bits.burst @[quasar.scala 273:21] + io.ifu_axi.ar.bits.size <= _T_14.ar.bits.size @[quasar.scala 273:21] + io.ifu_axi.ar.bits.len <= _T_14.ar.bits.len @[quasar.scala 273:21] + io.ifu_axi.ar.bits.region <= _T_14.ar.bits.region @[quasar.scala 273:21] + io.ifu_axi.ar.bits.addr <= _T_14.ar.bits.addr @[quasar.scala 273:21] + io.ifu_axi.ar.bits.id <= _T_14.ar.bits.id @[quasar.scala 273:21] + io.ifu_axi.ar.valid <= _T_14.ar.valid @[quasar.scala 273:21] + _T_14.ar.ready <= io.ifu_axi.ar.ready @[quasar.scala 273:21] + _T_14.b.bits.id <= io.ifu_axi.b.bits.id @[quasar.scala 273:21] + _T_14.b.bits.resp <= io.ifu_axi.b.bits.resp @[quasar.scala 273:21] + _T_14.b.valid <= io.ifu_axi.b.valid @[quasar.scala 273:21] + io.ifu_axi.b.ready <= _T_14.b.ready @[quasar.scala 273:21] + io.ifu_axi.w.bits.last <= _T_14.w.bits.last @[quasar.scala 273:21] + io.ifu_axi.w.bits.strb <= _T_14.w.bits.strb @[quasar.scala 273:21] + io.ifu_axi.w.bits.data <= _T_14.w.bits.data @[quasar.scala 273:21] + io.ifu_axi.w.valid <= _T_14.w.valid @[quasar.scala 273:21] + _T_14.w.ready <= io.ifu_axi.w.ready @[quasar.scala 273:21] + io.ifu_axi.aw.bits.qos <= _T_14.aw.bits.qos @[quasar.scala 273:21] + io.ifu_axi.aw.bits.prot <= _T_14.aw.bits.prot @[quasar.scala 273:21] + io.ifu_axi.aw.bits.cache <= _T_14.aw.bits.cache @[quasar.scala 273:21] + io.ifu_axi.aw.bits.lock <= _T_14.aw.bits.lock @[quasar.scala 273:21] + io.ifu_axi.aw.bits.burst <= _T_14.aw.bits.burst @[quasar.scala 273:21] + io.ifu_axi.aw.bits.size <= _T_14.aw.bits.size @[quasar.scala 273:21] + io.ifu_axi.aw.bits.len <= _T_14.aw.bits.len @[quasar.scala 273:21] + io.ifu_axi.aw.bits.region <= _T_14.aw.bits.region @[quasar.scala 273:21] + io.ifu_axi.aw.bits.addr <= _T_14.aw.bits.addr @[quasar.scala 273:21] + io.ifu_axi.aw.bits.id <= _T_14.aw.bits.id @[quasar.scala 273:21] + io.ifu_axi.aw.valid <= _T_14.aw.valid @[quasar.scala 273:21] + _T_14.aw.ready <= io.ifu_axi.aw.ready @[quasar.scala 273:21] + wire _T_15 : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}} @[quasar.scala 274:36] + _T_15.r.bits.last <= UInt<1>("h00") @[quasar.scala 274:36] + _T_15.r.bits.resp <= UInt<2>("h00") @[quasar.scala 274:36] + _T_15.r.bits.data <= UInt<64>("h00") @[quasar.scala 274:36] + _T_15.r.bits.id <= UInt<3>("h00") @[quasar.scala 274:36] + _T_15.r.valid <= UInt<1>("h00") @[quasar.scala 274:36] + _T_15.r.ready <= UInt<1>("h00") @[quasar.scala 274:36] + _T_15.ar.bits.qos <= UInt<4>("h00") @[quasar.scala 274:36] + _T_15.ar.bits.prot <= UInt<3>("h00") @[quasar.scala 274:36] + _T_15.ar.bits.cache <= UInt<4>("h00") @[quasar.scala 274:36] + _T_15.ar.bits.lock <= UInt<1>("h00") @[quasar.scala 274:36] + _T_15.ar.bits.burst <= UInt<2>("h00") @[quasar.scala 274:36] + _T_15.ar.bits.size <= UInt<3>("h00") @[quasar.scala 274:36] + _T_15.ar.bits.len <= UInt<8>("h00") @[quasar.scala 274:36] + _T_15.ar.bits.region <= UInt<4>("h00") @[quasar.scala 274:36] + _T_15.ar.bits.addr <= UInt<32>("h00") @[quasar.scala 274:36] + _T_15.ar.bits.id <= UInt<3>("h00") @[quasar.scala 274:36] + _T_15.ar.valid <= UInt<1>("h00") @[quasar.scala 274:36] + _T_15.ar.ready <= UInt<1>("h00") @[quasar.scala 274:36] + _T_15.b.bits.id <= UInt<3>("h00") @[quasar.scala 274:36] + _T_15.b.bits.resp <= UInt<2>("h00") @[quasar.scala 274:36] + _T_15.b.valid <= UInt<1>("h00") @[quasar.scala 274:36] + _T_15.b.ready <= UInt<1>("h00") @[quasar.scala 274:36] + _T_15.w.bits.last <= UInt<1>("h00") @[quasar.scala 274:36] + _T_15.w.bits.strb <= UInt<8>("h00") @[quasar.scala 274:36] + _T_15.w.bits.data <= UInt<64>("h00") @[quasar.scala 274:36] + _T_15.w.valid <= UInt<1>("h00") @[quasar.scala 274:36] + _T_15.w.ready <= UInt<1>("h00") @[quasar.scala 274:36] + _T_15.aw.bits.qos <= UInt<4>("h00") @[quasar.scala 274:36] + _T_15.aw.bits.prot <= UInt<3>("h00") @[quasar.scala 274:36] + _T_15.aw.bits.cache <= UInt<4>("h00") @[quasar.scala 274:36] + _T_15.aw.bits.lock <= UInt<1>("h00") @[quasar.scala 274:36] + _T_15.aw.bits.burst <= UInt<2>("h00") @[quasar.scala 274:36] + _T_15.aw.bits.size <= UInt<3>("h00") @[quasar.scala 274:36] + _T_15.aw.bits.len <= UInt<8>("h00") @[quasar.scala 274:36] + _T_15.aw.bits.region <= UInt<4>("h00") @[quasar.scala 274:36] + _T_15.aw.bits.addr <= UInt<32>("h00") @[quasar.scala 274:36] + _T_15.aw.bits.id <= UInt<3>("h00") @[quasar.scala 274:36] + _T_15.aw.valid <= UInt<1>("h00") @[quasar.scala 274:36] + _T_15.aw.ready <= UInt<1>("h00") @[quasar.scala 274:36] + _T_15.r.bits.last <= io.lsu_axi.r.bits.last @[quasar.scala 274:21] + _T_15.r.bits.resp <= io.lsu_axi.r.bits.resp @[quasar.scala 274:21] + _T_15.r.bits.data <= io.lsu_axi.r.bits.data @[quasar.scala 274:21] + _T_15.r.bits.id <= io.lsu_axi.r.bits.id @[quasar.scala 274:21] + _T_15.r.valid <= io.lsu_axi.r.valid @[quasar.scala 274:21] + io.lsu_axi.r.ready <= _T_15.r.ready @[quasar.scala 274:21] + io.lsu_axi.ar.bits.qos <= _T_15.ar.bits.qos @[quasar.scala 274:21] + io.lsu_axi.ar.bits.prot <= _T_15.ar.bits.prot @[quasar.scala 274:21] + io.lsu_axi.ar.bits.cache <= _T_15.ar.bits.cache @[quasar.scala 274:21] + io.lsu_axi.ar.bits.lock <= _T_15.ar.bits.lock @[quasar.scala 274:21] + io.lsu_axi.ar.bits.burst <= _T_15.ar.bits.burst @[quasar.scala 274:21] + io.lsu_axi.ar.bits.size <= _T_15.ar.bits.size @[quasar.scala 274:21] + io.lsu_axi.ar.bits.len <= _T_15.ar.bits.len @[quasar.scala 274:21] + io.lsu_axi.ar.bits.region <= _T_15.ar.bits.region @[quasar.scala 274:21] + io.lsu_axi.ar.bits.addr <= _T_15.ar.bits.addr @[quasar.scala 274:21] + io.lsu_axi.ar.bits.id <= _T_15.ar.bits.id @[quasar.scala 274:21] + io.lsu_axi.ar.valid <= _T_15.ar.valid @[quasar.scala 274:21] + _T_15.ar.ready <= io.lsu_axi.ar.ready @[quasar.scala 274:21] + _T_15.b.bits.id <= io.lsu_axi.b.bits.id @[quasar.scala 274:21] + _T_15.b.bits.resp <= io.lsu_axi.b.bits.resp @[quasar.scala 274:21] + _T_15.b.valid <= io.lsu_axi.b.valid @[quasar.scala 274:21] + io.lsu_axi.b.ready <= _T_15.b.ready @[quasar.scala 274:21] + io.lsu_axi.w.bits.last <= _T_15.w.bits.last @[quasar.scala 274:21] + io.lsu_axi.w.bits.strb <= _T_15.w.bits.strb @[quasar.scala 274:21] + io.lsu_axi.w.bits.data <= _T_15.w.bits.data @[quasar.scala 274:21] + io.lsu_axi.w.valid <= _T_15.w.valid @[quasar.scala 274:21] + _T_15.w.ready <= io.lsu_axi.w.ready @[quasar.scala 274:21] + io.lsu_axi.aw.bits.qos <= _T_15.aw.bits.qos @[quasar.scala 274:21] + io.lsu_axi.aw.bits.prot <= _T_15.aw.bits.prot @[quasar.scala 274:21] + io.lsu_axi.aw.bits.cache <= _T_15.aw.bits.cache @[quasar.scala 274:21] + io.lsu_axi.aw.bits.lock <= _T_15.aw.bits.lock @[quasar.scala 274:21] + io.lsu_axi.aw.bits.burst <= _T_15.aw.bits.burst @[quasar.scala 274:21] + io.lsu_axi.aw.bits.size <= _T_15.aw.bits.size @[quasar.scala 274:21] + io.lsu_axi.aw.bits.len <= _T_15.aw.bits.len @[quasar.scala 274:21] + io.lsu_axi.aw.bits.region <= _T_15.aw.bits.region @[quasar.scala 274:21] + io.lsu_axi.aw.bits.addr <= _T_15.aw.bits.addr @[quasar.scala 274:21] + io.lsu_axi.aw.bits.id <= _T_15.aw.bits.id @[quasar.scala 274:21] + io.lsu_axi.aw.valid <= _T_15.aw.valid @[quasar.scala 274:21] + _T_15.aw.ready <= io.lsu_axi.aw.ready @[quasar.scala 274:21] module quasar_wrapper : input clock : Clock input reset : AsyncReset - output io : {flip dbg_rst_l : AsyncReset, flip rst_vec : UInt<31>, flip nmi_int : UInt<1>, flip nmi_vec : UInt<31>, flip jtag_id : UInt<31>, trace : {rv_i_valid_ip : UInt<2>, rv_i_insn_ip : UInt<32>, rv_i_address_ip : UInt<32>, rv_i_exception_ip : UInt<2>, rv_i_ecause_ip : UInt<5>, rv_i_interrupt_ip : UInt<2>, rv_i_tval_ip : UInt<32>}, lsu_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, ifu_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, sb_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<1>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip dma_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<1>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip dma_hsel : UInt<1>, flip dma_haddr : UInt<32>, flip dma_hburst : UInt<3>, flip dma_hmastlock : UInt<1>, flip dma_hprot : UInt<4>, flip dma_hsize : UInt<3>, flip dma_htrans : UInt<2>, flip dma_hwrite : UInt<1>, flip dma_hwdata : UInt<64>, flip dma_hreadyin : UInt<1>, dma_hrdata : UInt<64>, dma_hreadyout : UInt<1>, dma_hresp : UInt<1>, flip lsu_bus_clk_en : UInt<1>, flip ifu_bus_clk_en : UInt<1>, flip dbg_bus_clk_en : UInt<1>, flip dma_bus_clk_en : UInt<1>, flip timer_int : UInt<1>, flip soft_int : UInt<1>, flip extintsrc_req : UInt<31>, dec_tlu_perfcnt0 : UInt<1>, dec_tlu_perfcnt1 : UInt<1>, dec_tlu_perfcnt2 : UInt<1>, dec_tlu_perfcnt3 : UInt<1>, flip jtag_tck : Clock, flip jtag_tms : UInt<1>, flip jtag_tdi : UInt<1>, flip jtag_trst_n : UInt<1>, jtag_tdo : UInt<1>, flip core_id : UInt<28>, flip mpc_debug_halt_req : UInt<1>, flip mpc_debug_run_req : UInt<1>, flip mpc_reset_run_req : UInt<1>, mpc_debug_halt_ack : UInt<1>, mpc_debug_run_ack : UInt<1>, debug_brkpt_status : UInt<1>, flip i_cpu_halt_req : UInt<1>, flip i_cpu_run_req : UInt<1>, o_cpu_halt_ack : UInt<1>, o_cpu_halt_status : UInt<1>, o_debug_mode_status : UInt<1>, o_cpu_run_ack : UInt<1>, flip mbist_mode : UInt<1>, flip scan_mode : UInt<1>} + output io : {flip dbg_rst_l : AsyncReset, flip rst_vec : UInt<31>, flip nmi_int : UInt<1>, flip nmi_vec : UInt<31>, flip jtag_id : UInt<31>, lsu_brg : {in : {flip hrdata : UInt<64>, flip hready : UInt<1>, flip hresp : UInt<1>}, out : {haddr : UInt<32>, hburst : UInt<3>, hmastlock : UInt<1>, hprot : UInt<4>, hsize : UInt<3>, htrans : UInt<2>, hwrite : UInt<1>, hwdata : UInt<64>}}, ifu_brg : {in : {flip hrdata : UInt<64>, flip hready : UInt<1>, flip hresp : UInt<1>}, out : {haddr : UInt<32>, hburst : UInt<3>, hmastlock : UInt<1>, hprot : UInt<4>, hsize : UInt<3>, htrans : UInt<2>, hwrite : UInt<1>, hwdata : UInt<64>}}, sb_brg : {in : {flip hrdata : UInt<64>, flip hready : UInt<1>, flip hresp : UInt<1>}, out : {haddr : UInt<32>, hburst : UInt<3>, hmastlock : UInt<1>, hprot : UInt<4>, hsize : UInt<3>, htrans : UInt<2>, hwrite : UInt<1>, hwdata : UInt<64>}}, dma_brg : {flip sig : {in : {flip hrdata : UInt<64>, flip hready : UInt<1>, flip hresp : UInt<1>}, out : {haddr : UInt<32>, hburst : UInt<3>, hmastlock : UInt<1>, hprot : UInt<4>, hsize : UInt<3>, htrans : UInt<2>, hwrite : UInt<1>, hwdata : UInt<64>}}, flip hsel : UInt<1>, flip hreadyin : UInt<1>}, flip lsu_bus_clk_en : UInt<1>, flip ifu_bus_clk_en : UInt<1>, flip dbg_bus_clk_en : UInt<1>, flip dma_bus_clk_en : UInt<1>, flip timer_int : UInt<1>, flip soft_int : UInt<1>, flip extintsrc_req : UInt<31>, dec_tlu_perfcnt0 : UInt<1>, dec_tlu_perfcnt1 : UInt<1>, dec_tlu_perfcnt2 : UInt<1>, dec_tlu_perfcnt3 : UInt<1>, flip jtag_tck : Clock, flip jtag_tms : UInt<1>, flip jtag_tdi : UInt<1>, flip jtag_trst_n : UInt<1>, jtag_tdo : UInt<1>, flip core_id : UInt<28>, flip mpc_debug_halt_req : UInt<1>, flip mpc_debug_run_req : UInt<1>, flip mpc_reset_run_req : UInt<1>, mpc_debug_halt_ack : UInt<1>, mpc_debug_run_ack : UInt<1>, debug_brkpt_status : UInt<1>, flip i_cpu_halt_req : UInt<1>, flip i_cpu_run_req : UInt<1>, o_cpu_halt_ack : UInt<1>, o_cpu_halt_status : UInt<1>, o_debug_mode_status : UInt<1>, o_cpu_run_ack : UInt<1>, flip mbist_mode : UInt<1>, rv_trace_pkt : {rv_i_valid_ip : UInt<2>, rv_i_insn_ip : UInt<32>, rv_i_address_ip : UInt<32>, rv_i_exception_ip : UInt<2>, rv_i_ecause_ip : UInt<5>, rv_i_interrupt_ip : UInt<2>, rv_i_tval_ip : UInt<32>}, flip scan_mode : UInt<1>} - inst mem of mem @[quasar_wrapper.scala 86:19] + inst mem of mem @[quasar_wrapper.scala 63:19] mem.scan_mode is invalid mem.ic is invalid mem.iccm is invalid @@ -109769,7 +114929,7 @@ circuit quasar_wrapper : mem.dccm_clk_override is invalid mem.rst_l is invalid mem.clk is invalid - inst dmi_wrapper of dmi_wrapper @[quasar_wrapper.scala 87:27] + inst dmi_wrapper of dmi_wrapper @[quasar_wrapper.scala 64:27] dmi_wrapper.dmi_hard_reset is invalid dmi_wrapper.reg_wr_en is invalid dmi_wrapper.reg_en is invalid @@ -109785,282 +114945,466 @@ circuit quasar_wrapper : dmi_wrapper.tms is invalid dmi_wrapper.tck is invalid dmi_wrapper.trst_n is invalid - inst core of quasar @[quasar_wrapper.scala 88:20] + inst core of quasar @[quasar_wrapper.scala 65:20] core.clock <= clock core.reset <= reset - dmi_wrapper.trst_n <= io.jtag_trst_n @[quasar_wrapper.scala 89:25] - dmi_wrapper.tck <= io.jtag_tck @[quasar_wrapper.scala 90:22] - dmi_wrapper.tms <= io.jtag_tms @[quasar_wrapper.scala 91:22] - dmi_wrapper.tdi <= io.jtag_tdi @[quasar_wrapper.scala 92:22] - dmi_wrapper.core_clk <= clock @[quasar_wrapper.scala 93:27] - dmi_wrapper.jtag_id <= io.jtag_id @[quasar_wrapper.scala 94:26] - dmi_wrapper.rd_data <= core.io.dmi_reg_rdata @[quasar_wrapper.scala 95:26] - dmi_wrapper.core_rst_n <= io.dbg_rst_l @[quasar_wrapper.scala 98:29] - core.io.dmi_reg_wdata <= dmi_wrapper.reg_wr_data @[quasar_wrapper.scala 99:25] - core.io.dmi_reg_addr <= dmi_wrapper.reg_wr_addr @[quasar_wrapper.scala 100:24] - core.io.dmi_reg_en <= dmi_wrapper.reg_en @[quasar_wrapper.scala 101:22] - core.io.dmi_reg_wr_en <= dmi_wrapper.reg_wr_en @[quasar_wrapper.scala 102:25] - core.io.dmi_hard_reset <= dmi_wrapper.dmi_hard_reset @[quasar_wrapper.scala 103:26] - io.jtag_tdo <= dmi_wrapper.tdo @[quasar_wrapper.scala 104:15] - mem.dccm_clk_override <= core.io.dccm_clk_override @[quasar_wrapper.scala 107:28] - mem.icm_clk_override <= core.io.icm_clk_override @[quasar_wrapper.scala 108:27] - mem.dec_tlu_core_ecc_disable <= core.io.dec_tlu_core_ecc_disable @[quasar_wrapper.scala 109:35] - core.io.swerv_mem.rd_data_hi <= mem.dccm.rd_data_hi @[quasar_wrapper.scala 110:15] - core.io.swerv_mem.rd_data_lo <= mem.dccm.rd_data_lo @[quasar_wrapper.scala 110:15] - mem.dccm.wr_data_hi <= core.io.swerv_mem.wr_data_hi @[quasar_wrapper.scala 110:15] - mem.dccm.wr_data_lo <= core.io.swerv_mem.wr_data_lo @[quasar_wrapper.scala 110:15] - mem.dccm.rd_addr_hi <= core.io.swerv_mem.rd_addr_hi @[quasar_wrapper.scala 110:15] - mem.dccm.rd_addr_lo <= core.io.swerv_mem.rd_addr_lo @[quasar_wrapper.scala 110:15] - mem.dccm.wr_addr_hi <= core.io.swerv_mem.wr_addr_hi @[quasar_wrapper.scala 110:15] - mem.dccm.wr_addr_lo <= core.io.swerv_mem.wr_addr_lo @[quasar_wrapper.scala 110:15] - mem.dccm.rden <= core.io.swerv_mem.rden @[quasar_wrapper.scala 110:15] - mem.dccm.wren <= core.io.swerv_mem.wren @[quasar_wrapper.scala 110:15] - mem.rst_l <= reset @[quasar_wrapper.scala 134:16] - mem.clk <= clock @[quasar_wrapper.scala 135:14] - mem.scan_mode <= io.scan_mode @[quasar_wrapper.scala 136:20] - core.io.dbg_rst_l <= io.dbg_rst_l @[quasar_wrapper.scala 138:21] - mem.ic.sel_premux_data <= core.io.ic.sel_premux_data @[quasar_wrapper.scala 139:14] - mem.ic.premux_data <= core.io.ic.premux_data @[quasar_wrapper.scala 139:14] - mem.ic.debug_way <= core.io.ic.debug_way @[quasar_wrapper.scala 139:14] - mem.ic.debug_tag_array <= core.io.ic.debug_tag_array @[quasar_wrapper.scala 139:14] - mem.ic.debug_wr_en <= core.io.ic.debug_wr_en @[quasar_wrapper.scala 139:14] - mem.ic.debug_rd_en <= core.io.ic.debug_rd_en @[quasar_wrapper.scala 139:14] - core.io.ic.tag_perr <= mem.ic.tag_perr @[quasar_wrapper.scala 139:14] - core.io.ic.rd_hit <= mem.ic.rd_hit @[quasar_wrapper.scala 139:14] - core.io.ic.parerr <= mem.ic.parerr @[quasar_wrapper.scala 139:14] - core.io.ic.eccerr <= mem.ic.eccerr @[quasar_wrapper.scala 139:14] - core.io.ic.tag_debug_rd_data <= mem.ic.tag_debug_rd_data @[quasar_wrapper.scala 139:14] - core.io.ic.debug_rd_data <= mem.ic.debug_rd_data @[quasar_wrapper.scala 139:14] - core.io.ic.rd_data <= mem.ic.rd_data @[quasar_wrapper.scala 139:14] - mem.ic.debug_addr <= core.io.ic.debug_addr @[quasar_wrapper.scala 139:14] - mem.ic.debug_wr_data <= core.io.ic.debug_wr_data @[quasar_wrapper.scala 139:14] - mem.ic.wr_data[0] <= core.io.ic.wr_data[0] @[quasar_wrapper.scala 139:14] - mem.ic.wr_data[1] <= core.io.ic.wr_data[1] @[quasar_wrapper.scala 139:14] - mem.ic.rd_en <= core.io.ic.rd_en @[quasar_wrapper.scala 139:14] - mem.ic.wr_en <= core.io.ic.wr_en @[quasar_wrapper.scala 139:14] - mem.ic.tag_valid <= core.io.ic.tag_valid @[quasar_wrapper.scala 139:14] - mem.ic.rw_addr <= core.io.ic.rw_addr @[quasar_wrapper.scala 139:14] - core.io.iccm.rd_data_ecc <= mem.iccm.rd_data_ecc @[quasar_wrapper.scala 140:16] - core.io.iccm.rd_data <= mem.iccm.rd_data @[quasar_wrapper.scala 140:16] - mem.iccm.wr_data <= core.io.iccm.wr_data @[quasar_wrapper.scala 140:16] - mem.iccm.wr_size <= core.io.iccm.wr_size @[quasar_wrapper.scala 140:16] - mem.iccm.rden <= core.io.iccm.rden @[quasar_wrapper.scala 140:16] - mem.iccm.wren <= core.io.iccm.wren @[quasar_wrapper.scala 140:16] - mem.iccm.correction_state <= core.io.iccm.correction_state @[quasar_wrapper.scala 140:16] - mem.iccm.buf_correct_ecc <= core.io.iccm.buf_correct_ecc @[quasar_wrapper.scala 140:16] - mem.iccm.rw_addr <= core.io.iccm.rw_addr @[quasar_wrapper.scala 140:16] - core.io.sb_hready <= UInt<1>("h00") @[quasar_wrapper.scala 151:21] - core.io.hrdata <= UInt<1>("h00") @[quasar_wrapper.scala 152:18] - core.io.sb_hresp <= UInt<1>("h00") @[quasar_wrapper.scala 153:20] - core.io.lsu_hrdata <= UInt<1>("h00") @[quasar_wrapper.scala 154:22] - core.io.lsu_hresp <= UInt<1>("h00") @[quasar_wrapper.scala 155:21] - core.io.lsu_hready <= UInt<1>("h00") @[quasar_wrapper.scala 156:22] - core.io.hready <= UInt<1>("h00") @[quasar_wrapper.scala 157:18] - core.io.hresp <= UInt<1>("h00") @[quasar_wrapper.scala 158:17] - core.io.sb_hrdata <= UInt<1>("h00") @[quasar_wrapper.scala 159:21] - core.io.scan_mode <= io.scan_mode @[quasar_wrapper.scala 160:21] - core.io.dbg_rst_l <= io.dbg_rst_l @[quasar_wrapper.scala 162:21] - core.io.rst_vec <= io.rst_vec @[quasar_wrapper.scala 163:19] - core.io.nmi_int <= io.nmi_int @[quasar_wrapper.scala 164:19] - core.io.nmi_vec <= io.nmi_vec @[quasar_wrapper.scala 165:19] - core.io.i_cpu_halt_req <= io.i_cpu_halt_req @[quasar_wrapper.scala 168:26] - core.io.i_cpu_run_req <= io.i_cpu_run_req @[quasar_wrapper.scala 169:25] - core.io.core_id <= io.core_id @[quasar_wrapper.scala 170:19] - core.io.mpc_debug_halt_req <= io.mpc_debug_halt_req @[quasar_wrapper.scala 173:30] - core.io.mpc_debug_run_req <= io.mpc_debug_run_req @[quasar_wrapper.scala 174:29] - core.io.mpc_reset_run_req <= io.mpc_reset_run_req @[quasar_wrapper.scala 175:29] - core.io.lsu_axi.r.bits.last <= io.lsu_axi.r.bits.last @[quasar_wrapper.scala 179:19] - core.io.lsu_axi.r.bits.resp <= io.lsu_axi.r.bits.resp @[quasar_wrapper.scala 179:19] - core.io.lsu_axi.r.bits.data <= io.lsu_axi.r.bits.data @[quasar_wrapper.scala 179:19] - core.io.lsu_axi.r.bits.id <= io.lsu_axi.r.bits.id @[quasar_wrapper.scala 179:19] - core.io.lsu_axi.r.valid <= io.lsu_axi.r.valid @[quasar_wrapper.scala 179:19] - io.lsu_axi.r.ready <= core.io.lsu_axi.r.ready @[quasar_wrapper.scala 179:19] - io.lsu_axi.ar.bits.qos <= core.io.lsu_axi.ar.bits.qos @[quasar_wrapper.scala 179:19] - io.lsu_axi.ar.bits.prot <= core.io.lsu_axi.ar.bits.prot @[quasar_wrapper.scala 179:19] - io.lsu_axi.ar.bits.cache <= core.io.lsu_axi.ar.bits.cache @[quasar_wrapper.scala 179:19] - io.lsu_axi.ar.bits.lock <= core.io.lsu_axi.ar.bits.lock @[quasar_wrapper.scala 179:19] - io.lsu_axi.ar.bits.burst <= core.io.lsu_axi.ar.bits.burst @[quasar_wrapper.scala 179:19] - io.lsu_axi.ar.bits.size <= core.io.lsu_axi.ar.bits.size @[quasar_wrapper.scala 179:19] - io.lsu_axi.ar.bits.len <= core.io.lsu_axi.ar.bits.len @[quasar_wrapper.scala 179:19] - io.lsu_axi.ar.bits.region <= core.io.lsu_axi.ar.bits.region @[quasar_wrapper.scala 179:19] - io.lsu_axi.ar.bits.addr <= core.io.lsu_axi.ar.bits.addr @[quasar_wrapper.scala 179:19] - io.lsu_axi.ar.bits.id <= core.io.lsu_axi.ar.bits.id @[quasar_wrapper.scala 179:19] - io.lsu_axi.ar.valid <= core.io.lsu_axi.ar.valid @[quasar_wrapper.scala 179:19] - core.io.lsu_axi.ar.ready <= io.lsu_axi.ar.ready @[quasar_wrapper.scala 179:19] - core.io.lsu_axi.b.bits.id <= io.lsu_axi.b.bits.id @[quasar_wrapper.scala 179:19] - core.io.lsu_axi.b.bits.resp <= io.lsu_axi.b.bits.resp @[quasar_wrapper.scala 179:19] - core.io.lsu_axi.b.valid <= io.lsu_axi.b.valid @[quasar_wrapper.scala 179:19] - io.lsu_axi.b.ready <= core.io.lsu_axi.b.ready @[quasar_wrapper.scala 179:19] - io.lsu_axi.w.bits.last <= core.io.lsu_axi.w.bits.last @[quasar_wrapper.scala 179:19] - io.lsu_axi.w.bits.strb <= core.io.lsu_axi.w.bits.strb @[quasar_wrapper.scala 179:19] - io.lsu_axi.w.bits.data <= core.io.lsu_axi.w.bits.data @[quasar_wrapper.scala 179:19] - io.lsu_axi.w.valid <= core.io.lsu_axi.w.valid @[quasar_wrapper.scala 179:19] - core.io.lsu_axi.w.ready <= io.lsu_axi.w.ready @[quasar_wrapper.scala 179:19] - io.lsu_axi.aw.bits.qos <= core.io.lsu_axi.aw.bits.qos @[quasar_wrapper.scala 179:19] - io.lsu_axi.aw.bits.prot <= core.io.lsu_axi.aw.bits.prot @[quasar_wrapper.scala 179:19] - io.lsu_axi.aw.bits.cache <= core.io.lsu_axi.aw.bits.cache @[quasar_wrapper.scala 179:19] - io.lsu_axi.aw.bits.lock <= core.io.lsu_axi.aw.bits.lock @[quasar_wrapper.scala 179:19] - io.lsu_axi.aw.bits.burst <= core.io.lsu_axi.aw.bits.burst @[quasar_wrapper.scala 179:19] - io.lsu_axi.aw.bits.size <= core.io.lsu_axi.aw.bits.size @[quasar_wrapper.scala 179:19] - io.lsu_axi.aw.bits.len <= core.io.lsu_axi.aw.bits.len @[quasar_wrapper.scala 179:19] - io.lsu_axi.aw.bits.region <= core.io.lsu_axi.aw.bits.region @[quasar_wrapper.scala 179:19] - io.lsu_axi.aw.bits.addr <= core.io.lsu_axi.aw.bits.addr @[quasar_wrapper.scala 179:19] - io.lsu_axi.aw.bits.id <= core.io.lsu_axi.aw.bits.id @[quasar_wrapper.scala 179:19] - io.lsu_axi.aw.valid <= core.io.lsu_axi.aw.valid @[quasar_wrapper.scala 179:19] - core.io.lsu_axi.aw.ready <= io.lsu_axi.aw.ready @[quasar_wrapper.scala 179:19] - core.io.ifu_axi.r.bits.last <= io.ifu_axi.r.bits.last @[quasar_wrapper.scala 182:19] - core.io.ifu_axi.r.bits.resp <= io.ifu_axi.r.bits.resp @[quasar_wrapper.scala 182:19] - core.io.ifu_axi.r.bits.data <= io.ifu_axi.r.bits.data @[quasar_wrapper.scala 182:19] - core.io.ifu_axi.r.bits.id <= io.ifu_axi.r.bits.id @[quasar_wrapper.scala 182:19] - core.io.ifu_axi.r.valid <= io.ifu_axi.r.valid @[quasar_wrapper.scala 182:19] - io.ifu_axi.r.ready <= core.io.ifu_axi.r.ready @[quasar_wrapper.scala 182:19] - io.ifu_axi.ar.bits.qos <= core.io.ifu_axi.ar.bits.qos @[quasar_wrapper.scala 182:19] - io.ifu_axi.ar.bits.prot <= core.io.ifu_axi.ar.bits.prot @[quasar_wrapper.scala 182:19] - io.ifu_axi.ar.bits.cache <= core.io.ifu_axi.ar.bits.cache @[quasar_wrapper.scala 182:19] - io.ifu_axi.ar.bits.lock <= core.io.ifu_axi.ar.bits.lock @[quasar_wrapper.scala 182:19] - io.ifu_axi.ar.bits.burst <= core.io.ifu_axi.ar.bits.burst @[quasar_wrapper.scala 182:19] - io.ifu_axi.ar.bits.size <= core.io.ifu_axi.ar.bits.size @[quasar_wrapper.scala 182:19] - io.ifu_axi.ar.bits.len <= core.io.ifu_axi.ar.bits.len @[quasar_wrapper.scala 182:19] - io.ifu_axi.ar.bits.region <= core.io.ifu_axi.ar.bits.region @[quasar_wrapper.scala 182:19] - io.ifu_axi.ar.bits.addr <= core.io.ifu_axi.ar.bits.addr @[quasar_wrapper.scala 182:19] - io.ifu_axi.ar.bits.id <= core.io.ifu_axi.ar.bits.id @[quasar_wrapper.scala 182:19] - io.ifu_axi.ar.valid <= core.io.ifu_axi.ar.valid @[quasar_wrapper.scala 182:19] - core.io.ifu_axi.ar.ready <= io.ifu_axi.ar.ready @[quasar_wrapper.scala 182:19] - core.io.ifu_axi.b.bits.id <= io.ifu_axi.b.bits.id @[quasar_wrapper.scala 182:19] - core.io.ifu_axi.b.bits.resp <= io.ifu_axi.b.bits.resp @[quasar_wrapper.scala 182:19] - core.io.ifu_axi.b.valid <= io.ifu_axi.b.valid @[quasar_wrapper.scala 182:19] - io.ifu_axi.b.ready <= core.io.ifu_axi.b.ready @[quasar_wrapper.scala 182:19] - io.ifu_axi.w.bits.last <= core.io.ifu_axi.w.bits.last @[quasar_wrapper.scala 182:19] - io.ifu_axi.w.bits.strb <= core.io.ifu_axi.w.bits.strb @[quasar_wrapper.scala 182:19] - io.ifu_axi.w.bits.data <= core.io.ifu_axi.w.bits.data @[quasar_wrapper.scala 182:19] - io.ifu_axi.w.valid <= core.io.ifu_axi.w.valid @[quasar_wrapper.scala 182:19] - core.io.ifu_axi.w.ready <= io.ifu_axi.w.ready @[quasar_wrapper.scala 182:19] - io.ifu_axi.aw.bits.qos <= core.io.ifu_axi.aw.bits.qos @[quasar_wrapper.scala 182:19] - io.ifu_axi.aw.bits.prot <= core.io.ifu_axi.aw.bits.prot @[quasar_wrapper.scala 182:19] - io.ifu_axi.aw.bits.cache <= core.io.ifu_axi.aw.bits.cache @[quasar_wrapper.scala 182:19] - io.ifu_axi.aw.bits.lock <= core.io.ifu_axi.aw.bits.lock @[quasar_wrapper.scala 182:19] - io.ifu_axi.aw.bits.burst <= core.io.ifu_axi.aw.bits.burst @[quasar_wrapper.scala 182:19] - io.ifu_axi.aw.bits.size <= core.io.ifu_axi.aw.bits.size @[quasar_wrapper.scala 182:19] - io.ifu_axi.aw.bits.len <= core.io.ifu_axi.aw.bits.len @[quasar_wrapper.scala 182:19] - io.ifu_axi.aw.bits.region <= core.io.ifu_axi.aw.bits.region @[quasar_wrapper.scala 182:19] - io.ifu_axi.aw.bits.addr <= core.io.ifu_axi.aw.bits.addr @[quasar_wrapper.scala 182:19] - io.ifu_axi.aw.bits.id <= core.io.ifu_axi.aw.bits.id @[quasar_wrapper.scala 182:19] - io.ifu_axi.aw.valid <= core.io.ifu_axi.aw.valid @[quasar_wrapper.scala 182:19] - core.io.ifu_axi.aw.ready <= io.ifu_axi.aw.ready @[quasar_wrapper.scala 182:19] - core.io.sb_axi.r.bits.last <= io.sb_axi.r.bits.last @[quasar_wrapper.scala 185:18] - core.io.sb_axi.r.bits.resp <= io.sb_axi.r.bits.resp @[quasar_wrapper.scala 185:18] - core.io.sb_axi.r.bits.data <= io.sb_axi.r.bits.data @[quasar_wrapper.scala 185:18] - core.io.sb_axi.r.bits.id <= io.sb_axi.r.bits.id @[quasar_wrapper.scala 185:18] - core.io.sb_axi.r.valid <= io.sb_axi.r.valid @[quasar_wrapper.scala 185:18] - io.sb_axi.r.ready <= core.io.sb_axi.r.ready @[quasar_wrapper.scala 185:18] - io.sb_axi.ar.bits.qos <= core.io.sb_axi.ar.bits.qos @[quasar_wrapper.scala 185:18] - io.sb_axi.ar.bits.prot <= core.io.sb_axi.ar.bits.prot @[quasar_wrapper.scala 185:18] - io.sb_axi.ar.bits.cache <= core.io.sb_axi.ar.bits.cache @[quasar_wrapper.scala 185:18] - io.sb_axi.ar.bits.lock <= core.io.sb_axi.ar.bits.lock @[quasar_wrapper.scala 185:18] - io.sb_axi.ar.bits.burst <= core.io.sb_axi.ar.bits.burst @[quasar_wrapper.scala 185:18] - io.sb_axi.ar.bits.size <= core.io.sb_axi.ar.bits.size @[quasar_wrapper.scala 185:18] - io.sb_axi.ar.bits.len <= core.io.sb_axi.ar.bits.len @[quasar_wrapper.scala 185:18] - io.sb_axi.ar.bits.region <= core.io.sb_axi.ar.bits.region @[quasar_wrapper.scala 185:18] - io.sb_axi.ar.bits.addr <= core.io.sb_axi.ar.bits.addr @[quasar_wrapper.scala 185:18] - io.sb_axi.ar.bits.id <= core.io.sb_axi.ar.bits.id @[quasar_wrapper.scala 185:18] - io.sb_axi.ar.valid <= core.io.sb_axi.ar.valid @[quasar_wrapper.scala 185:18] - core.io.sb_axi.ar.ready <= io.sb_axi.ar.ready @[quasar_wrapper.scala 185:18] - core.io.sb_axi.b.bits.id <= io.sb_axi.b.bits.id @[quasar_wrapper.scala 185:18] - core.io.sb_axi.b.bits.resp <= io.sb_axi.b.bits.resp @[quasar_wrapper.scala 185:18] - core.io.sb_axi.b.valid <= io.sb_axi.b.valid @[quasar_wrapper.scala 185:18] - io.sb_axi.b.ready <= core.io.sb_axi.b.ready @[quasar_wrapper.scala 185:18] - io.sb_axi.w.bits.last <= core.io.sb_axi.w.bits.last @[quasar_wrapper.scala 185:18] - io.sb_axi.w.bits.strb <= core.io.sb_axi.w.bits.strb @[quasar_wrapper.scala 185:18] - io.sb_axi.w.bits.data <= core.io.sb_axi.w.bits.data @[quasar_wrapper.scala 185:18] - io.sb_axi.w.valid <= core.io.sb_axi.w.valid @[quasar_wrapper.scala 185:18] - core.io.sb_axi.w.ready <= io.sb_axi.w.ready @[quasar_wrapper.scala 185:18] - io.sb_axi.aw.bits.qos <= core.io.sb_axi.aw.bits.qos @[quasar_wrapper.scala 185:18] - io.sb_axi.aw.bits.prot <= core.io.sb_axi.aw.bits.prot @[quasar_wrapper.scala 185:18] - io.sb_axi.aw.bits.cache <= core.io.sb_axi.aw.bits.cache @[quasar_wrapper.scala 185:18] - io.sb_axi.aw.bits.lock <= core.io.sb_axi.aw.bits.lock @[quasar_wrapper.scala 185:18] - io.sb_axi.aw.bits.burst <= core.io.sb_axi.aw.bits.burst @[quasar_wrapper.scala 185:18] - io.sb_axi.aw.bits.size <= core.io.sb_axi.aw.bits.size @[quasar_wrapper.scala 185:18] - io.sb_axi.aw.bits.len <= core.io.sb_axi.aw.bits.len @[quasar_wrapper.scala 185:18] - io.sb_axi.aw.bits.region <= core.io.sb_axi.aw.bits.region @[quasar_wrapper.scala 185:18] - io.sb_axi.aw.bits.addr <= core.io.sb_axi.aw.bits.addr @[quasar_wrapper.scala 185:18] - io.sb_axi.aw.bits.id <= core.io.sb_axi.aw.bits.id @[quasar_wrapper.scala 185:18] - io.sb_axi.aw.valid <= core.io.sb_axi.aw.valid @[quasar_wrapper.scala 185:18] - core.io.sb_axi.aw.ready <= io.sb_axi.aw.ready @[quasar_wrapper.scala 185:18] - io.dma_axi.r.bits.last <= core.io.dma_axi.r.bits.last @[quasar_wrapper.scala 189:19] - io.dma_axi.r.bits.resp <= core.io.dma_axi.r.bits.resp @[quasar_wrapper.scala 189:19] - io.dma_axi.r.bits.data <= core.io.dma_axi.r.bits.data @[quasar_wrapper.scala 189:19] - io.dma_axi.r.bits.id <= core.io.dma_axi.r.bits.id @[quasar_wrapper.scala 189:19] - io.dma_axi.r.valid <= core.io.dma_axi.r.valid @[quasar_wrapper.scala 189:19] - core.io.dma_axi.r.ready <= io.dma_axi.r.ready @[quasar_wrapper.scala 189:19] - core.io.dma_axi.ar.bits.qos <= io.dma_axi.ar.bits.qos @[quasar_wrapper.scala 189:19] - core.io.dma_axi.ar.bits.prot <= io.dma_axi.ar.bits.prot @[quasar_wrapper.scala 189:19] - core.io.dma_axi.ar.bits.cache <= io.dma_axi.ar.bits.cache @[quasar_wrapper.scala 189:19] - core.io.dma_axi.ar.bits.lock <= io.dma_axi.ar.bits.lock @[quasar_wrapper.scala 189:19] - core.io.dma_axi.ar.bits.burst <= io.dma_axi.ar.bits.burst @[quasar_wrapper.scala 189:19] - core.io.dma_axi.ar.bits.size <= io.dma_axi.ar.bits.size @[quasar_wrapper.scala 189:19] - core.io.dma_axi.ar.bits.len <= io.dma_axi.ar.bits.len @[quasar_wrapper.scala 189:19] - core.io.dma_axi.ar.bits.region <= io.dma_axi.ar.bits.region @[quasar_wrapper.scala 189:19] - core.io.dma_axi.ar.bits.addr <= io.dma_axi.ar.bits.addr @[quasar_wrapper.scala 189:19] - core.io.dma_axi.ar.bits.id <= io.dma_axi.ar.bits.id @[quasar_wrapper.scala 189:19] - core.io.dma_axi.ar.valid <= io.dma_axi.ar.valid @[quasar_wrapper.scala 189:19] - io.dma_axi.ar.ready <= core.io.dma_axi.ar.ready @[quasar_wrapper.scala 189:19] - io.dma_axi.b.bits.id <= core.io.dma_axi.b.bits.id @[quasar_wrapper.scala 189:19] - io.dma_axi.b.bits.resp <= core.io.dma_axi.b.bits.resp @[quasar_wrapper.scala 189:19] - io.dma_axi.b.valid <= core.io.dma_axi.b.valid @[quasar_wrapper.scala 189:19] - core.io.dma_axi.b.ready <= io.dma_axi.b.ready @[quasar_wrapper.scala 189:19] - core.io.dma_axi.w.bits.last <= io.dma_axi.w.bits.last @[quasar_wrapper.scala 189:19] - core.io.dma_axi.w.bits.strb <= io.dma_axi.w.bits.strb @[quasar_wrapper.scala 189:19] - core.io.dma_axi.w.bits.data <= io.dma_axi.w.bits.data @[quasar_wrapper.scala 189:19] - core.io.dma_axi.w.valid <= io.dma_axi.w.valid @[quasar_wrapper.scala 189:19] - io.dma_axi.w.ready <= core.io.dma_axi.w.ready @[quasar_wrapper.scala 189:19] - core.io.dma_axi.aw.bits.qos <= io.dma_axi.aw.bits.qos @[quasar_wrapper.scala 189:19] - core.io.dma_axi.aw.bits.prot <= io.dma_axi.aw.bits.prot @[quasar_wrapper.scala 189:19] - core.io.dma_axi.aw.bits.cache <= io.dma_axi.aw.bits.cache @[quasar_wrapper.scala 189:19] - core.io.dma_axi.aw.bits.lock <= io.dma_axi.aw.bits.lock @[quasar_wrapper.scala 189:19] - core.io.dma_axi.aw.bits.burst <= io.dma_axi.aw.bits.burst @[quasar_wrapper.scala 189:19] - core.io.dma_axi.aw.bits.size <= io.dma_axi.aw.bits.size @[quasar_wrapper.scala 189:19] - core.io.dma_axi.aw.bits.len <= io.dma_axi.aw.bits.len @[quasar_wrapper.scala 189:19] - core.io.dma_axi.aw.bits.region <= io.dma_axi.aw.bits.region @[quasar_wrapper.scala 189:19] - core.io.dma_axi.aw.bits.addr <= io.dma_axi.aw.bits.addr @[quasar_wrapper.scala 189:19] - core.io.dma_axi.aw.bits.id <= io.dma_axi.aw.bits.id @[quasar_wrapper.scala 189:19] - core.io.dma_axi.aw.valid <= io.dma_axi.aw.valid @[quasar_wrapper.scala 189:19] - io.dma_axi.aw.ready <= core.io.dma_axi.aw.ready @[quasar_wrapper.scala 189:19] - core.io.dma_hsel <= io.dma_hsel @[quasar_wrapper.scala 192:20] - core.io.dma_haddr <= io.dma_haddr @[quasar_wrapper.scala 193:21] - core.io.dma_hburst <= io.dma_hburst @[quasar_wrapper.scala 194:22] - core.io.dma_hmastlock <= io.dma_hmastlock @[quasar_wrapper.scala 195:25] - core.io.dma_hprot <= io.dma_hprot @[quasar_wrapper.scala 196:21] - core.io.dma_hsize <= io.dma_hsize @[quasar_wrapper.scala 197:21] - core.io.dma_htrans <= io.dma_htrans @[quasar_wrapper.scala 198:22] - core.io.dma_hwrite <= io.dma_hwrite @[quasar_wrapper.scala 199:22] - core.io.dma_hwdata <= io.dma_hwdata @[quasar_wrapper.scala 200:22] - core.io.dma_hreadyin <= io.dma_hreadyin @[quasar_wrapper.scala 201:24] - core.io.lsu_bus_clk_en <= io.lsu_bus_clk_en @[quasar_wrapper.scala 219:26] - core.io.ifu_bus_clk_en <= io.ifu_bus_clk_en @[quasar_wrapper.scala 220:26] - core.io.dbg_bus_clk_en <= io.dbg_bus_clk_en @[quasar_wrapper.scala 221:26] - core.io.dma_bus_clk_en <= io.dma_bus_clk_en @[quasar_wrapper.scala 222:26] - core.io.timer_int <= io.timer_int @[quasar_wrapper.scala 224:21] - core.io.soft_int <= io.soft_int @[quasar_wrapper.scala 225:20] - core.io.extintsrc_req <= io.extintsrc_req @[quasar_wrapper.scala 226:25] - io.trace.rv_i_tval_ip <= core.io.trace.rv_i_tval_ip @[quasar_wrapper.scala 230:12] - io.trace.rv_i_interrupt_ip <= core.io.trace.rv_i_interrupt_ip @[quasar_wrapper.scala 230:12] - io.trace.rv_i_ecause_ip <= core.io.trace.rv_i_ecause_ip @[quasar_wrapper.scala 230:12] - io.trace.rv_i_exception_ip <= core.io.trace.rv_i_exception_ip @[quasar_wrapper.scala 230:12] - io.trace.rv_i_address_ip <= core.io.trace.rv_i_address_ip @[quasar_wrapper.scala 230:12] - io.trace.rv_i_insn_ip <= core.io.trace.rv_i_insn_ip @[quasar_wrapper.scala 230:12] - io.trace.rv_i_valid_ip <= core.io.trace.rv_i_valid_ip @[quasar_wrapper.scala 230:12] - io.o_cpu_halt_ack <= core.io.o_cpu_halt_ack @[quasar_wrapper.scala 240:21] - io.o_cpu_halt_status <= core.io.o_cpu_halt_status @[quasar_wrapper.scala 241:24] - io.o_cpu_run_ack <= core.io.o_cpu_run_ack @[quasar_wrapper.scala 242:20] - io.o_debug_mode_status <= core.io.o_debug_mode_status @[quasar_wrapper.scala 243:26] - io.mpc_debug_halt_ack <= core.io.mpc_debug_halt_ack @[quasar_wrapper.scala 245:25] - io.mpc_debug_run_ack <= core.io.mpc_debug_run_ack @[quasar_wrapper.scala 246:24] - io.debug_brkpt_status <= core.io.debug_brkpt_status @[quasar_wrapper.scala 247:25] - io.dec_tlu_perfcnt0 <= core.io.dec_tlu_perfcnt0 @[quasar_wrapper.scala 249:23] - io.dec_tlu_perfcnt1 <= core.io.dec_tlu_perfcnt1 @[quasar_wrapper.scala 250:23] - io.dec_tlu_perfcnt2 <= core.io.dec_tlu_perfcnt2 @[quasar_wrapper.scala 251:23] - io.dec_tlu_perfcnt3 <= core.io.dec_tlu_perfcnt3 @[quasar_wrapper.scala 252:23] - io.dma_hrdata <= core.io.dma_hrdata @[quasar_wrapper.scala 259:17] - io.dma_hreadyout <= core.io.dma_hreadyout @[quasar_wrapper.scala 260:20] - io.dma_hresp <= core.io.dma_hresp @[quasar_wrapper.scala 261:16] + core.io.scan_mode <= io.scan_mode @[quasar_wrapper.scala 66:21] + dmi_wrapper.trst_n <= io.jtag_trst_n @[quasar_wrapper.scala 67:25] + dmi_wrapper.tck <= io.jtag_tck @[quasar_wrapper.scala 68:22] + dmi_wrapper.tms <= io.jtag_tms @[quasar_wrapper.scala 69:22] + dmi_wrapper.tdi <= io.jtag_tdi @[quasar_wrapper.scala 70:22] + dmi_wrapper.core_clk <= clock @[quasar_wrapper.scala 71:27] + dmi_wrapper.jtag_id <= io.jtag_id @[quasar_wrapper.scala 72:26] + dmi_wrapper.rd_data <= core.io.dmi_reg_rdata @[quasar_wrapper.scala 73:26] + dmi_wrapper.core_rst_n <= io.dbg_rst_l @[quasar_wrapper.scala 74:29] + core.io.dmi_reg_wdata <= dmi_wrapper.reg_wr_data @[quasar_wrapper.scala 75:25] + core.io.dmi_reg_addr <= dmi_wrapper.reg_wr_addr @[quasar_wrapper.scala 76:24] + core.io.dmi_reg_en <= dmi_wrapper.reg_en @[quasar_wrapper.scala 77:22] + core.io.dmi_reg_wr_en <= dmi_wrapper.reg_wr_en @[quasar_wrapper.scala 78:25] + core.io.dmi_hard_reset <= dmi_wrapper.dmi_hard_reset @[quasar_wrapper.scala 79:26] + io.jtag_tdo <= dmi_wrapper.tdo @[quasar_wrapper.scala 80:15] + mem.dccm_clk_override <= core.io.dccm_clk_override @[quasar_wrapper.scala 83:28] + mem.icm_clk_override <= core.io.icm_clk_override @[quasar_wrapper.scala 84:27] + mem.dec_tlu_core_ecc_disable <= core.io.dec_tlu_core_ecc_disable @[quasar_wrapper.scala 85:35] + core.io.dccm.rd_data_hi <= mem.dccm.rd_data_hi @[quasar_wrapper.scala 86:15] + core.io.dccm.rd_data_lo <= mem.dccm.rd_data_lo @[quasar_wrapper.scala 86:15] + mem.dccm.wr_data_hi <= core.io.dccm.wr_data_hi @[quasar_wrapper.scala 86:15] + mem.dccm.wr_data_lo <= core.io.dccm.wr_data_lo @[quasar_wrapper.scala 86:15] + mem.dccm.rd_addr_hi <= core.io.dccm.rd_addr_hi @[quasar_wrapper.scala 86:15] + mem.dccm.rd_addr_lo <= core.io.dccm.rd_addr_lo @[quasar_wrapper.scala 86:15] + mem.dccm.wr_addr_hi <= core.io.dccm.wr_addr_hi @[quasar_wrapper.scala 86:15] + mem.dccm.wr_addr_lo <= core.io.dccm.wr_addr_lo @[quasar_wrapper.scala 86:15] + mem.dccm.rden <= core.io.dccm.rden @[quasar_wrapper.scala 86:15] + mem.dccm.wren <= core.io.dccm.wren @[quasar_wrapper.scala 86:15] + mem.rst_l <= reset @[quasar_wrapper.scala 87:16] + mem.clk <= clock @[quasar_wrapper.scala 88:14] + mem.scan_mode <= io.scan_mode @[quasar_wrapper.scala 89:20] + core.io.dbg_rst_l <= io.dbg_rst_l @[quasar_wrapper.scala 91:21] + mem.ic.sel_premux_data <= core.io.ic.sel_premux_data @[quasar_wrapper.scala 92:14] + mem.ic.premux_data <= core.io.ic.premux_data @[quasar_wrapper.scala 92:14] + mem.ic.debug_way <= core.io.ic.debug_way @[quasar_wrapper.scala 92:14] + mem.ic.debug_tag_array <= core.io.ic.debug_tag_array @[quasar_wrapper.scala 92:14] + mem.ic.debug_wr_en <= core.io.ic.debug_wr_en @[quasar_wrapper.scala 92:14] + mem.ic.debug_rd_en <= core.io.ic.debug_rd_en @[quasar_wrapper.scala 92:14] + core.io.ic.tag_perr <= mem.ic.tag_perr @[quasar_wrapper.scala 92:14] + core.io.ic.rd_hit <= mem.ic.rd_hit @[quasar_wrapper.scala 92:14] + core.io.ic.parerr <= mem.ic.parerr @[quasar_wrapper.scala 92:14] + core.io.ic.eccerr <= mem.ic.eccerr @[quasar_wrapper.scala 92:14] + core.io.ic.tag_debug_rd_data <= mem.ic.tag_debug_rd_data @[quasar_wrapper.scala 92:14] + core.io.ic.debug_rd_data <= mem.ic.debug_rd_data @[quasar_wrapper.scala 92:14] + core.io.ic.rd_data <= mem.ic.rd_data @[quasar_wrapper.scala 92:14] + mem.ic.debug_addr <= core.io.ic.debug_addr @[quasar_wrapper.scala 92:14] + mem.ic.debug_wr_data <= core.io.ic.debug_wr_data @[quasar_wrapper.scala 92:14] + mem.ic.wr_data[0] <= core.io.ic.wr_data[0] @[quasar_wrapper.scala 92:14] + mem.ic.wr_data[1] <= core.io.ic.wr_data[1] @[quasar_wrapper.scala 92:14] + mem.ic.rd_en <= core.io.ic.rd_en @[quasar_wrapper.scala 92:14] + mem.ic.wr_en <= core.io.ic.wr_en @[quasar_wrapper.scala 92:14] + mem.ic.tag_valid <= core.io.ic.tag_valid @[quasar_wrapper.scala 92:14] + mem.ic.rw_addr <= core.io.ic.rw_addr @[quasar_wrapper.scala 92:14] + core.io.iccm.rd_data_ecc <= mem.iccm.rd_data_ecc @[quasar_wrapper.scala 93:16] + core.io.iccm.rd_data <= mem.iccm.rd_data @[quasar_wrapper.scala 93:16] + mem.iccm.wr_data <= core.io.iccm.wr_data @[quasar_wrapper.scala 93:16] + mem.iccm.wr_size <= core.io.iccm.wr_size @[quasar_wrapper.scala 93:16] + mem.iccm.rden <= core.io.iccm.rden @[quasar_wrapper.scala 93:16] + mem.iccm.wren <= core.io.iccm.wren @[quasar_wrapper.scala 93:16] + mem.iccm.correction_state <= core.io.iccm.correction_state @[quasar_wrapper.scala 93:16] + mem.iccm.buf_correct_ecc <= core.io.iccm.buf_correct_ecc @[quasar_wrapper.scala 93:16] + mem.iccm.rw_addr <= core.io.iccm.rw_addr @[quasar_wrapper.scala 93:16] + io.ifu_brg.out.hwdata <= core.io.ifu_ahb.out.hwdata @[quasar_wrapper.scala 108:21] + io.ifu_brg.out.hwrite <= core.io.ifu_ahb.out.hwrite @[quasar_wrapper.scala 108:21] + io.ifu_brg.out.htrans <= core.io.ifu_ahb.out.htrans @[quasar_wrapper.scala 108:21] + io.ifu_brg.out.hsize <= core.io.ifu_ahb.out.hsize @[quasar_wrapper.scala 108:21] + io.ifu_brg.out.hprot <= core.io.ifu_ahb.out.hprot @[quasar_wrapper.scala 108:21] + io.ifu_brg.out.hmastlock <= core.io.ifu_ahb.out.hmastlock @[quasar_wrapper.scala 108:21] + io.ifu_brg.out.hburst <= core.io.ifu_ahb.out.hburst @[quasar_wrapper.scala 108:21] + io.ifu_brg.out.haddr <= core.io.ifu_ahb.out.haddr @[quasar_wrapper.scala 108:21] + core.io.ifu_ahb.in.hresp <= io.ifu_brg.in.hresp @[quasar_wrapper.scala 108:21] + core.io.ifu_ahb.in.hready <= io.ifu_brg.in.hready @[quasar_wrapper.scala 108:21] + core.io.ifu_ahb.in.hrdata <= io.ifu_brg.in.hrdata @[quasar_wrapper.scala 108:21] + io.lsu_brg.out.hwdata <= core.io.lsu_ahb.out.hwdata @[quasar_wrapper.scala 109:21] + io.lsu_brg.out.hwrite <= core.io.lsu_ahb.out.hwrite @[quasar_wrapper.scala 109:21] + io.lsu_brg.out.htrans <= core.io.lsu_ahb.out.htrans @[quasar_wrapper.scala 109:21] + io.lsu_brg.out.hsize <= core.io.lsu_ahb.out.hsize @[quasar_wrapper.scala 109:21] + io.lsu_brg.out.hprot <= core.io.lsu_ahb.out.hprot @[quasar_wrapper.scala 109:21] + io.lsu_brg.out.hmastlock <= core.io.lsu_ahb.out.hmastlock @[quasar_wrapper.scala 109:21] + io.lsu_brg.out.hburst <= core.io.lsu_ahb.out.hburst @[quasar_wrapper.scala 109:21] + io.lsu_brg.out.haddr <= core.io.lsu_ahb.out.haddr @[quasar_wrapper.scala 109:21] + core.io.lsu_ahb.in.hresp <= io.lsu_brg.in.hresp @[quasar_wrapper.scala 109:21] + core.io.lsu_ahb.in.hready <= io.lsu_brg.in.hready @[quasar_wrapper.scala 109:21] + core.io.lsu_ahb.in.hrdata <= io.lsu_brg.in.hrdata @[quasar_wrapper.scala 109:21] + io.sb_brg.out.hwdata <= core.io.sb_ahb.out.hwdata @[quasar_wrapper.scala 110:20] + io.sb_brg.out.hwrite <= core.io.sb_ahb.out.hwrite @[quasar_wrapper.scala 110:20] + io.sb_brg.out.htrans <= core.io.sb_ahb.out.htrans @[quasar_wrapper.scala 110:20] + io.sb_brg.out.hsize <= core.io.sb_ahb.out.hsize @[quasar_wrapper.scala 110:20] + io.sb_brg.out.hprot <= core.io.sb_ahb.out.hprot @[quasar_wrapper.scala 110:20] + io.sb_brg.out.hmastlock <= core.io.sb_ahb.out.hmastlock @[quasar_wrapper.scala 110:20] + io.sb_brg.out.hburst <= core.io.sb_ahb.out.hburst @[quasar_wrapper.scala 110:20] + io.sb_brg.out.haddr <= core.io.sb_ahb.out.haddr @[quasar_wrapper.scala 110:20] + core.io.sb_ahb.in.hresp <= io.sb_brg.in.hresp @[quasar_wrapper.scala 110:20] + core.io.sb_ahb.in.hready <= io.sb_brg.in.hready @[quasar_wrapper.scala 110:20] + core.io.sb_ahb.in.hrdata <= io.sb_brg.in.hrdata @[quasar_wrapper.scala 110:20] + core.io.dma_ahb.hreadyin <= io.dma_brg.hreadyin @[quasar_wrapper.scala 111:21] + core.io.dma_ahb.hsel <= io.dma_brg.hsel @[quasar_wrapper.scala 111:21] + core.io.dma_ahb.sig.out.hwdata <= io.dma_brg.sig.out.hwdata @[quasar_wrapper.scala 111:21] + core.io.dma_ahb.sig.out.hwrite <= io.dma_brg.sig.out.hwrite @[quasar_wrapper.scala 111:21] + core.io.dma_ahb.sig.out.htrans <= io.dma_brg.sig.out.htrans @[quasar_wrapper.scala 111:21] + core.io.dma_ahb.sig.out.hsize <= io.dma_brg.sig.out.hsize @[quasar_wrapper.scala 111:21] + core.io.dma_ahb.sig.out.hprot <= io.dma_brg.sig.out.hprot @[quasar_wrapper.scala 111:21] + core.io.dma_ahb.sig.out.hmastlock <= io.dma_brg.sig.out.hmastlock @[quasar_wrapper.scala 111:21] + core.io.dma_ahb.sig.out.hburst <= io.dma_brg.sig.out.hburst @[quasar_wrapper.scala 111:21] + core.io.dma_ahb.sig.out.haddr <= io.dma_brg.sig.out.haddr @[quasar_wrapper.scala 111:21] + io.dma_brg.sig.in.hresp <= core.io.dma_ahb.sig.in.hresp @[quasar_wrapper.scala 111:21] + io.dma_brg.sig.in.hready <= core.io.dma_ahb.sig.in.hready @[quasar_wrapper.scala 111:21] + io.dma_brg.sig.in.hrdata <= core.io.dma_ahb.sig.in.hrdata @[quasar_wrapper.scala 111:21] + wire _T : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}} @[quasar_wrapper.scala 113:36] + _T.r.bits.last <= UInt<1>("h00") @[quasar_wrapper.scala 113:36] + _T.r.bits.resp <= UInt<2>("h00") @[quasar_wrapper.scala 113:36] + _T.r.bits.data <= UInt<64>("h00") @[quasar_wrapper.scala 113:36] + _T.r.bits.id <= UInt<3>("h00") @[quasar_wrapper.scala 113:36] + _T.r.valid <= UInt<1>("h00") @[quasar_wrapper.scala 113:36] + _T.r.ready <= UInt<1>("h00") @[quasar_wrapper.scala 113:36] + _T.ar.bits.qos <= UInt<4>("h00") @[quasar_wrapper.scala 113:36] + _T.ar.bits.prot <= UInt<3>("h00") @[quasar_wrapper.scala 113:36] + _T.ar.bits.cache <= UInt<4>("h00") @[quasar_wrapper.scala 113:36] + _T.ar.bits.lock <= UInt<1>("h00") @[quasar_wrapper.scala 113:36] + _T.ar.bits.burst <= UInt<2>("h00") @[quasar_wrapper.scala 113:36] + _T.ar.bits.size <= UInt<3>("h00") @[quasar_wrapper.scala 113:36] + _T.ar.bits.len <= UInt<8>("h00") @[quasar_wrapper.scala 113:36] + _T.ar.bits.region <= UInt<4>("h00") @[quasar_wrapper.scala 113:36] + _T.ar.bits.addr <= UInt<32>("h00") @[quasar_wrapper.scala 113:36] + _T.ar.bits.id <= UInt<3>("h00") @[quasar_wrapper.scala 113:36] + _T.ar.valid <= UInt<1>("h00") @[quasar_wrapper.scala 113:36] + _T.ar.ready <= UInt<1>("h00") @[quasar_wrapper.scala 113:36] + _T.b.bits.id <= UInt<3>("h00") @[quasar_wrapper.scala 113:36] + _T.b.bits.resp <= UInt<2>("h00") @[quasar_wrapper.scala 113:36] + _T.b.valid <= UInt<1>("h00") @[quasar_wrapper.scala 113:36] + _T.b.ready <= UInt<1>("h00") @[quasar_wrapper.scala 113:36] + _T.w.bits.last <= UInt<1>("h00") @[quasar_wrapper.scala 113:36] + _T.w.bits.strb <= UInt<8>("h00") @[quasar_wrapper.scala 113:36] + _T.w.bits.data <= UInt<64>("h00") @[quasar_wrapper.scala 113:36] + _T.w.valid <= UInt<1>("h00") @[quasar_wrapper.scala 113:36] + _T.w.ready <= UInt<1>("h00") @[quasar_wrapper.scala 113:36] + _T.aw.bits.qos <= UInt<4>("h00") @[quasar_wrapper.scala 113:36] + _T.aw.bits.prot <= UInt<3>("h00") @[quasar_wrapper.scala 113:36] + _T.aw.bits.cache <= UInt<4>("h00") @[quasar_wrapper.scala 113:36] + _T.aw.bits.lock <= UInt<1>("h00") @[quasar_wrapper.scala 113:36] + _T.aw.bits.burst <= UInt<2>("h00") @[quasar_wrapper.scala 113:36] + _T.aw.bits.size <= UInt<3>("h00") @[quasar_wrapper.scala 113:36] + _T.aw.bits.len <= UInt<8>("h00") @[quasar_wrapper.scala 113:36] + _T.aw.bits.region <= UInt<4>("h00") @[quasar_wrapper.scala 113:36] + _T.aw.bits.addr <= UInt<32>("h00") @[quasar_wrapper.scala 113:36] + _T.aw.bits.id <= UInt<3>("h00") @[quasar_wrapper.scala 113:36] + _T.aw.valid <= UInt<1>("h00") @[quasar_wrapper.scala 113:36] + _T.aw.ready <= UInt<1>("h00") @[quasar_wrapper.scala 113:36] + core.io.lsu_axi.r.bits.last <= _T.r.bits.last @[quasar_wrapper.scala 113:21] + core.io.lsu_axi.r.bits.resp <= _T.r.bits.resp @[quasar_wrapper.scala 113:21] + core.io.lsu_axi.r.bits.data <= _T.r.bits.data @[quasar_wrapper.scala 113:21] + core.io.lsu_axi.r.bits.id <= _T.r.bits.id @[quasar_wrapper.scala 113:21] + core.io.lsu_axi.r.valid <= _T.r.valid @[quasar_wrapper.scala 113:21] + _T.r.ready <= core.io.lsu_axi.r.ready @[quasar_wrapper.scala 113:21] + _T.ar.bits.qos <= core.io.lsu_axi.ar.bits.qos @[quasar_wrapper.scala 113:21] + _T.ar.bits.prot <= core.io.lsu_axi.ar.bits.prot @[quasar_wrapper.scala 113:21] + _T.ar.bits.cache <= core.io.lsu_axi.ar.bits.cache @[quasar_wrapper.scala 113:21] + _T.ar.bits.lock <= core.io.lsu_axi.ar.bits.lock @[quasar_wrapper.scala 113:21] + _T.ar.bits.burst <= core.io.lsu_axi.ar.bits.burst @[quasar_wrapper.scala 113:21] + _T.ar.bits.size <= core.io.lsu_axi.ar.bits.size @[quasar_wrapper.scala 113:21] + _T.ar.bits.len <= core.io.lsu_axi.ar.bits.len @[quasar_wrapper.scala 113:21] + _T.ar.bits.region <= core.io.lsu_axi.ar.bits.region @[quasar_wrapper.scala 113:21] + _T.ar.bits.addr <= core.io.lsu_axi.ar.bits.addr @[quasar_wrapper.scala 113:21] + _T.ar.bits.id <= core.io.lsu_axi.ar.bits.id @[quasar_wrapper.scala 113:21] + _T.ar.valid <= core.io.lsu_axi.ar.valid @[quasar_wrapper.scala 113:21] + core.io.lsu_axi.ar.ready <= _T.ar.ready @[quasar_wrapper.scala 113:21] + core.io.lsu_axi.b.bits.id <= _T.b.bits.id @[quasar_wrapper.scala 113:21] + core.io.lsu_axi.b.bits.resp <= _T.b.bits.resp @[quasar_wrapper.scala 113:21] + core.io.lsu_axi.b.valid <= _T.b.valid @[quasar_wrapper.scala 113:21] + _T.b.ready <= core.io.lsu_axi.b.ready @[quasar_wrapper.scala 113:21] + _T.w.bits.last <= core.io.lsu_axi.w.bits.last @[quasar_wrapper.scala 113:21] + _T.w.bits.strb <= core.io.lsu_axi.w.bits.strb @[quasar_wrapper.scala 113:21] + _T.w.bits.data <= core.io.lsu_axi.w.bits.data @[quasar_wrapper.scala 113:21] + _T.w.valid <= core.io.lsu_axi.w.valid @[quasar_wrapper.scala 113:21] + core.io.lsu_axi.w.ready <= _T.w.ready @[quasar_wrapper.scala 113:21] + _T.aw.bits.qos <= core.io.lsu_axi.aw.bits.qos @[quasar_wrapper.scala 113:21] + _T.aw.bits.prot <= core.io.lsu_axi.aw.bits.prot @[quasar_wrapper.scala 113:21] + _T.aw.bits.cache <= core.io.lsu_axi.aw.bits.cache @[quasar_wrapper.scala 113:21] + _T.aw.bits.lock <= core.io.lsu_axi.aw.bits.lock @[quasar_wrapper.scala 113:21] + _T.aw.bits.burst <= core.io.lsu_axi.aw.bits.burst @[quasar_wrapper.scala 113:21] + _T.aw.bits.size <= core.io.lsu_axi.aw.bits.size @[quasar_wrapper.scala 113:21] + _T.aw.bits.len <= core.io.lsu_axi.aw.bits.len @[quasar_wrapper.scala 113:21] + _T.aw.bits.region <= core.io.lsu_axi.aw.bits.region @[quasar_wrapper.scala 113:21] + _T.aw.bits.addr <= core.io.lsu_axi.aw.bits.addr @[quasar_wrapper.scala 113:21] + _T.aw.bits.id <= core.io.lsu_axi.aw.bits.id @[quasar_wrapper.scala 113:21] + _T.aw.valid <= core.io.lsu_axi.aw.valid @[quasar_wrapper.scala 113:21] + core.io.lsu_axi.aw.ready <= _T.aw.ready @[quasar_wrapper.scala 113:21] + wire _T_1 : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}} @[quasar_wrapper.scala 114:36] + _T_1.r.bits.last <= UInt<1>("h00") @[quasar_wrapper.scala 114:36] + _T_1.r.bits.resp <= UInt<2>("h00") @[quasar_wrapper.scala 114:36] + _T_1.r.bits.data <= UInt<64>("h00") @[quasar_wrapper.scala 114:36] + _T_1.r.bits.id <= UInt<3>("h00") @[quasar_wrapper.scala 114:36] + _T_1.r.valid <= UInt<1>("h00") @[quasar_wrapper.scala 114:36] + _T_1.r.ready <= UInt<1>("h00") @[quasar_wrapper.scala 114:36] + _T_1.ar.bits.qos <= UInt<4>("h00") @[quasar_wrapper.scala 114:36] + _T_1.ar.bits.prot <= UInt<3>("h00") @[quasar_wrapper.scala 114:36] + _T_1.ar.bits.cache <= UInt<4>("h00") @[quasar_wrapper.scala 114:36] + _T_1.ar.bits.lock <= UInt<1>("h00") @[quasar_wrapper.scala 114:36] + _T_1.ar.bits.burst <= UInt<2>("h00") @[quasar_wrapper.scala 114:36] + _T_1.ar.bits.size <= UInt<3>("h00") @[quasar_wrapper.scala 114:36] + _T_1.ar.bits.len <= UInt<8>("h00") @[quasar_wrapper.scala 114:36] + _T_1.ar.bits.region <= UInt<4>("h00") @[quasar_wrapper.scala 114:36] + _T_1.ar.bits.addr <= UInt<32>("h00") @[quasar_wrapper.scala 114:36] + _T_1.ar.bits.id <= UInt<3>("h00") @[quasar_wrapper.scala 114:36] + _T_1.ar.valid <= UInt<1>("h00") @[quasar_wrapper.scala 114:36] + _T_1.ar.ready <= UInt<1>("h00") @[quasar_wrapper.scala 114:36] + _T_1.b.bits.id <= UInt<3>("h00") @[quasar_wrapper.scala 114:36] + _T_1.b.bits.resp <= UInt<2>("h00") @[quasar_wrapper.scala 114:36] + _T_1.b.valid <= UInt<1>("h00") @[quasar_wrapper.scala 114:36] + _T_1.b.ready <= UInt<1>("h00") @[quasar_wrapper.scala 114:36] + _T_1.w.bits.last <= UInt<1>("h00") @[quasar_wrapper.scala 114:36] + _T_1.w.bits.strb <= UInt<8>("h00") @[quasar_wrapper.scala 114:36] + _T_1.w.bits.data <= UInt<64>("h00") @[quasar_wrapper.scala 114:36] + _T_1.w.valid <= UInt<1>("h00") @[quasar_wrapper.scala 114:36] + _T_1.w.ready <= UInt<1>("h00") @[quasar_wrapper.scala 114:36] + _T_1.aw.bits.qos <= UInt<4>("h00") @[quasar_wrapper.scala 114:36] + _T_1.aw.bits.prot <= UInt<3>("h00") @[quasar_wrapper.scala 114:36] + _T_1.aw.bits.cache <= UInt<4>("h00") @[quasar_wrapper.scala 114:36] + _T_1.aw.bits.lock <= UInt<1>("h00") @[quasar_wrapper.scala 114:36] + _T_1.aw.bits.burst <= UInt<2>("h00") @[quasar_wrapper.scala 114:36] + _T_1.aw.bits.size <= UInt<3>("h00") @[quasar_wrapper.scala 114:36] + _T_1.aw.bits.len <= UInt<8>("h00") @[quasar_wrapper.scala 114:36] + _T_1.aw.bits.region <= UInt<4>("h00") @[quasar_wrapper.scala 114:36] + _T_1.aw.bits.addr <= UInt<32>("h00") @[quasar_wrapper.scala 114:36] + _T_1.aw.bits.id <= UInt<3>("h00") @[quasar_wrapper.scala 114:36] + _T_1.aw.valid <= UInt<1>("h00") @[quasar_wrapper.scala 114:36] + _T_1.aw.ready <= UInt<1>("h00") @[quasar_wrapper.scala 114:36] + core.io.ifu_axi.r.bits.last <= _T_1.r.bits.last @[quasar_wrapper.scala 114:21] + core.io.ifu_axi.r.bits.resp <= _T_1.r.bits.resp @[quasar_wrapper.scala 114:21] + core.io.ifu_axi.r.bits.data <= _T_1.r.bits.data @[quasar_wrapper.scala 114:21] + core.io.ifu_axi.r.bits.id <= _T_1.r.bits.id @[quasar_wrapper.scala 114:21] + core.io.ifu_axi.r.valid <= _T_1.r.valid @[quasar_wrapper.scala 114:21] + _T_1.r.ready <= core.io.ifu_axi.r.ready @[quasar_wrapper.scala 114:21] + _T_1.ar.bits.qos <= core.io.ifu_axi.ar.bits.qos @[quasar_wrapper.scala 114:21] + _T_1.ar.bits.prot <= core.io.ifu_axi.ar.bits.prot @[quasar_wrapper.scala 114:21] + _T_1.ar.bits.cache <= core.io.ifu_axi.ar.bits.cache @[quasar_wrapper.scala 114:21] + _T_1.ar.bits.lock <= core.io.ifu_axi.ar.bits.lock @[quasar_wrapper.scala 114:21] + _T_1.ar.bits.burst <= core.io.ifu_axi.ar.bits.burst @[quasar_wrapper.scala 114:21] + _T_1.ar.bits.size <= core.io.ifu_axi.ar.bits.size @[quasar_wrapper.scala 114:21] + _T_1.ar.bits.len <= core.io.ifu_axi.ar.bits.len @[quasar_wrapper.scala 114:21] + _T_1.ar.bits.region <= core.io.ifu_axi.ar.bits.region @[quasar_wrapper.scala 114:21] + _T_1.ar.bits.addr <= core.io.ifu_axi.ar.bits.addr @[quasar_wrapper.scala 114:21] + _T_1.ar.bits.id <= core.io.ifu_axi.ar.bits.id @[quasar_wrapper.scala 114:21] + _T_1.ar.valid <= core.io.ifu_axi.ar.valid @[quasar_wrapper.scala 114:21] + core.io.ifu_axi.ar.ready <= _T_1.ar.ready @[quasar_wrapper.scala 114:21] + core.io.ifu_axi.b.bits.id <= _T_1.b.bits.id @[quasar_wrapper.scala 114:21] + core.io.ifu_axi.b.bits.resp <= _T_1.b.bits.resp @[quasar_wrapper.scala 114:21] + core.io.ifu_axi.b.valid <= _T_1.b.valid @[quasar_wrapper.scala 114:21] + _T_1.b.ready <= core.io.ifu_axi.b.ready @[quasar_wrapper.scala 114:21] + _T_1.w.bits.last <= core.io.ifu_axi.w.bits.last @[quasar_wrapper.scala 114:21] + _T_1.w.bits.strb <= core.io.ifu_axi.w.bits.strb @[quasar_wrapper.scala 114:21] + _T_1.w.bits.data <= core.io.ifu_axi.w.bits.data @[quasar_wrapper.scala 114:21] + _T_1.w.valid <= core.io.ifu_axi.w.valid @[quasar_wrapper.scala 114:21] + core.io.ifu_axi.w.ready <= _T_1.w.ready @[quasar_wrapper.scala 114:21] + _T_1.aw.bits.qos <= core.io.ifu_axi.aw.bits.qos @[quasar_wrapper.scala 114:21] + _T_1.aw.bits.prot <= core.io.ifu_axi.aw.bits.prot @[quasar_wrapper.scala 114:21] + _T_1.aw.bits.cache <= core.io.ifu_axi.aw.bits.cache @[quasar_wrapper.scala 114:21] + _T_1.aw.bits.lock <= core.io.ifu_axi.aw.bits.lock @[quasar_wrapper.scala 114:21] + _T_1.aw.bits.burst <= core.io.ifu_axi.aw.bits.burst @[quasar_wrapper.scala 114:21] + _T_1.aw.bits.size <= core.io.ifu_axi.aw.bits.size @[quasar_wrapper.scala 114:21] + _T_1.aw.bits.len <= core.io.ifu_axi.aw.bits.len @[quasar_wrapper.scala 114:21] + _T_1.aw.bits.region <= core.io.ifu_axi.aw.bits.region @[quasar_wrapper.scala 114:21] + _T_1.aw.bits.addr <= core.io.ifu_axi.aw.bits.addr @[quasar_wrapper.scala 114:21] + _T_1.aw.bits.id <= core.io.ifu_axi.aw.bits.id @[quasar_wrapper.scala 114:21] + _T_1.aw.valid <= core.io.ifu_axi.aw.valid @[quasar_wrapper.scala 114:21] + core.io.ifu_axi.aw.ready <= _T_1.aw.ready @[quasar_wrapper.scala 114:21] + wire _T_2 : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<1>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}} @[quasar_wrapper.scala 115:36] + _T_2.r.bits.last <= UInt<1>("h00") @[quasar_wrapper.scala 115:36] + _T_2.r.bits.resp <= UInt<2>("h00") @[quasar_wrapper.scala 115:36] + _T_2.r.bits.data <= UInt<64>("h00") @[quasar_wrapper.scala 115:36] + _T_2.r.bits.id <= UInt<1>("h00") @[quasar_wrapper.scala 115:36] + _T_2.r.valid <= UInt<1>("h00") @[quasar_wrapper.scala 115:36] + _T_2.r.ready <= UInt<1>("h00") @[quasar_wrapper.scala 115:36] + _T_2.ar.bits.qos <= UInt<4>("h00") @[quasar_wrapper.scala 115:36] + _T_2.ar.bits.prot <= UInt<3>("h00") @[quasar_wrapper.scala 115:36] + _T_2.ar.bits.cache <= UInt<4>("h00") @[quasar_wrapper.scala 115:36] + _T_2.ar.bits.lock <= UInt<1>("h00") @[quasar_wrapper.scala 115:36] + _T_2.ar.bits.burst <= UInt<2>("h00") @[quasar_wrapper.scala 115:36] + _T_2.ar.bits.size <= UInt<3>("h00") @[quasar_wrapper.scala 115:36] + _T_2.ar.bits.len <= UInt<8>("h00") @[quasar_wrapper.scala 115:36] + _T_2.ar.bits.region <= UInt<4>("h00") @[quasar_wrapper.scala 115:36] + _T_2.ar.bits.addr <= UInt<32>("h00") @[quasar_wrapper.scala 115:36] + _T_2.ar.bits.id <= UInt<1>("h00") @[quasar_wrapper.scala 115:36] + _T_2.ar.valid <= UInt<1>("h00") @[quasar_wrapper.scala 115:36] + _T_2.ar.ready <= UInt<1>("h00") @[quasar_wrapper.scala 115:36] + _T_2.b.bits.id <= UInt<1>("h00") @[quasar_wrapper.scala 115:36] + _T_2.b.bits.resp <= UInt<2>("h00") @[quasar_wrapper.scala 115:36] + _T_2.b.valid <= UInt<1>("h00") @[quasar_wrapper.scala 115:36] + _T_2.b.ready <= UInt<1>("h00") @[quasar_wrapper.scala 115:36] + _T_2.w.bits.last <= UInt<1>("h00") @[quasar_wrapper.scala 115:36] + _T_2.w.bits.strb <= UInt<8>("h00") @[quasar_wrapper.scala 115:36] + _T_2.w.bits.data <= UInt<64>("h00") @[quasar_wrapper.scala 115:36] + _T_2.w.valid <= UInt<1>("h00") @[quasar_wrapper.scala 115:36] + _T_2.w.ready <= UInt<1>("h00") @[quasar_wrapper.scala 115:36] + _T_2.aw.bits.qos <= UInt<4>("h00") @[quasar_wrapper.scala 115:36] + _T_2.aw.bits.prot <= UInt<3>("h00") @[quasar_wrapper.scala 115:36] + _T_2.aw.bits.cache <= UInt<4>("h00") @[quasar_wrapper.scala 115:36] + _T_2.aw.bits.lock <= UInt<1>("h00") @[quasar_wrapper.scala 115:36] + _T_2.aw.bits.burst <= UInt<2>("h00") @[quasar_wrapper.scala 115:36] + _T_2.aw.bits.size <= UInt<3>("h00") @[quasar_wrapper.scala 115:36] + _T_2.aw.bits.len <= UInt<8>("h00") @[quasar_wrapper.scala 115:36] + _T_2.aw.bits.region <= UInt<4>("h00") @[quasar_wrapper.scala 115:36] + _T_2.aw.bits.addr <= UInt<32>("h00") @[quasar_wrapper.scala 115:36] + _T_2.aw.bits.id <= UInt<1>("h00") @[quasar_wrapper.scala 115:36] + _T_2.aw.valid <= UInt<1>("h00") @[quasar_wrapper.scala 115:36] + _T_2.aw.ready <= UInt<1>("h00") @[quasar_wrapper.scala 115:36] + core.io.sb_axi.r.bits.last <= _T_2.r.bits.last @[quasar_wrapper.scala 115:21] + core.io.sb_axi.r.bits.resp <= _T_2.r.bits.resp @[quasar_wrapper.scala 115:21] + core.io.sb_axi.r.bits.data <= _T_2.r.bits.data @[quasar_wrapper.scala 115:21] + core.io.sb_axi.r.bits.id <= _T_2.r.bits.id @[quasar_wrapper.scala 115:21] + core.io.sb_axi.r.valid <= _T_2.r.valid @[quasar_wrapper.scala 115:21] + _T_2.r.ready <= core.io.sb_axi.r.ready @[quasar_wrapper.scala 115:21] + _T_2.ar.bits.qos <= core.io.sb_axi.ar.bits.qos @[quasar_wrapper.scala 115:21] + _T_2.ar.bits.prot <= core.io.sb_axi.ar.bits.prot @[quasar_wrapper.scala 115:21] + _T_2.ar.bits.cache <= core.io.sb_axi.ar.bits.cache @[quasar_wrapper.scala 115:21] + _T_2.ar.bits.lock <= core.io.sb_axi.ar.bits.lock @[quasar_wrapper.scala 115:21] + _T_2.ar.bits.burst <= core.io.sb_axi.ar.bits.burst @[quasar_wrapper.scala 115:21] + _T_2.ar.bits.size <= core.io.sb_axi.ar.bits.size @[quasar_wrapper.scala 115:21] + _T_2.ar.bits.len <= core.io.sb_axi.ar.bits.len @[quasar_wrapper.scala 115:21] + _T_2.ar.bits.region <= core.io.sb_axi.ar.bits.region @[quasar_wrapper.scala 115:21] + _T_2.ar.bits.addr <= core.io.sb_axi.ar.bits.addr @[quasar_wrapper.scala 115:21] + _T_2.ar.bits.id <= core.io.sb_axi.ar.bits.id @[quasar_wrapper.scala 115:21] + _T_2.ar.valid <= core.io.sb_axi.ar.valid @[quasar_wrapper.scala 115:21] + core.io.sb_axi.ar.ready <= _T_2.ar.ready @[quasar_wrapper.scala 115:21] + core.io.sb_axi.b.bits.id <= _T_2.b.bits.id @[quasar_wrapper.scala 115:21] + core.io.sb_axi.b.bits.resp <= _T_2.b.bits.resp @[quasar_wrapper.scala 115:21] + core.io.sb_axi.b.valid <= _T_2.b.valid @[quasar_wrapper.scala 115:21] + _T_2.b.ready <= core.io.sb_axi.b.ready @[quasar_wrapper.scala 115:21] + _T_2.w.bits.last <= core.io.sb_axi.w.bits.last @[quasar_wrapper.scala 115:21] + _T_2.w.bits.strb <= core.io.sb_axi.w.bits.strb @[quasar_wrapper.scala 115:21] + _T_2.w.bits.data <= core.io.sb_axi.w.bits.data @[quasar_wrapper.scala 115:21] + _T_2.w.valid <= core.io.sb_axi.w.valid @[quasar_wrapper.scala 115:21] + core.io.sb_axi.w.ready <= _T_2.w.ready @[quasar_wrapper.scala 115:21] + _T_2.aw.bits.qos <= core.io.sb_axi.aw.bits.qos @[quasar_wrapper.scala 115:21] + _T_2.aw.bits.prot <= core.io.sb_axi.aw.bits.prot @[quasar_wrapper.scala 115:21] + _T_2.aw.bits.cache <= core.io.sb_axi.aw.bits.cache @[quasar_wrapper.scala 115:21] + _T_2.aw.bits.lock <= core.io.sb_axi.aw.bits.lock @[quasar_wrapper.scala 115:21] + _T_2.aw.bits.burst <= core.io.sb_axi.aw.bits.burst @[quasar_wrapper.scala 115:21] + _T_2.aw.bits.size <= core.io.sb_axi.aw.bits.size @[quasar_wrapper.scala 115:21] + _T_2.aw.bits.len <= core.io.sb_axi.aw.bits.len @[quasar_wrapper.scala 115:21] + _T_2.aw.bits.region <= core.io.sb_axi.aw.bits.region @[quasar_wrapper.scala 115:21] + _T_2.aw.bits.addr <= core.io.sb_axi.aw.bits.addr @[quasar_wrapper.scala 115:21] + _T_2.aw.bits.id <= core.io.sb_axi.aw.bits.id @[quasar_wrapper.scala 115:21] + _T_2.aw.valid <= core.io.sb_axi.aw.valid @[quasar_wrapper.scala 115:21] + core.io.sb_axi.aw.ready <= _T_2.aw.ready @[quasar_wrapper.scala 115:21] + wire _T_3 : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}} @[quasar_wrapper.scala 116:36] + _T_3.r.bits.last <= UInt<1>("h00") @[quasar_wrapper.scala 116:36] + _T_3.r.bits.resp <= UInt<2>("h00") @[quasar_wrapper.scala 116:36] + _T_3.r.bits.data <= UInt<64>("h00") @[quasar_wrapper.scala 116:36] + _T_3.r.bits.id <= UInt<3>("h00") @[quasar_wrapper.scala 116:36] + _T_3.r.valid <= UInt<1>("h00") @[quasar_wrapper.scala 116:36] + _T_3.r.ready <= UInt<1>("h00") @[quasar_wrapper.scala 116:36] + _T_3.ar.bits.qos <= UInt<4>("h00") @[quasar_wrapper.scala 116:36] + _T_3.ar.bits.prot <= UInt<3>("h00") @[quasar_wrapper.scala 116:36] + _T_3.ar.bits.cache <= UInt<4>("h00") @[quasar_wrapper.scala 116:36] + _T_3.ar.bits.lock <= UInt<1>("h00") @[quasar_wrapper.scala 116:36] + _T_3.ar.bits.burst <= UInt<2>("h00") @[quasar_wrapper.scala 116:36] + _T_3.ar.bits.size <= UInt<3>("h00") @[quasar_wrapper.scala 116:36] + _T_3.ar.bits.len <= UInt<8>("h00") @[quasar_wrapper.scala 116:36] + _T_3.ar.bits.region <= UInt<4>("h00") @[quasar_wrapper.scala 116:36] + _T_3.ar.bits.addr <= UInt<32>("h00") @[quasar_wrapper.scala 116:36] + _T_3.ar.bits.id <= UInt<3>("h00") @[quasar_wrapper.scala 116:36] + _T_3.ar.valid <= UInt<1>("h00") @[quasar_wrapper.scala 116:36] + _T_3.ar.ready <= UInt<1>("h00") @[quasar_wrapper.scala 116:36] + _T_3.b.bits.id <= UInt<3>("h00") @[quasar_wrapper.scala 116:36] + _T_3.b.bits.resp <= UInt<2>("h00") @[quasar_wrapper.scala 116:36] + _T_3.b.valid <= UInt<1>("h00") @[quasar_wrapper.scala 116:36] + _T_3.b.ready <= UInt<1>("h00") @[quasar_wrapper.scala 116:36] + _T_3.w.bits.last <= UInt<1>("h00") @[quasar_wrapper.scala 116:36] + _T_3.w.bits.strb <= UInt<8>("h00") @[quasar_wrapper.scala 116:36] + _T_3.w.bits.data <= UInt<64>("h00") @[quasar_wrapper.scala 116:36] + _T_3.w.valid <= UInt<1>("h00") @[quasar_wrapper.scala 116:36] + _T_3.w.ready <= UInt<1>("h00") @[quasar_wrapper.scala 116:36] + _T_3.aw.bits.qos <= UInt<4>("h00") @[quasar_wrapper.scala 116:36] + _T_3.aw.bits.prot <= UInt<3>("h00") @[quasar_wrapper.scala 116:36] + _T_3.aw.bits.cache <= UInt<4>("h00") @[quasar_wrapper.scala 116:36] + _T_3.aw.bits.lock <= UInt<1>("h00") @[quasar_wrapper.scala 116:36] + _T_3.aw.bits.burst <= UInt<2>("h00") @[quasar_wrapper.scala 116:36] + _T_3.aw.bits.size <= UInt<3>("h00") @[quasar_wrapper.scala 116:36] + _T_3.aw.bits.len <= UInt<8>("h00") @[quasar_wrapper.scala 116:36] + _T_3.aw.bits.region <= UInt<4>("h00") @[quasar_wrapper.scala 116:36] + _T_3.aw.bits.addr <= UInt<32>("h00") @[quasar_wrapper.scala 116:36] + _T_3.aw.bits.id <= UInt<3>("h00") @[quasar_wrapper.scala 116:36] + _T_3.aw.valid <= UInt<1>("h00") @[quasar_wrapper.scala 116:36] + _T_3.aw.ready <= UInt<1>("h00") @[quasar_wrapper.scala 116:36] + _T_3.r.bits.last <= core.io.dma_axi.r.bits.last @[quasar_wrapper.scala 116:21] + _T_3.r.bits.resp <= core.io.dma_axi.r.bits.resp @[quasar_wrapper.scala 116:21] + _T_3.r.bits.data <= core.io.dma_axi.r.bits.data @[quasar_wrapper.scala 116:21] + _T_3.r.bits.id <= core.io.dma_axi.r.bits.id @[quasar_wrapper.scala 116:21] + _T_3.r.valid <= core.io.dma_axi.r.valid @[quasar_wrapper.scala 116:21] + core.io.dma_axi.r.ready <= _T_3.r.ready @[quasar_wrapper.scala 116:21] + core.io.dma_axi.ar.bits.qos <= _T_3.ar.bits.qos @[quasar_wrapper.scala 116:21] + core.io.dma_axi.ar.bits.prot <= _T_3.ar.bits.prot @[quasar_wrapper.scala 116:21] + core.io.dma_axi.ar.bits.cache <= _T_3.ar.bits.cache @[quasar_wrapper.scala 116:21] + core.io.dma_axi.ar.bits.lock <= _T_3.ar.bits.lock @[quasar_wrapper.scala 116:21] + core.io.dma_axi.ar.bits.burst <= _T_3.ar.bits.burst @[quasar_wrapper.scala 116:21] + core.io.dma_axi.ar.bits.size <= _T_3.ar.bits.size @[quasar_wrapper.scala 116:21] + core.io.dma_axi.ar.bits.len <= _T_3.ar.bits.len @[quasar_wrapper.scala 116:21] + core.io.dma_axi.ar.bits.region <= _T_3.ar.bits.region @[quasar_wrapper.scala 116:21] + core.io.dma_axi.ar.bits.addr <= _T_3.ar.bits.addr @[quasar_wrapper.scala 116:21] + core.io.dma_axi.ar.bits.id <= _T_3.ar.bits.id @[quasar_wrapper.scala 116:21] + core.io.dma_axi.ar.valid <= _T_3.ar.valid @[quasar_wrapper.scala 116:21] + _T_3.ar.ready <= core.io.dma_axi.ar.ready @[quasar_wrapper.scala 116:21] + _T_3.b.bits.id <= core.io.dma_axi.b.bits.id @[quasar_wrapper.scala 116:21] + _T_3.b.bits.resp <= core.io.dma_axi.b.bits.resp @[quasar_wrapper.scala 116:21] + _T_3.b.valid <= core.io.dma_axi.b.valid @[quasar_wrapper.scala 116:21] + core.io.dma_axi.b.ready <= _T_3.b.ready @[quasar_wrapper.scala 116:21] + core.io.dma_axi.w.bits.last <= _T_3.w.bits.last @[quasar_wrapper.scala 116:21] + core.io.dma_axi.w.bits.strb <= _T_3.w.bits.strb @[quasar_wrapper.scala 116:21] + core.io.dma_axi.w.bits.data <= _T_3.w.bits.data @[quasar_wrapper.scala 116:21] + core.io.dma_axi.w.valid <= _T_3.w.valid @[quasar_wrapper.scala 116:21] + _T_3.w.ready <= core.io.dma_axi.w.ready @[quasar_wrapper.scala 116:21] + core.io.dma_axi.aw.bits.qos <= _T_3.aw.bits.qos @[quasar_wrapper.scala 116:21] + core.io.dma_axi.aw.bits.prot <= _T_3.aw.bits.prot @[quasar_wrapper.scala 116:21] + core.io.dma_axi.aw.bits.cache <= _T_3.aw.bits.cache @[quasar_wrapper.scala 116:21] + core.io.dma_axi.aw.bits.lock <= _T_3.aw.bits.lock @[quasar_wrapper.scala 116:21] + core.io.dma_axi.aw.bits.burst <= _T_3.aw.bits.burst @[quasar_wrapper.scala 116:21] + core.io.dma_axi.aw.bits.size <= _T_3.aw.bits.size @[quasar_wrapper.scala 116:21] + core.io.dma_axi.aw.bits.len <= _T_3.aw.bits.len @[quasar_wrapper.scala 116:21] + core.io.dma_axi.aw.bits.region <= _T_3.aw.bits.region @[quasar_wrapper.scala 116:21] + core.io.dma_axi.aw.bits.addr <= _T_3.aw.bits.addr @[quasar_wrapper.scala 116:21] + core.io.dma_axi.aw.bits.id <= _T_3.aw.bits.id @[quasar_wrapper.scala 116:21] + core.io.dma_axi.aw.valid <= _T_3.aw.valid @[quasar_wrapper.scala 116:21] + _T_3.aw.ready <= core.io.dma_axi.aw.ready @[quasar_wrapper.scala 116:21] + core.io.dbg_rst_l <= io.dbg_rst_l @[quasar_wrapper.scala 119:21] + core.io.rst_vec <= io.rst_vec @[quasar_wrapper.scala 120:19] + core.io.nmi_int <= io.nmi_int @[quasar_wrapper.scala 121:19] + core.io.nmi_vec <= io.nmi_vec @[quasar_wrapper.scala 122:19] + core.io.i_cpu_halt_req <= io.i_cpu_halt_req @[quasar_wrapper.scala 125:26] + core.io.i_cpu_run_req <= io.i_cpu_run_req @[quasar_wrapper.scala 126:25] + core.io.core_id <= io.core_id @[quasar_wrapper.scala 127:19] + core.io.mpc_debug_halt_req <= io.mpc_debug_halt_req @[quasar_wrapper.scala 130:30] + core.io.mpc_debug_run_req <= io.mpc_debug_run_req @[quasar_wrapper.scala 131:29] + core.io.mpc_reset_run_req <= io.mpc_reset_run_req @[quasar_wrapper.scala 132:29] + core.io.lsu_bus_clk_en <= io.lsu_bus_clk_en @[quasar_wrapper.scala 134:26] + core.io.ifu_bus_clk_en <= io.ifu_bus_clk_en @[quasar_wrapper.scala 135:26] + core.io.dbg_bus_clk_en <= io.dbg_bus_clk_en @[quasar_wrapper.scala 136:26] + core.io.dma_bus_clk_en <= io.dma_bus_clk_en @[quasar_wrapper.scala 137:26] + core.io.timer_int <= io.timer_int @[quasar_wrapper.scala 139:21] + core.io.soft_int <= io.soft_int @[quasar_wrapper.scala 140:20] + core.io.extintsrc_req <= io.extintsrc_req @[quasar_wrapper.scala 141:25] + io.rv_trace_pkt.rv_i_tval_ip <= core.io.rv_trace_pkt.rv_i_tval_ip @[quasar_wrapper.scala 145:19] + io.rv_trace_pkt.rv_i_interrupt_ip <= core.io.rv_trace_pkt.rv_i_interrupt_ip @[quasar_wrapper.scala 145:19] + io.rv_trace_pkt.rv_i_ecause_ip <= core.io.rv_trace_pkt.rv_i_ecause_ip @[quasar_wrapper.scala 145:19] + io.rv_trace_pkt.rv_i_exception_ip <= core.io.rv_trace_pkt.rv_i_exception_ip @[quasar_wrapper.scala 145:19] + io.rv_trace_pkt.rv_i_address_ip <= core.io.rv_trace_pkt.rv_i_address_ip @[quasar_wrapper.scala 145:19] + io.rv_trace_pkt.rv_i_insn_ip <= core.io.rv_trace_pkt.rv_i_insn_ip @[quasar_wrapper.scala 145:19] + io.rv_trace_pkt.rv_i_valid_ip <= core.io.rv_trace_pkt.rv_i_valid_ip @[quasar_wrapper.scala 145:19] + io.o_cpu_halt_ack <= core.io.o_cpu_halt_ack @[quasar_wrapper.scala 148:21] + io.o_cpu_halt_status <= core.io.o_cpu_halt_status @[quasar_wrapper.scala 149:24] + io.o_cpu_run_ack <= core.io.o_cpu_run_ack @[quasar_wrapper.scala 150:20] + io.o_debug_mode_status <= core.io.o_debug_mode_status @[quasar_wrapper.scala 151:26] + io.mpc_debug_halt_ack <= core.io.mpc_debug_halt_ack @[quasar_wrapper.scala 153:25] + io.mpc_debug_run_ack <= core.io.mpc_debug_run_ack @[quasar_wrapper.scala 154:24] + io.debug_brkpt_status <= core.io.debug_brkpt_status @[quasar_wrapper.scala 155:25] + io.dec_tlu_perfcnt0 <= core.io.dec_tlu_perfcnt0 @[quasar_wrapper.scala 157:23] + io.dec_tlu_perfcnt1 <= core.io.dec_tlu_perfcnt1 @[quasar_wrapper.scala 158:23] + io.dec_tlu_perfcnt2 <= core.io.dec_tlu_perfcnt2 @[quasar_wrapper.scala 159:23] + io.dec_tlu_perfcnt3 <= core.io.dec_tlu_perfcnt3 @[quasar_wrapper.scala 160:23] diff --git a/quasar_wrapper.v b/quasar_wrapper.v index 504fdb1b..038c8c13 100644 --- a/quasar_wrapper.v +++ b/quasar_wrapper.v @@ -57,7 +57,6 @@ module ifu_mem_ctl( output io_ifu_axi_ar_valid, output [2:0] io_ifu_axi_ar_bits_id, output [31:0] io_ifu_axi_ar_bits_addr, - output [3:0] io_ifu_axi_ar_bits_region, output io_ifu_axi_r_ready, input io_ifu_axi_r_valid, input [2:0] io_ifu_axi_r_bits_id, @@ -1929,7 +1928,6 @@ module ifu_mem_ctl( wire _T_318 = ~stream_miss_f; // @[ifu_mem_ctl.scala 226:106] reg ifc_region_acc_fault_f; // @[ifu_mem_ctl.scala 232:68] reg [2:0] bus_rd_addr_count; // @[ifu_mem_ctl.scala 540:55] - wire [28:0] ifu_ic_req_addr_f = {miss_addr,bus_rd_addr_count}; // @[Cat.scala 29:58] wire _T_325 = _T_239 | _T_2268; // @[ifu_mem_ctl.scala 234:55] wire _T_328 = _T_325 & _T_56; // @[ifu_mem_ctl.scala 234:82] wire _T_2289 = ~ifu_bus_rid_ff[0]; // @[ifu_mem_ctl.scala 378:55] @@ -5662,7 +5660,6 @@ module ifu_mem_ctl( assign io_ifu_axi_ar_valid = ifu_bus_cmd_valid; // @[ifu_mem_ctl.scala 497:23] assign io_ifu_axi_ar_bits_id = bus_rd_addr_count & _T_2608; // @[ifu_mem_ctl.scala 498:25] assign io_ifu_axi_ar_bits_addr = _T_2610 & _T_2612; // @[ifu_mem_ctl.scala 499:27] - assign io_ifu_axi_ar_bits_region = ifu_ic_req_addr_f[28:25]; // @[ifu_mem_ctl.scala 502:29] assign io_ifu_axi_r_ready = 1'h1; // @[ifu_mem_ctl.scala 504:22] assign io_iccm_rw_addr = _T_3110 ? io_dma_mem_ctl_dma_mem_addr[15:1] : _T_3117; // @[ifu_mem_ctl.scala 599:19] assign io_iccm_buf_correct_ecc = iccm_correct_ecc & _T_2497; // @[ifu_mem_ctl.scala 395:27] @@ -44533,7 +44530,6 @@ module ifu( output io_ifu_ar_valid, output [2:0] io_ifu_ar_bits_id, output [31:0] io_ifu_ar_bits_addr, - output [3:0] io_ifu_ar_bits_region, input io_ifu_r_valid, input [2:0] io_ifu_r_bits_id, input [63:0] io_ifu_r_bits_data, @@ -44592,7 +44588,6 @@ module ifu( wire mem_ctl_io_ifu_axi_ar_valid; // @[ifu.scala 34:23] wire [2:0] mem_ctl_io_ifu_axi_ar_bits_id; // @[ifu.scala 34:23] wire [31:0] mem_ctl_io_ifu_axi_ar_bits_addr; // @[ifu.scala 34:23] - wire [3:0] mem_ctl_io_ifu_axi_ar_bits_region; // @[ifu.scala 34:23] wire mem_ctl_io_ifu_axi_r_ready; // @[ifu.scala 34:23] wire mem_ctl_io_ifu_axi_r_valid; // @[ifu.scala 34:23] wire [2:0] mem_ctl_io_ifu_axi_r_bits_id; // @[ifu.scala 34:23] @@ -44808,7 +44803,6 @@ module ifu( .io_ifu_axi_ar_valid(mem_ctl_io_ifu_axi_ar_valid), .io_ifu_axi_ar_bits_id(mem_ctl_io_ifu_axi_ar_bits_id), .io_ifu_axi_ar_bits_addr(mem_ctl_io_ifu_axi_ar_bits_addr), - .io_ifu_axi_ar_bits_region(mem_ctl_io_ifu_axi_ar_bits_region), .io_ifu_axi_r_ready(mem_ctl_io_ifu_axi_r_ready), .io_ifu_axi_r_valid(mem_ctl_io_ifu_axi_r_valid), .io_ifu_axi_r_bits_id(mem_ctl_io_ifu_axi_r_bits_id), @@ -45049,7 +45043,6 @@ module ifu( assign io_ifu_ar_valid = mem_ctl_io_ifu_axi_ar_valid; // @[ifu.scala 103:22] assign io_ifu_ar_bits_id = mem_ctl_io_ifu_axi_ar_bits_id; // @[ifu.scala 103:22] assign io_ifu_ar_bits_addr = mem_ctl_io_ifu_axi_ar_bits_addr; // @[ifu.scala 103:22] - assign io_ifu_ar_bits_region = mem_ctl_io_ifu_axi_ar_bits_region; // @[ifu.scala 103:22] assign io_iccm_dma_ecc_error = mem_ctl_io_iccm_dma_ecc_error; // @[ifu.scala 113:25] assign io_iccm_dma_rvalid = mem_ctl_io_iccm_dma_rvalid; // @[ifu.scala 114:22] assign io_iccm_dma_rdata = mem_ctl_io_iccm_dma_rdata; // @[ifu.scala 115:21] @@ -46049,6 +46042,9 @@ module dec_decode_ctl( input [1:0] io_dctl_busbuff_lsu_nonblock_load_data_tag, input [31:0] io_dctl_busbuff_lsu_nonblock_load_data, input io_dctl_dma_dma_dccm_stall_any, + output io_dec_aln_dec_i0_decode_d, + input [15:0] io_dec_aln_ifu_i0_cinst, + input [31:0] io_dbg_dctl_dbg_cmd_wrdata, input io_dec_tlu_flush_extint, input io_dec_tlu_force_halt, output [31:0] io_dec_i0_inst_wb1, @@ -46145,10 +46141,7 @@ module dec_decode_ctl( output io_dec_pause_state, output io_dec_pause_state_cg, output io_dec_div_active, - input io_scan_mode, - output io_dec_aln_dec_i0_decode_d, - input [15:0] io_dec_aln_ifu_i0_cinst, - input [1:0] io_dbg_dctl_dbg_cmd_wrdata + input io_scan_mode ); `ifdef RANDOMIZE_REG_INIT reg [31:0] _RAND_0; @@ -46247,57 +46240,57 @@ module dec_decode_ctl( wire rvclkhdr_io_clk; // @[lib.scala 343:22] wire rvclkhdr_io_en; // @[lib.scala 343:22] wire rvclkhdr_io_scan_mode; // @[lib.scala 343:22] - wire [31:0] i0_dec_io_ins; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_alu; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_rs1; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_rs2; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_imm12; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_rd; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_shimm5; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_imm20; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_pc; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_load; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_store; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_lsu; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_add; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_sub; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_land; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_lor; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_lxor; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_sll; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_sra; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_srl; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_slt; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_unsign; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_condbr; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_beq; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_bne; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_bge; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_blt; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_jal; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_by; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_half; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_word; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_csr_read; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_csr_clr; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_csr_set; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_csr_write; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_csr_imm; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_presync; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_postsync; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_ebreak; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_ecall; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_mret; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_mul; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_rs1_sign; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_rs2_sign; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_low; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_div; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_rem; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_fence; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_fence_i; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_pm_alu; // @[dec_decode_ctl.scala 362:22] - wire i0_dec_io_out_legal; // @[dec_decode_ctl.scala 362:22] + wire [31:0] i0_dec_io_ins; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_alu; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_rs1; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_rs2; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_imm12; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_rd; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_shimm5; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_imm20; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_pc; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_load; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_store; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_lsu; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_add; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_sub; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_land; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_lor; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_lxor; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_sll; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_sra; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_srl; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_slt; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_unsign; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_condbr; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_beq; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_bne; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_bge; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_blt; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_jal; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_by; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_half; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_word; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_csr_read; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_csr_clr; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_csr_set; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_csr_write; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_csr_imm; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_presync; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_postsync; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_ebreak; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_ecall; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_mret; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_mul; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_rs1_sign; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_rs2_sign; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_low; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_div; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_rem; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_fence; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_fence_i; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_pm_alu; // @[dec_decode_ctl.scala 356:22] + wire i0_dec_io_out_legal; // @[dec_decode_ctl.scala 356:22] wire rvclkhdr_1_io_l1clk; // @[lib.scala 368:23] wire rvclkhdr_1_io_clk; // @[lib.scala 368:23] wire rvclkhdr_1_io_en; // @[lib.scala 368:23] @@ -46374,249 +46367,249 @@ module dec_decode_ctl( wire rvclkhdr_19_io_clk; // @[lib.scala 368:23] wire rvclkhdr_19_io_en; // @[lib.scala 368:23] wire rvclkhdr_19_io_scan_mode; // @[lib.scala 368:23] - reg tlu_wr_pause_r1; // @[dec_decode_ctl.scala 469:55] - wire _T_1 = io_dec_tlu_wr_pause_r ^ tlu_wr_pause_r1; // @[dec_decode_ctl.scala 181:51] - reg tlu_wr_pause_r2; // @[dec_decode_ctl.scala 470:55] - wire _T_2 = tlu_wr_pause_r1 ^ tlu_wr_pause_r2; // @[dec_decode_ctl.scala 182:32] - wire _T_3 = _T_1 | _T_2; // @[dec_decode_ctl.scala 181:73] - wire _T_4 = io_dec_tlu_flush_extint ^ io_decode_exu_dec_extint_stall; // @[dec_decode_ctl.scala 183:32] - wire _T_5 = _T_3 | _T_4; // @[dec_decode_ctl.scala 182:56] - reg leak1_i1_stall; // @[dec_decode_ctl.scala 370:56] - wire _T_280 = ~io_dec_tlu_flush_lower_r; // @[dec_decode_ctl.scala 369:73] - wire _T_281 = leak1_i1_stall & _T_280; // @[dec_decode_ctl.scala 369:71] - wire leak1_i1_stall_in = io_dec_tlu_flush_leak_one_r | _T_281; // @[dec_decode_ctl.scala 369:53] - wire _T_6 = leak1_i1_stall_in ^ leak1_i1_stall; // @[dec_decode_ctl.scala 184:32] - wire _T_7 = _T_5 | _T_6; // @[dec_decode_ctl.scala 183:67] - wire _T_284 = io_dec_aln_dec_i0_decode_d & leak1_i1_stall; // @[dec_decode_ctl.scala 372:53] - reg leak1_i0_stall; // @[dec_decode_ctl.scala 373:56] - wire _T_286 = leak1_i0_stall & _T_280; // @[dec_decode_ctl.scala 372:89] - wire leak1_i0_stall_in = _T_284 | _T_286; // @[dec_decode_ctl.scala 372:71] - wire _T_8 = leak1_i0_stall_in ^ leak1_i0_stall; // @[dec_decode_ctl.scala 185:32] - wire _T_9 = _T_7 | _T_8; // @[dec_decode_ctl.scala 184:56] - reg pause_stall; // @[dec_decode_ctl.scala 467:50] - wire _T_415 = io_dec_tlu_wr_pause_r | pause_stall; // @[dec_decode_ctl.scala 466:44] - wire _T_408 = ~io_dec_tlu_flush_pause_r; // @[dec_decode_ctl.scala 465:49] - wire _T_409 = io_dec_tlu_flush_lower_r & _T_408; // @[dec_decode_ctl.scala 465:47] + reg tlu_wr_pause_r1; // @[dec_decode_ctl.scala 463:55] + wire _T_1 = io_dec_tlu_wr_pause_r ^ tlu_wr_pause_r1; // @[dec_decode_ctl.scala 178:54] + reg tlu_wr_pause_r2; // @[dec_decode_ctl.scala 464:55] + wire _T_2 = tlu_wr_pause_r1 ^ tlu_wr_pause_r2; // @[dec_decode_ctl.scala 179:54] + wire _T_3 = _T_1 | _T_2; // @[dec_decode_ctl.scala 178:89] + wire _T_4 = io_dec_tlu_flush_extint ^ io_decode_exu_dec_extint_stall; // @[dec_decode_ctl.scala 180:54] + wire _T_5 = _T_3 | _T_4; // @[dec_decode_ctl.scala 179:89] + reg leak1_i1_stall; // @[dec_decode_ctl.scala 364:56] + wire _T_280 = ~io_dec_tlu_flush_lower_r; // @[dec_decode_ctl.scala 363:73] + wire _T_281 = leak1_i1_stall & _T_280; // @[dec_decode_ctl.scala 363:71] + wire leak1_i1_stall_in = io_dec_tlu_flush_leak_one_r | _T_281; // @[dec_decode_ctl.scala 363:53] + wire _T_6 = leak1_i1_stall_in ^ leak1_i1_stall; // @[dec_decode_ctl.scala 181:54] + wire _T_7 = _T_5 | _T_6; // @[dec_decode_ctl.scala 180:89] + wire _T_284 = io_dec_aln_dec_i0_decode_d & leak1_i1_stall; // @[dec_decode_ctl.scala 366:53] + reg leak1_i0_stall; // @[dec_decode_ctl.scala 367:56] + wire _T_286 = leak1_i0_stall & _T_280; // @[dec_decode_ctl.scala 366:89] + wire leak1_i0_stall_in = _T_284 | _T_286; // @[dec_decode_ctl.scala 366:71] + wire _T_8 = leak1_i0_stall_in ^ leak1_i0_stall; // @[dec_decode_ctl.scala 182:54] + wire _T_9 = _T_7 | _T_8; // @[dec_decode_ctl.scala 181:89] + reg pause_stall; // @[dec_decode_ctl.scala 461:50] + wire _T_415 = io_dec_tlu_wr_pause_r | pause_stall; // @[dec_decode_ctl.scala 460:44] + wire _T_408 = ~io_dec_tlu_flush_pause_r; // @[dec_decode_ctl.scala 459:49] + wire _T_409 = io_dec_tlu_flush_lower_r & _T_408; // @[dec_decode_ctl.scala 459:47] reg [31:0] write_csr_data; // @[lib.scala 374:16] wire [31:0] _T_412 = {31'h0,write_csr_data[0]}; // @[Cat.scala 29:58] - wire _T_413 = write_csr_data == _T_412; // @[dec_decode_ctl.scala 465:109] - wire _T_414 = pause_stall & _T_413; // @[dec_decode_ctl.scala 465:91] - wire clear_pause = _T_409 | _T_414; // @[dec_decode_ctl.scala 465:76] - wire _T_416 = ~clear_pause; // @[dec_decode_ctl.scala 466:61] - wire pause_state_in = _T_415 & _T_416; // @[dec_decode_ctl.scala 466:59] - wire _T_10 = pause_state_in ^ pause_stall; // @[dec_decode_ctl.scala 186:32] - wire _T_11 = _T_9 | _T_10; // @[dec_decode_ctl.scala 185:56] - wire _T_18 = ~leak1_i1_stall; // @[dec_decode_ctl.scala 196:62] - wire i0_brp_valid = io_dec_i0_brp_valid & _T_18; // @[dec_decode_ctl.scala 196:60] - wire i0_dp_raw_condbr = i0_dec_io_out_condbr; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_raw_jal = i0_dec_io_out_jal; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] + wire _T_413 = write_csr_data == _T_412; // @[dec_decode_ctl.scala 459:109] + wire _T_414 = pause_stall & _T_413; // @[dec_decode_ctl.scala 459:91] + wire clear_pause = _T_409 | _T_414; // @[dec_decode_ctl.scala 459:76] + wire _T_416 = ~clear_pause; // @[dec_decode_ctl.scala 460:61] + wire pause_state_in = _T_415 & _T_416; // @[dec_decode_ctl.scala 460:59] + wire _T_10 = pause_state_in ^ pause_stall; // @[dec_decode_ctl.scala 183:54] + wire _T_11 = _T_9 | _T_10; // @[dec_decode_ctl.scala 182:89] + wire _T_18 = ~leak1_i1_stall; // @[dec_decode_ctl.scala 192:80] + wire i0_brp_valid = io_dec_i0_brp_valid & _T_18; // @[dec_decode_ctl.scala 192:78] + wire i0_dp_raw_condbr = i0_dec_io_out_condbr; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_jal = i0_dec_io_out_jal; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] wire [19:0] i0_pcall_imm = {io_dec_i0_instr_d[31],io_dec_i0_instr_d[19:12],io_dec_i0_instr_d[20],io_dec_i0_instr_d[30:21]}; // @[Cat.scala 29:58] - wire _T_298 = i0_pcall_imm[19:12] == 8'hff; // @[dec_decode_ctl.scala 378:79] - wire _T_300 = i0_pcall_imm[19:12] == 8'h0; // @[dec_decode_ctl.scala 378:112] - wire i0_pcall_12b_offset = i0_pcall_imm[11] ? _T_298 : _T_300; // @[dec_decode_ctl.scala 378:33] - wire i0_dp_raw_imm20 = i0_dec_io_out_imm20; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire _T_301 = i0_pcall_12b_offset & i0_dp_raw_imm20; // @[dec_decode_ctl.scala 379:47] - wire [4:0] i0r_rd = io_dec_i0_instr_d[11:7]; // @[dec_decode_ctl.scala 592:16] - wire _T_302 = i0r_rd == 5'h1; // @[dec_decode_ctl.scala 379:76] - wire _T_303 = i0r_rd == 5'h5; // @[dec_decode_ctl.scala 379:98] - wire _T_304 = _T_302 | _T_303; // @[dec_decode_ctl.scala 379:89] - wire i0_pcall_case = _T_301 & _T_304; // @[dec_decode_ctl.scala 379:65] - wire i0_pcall_raw = i0_dp_raw_jal & i0_pcall_case; // @[dec_decode_ctl.scala 381:38] - wire _T_20 = i0_dp_raw_condbr | i0_pcall_raw; // @[dec_decode_ctl.scala 207:75] - wire _T_309 = ~_T_304; // @[dec_decode_ctl.scala 380:67] - wire i0_pja_case = _T_301 & _T_309; // @[dec_decode_ctl.scala 380:65] - wire i0_pja_raw = i0_dp_raw_jal & i0_pja_case; // @[dec_decode_ctl.scala 383:38] - wire _T_21 = _T_20 | i0_pja_raw; // @[dec_decode_ctl.scala 207:90] - wire i0_dp_raw_imm12 = i0_dec_io_out_imm12; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire _T_325 = i0_dp_raw_jal & i0_dp_raw_imm12; // @[dec_decode_ctl.scala 387:37] - wire _T_326 = i0r_rd == 5'h0; // @[dec_decode_ctl.scala 387:65] - wire _T_327 = _T_325 & _T_326; // @[dec_decode_ctl.scala 387:55] - wire [4:0] i0r_rs1 = io_dec_i0_instr_d[19:15]; // @[dec_decode_ctl.scala 590:16] - wire _T_328 = i0r_rs1 == 5'h1; // @[dec_decode_ctl.scala 387:89] - wire _T_329 = i0r_rs1 == 5'h5; // @[dec_decode_ctl.scala 387:111] - wire _T_330 = _T_328 | _T_329; // @[dec_decode_ctl.scala 387:101] - wire i0_pret_case = _T_327 & _T_330; // @[dec_decode_ctl.scala 387:79] - wire i0_pret_raw = i0_dp_raw_jal & i0_pret_case; // @[dec_decode_ctl.scala 388:32] - wire _T_22 = _T_21 | i0_pret_raw; // @[dec_decode_ctl.scala 207:103] - wire _T_23 = ~_T_22; // @[dec_decode_ctl.scala 207:56] - wire i0_notbr_error = i0_brp_valid & _T_23; // @[dec_decode_ctl.scala 207:54] - wire _T_31 = io_dec_i0_brp_bits_br_error | i0_notbr_error; // @[dec_decode_ctl.scala 212:62] - wire _T_25 = i0_brp_valid & io_dec_i0_brp_bits_hist[1]; // @[dec_decode_ctl.scala 210:47] - wire _T_314 = i0_pcall_raw | i0_pja_raw; // @[dec_decode_ctl.scala 385:41] + wire _T_298 = i0_pcall_imm[19:12] == 8'hff; // @[dec_decode_ctl.scala 372:79] + wire _T_300 = i0_pcall_imm[19:12] == 8'h0; // @[dec_decode_ctl.scala 372:112] + wire i0_pcall_12b_offset = i0_pcall_imm[11] ? _T_298 : _T_300; // @[dec_decode_ctl.scala 372:33] + wire i0_dp_raw_imm20 = i0_dec_io_out_imm20; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire _T_301 = i0_pcall_12b_offset & i0_dp_raw_imm20; // @[dec_decode_ctl.scala 373:47] + wire [4:0] i0r_rd = io_dec_i0_instr_d[11:7]; // @[dec_decode_ctl.scala 586:16] + wire _T_302 = i0r_rd == 5'h1; // @[dec_decode_ctl.scala 373:76] + wire _T_303 = i0r_rd == 5'h5; // @[dec_decode_ctl.scala 373:98] + wire _T_304 = _T_302 | _T_303; // @[dec_decode_ctl.scala 373:89] + wire i0_pcall_case = _T_301 & _T_304; // @[dec_decode_ctl.scala 373:65] + wire i0_pcall_raw = i0_dp_raw_jal & i0_pcall_case; // @[dec_decode_ctl.scala 375:38] + wire _T_20 = i0_dp_raw_condbr | i0_pcall_raw; // @[dec_decode_ctl.scala 203:92] + wire _T_309 = ~_T_304; // @[dec_decode_ctl.scala 374:67] + wire i0_pja_case = _T_301 & _T_309; // @[dec_decode_ctl.scala 374:65] + wire i0_pja_raw = i0_dp_raw_jal & i0_pja_case; // @[dec_decode_ctl.scala 377:38] + wire _T_21 = _T_20 | i0_pja_raw; // @[dec_decode_ctl.scala 203:107] + wire i0_dp_raw_imm12 = i0_dec_io_out_imm12; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire _T_325 = i0_dp_raw_jal & i0_dp_raw_imm12; // @[dec_decode_ctl.scala 381:37] + wire _T_326 = i0r_rd == 5'h0; // @[dec_decode_ctl.scala 381:65] + wire _T_327 = _T_325 & _T_326; // @[dec_decode_ctl.scala 381:55] + wire [4:0] i0r_rs1 = io_dec_i0_instr_d[19:15]; // @[dec_decode_ctl.scala 584:16] + wire _T_328 = i0r_rs1 == 5'h1; // @[dec_decode_ctl.scala 381:89] + wire _T_329 = i0r_rs1 == 5'h5; // @[dec_decode_ctl.scala 381:111] + wire _T_330 = _T_328 | _T_329; // @[dec_decode_ctl.scala 381:101] + wire i0_pret_case = _T_327 & _T_330; // @[dec_decode_ctl.scala 381:79] + wire i0_pret_raw = i0_dp_raw_jal & i0_pret_case; // @[dec_decode_ctl.scala 382:32] + wire _T_22 = _T_21 | i0_pret_raw; // @[dec_decode_ctl.scala 203:120] + wire _T_23 = ~_T_22; // @[dec_decode_ctl.scala 203:73] + wire i0_notbr_error = i0_brp_valid & _T_23; // @[dec_decode_ctl.scala 203:71] + wire _T_31 = io_dec_i0_brp_bits_br_error | i0_notbr_error; // @[dec_decode_ctl.scala 208:87] + wire _T_25 = i0_brp_valid & io_dec_i0_brp_bits_hist[1]; // @[dec_decode_ctl.scala 206:72] + wire _T_314 = i0_pcall_raw | i0_pja_raw; // @[dec_decode_ctl.scala 379:41] wire [11:0] _T_323 = {io_dec_i0_instr_d[31],io_dec_i0_instr_d[7],io_dec_i0_instr_d[30:25],io_dec_i0_instr_d[11:8]}; // @[Cat.scala 29:58] - wire [11:0] i0_br_offset = _T_314 ? i0_pcall_imm[11:0] : _T_323; // @[dec_decode_ctl.scala 385:26] - wire _T_26 = io_dec_i0_brp_bits_toffset != i0_br_offset; // @[dec_decode_ctl.scala 210:106] - wire _T_27 = _T_25 & _T_26; // @[dec_decode_ctl.scala 210:76] - wire _T_28 = ~i0_pret_raw; // @[dec_decode_ctl.scala 210:126] - wire i0_br_toffset_error = _T_27 & _T_28; // @[dec_decode_ctl.scala 210:124] - wire _T_32 = _T_31 | i0_br_toffset_error; // @[dec_decode_ctl.scala 212:79] - wire _T_29 = i0_brp_valid & io_dec_i0_brp_bits_ret; // @[dec_decode_ctl.scala 211:47] - wire i0_ret_error = _T_29 & _T_28; // @[dec_decode_ctl.scala 211:72] - wire i0_br_error = _T_32 | i0_ret_error; // @[dec_decode_ctl.scala 212:101] - wire _T_39 = i0_br_error | io_dec_i0_brp_bits_br_start_error; // @[dec_decode_ctl.scala 217:47] - wire i0_br_error_all = _T_39 & _T_18; // @[dec_decode_ctl.scala 217:84] - wire i0_icaf_d = io_dec_i0_icaf_d | io_dec_i0_dbecc_d; // @[dec_decode_ctl.scala 226:36] - wire _T_41 = i0_br_error_all | i0_icaf_d; // @[dec_decode_ctl.scala 230:25] - wire i0_dp_raw_postsync = i0_dec_io_out_postsync; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_postsync = _T_41 | i0_dp_raw_postsync; // @[dec_decode_ctl.scala 230:50] - wire _T_442 = i0_dp_postsync | io_dec_tlu_postsync_d; // @[dec_decode_ctl.scala 496:36] - wire debug_fence_i = io_dec_debug_fence_d & io_dbg_dctl_dbg_cmd_wrdata[0]; // @[dec_decode_ctl.scala 488:48] - wire _T_443 = _T_442 | debug_fence_i; // @[dec_decode_ctl.scala 496:60] - wire i0_dp_raw_csr_write = i0_dec_io_out_csr_write; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_csr_write = _T_41 ? 1'h0 : i0_dp_raw_csr_write; // @[dec_decode_ctl.scala 230:50] - wire _T_343 = ~io_dec_debug_fence_d; // @[dec_decode_ctl.scala 427:42] - wire i0_csr_write = i0_dp_csr_write & _T_343; // @[dec_decode_ctl.scala 427:40] - wire i0_dp_raw_csr_read = i0_dec_io_out_csr_read; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_csr_read = _T_41 ? 1'h0 : i0_dp_raw_csr_read; // @[dec_decode_ctl.scala 230:50] - wire _T_347 = ~i0_dp_csr_read; // @[dec_decode_ctl.scala 432:41] - wire i0_csr_write_only_d = i0_csr_write & _T_347; // @[dec_decode_ctl.scala 432:39] - wire _T_445 = io_dec_i0_instr_d[31:20] == 12'h7c2; // @[dec_decode_ctl.scala 496:112] - wire _T_446 = i0_csr_write_only_d & _T_445; // @[dec_decode_ctl.scala 496:99] - wire i0_postsync = _T_443 | _T_446; // @[dec_decode_ctl.scala 496:76] - wire i0_dp_raw_legal = i0_dec_io_out_legal; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_legal = _T_41 | i0_dp_raw_legal; // @[dec_decode_ctl.scala 230:50] - wire any_csr_d = i0_dp_csr_read | i0_csr_write; // @[dec_decode_ctl.scala 498:34] - wire _T_447 = ~any_csr_d; // @[dec_decode_ctl.scala 500:40] - wire _T_448 = _T_447 | io_dec_csr_legal_d; // @[dec_decode_ctl.scala 500:51] - wire i0_legal = i0_dp_legal & _T_448; // @[dec_decode_ctl.scala 500:37] - wire _T_507 = ~i0_legal; // @[dec_decode_ctl.scala 540:64] - wire _T_508 = i0_postsync | _T_507; // @[dec_decode_ctl.scala 540:62] - wire _T_509 = io_dec_aln_dec_i0_decode_d & _T_508; // @[dec_decode_ctl.scala 540:47] - reg postsync_stall; // @[dec_decode_ctl.scala 538:53] + wire [11:0] i0_br_offset = _T_314 ? i0_pcall_imm[11:0] : _T_323; // @[dec_decode_ctl.scala 379:26] + wire _T_26 = io_dec_i0_brp_bits_toffset != i0_br_offset; // @[dec_decode_ctl.scala 206:131] + wire _T_27 = _T_25 & _T_26; // @[dec_decode_ctl.scala 206:101] + wire _T_28 = ~i0_pret_raw; // @[dec_decode_ctl.scala 206:151] + wire i0_br_toffset_error = _T_27 & _T_28; // @[dec_decode_ctl.scala 206:149] + wire _T_32 = _T_31 | i0_br_toffset_error; // @[dec_decode_ctl.scala 208:104] + wire _T_29 = i0_brp_valid & io_dec_i0_brp_bits_ret; // @[dec_decode_ctl.scala 207:72] + wire i0_ret_error = _T_29 & _T_28; // @[dec_decode_ctl.scala 207:97] + wire i0_br_error = _T_32 | i0_ret_error; // @[dec_decode_ctl.scala 208:126] + wire _T_39 = i0_br_error | io_dec_i0_brp_bits_br_start_error; // @[dec_decode_ctl.scala 213:72] + wire i0_br_error_all = _T_39 & _T_18; // @[dec_decode_ctl.scala 213:109] + wire i0_icaf_d = io_dec_i0_icaf_d | io_dec_i0_dbecc_d; // @[dec_decode_ctl.scala 222:43] + wire _T_41 = i0_br_error_all | i0_icaf_d; // @[dec_decode_ctl.scala 225:25] + wire i0_dp_raw_postsync = i0_dec_io_out_postsync; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_postsync = _T_41 | i0_dp_raw_postsync; // @[dec_decode_ctl.scala 225:50] + wire _T_442 = i0_dp_postsync | io_dec_tlu_postsync_d; // @[dec_decode_ctl.scala 490:36] + wire debug_fence_i = io_dec_debug_fence_d & io_dbg_dctl_dbg_cmd_wrdata[0]; // @[dec_decode_ctl.scala 482:48] + wire _T_443 = _T_442 | debug_fence_i; // @[dec_decode_ctl.scala 490:60] + wire i0_dp_raw_csr_write = i0_dec_io_out_csr_write; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_csr_write = _T_41 ? 1'h0 : i0_dp_raw_csr_write; // @[dec_decode_ctl.scala 225:50] + wire _T_343 = ~io_dec_debug_fence_d; // @[dec_decode_ctl.scala 421:42] + wire i0_csr_write = i0_dp_csr_write & _T_343; // @[dec_decode_ctl.scala 421:40] + wire i0_dp_raw_csr_read = i0_dec_io_out_csr_read; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_csr_read = _T_41 ? 1'h0 : i0_dp_raw_csr_read; // @[dec_decode_ctl.scala 225:50] + wire _T_347 = ~i0_dp_csr_read; // @[dec_decode_ctl.scala 426:41] + wire i0_csr_write_only_d = i0_csr_write & _T_347; // @[dec_decode_ctl.scala 426:39] + wire _T_445 = io_dec_i0_instr_d[31:20] == 12'h7c2; // @[dec_decode_ctl.scala 490:112] + wire _T_446 = i0_csr_write_only_d & _T_445; // @[dec_decode_ctl.scala 490:99] + wire i0_postsync = _T_443 | _T_446; // @[dec_decode_ctl.scala 490:76] + wire i0_dp_raw_legal = i0_dec_io_out_legal; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_legal = _T_41 | i0_dp_raw_legal; // @[dec_decode_ctl.scala 225:50] + wire any_csr_d = i0_dp_csr_read | i0_csr_write; // @[dec_decode_ctl.scala 492:34] + wire _T_447 = ~any_csr_d; // @[dec_decode_ctl.scala 494:40] + wire _T_448 = _T_447 | io_dec_csr_legal_d; // @[dec_decode_ctl.scala 494:51] + wire i0_legal = i0_dp_legal & _T_448; // @[dec_decode_ctl.scala 494:37] + wire _T_507 = ~i0_legal; // @[dec_decode_ctl.scala 534:64] + wire _T_508 = i0_postsync | _T_507; // @[dec_decode_ctl.scala 534:62] + wire _T_509 = io_dec_aln_dec_i0_decode_d & _T_508; // @[dec_decode_ctl.scala 534:47] + reg postsync_stall; // @[dec_decode_ctl.scala 532:53] reg x_d_valid; // @[lib.scala 384:16] - wire _T_510 = postsync_stall & x_d_valid; // @[dec_decode_ctl.scala 540:96] - wire ps_stall_in = _T_509 | _T_510; // @[dec_decode_ctl.scala 540:77] - wire _T_12 = ps_stall_in ^ postsync_stall; // @[dec_decode_ctl.scala 187:32] - wire _T_13 = _T_11 | _T_12; // @[dec_decode_ctl.scala 186:56] - reg flush_final_r; // @[dec_decode_ctl.scala 586:52] - wire _T_14 = io_exu_flush_final ^ flush_final_r; // @[dec_decode_ctl.scala 188:32] - wire _T_15 = _T_13 | _T_14; // @[dec_decode_ctl.scala 187:56] - wire shift_illegal = io_dec_aln_dec_i0_decode_d & _T_507; // @[dec_decode_ctl.scala 504:55] - reg illegal_lockout; // @[dec_decode_ctl.scala 508:54] - wire _T_469 = shift_illegal | illegal_lockout; // @[dec_decode_ctl.scala 507:40] - wire _T_470 = ~flush_final_r; // @[dec_decode_ctl.scala 507:61] - wire illegal_lockout_in = _T_469 & _T_470; // @[dec_decode_ctl.scala 507:59] - wire _T_16 = illegal_lockout_in ^ illegal_lockout; // @[dec_decode_ctl.scala 189:32] - wire i0_legal_decode_d = io_dec_aln_dec_i0_decode_d & i0_legal; // @[dec_decode_ctl.scala 614:54] - wire _T_33 = i0_br_error & i0_legal_decode_d; // @[dec_decode_ctl.scala 213:83] - wire _T_36 = io_dec_i0_brp_bits_br_start_error & i0_legal_decode_d; // @[dec_decode_ctl.scala 214:105] - wire i0_dp_raw_pm_alu = i0_dec_io_out_pm_alu; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_pm_alu = _T_41 ? 1'h0 : i0_dp_raw_pm_alu; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_fence_i = i0_dec_io_out_fence_i; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_fence_i = _T_41 ? 1'h0 : i0_dp_raw_fence_i; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_fence = i0_dec_io_out_fence; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_fence = _T_41 ? 1'h0 : i0_dp_raw_fence; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_rem = i0_dec_io_out_rem; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_raw_div = i0_dec_io_out_div; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_div = _T_41 ? 1'h0 : i0_dp_raw_div; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_low = i0_dec_io_out_low; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_raw_rs2_sign = i0_dec_io_out_rs2_sign; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_raw_rs1_sign = i0_dec_io_out_rs1_sign; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_raw_mul = i0_dec_io_out_mul; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_mul = _T_41 ? 1'h0 : i0_dp_raw_mul; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_mret = i0_dec_io_out_mret; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_mret = _T_41 ? 1'h0 : i0_dp_raw_mret; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_ecall = i0_dec_io_out_ecall; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_ecall = _T_41 ? 1'h0 : i0_dp_raw_ecall; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_ebreak = i0_dec_io_out_ebreak; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_ebreak = _T_41 ? 1'h0 : i0_dp_raw_ebreak; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_presync = i0_dec_io_out_presync; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_presync = _T_41 ? 1'h0 : i0_dp_raw_presync; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_csr_imm = i0_dec_io_out_csr_imm; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_csr_imm = _T_41 ? 1'h0 : i0_dp_raw_csr_imm; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_csr_set = i0_dec_io_out_csr_set; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_csr_set = _T_41 ? 1'h0 : i0_dp_raw_csr_set; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_csr_clr = i0_dec_io_out_csr_clr; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_csr_clr = _T_41 ? 1'h0 : i0_dp_raw_csr_clr; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_word = i0_dec_io_out_word; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_word = _T_41 ? 1'h0 : i0_dp_raw_word; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_half = i0_dec_io_out_half; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_half = _T_41 ? 1'h0 : i0_dp_raw_half; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_by = i0_dec_io_out_by; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_by = _T_41 ? 1'h0 : i0_dp_raw_by; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_jal = _T_41 ? 1'h0 : i0_dp_raw_jal; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_blt = i0_dec_io_out_blt; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_raw_bge = i0_dec_io_out_bge; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_raw_bne = i0_dec_io_out_bne; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_raw_beq = i0_dec_io_out_beq; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_condbr = _T_41 ? 1'h0 : i0_dp_raw_condbr; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_unsign = i0_dec_io_out_unsign; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_unsign = _T_41 ? 1'h0 : i0_dp_raw_unsign; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_slt = i0_dec_io_out_slt; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_raw_srl = i0_dec_io_out_srl; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_raw_sra = i0_dec_io_out_sra; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_raw_sll = i0_dec_io_out_sll; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_raw_lxor = i0_dec_io_out_lxor; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_raw_lor = i0_dec_io_out_lor; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_raw_land = i0_dec_io_out_land; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_raw_sub = i0_dec_io_out_sub; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_raw_add = i0_dec_io_out_add; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_raw_lsu = i0_dec_io_out_lsu; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_lsu = _T_41 ? 1'h0 : i0_dp_raw_lsu; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_store = i0_dec_io_out_store; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_store = _T_41 ? 1'h0 : i0_dp_raw_store; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_load = i0_dec_io_out_load; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_load = _T_41 ? 1'h0 : i0_dp_raw_load; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_pc = i0_dec_io_out_pc; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_imm20 = _T_41 ? 1'h0 : i0_dp_raw_imm20; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_shimm5 = i0_dec_io_out_shimm5; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_shimm5 = _T_41 ? 1'h0 : i0_dp_raw_shimm5; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_rd = i0_dec_io_out_rd; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_rd = _T_41 ? 1'h0 : i0_dp_raw_rd; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_imm12 = _T_41 ? 1'h0 : i0_dp_raw_imm12; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_rs2 = i0_dec_io_out_rs2; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_rs2 = _T_41 | i0_dp_raw_rs2; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_rs1 = i0_dec_io_out_rs1; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_rs1 = _T_41 | i0_dp_raw_rs1; // @[dec_decode_ctl.scala 230:50] - wire i0_dp_raw_alu = i0_dec_io_out_alu; // @[dec_decode_ctl.scala 127:22 dec_decode_ctl.scala 364:12] - wire i0_dp_alu = _T_41 | i0_dp_raw_alu; // @[dec_decode_ctl.scala 230:50] - wire i0_pcall = i0_dp_jal & i0_pcall_case; // @[dec_decode_ctl.scala 382:38] - wire _T_44 = i0_dp_condbr | i0_pcall; // @[dec_decode_ctl.scala 244:40] - wire i0_pja = i0_dp_jal & i0_pja_case; // @[dec_decode_ctl.scala 384:38] - wire _T_45 = _T_44 | i0_pja; // @[dec_decode_ctl.scala 244:51] - wire i0_pret = i0_dp_jal & i0_pret_case; // @[dec_decode_ctl.scala 389:32] - wire i0_predict_br = _T_45 | i0_pret; // @[dec_decode_ctl.scala 244:60] - wire _T_47 = io_dec_i0_brp_bits_hist[1] & i0_brp_valid; // @[dec_decode_ctl.scala 246:55] - wire _T_48 = ~_T_47; // @[dec_decode_ctl.scala 246:26] - wire i0_ap_pc2 = ~io_dec_i0_pc4_d; // @[dec_decode_ctl.scala 248:20] - wire cam_data_reset = io_dctl_busbuff_lsu_nonblock_load_data_valid | io_dctl_busbuff_lsu_nonblock_load_data_error; // @[dec_decode_ctl.scala 281:76] - reg [2:0] cam_raw_0_bits_tag; // @[dec_decode_ctl.scala 317:47] - wire [2:0] _GEN_123 = {{1'd0}, io_dctl_busbuff_lsu_nonblock_load_data_tag}; // @[dec_decode_ctl.scala 292:67] - wire _T_94 = _GEN_123 == cam_raw_0_bits_tag; // @[dec_decode_ctl.scala 292:67] - wire _T_95 = cam_data_reset & _T_94; // @[dec_decode_ctl.scala 292:45] - reg cam_raw_0_valid; // @[dec_decode_ctl.scala 317:47] - wire cam_data_reset_val_0 = _T_95 & cam_raw_0_valid; // @[dec_decode_ctl.scala 292:88] - wire cam_0_valid = cam_data_reset_val_0 ? 1'h0 : cam_raw_0_valid; // @[dec_decode_ctl.scala 296:39] - wire _T_51 = ~cam_0_valid; // @[dec_decode_ctl.scala 273:78] - reg [2:0] cam_raw_1_bits_tag; // @[dec_decode_ctl.scala 317:47] - wire _T_120 = _GEN_123 == cam_raw_1_bits_tag; // @[dec_decode_ctl.scala 292:67] - wire _T_121 = cam_data_reset & _T_120; // @[dec_decode_ctl.scala 292:45] - reg cam_raw_1_valid; // @[dec_decode_ctl.scala 317:47] - wire cam_data_reset_val_1 = _T_121 & cam_raw_1_valid; // @[dec_decode_ctl.scala 292:88] - wire cam_1_valid = cam_data_reset_val_1 ? 1'h0 : cam_raw_1_valid; // @[dec_decode_ctl.scala 296:39] - wire _T_54 = ~cam_1_valid; // @[dec_decode_ctl.scala 273:78] - wire _T_57 = cam_0_valid & _T_54; // @[dec_decode_ctl.scala 273:126] - wire [1:0] _T_59 = {io_dctl_busbuff_lsu_nonblock_load_valid_m, 1'h0}; // @[dec_decode_ctl.scala 273:158] - reg [2:0] cam_raw_2_bits_tag; // @[dec_decode_ctl.scala 317:47] - wire _T_146 = _GEN_123 == cam_raw_2_bits_tag; // @[dec_decode_ctl.scala 292:67] - wire _T_147 = cam_data_reset & _T_146; // @[dec_decode_ctl.scala 292:45] - reg cam_raw_2_valid; // @[dec_decode_ctl.scala 317:47] - wire cam_data_reset_val_2 = _T_147 & cam_raw_2_valid; // @[dec_decode_ctl.scala 292:88] - wire cam_2_valid = cam_data_reset_val_2 ? 1'h0 : cam_raw_2_valid; // @[dec_decode_ctl.scala 296:39] - wire _T_60 = ~cam_2_valid; // @[dec_decode_ctl.scala 273:78] - wire _T_63 = cam_0_valid & cam_1_valid; // @[dec_decode_ctl.scala 273:126] - wire _T_66 = _T_63 & _T_60; // @[dec_decode_ctl.scala 273:126] - wire [2:0] _T_68 = {io_dctl_busbuff_lsu_nonblock_load_valid_m, 2'h0}; // @[dec_decode_ctl.scala 273:158] - reg [2:0] cam_raw_3_bits_tag; // @[dec_decode_ctl.scala 317:47] - wire _T_172 = _GEN_123 == cam_raw_3_bits_tag; // @[dec_decode_ctl.scala 292:67] - wire _T_173 = cam_data_reset & _T_172; // @[dec_decode_ctl.scala 292:45] - reg cam_raw_3_valid; // @[dec_decode_ctl.scala 317:47] - wire cam_data_reset_val_3 = _T_173 & cam_raw_3_valid; // @[dec_decode_ctl.scala 292:88] - wire cam_3_valid = cam_data_reset_val_3 ? 1'h0 : cam_raw_3_valid; // @[dec_decode_ctl.scala 296:39] - wire _T_69 = ~cam_3_valid; // @[dec_decode_ctl.scala 273:78] - wire _T_75 = _T_63 & cam_2_valid; // @[dec_decode_ctl.scala 273:126] - wire _T_78 = _T_75 & _T_69; // @[dec_decode_ctl.scala 273:126] - wire [3:0] _T_80 = {io_dctl_busbuff_lsu_nonblock_load_valid_m, 3'h0}; // @[dec_decode_ctl.scala 273:158] + wire _T_510 = postsync_stall & x_d_valid; // @[dec_decode_ctl.scala 534:96] + wire ps_stall_in = _T_509 | _T_510; // @[dec_decode_ctl.scala 534:77] + wire _T_12 = ps_stall_in ^ postsync_stall; // @[dec_decode_ctl.scala 184:54] + wire _T_13 = _T_11 | _T_12; // @[dec_decode_ctl.scala 183:89] + reg flush_final_r; // @[dec_decode_ctl.scala 580:52] + wire _T_14 = io_exu_flush_final ^ flush_final_r; // @[dec_decode_ctl.scala 185:54] + wire _T_15 = _T_13 | _T_14; // @[dec_decode_ctl.scala 184:89] + wire shift_illegal = io_dec_aln_dec_i0_decode_d & _T_507; // @[dec_decode_ctl.scala 498:55] + reg illegal_lockout; // @[dec_decode_ctl.scala 502:54] + wire _T_469 = shift_illegal | illegal_lockout; // @[dec_decode_ctl.scala 501:40] + wire _T_470 = ~flush_final_r; // @[dec_decode_ctl.scala 501:61] + wire illegal_lockout_in = _T_469 & _T_470; // @[dec_decode_ctl.scala 501:59] + wire _T_16 = illegal_lockout_in ^ illegal_lockout; // @[dec_decode_ctl.scala 186:54] + wire i0_legal_decode_d = io_dec_aln_dec_i0_decode_d & i0_legal; // @[dec_decode_ctl.scala 608:54] + wire _T_33 = i0_br_error & i0_legal_decode_d; // @[dec_decode_ctl.scala 209:72] + wire _T_36 = io_dec_i0_brp_bits_br_start_error & i0_legal_decode_d; // @[dec_decode_ctl.scala 210:94] + wire i0_dp_raw_pm_alu = i0_dec_io_out_pm_alu; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_pm_alu = _T_41 ? 1'h0 : i0_dp_raw_pm_alu; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_fence_i = i0_dec_io_out_fence_i; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_fence_i = _T_41 ? 1'h0 : i0_dp_raw_fence_i; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_fence = i0_dec_io_out_fence; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_fence = _T_41 ? 1'h0 : i0_dp_raw_fence; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_rem = i0_dec_io_out_rem; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_div = i0_dec_io_out_div; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_div = _T_41 ? 1'h0 : i0_dp_raw_div; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_low = i0_dec_io_out_low; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_rs2_sign = i0_dec_io_out_rs2_sign; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_rs1_sign = i0_dec_io_out_rs1_sign; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_mul = i0_dec_io_out_mul; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_mul = _T_41 ? 1'h0 : i0_dp_raw_mul; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_mret = i0_dec_io_out_mret; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_mret = _T_41 ? 1'h0 : i0_dp_raw_mret; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_ecall = i0_dec_io_out_ecall; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_ecall = _T_41 ? 1'h0 : i0_dp_raw_ecall; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_ebreak = i0_dec_io_out_ebreak; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_ebreak = _T_41 ? 1'h0 : i0_dp_raw_ebreak; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_presync = i0_dec_io_out_presync; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_presync = _T_41 ? 1'h0 : i0_dp_raw_presync; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_csr_imm = i0_dec_io_out_csr_imm; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_csr_imm = _T_41 ? 1'h0 : i0_dp_raw_csr_imm; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_csr_set = i0_dec_io_out_csr_set; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_csr_set = _T_41 ? 1'h0 : i0_dp_raw_csr_set; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_csr_clr = i0_dec_io_out_csr_clr; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_csr_clr = _T_41 ? 1'h0 : i0_dp_raw_csr_clr; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_word = i0_dec_io_out_word; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_word = _T_41 ? 1'h0 : i0_dp_raw_word; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_half = i0_dec_io_out_half; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_half = _T_41 ? 1'h0 : i0_dp_raw_half; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_by = i0_dec_io_out_by; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_by = _T_41 ? 1'h0 : i0_dp_raw_by; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_jal = _T_41 ? 1'h0 : i0_dp_raw_jal; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_blt = i0_dec_io_out_blt; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_bge = i0_dec_io_out_bge; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_bne = i0_dec_io_out_bne; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_beq = i0_dec_io_out_beq; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_condbr = _T_41 ? 1'h0 : i0_dp_raw_condbr; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_unsign = i0_dec_io_out_unsign; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_unsign = _T_41 ? 1'h0 : i0_dp_raw_unsign; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_slt = i0_dec_io_out_slt; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_srl = i0_dec_io_out_srl; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_sra = i0_dec_io_out_sra; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_sll = i0_dec_io_out_sll; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_lxor = i0_dec_io_out_lxor; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_lor = i0_dec_io_out_lor; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_land = i0_dec_io_out_land; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_sub = i0_dec_io_out_sub; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_add = i0_dec_io_out_add; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_raw_lsu = i0_dec_io_out_lsu; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_lsu = _T_41 ? 1'h0 : i0_dp_raw_lsu; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_store = i0_dec_io_out_store; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_store = _T_41 ? 1'h0 : i0_dp_raw_store; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_load = i0_dec_io_out_load; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_load = _T_41 ? 1'h0 : i0_dp_raw_load; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_pc = i0_dec_io_out_pc; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_imm20 = _T_41 ? 1'h0 : i0_dp_raw_imm20; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_shimm5 = i0_dec_io_out_shimm5; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_shimm5 = _T_41 ? 1'h0 : i0_dp_raw_shimm5; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_rd = i0_dec_io_out_rd; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_rd = _T_41 ? 1'h0 : i0_dp_raw_rd; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_imm12 = _T_41 ? 1'h0 : i0_dp_raw_imm12; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_rs2 = i0_dec_io_out_rs2; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_rs2 = _T_41 | i0_dp_raw_rs2; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_rs1 = i0_dec_io_out_rs1; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_rs1 = _T_41 | i0_dp_raw_rs1; // @[dec_decode_ctl.scala 225:50] + wire i0_dp_raw_alu = i0_dec_io_out_alu; // @[dec_decode_ctl.scala 124:37 dec_decode_ctl.scala 358:12] + wire i0_dp_alu = _T_41 | i0_dp_raw_alu; // @[dec_decode_ctl.scala 225:50] + wire i0_pcall = i0_dp_jal & i0_pcall_case; // @[dec_decode_ctl.scala 376:38] + wire _T_44 = i0_dp_condbr | i0_pcall; // @[dec_decode_ctl.scala 239:54] + wire i0_pja = i0_dp_jal & i0_pja_case; // @[dec_decode_ctl.scala 378:38] + wire _T_45 = _T_44 | i0_pja; // @[dec_decode_ctl.scala 239:65] + wire i0_pret = i0_dp_jal & i0_pret_case; // @[dec_decode_ctl.scala 383:32] + wire i0_predict_br = _T_45 | i0_pret; // @[dec_decode_ctl.scala 239:74] + wire _T_47 = io_dec_i0_brp_bits_hist[1] & i0_brp_valid; // @[dec_decode_ctl.scala 240:69] + wire _T_48 = ~_T_47; // @[dec_decode_ctl.scala 240:40] + wire i0_ap_pc2 = ~io_dec_i0_pc4_d; // @[dec_decode_ctl.scala 242:40] + wire cam_data_reset = io_dctl_busbuff_lsu_nonblock_load_data_valid | io_dctl_busbuff_lsu_nonblock_load_data_error; // @[dec_decode_ctl.scala 275:76] + reg [2:0] cam_raw_0_bits_tag; // @[dec_decode_ctl.scala 311:47] + wire [2:0] _GEN_123 = {{1'd0}, io_dctl_busbuff_lsu_nonblock_load_data_tag}; // @[dec_decode_ctl.scala 286:67] + wire _T_94 = _GEN_123 == cam_raw_0_bits_tag; // @[dec_decode_ctl.scala 286:67] + wire _T_95 = cam_data_reset & _T_94; // @[dec_decode_ctl.scala 286:45] + reg cam_raw_0_valid; // @[dec_decode_ctl.scala 311:47] + wire cam_data_reset_val_0 = _T_95 & cam_raw_0_valid; // @[dec_decode_ctl.scala 286:88] + wire cam_0_valid = cam_data_reset_val_0 ? 1'h0 : cam_raw_0_valid; // @[dec_decode_ctl.scala 290:39] + wire _T_51 = ~cam_0_valid; // @[dec_decode_ctl.scala 267:78] + reg [2:0] cam_raw_1_bits_tag; // @[dec_decode_ctl.scala 311:47] + wire _T_120 = _GEN_123 == cam_raw_1_bits_tag; // @[dec_decode_ctl.scala 286:67] + wire _T_121 = cam_data_reset & _T_120; // @[dec_decode_ctl.scala 286:45] + reg cam_raw_1_valid; // @[dec_decode_ctl.scala 311:47] + wire cam_data_reset_val_1 = _T_121 & cam_raw_1_valid; // @[dec_decode_ctl.scala 286:88] + wire cam_1_valid = cam_data_reset_val_1 ? 1'h0 : cam_raw_1_valid; // @[dec_decode_ctl.scala 290:39] + wire _T_54 = ~cam_1_valid; // @[dec_decode_ctl.scala 267:78] + wire _T_57 = cam_0_valid & _T_54; // @[dec_decode_ctl.scala 267:126] + wire [1:0] _T_59 = {io_dctl_busbuff_lsu_nonblock_load_valid_m, 1'h0}; // @[dec_decode_ctl.scala 267:158] + reg [2:0] cam_raw_2_bits_tag; // @[dec_decode_ctl.scala 311:47] + wire _T_146 = _GEN_123 == cam_raw_2_bits_tag; // @[dec_decode_ctl.scala 286:67] + wire _T_147 = cam_data_reset & _T_146; // @[dec_decode_ctl.scala 286:45] + reg cam_raw_2_valid; // @[dec_decode_ctl.scala 311:47] + wire cam_data_reset_val_2 = _T_147 & cam_raw_2_valid; // @[dec_decode_ctl.scala 286:88] + wire cam_2_valid = cam_data_reset_val_2 ? 1'h0 : cam_raw_2_valid; // @[dec_decode_ctl.scala 290:39] + wire _T_60 = ~cam_2_valid; // @[dec_decode_ctl.scala 267:78] + wire _T_63 = cam_0_valid & cam_1_valid; // @[dec_decode_ctl.scala 267:126] + wire _T_66 = _T_63 & _T_60; // @[dec_decode_ctl.scala 267:126] + wire [2:0] _T_68 = {io_dctl_busbuff_lsu_nonblock_load_valid_m, 2'h0}; // @[dec_decode_ctl.scala 267:158] + reg [2:0] cam_raw_3_bits_tag; // @[dec_decode_ctl.scala 311:47] + wire _T_172 = _GEN_123 == cam_raw_3_bits_tag; // @[dec_decode_ctl.scala 286:67] + wire _T_173 = cam_data_reset & _T_172; // @[dec_decode_ctl.scala 286:45] + reg cam_raw_3_valid; // @[dec_decode_ctl.scala 311:47] + wire cam_data_reset_val_3 = _T_173 & cam_raw_3_valid; // @[dec_decode_ctl.scala 286:88] + wire cam_3_valid = cam_data_reset_val_3 ? 1'h0 : cam_raw_3_valid; // @[dec_decode_ctl.scala 290:39] + wire _T_69 = ~cam_3_valid; // @[dec_decode_ctl.scala 267:78] + wire _T_75 = _T_63 & cam_2_valid; // @[dec_decode_ctl.scala 267:126] + wire _T_78 = _T_75 & _T_69; // @[dec_decode_ctl.scala 267:126] + wire [3:0] _T_80 = {io_dctl_busbuff_lsu_nonblock_load_valid_m, 3'h0}; // @[dec_decode_ctl.scala 267:158] wire _T_81 = _T_51 & io_dctl_busbuff_lsu_nonblock_load_valid_m; // @[Mux.scala 27:72] wire [1:0] _T_82 = _T_57 ? _T_59 : 2'h0; // @[Mux.scala 27:72] wire [2:0] _T_83 = _T_66 ? _T_68 : 3'h0; // @[Mux.scala 27:72] @@ -46629,150 +46622,150 @@ module dec_decode_ctl( wire [3:0] cam_wen = _GEN_129 | _T_84; // @[Mux.scala 27:72] reg x_d_bits_i0load; // @[lib.scala 384:16] reg [4:0] x_d_bits_i0rd; // @[lib.scala 384:16] - wire [4:0] nonblock_load_rd = x_d_bits_i0load ? x_d_bits_i0rd : 5'h0; // @[dec_decode_ctl.scala 284:31] - reg [2:0] _T_704; // @[dec_decode_ctl.scala 622:80] - wire [3:0] i0_pipe_en = {io_dec_aln_dec_i0_decode_d,_T_704}; // @[Cat.scala 29:58] - wire _T_710 = |i0_pipe_en[2:1]; // @[dec_decode_ctl.scala 625:49] - wire i0_r_ctl_en = _T_710 | io_clk_override; // @[dec_decode_ctl.scala 625:53] + wire [4:0] nonblock_load_rd = x_d_bits_i0load ? x_d_bits_i0rd : 5'h0; // @[dec_decode_ctl.scala 278:31] + reg [2:0] _T_706; // @[dec_decode_ctl.scala 616:80] + wire [3:0] i0_pipe_en = {io_dec_aln_dec_i0_decode_d,_T_706}; // @[Cat.scala 29:58] + wire _T_712 = |i0_pipe_en[2:1]; // @[dec_decode_ctl.scala 619:49] + wire i0_r_ctl_en = _T_712 | io_clk_override; // @[dec_decode_ctl.scala 619:53] reg nonblock_load_valid_m_delay; // @[Reg.scala 27:20] reg r_d_bits_i0load; // @[lib.scala 384:16] - wire i0_load_kill_wen_r = nonblock_load_valid_m_delay & r_d_bits_i0load; // @[dec_decode_ctl.scala 289:56] - wire [2:0] _GEN_130 = {{1'd0}, io_dctl_busbuff_lsu_nonblock_load_inv_tag_r}; // @[dec_decode_ctl.scala 291:66] - wire _T_91 = _GEN_130 == cam_raw_0_bits_tag; // @[dec_decode_ctl.scala 291:66] - wire _T_92 = io_dctl_busbuff_lsu_nonblock_load_inv_r & _T_91; // @[dec_decode_ctl.scala 291:45] - wire cam_inv_reset_val_0 = _T_92 & cam_0_valid; // @[dec_decode_ctl.scala 291:87] + wire i0_load_kill_wen_r = nonblock_load_valid_m_delay & r_d_bits_i0load; // @[dec_decode_ctl.scala 283:56] + wire [2:0] _GEN_130 = {{1'd0}, io_dctl_busbuff_lsu_nonblock_load_inv_tag_r}; // @[dec_decode_ctl.scala 285:66] + wire _T_91 = _GEN_130 == cam_raw_0_bits_tag; // @[dec_decode_ctl.scala 285:66] + wire _T_92 = io_dctl_busbuff_lsu_nonblock_load_inv_r & _T_91; // @[dec_decode_ctl.scala 285:45] + wire cam_inv_reset_val_0 = _T_92 & cam_0_valid; // @[dec_decode_ctl.scala 285:87] reg r_d_bits_i0v; // @[lib.scala 384:16] - wire _T_746 = ~io_dec_tlu_flush_lower_wb; // @[dec_decode_ctl.scala 657:51] - wire r_d_in_bits_i0v = r_d_bits_i0v & _T_746; // @[dec_decode_ctl.scala 657:49] - wire _T_757 = ~io_dec_tlu_i0_kill_writeb_r; // @[dec_decode_ctl.scala 665:47] - wire i0_wen_r = r_d_in_bits_i0v & _T_757; // @[dec_decode_ctl.scala 665:45] + wire _T_748 = ~io_dec_tlu_flush_lower_wb; // @[dec_decode_ctl.scala 651:51] + wire r_d_in_bits_i0v = r_d_bits_i0v & _T_748; // @[dec_decode_ctl.scala 651:49] + wire _T_759 = ~io_dec_tlu_i0_kill_writeb_r; // @[dec_decode_ctl.scala 659:47] + wire i0_wen_r = r_d_in_bits_i0v & _T_759; // @[dec_decode_ctl.scala 659:45] reg [4:0] r_d_bits_i0rd; // @[lib.scala 384:16] - reg [4:0] cam_raw_0_bits_rd; // @[dec_decode_ctl.scala 317:47] - wire _T_103 = r_d_bits_i0rd == cam_raw_0_bits_rd; // @[dec_decode_ctl.scala 304:85] - wire _T_104 = i0_wen_r & _T_103; // @[dec_decode_ctl.scala 304:64] - reg cam_raw_0_bits_wb; // @[dec_decode_ctl.scala 317:47] - wire _T_106 = _T_104 & cam_raw_0_bits_wb; // @[dec_decode_ctl.scala 304:105] - wire _T_107 = cam_inv_reset_val_0 | _T_106; // @[dec_decode_ctl.scala 304:44] - wire _GEN_52 = _T_107 ? 1'h0 : cam_0_valid; // @[dec_decode_ctl.scala 304:131] - wire _GEN_55 = _T_107 ? 1'h0 : cam_raw_0_bits_wb; // @[dec_decode_ctl.scala 304:131] - wire _GEN_56 = cam_wen[0] | _GEN_52; // @[dec_decode_ctl.scala 299:28] - wire _GEN_57 = cam_wen[0] ? 1'h0 : _GEN_55; // @[dec_decode_ctl.scala 299:28] - wire _T_110 = nonblock_load_valid_m_delay & _T_91; // @[dec_decode_ctl.scala 309:44] - wire _T_112 = _T_110 & cam_0_valid; // @[dec_decode_ctl.scala 309:113] - wire nonblock_load_write_0 = _T_94 & cam_raw_0_valid; // @[dec_decode_ctl.scala 318:71] - wire _T_117 = _GEN_130 == cam_raw_1_bits_tag; // @[dec_decode_ctl.scala 291:66] - wire _T_118 = io_dctl_busbuff_lsu_nonblock_load_inv_r & _T_117; // @[dec_decode_ctl.scala 291:45] - wire cam_inv_reset_val_1 = _T_118 & cam_1_valid; // @[dec_decode_ctl.scala 291:87] - reg [4:0] cam_raw_1_bits_rd; // @[dec_decode_ctl.scala 317:47] - wire _T_129 = r_d_bits_i0rd == cam_raw_1_bits_rd; // @[dec_decode_ctl.scala 304:85] - wire _T_130 = i0_wen_r & _T_129; // @[dec_decode_ctl.scala 304:64] - reg cam_raw_1_bits_wb; // @[dec_decode_ctl.scala 317:47] - wire _T_132 = _T_130 & cam_raw_1_bits_wb; // @[dec_decode_ctl.scala 304:105] - wire _T_133 = cam_inv_reset_val_1 | _T_132; // @[dec_decode_ctl.scala 304:44] - wire _GEN_63 = _T_133 ? 1'h0 : cam_1_valid; // @[dec_decode_ctl.scala 304:131] - wire _GEN_66 = _T_133 ? 1'h0 : cam_raw_1_bits_wb; // @[dec_decode_ctl.scala 304:131] - wire _GEN_67 = cam_wen[1] | _GEN_63; // @[dec_decode_ctl.scala 299:28] - wire _GEN_68 = cam_wen[1] ? 1'h0 : _GEN_66; // @[dec_decode_ctl.scala 299:28] - wire _T_136 = nonblock_load_valid_m_delay & _T_117; // @[dec_decode_ctl.scala 309:44] - wire _T_138 = _T_136 & cam_1_valid; // @[dec_decode_ctl.scala 309:113] - wire nonblock_load_write_1 = _T_120 & cam_raw_1_valid; // @[dec_decode_ctl.scala 318:71] - wire _T_143 = _GEN_130 == cam_raw_2_bits_tag; // @[dec_decode_ctl.scala 291:66] - wire _T_144 = io_dctl_busbuff_lsu_nonblock_load_inv_r & _T_143; // @[dec_decode_ctl.scala 291:45] - wire cam_inv_reset_val_2 = _T_144 & cam_2_valid; // @[dec_decode_ctl.scala 291:87] - reg [4:0] cam_raw_2_bits_rd; // @[dec_decode_ctl.scala 317:47] - wire _T_155 = r_d_bits_i0rd == cam_raw_2_bits_rd; // @[dec_decode_ctl.scala 304:85] - wire _T_156 = i0_wen_r & _T_155; // @[dec_decode_ctl.scala 304:64] - reg cam_raw_2_bits_wb; // @[dec_decode_ctl.scala 317:47] - wire _T_158 = _T_156 & cam_raw_2_bits_wb; // @[dec_decode_ctl.scala 304:105] - wire _T_159 = cam_inv_reset_val_2 | _T_158; // @[dec_decode_ctl.scala 304:44] - wire _GEN_74 = _T_159 ? 1'h0 : cam_2_valid; // @[dec_decode_ctl.scala 304:131] - wire _GEN_77 = _T_159 ? 1'h0 : cam_raw_2_bits_wb; // @[dec_decode_ctl.scala 304:131] - wire _GEN_78 = cam_wen[2] | _GEN_74; // @[dec_decode_ctl.scala 299:28] - wire _GEN_79 = cam_wen[2] ? 1'h0 : _GEN_77; // @[dec_decode_ctl.scala 299:28] - wire _T_162 = nonblock_load_valid_m_delay & _T_143; // @[dec_decode_ctl.scala 309:44] - wire _T_164 = _T_162 & cam_2_valid; // @[dec_decode_ctl.scala 309:113] - wire nonblock_load_write_2 = _T_146 & cam_raw_2_valid; // @[dec_decode_ctl.scala 318:71] - wire _T_169 = _GEN_130 == cam_raw_3_bits_tag; // @[dec_decode_ctl.scala 291:66] - wire _T_170 = io_dctl_busbuff_lsu_nonblock_load_inv_r & _T_169; // @[dec_decode_ctl.scala 291:45] - wire cam_inv_reset_val_3 = _T_170 & cam_3_valid; // @[dec_decode_ctl.scala 291:87] - reg [4:0] cam_raw_3_bits_rd; // @[dec_decode_ctl.scala 317:47] - wire _T_181 = r_d_bits_i0rd == cam_raw_3_bits_rd; // @[dec_decode_ctl.scala 304:85] - wire _T_182 = i0_wen_r & _T_181; // @[dec_decode_ctl.scala 304:64] - reg cam_raw_3_bits_wb; // @[dec_decode_ctl.scala 317:47] - wire _T_184 = _T_182 & cam_raw_3_bits_wb; // @[dec_decode_ctl.scala 304:105] - wire _T_185 = cam_inv_reset_val_3 | _T_184; // @[dec_decode_ctl.scala 304:44] - wire _GEN_85 = _T_185 ? 1'h0 : cam_3_valid; // @[dec_decode_ctl.scala 304:131] - wire _GEN_88 = _T_185 ? 1'h0 : cam_raw_3_bits_wb; // @[dec_decode_ctl.scala 304:131] - wire _GEN_89 = cam_wen[3] | _GEN_85; // @[dec_decode_ctl.scala 299:28] - wire _GEN_90 = cam_wen[3] ? 1'h0 : _GEN_88; // @[dec_decode_ctl.scala 299:28] - wire _T_188 = nonblock_load_valid_m_delay & _T_169; // @[dec_decode_ctl.scala 309:44] - wire _T_190 = _T_188 & cam_3_valid; // @[dec_decode_ctl.scala 309:113] - wire nonblock_load_write_3 = _T_172 & cam_raw_3_valid; // @[dec_decode_ctl.scala 318:71] - wire _T_195 = r_d_bits_i0rd == io_dec_nonblock_load_waddr; // @[dec_decode_ctl.scala 323:49] - wire nonblock_load_cancel = _T_195 & i0_wen_r; // @[dec_decode_ctl.scala 323:81] - wire _T_196 = nonblock_load_write_0 | nonblock_load_write_1; // @[dec_decode_ctl.scala 324:108] - wire _T_197 = _T_196 | nonblock_load_write_2; // @[dec_decode_ctl.scala 324:108] - wire _T_198 = _T_197 | nonblock_load_write_3; // @[dec_decode_ctl.scala 324:108] - wire _T_200 = io_dctl_busbuff_lsu_nonblock_load_data_valid & _T_198; // @[dec_decode_ctl.scala 324:77] - wire _T_201 = ~nonblock_load_cancel; // @[dec_decode_ctl.scala 324:122] - wire _T_203 = nonblock_load_rd == i0r_rs1; // @[dec_decode_ctl.scala 325:54] - wire _T_204 = _T_203 & io_dctl_busbuff_lsu_nonblock_load_valid_m; // @[dec_decode_ctl.scala 325:66] - wire _T_205 = _T_204 & io_decode_exu_dec_i0_rs1_en_d; // @[dec_decode_ctl.scala 325:110] - wire [4:0] i0r_rs2 = io_dec_i0_instr_d[24:20]; // @[dec_decode_ctl.scala 591:16] - wire _T_206 = nonblock_load_rd == i0r_rs2; // @[dec_decode_ctl.scala 325:161] - wire _T_207 = _T_206 & io_dctl_busbuff_lsu_nonblock_load_valid_m; // @[dec_decode_ctl.scala 325:173] - wire _T_208 = _T_207 & io_decode_exu_dec_i0_rs2_en_d; // @[dec_decode_ctl.scala 325:217] - wire i0_nonblock_boundary_stall = _T_205 | _T_208; // @[dec_decode_ctl.scala 325:142] + reg [4:0] cam_raw_0_bits_rd; // @[dec_decode_ctl.scala 311:47] + wire _T_103 = r_d_bits_i0rd == cam_raw_0_bits_rd; // @[dec_decode_ctl.scala 298:85] + wire _T_104 = i0_wen_r & _T_103; // @[dec_decode_ctl.scala 298:64] + reg cam_raw_0_bits_wb; // @[dec_decode_ctl.scala 311:47] + wire _T_106 = _T_104 & cam_raw_0_bits_wb; // @[dec_decode_ctl.scala 298:105] + wire _T_107 = cam_inv_reset_val_0 | _T_106; // @[dec_decode_ctl.scala 298:44] + wire _GEN_52 = _T_107 ? 1'h0 : cam_0_valid; // @[dec_decode_ctl.scala 298:131] + wire _GEN_55 = _T_107 ? 1'h0 : cam_raw_0_bits_wb; // @[dec_decode_ctl.scala 298:131] + wire _GEN_56 = cam_wen[0] | _GEN_52; // @[dec_decode_ctl.scala 293:28] + wire _GEN_57 = cam_wen[0] ? 1'h0 : _GEN_55; // @[dec_decode_ctl.scala 293:28] + wire _T_110 = nonblock_load_valid_m_delay & _T_91; // @[dec_decode_ctl.scala 303:44] + wire _T_112 = _T_110 & cam_0_valid; // @[dec_decode_ctl.scala 303:113] + wire nonblock_load_write_0 = _T_94 & cam_raw_0_valid; // @[dec_decode_ctl.scala 312:71] + wire _T_117 = _GEN_130 == cam_raw_1_bits_tag; // @[dec_decode_ctl.scala 285:66] + wire _T_118 = io_dctl_busbuff_lsu_nonblock_load_inv_r & _T_117; // @[dec_decode_ctl.scala 285:45] + wire cam_inv_reset_val_1 = _T_118 & cam_1_valid; // @[dec_decode_ctl.scala 285:87] + reg [4:0] cam_raw_1_bits_rd; // @[dec_decode_ctl.scala 311:47] + wire _T_129 = r_d_bits_i0rd == cam_raw_1_bits_rd; // @[dec_decode_ctl.scala 298:85] + wire _T_130 = i0_wen_r & _T_129; // @[dec_decode_ctl.scala 298:64] + reg cam_raw_1_bits_wb; // @[dec_decode_ctl.scala 311:47] + wire _T_132 = _T_130 & cam_raw_1_bits_wb; // @[dec_decode_ctl.scala 298:105] + wire _T_133 = cam_inv_reset_val_1 | _T_132; // @[dec_decode_ctl.scala 298:44] + wire _GEN_63 = _T_133 ? 1'h0 : cam_1_valid; // @[dec_decode_ctl.scala 298:131] + wire _GEN_66 = _T_133 ? 1'h0 : cam_raw_1_bits_wb; // @[dec_decode_ctl.scala 298:131] + wire _GEN_67 = cam_wen[1] | _GEN_63; // @[dec_decode_ctl.scala 293:28] + wire _GEN_68 = cam_wen[1] ? 1'h0 : _GEN_66; // @[dec_decode_ctl.scala 293:28] + wire _T_136 = nonblock_load_valid_m_delay & _T_117; // @[dec_decode_ctl.scala 303:44] + wire _T_138 = _T_136 & cam_1_valid; // @[dec_decode_ctl.scala 303:113] + wire nonblock_load_write_1 = _T_120 & cam_raw_1_valid; // @[dec_decode_ctl.scala 312:71] + wire _T_143 = _GEN_130 == cam_raw_2_bits_tag; // @[dec_decode_ctl.scala 285:66] + wire _T_144 = io_dctl_busbuff_lsu_nonblock_load_inv_r & _T_143; // @[dec_decode_ctl.scala 285:45] + wire cam_inv_reset_val_2 = _T_144 & cam_2_valid; // @[dec_decode_ctl.scala 285:87] + reg [4:0] cam_raw_2_bits_rd; // @[dec_decode_ctl.scala 311:47] + wire _T_155 = r_d_bits_i0rd == cam_raw_2_bits_rd; // @[dec_decode_ctl.scala 298:85] + wire _T_156 = i0_wen_r & _T_155; // @[dec_decode_ctl.scala 298:64] + reg cam_raw_2_bits_wb; // @[dec_decode_ctl.scala 311:47] + wire _T_158 = _T_156 & cam_raw_2_bits_wb; // @[dec_decode_ctl.scala 298:105] + wire _T_159 = cam_inv_reset_val_2 | _T_158; // @[dec_decode_ctl.scala 298:44] + wire _GEN_74 = _T_159 ? 1'h0 : cam_2_valid; // @[dec_decode_ctl.scala 298:131] + wire _GEN_77 = _T_159 ? 1'h0 : cam_raw_2_bits_wb; // @[dec_decode_ctl.scala 298:131] + wire _GEN_78 = cam_wen[2] | _GEN_74; // @[dec_decode_ctl.scala 293:28] + wire _GEN_79 = cam_wen[2] ? 1'h0 : _GEN_77; // @[dec_decode_ctl.scala 293:28] + wire _T_162 = nonblock_load_valid_m_delay & _T_143; // @[dec_decode_ctl.scala 303:44] + wire _T_164 = _T_162 & cam_2_valid; // @[dec_decode_ctl.scala 303:113] + wire nonblock_load_write_2 = _T_146 & cam_raw_2_valid; // @[dec_decode_ctl.scala 312:71] + wire _T_169 = _GEN_130 == cam_raw_3_bits_tag; // @[dec_decode_ctl.scala 285:66] + wire _T_170 = io_dctl_busbuff_lsu_nonblock_load_inv_r & _T_169; // @[dec_decode_ctl.scala 285:45] + wire cam_inv_reset_val_3 = _T_170 & cam_3_valid; // @[dec_decode_ctl.scala 285:87] + reg [4:0] cam_raw_3_bits_rd; // @[dec_decode_ctl.scala 311:47] + wire _T_181 = r_d_bits_i0rd == cam_raw_3_bits_rd; // @[dec_decode_ctl.scala 298:85] + wire _T_182 = i0_wen_r & _T_181; // @[dec_decode_ctl.scala 298:64] + reg cam_raw_3_bits_wb; // @[dec_decode_ctl.scala 311:47] + wire _T_184 = _T_182 & cam_raw_3_bits_wb; // @[dec_decode_ctl.scala 298:105] + wire _T_185 = cam_inv_reset_val_3 | _T_184; // @[dec_decode_ctl.scala 298:44] + wire _GEN_85 = _T_185 ? 1'h0 : cam_3_valid; // @[dec_decode_ctl.scala 298:131] + wire _GEN_88 = _T_185 ? 1'h0 : cam_raw_3_bits_wb; // @[dec_decode_ctl.scala 298:131] + wire _GEN_89 = cam_wen[3] | _GEN_85; // @[dec_decode_ctl.scala 293:28] + wire _GEN_90 = cam_wen[3] ? 1'h0 : _GEN_88; // @[dec_decode_ctl.scala 293:28] + wire _T_188 = nonblock_load_valid_m_delay & _T_169; // @[dec_decode_ctl.scala 303:44] + wire _T_190 = _T_188 & cam_3_valid; // @[dec_decode_ctl.scala 303:113] + wire nonblock_load_write_3 = _T_172 & cam_raw_3_valid; // @[dec_decode_ctl.scala 312:71] + wire _T_195 = r_d_bits_i0rd == io_dec_nonblock_load_waddr; // @[dec_decode_ctl.scala 317:49] + wire nonblock_load_cancel = _T_195 & i0_wen_r; // @[dec_decode_ctl.scala 317:81] + wire _T_196 = nonblock_load_write_0 | nonblock_load_write_1; // @[dec_decode_ctl.scala 318:108] + wire _T_197 = _T_196 | nonblock_load_write_2; // @[dec_decode_ctl.scala 318:108] + wire _T_198 = _T_197 | nonblock_load_write_3; // @[dec_decode_ctl.scala 318:108] + wire _T_200 = io_dctl_busbuff_lsu_nonblock_load_data_valid & _T_198; // @[dec_decode_ctl.scala 318:77] + wire _T_201 = ~nonblock_load_cancel; // @[dec_decode_ctl.scala 318:122] + wire _T_203 = nonblock_load_rd == i0r_rs1; // @[dec_decode_ctl.scala 319:54] + wire _T_204 = _T_203 & io_dctl_busbuff_lsu_nonblock_load_valid_m; // @[dec_decode_ctl.scala 319:66] + wire _T_205 = _T_204 & io_decode_exu_dec_i0_rs1_en_d; // @[dec_decode_ctl.scala 319:110] + wire [4:0] i0r_rs2 = io_dec_i0_instr_d[24:20]; // @[dec_decode_ctl.scala 585:16] + wire _T_206 = nonblock_load_rd == i0r_rs2; // @[dec_decode_ctl.scala 319:161] + wire _T_207 = _T_206 & io_dctl_busbuff_lsu_nonblock_load_valid_m; // @[dec_decode_ctl.scala 319:173] + wire _T_208 = _T_207 & io_decode_exu_dec_i0_rs2_en_d; // @[dec_decode_ctl.scala 319:217] + wire i0_nonblock_boundary_stall = _T_205 | _T_208; // @[dec_decode_ctl.scala 319:142] wire [4:0] _T_210 = nonblock_load_write_0 ? 5'h1f : 5'h0; // @[Bitwise.scala 72:12] - wire [4:0] _T_211 = _T_210 & cam_raw_0_bits_rd; // @[dec_decode_ctl.scala 329:88] - wire _T_212 = io_decode_exu_dec_i0_rs1_en_d & cam_0_valid; // @[dec_decode_ctl.scala 329:137] - wire _T_213 = cam_raw_0_bits_rd == i0r_rs1; // @[dec_decode_ctl.scala 329:170] - wire _T_214 = _T_212 & _T_213; // @[dec_decode_ctl.scala 329:152] - wire _T_215 = io_decode_exu_dec_i0_rs2_en_d & cam_0_valid; // @[dec_decode_ctl.scala 329:214] - wire _T_216 = cam_raw_0_bits_rd == i0r_rs2; // @[dec_decode_ctl.scala 329:247] - wire _T_217 = _T_215 & _T_216; // @[dec_decode_ctl.scala 329:229] + wire [4:0] _T_211 = _T_210 & cam_raw_0_bits_rd; // @[dec_decode_ctl.scala 323:88] + wire _T_212 = io_decode_exu_dec_i0_rs1_en_d & cam_0_valid; // @[dec_decode_ctl.scala 323:137] + wire _T_213 = cam_raw_0_bits_rd == i0r_rs1; // @[dec_decode_ctl.scala 323:170] + wire _T_214 = _T_212 & _T_213; // @[dec_decode_ctl.scala 323:152] + wire _T_215 = io_decode_exu_dec_i0_rs2_en_d & cam_0_valid; // @[dec_decode_ctl.scala 323:214] + wire _T_216 = cam_raw_0_bits_rd == i0r_rs2; // @[dec_decode_ctl.scala 323:247] + wire _T_217 = _T_215 & _T_216; // @[dec_decode_ctl.scala 323:229] wire [4:0] _T_219 = nonblock_load_write_1 ? 5'h1f : 5'h0; // @[Bitwise.scala 72:12] - wire [4:0] _T_220 = _T_219 & cam_raw_1_bits_rd; // @[dec_decode_ctl.scala 329:88] - wire _T_221 = io_decode_exu_dec_i0_rs1_en_d & cam_1_valid; // @[dec_decode_ctl.scala 329:137] - wire _T_222 = cam_raw_1_bits_rd == i0r_rs1; // @[dec_decode_ctl.scala 329:170] - wire _T_223 = _T_221 & _T_222; // @[dec_decode_ctl.scala 329:152] - wire _T_224 = io_decode_exu_dec_i0_rs2_en_d & cam_1_valid; // @[dec_decode_ctl.scala 329:214] - wire _T_225 = cam_raw_1_bits_rd == i0r_rs2; // @[dec_decode_ctl.scala 329:247] - wire _T_226 = _T_224 & _T_225; // @[dec_decode_ctl.scala 329:229] + wire [4:0] _T_220 = _T_219 & cam_raw_1_bits_rd; // @[dec_decode_ctl.scala 323:88] + wire _T_221 = io_decode_exu_dec_i0_rs1_en_d & cam_1_valid; // @[dec_decode_ctl.scala 323:137] + wire _T_222 = cam_raw_1_bits_rd == i0r_rs1; // @[dec_decode_ctl.scala 323:170] + wire _T_223 = _T_221 & _T_222; // @[dec_decode_ctl.scala 323:152] + wire _T_224 = io_decode_exu_dec_i0_rs2_en_d & cam_1_valid; // @[dec_decode_ctl.scala 323:214] + wire _T_225 = cam_raw_1_bits_rd == i0r_rs2; // @[dec_decode_ctl.scala 323:247] + wire _T_226 = _T_224 & _T_225; // @[dec_decode_ctl.scala 323:229] wire [4:0] _T_228 = nonblock_load_write_2 ? 5'h1f : 5'h0; // @[Bitwise.scala 72:12] - wire [4:0] _T_229 = _T_228 & cam_raw_2_bits_rd; // @[dec_decode_ctl.scala 329:88] - wire _T_230 = io_decode_exu_dec_i0_rs1_en_d & cam_2_valid; // @[dec_decode_ctl.scala 329:137] - wire _T_231 = cam_raw_2_bits_rd == i0r_rs1; // @[dec_decode_ctl.scala 329:170] - wire _T_232 = _T_230 & _T_231; // @[dec_decode_ctl.scala 329:152] - wire _T_233 = io_decode_exu_dec_i0_rs2_en_d & cam_2_valid; // @[dec_decode_ctl.scala 329:214] - wire _T_234 = cam_raw_2_bits_rd == i0r_rs2; // @[dec_decode_ctl.scala 329:247] - wire _T_235 = _T_233 & _T_234; // @[dec_decode_ctl.scala 329:229] + wire [4:0] _T_229 = _T_228 & cam_raw_2_bits_rd; // @[dec_decode_ctl.scala 323:88] + wire _T_230 = io_decode_exu_dec_i0_rs1_en_d & cam_2_valid; // @[dec_decode_ctl.scala 323:137] + wire _T_231 = cam_raw_2_bits_rd == i0r_rs1; // @[dec_decode_ctl.scala 323:170] + wire _T_232 = _T_230 & _T_231; // @[dec_decode_ctl.scala 323:152] + wire _T_233 = io_decode_exu_dec_i0_rs2_en_d & cam_2_valid; // @[dec_decode_ctl.scala 323:214] + wire _T_234 = cam_raw_2_bits_rd == i0r_rs2; // @[dec_decode_ctl.scala 323:247] + wire _T_235 = _T_233 & _T_234; // @[dec_decode_ctl.scala 323:229] wire [4:0] _T_237 = nonblock_load_write_3 ? 5'h1f : 5'h0; // @[Bitwise.scala 72:12] - wire [4:0] _T_238 = _T_237 & cam_raw_3_bits_rd; // @[dec_decode_ctl.scala 329:88] - wire _T_239 = io_decode_exu_dec_i0_rs1_en_d & cam_3_valid; // @[dec_decode_ctl.scala 329:137] - wire _T_240 = cam_raw_3_bits_rd == i0r_rs1; // @[dec_decode_ctl.scala 329:170] - wire _T_241 = _T_239 & _T_240; // @[dec_decode_ctl.scala 329:152] - wire _T_242 = io_decode_exu_dec_i0_rs2_en_d & cam_3_valid; // @[dec_decode_ctl.scala 329:214] - wire _T_243 = cam_raw_3_bits_rd == i0r_rs2; // @[dec_decode_ctl.scala 329:247] - wire _T_244 = _T_242 & _T_243; // @[dec_decode_ctl.scala 329:229] - wire [4:0] _T_245 = _T_211 | _T_220; // @[dec_decode_ctl.scala 330:69] - wire [4:0] _T_246 = _T_245 | _T_229; // @[dec_decode_ctl.scala 330:69] - wire _T_247 = _T_214 | _T_223; // @[dec_decode_ctl.scala 330:102] - wire _T_248 = _T_247 | _T_232; // @[dec_decode_ctl.scala 330:102] - wire ld_stall_1 = _T_248 | _T_241; // @[dec_decode_ctl.scala 330:102] - wire _T_249 = _T_217 | _T_226; // @[dec_decode_ctl.scala 330:134] - wire _T_250 = _T_249 | _T_235; // @[dec_decode_ctl.scala 330:134] - wire ld_stall_2 = _T_250 | _T_244; // @[dec_decode_ctl.scala 330:134] - wire _T_251 = ld_stall_1 | ld_stall_2; // @[dec_decode_ctl.scala 332:38] - wire i0_nonblock_load_stall = _T_251 | i0_nonblock_boundary_stall; // @[dec_decode_ctl.scala 332:51] - wire _T_253 = ~i0_predict_br; // @[dec_decode_ctl.scala 341:34] + wire [4:0] _T_238 = _T_237 & cam_raw_3_bits_rd; // @[dec_decode_ctl.scala 323:88] + wire _T_239 = io_decode_exu_dec_i0_rs1_en_d & cam_3_valid; // @[dec_decode_ctl.scala 323:137] + wire _T_240 = cam_raw_3_bits_rd == i0r_rs1; // @[dec_decode_ctl.scala 323:170] + wire _T_241 = _T_239 & _T_240; // @[dec_decode_ctl.scala 323:152] + wire _T_242 = io_decode_exu_dec_i0_rs2_en_d & cam_3_valid; // @[dec_decode_ctl.scala 323:214] + wire _T_243 = cam_raw_3_bits_rd == i0r_rs2; // @[dec_decode_ctl.scala 323:247] + wire _T_244 = _T_242 & _T_243; // @[dec_decode_ctl.scala 323:229] + wire [4:0] _T_245 = _T_211 | _T_220; // @[dec_decode_ctl.scala 324:69] + wire [4:0] _T_246 = _T_245 | _T_229; // @[dec_decode_ctl.scala 324:69] + wire _T_247 = _T_214 | _T_223; // @[dec_decode_ctl.scala 324:102] + wire _T_248 = _T_247 | _T_232; // @[dec_decode_ctl.scala 324:102] + wire ld_stall_1 = _T_248 | _T_241; // @[dec_decode_ctl.scala 324:102] + wire _T_249 = _T_217 | _T_226; // @[dec_decode_ctl.scala 324:134] + wire _T_250 = _T_249 | _T_235; // @[dec_decode_ctl.scala 324:134] + wire ld_stall_2 = _T_250 | _T_244; // @[dec_decode_ctl.scala 324:134] + wire _T_251 = ld_stall_1 | ld_stall_2; // @[dec_decode_ctl.scala 326:38] + wire i0_nonblock_load_stall = _T_251 | i0_nonblock_boundary_stall; // @[dec_decode_ctl.scala 326:51] + wire _T_253 = ~i0_predict_br; // @[dec_decode_ctl.scala 335:34] wire [3:0] _T_255 = i0_legal_decode_d ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire csr_read = i0_dp_csr_read & i0_legal_decode_d; // @[dec_decode_ctl.scala 425:36] - wire _T_256 = csr_read & io_dec_csr_wen_unq_d; // @[dec_decode_ctl.scala 353:16] - wire _T_258 = ~csr_read; // @[dec_decode_ctl.scala 354:6] - wire _T_259 = _T_258 & io_dec_csr_wen_unq_d; // @[dec_decode_ctl.scala 354:16] - wire _T_261 = ~io_dec_csr_wen_unq_d; // @[dec_decode_ctl.scala 355:18] - wire _T_262 = csr_read & _T_261; // @[dec_decode_ctl.scala 355:16] + wire csr_read = i0_dp_csr_read & i0_legal_decode_d; // @[dec_decode_ctl.scala 419:36] + wire _T_256 = csr_read & io_dec_csr_wen_unq_d; // @[dec_decode_ctl.scala 347:16] + wire _T_258 = ~csr_read; // @[dec_decode_ctl.scala 348:6] + wire _T_259 = _T_258 & io_dec_csr_wen_unq_d; // @[dec_decode_ctl.scala 348:16] + wire _T_261 = ~io_dec_csr_wen_unq_d; // @[dec_decode_ctl.scala 349:18] + wire _T_262 = csr_read & _T_261; // @[dec_decode_ctl.scala 349:16] wire [3:0] _T_264 = i0_dp_mul ? 4'h1 : 4'h0; // @[Mux.scala 98:16] wire [3:0] _T_265 = i0_dp_load ? 4'h2 : _T_264; // @[Mux.scala 98:16] wire [3:0] _T_266 = i0_dp_store ? 4'h3 : _T_265; // @[Mux.scala 98:16] @@ -46787,143 +46780,143 @@ module dec_decode_ctl( wire [3:0] _T_275 = i0_dp_mret ? 4'hc : _T_274; // @[Mux.scala 98:16] wire [3:0] _T_276 = i0_dp_condbr ? 4'hd : _T_275; // @[Mux.scala 98:16] wire [3:0] _T_277 = i0_dp_jal ? 4'he : _T_276; // @[Mux.scala 98:16] - reg lsu_idle; // @[dec_decode_ctl.scala 366:45] - wire _T_333 = ~i0_pcall_case; // @[dec_decode_ctl.scala 390:35] - wire _T_334 = i0_dp_jal & _T_333; // @[dec_decode_ctl.scala 390:32] - wire _T_335 = ~i0_pja_case; // @[dec_decode_ctl.scala 390:52] - wire _T_336 = _T_334 & _T_335; // @[dec_decode_ctl.scala 390:50] - wire _T_337 = ~i0_pret_case; // @[dec_decode_ctl.scala 390:67] - reg _T_339; // @[dec_decode_ctl.scala 402:69] - wire lsu_decode_d = i0_legal_decode_d & i0_dp_lsu; // @[dec_decode_ctl.scala 544:40] - wire _T_905 = i0_dp_load | i0_dp_store; // @[dec_decode_ctl.scala 758:43] + reg lsu_idle; // @[dec_decode_ctl.scala 360:45] + wire _T_333 = ~i0_pcall_case; // @[dec_decode_ctl.scala 384:35] + wire _T_334 = i0_dp_jal & _T_333; // @[dec_decode_ctl.scala 384:32] + wire _T_335 = ~i0_pja_case; // @[dec_decode_ctl.scala 384:52] + wire _T_336 = _T_334 & _T_335; // @[dec_decode_ctl.scala 384:50] + wire _T_337 = ~i0_pret_case; // @[dec_decode_ctl.scala 384:67] + reg _T_339; // @[dec_decode_ctl.scala 396:69] + wire lsu_decode_d = i0_legal_decode_d & i0_dp_lsu; // @[dec_decode_ctl.scala 538:40] + wire _T_907 = i0_dp_load | i0_dp_store; // @[dec_decode_ctl.scala 752:43] reg x_d_bits_i0v; // @[lib.scala 384:16] - wire _T_879 = io_decode_exu_dec_i0_rs1_en_d & x_d_bits_i0v; // @[dec_decode_ctl.scala 738:59] - wire _T_880 = x_d_bits_i0rd == i0r_rs1; // @[dec_decode_ctl.scala 738:91] - wire i0_rs1_depend_i0_x = _T_879 & _T_880; // @[dec_decode_ctl.scala 738:74] - wire _T_881 = io_decode_exu_dec_i0_rs1_en_d & r_d_bits_i0v; // @[dec_decode_ctl.scala 739:59] - wire _T_882 = r_d_bits_i0rd == i0r_rs1; // @[dec_decode_ctl.scala 739:91] - wire i0_rs1_depend_i0_r = _T_881 & _T_882; // @[dec_decode_ctl.scala 739:74] - wire [1:0] _T_894 = i0_rs1_depend_i0_r ? 2'h2 : 2'h0; // @[dec_decode_ctl.scala 745:63] - wire [1:0] i0_rs1_depth_d = i0_rs1_depend_i0_x ? 2'h1 : _T_894; // @[dec_decode_ctl.scala 745:24] - wire _T_907 = _T_905 & i0_rs1_depth_d[0]; // @[dec_decode_ctl.scala 758:58] - reg i0_x_c_load; // @[Reg.scala 15:16] - reg i0_r_c_load; // @[Reg.scala 15:16] - wire _T_890_load = i0_rs1_depend_i0_r & i0_r_c_load; // @[dec_decode_ctl.scala 744:61] - wire i0_rs1_class_d_load = i0_rs1_depend_i0_x ? i0_x_c_load : _T_890_load; // @[dec_decode_ctl.scala 744:24] - wire load_ldst_bypass_d = _T_907 & i0_rs1_class_d_load; // @[dec_decode_ctl.scala 758:78] - wire _T_883 = io_decode_exu_dec_i0_rs2_en_d & x_d_bits_i0v; // @[dec_decode_ctl.scala 741:59] - wire _T_884 = x_d_bits_i0rd == i0r_rs2; // @[dec_decode_ctl.scala 741:91] - wire i0_rs2_depend_i0_x = _T_883 & _T_884; // @[dec_decode_ctl.scala 741:74] - wire _T_885 = io_decode_exu_dec_i0_rs2_en_d & r_d_bits_i0v; // @[dec_decode_ctl.scala 742:59] - wire _T_886 = r_d_bits_i0rd == i0r_rs2; // @[dec_decode_ctl.scala 742:91] - wire i0_rs2_depend_i0_r = _T_885 & _T_886; // @[dec_decode_ctl.scala 742:74] - wire [1:0] _T_903 = i0_rs2_depend_i0_r ? 2'h2 : 2'h0; // @[dec_decode_ctl.scala 747:63] - wire [1:0] i0_rs2_depth_d = i0_rs2_depend_i0_x ? 2'h1 : _T_903; // @[dec_decode_ctl.scala 747:24] - wire _T_910 = i0_dp_store & i0_rs2_depth_d[0]; // @[dec_decode_ctl.scala 759:43] - wire _T_899_load = i0_rs2_depend_i0_r & i0_r_c_load; // @[dec_decode_ctl.scala 746:61] - wire i0_rs2_class_d_load = i0_rs2_depend_i0_x ? i0_x_c_load : _T_899_load; // @[dec_decode_ctl.scala 746:24] - wire store_data_bypass_d = _T_910 & i0_rs2_class_d_load; // @[dec_decode_ctl.scala 759:63] - wire _T_349 = i0_dp_csr_clr | i0_dp_csr_set; // @[dec_decode_ctl.scala 433:42] + wire _T_881 = io_decode_exu_dec_i0_rs1_en_d & x_d_bits_i0v; // @[dec_decode_ctl.scala 732:59] + wire _T_882 = x_d_bits_i0rd == i0r_rs1; // @[dec_decode_ctl.scala 732:91] + wire i0_rs1_depend_i0_x = _T_881 & _T_882; // @[dec_decode_ctl.scala 732:74] + wire _T_883 = io_decode_exu_dec_i0_rs1_en_d & r_d_bits_i0v; // @[dec_decode_ctl.scala 733:59] + wire _T_884 = r_d_bits_i0rd == i0r_rs1; // @[dec_decode_ctl.scala 733:91] + wire i0_rs1_depend_i0_r = _T_883 & _T_884; // @[dec_decode_ctl.scala 733:74] + wire [1:0] _T_896 = i0_rs1_depend_i0_r ? 2'h2 : 2'h0; // @[dec_decode_ctl.scala 739:63] + wire [1:0] i0_rs1_depth_d = i0_rs1_depend_i0_x ? 2'h1 : _T_896; // @[dec_decode_ctl.scala 739:24] + wire _T_909 = _T_907 & i0_rs1_depth_d[0]; // @[dec_decode_ctl.scala 752:58] + reg i0_x_c_load; // @[Reg.scala 27:20] + reg i0_r_c_load; // @[Reg.scala 27:20] + wire _T_892_load = i0_rs1_depend_i0_r & i0_r_c_load; // @[dec_decode_ctl.scala 738:61] + wire i0_rs1_class_d_load = i0_rs1_depend_i0_x ? i0_x_c_load : _T_892_load; // @[dec_decode_ctl.scala 738:24] + wire load_ldst_bypass_d = _T_909 & i0_rs1_class_d_load; // @[dec_decode_ctl.scala 752:78] + wire _T_885 = io_decode_exu_dec_i0_rs2_en_d & x_d_bits_i0v; // @[dec_decode_ctl.scala 735:59] + wire _T_886 = x_d_bits_i0rd == i0r_rs2; // @[dec_decode_ctl.scala 735:91] + wire i0_rs2_depend_i0_x = _T_885 & _T_886; // @[dec_decode_ctl.scala 735:74] + wire _T_887 = io_decode_exu_dec_i0_rs2_en_d & r_d_bits_i0v; // @[dec_decode_ctl.scala 736:59] + wire _T_888 = r_d_bits_i0rd == i0r_rs2; // @[dec_decode_ctl.scala 736:91] + wire i0_rs2_depend_i0_r = _T_887 & _T_888; // @[dec_decode_ctl.scala 736:74] + wire [1:0] _T_905 = i0_rs2_depend_i0_r ? 2'h2 : 2'h0; // @[dec_decode_ctl.scala 741:63] + wire [1:0] i0_rs2_depth_d = i0_rs2_depend_i0_x ? 2'h1 : _T_905; // @[dec_decode_ctl.scala 741:24] + wire _T_912 = i0_dp_store & i0_rs2_depth_d[0]; // @[dec_decode_ctl.scala 753:43] + wire _T_901_load = i0_rs2_depend_i0_r & i0_r_c_load; // @[dec_decode_ctl.scala 740:61] + wire i0_rs2_class_d_load = i0_rs2_depend_i0_x ? i0_x_c_load : _T_901_load; // @[dec_decode_ctl.scala 740:24] + wire store_data_bypass_d = _T_912 & i0_rs2_class_d_load; // @[dec_decode_ctl.scala 753:63] + wire _T_349 = i0_dp_csr_clr | i0_dp_csr_set; // @[dec_decode_ctl.scala 427:42] reg r_d_bits_csrwen; // @[lib.scala 384:16] reg r_d_valid; // @[lib.scala 384:16] - wire _T_352 = r_d_bits_csrwen & r_d_valid; // @[dec_decode_ctl.scala 441:39] + wire _T_352 = r_d_bits_csrwen & r_d_valid; // @[dec_decode_ctl.scala 435:39] reg [11:0] r_d_bits_csrwaddr; // @[lib.scala 384:16] - wire _T_355 = r_d_bits_csrwaddr == 12'h300; // @[dec_decode_ctl.scala 444:50] - wire _T_356 = r_d_bits_csrwaddr == 12'h304; // @[dec_decode_ctl.scala 444:85] - wire _T_357 = _T_355 | _T_356; // @[dec_decode_ctl.scala 444:64] - wire _T_358 = _T_357 & r_d_bits_csrwen; // @[dec_decode_ctl.scala 444:100] - wire _T_359 = _T_358 & r_d_valid; // @[dec_decode_ctl.scala 444:118] - wire _T_360 = ~io_dec_tlu_i0_kill_writeb_wb; // @[dec_decode_ctl.scala 444:132] - reg csr_read_x; // @[dec_decode_ctl.scala 446:52] - reg csr_clr_x; // @[dec_decode_ctl.scala 447:51] - reg csr_set_x; // @[dec_decode_ctl.scala 448:51] - reg csr_write_x; // @[dec_decode_ctl.scala 449:53] - reg csr_imm_x; // @[dec_decode_ctl.scala 450:51] - wire i0_x_data_en = i0_pipe_en[3] | io_clk_override; // @[dec_decode_ctl.scala 627:50] + wire _T_355 = r_d_bits_csrwaddr == 12'h300; // @[dec_decode_ctl.scala 438:50] + wire _T_356 = r_d_bits_csrwaddr == 12'h304; // @[dec_decode_ctl.scala 438:85] + wire _T_357 = _T_355 | _T_356; // @[dec_decode_ctl.scala 438:64] + wire _T_358 = _T_357 & r_d_bits_csrwen; // @[dec_decode_ctl.scala 438:100] + wire _T_359 = _T_358 & r_d_valid; // @[dec_decode_ctl.scala 438:118] + wire _T_360 = ~io_dec_tlu_i0_kill_writeb_wb; // @[dec_decode_ctl.scala 438:132] + reg csr_read_x; // @[dec_decode_ctl.scala 440:52] + reg csr_clr_x; // @[dec_decode_ctl.scala 441:51] + reg csr_set_x; // @[dec_decode_ctl.scala 442:51] + reg csr_write_x; // @[dec_decode_ctl.scala 443:53] + reg csr_imm_x; // @[dec_decode_ctl.scala 444:51] + wire i0_x_data_en = i0_pipe_en[3] | io_clk_override; // @[dec_decode_ctl.scala 621:50] reg [4:0] csrimm_x; // @[lib.scala 374:16] reg [31:0] csr_rddata_x; // @[lib.scala 374:16] wire [31:0] _T_394 = {27'h0,csrimm_x}; // @[Cat.scala 29:58] - wire _T_396 = ~csr_imm_x; // @[dec_decode_ctl.scala 458:5] + wire _T_396 = ~csr_imm_x; // @[dec_decode_ctl.scala 452:5] wire [31:0] _T_397 = csr_imm_x ? _T_394 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_398 = _T_396 ? io_decode_exu_exu_csr_rs1_x : 32'h0; // @[Mux.scala 27:72] wire [31:0] csr_mask_x = _T_397 | _T_398; // @[Mux.scala 27:72] - wire [31:0] _T_400 = ~csr_mask_x; // @[dec_decode_ctl.scala 461:38] - wire [31:0] _T_401 = csr_rddata_x & _T_400; // @[dec_decode_ctl.scala 461:35] - wire [31:0] _T_402 = csr_rddata_x | csr_mask_x; // @[dec_decode_ctl.scala 462:35] + wire [31:0] _T_400 = ~csr_mask_x; // @[dec_decode_ctl.scala 455:38] + wire [31:0] _T_401 = csr_rddata_x & _T_400; // @[dec_decode_ctl.scala 455:35] + wire [31:0] _T_402 = csr_rddata_x | csr_mask_x; // @[dec_decode_ctl.scala 456:35] wire [31:0] _T_403 = csr_clr_x ? _T_401 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_404 = csr_set_x ? _T_402 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_405 = csr_write_x ? csr_mask_x : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_406 = _T_403 | _T_404; // @[Mux.scala 27:72] wire [31:0] write_csr_data_x = _T_406 | _T_405; // @[Mux.scala 27:72] - wire _T_421 = ~tlu_wr_pause_r1; // @[dec_decode_ctl.scala 472:44] - wire _T_422 = ~tlu_wr_pause_r2; // @[dec_decode_ctl.scala 472:64] - wire _T_423 = _T_421 & _T_422; // @[dec_decode_ctl.scala 472:61] - wire [31:0] _T_426 = write_csr_data - 32'h1; // @[dec_decode_ctl.scala 475:59] - wire _T_428 = csr_clr_x | csr_set_x; // @[dec_decode_ctl.scala 477:34] - wire _T_429 = _T_428 | csr_write_x; // @[dec_decode_ctl.scala 477:46] - wire _T_430 = _T_429 & csr_read_x; // @[dec_decode_ctl.scala 477:61] - wire _T_431 = _T_430 | io_dec_tlu_wr_pause_r; // @[dec_decode_ctl.scala 477:75] + wire _T_421 = ~tlu_wr_pause_r1; // @[dec_decode_ctl.scala 466:44] + wire _T_422 = ~tlu_wr_pause_r2; // @[dec_decode_ctl.scala 466:64] + wire _T_423 = _T_421 & _T_422; // @[dec_decode_ctl.scala 466:61] + wire [31:0] _T_426 = write_csr_data - 32'h1; // @[dec_decode_ctl.scala 469:59] + wire _T_428 = csr_clr_x | csr_set_x; // @[dec_decode_ctl.scala 471:34] + wire _T_429 = _T_428 | csr_write_x; // @[dec_decode_ctl.scala 471:46] + wire _T_430 = _T_429 & csr_read_x; // @[dec_decode_ctl.scala 471:61] + wire _T_431 = _T_430 | io_dec_tlu_wr_pause_r; // @[dec_decode_ctl.scala 471:75] reg r_d_bits_csrwonly; // @[lib.scala 384:16] - wire _T_767 = r_d_bits_i0v & r_d_bits_i0load; // @[dec_decode_ctl.scala 680:42] + wire _T_769 = r_d_bits_i0v & r_d_bits_i0load; // @[dec_decode_ctl.scala 674:42] reg [31:0] i0_result_r_raw; // @[lib.scala 374:16] - wire [31:0] i0_result_corr_r = _T_767 ? io_lsu_result_corr_r : i0_result_r_raw; // @[dec_decode_ctl.scala 680:27] + wire [31:0] i0_result_corr_r = _T_769 ? io_lsu_result_corr_r : i0_result_r_raw; // @[dec_decode_ctl.scala 674:27] reg x_d_bits_csrwonly; // @[lib.scala 384:16] - wire _T_435 = x_d_bits_csrwonly | r_d_bits_csrwonly; // @[dec_decode_ctl.scala 486:43] + wire _T_435 = x_d_bits_csrwonly | r_d_bits_csrwonly; // @[dec_decode_ctl.scala 480:43] reg wbd_bits_csrwonly; // @[lib.scala 384:16] - wire prior_csr_write = _T_435 | wbd_bits_csrwonly; // @[dec_decode_ctl.scala 486:63] - wire debug_fence_raw = io_dec_debug_fence_d & io_dbg_dctl_dbg_cmd_wrdata[1]; // @[dec_decode_ctl.scala 489:48] - wire debug_fence = debug_fence_raw | debug_fence_i; // @[dec_decode_ctl.scala 490:40] - wire _T_439 = i0_dp_presync | io_dec_tlu_presync_d; // @[dec_decode_ctl.scala 493:34] - wire _T_440 = _T_439 | debug_fence_i; // @[dec_decode_ctl.scala 493:57] - wire _T_441 = _T_440 | debug_fence_raw; // @[dec_decode_ctl.scala 493:73] - wire i0_presync = _T_441 | io_dec_tlu_pipelining_disable; // @[dec_decode_ctl.scala 493:91] + wire prior_csr_write = _T_435 | wbd_bits_csrwonly; // @[dec_decode_ctl.scala 480:63] + wire debug_fence_raw = io_dec_debug_fence_d & io_dbg_dctl_dbg_cmd_wrdata[1]; // @[dec_decode_ctl.scala 483:48] + wire debug_fence = debug_fence_raw | debug_fence_i; // @[dec_decode_ctl.scala 484:40] + wire _T_439 = i0_dp_presync | io_dec_tlu_presync_d; // @[dec_decode_ctl.scala 487:34] + wire _T_440 = _T_439 | debug_fence_i; // @[dec_decode_ctl.scala 487:57] + wire _T_441 = _T_440 | debug_fence_raw; // @[dec_decode_ctl.scala 487:73] + wire i0_presync = _T_441 | io_dec_tlu_pipelining_disable; // @[dec_decode_ctl.scala 487:91] wire [31:0] _T_465 = {16'h0,io_dec_aln_ifu_i0_cinst}; // @[Cat.scala 29:58] - wire _T_467 = ~illegal_lockout; // @[dec_decode_ctl.scala 505:44] + wire _T_467 = ~illegal_lockout; // @[dec_decode_ctl.scala 499:44] reg [31:0] _T_468; // @[lib.scala 374:16] - wire i0_div_prior_div_stall = i0_dp_div & io_dec_div_active; // @[dec_decode_ctl.scala 509:42] - wire _T_473 = i0_dp_csr_read & prior_csr_write; // @[dec_decode_ctl.scala 511:40] - wire _T_474 = _T_473 | io_decode_exu_dec_extint_stall; // @[dec_decode_ctl.scala 511:59] - wire _T_475 = _T_474 | pause_stall; // @[dec_decode_ctl.scala 511:92] - wire _T_476 = _T_475 | leak1_i0_stall; // @[dec_decode_ctl.scala 511:106] - wire _T_477 = _T_476 | io_dec_tlu_debug_stall; // @[dec_decode_ctl.scala 512:20] - wire _T_478 = _T_477 | postsync_stall; // @[dec_decode_ctl.scala 512:45] - wire prior_inflight = x_d_valid | r_d_valid; // @[dec_decode_ctl.scala 534:41] - wire prior_inflight_eff = i0_dp_div ? x_d_valid : prior_inflight; // @[dec_decode_ctl.scala 535:31] - wire presync_stall = i0_presync & prior_inflight_eff; // @[dec_decode_ctl.scala 537:37] - wire _T_479 = _T_478 | presync_stall; // @[dec_decode_ctl.scala 512:62] - wire _T_480 = i0_dp_fence | debug_fence; // @[dec_decode_ctl.scala 513:19] - wire _T_481 = ~lsu_idle; // @[dec_decode_ctl.scala 513:36] - wire _T_482 = _T_480 & _T_481; // @[dec_decode_ctl.scala 513:34] - wire _T_483 = _T_479 | _T_482; // @[dec_decode_ctl.scala 512:79] - wire _T_484 = _T_483 | i0_nonblock_load_stall; // @[dec_decode_ctl.scala 513:47] - wire _T_825 = io_decode_exu_dec_i0_rs1_en_d & io_dec_div_active; // @[dec_decode_ctl.scala 708:60] - wire _T_826 = io_div_waddr_wb == i0r_rs1; // @[dec_decode_ctl.scala 708:99] - wire _T_827 = _T_825 & _T_826; // @[dec_decode_ctl.scala 708:80] - wire _T_828 = io_decode_exu_dec_i0_rs2_en_d & io_dec_div_active; // @[dec_decode_ctl.scala 709:36] - wire _T_829 = io_div_waddr_wb == i0r_rs2; // @[dec_decode_ctl.scala 709:75] - wire _T_830 = _T_828 & _T_829; // @[dec_decode_ctl.scala 709:56] - wire i0_nonblock_div_stall = _T_827 | _T_830; // @[dec_decode_ctl.scala 708:113] - wire _T_486 = _T_484 | i0_nonblock_div_stall; // @[dec_decode_ctl.scala 514:21] - wire i0_block_raw_d = _T_486 | i0_div_prior_div_stall; // @[dec_decode_ctl.scala 514:45] - wire _T_487 = io_lsu_store_stall_any | io_dctl_dma_dma_dccm_stall_any; // @[dec_decode_ctl.scala 516:65] - wire i0_store_stall_d = i0_dp_store & _T_487; // @[dec_decode_ctl.scala 516:39] - wire _T_488 = io_lsu_load_stall_any | io_dctl_dma_dma_dccm_stall_any; // @[dec_decode_ctl.scala 517:63] - wire i0_load_stall_d = i0_dp_load & _T_488; // @[dec_decode_ctl.scala 517:38] - wire _T_489 = i0_block_raw_d | i0_store_stall_d; // @[dec_decode_ctl.scala 518:38] - wire i0_block_d = _T_489 | i0_load_stall_d; // @[dec_decode_ctl.scala 518:57] - wire _T_490 = ~i0_block_d; // @[dec_decode_ctl.scala 522:54] - wire _T_491 = io_dec_ib0_valid_d & _T_490; // @[dec_decode_ctl.scala 522:52] - wire _T_493 = _T_491 & _T_280; // @[dec_decode_ctl.scala 522:69] - wire _T_496 = ~i0_block_raw_d; // @[dec_decode_ctl.scala 523:46] - wire _T_497 = io_dec_ib0_valid_d & _T_496; // @[dec_decode_ctl.scala 523:44] - wire _T_499 = _T_497 & _T_280; // @[dec_decode_ctl.scala 523:61] - wire i0_exudecode_d = _T_499 & _T_470; // @[dec_decode_ctl.scala 523:89] - wire i0_exulegal_decode_d = i0_exudecode_d & i0_legal; // @[dec_decode_ctl.scala 524:46] - wire _T_501 = ~io_dec_aln_dec_i0_decode_d; // @[dec_decode_ctl.scala 528:51] - wire _T_520 = i0_dp_fence_i | debug_fence_i; // @[dec_decode_ctl.scala 556:44] + wire i0_div_prior_div_stall = i0_dp_div & io_dec_div_active; // @[dec_decode_ctl.scala 503:42] + wire _T_473 = i0_dp_csr_read & prior_csr_write; // @[dec_decode_ctl.scala 505:40] + wire _T_474 = _T_473 | io_decode_exu_dec_extint_stall; // @[dec_decode_ctl.scala 505:59] + wire _T_475 = _T_474 | pause_stall; // @[dec_decode_ctl.scala 505:92] + wire _T_476 = _T_475 | leak1_i0_stall; // @[dec_decode_ctl.scala 505:106] + wire _T_477 = _T_476 | io_dec_tlu_debug_stall; // @[dec_decode_ctl.scala 506:20] + wire _T_478 = _T_477 | postsync_stall; // @[dec_decode_ctl.scala 506:45] + wire prior_inflight = x_d_valid | r_d_valid; // @[dec_decode_ctl.scala 528:41] + wire prior_inflight_eff = i0_dp_div ? x_d_valid : prior_inflight; // @[dec_decode_ctl.scala 529:31] + wire presync_stall = i0_presync & prior_inflight_eff; // @[dec_decode_ctl.scala 531:37] + wire _T_479 = _T_478 | presync_stall; // @[dec_decode_ctl.scala 506:62] + wire _T_480 = i0_dp_fence | debug_fence; // @[dec_decode_ctl.scala 507:19] + wire _T_481 = ~lsu_idle; // @[dec_decode_ctl.scala 507:36] + wire _T_482 = _T_480 & _T_481; // @[dec_decode_ctl.scala 507:34] + wire _T_483 = _T_479 | _T_482; // @[dec_decode_ctl.scala 506:79] + wire _T_484 = _T_483 | i0_nonblock_load_stall; // @[dec_decode_ctl.scala 507:47] + wire _T_827 = io_decode_exu_dec_i0_rs1_en_d & io_dec_div_active; // @[dec_decode_ctl.scala 702:60] + wire _T_828 = io_div_waddr_wb == i0r_rs1; // @[dec_decode_ctl.scala 702:99] + wire _T_829 = _T_827 & _T_828; // @[dec_decode_ctl.scala 702:80] + wire _T_830 = io_decode_exu_dec_i0_rs2_en_d & io_dec_div_active; // @[dec_decode_ctl.scala 703:36] + wire _T_831 = io_div_waddr_wb == i0r_rs2; // @[dec_decode_ctl.scala 703:75] + wire _T_832 = _T_830 & _T_831; // @[dec_decode_ctl.scala 703:56] + wire i0_nonblock_div_stall = _T_829 | _T_832; // @[dec_decode_ctl.scala 702:113] + wire _T_486 = _T_484 | i0_nonblock_div_stall; // @[dec_decode_ctl.scala 508:21] + wire i0_block_raw_d = _T_486 | i0_div_prior_div_stall; // @[dec_decode_ctl.scala 508:45] + wire _T_487 = io_lsu_store_stall_any | io_dctl_dma_dma_dccm_stall_any; // @[dec_decode_ctl.scala 510:65] + wire i0_store_stall_d = i0_dp_store & _T_487; // @[dec_decode_ctl.scala 510:39] + wire _T_488 = io_lsu_load_stall_any | io_dctl_dma_dma_dccm_stall_any; // @[dec_decode_ctl.scala 511:63] + wire i0_load_stall_d = i0_dp_load & _T_488; // @[dec_decode_ctl.scala 511:38] + wire _T_489 = i0_block_raw_d | i0_store_stall_d; // @[dec_decode_ctl.scala 512:38] + wire i0_block_d = _T_489 | i0_load_stall_d; // @[dec_decode_ctl.scala 512:57] + wire _T_490 = ~i0_block_d; // @[dec_decode_ctl.scala 516:54] + wire _T_491 = io_dec_ib0_valid_d & _T_490; // @[dec_decode_ctl.scala 516:52] + wire _T_493 = _T_491 & _T_280; // @[dec_decode_ctl.scala 516:69] + wire _T_496 = ~i0_block_raw_d; // @[dec_decode_ctl.scala 517:46] + wire _T_497 = io_dec_ib0_valid_d & _T_496; // @[dec_decode_ctl.scala 517:44] + wire _T_499 = _T_497 & _T_280; // @[dec_decode_ctl.scala 517:61] + wire i0_exudecode_d = _T_499 & _T_470; // @[dec_decode_ctl.scala 517:89] + wire i0_exulegal_decode_d = i0_exudecode_d & i0_legal; // @[dec_decode_ctl.scala 518:46] + wire _T_501 = ~io_dec_aln_dec_i0_decode_d; // @[dec_decode_ctl.scala 522:51] + wire _T_520 = i0_dp_fence_i | debug_fence_i; // @[dec_decode_ctl.scala 550:44] wire [3:0] _T_525 = {io_dec_aln_dec_i0_decode_d,io_dec_aln_dec_i0_decode_d,io_dec_aln_dec_i0_decode_d,io_dec_aln_dec_i0_decode_d}; // @[Cat.scala 29:58] - wire _T_707 = |i0_pipe_en[3:2]; // @[dec_decode_ctl.scala 624:49] - wire i0_x_ctl_en = _T_707 | io_clk_override; // @[dec_decode_ctl.scala 624:53] + wire _T_709 = |i0_pipe_en[3:2]; // @[dec_decode_ctl.scala 618:49] + wire i0_x_ctl_en = _T_709 | io_clk_override; // @[dec_decode_ctl.scala 618:53] reg x_t_legal; // @[lib.scala 384:16] reg x_t_icaf; // @[lib.scala 384:16] reg x_t_icaf_f1; // @[lib.scala 384:16] @@ -46933,7 +46926,7 @@ module dec_decode_ctl( reg [3:0] x_t_pmu_i0_itype; // @[lib.scala 384:16] reg x_t_pmu_i0_br_unpred; // @[lib.scala 384:16] wire [3:0] _T_533 = {io_dec_tlu_flush_lower_wb,io_dec_tlu_flush_lower_wb,io_dec_tlu_flush_lower_wb,io_dec_tlu_flush_lower_wb}; // @[Cat.scala 29:58] - wire [3:0] _T_534 = ~_T_533; // @[dec_decode_ctl.scala 569:39] + wire [3:0] _T_534 = ~_T_533; // @[dec_decode_ctl.scala 563:39] reg r_t_legal; // @[lib.scala 384:16] reg r_t_icaf; // @[lib.scala 384:16] reg r_t_icaf_f1; // @[lib.scala 384:16] @@ -46942,22 +46935,22 @@ module dec_decode_ctl( reg [3:0] r_t_i0trigger; // @[lib.scala 384:16] reg [3:0] r_t_pmu_i0_itype; // @[lib.scala 384:16] reg r_t_pmu_i0_br_unpred; // @[lib.scala 384:16] - reg [3:0] lsu_trigger_match_r; // @[dec_decode_ctl.scala 572:36] - reg lsu_pmu_misaligned_r; // @[dec_decode_ctl.scala 573:37] + reg [3:0] lsu_trigger_match_r; // @[dec_decode_ctl.scala 566:36] + reg lsu_pmu_misaligned_r; // @[dec_decode_ctl.scala 567:37] reg r_d_bits_i0store; // @[lib.scala 384:16] - wire _T_539 = r_d_bits_i0load | r_d_bits_i0store; // @[dec_decode_ctl.scala 577:61] + wire _T_539 = r_d_bits_i0load | r_d_bits_i0store; // @[dec_decode_ctl.scala 571:61] wire [3:0] _T_543 = {_T_539,_T_539,_T_539,_T_539}; // @[Cat.scala 29:58] - wire [3:0] _T_544 = _T_543 & lsu_trigger_match_r; // @[dec_decode_ctl.scala 577:82] - wire [3:0] _T_545 = _T_544 | r_t_i0trigger; // @[dec_decode_ctl.scala 577:105] + wire [3:0] _T_544 = _T_543 & lsu_trigger_match_r; // @[dec_decode_ctl.scala 571:82] + wire [3:0] _T_545 = _T_544 | r_t_i0trigger; // @[dec_decode_ctl.scala 571:105] reg r_d_bits_i0div; // @[lib.scala 384:16] - wire _T_548 = r_d_bits_i0div & r_d_valid; // @[dec_decode_ctl.scala 583:58] - wire _T_559 = i0r_rs1 != 5'h0; // @[dec_decode_ctl.scala 594:60] - wire _T_561 = i0r_rs2 != 5'h0; // @[dec_decode_ctl.scala 595:60] - wire _T_563 = i0r_rd != 5'h0; // @[dec_decode_ctl.scala 596:48] - wire i0_rd_en_d = i0_dp_rd & _T_563; // @[dec_decode_ctl.scala 596:37] - wire i0_jalimm20 = i0_dp_jal & i0_dp_imm20; // @[dec_decode_ctl.scala 600:38] - wire _T_564 = ~i0_dp_jal; // @[dec_decode_ctl.scala 601:27] - wire i0_uiimm20 = _T_564 & i0_dp_imm20; // @[dec_decode_ctl.scala 601:38] + wire _T_548 = r_d_bits_i0div & r_d_valid; // @[dec_decode_ctl.scala 577:58] + wire _T_559 = i0r_rs1 != 5'h0; // @[dec_decode_ctl.scala 588:60] + wire _T_561 = i0r_rs2 != 5'h0; // @[dec_decode_ctl.scala 589:60] + wire _T_563 = i0r_rd != 5'h0; // @[dec_decode_ctl.scala 590:48] + wire i0_rd_en_d = i0_dp_rd & _T_563; // @[dec_decode_ctl.scala 590:37] + wire i0_jalimm20 = i0_dp_jal & i0_dp_imm20; // @[dec_decode_ctl.scala 594:38] + wire _T_564 = ~i0_dp_jal; // @[dec_decode_ctl.scala 595:27] + wire i0_uiimm20 = _T_564 & i0_dp_imm20; // @[dec_decode_ctl.scala 595:38] wire [31:0] _T_566 = i0_dp_csr_read ? io_dec_csr_rddata_d : 32'h0; // @[Mux.scala 27:72] wire [9:0] _T_580 = {io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31]}; // @[Cat.scala 29:58] wire [18:0] _T_589 = {_T_580,io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31]}; // @[Cat.scala 29:58] @@ -46972,148 +46965,148 @@ module dec_decode_ctl( wire [31:0] _T_655 = {io_dec_i0_instr_d[31:12],12'h0}; // @[Cat.scala 29:58] wire [31:0] _T_690 = i0_uiimm20 ? _T_655 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_694 = _T_693 | _T_690; // @[Mux.scala 27:72] - wire _T_656 = i0_csr_write_only_d & i0_dp_csr_imm; // @[dec_decode_ctl.scala 612:26] + wire _T_656 = i0_csr_write_only_d & i0_dp_csr_imm; // @[dec_decode_ctl.scala 606:26] wire [31:0] _T_686 = {27'h0,i0r_rs1}; // @[Cat.scala 29:58] wire [31:0] _T_691 = _T_656 ? _T_686 : 32'h0; // @[Mux.scala 27:72] wire [31:0] i0_immed_d = _T_694 | _T_691; // @[Mux.scala 27:72] wire [31:0] _T_567 = _T_347 ? i0_immed_d : 32'h0; // @[Mux.scala 27:72] - wire i0_d_c_mul = i0_dp_mul & i0_legal_decode_d; // @[dec_decode_ctl.scala 616:44] - wire i0_d_c_load = i0_dp_load & i0_legal_decode_d; // @[dec_decode_ctl.scala 617:44] - wire i0_d_c_alu = i0_dp_alu & i0_legal_decode_d; // @[dec_decode_ctl.scala 618:44] - reg i0_x_c_mul; // @[Reg.scala 15:16] - reg i0_x_c_alu; // @[Reg.scala 15:16] - reg i0_r_c_mul; // @[Reg.scala 15:16] - reg i0_r_c_alu; // @[Reg.scala 15:16] - wire _T_713 = |i0_pipe_en[1:0]; // @[dec_decode_ctl.scala 626:49] - wire i0_r_data_en = i0_pipe_en[2] | io_clk_override; // @[dec_decode_ctl.scala 628:50] + wire i0_d_c_mul = i0_dp_mul & i0_legal_decode_d; // @[dec_decode_ctl.scala 610:44] + wire i0_d_c_load = i0_dp_load & i0_legal_decode_d; // @[dec_decode_ctl.scala 611:44] + wire i0_d_c_alu = i0_dp_alu & i0_legal_decode_d; // @[dec_decode_ctl.scala 612:44] + reg i0_x_c_mul; // @[Reg.scala 27:20] + reg i0_x_c_alu; // @[Reg.scala 27:20] + reg i0_r_c_mul; // @[Reg.scala 27:20] + reg i0_r_c_alu; // @[Reg.scala 27:20] + wire _T_715 = |i0_pipe_en[1:0]; // @[dec_decode_ctl.scala 620:49] + wire i0_r_data_en = i0_pipe_en[2] | io_clk_override; // @[dec_decode_ctl.scala 622:50] reg x_d_bits_i0store; // @[lib.scala 384:16] reg x_d_bits_i0div; // @[lib.scala 384:16] reg x_d_bits_csrwen; // @[lib.scala 384:16] reg [11:0] x_d_bits_csrwaddr; // @[lib.scala 384:16] - wire _T_736 = x_d_bits_i0v & _T_746; // @[dec_decode_ctl.scala 650:47] - wire _T_740 = x_d_valid & _T_746; // @[dec_decode_ctl.scala 651:33] - wire _T_759 = ~r_d_bits_i0div; // @[dec_decode_ctl.scala 666:49] - wire _T_760 = i0_wen_r & _T_759; // @[dec_decode_ctl.scala 666:47] - wire _T_761 = ~i0_load_kill_wen_r; // @[dec_decode_ctl.scala 666:70] - wire _T_764 = x_d_bits_i0v & x_d_bits_i0load; // @[dec_decode_ctl.scala 675:47] - wire _T_771 = io_decode_exu_i0_ap_predict_nt & _T_564; // @[dec_decode_ctl.scala 681:71] - wire [11:0] _T_784 = {10'h0,io_dec_i0_pc4_d,i0_ap_pc2}; // @[Cat.scala 29:58] + wire _T_738 = x_d_bits_i0v & _T_748; // @[dec_decode_ctl.scala 644:47] + wire _T_742 = x_d_valid & _T_748; // @[dec_decode_ctl.scala 645:33] + wire _T_761 = ~r_d_bits_i0div; // @[dec_decode_ctl.scala 660:49] + wire _T_762 = i0_wen_r & _T_761; // @[dec_decode_ctl.scala 660:47] + wire _T_763 = ~i0_load_kill_wen_r; // @[dec_decode_ctl.scala 660:70] + wire _T_766 = x_d_bits_i0v & x_d_bits_i0load; // @[dec_decode_ctl.scala 669:47] + wire _T_773 = io_decode_exu_i0_ap_predict_nt & _T_564; // @[dec_decode_ctl.scala 675:71] + wire [11:0] _T_786 = {10'h0,io_dec_i0_pc4_d,i0_ap_pc2}; // @[Cat.scala 29:58] reg [11:0] last_br_immed_x; // @[lib.scala 374:16] - wire _T_802 = x_d_bits_i0div & x_d_valid; // @[dec_decode_ctl.scala 689:45] - wire div_e1_to_r = _T_802 | _T_548; // @[dec_decode_ctl.scala 689:58] - wire _T_805 = x_d_bits_i0rd == 5'h0; // @[dec_decode_ctl.scala 691:77] - wire _T_806 = _T_802 & _T_805; // @[dec_decode_ctl.scala 691:60] - wire _T_808 = _T_802 & io_dec_tlu_flush_lower_r; // @[dec_decode_ctl.scala 692:33] - wire _T_809 = _T_806 | _T_808; // @[dec_decode_ctl.scala 691:94] - wire _T_811 = _T_548 & io_dec_tlu_flush_lower_r; // @[dec_decode_ctl.scala 693:33] - wire _T_812 = _T_811 & io_dec_tlu_i0_kill_writeb_r; // @[dec_decode_ctl.scala 693:60] - wire div_flush = _T_809 | _T_812; // @[dec_decode_ctl.scala 692:62] - wire _T_813 = io_dec_div_active & div_flush; // @[dec_decode_ctl.scala 697:51] - wire _T_814 = ~div_e1_to_r; // @[dec_decode_ctl.scala 698:26] - wire _T_815 = io_dec_div_active & _T_814; // @[dec_decode_ctl.scala 698:24] - wire _T_816 = r_d_bits_i0rd == io_div_waddr_wb; // @[dec_decode_ctl.scala 698:56] - wire _T_817 = _T_815 & _T_816; // @[dec_decode_ctl.scala 698:39] - wire _T_818 = _T_817 & i0_wen_r; // @[dec_decode_ctl.scala 698:77] - wire nonblock_div_cancel = _T_813 | _T_818; // @[dec_decode_ctl.scala 697:65] - wire i0_div_decode_d = i0_legal_decode_d & i0_dp_div; // @[dec_decode_ctl.scala 701:55] - wire _T_820 = ~io_exu_div_wren; // @[dec_decode_ctl.scala 703:62] - wire _T_821 = io_dec_div_active & _T_820; // @[dec_decode_ctl.scala 703:60] - wire _T_822 = ~nonblock_div_cancel; // @[dec_decode_ctl.scala 703:81] - wire _T_823 = _T_821 & _T_822; // @[dec_decode_ctl.scala 703:79] - reg _T_824; // @[dec_decode_ctl.scala 705:54] - reg [4:0] _T_833; // @[Reg.scala 27:20] + wire _T_804 = x_d_bits_i0div & x_d_valid; // @[dec_decode_ctl.scala 683:45] + wire div_e1_to_r = _T_804 | _T_548; // @[dec_decode_ctl.scala 683:58] + wire _T_807 = x_d_bits_i0rd == 5'h0; // @[dec_decode_ctl.scala 685:77] + wire _T_808 = _T_804 & _T_807; // @[dec_decode_ctl.scala 685:60] + wire _T_810 = _T_804 & io_dec_tlu_flush_lower_r; // @[dec_decode_ctl.scala 686:33] + wire _T_811 = _T_808 | _T_810; // @[dec_decode_ctl.scala 685:94] + wire _T_813 = _T_548 & io_dec_tlu_flush_lower_r; // @[dec_decode_ctl.scala 687:33] + wire _T_814 = _T_813 & io_dec_tlu_i0_kill_writeb_r; // @[dec_decode_ctl.scala 687:60] + wire div_flush = _T_811 | _T_814; // @[dec_decode_ctl.scala 686:62] + wire _T_815 = io_dec_div_active & div_flush; // @[dec_decode_ctl.scala 691:51] + wire _T_816 = ~div_e1_to_r; // @[dec_decode_ctl.scala 692:26] + wire _T_817 = io_dec_div_active & _T_816; // @[dec_decode_ctl.scala 692:24] + wire _T_818 = r_d_bits_i0rd == io_div_waddr_wb; // @[dec_decode_ctl.scala 692:56] + wire _T_819 = _T_817 & _T_818; // @[dec_decode_ctl.scala 692:39] + wire _T_820 = _T_819 & i0_wen_r; // @[dec_decode_ctl.scala 692:77] + wire nonblock_div_cancel = _T_815 | _T_820; // @[dec_decode_ctl.scala 691:65] + wire i0_div_decode_d = i0_legal_decode_d & i0_dp_div; // @[dec_decode_ctl.scala 695:55] + wire _T_822 = ~io_exu_div_wren; // @[dec_decode_ctl.scala 697:62] + wire _T_823 = io_dec_div_active & _T_822; // @[dec_decode_ctl.scala 697:60] + wire _T_824 = ~nonblock_div_cancel; // @[dec_decode_ctl.scala 697:81] + wire _T_825 = _T_823 & _T_824; // @[dec_decode_ctl.scala 697:79] + reg _T_826; // @[dec_decode_ctl.scala 699:54] + reg [4:0] _T_835; // @[Reg.scala 27:20] reg [31:0] i0_inst_x; // @[lib.scala 374:16] reg [31:0] i0_inst_r; // @[lib.scala 374:16] reg [31:0] i0_inst_wb; // @[lib.scala 374:16] - reg [31:0] _T_840; // @[lib.scala 374:16] + reg [31:0] _T_842; // @[lib.scala 374:16] reg [30:0] i0_pc_wb; // @[lib.scala 374:16] - reg [30:0] _T_843; // @[lib.scala 374:16] + reg [30:0] _T_845; // @[lib.scala 374:16] reg [30:0] dec_i0_pc_r; // @[lib.scala 374:16] - wire [31:0] _T_845 = {io_dec_alu_exu_i0_pc_x,1'h0}; // @[Cat.scala 29:58] - wire [12:0] _T_846 = {last_br_immed_x,1'h0}; // @[Cat.scala 29:58] - wire [12:0] _T_849 = _T_845[12:1] + _T_846[12:1]; // @[lib.scala 68:31] - wire [18:0] _T_852 = _T_845[31:13] + 19'h1; // @[lib.scala 69:27] - wire [18:0] _T_855 = _T_845[31:13] - 19'h1; // @[lib.scala 70:27] - wire _T_858 = ~_T_849[12]; // @[lib.scala 72:28] - wire _T_859 = _T_846[12] ^ _T_858; // @[lib.scala 72:26] - wire _T_862 = ~_T_846[12]; // @[lib.scala 73:20] - wire _T_864 = _T_862 & _T_849[12]; // @[lib.scala 73:26] - wire _T_868 = _T_846[12] & _T_858; // @[lib.scala 74:26] - wire [18:0] _T_870 = _T_859 ? _T_845[31:13] : 19'h0; // @[Mux.scala 27:72] - wire [18:0] _T_871 = _T_864 ? _T_852 : 19'h0; // @[Mux.scala 27:72] - wire [18:0] _T_872 = _T_868 ? _T_855 : 19'h0; // @[Mux.scala 27:72] - wire [18:0] _T_873 = _T_870 | _T_871; // @[Mux.scala 27:72] - wire [18:0] _T_874 = _T_873 | _T_872; // @[Mux.scala 27:72] - wire [31:0] temp_pred_correct_npc_x = {_T_874,_T_849[11:0],1'h0}; // @[Cat.scala 29:58] - wire _T_890_mul = i0_rs1_depend_i0_r & i0_r_c_mul; // @[dec_decode_ctl.scala 744:61] - wire _T_890_alu = i0_rs1_depend_i0_r & i0_r_c_alu; // @[dec_decode_ctl.scala 744:61] - wire i0_rs1_class_d_mul = i0_rs1_depend_i0_x ? i0_x_c_mul : _T_890_mul; // @[dec_decode_ctl.scala 744:24] - wire i0_rs1_class_d_alu = i0_rs1_depend_i0_x ? i0_x_c_alu : _T_890_alu; // @[dec_decode_ctl.scala 744:24] - wire _T_899_mul = i0_rs2_depend_i0_r & i0_r_c_mul; // @[dec_decode_ctl.scala 746:61] - wire _T_899_alu = i0_rs2_depend_i0_r & i0_r_c_alu; // @[dec_decode_ctl.scala 746:61] - wire i0_rs2_class_d_mul = i0_rs2_depend_i0_x ? i0_x_c_mul : _T_899_mul; // @[dec_decode_ctl.scala 746:24] - wire i0_rs2_class_d_alu = i0_rs2_depend_i0_x ? i0_x_c_alu : _T_899_alu; // @[dec_decode_ctl.scala 746:24] - wire _T_912 = io_decode_exu_dec_i0_rs1_en_d & io_dec_nonblock_load_wen; // @[dec_decode_ctl.scala 764:73] - wire _T_913 = io_dec_nonblock_load_waddr == i0r_rs1; // @[dec_decode_ctl.scala 764:130] - wire i0_rs1_nonblock_load_bypass_en_d = _T_912 & _T_913; // @[dec_decode_ctl.scala 764:100] - wire _T_914 = io_decode_exu_dec_i0_rs2_en_d & io_dec_nonblock_load_wen; // @[dec_decode_ctl.scala 766:73] - wire _T_915 = io_dec_nonblock_load_waddr == i0r_rs2; // @[dec_decode_ctl.scala 766:130] - wire i0_rs2_nonblock_load_bypass_en_d = _T_914 & _T_915; // @[dec_decode_ctl.scala 766:100] - wire _T_917 = i0_rs1_class_d_alu | i0_rs1_class_d_mul; // @[dec_decode_ctl.scala 769:66] - wire _T_918 = i0_rs1_depth_d[0] & _T_917; // @[dec_decode_ctl.scala 769:45] - wire _T_920 = i0_rs1_depth_d[0] & i0_rs1_class_d_load; // @[dec_decode_ctl.scala 769:108] - wire _T_923 = _T_917 | i0_rs1_class_d_load; // @[dec_decode_ctl.scala 769:196] - wire _T_924 = i0_rs1_depth_d[1] & _T_923; // @[dec_decode_ctl.scala 769:153] - wire [2:0] i0_rs1bypass = {_T_918,_T_920,_T_924}; // @[Cat.scala 29:58] - wire _T_928 = i0_rs2_class_d_alu | i0_rs2_class_d_mul; // @[dec_decode_ctl.scala 771:67] - wire _T_929 = i0_rs2_depth_d[0] & _T_928; // @[dec_decode_ctl.scala 771:45] - wire _T_931 = i0_rs2_depth_d[0] & i0_rs2_class_d_load; // @[dec_decode_ctl.scala 771:109] - wire _T_934 = _T_928 | i0_rs2_class_d_load; // @[dec_decode_ctl.scala 771:196] - wire _T_935 = i0_rs2_depth_d[1] & _T_934; // @[dec_decode_ctl.scala 771:153] - wire [2:0] i0_rs2bypass = {_T_929,_T_931,_T_935}; // @[Cat.scala 29:58] - wire _T_941 = i0_rs1bypass[1] | i0_rs1bypass[0]; // @[dec_decode_ctl.scala 773:86] - wire _T_943 = ~i0_rs1bypass[2]; // @[dec_decode_ctl.scala 773:107] - wire _T_944 = _T_943 & i0_rs1_nonblock_load_bypass_en_d; // @[dec_decode_ctl.scala 773:124] - wire _T_945 = _T_941 | _T_944; // @[dec_decode_ctl.scala 773:104] - wire _T_950 = i0_rs2bypass[1] | i0_rs2bypass[0]; // @[dec_decode_ctl.scala 774:86] - wire _T_952 = ~i0_rs2bypass[2]; // @[dec_decode_ctl.scala 774:107] - wire _T_953 = _T_952 & i0_rs2_nonblock_load_bypass_en_d; // @[dec_decode_ctl.scala 774:124] - wire _T_954 = _T_950 | _T_953; // @[dec_decode_ctl.scala 774:104] - wire _T_961 = ~i0_rs1bypass[1]; // @[dec_decode_ctl.scala 780:6] - wire _T_963 = ~i0_rs1bypass[0]; // @[dec_decode_ctl.scala 780:25] - wire _T_964 = _T_961 & _T_963; // @[dec_decode_ctl.scala 780:23] - wire _T_965 = _T_964 & i0_rs1_nonblock_load_bypass_en_d; // @[dec_decode_ctl.scala 780:42] - wire [31:0] _T_967 = i0_rs1bypass[1] ? io_lsu_result_m : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_968 = i0_rs1bypass[0] ? i0_result_r_raw : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_969 = _T_965 ? io_dctl_busbuff_lsu_nonblock_load_data : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_970 = _T_967 | _T_968; // @[Mux.scala 27:72] - wire _T_978 = ~i0_rs2bypass[1]; // @[dec_decode_ctl.scala 785:6] - wire _T_980 = ~i0_rs2bypass[0]; // @[dec_decode_ctl.scala 785:25] - wire _T_981 = _T_978 & _T_980; // @[dec_decode_ctl.scala 785:23] - wire _T_982 = _T_981 & i0_rs2_nonblock_load_bypass_en_d; // @[dec_decode_ctl.scala 785:42] - wire [31:0] _T_984 = i0_rs2bypass[1] ? io_lsu_result_m : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_985 = i0_rs2bypass[0] ? i0_result_r_raw : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_986 = _T_982 ? io_dctl_busbuff_lsu_nonblock_load_data : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_987 = _T_984 | _T_985; // @[Mux.scala 27:72] - wire _T_990 = i0_dp_raw_load | i0_dp_raw_store; // @[dec_decode_ctl.scala 787:68] - wire _T_991 = io_dec_ib0_valid_d & _T_990; // @[dec_decode_ctl.scala 787:50] - wire _T_992 = ~io_dctl_dma_dma_dccm_stall_any; // @[dec_decode_ctl.scala 787:89] - wire _T_993 = _T_991 & _T_992; // @[dec_decode_ctl.scala 787:87] - wire _T_995 = _T_993 & _T_496; // @[dec_decode_ctl.scala 787:121] - wire _T_997 = ~io_decode_exu_dec_extint_stall; // @[dec_decode_ctl.scala 789:6] - wire _T_998 = _T_997 & i0_dp_lsu; // @[dec_decode_ctl.scala 789:38] - wire _T_999 = _T_998 & i0_dp_load; // @[dec_decode_ctl.scala 789:50] - wire _T_1004 = _T_998 & i0_dp_store; // @[dec_decode_ctl.scala 790:50] - wire [11:0] _T_1008 = {io_dec_i0_instr_d[31:25],i0r_rd}; // @[Cat.scala 29:58] - wire [11:0] _T_1009 = _T_999 ? io_dec_i0_instr_d[31:20] : 12'h0; // @[Mux.scala 27:72] - wire [11:0] _T_1010 = _T_1004 ? _T_1008 : 12'h0; // @[Mux.scala 27:72] + wire [31:0] _T_847 = {io_dec_alu_exu_i0_pc_x,1'h0}; // @[Cat.scala 29:58] + wire [12:0] _T_848 = {last_br_immed_x,1'h0}; // @[Cat.scala 29:58] + wire [12:0] _T_851 = _T_847[12:1] + _T_848[12:1]; // @[lib.scala 68:31] + wire [18:0] _T_854 = _T_847[31:13] + 19'h1; // @[lib.scala 69:27] + wire [18:0] _T_857 = _T_847[31:13] - 19'h1; // @[lib.scala 70:27] + wire _T_860 = ~_T_851[12]; // @[lib.scala 72:28] + wire _T_861 = _T_848[12] ^ _T_860; // @[lib.scala 72:26] + wire _T_864 = ~_T_848[12]; // @[lib.scala 73:20] + wire _T_866 = _T_864 & _T_851[12]; // @[lib.scala 73:26] + wire _T_870 = _T_848[12] & _T_860; // @[lib.scala 74:26] + wire [18:0] _T_872 = _T_861 ? _T_847[31:13] : 19'h0; // @[Mux.scala 27:72] + wire [18:0] _T_873 = _T_866 ? _T_854 : 19'h0; // @[Mux.scala 27:72] + wire [18:0] _T_874 = _T_870 ? _T_857 : 19'h0; // @[Mux.scala 27:72] + wire [18:0] _T_875 = _T_872 | _T_873; // @[Mux.scala 27:72] + wire [18:0] _T_876 = _T_875 | _T_874; // @[Mux.scala 27:72] + wire [31:0] temp_pred_correct_npc_x = {_T_876,_T_851[11:0],1'h0}; // @[Cat.scala 29:58] + wire _T_892_mul = i0_rs1_depend_i0_r & i0_r_c_mul; // @[dec_decode_ctl.scala 738:61] + wire _T_892_alu = i0_rs1_depend_i0_r & i0_r_c_alu; // @[dec_decode_ctl.scala 738:61] + wire i0_rs1_class_d_mul = i0_rs1_depend_i0_x ? i0_x_c_mul : _T_892_mul; // @[dec_decode_ctl.scala 738:24] + wire i0_rs1_class_d_alu = i0_rs1_depend_i0_x ? i0_x_c_alu : _T_892_alu; // @[dec_decode_ctl.scala 738:24] + wire _T_901_mul = i0_rs2_depend_i0_r & i0_r_c_mul; // @[dec_decode_ctl.scala 740:61] + wire _T_901_alu = i0_rs2_depend_i0_r & i0_r_c_alu; // @[dec_decode_ctl.scala 740:61] + wire i0_rs2_class_d_mul = i0_rs2_depend_i0_x ? i0_x_c_mul : _T_901_mul; // @[dec_decode_ctl.scala 740:24] + wire i0_rs2_class_d_alu = i0_rs2_depend_i0_x ? i0_x_c_alu : _T_901_alu; // @[dec_decode_ctl.scala 740:24] + wire _T_914 = io_decode_exu_dec_i0_rs1_en_d & io_dec_nonblock_load_wen; // @[dec_decode_ctl.scala 758:73] + wire _T_915 = io_dec_nonblock_load_waddr == i0r_rs1; // @[dec_decode_ctl.scala 758:130] + wire i0_rs1_nonblock_load_bypass_en_d = _T_914 & _T_915; // @[dec_decode_ctl.scala 758:100] + wire _T_916 = io_decode_exu_dec_i0_rs2_en_d & io_dec_nonblock_load_wen; // @[dec_decode_ctl.scala 760:73] + wire _T_917 = io_dec_nonblock_load_waddr == i0r_rs2; // @[dec_decode_ctl.scala 760:130] + wire i0_rs2_nonblock_load_bypass_en_d = _T_916 & _T_917; // @[dec_decode_ctl.scala 760:100] + wire _T_919 = i0_rs1_class_d_alu | i0_rs1_class_d_mul; // @[dec_decode_ctl.scala 763:66] + wire _T_920 = i0_rs1_depth_d[0] & _T_919; // @[dec_decode_ctl.scala 763:45] + wire _T_922 = i0_rs1_depth_d[0] & i0_rs1_class_d_load; // @[dec_decode_ctl.scala 763:108] + wire _T_925 = _T_919 | i0_rs1_class_d_load; // @[dec_decode_ctl.scala 763:196] + wire _T_926 = i0_rs1_depth_d[1] & _T_925; // @[dec_decode_ctl.scala 763:153] + wire [2:0] i0_rs1bypass = {_T_920,_T_922,_T_926}; // @[Cat.scala 29:58] + wire _T_930 = i0_rs2_class_d_alu | i0_rs2_class_d_mul; // @[dec_decode_ctl.scala 765:67] + wire _T_931 = i0_rs2_depth_d[0] & _T_930; // @[dec_decode_ctl.scala 765:45] + wire _T_933 = i0_rs2_depth_d[0] & i0_rs2_class_d_load; // @[dec_decode_ctl.scala 765:109] + wire _T_936 = _T_930 | i0_rs2_class_d_load; // @[dec_decode_ctl.scala 765:196] + wire _T_937 = i0_rs2_depth_d[1] & _T_936; // @[dec_decode_ctl.scala 765:153] + wire [2:0] i0_rs2bypass = {_T_931,_T_933,_T_937}; // @[Cat.scala 29:58] + wire _T_943 = i0_rs1bypass[1] | i0_rs1bypass[0]; // @[dec_decode_ctl.scala 767:86] + wire _T_945 = ~i0_rs1bypass[2]; // @[dec_decode_ctl.scala 767:107] + wire _T_946 = _T_945 & i0_rs1_nonblock_load_bypass_en_d; // @[dec_decode_ctl.scala 767:124] + wire _T_947 = _T_943 | _T_946; // @[dec_decode_ctl.scala 767:104] + wire _T_952 = i0_rs2bypass[1] | i0_rs2bypass[0]; // @[dec_decode_ctl.scala 768:86] + wire _T_954 = ~i0_rs2bypass[2]; // @[dec_decode_ctl.scala 768:107] + wire _T_955 = _T_954 & i0_rs2_nonblock_load_bypass_en_d; // @[dec_decode_ctl.scala 768:124] + wire _T_956 = _T_952 | _T_955; // @[dec_decode_ctl.scala 768:104] + wire _T_963 = ~i0_rs1bypass[1]; // @[dec_decode_ctl.scala 774:6] + wire _T_965 = ~i0_rs1bypass[0]; // @[dec_decode_ctl.scala 774:25] + wire _T_966 = _T_963 & _T_965; // @[dec_decode_ctl.scala 774:23] + wire _T_967 = _T_966 & i0_rs1_nonblock_load_bypass_en_d; // @[dec_decode_ctl.scala 774:42] + wire [31:0] _T_969 = i0_rs1bypass[1] ? io_lsu_result_m : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_970 = i0_rs1bypass[0] ? i0_result_r_raw : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_971 = _T_967 ? io_dctl_busbuff_lsu_nonblock_load_data : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_972 = _T_969 | _T_970; // @[Mux.scala 27:72] + wire _T_980 = ~i0_rs2bypass[1]; // @[dec_decode_ctl.scala 779:6] + wire _T_982 = ~i0_rs2bypass[0]; // @[dec_decode_ctl.scala 779:25] + wire _T_983 = _T_980 & _T_982; // @[dec_decode_ctl.scala 779:23] + wire _T_984 = _T_983 & i0_rs2_nonblock_load_bypass_en_d; // @[dec_decode_ctl.scala 779:42] + wire [31:0] _T_986 = i0_rs2bypass[1] ? io_lsu_result_m : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_987 = i0_rs2bypass[0] ? i0_result_r_raw : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_988 = _T_984 ? io_dctl_busbuff_lsu_nonblock_load_data : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_989 = _T_986 | _T_987; // @[Mux.scala 27:72] + wire _T_992 = i0_dp_raw_load | i0_dp_raw_store; // @[dec_decode_ctl.scala 781:68] + wire _T_993 = io_dec_ib0_valid_d & _T_992; // @[dec_decode_ctl.scala 781:50] + wire _T_994 = ~io_dctl_dma_dma_dccm_stall_any; // @[dec_decode_ctl.scala 781:89] + wire _T_995 = _T_993 & _T_994; // @[dec_decode_ctl.scala 781:87] + wire _T_997 = _T_995 & _T_496; // @[dec_decode_ctl.scala 781:121] + wire _T_999 = ~io_decode_exu_dec_extint_stall; // @[dec_decode_ctl.scala 783:6] + wire _T_1000 = _T_999 & i0_dp_lsu; // @[dec_decode_ctl.scala 783:38] + wire _T_1001 = _T_1000 & i0_dp_load; // @[dec_decode_ctl.scala 783:50] + wire _T_1006 = _T_1000 & i0_dp_store; // @[dec_decode_ctl.scala 784:50] + wire [11:0] _T_1010 = {io_dec_i0_instr_d[31:25],i0r_rd}; // @[Cat.scala 29:58] + wire [11:0] _T_1011 = _T_1001 ? io_dec_i0_instr_d[31:20] : 12'h0; // @[Mux.scala 27:72] + wire [11:0] _T_1012 = _T_1006 ? _T_1010 : 12'h0; // @[Mux.scala 27:72] rvclkhdr rvclkhdr ( // @[lib.scala 343:22] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), .io_en(rvclkhdr_io_en), .io_scan_mode(rvclkhdr_io_scan_mode) ); - dec_dec_ctl i0_dec ( // @[dec_decode_ctl.scala 362:22] + dec_dec_ctl i0_dec ( // @[dec_decode_ctl.scala 356:22] .io_ins(i0_dec_io_ins), .io_out_alu(i0_dec_io_out_alu), .io_out_rs1(i0_dec_io_out_rs1), @@ -47280,116 +47273,116 @@ module dec_decode_ctl( .io_en(rvclkhdr_19_io_en), .io_scan_mode(rvclkhdr_19_io_scan_mode) ); - assign io_decode_exu_dec_data_en = {i0_x_data_en,i0_r_data_en}; // @[dec_decode_ctl.scala 632:38] - assign io_decode_exu_dec_ctl_en = {i0_x_ctl_en,i0_r_ctl_en}; // @[dec_decode_ctl.scala 633:38] - assign io_decode_exu_i0_ap_land = _T_41 ? 1'h0 : i0_dp_raw_land; // @[dec_decode_ctl.scala 255:31] - assign io_decode_exu_i0_ap_lor = _T_41 | i0_dp_raw_lor; // @[dec_decode_ctl.scala 256:31] - assign io_decode_exu_i0_ap_lxor = _T_41 ? 1'h0 : i0_dp_raw_lxor; // @[dec_decode_ctl.scala 257:31] - assign io_decode_exu_i0_ap_sll = _T_41 ? 1'h0 : i0_dp_raw_sll; // @[dec_decode_ctl.scala 258:31] - assign io_decode_exu_i0_ap_srl = _T_41 ? 1'h0 : i0_dp_raw_srl; // @[dec_decode_ctl.scala 259:31] - assign io_decode_exu_i0_ap_sra = _T_41 ? 1'h0 : i0_dp_raw_sra; // @[dec_decode_ctl.scala 260:31] - assign io_decode_exu_i0_ap_beq = _T_41 ? 1'h0 : i0_dp_raw_beq; // @[dec_decode_ctl.scala 263:31] - assign io_decode_exu_i0_ap_bne = _T_41 ? 1'h0 : i0_dp_raw_bne; // @[dec_decode_ctl.scala 264:31] - assign io_decode_exu_i0_ap_blt = _T_41 ? 1'h0 : i0_dp_raw_blt; // @[dec_decode_ctl.scala 265:31] - assign io_decode_exu_i0_ap_bge = _T_41 ? 1'h0 : i0_dp_raw_bge; // @[dec_decode_ctl.scala 266:31] - assign io_decode_exu_i0_ap_add = _T_41 ? 1'h0 : i0_dp_raw_add; // @[dec_decode_ctl.scala 253:31] - assign io_decode_exu_i0_ap_sub = _T_41 ? 1'h0 : i0_dp_raw_sub; // @[dec_decode_ctl.scala 254:31] - assign io_decode_exu_i0_ap_slt = _T_41 ? 1'h0 : i0_dp_raw_slt; // @[dec_decode_ctl.scala 261:31] - assign io_decode_exu_i0_ap_unsign = _T_41 ? 1'h0 : i0_dp_raw_unsign; // @[dec_decode_ctl.scala 262:31] - assign io_decode_exu_i0_ap_jal = _T_336 & _T_337; // @[dec_decode_ctl.scala 269:33] - assign io_decode_exu_i0_ap_predict_t = _T_47 & i0_predict_br; // @[dec_decode_ctl.scala 251:37] - assign io_decode_exu_i0_ap_predict_nt = _T_48 & i0_predict_br; // @[dec_decode_ctl.scala 250:37] - assign io_decode_exu_i0_ap_csr_write = i0_csr_write & _T_347; // @[dec_decode_ctl.scala 267:33] - assign io_decode_exu_i0_ap_csr_imm = _T_41 ? 1'h0 : i0_dp_raw_csr_imm; // @[dec_decode_ctl.scala 268:33] - assign io_decode_exu_dec_i0_predict_p_d_valid = i0_brp_valid & i0_legal_decode_d; // @[dec_decode_ctl.scala 206:49] - assign io_decode_exu_dec_i0_predict_p_d_bits_pc4 = io_dec_i0_pc4_d; // @[dec_decode_ctl.scala 204:54] - assign io_decode_exu_dec_i0_predict_p_d_bits_hist = io_dec_i0_brp_bits_hist; // @[dec_decode_ctl.scala 205:54] - assign io_decode_exu_dec_i0_predict_p_d_bits_toffset = _T_314 ? i0_pcall_imm[11:0] : _T_323; // @[dec_decode_ctl.scala 218:60] - assign io_decode_exu_dec_i0_predict_p_d_bits_br_error = _T_33 & _T_18; // @[dec_decode_ctl.scala 213:67] - assign io_decode_exu_dec_i0_predict_p_d_bits_br_start_error = _T_36 & _T_18; // @[dec_decode_ctl.scala 214:67] - assign io_decode_exu_dec_i0_predict_p_d_bits_prett = io_dec_i0_brp_bits_prett; // @[dec_decode_ctl.scala 203:54] - assign io_decode_exu_dec_i0_predict_p_d_bits_pcall = i0_dp_jal & i0_pcall_case; // @[dec_decode_ctl.scala 200:54] - assign io_decode_exu_dec_i0_predict_p_d_bits_pret = i0_dp_jal & i0_pret_case; // @[dec_decode_ctl.scala 202:54] - assign io_decode_exu_dec_i0_predict_p_d_bits_pja = i0_dp_jal & i0_pja_case; // @[dec_decode_ctl.scala 201:54] - assign io_decode_exu_dec_i0_predict_p_d_bits_way = io_dec_i0_brp_bits_way; // @[dec_decode_ctl.scala 220:67] - assign io_decode_exu_i0_predict_fghr_d = io_dec_i0_bp_fghr; // @[dec_decode_ctl.scala 219:43] - assign io_decode_exu_i0_predict_index_d = io_dec_i0_bp_index; // @[dec_decode_ctl.scala 215:43] - assign io_decode_exu_i0_predict_btag_d = io_dec_i0_bp_btag; // @[dec_decode_ctl.scala 216:43] - assign io_decode_exu_dec_i0_rs1_en_d = i0_dp_rs1 & _T_559; // @[dec_decode_ctl.scala 594:35] - assign io_decode_exu_dec_i0_rs2_en_d = i0_dp_rs2 & _T_561; // @[dec_decode_ctl.scala 595:35] - assign io_decode_exu_dec_i0_immed_d = _T_566 | _T_567; // @[dec_decode_ctl.scala 603:32] - assign io_decode_exu_dec_i0_rs1_bypass_data_d = _T_970 | _T_969; // @[dec_decode_ctl.scala 777:42] - assign io_decode_exu_dec_i0_rs2_bypass_data_d = _T_987 | _T_986; // @[dec_decode_ctl.scala 782:42] - assign io_decode_exu_dec_i0_select_pc_d = _T_41 ? 1'h0 : i0_dp_raw_pc; // @[dec_decode_ctl.scala 241:36] - assign io_decode_exu_dec_i0_rs1_bypass_en_d = {i0_rs1bypass[2],_T_945}; // @[dec_decode_ctl.scala 773:45] - assign io_decode_exu_dec_i0_rs2_bypass_en_d = {i0_rs2bypass[2],_T_954}; // @[dec_decode_ctl.scala 774:45] - assign io_decode_exu_mul_p_valid = i0_exulegal_decode_d & i0_dp_mul; // @[dec_decode_ctl.scala 97:23 dec_decode_ctl.scala 397:32] - assign io_decode_exu_mul_p_bits_rs1_sign = _T_41 ? 1'h0 : i0_dp_raw_rs1_sign; // @[dec_decode_ctl.scala 97:23 dec_decode_ctl.scala 398:37] - assign io_decode_exu_mul_p_bits_rs2_sign = _T_41 ? 1'h0 : i0_dp_raw_rs2_sign; // @[dec_decode_ctl.scala 97:23 dec_decode_ctl.scala 399:37] - assign io_decode_exu_mul_p_bits_low = _T_41 ? 1'h0 : i0_dp_raw_low; // @[dec_decode_ctl.scala 97:23 dec_decode_ctl.scala 400:37] - assign io_decode_exu_pred_correct_npc_x = temp_pred_correct_npc_x[31:1]; // @[dec_decode_ctl.scala 734:36] - assign io_decode_exu_dec_extint_stall = _T_339; // @[dec_decode_ctl.scala 402:34] - assign io_dec_alu_dec_i0_alu_decode_d = i0_exulegal_decode_d & i0_dp_alu; // @[dec_decode_ctl.scala 542:34] - assign io_dec_alu_dec_csr_ren_d = _T_41 ? 1'h0 : i0_dp_raw_csr_read; // @[dec_decode_ctl.scala 424:29] - assign io_dec_alu_dec_i0_br_immed_d = _T_771 ? i0_br_offset : _T_784; // @[dec_decode_ctl.scala 681:32] - assign io_dec_div_div_p_valid = i0_exulegal_decode_d & i0_dp_div; // @[dec_decode_ctl.scala 393:29] - assign io_dec_div_div_p_bits_unsign = _T_41 ? 1'h0 : i0_dp_raw_unsign; // @[dec_decode_ctl.scala 394:34] - assign io_dec_div_div_p_bits_rem = _T_41 ? 1'h0 : i0_dp_raw_rem; // @[dec_decode_ctl.scala 395:34] - assign io_dec_div_dec_div_cancel = _T_813 | _T_818; // @[dec_decode_ctl.scala 700:37] - assign io_dec_i0_inst_wb1 = _T_840; // @[dec_decode_ctl.scala 723:22] - assign io_dec_i0_pc_wb1 = _T_843; // @[dec_decode_ctl.scala 726:20] - assign io_dec_i0_rs1_d = io_dec_i0_instr_d[19:15]; // @[dec_decode_ctl.scala 597:19] - assign io_dec_i0_rs2_d = io_dec_i0_instr_d[24:20]; // @[dec_decode_ctl.scala 598:19] - assign io_dec_i0_waddr_r = r_d_bits_i0rd; // @[dec_decode_ctl.scala 664:27] - assign io_dec_i0_wen_r = _T_760 & _T_761; // @[dec_decode_ctl.scala 666:32] - assign io_dec_i0_wdata_r = _T_767 ? io_lsu_result_corr_r : i0_result_r_raw; // @[dec_decode_ctl.scala 667:26] - assign io_lsu_p_valid = io_decode_exu_dec_extint_stall | lsu_decode_d; // @[dec_decode_ctl.scala 404:12 dec_decode_ctl.scala 409:24 dec_decode_ctl.scala 411:35] - assign io_lsu_p_bits_fast_int = io_decode_exu_dec_extint_stall; // @[dec_decode_ctl.scala 404:12 dec_decode_ctl.scala 408:29] - assign io_lsu_p_bits_by = io_decode_exu_dec_extint_stall ? 1'h0 : i0_dp_by; // @[dec_decode_ctl.scala 404:12 dec_decode_ctl.scala 414:40] - assign io_lsu_p_bits_half = io_decode_exu_dec_extint_stall ? 1'h0 : i0_dp_half; // @[dec_decode_ctl.scala 404:12 dec_decode_ctl.scala 415:40] - assign io_lsu_p_bits_word = io_decode_exu_dec_extint_stall | i0_dp_word; // @[dec_decode_ctl.scala 404:12 dec_decode_ctl.scala 407:29 dec_decode_ctl.scala 416:40] - assign io_lsu_p_bits_load = io_decode_exu_dec_extint_stall | i0_dp_load; // @[dec_decode_ctl.scala 404:12 dec_decode_ctl.scala 406:29 dec_decode_ctl.scala 412:40] - assign io_lsu_p_bits_store = io_decode_exu_dec_extint_stall ? 1'h0 : i0_dp_store; // @[dec_decode_ctl.scala 404:12 dec_decode_ctl.scala 413:40] - assign io_lsu_p_bits_unsign = io_decode_exu_dec_extint_stall ? 1'h0 : i0_dp_unsign; // @[dec_decode_ctl.scala 404:12 dec_decode_ctl.scala 420:40] - assign io_lsu_p_bits_store_data_bypass_d = io_decode_exu_dec_extint_stall ? 1'h0 : store_data_bypass_d; // @[dec_decode_ctl.scala 404:12 dec_decode_ctl.scala 418:40] - assign io_lsu_p_bits_load_ldst_bypass_d = io_decode_exu_dec_extint_stall ? 1'h0 : load_ldst_bypass_d; // @[dec_decode_ctl.scala 404:12 dec_decode_ctl.scala 417:40] - assign io_div_waddr_wb = _T_833; // @[dec_decode_ctl.scala 711:19] - assign io_dec_lsu_valid_raw_d = _T_995 | io_decode_exu_dec_extint_stall; // @[dec_decode_ctl.scala 787:26] - assign io_dec_lsu_offset_d = _T_1009 | _T_1010; // @[dec_decode_ctl.scala 788:23] - assign io_dec_csr_wen_unq_d = _T_349 | i0_csr_write; // @[dec_decode_ctl.scala 433:24] - assign io_dec_csr_any_unq_d = i0_dp_csr_read | i0_csr_write; // @[dec_decode_ctl.scala 499:24] - assign io_dec_csr_rdaddr_d = io_dec_i0_instr_d[31:20]; // @[dec_decode_ctl.scala 436:24] - assign io_dec_csr_wen_r = _T_352 & _T_757; // @[dec_decode_ctl.scala 441:20] - assign io_dec_csr_wraddr_r = r_d_bits_csrwaddr; // @[dec_decode_ctl.scala 437:23] - assign io_dec_csr_wrdata_r = r_d_bits_csrwonly ? i0_result_corr_r : write_csr_data; // @[dec_decode_ctl.scala 484:24] - assign io_dec_csr_stall_int_ff = _T_359 & _T_360; // @[dec_decode_ctl.scala 444:27] - assign io_dec_tlu_i0_valid_r = r_d_valid & _T_746; // @[dec_decode_ctl.scala 548:29] - assign io_dec_tlu_packet_r_legal = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_legal; // @[dec_decode_ctl.scala 582:39] - assign io_dec_tlu_packet_r_icaf = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_icaf; // @[dec_decode_ctl.scala 582:39] - assign io_dec_tlu_packet_r_icaf_f1 = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_icaf_f1; // @[dec_decode_ctl.scala 582:39] - assign io_dec_tlu_packet_r_icaf_type = io_dec_tlu_flush_lower_wb ? 2'h0 : r_t_icaf_type; // @[dec_decode_ctl.scala 582:39] - assign io_dec_tlu_packet_r_fence_i = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_fence_i; // @[dec_decode_ctl.scala 582:39] - assign io_dec_tlu_packet_r_i0trigger = io_dec_tlu_flush_lower_wb ? 4'h0 : _T_545; // @[dec_decode_ctl.scala 582:39] - assign io_dec_tlu_packet_r_pmu_i0_itype = io_dec_tlu_flush_lower_wb ? 4'h0 : r_t_pmu_i0_itype; // @[dec_decode_ctl.scala 582:39] - assign io_dec_tlu_packet_r_pmu_i0_br_unpred = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_pmu_i0_br_unpred; // @[dec_decode_ctl.scala 582:39] - assign io_dec_tlu_packet_r_pmu_divide = r_d_bits_i0div & r_d_valid; // @[dec_decode_ctl.scala 582:39 dec_decode_ctl.scala 583:39] - assign io_dec_tlu_packet_r_pmu_lsu_misaligned = io_dec_tlu_flush_lower_wb ? 1'h0 : lsu_pmu_misaligned_r; // @[dec_decode_ctl.scala 582:39] - assign io_dec_tlu_i0_pc_r = dec_i0_pc_r; // @[dec_decode_ctl.scala 729:27] - assign io_dec_illegal_inst = _T_468; // @[dec_decode_ctl.scala 506:23] - assign io_dec_pmu_instr_decoded = io_dec_aln_dec_i0_decode_d; // @[dec_decode_ctl.scala 527:28] - assign io_dec_pmu_decode_stall = io_dec_ib0_valid_d & _T_501; // @[dec_decode_ctl.scala 528:27] - assign io_dec_pmu_presync_stall = i0_presync & prior_inflight_eff; // @[dec_decode_ctl.scala 530:29] - assign io_dec_pmu_postsync_stall = postsync_stall; // @[dec_decode_ctl.scala 529:29] - assign io_dec_nonblock_load_wen = _T_200 & _T_201; // @[dec_decode_ctl.scala 324:28] - assign io_dec_nonblock_load_waddr = _T_246 | _T_238; // @[dec_decode_ctl.scala 321:29 dec_decode_ctl.scala 331:29] - assign io_dec_pause_state = pause_stall; // @[dec_decode_ctl.scala 468:22] - assign io_dec_pause_state_cg = pause_stall & _T_423; // @[dec_decode_ctl.scala 472:25] - assign io_dec_div_active = _T_824; // @[dec_decode_ctl.scala 705:21] - assign io_dec_aln_dec_i0_decode_d = _T_493 & _T_470; // @[dec_decode_ctl.scala 522:30 dec_decode_ctl.scala 588:30] + assign io_decode_exu_dec_data_en = {i0_x_data_en,i0_r_data_en}; // @[dec_decode_ctl.scala 626:38] + assign io_decode_exu_dec_ctl_en = {i0_x_ctl_en,i0_r_ctl_en}; // @[dec_decode_ctl.scala 627:38] + assign io_decode_exu_i0_ap_land = _T_41 ? 1'h0 : i0_dp_raw_land; // @[dec_decode_ctl.scala 249:37] + assign io_decode_exu_i0_ap_lor = _T_41 | i0_dp_raw_lor; // @[dec_decode_ctl.scala 250:37] + assign io_decode_exu_i0_ap_lxor = _T_41 ? 1'h0 : i0_dp_raw_lxor; // @[dec_decode_ctl.scala 251:37] + assign io_decode_exu_i0_ap_sll = _T_41 ? 1'h0 : i0_dp_raw_sll; // @[dec_decode_ctl.scala 252:37] + assign io_decode_exu_i0_ap_srl = _T_41 ? 1'h0 : i0_dp_raw_srl; // @[dec_decode_ctl.scala 253:37] + assign io_decode_exu_i0_ap_sra = _T_41 ? 1'h0 : i0_dp_raw_sra; // @[dec_decode_ctl.scala 254:37] + assign io_decode_exu_i0_ap_beq = _T_41 ? 1'h0 : i0_dp_raw_beq; // @[dec_decode_ctl.scala 257:37] + assign io_decode_exu_i0_ap_bne = _T_41 ? 1'h0 : i0_dp_raw_bne; // @[dec_decode_ctl.scala 258:37] + assign io_decode_exu_i0_ap_blt = _T_41 ? 1'h0 : i0_dp_raw_blt; // @[dec_decode_ctl.scala 259:37] + assign io_decode_exu_i0_ap_bge = _T_41 ? 1'h0 : i0_dp_raw_bge; // @[dec_decode_ctl.scala 260:37] + assign io_decode_exu_i0_ap_add = _T_41 ? 1'h0 : i0_dp_raw_add; // @[dec_decode_ctl.scala 247:37] + assign io_decode_exu_i0_ap_sub = _T_41 ? 1'h0 : i0_dp_raw_sub; // @[dec_decode_ctl.scala 248:37] + assign io_decode_exu_i0_ap_slt = _T_41 ? 1'h0 : i0_dp_raw_slt; // @[dec_decode_ctl.scala 255:37] + assign io_decode_exu_i0_ap_unsign = _T_41 ? 1'h0 : i0_dp_raw_unsign; // @[dec_decode_ctl.scala 256:37] + assign io_decode_exu_i0_ap_jal = _T_336 & _T_337; // @[dec_decode_ctl.scala 263:37] + assign io_decode_exu_i0_ap_predict_t = _T_47 & i0_predict_br; // @[dec_decode_ctl.scala 245:37] + assign io_decode_exu_i0_ap_predict_nt = _T_48 & i0_predict_br; // @[dec_decode_ctl.scala 244:37] + assign io_decode_exu_i0_ap_csr_write = i0_csr_write & _T_347; // @[dec_decode_ctl.scala 261:37] + assign io_decode_exu_i0_ap_csr_imm = _T_41 ? 1'h0 : i0_dp_raw_csr_imm; // @[dec_decode_ctl.scala 262:37] + assign io_decode_exu_dec_i0_predict_p_d_valid = i0_brp_valid & i0_legal_decode_d; // @[dec_decode_ctl.scala 202:55] + assign io_decode_exu_dec_i0_predict_p_d_bits_pc4 = io_dec_i0_pc4_d; // @[dec_decode_ctl.scala 200:55] + assign io_decode_exu_dec_i0_predict_p_d_bits_hist = io_dec_i0_brp_bits_hist; // @[dec_decode_ctl.scala 201:55] + assign io_decode_exu_dec_i0_predict_p_d_bits_toffset = _T_314 ? i0_pcall_imm[11:0] : _T_323; // @[dec_decode_ctl.scala 214:56] + assign io_decode_exu_dec_i0_predict_p_d_bits_br_error = _T_33 & _T_18; // @[dec_decode_ctl.scala 209:56] + assign io_decode_exu_dec_i0_predict_p_d_bits_br_start_error = _T_36 & _T_18; // @[dec_decode_ctl.scala 210:56] + assign io_decode_exu_dec_i0_predict_p_d_bits_prett = io_dec_i0_brp_bits_prett; // @[dec_decode_ctl.scala 199:55] + assign io_decode_exu_dec_i0_predict_p_d_bits_pcall = i0_dp_jal & i0_pcall_case; // @[dec_decode_ctl.scala 196:55] + assign io_decode_exu_dec_i0_predict_p_d_bits_pret = i0_dp_jal & i0_pret_case; // @[dec_decode_ctl.scala 198:55] + assign io_decode_exu_dec_i0_predict_p_d_bits_pja = i0_dp_jal & i0_pja_case; // @[dec_decode_ctl.scala 197:55] + assign io_decode_exu_dec_i0_predict_p_d_bits_way = io_dec_i0_brp_bits_way; // @[dec_decode_ctl.scala 216:56] + assign io_decode_exu_i0_predict_fghr_d = io_dec_i0_bp_fghr; // @[dec_decode_ctl.scala 215:56] + assign io_decode_exu_i0_predict_index_d = io_dec_i0_bp_index; // @[dec_decode_ctl.scala 211:56] + assign io_decode_exu_i0_predict_btag_d = io_dec_i0_bp_btag; // @[dec_decode_ctl.scala 212:56] + assign io_decode_exu_dec_i0_rs1_en_d = i0_dp_rs1 & _T_559; // @[dec_decode_ctl.scala 588:35] + assign io_decode_exu_dec_i0_rs2_en_d = i0_dp_rs2 & _T_561; // @[dec_decode_ctl.scala 589:35] + assign io_decode_exu_dec_i0_immed_d = _T_566 | _T_567; // @[dec_decode_ctl.scala 597:32] + assign io_decode_exu_dec_i0_rs1_bypass_data_d = _T_972 | _T_971; // @[dec_decode_ctl.scala 771:42] + assign io_decode_exu_dec_i0_rs2_bypass_data_d = _T_989 | _T_988; // @[dec_decode_ctl.scala 776:42] + assign io_decode_exu_dec_i0_select_pc_d = _T_41 ? 1'h0 : i0_dp_raw_pc; // @[dec_decode_ctl.scala 236:36] + assign io_decode_exu_dec_i0_rs1_bypass_en_d = {i0_rs1bypass[2],_T_947}; // @[dec_decode_ctl.scala 767:45] + assign io_decode_exu_dec_i0_rs2_bypass_en_d = {i0_rs2bypass[2],_T_956}; // @[dec_decode_ctl.scala 768:45] + assign io_decode_exu_mul_p_valid = i0_exulegal_decode_d & i0_dp_mul; // @[dec_decode_ctl.scala 95:25 dec_decode_ctl.scala 391:32] + assign io_decode_exu_mul_p_bits_rs1_sign = _T_41 ? 1'h0 : i0_dp_raw_rs1_sign; // @[dec_decode_ctl.scala 95:25 dec_decode_ctl.scala 392:37] + assign io_decode_exu_mul_p_bits_rs2_sign = _T_41 ? 1'h0 : i0_dp_raw_rs2_sign; // @[dec_decode_ctl.scala 95:25 dec_decode_ctl.scala 393:37] + assign io_decode_exu_mul_p_bits_low = _T_41 ? 1'h0 : i0_dp_raw_low; // @[dec_decode_ctl.scala 95:25 dec_decode_ctl.scala 394:37] + assign io_decode_exu_pred_correct_npc_x = temp_pred_correct_npc_x[31:1]; // @[dec_decode_ctl.scala 728:36] + assign io_decode_exu_dec_extint_stall = _T_339; // @[dec_decode_ctl.scala 396:34] + assign io_dec_alu_dec_i0_alu_decode_d = i0_exulegal_decode_d & i0_dp_alu; // @[dec_decode_ctl.scala 536:34] + assign io_dec_alu_dec_csr_ren_d = _T_41 ? 1'h0 : i0_dp_raw_csr_read; // @[dec_decode_ctl.scala 418:29] + assign io_dec_alu_dec_i0_br_immed_d = _T_773 ? i0_br_offset : _T_786; // @[dec_decode_ctl.scala 675:32] + assign io_dec_div_div_p_valid = i0_exulegal_decode_d & i0_dp_div; // @[dec_decode_ctl.scala 387:29] + assign io_dec_div_div_p_bits_unsign = _T_41 ? 1'h0 : i0_dp_raw_unsign; // @[dec_decode_ctl.scala 388:34] + assign io_dec_div_div_p_bits_rem = _T_41 ? 1'h0 : i0_dp_raw_rem; // @[dec_decode_ctl.scala 389:34] + assign io_dec_div_dec_div_cancel = _T_815 | _T_820; // @[dec_decode_ctl.scala 694:37] + assign io_dec_aln_dec_i0_decode_d = _T_493 & _T_470; // @[dec_decode_ctl.scala 516:30 dec_decode_ctl.scala 582:30] + assign io_dec_i0_inst_wb1 = _T_842; // @[dec_decode_ctl.scala 717:22] + assign io_dec_i0_pc_wb1 = _T_845; // @[dec_decode_ctl.scala 720:20] + assign io_dec_i0_rs1_d = io_dec_i0_instr_d[19:15]; // @[dec_decode_ctl.scala 591:19] + assign io_dec_i0_rs2_d = io_dec_i0_instr_d[24:20]; // @[dec_decode_ctl.scala 592:19] + assign io_dec_i0_waddr_r = r_d_bits_i0rd; // @[dec_decode_ctl.scala 658:27] + assign io_dec_i0_wen_r = _T_762 & _T_763; // @[dec_decode_ctl.scala 660:32] + assign io_dec_i0_wdata_r = _T_769 ? io_lsu_result_corr_r : i0_result_r_raw; // @[dec_decode_ctl.scala 661:26] + assign io_lsu_p_valid = io_decode_exu_dec_extint_stall | lsu_decode_d; // @[dec_decode_ctl.scala 398:12 dec_decode_ctl.scala 403:24 dec_decode_ctl.scala 405:35] + assign io_lsu_p_bits_fast_int = io_decode_exu_dec_extint_stall; // @[dec_decode_ctl.scala 398:12 dec_decode_ctl.scala 402:29] + assign io_lsu_p_bits_by = io_decode_exu_dec_extint_stall ? 1'h0 : i0_dp_by; // @[dec_decode_ctl.scala 398:12 dec_decode_ctl.scala 408:40] + assign io_lsu_p_bits_half = io_decode_exu_dec_extint_stall ? 1'h0 : i0_dp_half; // @[dec_decode_ctl.scala 398:12 dec_decode_ctl.scala 409:40] + assign io_lsu_p_bits_word = io_decode_exu_dec_extint_stall | i0_dp_word; // @[dec_decode_ctl.scala 398:12 dec_decode_ctl.scala 401:29 dec_decode_ctl.scala 410:40] + assign io_lsu_p_bits_load = io_decode_exu_dec_extint_stall | i0_dp_load; // @[dec_decode_ctl.scala 398:12 dec_decode_ctl.scala 400:29 dec_decode_ctl.scala 406:40] + assign io_lsu_p_bits_store = io_decode_exu_dec_extint_stall ? 1'h0 : i0_dp_store; // @[dec_decode_ctl.scala 398:12 dec_decode_ctl.scala 407:40] + assign io_lsu_p_bits_unsign = io_decode_exu_dec_extint_stall ? 1'h0 : i0_dp_unsign; // @[dec_decode_ctl.scala 398:12 dec_decode_ctl.scala 414:40] + assign io_lsu_p_bits_store_data_bypass_d = io_decode_exu_dec_extint_stall ? 1'h0 : store_data_bypass_d; // @[dec_decode_ctl.scala 398:12 dec_decode_ctl.scala 412:40] + assign io_lsu_p_bits_load_ldst_bypass_d = io_decode_exu_dec_extint_stall ? 1'h0 : load_ldst_bypass_d; // @[dec_decode_ctl.scala 398:12 dec_decode_ctl.scala 411:40] + assign io_div_waddr_wb = _T_835; // @[dec_decode_ctl.scala 705:19] + assign io_dec_lsu_valid_raw_d = _T_997 | io_decode_exu_dec_extint_stall; // @[dec_decode_ctl.scala 781:26] + assign io_dec_lsu_offset_d = _T_1011 | _T_1012; // @[dec_decode_ctl.scala 782:23] + assign io_dec_csr_wen_unq_d = _T_349 | i0_csr_write; // @[dec_decode_ctl.scala 427:24] + assign io_dec_csr_any_unq_d = i0_dp_csr_read | i0_csr_write; // @[dec_decode_ctl.scala 493:24] + assign io_dec_csr_rdaddr_d = io_dec_i0_instr_d[31:20]; // @[dec_decode_ctl.scala 430:24] + assign io_dec_csr_wen_r = _T_352 & _T_759; // @[dec_decode_ctl.scala 435:20] + assign io_dec_csr_wraddr_r = r_d_bits_csrwaddr; // @[dec_decode_ctl.scala 431:23] + assign io_dec_csr_wrdata_r = r_d_bits_csrwonly ? i0_result_corr_r : write_csr_data; // @[dec_decode_ctl.scala 478:24] + assign io_dec_csr_stall_int_ff = _T_359 & _T_360; // @[dec_decode_ctl.scala 438:27] + assign io_dec_tlu_i0_valid_r = r_d_valid & _T_748; // @[dec_decode_ctl.scala 542:29] + assign io_dec_tlu_packet_r_legal = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_legal; // @[dec_decode_ctl.scala 576:39] + assign io_dec_tlu_packet_r_icaf = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_icaf; // @[dec_decode_ctl.scala 576:39] + assign io_dec_tlu_packet_r_icaf_f1 = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_icaf_f1; // @[dec_decode_ctl.scala 576:39] + assign io_dec_tlu_packet_r_icaf_type = io_dec_tlu_flush_lower_wb ? 2'h0 : r_t_icaf_type; // @[dec_decode_ctl.scala 576:39] + assign io_dec_tlu_packet_r_fence_i = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_fence_i; // @[dec_decode_ctl.scala 576:39] + assign io_dec_tlu_packet_r_i0trigger = io_dec_tlu_flush_lower_wb ? 4'h0 : _T_545; // @[dec_decode_ctl.scala 576:39] + assign io_dec_tlu_packet_r_pmu_i0_itype = io_dec_tlu_flush_lower_wb ? 4'h0 : r_t_pmu_i0_itype; // @[dec_decode_ctl.scala 576:39] + assign io_dec_tlu_packet_r_pmu_i0_br_unpred = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_pmu_i0_br_unpred; // @[dec_decode_ctl.scala 576:39] + assign io_dec_tlu_packet_r_pmu_divide = r_d_bits_i0div & r_d_valid; // @[dec_decode_ctl.scala 576:39 dec_decode_ctl.scala 577:39] + assign io_dec_tlu_packet_r_pmu_lsu_misaligned = io_dec_tlu_flush_lower_wb ? 1'h0 : lsu_pmu_misaligned_r; // @[dec_decode_ctl.scala 576:39] + assign io_dec_tlu_i0_pc_r = dec_i0_pc_r; // @[dec_decode_ctl.scala 723:27] + assign io_dec_illegal_inst = _T_468; // @[dec_decode_ctl.scala 500:23] + assign io_dec_pmu_instr_decoded = io_dec_aln_dec_i0_decode_d; // @[dec_decode_ctl.scala 521:28] + assign io_dec_pmu_decode_stall = io_dec_ib0_valid_d & _T_501; // @[dec_decode_ctl.scala 522:27] + assign io_dec_pmu_presync_stall = i0_presync & prior_inflight_eff; // @[dec_decode_ctl.scala 524:29] + assign io_dec_pmu_postsync_stall = postsync_stall; // @[dec_decode_ctl.scala 523:29] + assign io_dec_nonblock_load_wen = _T_200 & _T_201; // @[dec_decode_ctl.scala 318:28] + assign io_dec_nonblock_load_waddr = _T_246 | _T_238; // @[dec_decode_ctl.scala 315:29 dec_decode_ctl.scala 325:29] + assign io_dec_pause_state = pause_stall; // @[dec_decode_ctl.scala 462:22] + assign io_dec_pause_state_cg = pause_stall & _T_423; // @[dec_decode_ctl.scala 466:25] + assign io_dec_div_active = _T_826; // @[dec_decode_ctl.scala 699:21] assign rvclkhdr_io_clk = clock; // @[lib.scala 344:17] assign rvclkhdr_io_en = _T_15 | _T_16; // @[lib.scala 345:16] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] - assign i0_dec_io_ins = io_dec_i0_instr_d; // @[dec_decode_ctl.scala 363:16] + assign i0_dec_io_ins = io_dec_i0_instr_d; // @[dec_decode_ctl.scala 357:16] assign rvclkhdr_1_io_clk = clock; // @[lib.scala 370:18] assign rvclkhdr_1_io_en = i0_pipe_en[3] | io_clk_override; // @[lib.scala 371:17] assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] @@ -47403,19 +47396,19 @@ module dec_decode_ctl( assign rvclkhdr_4_io_en = shift_illegal & _T_467; // @[lib.scala 371:17] assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] assign rvclkhdr_5_io_clk = clock; // @[lib.scala 380:18] - assign rvclkhdr_5_io_en = _T_707 | io_clk_override; // @[lib.scala 381:17] + assign rvclkhdr_5_io_en = _T_709 | io_clk_override; // @[lib.scala 381:17] assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[lib.scala 382:24] assign rvclkhdr_6_io_clk = clock; // @[lib.scala 380:18] - assign rvclkhdr_6_io_en = _T_707 | io_clk_override; // @[lib.scala 381:17] + assign rvclkhdr_6_io_en = _T_709 | io_clk_override; // @[lib.scala 381:17] assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[lib.scala 382:24] assign rvclkhdr_7_io_clk = clock; // @[lib.scala 380:18] - assign rvclkhdr_7_io_en = _T_707 | io_clk_override; // @[lib.scala 381:17] + assign rvclkhdr_7_io_en = _T_709 | io_clk_override; // @[lib.scala 381:17] assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[lib.scala 382:24] assign rvclkhdr_8_io_clk = clock; // @[lib.scala 380:18] - assign rvclkhdr_8_io_en = _T_710 | io_clk_override; // @[lib.scala 381:17] + assign rvclkhdr_8_io_en = _T_712 | io_clk_override; // @[lib.scala 381:17] assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[lib.scala 382:24] assign rvclkhdr_9_io_clk = clock; // @[lib.scala 380:18] - assign rvclkhdr_9_io_en = _T_713 | io_clk_override; // @[lib.scala 381:17] + assign rvclkhdr_9_io_en = _T_715 | io_clk_override; // @[lib.scala 381:17] assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[lib.scala 382:24] assign rvclkhdr_10_io_clk = clock; // @[lib.scala 370:18] assign rvclkhdr_10_io_en = i0_pipe_en[2] | io_clk_override; // @[lib.scala 371:17] @@ -47523,7 +47516,7 @@ initial begin _RAND_19 = {1{`RANDOM}}; x_d_bits_i0rd = _RAND_19[4:0]; _RAND_20 = {1{`RANDOM}}; - _T_704 = _RAND_20[2:0]; + _T_706 = _RAND_20[2:0]; _RAND_21 = {1{`RANDOM}}; nonblock_load_valid_m_delay = _RAND_21[0:0]; _RAND_22 = {1{`RANDOM}}; @@ -47647,9 +47640,9 @@ initial begin _RAND_81 = {1{`RANDOM}}; last_br_immed_x = _RAND_81[11:0]; _RAND_82 = {1{`RANDOM}}; - _T_824 = _RAND_82[0:0]; + _T_826 = _RAND_82[0:0]; _RAND_83 = {1{`RANDOM}}; - _T_833 = _RAND_83[4:0]; + _T_835 = _RAND_83[4:0]; _RAND_84 = {1{`RANDOM}}; i0_inst_x = _RAND_84[31:0]; _RAND_85 = {1{`RANDOM}}; @@ -47657,11 +47650,11 @@ initial begin _RAND_86 = {1{`RANDOM}}; i0_inst_wb = _RAND_86[31:0]; _RAND_87 = {1{`RANDOM}}; - _T_840 = _RAND_87[31:0]; + _T_842 = _RAND_87[31:0]; _RAND_88 = {1{`RANDOM}}; i0_pc_wb = _RAND_88[30:0]; _RAND_89 = {1{`RANDOM}}; - _T_843 = _RAND_89[30:0]; + _T_845 = _RAND_89[30:0]; _RAND_90 = {1{`RANDOM}}; dec_i0_pc_r = _RAND_90[30:0]; `endif // RANDOMIZE_REG_INIT @@ -47726,7 +47719,7 @@ initial begin x_d_bits_i0rd = 5'h0; end if (reset) begin - _T_704 = 3'h0; + _T_706 = 3'h0; end if (reset) begin nonblock_load_valid_m_delay = 1'h0; @@ -47773,6 +47766,12 @@ initial begin if (reset) begin x_d_bits_i0v = 1'h0; end + if (reset) begin + i0_x_c_load = 1'h0; + end + if (reset) begin + i0_r_c_load = 1'h0; + end if (reset) begin r_d_bits_csrwen = 1'h0; end @@ -47878,6 +47877,18 @@ initial begin if (reset) begin r_d_bits_i0div = 1'h0; end + if (reset) begin + i0_x_c_mul = 1'h0; + end + if (reset) begin + i0_x_c_alu = 1'h0; + end + if (reset) begin + i0_r_c_mul = 1'h0; + end + if (reset) begin + i0_r_c_alu = 1'h0; + end if (reset) begin x_d_bits_i0store = 1'h0; end @@ -47894,10 +47905,10 @@ initial begin last_br_immed_x = 12'h0; end if (reset) begin - _T_824 = 1'h0; + _T_826 = 1'h0; end if (reset) begin - _T_833 = 5'h0; + _T_835 = 5'h0; end if (reset) begin i0_inst_x = 32'h0; @@ -47909,13 +47920,13 @@ initial begin i0_inst_wb = 32'h0; end if (reset) begin - _T_840 = 32'h0; + _T_842 = 32'h0; end if (reset) begin i0_pc_wb = 31'h0; end if (reset) begin - _T_843 = 31'h0; + _T_845 = 31'h0; end if (reset) begin dec_i0_pc_r = 31'h0; @@ -47926,26 +47937,6 @@ end // initial `FIRRTL_AFTER_INITIAL `endif `endif // SYNTHESIS - always @(posedge io_active_clk) begin - if (i0_x_ctl_en) begin - i0_x_c_load <= i0_d_c_load; - end - if (i0_r_ctl_en) begin - i0_r_c_load <= i0_x_c_load; - end - if (i0_x_ctl_en) begin - i0_x_c_mul <= i0_d_c_mul; - end - if (i0_x_ctl_en) begin - i0_x_c_alu <= i0_d_c_alu; - end - if (i0_r_ctl_en) begin - i0_r_c_mul <= i0_x_c_mul; - end - if (i0_r_ctl_en) begin - i0_r_c_alu <= i0_x_c_alu; - end - end always @(posedge rvclkhdr_io_l1clk or posedge reset) begin if (reset) begin tlu_wr_pause_r1 <= 1'h0; @@ -48108,9 +48099,9 @@ end // initial end always @(posedge io_active_clk or posedge reset) begin if (reset) begin - _T_704 <= 3'h0; + _T_706 <= 3'h0; end else begin - _T_704 <= i0_pipe_en[3:1]; + _T_706 <= i0_pipe_en[3:1]; end end always @(posedge io_active_clk or posedge reset) begin @@ -48131,7 +48122,7 @@ end // initial if (reset) begin r_d_bits_i0v <= 1'h0; end else begin - r_d_bits_i0v <= _T_736 & _T_280; + r_d_bits_i0v <= _T_738 & _T_280; end end always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin @@ -48242,6 +48233,20 @@ end // initial x_d_bits_i0v <= i0_rd_en_d & i0_legal_decode_d; end end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + i0_x_c_load <= 1'h0; + end else if (i0_x_ctl_en) begin + i0_x_c_load <= i0_d_c_load; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + i0_r_c_load <= 1'h0; + end else if (i0_r_ctl_en) begin + i0_r_c_load <= i0_x_c_load; + end + end always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin r_d_bits_csrwen <= 1'h0; @@ -48253,7 +48258,7 @@ end // initial if (reset) begin r_d_valid <= 1'h0; end else begin - r_d_valid <= _T_740 & _T_280; + r_d_valid <= _T_742 & _T_280; end end always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin @@ -48324,7 +48329,7 @@ end // initial always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin if (reset) begin i0_result_r_raw <= 32'h0; - end else if (_T_764) begin + end else if (_T_766) begin i0_result_r_raw <= io_lsu_result_m; end else begin i0_result_r_raw <= io_decode_exu_exu_i0_result_x; @@ -48493,6 +48498,34 @@ end // initial r_d_bits_i0div <= x_d_bits_i0div; end end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + i0_x_c_mul <= 1'h0; + end else if (i0_x_ctl_en) begin + i0_x_c_mul <= i0_d_c_mul; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + i0_x_c_alu <= 1'h0; + end else if (i0_x_ctl_en) begin + i0_x_c_alu <= i0_d_c_alu; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + i0_r_c_mul <= 1'h0; + end else if (i0_r_ctl_en) begin + i0_r_c_mul <= i0_x_c_mul; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + i0_r_c_alu <= 1'h0; + end else if (i0_r_ctl_en) begin + i0_r_c_alu <= i0_x_c_alu; + end + end always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin if (reset) begin x_d_bits_i0store <= 1'h0; @@ -48525,7 +48558,7 @@ end // initial if (reset) begin last_br_immed_x <= 12'h0; end else if (io_decode_exu_i0_ap_predict_nt) begin - last_br_immed_x <= _T_784; + last_br_immed_x <= _T_786; end else if (_T_314) begin last_br_immed_x <= i0_pcall_imm[11:0]; end else begin @@ -48534,16 +48567,16 @@ end // initial end always @(posedge io_free_clk or posedge reset) begin if (reset) begin - _T_824 <= 1'h0; + _T_826 <= 1'h0; end else begin - _T_824 <= i0_div_decode_d | _T_823; + _T_826 <= i0_div_decode_d | _T_825; end end always @(posedge clock or posedge reset) begin if (reset) begin - _T_833 <= 5'h0; + _T_835 <= 5'h0; end else if (i0_div_decode_d) begin - _T_833 <= i0r_rd; + _T_835 <= i0r_rd; end end always @(posedge rvclkhdr_13_io_l1clk or posedge reset) begin @@ -48571,9 +48604,9 @@ end // initial end always @(posedge rvclkhdr_16_io_l1clk or posedge reset) begin if (reset) begin - _T_840 <= 32'h0; + _T_842 <= 32'h0; end else begin - _T_840 <= i0_inst_wb; + _T_842 <= i0_inst_wb; end end always @(posedge rvclkhdr_17_io_l1clk or posedge reset) begin @@ -48585,9 +48618,9 @@ end // initial end always @(posedge rvclkhdr_18_io_l1clk or posedge reset) begin if (reset) begin - _T_843 <= 31'h0; + _T_845 <= 31'h0; end else begin - _T_843 <= i0_pc_wb; + _T_845 <= i0_pc_wb; end end always @(posedge rvclkhdr_19_io_l1clk or posedge reset) begin @@ -50149,56 +50182,56 @@ module dec_timer_ctl( wire rvclkhdr_3_io_scan_mode; // @[lib.scala 368:23] reg [31:0] mitcnt0; // @[lib.scala 374:16] reg [31:0] mitb0_b; // @[lib.scala 374:16] - wire [31:0] mitb0 = ~mitb0_b; // @[dec_tlu_ctl.scala 2712:22] - wire mit0_match_ns = mitcnt0 >= mitb0; // @[dec_tlu_ctl.scala 2673:36] + wire [31:0] mitb0 = ~mitb0_b; // @[dec_tlu_ctl.scala 2694:22] + wire mit0_match_ns = mitcnt0 >= mitb0; // @[dec_tlu_ctl.scala 2655:36] reg [31:0] mitcnt1; // @[lib.scala 374:16] reg [31:0] mitb1_b; // @[lib.scala 374:16] - wire [31:0] mitb1 = ~mitb1_b; // @[dec_tlu_ctl.scala 2721:18] - wire mit1_match_ns = mitcnt1 >= mitb1; // @[dec_tlu_ctl.scala 2674:36] - wire _T = io_dec_csr_wraddr_r == 12'h7d2; // @[dec_tlu_ctl.scala 2684:72] - wire wr_mitcnt0_r = io_dec_csr_wen_r_mod & _T; // @[dec_tlu_ctl.scala 2684:49] - reg [1:0] _T_57; // @[dec_tlu_ctl.scala 2737:67] - reg mitctl0_0_b; // @[dec_tlu_ctl.scala 2736:60] - wire _T_58 = ~mitctl0_0_b; // @[dec_tlu_ctl.scala 2737:90] + wire [31:0] mitb1 = ~mitb1_b; // @[dec_tlu_ctl.scala 2703:18] + wire mit1_match_ns = mitcnt1 >= mitb1; // @[dec_tlu_ctl.scala 2656:36] + wire _T = io_dec_csr_wraddr_r == 12'h7d2; // @[dec_tlu_ctl.scala 2666:72] + wire wr_mitcnt0_r = io_dec_csr_wen_r_mod & _T; // @[dec_tlu_ctl.scala 2666:49] + reg [1:0] _T_57; // @[dec_tlu_ctl.scala 2719:67] + reg mitctl0_0_b; // @[dec_tlu_ctl.scala 2718:60] + wire _T_58 = ~mitctl0_0_b; // @[dec_tlu_ctl.scala 2719:90] wire [2:0] mitctl0 = {_T_57,_T_58}; // @[Cat.scala 29:58] - wire _T_2 = ~io_dec_pause_state; // @[dec_tlu_ctl.scala 2686:56] - wire _T_4 = _T_2 | mitctl0[2]; // @[dec_tlu_ctl.scala 2686:76] - wire _T_5 = mitctl0[0] & _T_4; // @[dec_tlu_ctl.scala 2686:53] - wire _T_6 = ~io_dec_tlu_pmu_fw_halted; // @[dec_tlu_ctl.scala 2686:112] - wire _T_8 = _T_6 | mitctl0[1]; // @[dec_tlu_ctl.scala 2686:138] - wire _T_9 = _T_5 & _T_8; // @[dec_tlu_ctl.scala 2686:109] - wire _T_10 = ~io_internal_dbg_halt_timers; // @[dec_tlu_ctl.scala 2686:173] - wire mitcnt0_inc_ok = _T_9 & _T_10; // @[dec_tlu_ctl.scala 2686:171] - wire [31:0] mitcnt0_inc = mitcnt0 + 32'h1; // @[dec_tlu_ctl.scala 2687:35] - wire _T_15 = wr_mitcnt0_r | mitcnt0_inc_ok; // @[dec_tlu_ctl.scala 2689:59] - wire _T_19 = io_dec_csr_wraddr_r == 12'h7d5; // @[dec_tlu_ctl.scala 2696:72] - wire wr_mitcnt1_r = io_dec_csr_wen_r_mod & _T_19; // @[dec_tlu_ctl.scala 2696:49] - reg [2:0] _T_66; // @[dec_tlu_ctl.scala 2751:52] - reg mitctl1_0_b; // @[dec_tlu_ctl.scala 2750:55] - wire _T_67 = ~mitctl1_0_b; // @[dec_tlu_ctl.scala 2751:75] + wire _T_2 = ~io_dec_pause_state; // @[dec_tlu_ctl.scala 2668:56] + wire _T_4 = _T_2 | mitctl0[2]; // @[dec_tlu_ctl.scala 2668:76] + wire _T_5 = mitctl0[0] & _T_4; // @[dec_tlu_ctl.scala 2668:53] + wire _T_6 = ~io_dec_tlu_pmu_fw_halted; // @[dec_tlu_ctl.scala 2668:112] + wire _T_8 = _T_6 | mitctl0[1]; // @[dec_tlu_ctl.scala 2668:138] + wire _T_9 = _T_5 & _T_8; // @[dec_tlu_ctl.scala 2668:109] + wire _T_10 = ~io_internal_dbg_halt_timers; // @[dec_tlu_ctl.scala 2668:173] + wire mitcnt0_inc_ok = _T_9 & _T_10; // @[dec_tlu_ctl.scala 2668:171] + wire [31:0] mitcnt0_inc = mitcnt0 + 32'h1; // @[dec_tlu_ctl.scala 2669:35] + wire _T_15 = wr_mitcnt0_r | mitcnt0_inc_ok; // @[dec_tlu_ctl.scala 2671:59] + wire _T_19 = io_dec_csr_wraddr_r == 12'h7d5; // @[dec_tlu_ctl.scala 2678:72] + wire wr_mitcnt1_r = io_dec_csr_wen_r_mod & _T_19; // @[dec_tlu_ctl.scala 2678:49] + reg [2:0] _T_66; // @[dec_tlu_ctl.scala 2733:52] + reg mitctl1_0_b; // @[dec_tlu_ctl.scala 2732:55] + wire _T_67 = ~mitctl1_0_b; // @[dec_tlu_ctl.scala 2733:75] wire [3:0] mitctl1 = {_T_66,_T_67}; // @[Cat.scala 29:58] - wire _T_23 = _T_2 | mitctl1[2]; // @[dec_tlu_ctl.scala 2698:76] - wire _T_24 = mitctl1[0] & _T_23; // @[dec_tlu_ctl.scala 2698:53] - wire _T_27 = _T_6 | mitctl1[1]; // @[dec_tlu_ctl.scala 2698:138] - wire _T_28 = _T_24 & _T_27; // @[dec_tlu_ctl.scala 2698:109] - wire mitcnt1_inc_ok = _T_28 & _T_10; // @[dec_tlu_ctl.scala 2698:171] - wire _T_32 = ~mitctl1[3]; // @[dec_tlu_ctl.scala 2701:60] - wire _T_33 = _T_32 | mit0_match_ns; // @[dec_tlu_ctl.scala 2701:72] + wire _T_23 = _T_2 | mitctl1[2]; // @[dec_tlu_ctl.scala 2680:76] + wire _T_24 = mitctl1[0] & _T_23; // @[dec_tlu_ctl.scala 2680:53] + wire _T_27 = _T_6 | mitctl1[1]; // @[dec_tlu_ctl.scala 2680:138] + wire _T_28 = _T_24 & _T_27; // @[dec_tlu_ctl.scala 2680:109] + wire mitcnt1_inc_ok = _T_28 & _T_10; // @[dec_tlu_ctl.scala 2680:171] + wire _T_32 = ~mitctl1[3]; // @[dec_tlu_ctl.scala 2683:60] + wire _T_33 = _T_32 | mit0_match_ns; // @[dec_tlu_ctl.scala 2683:72] wire [31:0] _T_34 = {31'h0,_T_33}; // @[Cat.scala 29:58] - wire [31:0] mitcnt1_inc = mitcnt1 + _T_34; // @[dec_tlu_ctl.scala 2701:35] - wire _T_39 = wr_mitcnt1_r | mitcnt1_inc_ok; // @[dec_tlu_ctl.scala 2703:60] - wire _T_43 = io_dec_csr_wraddr_r == 12'h7d3; // @[dec_tlu_ctl.scala 2710:70] - wire _T_47 = io_dec_csr_wraddr_r == 12'h7d6; // @[dec_tlu_ctl.scala 2719:69] - wire _T_51 = io_dec_csr_wraddr_r == 12'h7d4; // @[dec_tlu_ctl.scala 2732:72] - wire wr_mitctl0_r = io_dec_csr_wen_r_mod & _T_51; // @[dec_tlu_ctl.scala 2732:49] - wire [2:0] mitctl0_ns = wr_mitctl0_r ? io_dec_csr_wrdata_r[2:0] : mitctl0; // @[dec_tlu_ctl.scala 2733:31] - wire _T_60 = io_dec_csr_wraddr_r == 12'h7d7; // @[dec_tlu_ctl.scala 2747:71] - wire wr_mitctl1_r = io_dec_csr_wen_r_mod & _T_60; // @[dec_tlu_ctl.scala 2747:49] - wire [3:0] mitctl1_ns = wr_mitctl1_r ? io_dec_csr_wrdata_r[3:0] : mitctl1; // @[dec_tlu_ctl.scala 2748:31] - wire _T_69 = io_csr_mitcnt1 | io_csr_mitcnt0; // @[dec_tlu_ctl.scala 2753:51] - wire _T_70 = _T_69 | io_csr_mitb1; // @[dec_tlu_ctl.scala 2753:68] - wire _T_71 = _T_70 | io_csr_mitb0; // @[dec_tlu_ctl.scala 2753:83] - wire _T_72 = _T_71 | io_csr_mitctl0; // @[dec_tlu_ctl.scala 2753:98] + wire [31:0] mitcnt1_inc = mitcnt1 + _T_34; // @[dec_tlu_ctl.scala 2683:35] + wire _T_39 = wr_mitcnt1_r | mitcnt1_inc_ok; // @[dec_tlu_ctl.scala 2685:60] + wire _T_43 = io_dec_csr_wraddr_r == 12'h7d3; // @[dec_tlu_ctl.scala 2692:70] + wire _T_47 = io_dec_csr_wraddr_r == 12'h7d6; // @[dec_tlu_ctl.scala 2701:69] + wire _T_51 = io_dec_csr_wraddr_r == 12'h7d4; // @[dec_tlu_ctl.scala 2714:72] + wire wr_mitctl0_r = io_dec_csr_wen_r_mod & _T_51; // @[dec_tlu_ctl.scala 2714:49] + wire [2:0] mitctl0_ns = wr_mitctl0_r ? io_dec_csr_wrdata_r[2:0] : mitctl0; // @[dec_tlu_ctl.scala 2715:31] + wire _T_60 = io_dec_csr_wraddr_r == 12'h7d7; // @[dec_tlu_ctl.scala 2729:71] + wire wr_mitctl1_r = io_dec_csr_wen_r_mod & _T_60; // @[dec_tlu_ctl.scala 2729:49] + wire [3:0] mitctl1_ns = wr_mitctl1_r ? io_dec_csr_wrdata_r[3:0] : mitctl1; // @[dec_tlu_ctl.scala 2730:31] + wire _T_69 = io_csr_mitcnt1 | io_csr_mitcnt0; // @[dec_tlu_ctl.scala 2735:51] + wire _T_70 = _T_69 | io_csr_mitb1; // @[dec_tlu_ctl.scala 2735:68] + wire _T_71 = _T_70 | io_csr_mitb0; // @[dec_tlu_ctl.scala 2735:83] + wire _T_72 = _T_71 | io_csr_mitctl0; // @[dec_tlu_ctl.scala 2735:98] wire [31:0] _T_81 = {29'h0,_T_57,_T_58}; // @[Cat.scala 29:58] wire [31:0] _T_84 = {28'h0,_T_66,_T_67}; // @[Cat.scala 29:58] wire [31:0] _T_85 = io_csr_mitcnt0 ? mitcnt0 : 32'h0; // @[Mux.scala 27:72] @@ -50235,10 +50268,10 @@ module dec_timer_ctl( .io_en(rvclkhdr_3_io_en), .io_scan_mode(rvclkhdr_3_io_scan_mode) ); - assign io_dec_timer_rddata_d = _T_94 | _T_90; // @[dec_tlu_ctl.scala 2754:33] - assign io_dec_timer_read_d = _T_72 | io_csr_mitctl1; // @[dec_tlu_ctl.scala 2753:33] - assign io_dec_timer_t0_pulse = mitcnt0 >= mitb0; // @[dec_tlu_ctl.scala 2676:31] - assign io_dec_timer_t1_pulse = mitcnt1 >= mitb1; // @[dec_tlu_ctl.scala 2677:31] + assign io_dec_timer_rddata_d = _T_94 | _T_90; // @[dec_tlu_ctl.scala 2736:33] + assign io_dec_timer_read_d = _T_72 | io_csr_mitctl1; // @[dec_tlu_ctl.scala 2735:33] + assign io_dec_timer_t0_pulse = mitcnt0 >= mitb0; // @[dec_tlu_ctl.scala 2658:31] + assign io_dec_timer_t1_pulse = mitcnt1 >= mitb1; // @[dec_tlu_ctl.scala 2659:31] assign rvclkhdr_io_clk = clock; // @[lib.scala 370:18] assign rvclkhdr_io_en = _T_15 | mit0_match_ns; // @[lib.scala 371:17] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] @@ -50484,6 +50517,7 @@ module csr_tlu( output io_dec_tlu_misc_clk_override, output io_dec_tlu_dec_clk_override, output io_dec_tlu_lsu_clk_override, + output io_dec_tlu_bus_clk_override, output io_dec_tlu_pic_clk_override, output io_dec_tlu_dccm_clk_override, output io_dec_tlu_icm_clk_override, @@ -50506,7 +50540,6 @@ module csr_tlu( input io_lsu_imprecise_error_load_any, input io_lsu_imprecise_error_store_any, output [31:0] io_dec_tlu_mrac_ff, - output io_dec_tlu_wb_coalescing_disable, output io_dec_tlu_bpred_disable, output io_dec_tlu_sideeffect_posted_disable, output io_dec_tlu_core_ecc_disable, @@ -50886,36 +50919,36 @@ module csr_tlu( wire rvclkhdr_34_io_clk; // @[lib.scala 343:22] wire rvclkhdr_34_io_en; // @[lib.scala 343:22] wire rvclkhdr_34_io_scan_mode; // @[lib.scala 343:22] - wire _T = ~io_i0_trigger_hit_r; // @[dec_tlu_ctl.scala 1450:45] - wire _T_1 = io_dec_csr_wen_r & _T; // @[dec_tlu_ctl.scala 1450:43] - wire _T_2 = ~io_rfpc_i0_r; // @[dec_tlu_ctl.scala 1450:68] - wire _T_5 = io_dec_csr_wraddr_r == 12'h300; // @[dec_tlu_ctl.scala 1451:71] - wire wr_mstatus_r = io_dec_csr_wen_r_mod & _T_5; // @[dec_tlu_ctl.scala 1451:42] - wire _T_498 = io_dec_csr_wraddr_r == 12'h7c6; // @[dec_tlu_ctl.scala 1837:68] - wire wr_mpmc_r = io_dec_csr_wen_r_mod & _T_498; // @[dec_tlu_ctl.scala 1837:39] - wire _T_510 = ~io_dec_csr_wrdata_r[1]; // @[dec_tlu_ctl.scala 1845:37] - reg mpmc_b; // @[dec_tlu_ctl.scala 1847:44] - wire mpmc = ~mpmc_b; // @[dec_tlu_ctl.scala 1850:10] - wire _T_511 = ~mpmc; // @[dec_tlu_ctl.scala 1845:62] - wire mpmc_b_ns = wr_mpmc_r ? _T_510 : _T_511; // @[dec_tlu_ctl.scala 1845:18] - wire _T_6 = ~mpmc_b_ns; // @[dec_tlu_ctl.scala 1454:28] - wire set_mie_pmu_fw_halt = _T_6 & io_fw_halt_req; // @[dec_tlu_ctl.scala 1454:39] - wire _T_7 = ~wr_mstatus_r; // @[dec_tlu_ctl.scala 1457:5] - wire _T_8 = _T_7 & io_exc_or_int_valid_r; // @[dec_tlu_ctl.scala 1457:19] + wire _T = ~io_i0_trigger_hit_r; // @[dec_tlu_ctl.scala 1431:45] + wire _T_1 = io_dec_csr_wen_r & _T; // @[dec_tlu_ctl.scala 1431:43] + wire _T_2 = ~io_rfpc_i0_r; // @[dec_tlu_ctl.scala 1431:68] + wire _T_5 = io_dec_csr_wraddr_r == 12'h300; // @[dec_tlu_ctl.scala 1432:71] + wire wr_mstatus_r = io_dec_csr_wen_r_mod & _T_5; // @[dec_tlu_ctl.scala 1432:42] + wire _T_488 = io_dec_csr_wraddr_r == 12'h7c6; // @[dec_tlu_ctl.scala 1818:68] + wire wr_mpmc_r = io_dec_csr_wen_r_mod & _T_488; // @[dec_tlu_ctl.scala 1818:39] + wire _T_500 = ~io_dec_csr_wrdata_r[1]; // @[dec_tlu_ctl.scala 1826:37] + reg mpmc_b; // @[dec_tlu_ctl.scala 1828:44] + wire mpmc = ~mpmc_b; // @[dec_tlu_ctl.scala 1831:10] + wire _T_501 = ~mpmc; // @[dec_tlu_ctl.scala 1826:62] + wire mpmc_b_ns = wr_mpmc_r ? _T_500 : _T_501; // @[dec_tlu_ctl.scala 1826:18] + wire _T_6 = ~mpmc_b_ns; // @[dec_tlu_ctl.scala 1435:28] + wire set_mie_pmu_fw_halt = _T_6 & io_fw_halt_req; // @[dec_tlu_ctl.scala 1435:39] + wire _T_7 = ~wr_mstatus_r; // @[dec_tlu_ctl.scala 1438:5] + wire _T_8 = _T_7 & io_exc_or_int_valid_r; // @[dec_tlu_ctl.scala 1438:19] wire [1:0] _T_12 = {io_mstatus[0],1'h0}; // @[Cat.scala 29:58] - wire _T_13 = wr_mstatus_r & io_exc_or_int_valid_r; // @[dec_tlu_ctl.scala 1458:18] + wire _T_13 = wr_mstatus_r & io_exc_or_int_valid_r; // @[dec_tlu_ctl.scala 1439:18] wire [1:0] _T_16 = {io_dec_csr_wrdata_r[3],1'h0}; // @[Cat.scala 29:58] - wire _T_17 = ~io_exc_or_int_valid_r; // @[dec_tlu_ctl.scala 1459:17] - wire _T_18 = io_mret_r & _T_17; // @[dec_tlu_ctl.scala 1459:15] + wire _T_17 = ~io_exc_or_int_valid_r; // @[dec_tlu_ctl.scala 1440:17] + wire _T_18 = io_mret_r & _T_17; // @[dec_tlu_ctl.scala 1440:15] wire [1:0] _T_21 = {1'h1,io_mstatus[1]}; // @[Cat.scala 29:58] wire [1:0] _T_24 = {io_mstatus[1],1'h1}; // @[Cat.scala 29:58] - wire _T_26 = wr_mstatus_r & _T_17; // @[dec_tlu_ctl.scala 1461:18] + wire _T_26 = wr_mstatus_r & _T_17; // @[dec_tlu_ctl.scala 1442:18] wire [1:0] _T_30 = {io_dec_csr_wrdata_r[7],io_dec_csr_wrdata_r[3]}; // @[Cat.scala 29:58] - wire _T_33 = _T_7 & _T_17; // @[dec_tlu_ctl.scala 1462:19] - wire _T_34 = ~io_mret_r; // @[dec_tlu_ctl.scala 1462:46] - wire _T_35 = _T_33 & _T_34; // @[dec_tlu_ctl.scala 1462:44] - wire _T_36 = ~set_mie_pmu_fw_halt; // @[dec_tlu_ctl.scala 1462:59] - wire _T_37 = _T_35 & _T_36; // @[dec_tlu_ctl.scala 1462:57] + wire _T_33 = _T_7 & _T_17; // @[dec_tlu_ctl.scala 1443:19] + wire _T_34 = ~io_mret_r; // @[dec_tlu_ctl.scala 1443:46] + wire _T_35 = _T_33 & _T_34; // @[dec_tlu_ctl.scala 1443:44] + wire _T_36 = ~set_mie_pmu_fw_halt; // @[dec_tlu_ctl.scala 1443:59] + wire _T_37 = _T_35 & _T_36; // @[dec_tlu_ctl.scala 1443:57] wire [1:0] _T_39 = _T_8 ? _T_12 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_40 = _T_13 ? _T_16 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_41 = _T_18 ? _T_21 : 2'h0; // @[Mux.scala 27:72] @@ -50926,155 +50959,155 @@ module csr_tlu( wire [1:0] _T_46 = _T_45 | _T_41; // @[Mux.scala 27:72] wire [1:0] _T_47 = _T_46 | _T_42; // @[Mux.scala 27:72] wire [1:0] _T_48 = _T_47 | _T_43; // @[Mux.scala 27:72] - wire _T_52 = ~io_dcsr_single_step_running_f; // @[dec_tlu_ctl.scala 1465:50] - wire _T_54 = _T_52 | io_dcsr[11]; // @[dec_tlu_ctl.scala 1465:81] - reg [1:0] _T_56; // @[dec_tlu_ctl.scala 1467:11] - wire _T_58 = io_dec_csr_wraddr_r == 12'h305; // @[dec_tlu_ctl.scala 1476:69] + wire _T_52 = ~io_dcsr_single_step_running_f; // @[dec_tlu_ctl.scala 1446:50] + wire _T_54 = _T_52 | io_dcsr[11]; // @[dec_tlu_ctl.scala 1446:81] + reg [1:0] _T_56; // @[dec_tlu_ctl.scala 1448:11] + wire _T_58 = io_dec_csr_wraddr_r == 12'h305; // @[dec_tlu_ctl.scala 1457:69] reg [30:0] _T_62; // @[lib.scala 374:16] reg [31:0] mdccmect; // @[lib.scala 374:16] - wire [62:0] _T_574 = 63'hffffffff << mdccmect[31:27]; // @[dec_tlu_ctl.scala 1897:41] - wire [31:0] _T_576 = {5'h0,mdccmect[26:0]}; // @[Cat.scala 29:58] - wire [62:0] _GEN_9 = {{31'd0}, _T_576}; // @[dec_tlu_ctl.scala 1897:61] - wire [62:0] _T_577 = _T_574 & _GEN_9; // @[dec_tlu_ctl.scala 1897:61] - wire mdccme_ce_req = |_T_577; // @[dec_tlu_ctl.scala 1897:94] + wire [62:0] _T_564 = 63'hffffffff << mdccmect[31:27]; // @[dec_tlu_ctl.scala 1878:41] + wire [31:0] _T_566 = {5'h0,mdccmect[26:0]}; // @[Cat.scala 29:58] + wire [62:0] _GEN_9 = {{31'd0}, _T_566}; // @[dec_tlu_ctl.scala 1878:61] + wire [62:0] _T_567 = _T_564 & _GEN_9; // @[dec_tlu_ctl.scala 1878:61] + wire mdccme_ce_req = |_T_567; // @[dec_tlu_ctl.scala 1878:94] reg [31:0] miccmect; // @[lib.scala 374:16] - wire [62:0] _T_554 = 63'hffffffff << miccmect[31:27]; // @[dec_tlu_ctl.scala 1882:40] - wire [31:0] _T_556 = {5'h0,miccmect[26:0]}; // @[Cat.scala 29:58] - wire [62:0] _GEN_10 = {{31'd0}, _T_556}; // @[dec_tlu_ctl.scala 1882:60] - wire [62:0] _T_557 = _T_554 & _GEN_10; // @[dec_tlu_ctl.scala 1882:60] - wire miccme_ce_req = |_T_557; // @[dec_tlu_ctl.scala 1882:93] - wire _T_63 = mdccme_ce_req | miccme_ce_req; // @[dec_tlu_ctl.scala 1490:30] + wire [62:0] _T_544 = 63'hffffffff << miccmect[31:27]; // @[dec_tlu_ctl.scala 1863:40] + wire [31:0] _T_546 = {5'h0,miccmect[26:0]}; // @[Cat.scala 29:58] + wire [62:0] _GEN_10 = {{31'd0}, _T_546}; // @[dec_tlu_ctl.scala 1863:60] + wire [62:0] _T_547 = _T_544 & _GEN_10; // @[dec_tlu_ctl.scala 1863:60] + wire miccme_ce_req = |_T_547; // @[dec_tlu_ctl.scala 1863:93] + wire _T_63 = mdccme_ce_req | miccme_ce_req; // @[dec_tlu_ctl.scala 1471:30] reg [31:0] micect; // @[lib.scala 374:16] - wire [62:0] _T_532 = 63'hffffffff << micect[31:27]; // @[dec_tlu_ctl.scala 1867:39] - wire [31:0] _T_534 = {5'h0,micect[26:0]}; // @[Cat.scala 29:58] - wire [62:0] _GEN_11 = {{31'd0}, _T_534}; // @[dec_tlu_ctl.scala 1867:57] - wire [62:0] _T_535 = _T_532 & _GEN_11; // @[dec_tlu_ctl.scala 1867:57] - wire mice_ce_req = |_T_535; // @[dec_tlu_ctl.scala 1867:88] - wire ce_int = _T_63 | mice_ce_req; // @[dec_tlu_ctl.scala 1490:46] + wire [62:0] _T_522 = 63'hffffffff << micect[31:27]; // @[dec_tlu_ctl.scala 1848:39] + wire [31:0] _T_524 = {5'h0,micect[26:0]}; // @[Cat.scala 29:58] + wire [62:0] _GEN_11 = {{31'd0}, _T_524}; // @[dec_tlu_ctl.scala 1848:57] + wire [62:0] _T_525 = _T_522 & _GEN_11; // @[dec_tlu_ctl.scala 1848:57] + wire mice_ce_req = |_T_525; // @[dec_tlu_ctl.scala 1848:88] + wire ce_int = _T_63 | mice_ce_req; // @[dec_tlu_ctl.scala 1471:46] wire [2:0] _T_65 = {io_mexintpend,io_timer_int_sync,io_soft_int_sync}; // @[Cat.scala 29:58] wire [2:0] _T_67 = {ce_int,io_dec_timer_t0_pulse,io_dec_timer_t1_pulse}; // @[Cat.scala 29:58] - reg [5:0] _T_68; // @[dec_tlu_ctl.scala 1494:11] - wire _T_70 = io_dec_csr_wraddr_r == 12'h304; // @[dec_tlu_ctl.scala 1506:67] - wire wr_mie_r = io_dec_csr_wen_r_mod & _T_70; // @[dec_tlu_ctl.scala 1506:38] + reg [5:0] _T_68; // @[dec_tlu_ctl.scala 1475:11] + wire _T_70 = io_dec_csr_wraddr_r == 12'h304; // @[dec_tlu_ctl.scala 1487:67] + wire wr_mie_r = io_dec_csr_wen_r_mod & _T_70; // @[dec_tlu_ctl.scala 1487:38] wire [5:0] _T_78 = {io_dec_csr_wrdata_r[30:28],io_dec_csr_wrdata_r[11],io_dec_csr_wrdata_r[7],io_dec_csr_wrdata_r[3]}; // @[Cat.scala 29:58] - reg [5:0] mie; // @[dec_tlu_ctl.scala 1509:11] - wire kill_ebreak_count_r = io_ebreak_to_debug_mode_r & io_dcsr[10]; // @[dec_tlu_ctl.scala 1516:54] - wire _T_83 = io_dec_csr_wraddr_r == 12'hb00; // @[dec_tlu_ctl.scala 1518:71] - wire wr_mcyclel_r = io_dec_csr_wen_r_mod & _T_83; // @[dec_tlu_ctl.scala 1518:42] - wire _T_85 = io_dec_tlu_dbg_halted & io_dcsr[10]; // @[dec_tlu_ctl.scala 1520:71] - wire _T_86 = kill_ebreak_count_r | _T_85; // @[dec_tlu_ctl.scala 1520:46] - wire _T_87 = _T_86 | io_dec_tlu_pmu_fw_halted; // @[dec_tlu_ctl.scala 1520:94] + reg [5:0] mie; // @[dec_tlu_ctl.scala 1490:11] + wire kill_ebreak_count_r = io_ebreak_to_debug_mode_r & io_dcsr[10]; // @[dec_tlu_ctl.scala 1497:54] + wire _T_83 = io_dec_csr_wraddr_r == 12'hb00; // @[dec_tlu_ctl.scala 1499:71] + wire wr_mcyclel_r = io_dec_csr_wen_r_mod & _T_83; // @[dec_tlu_ctl.scala 1499:42] + wire _T_85 = io_dec_tlu_dbg_halted & io_dcsr[10]; // @[dec_tlu_ctl.scala 1501:71] + wire _T_86 = kill_ebreak_count_r | _T_85; // @[dec_tlu_ctl.scala 1501:46] + wire _T_87 = _T_86 | io_dec_tlu_pmu_fw_halted; // @[dec_tlu_ctl.scala 1501:94] reg [4:0] temp_ncount6_2; // @[Reg.scala 27:20] reg temp_ncount0; // @[Reg.scala 27:20] wire [6:0] mcountinhibit = {temp_ncount6_2,1'h0,temp_ncount0}; // @[Cat.scala 29:58] - wire _T_89 = _T_87 | mcountinhibit[0]; // @[dec_tlu_ctl.scala 1520:121] - wire mcyclel_cout_in = ~_T_89; // @[dec_tlu_ctl.scala 1520:24] + wire _T_89 = _T_87 | mcountinhibit[0]; // @[dec_tlu_ctl.scala 1501:121] + wire mcyclel_cout_in = ~_T_89; // @[dec_tlu_ctl.scala 1501:24] wire [31:0] _T_90 = {31'h0,mcyclel_cout_in}; // @[Cat.scala 29:58] reg [31:0] mcyclel; // @[lib.scala 374:16] - wire [32:0] mcyclel_inc = mcyclel + _T_90; // @[dec_tlu_ctl.scala 1524:25] - wire mcyclel_cout = mcyclel_inc[32]; // @[dec_tlu_ctl.scala 1526:32] - wire _T_101 = io_dec_csr_wraddr_r == 12'hb80; // @[dec_tlu_ctl.scala 1534:68] - wire wr_mcycleh_r = io_dec_csr_wen_r_mod & _T_101; // @[dec_tlu_ctl.scala 1534:39] - wire _T_98 = ~wr_mcycleh_r; // @[dec_tlu_ctl.scala 1528:71] - reg mcyclel_cout_f; // @[dec_tlu_ctl.scala 1528:54] + wire [32:0] mcyclel_inc = mcyclel + _T_90; // @[dec_tlu_ctl.scala 1505:25] + wire mcyclel_cout = mcyclel_inc[32]; // @[dec_tlu_ctl.scala 1507:32] + wire _T_101 = io_dec_csr_wraddr_r == 12'hb80; // @[dec_tlu_ctl.scala 1515:68] + wire wr_mcycleh_r = io_dec_csr_wen_r_mod & _T_101; // @[dec_tlu_ctl.scala 1515:39] + wire _T_98 = ~wr_mcycleh_r; // @[dec_tlu_ctl.scala 1509:71] + reg mcyclel_cout_f; // @[dec_tlu_ctl.scala 1509:54] wire [31:0] _T_103 = {31'h0,mcyclel_cout_f}; // @[Cat.scala 29:58] reg [31:0] mcycleh; // @[lib.scala 374:16] - wire [31:0] mcycleh_inc = mcycleh + _T_103; // @[dec_tlu_ctl.scala 1536:28] - wire _T_109 = io_ebreak_r | io_ecall_r; // @[dec_tlu_ctl.scala 1553:72] - wire _T_110 = _T_109 | io_ebreak_to_debug_mode_r; // @[dec_tlu_ctl.scala 1553:85] - wire _T_111 = _T_110 | io_illegal_r; // @[dec_tlu_ctl.scala 1553:113] - wire _T_113 = _T_111 | mcountinhibit[2]; // @[dec_tlu_ctl.scala 1553:128] - wire _T_115 = ~_T_113; // @[dec_tlu_ctl.scala 1553:58] - wire i0_valid_no_ebreak_ecall_r = io_tlu_i0_commit_cmt & _T_115; // @[dec_tlu_ctl.scala 1553:56] - wire _T_117 = io_dec_csr_wraddr_r == 12'hb02; // @[dec_tlu_ctl.scala 1555:73] - wire wr_minstretl_r = io_dec_csr_wen_r_mod & _T_117; // @[dec_tlu_ctl.scala 1555:44] + wire [31:0] mcycleh_inc = mcycleh + _T_103; // @[dec_tlu_ctl.scala 1517:28] + wire _T_109 = io_ebreak_r | io_ecall_r; // @[dec_tlu_ctl.scala 1534:72] + wire _T_110 = _T_109 | io_ebreak_to_debug_mode_r; // @[dec_tlu_ctl.scala 1534:85] + wire _T_111 = _T_110 | io_illegal_r; // @[dec_tlu_ctl.scala 1534:113] + wire _T_113 = _T_111 | mcountinhibit[2]; // @[dec_tlu_ctl.scala 1534:128] + wire _T_115 = ~_T_113; // @[dec_tlu_ctl.scala 1534:58] + wire i0_valid_no_ebreak_ecall_r = io_tlu_i0_commit_cmt & _T_115; // @[dec_tlu_ctl.scala 1534:56] + wire _T_117 = io_dec_csr_wraddr_r == 12'hb02; // @[dec_tlu_ctl.scala 1536:73] + wire wr_minstretl_r = io_dec_csr_wen_r_mod & _T_117; // @[dec_tlu_ctl.scala 1536:44] wire [31:0] _T_118 = {31'h0,i0_valid_no_ebreak_ecall_r}; // @[Cat.scala 29:58] reg [31:0] minstretl; // @[lib.scala 374:16] - wire [32:0] minstretl_inc = minstretl + _T_118; // @[dec_tlu_ctl.scala 1557:29] - wire minstretl_cout = minstretl_inc[32]; // @[dec_tlu_ctl.scala 1558:36] - reg minstret_enable_f; // @[dec_tlu_ctl.scala 1563:56] - wire _T_128 = io_dec_csr_wraddr_r == 12'hb82; // @[dec_tlu_ctl.scala 1572:71] - wire wr_minstreth_r = io_dec_csr_wen_r_mod & _T_128; // @[dec_tlu_ctl.scala 1572:42] - wire _T_125 = ~wr_minstreth_r; // @[dec_tlu_ctl.scala 1564:75] - reg minstretl_cout_f; // @[dec_tlu_ctl.scala 1564:56] + wire [32:0] minstretl_inc = minstretl + _T_118; // @[dec_tlu_ctl.scala 1538:29] + wire minstretl_cout = minstretl_inc[32]; // @[dec_tlu_ctl.scala 1539:36] + reg minstret_enable_f; // @[dec_tlu_ctl.scala 1544:56] + wire _T_128 = io_dec_csr_wraddr_r == 12'hb82; // @[dec_tlu_ctl.scala 1553:71] + wire wr_minstreth_r = io_dec_csr_wen_r_mod & _T_128; // @[dec_tlu_ctl.scala 1553:42] + wire _T_125 = ~wr_minstreth_r; // @[dec_tlu_ctl.scala 1545:75] + reg minstretl_cout_f; // @[dec_tlu_ctl.scala 1545:56] wire [31:0] _T_131 = {31'h0,minstretl_cout_f}; // @[Cat.scala 29:58] reg [31:0] minstreth; // @[lib.scala 374:16] - wire [31:0] minstreth_inc = minstreth + _T_131; // @[dec_tlu_ctl.scala 1575:29] - wire _T_139 = io_dec_csr_wraddr_r == 12'h340; // @[dec_tlu_ctl.scala 1586:72] + wire [31:0] minstreth_inc = minstreth + _T_131; // @[dec_tlu_ctl.scala 1556:29] + wire _T_139 = io_dec_csr_wraddr_r == 12'h340; // @[dec_tlu_ctl.scala 1567:72] reg [31:0] mscratch; // @[lib.scala 374:16] - wire _T_142 = ~io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 1597:22] - wire _T_143 = ~io_tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 1597:47] - wire _T_144 = _T_142 & _T_143; // @[dec_tlu_ctl.scala 1597:45] - wire sel_exu_npc_r = _T_144 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 1597:72] - wire _T_146 = _T_142 & io_tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 1598:47] - wire _T_147 = ~io_dec_tlu_flush_noredir_r_d1; // @[dec_tlu_ctl.scala 1598:75] - wire sel_flush_npc_r = _T_146 & _T_147; // @[dec_tlu_ctl.scala 1598:73] - wire _T_148 = ~sel_exu_npc_r; // @[dec_tlu_ctl.scala 1599:23] - wire _T_149 = ~sel_flush_npc_r; // @[dec_tlu_ctl.scala 1599:40] - wire sel_hold_npc_r = _T_148 & _T_149; // @[dec_tlu_ctl.scala 1599:38] - wire _T_151 = ~io_mpc_reset_run_req; // @[dec_tlu_ctl.scala 1603:13] - wire _T_152 = _T_151 & io_reset_delayed; // @[dec_tlu_ctl.scala 1603:35] + wire _T_142 = ~io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 1578:22] + wire _T_143 = ~io_tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 1578:47] + wire _T_144 = _T_142 & _T_143; // @[dec_tlu_ctl.scala 1578:45] + wire sel_exu_npc_r = _T_144 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 1578:72] + wire _T_146 = _T_142 & io_tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 1579:47] + wire _T_147 = ~io_dec_tlu_flush_noredir_r_d1; // @[dec_tlu_ctl.scala 1579:75] + wire sel_flush_npc_r = _T_146 & _T_147; // @[dec_tlu_ctl.scala 1579:73] + wire _T_148 = ~sel_exu_npc_r; // @[dec_tlu_ctl.scala 1580:23] + wire _T_149 = ~sel_flush_npc_r; // @[dec_tlu_ctl.scala 1580:40] + wire sel_hold_npc_r = _T_148 & _T_149; // @[dec_tlu_ctl.scala 1580:38] + wire _T_151 = ~io_mpc_reset_run_req; // @[dec_tlu_ctl.scala 1584:13] + wire _T_152 = _T_151 & io_reset_delayed; // @[dec_tlu_ctl.scala 1584:35] wire [30:0] _T_156 = sel_exu_npc_r ? io_exu_npc_r : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_157 = _T_152 ? io_rst_vec : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_158 = sel_flush_npc_r ? io_tlu_flush_path_r_d1 : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_159 = sel_hold_npc_r ? io_npc_r_d1 : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_160 = _T_156 | _T_157; // @[Mux.scala 27:72] wire [30:0] _T_161 = _T_160 | _T_158; // @[Mux.scala 27:72] - wire _T_164 = sel_exu_npc_r | sel_flush_npc_r; // @[dec_tlu_ctl.scala 1607:48] + wire _T_164 = sel_exu_npc_r | sel_flush_npc_r; // @[dec_tlu_ctl.scala 1588:48] reg [30:0] _T_167; // @[lib.scala 374:16] - wire pc0_valid_r = _T_142 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 1610:44] - wire _T_170 = ~pc0_valid_r; // @[dec_tlu_ctl.scala 1614:22] + wire pc0_valid_r = _T_142 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 1591:44] + wire _T_170 = ~pc0_valid_r; // @[dec_tlu_ctl.scala 1595:22] wire [30:0] _T_171 = pc0_valid_r ? io_dec_tlu_i0_pc_r : 31'h0; // @[Mux.scala 27:72] reg [30:0] pc_r_d1; // @[lib.scala 374:16] wire [30:0] _T_172 = _T_170 ? pc_r_d1 : 31'h0; // @[Mux.scala 27:72] wire [30:0] pc_r = _T_171 | _T_172; // @[Mux.scala 27:72] - wire _T_176 = io_dec_csr_wraddr_r == 12'h341; // @[dec_tlu_ctl.scala 1618:68] - wire wr_mepc_r = io_dec_csr_wen_r_mod & _T_176; // @[dec_tlu_ctl.scala 1618:39] - wire _T_177 = io_i0_exception_valid_r | io_lsu_exc_valid_r; // @[dec_tlu_ctl.scala 1621:27] - wire _T_178 = _T_177 | io_mepc_trigger_hit_sel_pc_r; // @[dec_tlu_ctl.scala 1621:48] - wire _T_182 = wr_mepc_r & _T_17; // @[dec_tlu_ctl.scala 1623:13] - wire _T_185 = ~wr_mepc_r; // @[dec_tlu_ctl.scala 1624:3] - wire _T_187 = _T_185 & _T_17; // @[dec_tlu_ctl.scala 1624:14] + wire _T_176 = io_dec_csr_wraddr_r == 12'h341; // @[dec_tlu_ctl.scala 1599:68] + wire wr_mepc_r = io_dec_csr_wen_r_mod & _T_176; // @[dec_tlu_ctl.scala 1599:39] + wire _T_177 = io_i0_exception_valid_r | io_lsu_exc_valid_r; // @[dec_tlu_ctl.scala 1602:27] + wire _T_178 = _T_177 | io_mepc_trigger_hit_sel_pc_r; // @[dec_tlu_ctl.scala 1602:48] + wire _T_182 = wr_mepc_r & _T_17; // @[dec_tlu_ctl.scala 1604:13] + wire _T_185 = ~wr_mepc_r; // @[dec_tlu_ctl.scala 1605:3] + wire _T_187 = _T_185 & _T_17; // @[dec_tlu_ctl.scala 1605:14] wire [30:0] _T_189 = _T_178 ? pc_r : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_190 = io_interrupt_valid_r ? io_npc_r : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_191 = _T_182 ? io_dec_csr_wrdata_r[31:1] : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_192 = _T_187 ? io_mepc : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_193 = _T_189 | _T_190; // @[Mux.scala 27:72] wire [30:0] _T_194 = _T_193 | _T_191; // @[Mux.scala 27:72] - reg [30:0] _T_196; // @[dec_tlu_ctl.scala 1626:47] - wire _T_198 = io_dec_csr_wraddr_r == 12'h342; // @[dec_tlu_ctl.scala 1633:72] - wire wr_mcause_r = io_dec_csr_wen_r_mod & _T_198; // @[dec_tlu_ctl.scala 1633:43] - wire _T_199 = io_exc_or_int_valid_r & io_take_nmi; // @[dec_tlu_ctl.scala 1634:53] - wire mcause_sel_nmi_store = _T_199 & io_nmi_lsu_store_type; // @[dec_tlu_ctl.scala 1634:67] - wire mcause_sel_nmi_load = _T_199 & io_nmi_lsu_load_type; // @[dec_tlu_ctl.scala 1635:66] - wire _T_202 = |io_lsu_fir_error; // @[dec_tlu_ctl.scala 1636:84] - wire mcause_sel_nmi_ext = _T_199 & _T_202; // @[dec_tlu_ctl.scala 1636:65] - wire _T_203 = &io_lsu_fir_error; // @[dec_tlu_ctl.scala 1642:53] - wire _T_206 = ~io_lsu_fir_error[0]; // @[dec_tlu_ctl.scala 1642:82] - wire _T_207 = io_lsu_fir_error[1] & _T_206; // @[dec_tlu_ctl.scala 1642:80] + reg [30:0] _T_196; // @[dec_tlu_ctl.scala 1607:47] + wire _T_198 = io_dec_csr_wraddr_r == 12'h342; // @[dec_tlu_ctl.scala 1614:72] + wire wr_mcause_r = io_dec_csr_wen_r_mod & _T_198; // @[dec_tlu_ctl.scala 1614:43] + wire _T_199 = io_exc_or_int_valid_r & io_take_nmi; // @[dec_tlu_ctl.scala 1615:53] + wire mcause_sel_nmi_store = _T_199 & io_nmi_lsu_store_type; // @[dec_tlu_ctl.scala 1615:67] + wire mcause_sel_nmi_load = _T_199 & io_nmi_lsu_load_type; // @[dec_tlu_ctl.scala 1616:66] + wire _T_202 = |io_lsu_fir_error; // @[dec_tlu_ctl.scala 1617:84] + wire mcause_sel_nmi_ext = _T_199 & _T_202; // @[dec_tlu_ctl.scala 1617:65] + wire _T_203 = &io_lsu_fir_error; // @[dec_tlu_ctl.scala 1623:53] + wire _T_206 = ~io_lsu_fir_error[0]; // @[dec_tlu_ctl.scala 1623:82] + wire _T_207 = io_lsu_fir_error[1] & _T_206; // @[dec_tlu_ctl.scala 1623:80] wire [31:0] _T_212 = {30'h3c000400,_T_203,_T_207}; // @[Cat.scala 29:58] - wire _T_213 = ~io_take_nmi; // @[dec_tlu_ctl.scala 1648:56] - wire _T_214 = io_exc_or_int_valid_r & _T_213; // @[dec_tlu_ctl.scala 1648:54] + wire _T_213 = ~io_take_nmi; // @[dec_tlu_ctl.scala 1629:56] + wire _T_214 = io_exc_or_int_valid_r & _T_213; // @[dec_tlu_ctl.scala 1629:54] wire [31:0] _T_217 = {io_interrupt_valid_r,26'h0,io_exc_cause_r}; // @[Cat.scala 29:58] - wire _T_219 = wr_mcause_r & _T_17; // @[dec_tlu_ctl.scala 1649:44] - wire _T_221 = ~wr_mcause_r; // @[dec_tlu_ctl.scala 1650:32] - wire _T_223 = _T_221 & _T_17; // @[dec_tlu_ctl.scala 1650:45] + wire _T_219 = wr_mcause_r & _T_17; // @[dec_tlu_ctl.scala 1630:44] + wire _T_221 = ~wr_mcause_r; // @[dec_tlu_ctl.scala 1631:32] + wire _T_223 = _T_221 & _T_17; // @[dec_tlu_ctl.scala 1631:45] wire [31:0] _T_225 = mcause_sel_nmi_store ? 32'hf0000000 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_226 = mcause_sel_nmi_load ? 32'hf0000001 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_227 = mcause_sel_nmi_ext ? _T_212 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_228 = _T_214 ? _T_217 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_229 = _T_219 ? io_dec_csr_wrdata_r : 32'h0; // @[Mux.scala 27:72] - reg [31:0] mcause; // @[dec_tlu_ctl.scala 1652:49] + reg [31:0] mcause; // @[dec_tlu_ctl.scala 1633:49] wire [31:0] _T_230 = _T_223 ? mcause : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_231 = _T_225 | _T_226; // @[Mux.scala 27:72] wire [31:0] _T_232 = _T_231 | _T_227; // @[Mux.scala 27:72] wire [31:0] _T_233 = _T_232 | _T_228; // @[Mux.scala 27:72] wire [31:0] _T_234 = _T_233 | _T_229; // @[Mux.scala 27:72] - wire _T_238 = io_dec_csr_wraddr_r == 12'h7ff; // @[dec_tlu_ctl.scala 1659:71] - wire wr_mscause_r = io_dec_csr_wen_r_mod & _T_238; // @[dec_tlu_ctl.scala 1659:42] - wire _T_239 = io_dec_tlu_packet_r_icaf_type == 2'h0; // @[dec_tlu_ctl.scala 1661:56] + wire _T_238 = io_dec_csr_wraddr_r == 12'h7ff; // @[dec_tlu_ctl.scala 1640:71] + wire wr_mscause_r = io_dec_csr_wen_r_mod & _T_238; // @[dec_tlu_ctl.scala 1640:42] + wire _T_239 = io_dec_tlu_packet_r_icaf_type == 2'h0; // @[dec_tlu_ctl.scala 1642:56] wire [3:0] _T_240 = {2'h0,io_dec_tlu_packet_r_icaf_type}; // @[Cat.scala 29:58] - wire [3:0] ifu_mscause = _T_239 ? 4'h9 : _T_240; // @[dec_tlu_ctl.scala 1661:24] + wire [3:0] ifu_mscause = _T_239 ? 4'h9 : _T_240; // @[dec_tlu_ctl.scala 1642:24] wire [3:0] _T_245 = io_lsu_i0_exc_r ? io_lsu_error_pkt_r_bits_mscause : 4'h0; // @[Mux.scala 27:72] wire [1:0] _T_247 = io_ebreak_r ? 2'h2 : 2'h0; // @[Mux.scala 27:72] wire [3:0] _T_248 = io_inst_acc_r ? ifu_mscause : 4'h0; // @[Mux.scala 27:72] @@ -51083,481 +51116,489 @@ module csr_tlu( wire [3:0] _GEN_13 = {{2'd0}, _T_247}; // @[Mux.scala 27:72] wire [3:0] _T_250 = _T_249 | _GEN_13; // @[Mux.scala 27:72] wire [3:0] mscause_type = _T_250 | _T_248; // @[Mux.scala 27:72] - wire _T_254 = wr_mscause_r & _T_17; // @[dec_tlu_ctl.scala 1672:38] - wire _T_257 = ~wr_mscause_r; // @[dec_tlu_ctl.scala 1673:25] - wire _T_259 = _T_257 & _T_17; // @[dec_tlu_ctl.scala 1673:39] + wire _T_254 = wr_mscause_r & _T_17; // @[dec_tlu_ctl.scala 1653:38] + wire _T_257 = ~wr_mscause_r; // @[dec_tlu_ctl.scala 1654:25] + wire _T_259 = _T_257 & _T_17; // @[dec_tlu_ctl.scala 1654:39] wire [3:0] _T_261 = io_exc_or_int_valid_r ? mscause_type : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_262 = _T_254 ? io_dec_csr_wrdata_r[3:0] : 4'h0; // @[Mux.scala 27:72] - reg [3:0] mscause; // @[dec_tlu_ctl.scala 1675:47] + reg [3:0] mscause; // @[dec_tlu_ctl.scala 1656:47] wire [3:0] _T_263 = _T_259 ? mscause : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_264 = _T_261 | _T_262; // @[Mux.scala 27:72] - wire _T_268 = io_dec_csr_wraddr_r == 12'h343; // @[dec_tlu_ctl.scala 1682:69] - wire wr_mtval_r = io_dec_csr_wen_r_mod & _T_268; // @[dec_tlu_ctl.scala 1682:40] - wire _T_269 = ~io_inst_acc_second_r; // @[dec_tlu_ctl.scala 1683:83] - wire _T_270 = io_inst_acc_r & _T_269; // @[dec_tlu_ctl.scala 1683:81] - wire _T_271 = io_ebreak_r | _T_270; // @[dec_tlu_ctl.scala 1683:64] - wire _T_272 = _T_271 | io_mepc_trigger_hit_sel_pc_r; // @[dec_tlu_ctl.scala 1683:106] - wire _T_273 = io_exc_or_int_valid_r & _T_272; // @[dec_tlu_ctl.scala 1683:49] - wire mtval_capture_pc_r = _T_273 & _T_213; // @[dec_tlu_ctl.scala 1683:138] - wire _T_275 = io_inst_acc_r & io_inst_acc_second_r; // @[dec_tlu_ctl.scala 1684:72] - wire _T_276 = io_exc_or_int_valid_r & _T_275; // @[dec_tlu_ctl.scala 1684:55] - wire mtval_capture_pc_plus2_r = _T_276 & _T_213; // @[dec_tlu_ctl.scala 1684:96] - wire _T_278 = io_exc_or_int_valid_r & io_illegal_r; // @[dec_tlu_ctl.scala 1685:51] - wire mtval_capture_inst_r = _T_278 & _T_213; // @[dec_tlu_ctl.scala 1685:66] - wire _T_280 = io_exc_or_int_valid_r & io_lsu_exc_valid_r; // @[dec_tlu_ctl.scala 1686:50] - wire mtval_capture_lsu_r = _T_280 & _T_213; // @[dec_tlu_ctl.scala 1686:71] - wire _T_282 = ~mtval_capture_pc_r; // @[dec_tlu_ctl.scala 1687:46] - wire _T_283 = io_exc_or_int_valid_r & _T_282; // @[dec_tlu_ctl.scala 1687:44] - wire _T_284 = ~mtval_capture_inst_r; // @[dec_tlu_ctl.scala 1687:68] - wire _T_285 = _T_283 & _T_284; // @[dec_tlu_ctl.scala 1687:66] - wire _T_286 = ~mtval_capture_lsu_r; // @[dec_tlu_ctl.scala 1687:92] - wire _T_287 = _T_285 & _T_286; // @[dec_tlu_ctl.scala 1687:90] - wire _T_288 = ~io_mepc_trigger_hit_sel_pc_r; // @[dec_tlu_ctl.scala 1687:115] - wire mtval_clear_r = _T_287 & _T_288; // @[dec_tlu_ctl.scala 1687:113] + wire _T_268 = io_dec_csr_wraddr_r == 12'h343; // @[dec_tlu_ctl.scala 1663:69] + wire wr_mtval_r = io_dec_csr_wen_r_mod & _T_268; // @[dec_tlu_ctl.scala 1663:40] + wire _T_269 = ~io_inst_acc_second_r; // @[dec_tlu_ctl.scala 1664:83] + wire _T_270 = io_inst_acc_r & _T_269; // @[dec_tlu_ctl.scala 1664:81] + wire _T_271 = io_ebreak_r | _T_270; // @[dec_tlu_ctl.scala 1664:64] + wire _T_272 = _T_271 | io_mepc_trigger_hit_sel_pc_r; // @[dec_tlu_ctl.scala 1664:106] + wire _T_273 = io_exc_or_int_valid_r & _T_272; // @[dec_tlu_ctl.scala 1664:49] + wire mtval_capture_pc_r = _T_273 & _T_213; // @[dec_tlu_ctl.scala 1664:138] + wire _T_275 = io_inst_acc_r & io_inst_acc_second_r; // @[dec_tlu_ctl.scala 1665:72] + wire _T_276 = io_exc_or_int_valid_r & _T_275; // @[dec_tlu_ctl.scala 1665:55] + wire mtval_capture_pc_plus2_r = _T_276 & _T_213; // @[dec_tlu_ctl.scala 1665:96] + wire _T_278 = io_exc_or_int_valid_r & io_illegal_r; // @[dec_tlu_ctl.scala 1666:51] + wire mtval_capture_inst_r = _T_278 & _T_213; // @[dec_tlu_ctl.scala 1666:66] + wire _T_280 = io_exc_or_int_valid_r & io_lsu_exc_valid_r; // @[dec_tlu_ctl.scala 1667:50] + wire mtval_capture_lsu_r = _T_280 & _T_213; // @[dec_tlu_ctl.scala 1667:71] + wire _T_282 = ~mtval_capture_pc_r; // @[dec_tlu_ctl.scala 1668:46] + wire _T_283 = io_exc_or_int_valid_r & _T_282; // @[dec_tlu_ctl.scala 1668:44] + wire _T_284 = ~mtval_capture_inst_r; // @[dec_tlu_ctl.scala 1668:68] + wire _T_285 = _T_283 & _T_284; // @[dec_tlu_ctl.scala 1668:66] + wire _T_286 = ~mtval_capture_lsu_r; // @[dec_tlu_ctl.scala 1668:92] + wire _T_287 = _T_285 & _T_286; // @[dec_tlu_ctl.scala 1668:90] + wire _T_288 = ~io_mepc_trigger_hit_sel_pc_r; // @[dec_tlu_ctl.scala 1668:115] + wire mtval_clear_r = _T_287 & _T_288; // @[dec_tlu_ctl.scala 1668:113] wire [31:0] _T_290 = {pc_r,1'h0}; // @[Cat.scala 29:58] - wire [30:0] _T_293 = pc_r + 31'h1; // @[dec_tlu_ctl.scala 1692:83] + wire [30:0] _T_293 = pc_r + 31'h1; // @[dec_tlu_ctl.scala 1673:83] wire [31:0] _T_294 = {_T_293,1'h0}; // @[Cat.scala 29:58] - wire _T_297 = ~io_interrupt_valid_r; // @[dec_tlu_ctl.scala 1695:18] - wire _T_298 = wr_mtval_r & _T_297; // @[dec_tlu_ctl.scala 1695:16] - wire _T_301 = ~wr_mtval_r; // @[dec_tlu_ctl.scala 1696:20] - wire _T_302 = _T_213 & _T_301; // @[dec_tlu_ctl.scala 1696:18] - wire _T_304 = _T_302 & _T_282; // @[dec_tlu_ctl.scala 1696:32] - wire _T_306 = _T_304 & _T_284; // @[dec_tlu_ctl.scala 1696:54] - wire _T_307 = ~mtval_clear_r; // @[dec_tlu_ctl.scala 1696:80] - wire _T_308 = _T_306 & _T_307; // @[dec_tlu_ctl.scala 1696:78] - wire _T_310 = _T_308 & _T_286; // @[dec_tlu_ctl.scala 1696:95] + wire _T_297 = ~io_interrupt_valid_r; // @[dec_tlu_ctl.scala 1676:18] + wire _T_298 = wr_mtval_r & _T_297; // @[dec_tlu_ctl.scala 1676:16] + wire _T_301 = ~wr_mtval_r; // @[dec_tlu_ctl.scala 1677:20] + wire _T_302 = _T_213 & _T_301; // @[dec_tlu_ctl.scala 1677:18] + wire _T_304 = _T_302 & _T_282; // @[dec_tlu_ctl.scala 1677:32] + wire _T_306 = _T_304 & _T_284; // @[dec_tlu_ctl.scala 1677:54] + wire _T_307 = ~mtval_clear_r; // @[dec_tlu_ctl.scala 1677:80] + wire _T_308 = _T_306 & _T_307; // @[dec_tlu_ctl.scala 1677:78] + wire _T_310 = _T_308 & _T_286; // @[dec_tlu_ctl.scala 1677:95] wire [31:0] _T_312 = mtval_capture_pc_r ? _T_290 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_313 = mtval_capture_pc_plus2_r ? _T_294 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_314 = mtval_capture_inst_r ? io_dec_illegal_inst : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_315 = mtval_capture_lsu_r ? io_lsu_error_pkt_addr_r : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_316 = _T_298 ? io_dec_csr_wrdata_r : 32'h0; // @[Mux.scala 27:72] - reg [31:0] mtval; // @[dec_tlu_ctl.scala 1698:46] + reg [31:0] mtval; // @[dec_tlu_ctl.scala 1679:46] wire [31:0] _T_317 = _T_310 ? mtval : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_318 = _T_312 | _T_313; // @[Mux.scala 27:72] wire [31:0] _T_319 = _T_318 | _T_314; // @[Mux.scala 27:72] wire [31:0] _T_320 = _T_319 | _T_315; // @[Mux.scala 27:72] wire [31:0] _T_321 = _T_320 | _T_316; // @[Mux.scala 27:72] - wire _T_325 = io_dec_csr_wraddr_r == 12'h7f8; // @[dec_tlu_ctl.scala 1713:68] + wire _T_325 = io_dec_csr_wraddr_r == 12'h7f8; // @[dec_tlu_ctl.scala 1694:68] reg [8:0] mcgc; // @[lib.scala 374:16] - wire _T_337 = io_dec_csr_wraddr_r == 12'h7f9; // @[dec_tlu_ctl.scala 1743:68] + wire _T_337 = io_dec_csr_wraddr_r == 12'h7f9; // @[dec_tlu_ctl.scala 1724:68] reg [14:0] mfdc_int; // @[lib.scala 374:16] - wire [2:0] _T_341 = ~io_dec_csr_wrdata_r[18:16]; // @[dec_tlu_ctl.scala 1752:20] - wire _T_344 = ~io_dec_csr_wrdata_r[6]; // @[dec_tlu_ctl.scala 1752:75] - wire [6:0] _T_346 = {_T_344,io_dec_csr_wrdata_r[5:0]}; // @[Cat.scala 29:58] - wire [7:0] _T_347 = {_T_341,io_dec_csr_wrdata_r[11:7]}; // @[Cat.scala 29:58] - wire [2:0] _T_350 = ~mfdc_int[14:12]; // @[dec_tlu_ctl.scala 1753:20] - wire _T_353 = ~mfdc_int[6]; // @[dec_tlu_ctl.scala 1753:63] - wire [18:0] mfdc = {_T_350,4'h0,mfdc_int[11:7],_T_353,mfdc_int[5:0]}; // @[Cat.scala 29:58] - wire _T_367 = io_dec_csr_wraddr_r == 12'h7c2; // @[dec_tlu_ctl.scala 1776:77] - wire _T_368 = io_dec_csr_wen_r_mod & _T_367; // @[dec_tlu_ctl.scala 1776:48] - wire _T_370 = _T_368 & _T_297; // @[dec_tlu_ctl.scala 1776:87] - wire _T_371 = ~io_take_ext_int_start; // @[dec_tlu_ctl.scala 1776:113] - wire _T_374 = io_dec_csr_wraddr_r == 12'h7c0; // @[dec_tlu_ctl.scala 1783:68] - wire _T_378 = ~io_dec_csr_wrdata_r[31]; // @[dec_tlu_ctl.scala 1786:71] - wire _T_379 = io_dec_csr_wrdata_r[30] & _T_378; // @[dec_tlu_ctl.scala 1786:69] - wire _T_383 = ~io_dec_csr_wrdata_r[29]; // @[dec_tlu_ctl.scala 1787:73] - wire _T_384 = io_dec_csr_wrdata_r[28] & _T_383; // @[dec_tlu_ctl.scala 1787:71] - wire _T_388 = ~io_dec_csr_wrdata_r[27]; // @[dec_tlu_ctl.scala 1788:73] - wire _T_389 = io_dec_csr_wrdata_r[26] & _T_388; // @[dec_tlu_ctl.scala 1788:71] - wire _T_393 = ~io_dec_csr_wrdata_r[25]; // @[dec_tlu_ctl.scala 1789:73] - wire _T_394 = io_dec_csr_wrdata_r[24] & _T_393; // @[dec_tlu_ctl.scala 1789:71] - wire _T_398 = ~io_dec_csr_wrdata_r[23]; // @[dec_tlu_ctl.scala 1790:73] - wire _T_399 = io_dec_csr_wrdata_r[22] & _T_398; // @[dec_tlu_ctl.scala 1790:71] - wire _T_403 = ~io_dec_csr_wrdata_r[21]; // @[dec_tlu_ctl.scala 1791:73] - wire _T_404 = io_dec_csr_wrdata_r[20] & _T_403; // @[dec_tlu_ctl.scala 1791:71] - wire _T_408 = ~io_dec_csr_wrdata_r[19]; // @[dec_tlu_ctl.scala 1792:73] - wire _T_409 = io_dec_csr_wrdata_r[18] & _T_408; // @[dec_tlu_ctl.scala 1792:71] - wire _T_413 = ~io_dec_csr_wrdata_r[17]; // @[dec_tlu_ctl.scala 1793:73] - wire _T_414 = io_dec_csr_wrdata_r[16] & _T_413; // @[dec_tlu_ctl.scala 1793:71] - wire _T_418 = ~io_dec_csr_wrdata_r[15]; // @[dec_tlu_ctl.scala 1794:73] - wire _T_419 = io_dec_csr_wrdata_r[14] & _T_418; // @[dec_tlu_ctl.scala 1794:71] - wire _T_423 = ~io_dec_csr_wrdata_r[13]; // @[dec_tlu_ctl.scala 1795:73] - wire _T_424 = io_dec_csr_wrdata_r[12] & _T_423; // @[dec_tlu_ctl.scala 1795:71] - wire _T_428 = ~io_dec_csr_wrdata_r[11]; // @[dec_tlu_ctl.scala 1796:73] - wire _T_429 = io_dec_csr_wrdata_r[10] & _T_428; // @[dec_tlu_ctl.scala 1796:71] - wire _T_433 = ~io_dec_csr_wrdata_r[9]; // @[dec_tlu_ctl.scala 1797:73] - wire _T_434 = io_dec_csr_wrdata_r[8] & _T_433; // @[dec_tlu_ctl.scala 1797:70] - wire _T_438 = ~io_dec_csr_wrdata_r[7]; // @[dec_tlu_ctl.scala 1798:73] - wire _T_439 = io_dec_csr_wrdata_r[6] & _T_438; // @[dec_tlu_ctl.scala 1798:70] - wire _T_443 = ~io_dec_csr_wrdata_r[5]; // @[dec_tlu_ctl.scala 1799:73] - wire _T_444 = io_dec_csr_wrdata_r[4] & _T_443; // @[dec_tlu_ctl.scala 1799:70] - wire _T_448 = ~io_dec_csr_wrdata_r[3]; // @[dec_tlu_ctl.scala 1800:73] - wire _T_449 = io_dec_csr_wrdata_r[2] & _T_448; // @[dec_tlu_ctl.scala 1800:70] - wire _T_454 = io_dec_csr_wrdata_r[0] & _T_510; // @[dec_tlu_ctl.scala 1801:70] - wire [7:0] _T_461 = {io_dec_csr_wrdata_r[7],_T_439,io_dec_csr_wrdata_r[5],_T_444,io_dec_csr_wrdata_r[3],_T_449,io_dec_csr_wrdata_r[1],_T_454}; // @[Cat.scala 29:58] - wire [15:0] _T_469 = {io_dec_csr_wrdata_r[15],_T_419,io_dec_csr_wrdata_r[13],_T_424,io_dec_csr_wrdata_r[11],_T_429,io_dec_csr_wrdata_r[9],_T_434,_T_461}; // @[Cat.scala 29:58] - wire [7:0] _T_476 = {io_dec_csr_wrdata_r[23],_T_399,io_dec_csr_wrdata_r[21],_T_404,io_dec_csr_wrdata_r[19],_T_409,io_dec_csr_wrdata_r[17],_T_414}; // @[Cat.scala 29:58] - wire [15:0] _T_484 = {io_dec_csr_wrdata_r[31],_T_379,io_dec_csr_wrdata_r[29],_T_384,io_dec_csr_wrdata_r[27],_T_389,io_dec_csr_wrdata_r[25],_T_394,_T_476}; // @[Cat.scala 29:58] + wire [2:0] _T_341 = ~io_dec_csr_wrdata_r[18:16]; // @[dec_tlu_ctl.scala 1737:19] + wire [2:0] _T_345 = ~mfdc_int[14:12]; // @[dec_tlu_ctl.scala 1738:19] + wire [18:0] mfdc = {_T_345,4'h0,mfdc_int[11:0]}; // @[Cat.scala 29:58] + wire _T_357 = io_dec_csr_wraddr_r == 12'h7c2; // @[dec_tlu_ctl.scala 1757:77] + wire _T_358 = io_dec_csr_wen_r_mod & _T_357; // @[dec_tlu_ctl.scala 1757:48] + wire _T_360 = _T_358 & _T_297; // @[dec_tlu_ctl.scala 1757:87] + wire _T_361 = ~io_take_ext_int_start; // @[dec_tlu_ctl.scala 1757:113] + wire _T_364 = io_dec_csr_wraddr_r == 12'h7c0; // @[dec_tlu_ctl.scala 1764:68] + wire _T_368 = ~io_dec_csr_wrdata_r[31]; // @[dec_tlu_ctl.scala 1767:71] + wire _T_369 = io_dec_csr_wrdata_r[30] & _T_368; // @[dec_tlu_ctl.scala 1767:69] + wire _T_373 = ~io_dec_csr_wrdata_r[29]; // @[dec_tlu_ctl.scala 1768:73] + wire _T_374 = io_dec_csr_wrdata_r[28] & _T_373; // @[dec_tlu_ctl.scala 1768:71] + wire _T_378 = ~io_dec_csr_wrdata_r[27]; // @[dec_tlu_ctl.scala 1769:73] + wire _T_379 = io_dec_csr_wrdata_r[26] & _T_378; // @[dec_tlu_ctl.scala 1769:71] + wire _T_383 = ~io_dec_csr_wrdata_r[25]; // @[dec_tlu_ctl.scala 1770:73] + wire _T_384 = io_dec_csr_wrdata_r[24] & _T_383; // @[dec_tlu_ctl.scala 1770:71] + wire _T_388 = ~io_dec_csr_wrdata_r[23]; // @[dec_tlu_ctl.scala 1771:73] + wire _T_389 = io_dec_csr_wrdata_r[22] & _T_388; // @[dec_tlu_ctl.scala 1771:71] + wire _T_393 = ~io_dec_csr_wrdata_r[21]; // @[dec_tlu_ctl.scala 1772:73] + wire _T_394 = io_dec_csr_wrdata_r[20] & _T_393; // @[dec_tlu_ctl.scala 1772:71] + wire _T_398 = ~io_dec_csr_wrdata_r[19]; // @[dec_tlu_ctl.scala 1773:73] + wire _T_399 = io_dec_csr_wrdata_r[18] & _T_398; // @[dec_tlu_ctl.scala 1773:71] + wire _T_403 = ~io_dec_csr_wrdata_r[17]; // @[dec_tlu_ctl.scala 1774:73] + wire _T_404 = io_dec_csr_wrdata_r[16] & _T_403; // @[dec_tlu_ctl.scala 1774:71] + wire _T_408 = ~io_dec_csr_wrdata_r[15]; // @[dec_tlu_ctl.scala 1775:73] + wire _T_409 = io_dec_csr_wrdata_r[14] & _T_408; // @[dec_tlu_ctl.scala 1775:71] + wire _T_413 = ~io_dec_csr_wrdata_r[13]; // @[dec_tlu_ctl.scala 1776:73] + wire _T_414 = io_dec_csr_wrdata_r[12] & _T_413; // @[dec_tlu_ctl.scala 1776:71] + wire _T_418 = ~io_dec_csr_wrdata_r[11]; // @[dec_tlu_ctl.scala 1777:73] + wire _T_419 = io_dec_csr_wrdata_r[10] & _T_418; // @[dec_tlu_ctl.scala 1777:71] + wire _T_423 = ~io_dec_csr_wrdata_r[9]; // @[dec_tlu_ctl.scala 1778:73] + wire _T_424 = io_dec_csr_wrdata_r[8] & _T_423; // @[dec_tlu_ctl.scala 1778:70] + wire _T_428 = ~io_dec_csr_wrdata_r[7]; // @[dec_tlu_ctl.scala 1779:73] + wire _T_429 = io_dec_csr_wrdata_r[6] & _T_428; // @[dec_tlu_ctl.scala 1779:70] + wire _T_433 = ~io_dec_csr_wrdata_r[5]; // @[dec_tlu_ctl.scala 1780:73] + wire _T_434 = io_dec_csr_wrdata_r[4] & _T_433; // @[dec_tlu_ctl.scala 1780:70] + wire _T_438 = ~io_dec_csr_wrdata_r[3]; // @[dec_tlu_ctl.scala 1781:73] + wire _T_439 = io_dec_csr_wrdata_r[2] & _T_438; // @[dec_tlu_ctl.scala 1781:70] + wire _T_444 = io_dec_csr_wrdata_r[0] & _T_500; // @[dec_tlu_ctl.scala 1782:70] + wire [7:0] _T_451 = {io_dec_csr_wrdata_r[7],_T_429,io_dec_csr_wrdata_r[5],_T_434,io_dec_csr_wrdata_r[3],_T_439,io_dec_csr_wrdata_r[1],_T_444}; // @[Cat.scala 29:58] + wire [15:0] _T_459 = {io_dec_csr_wrdata_r[15],_T_409,io_dec_csr_wrdata_r[13],_T_414,io_dec_csr_wrdata_r[11],_T_419,io_dec_csr_wrdata_r[9],_T_424,_T_451}; // @[Cat.scala 29:58] + wire [7:0] _T_466 = {io_dec_csr_wrdata_r[23],_T_389,io_dec_csr_wrdata_r[21],_T_394,io_dec_csr_wrdata_r[19],_T_399,io_dec_csr_wrdata_r[17],_T_404}; // @[Cat.scala 29:58] + wire [15:0] _T_474 = {io_dec_csr_wrdata_r[31],_T_369,io_dec_csr_wrdata_r[29],_T_374,io_dec_csr_wrdata_r[27],_T_379,io_dec_csr_wrdata_r[25],_T_384,_T_466}; // @[Cat.scala 29:58] reg [31:0] mrac; // @[lib.scala 374:16] - wire _T_487 = io_dec_csr_wraddr_r == 12'hbc0; // @[dec_tlu_ctl.scala 1814:69] - wire wr_mdeau_r = io_dec_csr_wen_r_mod & _T_487; // @[dec_tlu_ctl.scala 1814:40] - wire _T_488 = ~wr_mdeau_r; // @[dec_tlu_ctl.scala 1824:59] - wire _T_489 = io_mdseac_locked_f & _T_488; // @[dec_tlu_ctl.scala 1824:57] - wire _T_491 = io_lsu_imprecise_error_store_any | io_lsu_imprecise_error_load_any; // @[dec_tlu_ctl.scala 1826:49] - wire _T_492 = ~io_nmi_int_detected_f; // @[dec_tlu_ctl.scala 1826:86] - wire _T_493 = _T_491 & _T_492; // @[dec_tlu_ctl.scala 1826:84] - wire _T_494 = ~io_mdseac_locked_f; // @[dec_tlu_ctl.scala 1826:111] - wire mdseac_en = _T_493 & _T_494; // @[dec_tlu_ctl.scala 1826:109] + wire _T_477 = io_dec_csr_wraddr_r == 12'hbc0; // @[dec_tlu_ctl.scala 1795:69] + wire wr_mdeau_r = io_dec_csr_wen_r_mod & _T_477; // @[dec_tlu_ctl.scala 1795:40] + wire _T_478 = ~wr_mdeau_r; // @[dec_tlu_ctl.scala 1805:59] + wire _T_479 = io_mdseac_locked_f & _T_478; // @[dec_tlu_ctl.scala 1805:57] + wire _T_481 = io_lsu_imprecise_error_store_any | io_lsu_imprecise_error_load_any; // @[dec_tlu_ctl.scala 1807:49] + wire _T_482 = ~io_nmi_int_detected_f; // @[dec_tlu_ctl.scala 1807:86] + wire _T_483 = _T_481 & _T_482; // @[dec_tlu_ctl.scala 1807:84] + wire _T_484 = ~io_mdseac_locked_f; // @[dec_tlu_ctl.scala 1807:111] + wire mdseac_en = _T_483 & _T_484; // @[dec_tlu_ctl.scala 1807:109] reg [31:0] mdseac; // @[lib.scala 374:16] - wire _T_500 = wr_mpmc_r & io_dec_csr_wrdata_r[0]; // @[dec_tlu_ctl.scala 1841:30] - wire _T_501 = ~io_internal_dbg_halt_mode_f2; // @[dec_tlu_ctl.scala 1841:57] - wire _T_502 = _T_500 & _T_501; // @[dec_tlu_ctl.scala 1841:55] - wire _T_503 = ~io_ext_int_freeze_d1; // @[dec_tlu_ctl.scala 1841:89] - wire _T_516 = io_dec_csr_wrdata_r[31:27] > 5'h1a; // @[dec_tlu_ctl.scala 1859:48] - wire [4:0] csr_sat = _T_516 ? 5'h1a : io_dec_csr_wrdata_r[31:27]; // @[dec_tlu_ctl.scala 1859:19] - wire _T_519 = io_dec_csr_wraddr_r == 12'h7f0; // @[dec_tlu_ctl.scala 1861:70] - wire wr_micect_r = io_dec_csr_wen_r_mod & _T_519; // @[dec_tlu_ctl.scala 1861:41] - wire [26:0] _T_520 = {26'h0,io_ic_perr_r_d1}; // @[Cat.scala 29:58] - wire [31:0] _GEN_14 = {{5'd0}, _T_520}; // @[dec_tlu_ctl.scala 1862:23] - wire [31:0] _T_522 = micect + _GEN_14; // @[dec_tlu_ctl.scala 1862:23] - wire [31:0] _T_525 = {csr_sat,io_dec_csr_wrdata_r[26:0]}; // @[Cat.scala 29:58] - wire [26:0] micect_inc = _T_522[26:0]; // @[dec_tlu_ctl.scala 1862:13] - wire [31:0] _T_527 = {micect[31:27],micect_inc}; // @[Cat.scala 29:58] - wire _T_538 = io_dec_csr_wraddr_r == 12'h7f1; // @[dec_tlu_ctl.scala 1876:76] - wire wr_miccmect_r = io_dec_csr_wen_r_mod & _T_538; // @[dec_tlu_ctl.scala 1876:47] - wire _T_540 = io_iccm_sbecc_r_d1 | io_iccm_dma_sb_error; // @[dec_tlu_ctl.scala 1877:70] - wire [26:0] _T_541 = {26'h0,_T_540}; // @[Cat.scala 29:58] - wire [26:0] miccmect_inc = miccmect[26:0] + _T_541; // @[dec_tlu_ctl.scala 1877:33] - wire [31:0] _T_548 = {miccmect[31:27],miccmect_inc}; // @[Cat.scala 29:58] - wire _T_549 = wr_miccmect_r | io_iccm_sbecc_r_d1; // @[dec_tlu_ctl.scala 1880:48] - wire _T_560 = io_dec_csr_wraddr_r == 12'h7f2; // @[dec_tlu_ctl.scala 1891:76] - wire wr_mdccmect_r = io_dec_csr_wen_r_mod & _T_560; // @[dec_tlu_ctl.scala 1891:47] - wire [26:0] _T_562 = {26'h0,io_lsu_single_ecc_error_r_d1}; // @[Cat.scala 29:58] - wire [26:0] mdccmect_inc = mdccmect[26:0] + _T_562; // @[dec_tlu_ctl.scala 1892:33] - wire [31:0] _T_569 = {mdccmect[31:27],mdccmect_inc}; // @[Cat.scala 29:58] - wire _T_580 = io_dec_csr_wraddr_r == 12'h7ce; // @[dec_tlu_ctl.scala 1907:69] - wire wr_mfdht_r = io_dec_csr_wen_r_mod & _T_580; // @[dec_tlu_ctl.scala 1907:40] - reg [5:0] mfdht; // @[dec_tlu_ctl.scala 1911:43] - wire _T_585 = io_dec_csr_wraddr_r == 12'h7cf; // @[dec_tlu_ctl.scala 1920:69] - wire wr_mfdhs_r = io_dec_csr_wen_r_mod & _T_585; // @[dec_tlu_ctl.scala 1920:40] - wire _T_588 = ~io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 1923:43] - wire _T_589 = io_dbg_tlu_halted & _T_588; // @[dec_tlu_ctl.scala 1923:41] - wire _T_591 = ~io_lsu_idle_any_f; // @[dec_tlu_ctl.scala 1923:78] - wire _T_592 = ~io_ifu_miss_state_idle_f; // @[dec_tlu_ctl.scala 1923:98] - wire [1:0] _T_593 = {_T_591,_T_592}; // @[Cat.scala 29:58] + wire _T_490 = wr_mpmc_r & io_dec_csr_wrdata_r[0]; // @[dec_tlu_ctl.scala 1822:30] + wire _T_491 = ~io_internal_dbg_halt_mode_f2; // @[dec_tlu_ctl.scala 1822:57] + wire _T_492 = _T_490 & _T_491; // @[dec_tlu_ctl.scala 1822:55] + wire _T_493 = ~io_ext_int_freeze_d1; // @[dec_tlu_ctl.scala 1822:89] + wire _T_506 = io_dec_csr_wrdata_r[31:27] > 5'h1a; // @[dec_tlu_ctl.scala 1840:48] + wire [4:0] csr_sat = _T_506 ? 5'h1a : io_dec_csr_wrdata_r[31:27]; // @[dec_tlu_ctl.scala 1840:19] + wire _T_509 = io_dec_csr_wraddr_r == 12'h7f0; // @[dec_tlu_ctl.scala 1842:70] + wire wr_micect_r = io_dec_csr_wen_r_mod & _T_509; // @[dec_tlu_ctl.scala 1842:41] + wire [26:0] _T_510 = {26'h0,io_ic_perr_r_d1}; // @[Cat.scala 29:58] + wire [31:0] _GEN_14 = {{5'd0}, _T_510}; // @[dec_tlu_ctl.scala 1843:23] + wire [31:0] _T_512 = micect + _GEN_14; // @[dec_tlu_ctl.scala 1843:23] + wire [31:0] _T_515 = {csr_sat,io_dec_csr_wrdata_r[26:0]}; // @[Cat.scala 29:58] + wire [26:0] micect_inc = _T_512[26:0]; // @[dec_tlu_ctl.scala 1843:13] + wire [31:0] _T_517 = {micect[31:27],micect_inc}; // @[Cat.scala 29:58] + wire _T_528 = io_dec_csr_wraddr_r == 12'h7f1; // @[dec_tlu_ctl.scala 1857:76] + wire wr_miccmect_r = io_dec_csr_wen_r_mod & _T_528; // @[dec_tlu_ctl.scala 1857:47] + wire _T_530 = io_iccm_sbecc_r_d1 | io_iccm_dma_sb_error; // @[dec_tlu_ctl.scala 1858:70] + wire [26:0] _T_531 = {26'h0,_T_530}; // @[Cat.scala 29:58] + wire [26:0] miccmect_inc = miccmect[26:0] + _T_531; // @[dec_tlu_ctl.scala 1858:33] + wire [31:0] _T_538 = {miccmect[31:27],miccmect_inc}; // @[Cat.scala 29:58] + wire _T_539 = wr_miccmect_r | io_iccm_sbecc_r_d1; // @[dec_tlu_ctl.scala 1861:48] + wire _T_550 = io_dec_csr_wraddr_r == 12'h7f2; // @[dec_tlu_ctl.scala 1872:76] + wire wr_mdccmect_r = io_dec_csr_wen_r_mod & _T_550; // @[dec_tlu_ctl.scala 1872:47] + wire [26:0] _T_552 = {26'h0,io_lsu_single_ecc_error_r_d1}; // @[Cat.scala 29:58] + wire [26:0] mdccmect_inc = mdccmect[26:0] + _T_552; // @[dec_tlu_ctl.scala 1873:33] + wire [31:0] _T_559 = {mdccmect[31:27],mdccmect_inc}; // @[Cat.scala 29:58] + wire _T_570 = io_dec_csr_wraddr_r == 12'h7ce; // @[dec_tlu_ctl.scala 1888:69] + wire wr_mfdht_r = io_dec_csr_wen_r_mod & _T_570; // @[dec_tlu_ctl.scala 1888:40] + reg [5:0] mfdht; // @[dec_tlu_ctl.scala 1892:43] + wire _T_575 = io_dec_csr_wraddr_r == 12'h7cf; // @[dec_tlu_ctl.scala 1901:69] + wire wr_mfdhs_r = io_dec_csr_wen_r_mod & _T_575; // @[dec_tlu_ctl.scala 1901:40] + wire _T_578 = ~io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 1904:43] + wire _T_579 = io_dbg_tlu_halted & _T_578; // @[dec_tlu_ctl.scala 1904:41] + wire _T_581 = ~io_lsu_idle_any_f; // @[dec_tlu_ctl.scala 1904:78] + wire _T_582 = ~io_ifu_miss_state_idle_f; // @[dec_tlu_ctl.scala 1904:98] + wire [1:0] _T_583 = {_T_581,_T_582}; // @[Cat.scala 29:58] reg [1:0] mfdhs; // @[Reg.scala 27:20] - wire _T_595 = wr_mfdhs_r | io_dbg_tlu_halted; // @[dec_tlu_ctl.scala 1925:71] + wire _T_585 = wr_mfdhs_r | io_dbg_tlu_halted; // @[dec_tlu_ctl.scala 1906:71] reg [31:0] force_halt_ctr_f; // @[Reg.scala 27:20] - wire [31:0] _T_600 = force_halt_ctr_f + 32'h1; // @[dec_tlu_ctl.scala 1927:74] - wire [62:0] _T_607 = 63'hffffffff << mfdht[5:1]; // @[dec_tlu_ctl.scala 1932:71] - wire [62:0] _GEN_15 = {{31'd0}, force_halt_ctr_f}; // @[dec_tlu_ctl.scala 1932:48] - wire [62:0] _T_608 = _GEN_15 & _T_607; // @[dec_tlu_ctl.scala 1932:48] - wire _T_609 = |_T_608; // @[dec_tlu_ctl.scala 1932:87] - wire _T_612 = io_dec_csr_wraddr_r == 12'hbc8; // @[dec_tlu_ctl.scala 1940:69] + wire [31:0] _T_590 = force_halt_ctr_f + 32'h1; // @[dec_tlu_ctl.scala 1908:74] + wire [62:0] _T_597 = 63'hffffffff << mfdht[5:1]; // @[dec_tlu_ctl.scala 1913:71] + wire [62:0] _GEN_15 = {{31'd0}, force_halt_ctr_f}; // @[dec_tlu_ctl.scala 1913:48] + wire [62:0] _T_598 = _GEN_15 & _T_597; // @[dec_tlu_ctl.scala 1913:48] + wire _T_599 = |_T_598; // @[dec_tlu_ctl.scala 1913:87] + wire _T_602 = io_dec_csr_wraddr_r == 12'hbc8; // @[dec_tlu_ctl.scala 1921:69] reg [21:0] meivt; // @[lib.scala 374:16] - wire _T_631 = io_dec_csr_wraddr_r == 12'hbca; // @[dec_tlu_ctl.scala 1991:69] - wire _T_632 = io_dec_csr_wen_r_mod & _T_631; // @[dec_tlu_ctl.scala 1991:40] - wire wr_meicpct_r = _T_632 | io_take_ext_int_start; // @[dec_tlu_ctl.scala 1991:83] + wire _T_621 = io_dec_csr_wraddr_r == 12'hbca; // @[dec_tlu_ctl.scala 1972:69] + wire _T_622 = io_dec_csr_wen_r_mod & _T_621; // @[dec_tlu_ctl.scala 1972:40] + wire wr_meicpct_r = _T_622 | io_take_ext_int_start; // @[dec_tlu_ctl.scala 1972:83] reg [7:0] meihap; // @[lib.scala 374:16] - wire _T_618 = io_dec_csr_wraddr_r == 12'hbcc; // @[dec_tlu_ctl.scala 1964:72] - wire wr_meicurpl_r = io_dec_csr_wen_r_mod & _T_618; // @[dec_tlu_ctl.scala 1964:43] - reg [3:0] meicurpl; // @[dec_tlu_ctl.scala 1967:46] - wire _T_623 = io_dec_csr_wraddr_r == 12'hbcb; // @[dec_tlu_ctl.scala 1979:73] - wire _T_624 = io_dec_csr_wen_r_mod & _T_623; // @[dec_tlu_ctl.scala 1979:44] - wire wr_meicidpl_r = _T_624 | io_take_ext_int_start; // @[dec_tlu_ctl.scala 1979:88] - reg [3:0] meicidpl; // @[dec_tlu_ctl.scala 1984:44] - wire _T_635 = io_dec_csr_wraddr_r == 12'hbc9; // @[dec_tlu_ctl.scala 2000:69] - wire wr_meipt_r = io_dec_csr_wen_r_mod & _T_635; // @[dec_tlu_ctl.scala 2000:40] - reg [3:0] meipt; // @[dec_tlu_ctl.scala 2003:43] - wire _T_639 = io_trigger_hit_r_d1 & io_dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 2031:89] - wire trigger_hit_for_dscr_cause_r_d1 = io_trigger_hit_dmode_r_d1 | _T_639; // @[dec_tlu_ctl.scala 2031:66] - wire _T_640 = ~io_ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 2034:31] - wire _T_641 = io_dcsr_single_step_done_f & _T_640; // @[dec_tlu_ctl.scala 2034:29] - wire _T_642 = ~trigger_hit_for_dscr_cause_r_d1; // @[dec_tlu_ctl.scala 2034:63] - wire _T_643 = _T_641 & _T_642; // @[dec_tlu_ctl.scala 2034:61] - wire _T_644 = ~io_debug_halt_req; // @[dec_tlu_ctl.scala 2034:98] - wire _T_645 = _T_643 & _T_644; // @[dec_tlu_ctl.scala 2034:96] - wire _T_648 = io_debug_halt_req & _T_640; // @[dec_tlu_ctl.scala 2035:46] - wire _T_650 = _T_648 & _T_642; // @[dec_tlu_ctl.scala 2035:78] - wire _T_653 = io_ebreak_to_debug_mode_r_d1 & _T_642; // @[dec_tlu_ctl.scala 2036:75] - wire [2:0] _T_656 = _T_645 ? 3'h4 : 3'h0; // @[Mux.scala 27:72] - wire [2:0] _T_657 = _T_650 ? 3'h3 : 3'h0; // @[Mux.scala 27:72] - wire [2:0] _T_658 = _T_653 ? 3'h1 : 3'h0; // @[Mux.scala 27:72] - wire [2:0] _T_659 = trigger_hit_for_dscr_cause_r_d1 ? 3'h2 : 3'h0; // @[Mux.scala 27:72] - wire [2:0] _T_660 = _T_656 | _T_657; // @[Mux.scala 27:72] - wire [2:0] _T_661 = _T_660 | _T_658; // @[Mux.scala 27:72] - wire [2:0] dcsr_cause = _T_661 | _T_659; // @[Mux.scala 27:72] - wire _T_663 = io_allow_dbg_halt_csr_write & io_dec_csr_wen_r_mod; // @[dec_tlu_ctl.scala 2039:46] - wire _T_665 = io_dec_csr_wraddr_r == 12'h7b0; // @[dec_tlu_ctl.scala 2039:98] - wire wr_dcsr_r = _T_663 & _T_665; // @[dec_tlu_ctl.scala 2039:69] - wire _T_667 = io_dcsr[8:6] == 3'h3; // @[dec_tlu_ctl.scala 2045:75] - wire dcsr_cause_upgradeable = io_internal_dbg_halt_mode_f & _T_667; // @[dec_tlu_ctl.scala 2045:59] - wire _T_668 = ~io_dbg_tlu_halted; // @[dec_tlu_ctl.scala 2046:59] - wire _T_669 = _T_668 | dcsr_cause_upgradeable; // @[dec_tlu_ctl.scala 2046:78] - wire enter_debug_halt_req_le = io_enter_debug_halt_req & _T_669; // @[dec_tlu_ctl.scala 2046:56] - wire nmi_in_debug_mode = io_nmi_int_detected_f & io_internal_dbg_halt_mode_f; // @[dec_tlu_ctl.scala 2048:48] - wire [15:0] _T_675 = {io_dcsr[15:9],dcsr_cause,io_dcsr[5:2],2'h3}; // @[Cat.scala 29:58] - wire _T_681 = nmi_in_debug_mode | io_dcsr[3]; // @[dec_tlu_ctl.scala 2050:145] - wire [15:0] _T_690 = {io_dec_csr_wrdata_r[15],3'h0,io_dec_csr_wrdata_r[11:10],1'h0,io_dcsr[8:6],2'h0,_T_681,io_dec_csr_wrdata_r[2],2'h3}; // @[Cat.scala 29:58] - wire [15:0] _T_695 = {io_dcsr[15:4],nmi_in_debug_mode,io_dcsr[2],2'h3}; // @[Cat.scala 29:58] - wire _T_697 = enter_debug_halt_req_le | wr_dcsr_r; // @[dec_tlu_ctl.scala 2052:54] - wire _T_698 = _T_697 | io_internal_dbg_halt_mode; // @[dec_tlu_ctl.scala 2052:66] - reg [15:0] _T_701; // @[lib.scala 374:16] - wire _T_704 = io_dec_csr_wraddr_r == 12'h7b1; // @[dec_tlu_ctl.scala 2060:97] - wire wr_dpc_r = _T_663 & _T_704; // @[dec_tlu_ctl.scala 2060:68] - wire _T_707 = ~io_request_debug_mode_done; // @[dec_tlu_ctl.scala 2061:67] - wire dpc_capture_npc = _T_589 & _T_707; // @[dec_tlu_ctl.scala 2061:65] - wire _T_708 = ~io_request_debug_mode_r; // @[dec_tlu_ctl.scala 2065:21] - wire _T_709 = ~dpc_capture_npc; // @[dec_tlu_ctl.scala 2065:39] - wire _T_710 = _T_708 & _T_709; // @[dec_tlu_ctl.scala 2065:37] - wire _T_711 = _T_710 & wr_dpc_r; // @[dec_tlu_ctl.scala 2065:56] - wire _T_716 = _T_708 & dpc_capture_npc; // @[dec_tlu_ctl.scala 2067:49] - wire [30:0] _T_718 = _T_711 ? io_dec_csr_wrdata_r[31:1] : 31'h0; // @[Mux.scala 27:72] - wire [30:0] _T_719 = io_request_debug_mode_r ? pc_r : 31'h0; // @[Mux.scala 27:72] - wire [30:0] _T_720 = _T_716 ? io_npc_r : 31'h0; // @[Mux.scala 27:72] - wire [30:0] _T_721 = _T_718 | _T_719; // @[Mux.scala 27:72] - wire _T_723 = wr_dpc_r | io_request_debug_mode_r; // @[dec_tlu_ctl.scala 2069:36] - reg [30:0] _T_726; // @[lib.scala 374:16] - wire [2:0] _T_730 = {io_dec_csr_wrdata_r[24],io_dec_csr_wrdata_r[21:20]}; // @[Cat.scala 29:58] - wire _T_733 = io_dec_csr_wraddr_r == 12'h7c8; // @[dec_tlu_ctl.scala 2084:102] + wire _T_608 = io_dec_csr_wraddr_r == 12'hbcc; // @[dec_tlu_ctl.scala 1945:72] + wire wr_meicurpl_r = io_dec_csr_wen_r_mod & _T_608; // @[dec_tlu_ctl.scala 1945:43] + reg [3:0] meicurpl; // @[dec_tlu_ctl.scala 1948:46] + wire _T_613 = io_dec_csr_wraddr_r == 12'hbcb; // @[dec_tlu_ctl.scala 1960:73] + wire _T_614 = io_dec_csr_wen_r_mod & _T_613; // @[dec_tlu_ctl.scala 1960:44] + wire wr_meicidpl_r = _T_614 | io_take_ext_int_start; // @[dec_tlu_ctl.scala 1960:88] + reg [3:0] meicidpl; // @[dec_tlu_ctl.scala 1965:44] + wire _T_625 = io_dec_csr_wraddr_r == 12'hbc9; // @[dec_tlu_ctl.scala 1981:69] + wire wr_meipt_r = io_dec_csr_wen_r_mod & _T_625; // @[dec_tlu_ctl.scala 1981:40] + reg [3:0] meipt; // @[dec_tlu_ctl.scala 1984:43] + wire _T_629 = io_trigger_hit_r_d1 & io_dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 2012:89] + wire trigger_hit_for_dscr_cause_r_d1 = io_trigger_hit_dmode_r_d1 | _T_629; // @[dec_tlu_ctl.scala 2012:66] + wire _T_630 = ~io_ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 2015:31] + wire _T_631 = io_dcsr_single_step_done_f & _T_630; // @[dec_tlu_ctl.scala 2015:29] + wire _T_632 = ~trigger_hit_for_dscr_cause_r_d1; // @[dec_tlu_ctl.scala 2015:63] + wire _T_633 = _T_631 & _T_632; // @[dec_tlu_ctl.scala 2015:61] + wire _T_634 = ~io_debug_halt_req; // @[dec_tlu_ctl.scala 2015:98] + wire _T_635 = _T_633 & _T_634; // @[dec_tlu_ctl.scala 2015:96] + wire _T_638 = io_debug_halt_req & _T_630; // @[dec_tlu_ctl.scala 2016:46] + wire _T_640 = _T_638 & _T_632; // @[dec_tlu_ctl.scala 2016:78] + wire _T_643 = io_ebreak_to_debug_mode_r_d1 & _T_632; // @[dec_tlu_ctl.scala 2017:75] + wire [2:0] _T_646 = _T_635 ? 3'h4 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_647 = _T_640 ? 3'h3 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_648 = _T_643 ? 3'h1 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_649 = trigger_hit_for_dscr_cause_r_d1 ? 3'h2 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_650 = _T_646 | _T_647; // @[Mux.scala 27:72] + wire [2:0] _T_651 = _T_650 | _T_648; // @[Mux.scala 27:72] + wire [2:0] dcsr_cause = _T_651 | _T_649; // @[Mux.scala 27:72] + wire _T_653 = io_allow_dbg_halt_csr_write & io_dec_csr_wen_r_mod; // @[dec_tlu_ctl.scala 2020:46] + wire _T_655 = io_dec_csr_wraddr_r == 12'h7b0; // @[dec_tlu_ctl.scala 2020:98] + wire wr_dcsr_r = _T_653 & _T_655; // @[dec_tlu_ctl.scala 2020:69] + wire _T_657 = io_dcsr[8:6] == 3'h3; // @[dec_tlu_ctl.scala 2026:75] + wire dcsr_cause_upgradeable = io_internal_dbg_halt_mode_f & _T_657; // @[dec_tlu_ctl.scala 2026:59] + wire _T_658 = ~io_dbg_tlu_halted; // @[dec_tlu_ctl.scala 2027:59] + wire _T_659 = _T_658 | dcsr_cause_upgradeable; // @[dec_tlu_ctl.scala 2027:78] + wire enter_debug_halt_req_le = io_enter_debug_halt_req & _T_659; // @[dec_tlu_ctl.scala 2027:56] + wire nmi_in_debug_mode = io_nmi_int_detected_f & io_internal_dbg_halt_mode_f; // @[dec_tlu_ctl.scala 2029:48] + wire [15:0] _T_665 = {io_dcsr[15:9],dcsr_cause,io_dcsr[5:2],2'h3}; // @[Cat.scala 29:58] + wire _T_671 = nmi_in_debug_mode | io_dcsr[3]; // @[dec_tlu_ctl.scala 2031:145] + wire [15:0] _T_680 = {io_dec_csr_wrdata_r[15],3'h0,io_dec_csr_wrdata_r[11:10],1'h0,io_dcsr[8:6],2'h0,_T_671,io_dec_csr_wrdata_r[2],2'h3}; // @[Cat.scala 29:58] + wire [15:0] _T_685 = {io_dcsr[15:4],nmi_in_debug_mode,io_dcsr[2],2'h3}; // @[Cat.scala 29:58] + wire _T_687 = enter_debug_halt_req_le | wr_dcsr_r; // @[dec_tlu_ctl.scala 2033:54] + wire _T_688 = _T_687 | io_internal_dbg_halt_mode; // @[dec_tlu_ctl.scala 2033:66] + reg [15:0] _T_691; // @[lib.scala 374:16] + wire _T_694 = io_dec_csr_wraddr_r == 12'h7b1; // @[dec_tlu_ctl.scala 2041:97] + wire wr_dpc_r = _T_653 & _T_694; // @[dec_tlu_ctl.scala 2041:68] + wire _T_697 = ~io_request_debug_mode_done; // @[dec_tlu_ctl.scala 2042:67] + wire dpc_capture_npc = _T_579 & _T_697; // @[dec_tlu_ctl.scala 2042:65] + wire _T_698 = ~io_request_debug_mode_r; // @[dec_tlu_ctl.scala 2046:21] + wire _T_699 = ~dpc_capture_npc; // @[dec_tlu_ctl.scala 2046:39] + wire _T_700 = _T_698 & _T_699; // @[dec_tlu_ctl.scala 2046:37] + wire _T_701 = _T_700 & wr_dpc_r; // @[dec_tlu_ctl.scala 2046:56] + wire _T_706 = _T_698 & dpc_capture_npc; // @[dec_tlu_ctl.scala 2048:49] + wire [30:0] _T_708 = _T_701 ? io_dec_csr_wrdata_r[31:1] : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_709 = io_request_debug_mode_r ? pc_r : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_710 = _T_706 ? io_npc_r : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_711 = _T_708 | _T_709; // @[Mux.scala 27:72] + wire _T_713 = wr_dpc_r | io_request_debug_mode_r; // @[dec_tlu_ctl.scala 2050:36] + reg [30:0] _T_716; // @[lib.scala 374:16] + wire [2:0] _T_720 = {io_dec_csr_wrdata_r[24],io_dec_csr_wrdata_r[21:20]}; // @[Cat.scala 29:58] + wire _T_723 = io_dec_csr_wraddr_r == 12'h7c8; // @[dec_tlu_ctl.scala 2065:102] reg [16:0] dicawics; // @[lib.scala 374:16] - wire _T_737 = io_dec_csr_wraddr_r == 12'h7c9; // @[dec_tlu_ctl.scala 2102:100] - wire wr_dicad0_r = _T_663 & _T_737; // @[dec_tlu_ctl.scala 2102:71] + wire _T_727 = io_dec_csr_wraddr_r == 12'h7c9; // @[dec_tlu_ctl.scala 2083:100] + wire wr_dicad0_r = _T_653 & _T_727; // @[dec_tlu_ctl.scala 2083:71] reg [70:0] dicad0; // @[lib.scala 374:16] - wire _T_743 = io_dec_csr_wraddr_r == 12'h7cc; // @[dec_tlu_ctl.scala 2115:101] - wire wr_dicad0h_r = _T_663 & _T_743; // @[dec_tlu_ctl.scala 2115:72] + wire _T_733 = io_dec_csr_wraddr_r == 12'h7cc; // @[dec_tlu_ctl.scala 2096:101] + wire wr_dicad0h_r = _T_653 & _T_733; // @[dec_tlu_ctl.scala 2096:72] reg [31:0] dicad0h; // @[lib.scala 374:16] - wire _T_751 = io_dec_csr_wraddr_r == 12'h7ca; // @[dec_tlu_ctl.scala 2127:100] - wire _T_752 = _T_663 & _T_751; // @[dec_tlu_ctl.scala 2127:71] - wire _T_757 = _T_752 | io_ifu_ic_debug_rd_data_valid; // @[dec_tlu_ctl.scala 2131:78] - reg [6:0] _T_759; // @[Reg.scala 27:20] - wire [31:0] dicad1 = {25'h0,_T_759}; // @[Cat.scala 29:58] - wire [38:0] _T_764 = {dicad1[6:0],dicad0h}; // @[Cat.scala 29:58] - wire _T_766 = io_allow_dbg_halt_csr_write & io_dec_csr_any_unq_d; // @[dec_tlu_ctl.scala 2159:52] - wire _T_767 = _T_766 & io_dec_i0_decode_d; // @[dec_tlu_ctl.scala 2159:75] - wire _T_768 = ~io_dec_csr_wen_unq_d; // @[dec_tlu_ctl.scala 2159:98] - wire _T_769 = _T_767 & _T_768; // @[dec_tlu_ctl.scala 2159:96] - wire _T_771 = io_dec_csr_rdaddr_d == 12'h7cb; // @[dec_tlu_ctl.scala 2159:149] - wire _T_774 = io_dec_csr_wraddr_r == 12'h7cb; // @[dec_tlu_ctl.scala 2160:104] - reg icache_rd_valid_f; // @[dec_tlu_ctl.scala 2162:58] - reg icache_wr_valid_f; // @[dec_tlu_ctl.scala 2163:58] - wire _T_776 = io_dec_csr_wraddr_r == 12'h7a0; // @[dec_tlu_ctl.scala 2174:69] - wire wr_mtsel_r = io_dec_csr_wen_r_mod & _T_776; // @[dec_tlu_ctl.scala 2174:40] - reg [1:0] mtsel; // @[dec_tlu_ctl.scala 2177:43] - wire tdata_load = io_dec_csr_wrdata_r[0] & _T_408; // @[dec_tlu_ctl.scala 2212:42] - wire tdata_opcode = io_dec_csr_wrdata_r[2] & _T_408; // @[dec_tlu_ctl.scala 2214:44] - wire _T_787 = io_dec_csr_wrdata_r[27] & io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 2216:46] - wire tdata_action = _T_787 & io_dec_csr_wrdata_r[12]; // @[dec_tlu_ctl.scala 2216:69] - wire [9:0] tdata_wrdata_r = {_T_787,io_dec_csr_wrdata_r[20:19],tdata_action,io_dec_csr_wrdata_r[11],io_dec_csr_wrdata_r[7:6],tdata_opcode,io_dec_csr_wrdata_r[1],tdata_load}; // @[Cat.scala 29:58] - wire _T_802 = io_dec_csr_wraddr_r == 12'h7a1; // @[dec_tlu_ctl.scala 2222:99] - wire _T_803 = io_dec_csr_wen_r_mod & _T_802; // @[dec_tlu_ctl.scala 2222:70] - wire _T_804 = mtsel == 2'h0; // @[dec_tlu_ctl.scala 2222:121] - wire _T_805 = _T_803 & _T_804; // @[dec_tlu_ctl.scala 2222:112] - wire _T_807 = ~io_mtdata1_t_0[9]; // @[dec_tlu_ctl.scala 2222:138] - wire _T_808 = _T_807 | io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 2222:170] - wire wr_mtdata1_t_r_0 = _T_805 & _T_808; // @[dec_tlu_ctl.scala 2222:135] - wire _T_813 = mtsel == 2'h1; // @[dec_tlu_ctl.scala 2222:121] - wire _T_814 = _T_803 & _T_813; // @[dec_tlu_ctl.scala 2222:112] - wire _T_816 = ~io_mtdata1_t_1[9]; // @[dec_tlu_ctl.scala 2222:138] - wire _T_817 = _T_816 | io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 2222:170] - wire wr_mtdata1_t_r_1 = _T_814 & _T_817; // @[dec_tlu_ctl.scala 2222:135] - wire _T_822 = mtsel == 2'h2; // @[dec_tlu_ctl.scala 2222:121] - wire _T_823 = _T_803 & _T_822; // @[dec_tlu_ctl.scala 2222:112] - wire _T_825 = ~io_mtdata1_t_2[9]; // @[dec_tlu_ctl.scala 2222:138] - wire _T_826 = _T_825 | io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 2222:170] - wire wr_mtdata1_t_r_2 = _T_823 & _T_826; // @[dec_tlu_ctl.scala 2222:135] - wire _T_831 = mtsel == 2'h3; // @[dec_tlu_ctl.scala 2222:121] - wire _T_832 = _T_803 & _T_831; // @[dec_tlu_ctl.scala 2222:112] - wire _T_834 = ~io_mtdata1_t_3[9]; // @[dec_tlu_ctl.scala 2222:138] - wire _T_835 = _T_834 | io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 2222:170] - wire wr_mtdata1_t_r_3 = _T_832 & _T_835; // @[dec_tlu_ctl.scala 2222:135] - wire _T_841 = io_update_hit_bit_r[0] | io_mtdata1_t_0[8]; // @[dec_tlu_ctl.scala 2223:139] - wire [9:0] _T_844 = {io_mtdata1_t_0[9],_T_841,io_mtdata1_t_0[7:0]}; // @[Cat.scala 29:58] - wire _T_850 = io_update_hit_bit_r[1] | io_mtdata1_t_1[8]; // @[dec_tlu_ctl.scala 2223:139] - wire [9:0] _T_853 = {io_mtdata1_t_1[9],_T_850,io_mtdata1_t_1[7:0]}; // @[Cat.scala 29:58] - wire _T_859 = io_update_hit_bit_r[2] | io_mtdata1_t_2[8]; // @[dec_tlu_ctl.scala 2223:139] - wire [9:0] _T_862 = {io_mtdata1_t_2[9],_T_859,io_mtdata1_t_2[7:0]}; // @[Cat.scala 29:58] - wire _T_868 = io_update_hit_bit_r[3] | io_mtdata1_t_3[8]; // @[dec_tlu_ctl.scala 2223:139] - wire [9:0] _T_871 = {io_mtdata1_t_3[9],_T_868,io_mtdata1_t_3[7:0]}; // @[Cat.scala 29:58] - reg [9:0] _T_873; // @[dec_tlu_ctl.scala 2225:74] - reg [9:0] _T_874; // @[dec_tlu_ctl.scala 2225:74] - reg [9:0] _T_875; // @[dec_tlu_ctl.scala 2225:74] - reg [9:0] _T_876; // @[dec_tlu_ctl.scala 2225:74] - wire [31:0] _T_891 = {4'h2,io_mtdata1_t_0[9],6'h1f,io_mtdata1_t_0[8:7],6'h0,io_mtdata1_t_0[6:5],3'h0,io_mtdata1_t_0[4:3],3'h0,io_mtdata1_t_0[2:0]}; // @[Cat.scala 29:58] - wire [31:0] _T_906 = {4'h2,io_mtdata1_t_1[9],6'h1f,io_mtdata1_t_1[8:7],6'h0,io_mtdata1_t_1[6:5],3'h0,io_mtdata1_t_1[4:3],3'h0,io_mtdata1_t_1[2:0]}; // @[Cat.scala 29:58] - wire [31:0] _T_921 = {4'h2,io_mtdata1_t_2[9],6'h1f,io_mtdata1_t_2[8:7],6'h0,io_mtdata1_t_2[6:5],3'h0,io_mtdata1_t_2[4:3],3'h0,io_mtdata1_t_2[2:0]}; // @[Cat.scala 29:58] - wire [31:0] _T_936 = {4'h2,io_mtdata1_t_3[9],6'h1f,io_mtdata1_t_3[8:7],6'h0,io_mtdata1_t_3[6:5],3'h0,io_mtdata1_t_3[4:3],3'h0,io_mtdata1_t_3[2:0]}; // @[Cat.scala 29:58] - wire [31:0] _T_937 = _T_804 ? _T_891 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_938 = _T_813 ? _T_906 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_939 = _T_822 ? _T_921 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_940 = _T_831 ? _T_936 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_941 = _T_937 | _T_938; // @[Mux.scala 27:72] - wire [31:0] _T_942 = _T_941 | _T_939; // @[Mux.scala 27:72] - wire [31:0] mtdata1_tsel_out = _T_942 | _T_940; // @[Mux.scala 27:72] - wire _T_969 = io_dec_csr_wraddr_r == 12'h7a2; // @[dec_tlu_ctl.scala 2242:98] - wire _T_970 = io_dec_csr_wen_r_mod & _T_969; // @[dec_tlu_ctl.scala 2242:69] - wire _T_972 = _T_970 & _T_804; // @[dec_tlu_ctl.scala 2242:111] - wire _T_981 = _T_970 & _T_813; // @[dec_tlu_ctl.scala 2242:111] - wire _T_990 = _T_970 & _T_822; // @[dec_tlu_ctl.scala 2242:111] - wire _T_999 = _T_970 & _T_831; // @[dec_tlu_ctl.scala 2242:111] + wire _T_741 = io_dec_csr_wraddr_r == 12'h7ca; // @[dec_tlu_ctl.scala 2108:100] + wire _T_742 = _T_653 & _T_741; // @[dec_tlu_ctl.scala 2108:71] + wire [31:0] _T_745 = _T_742 ? io_dec_csr_wrdata_r : {{25'd0}, io_ifu_ic_debug_rd_data[70:64]}; // @[dec_tlu_ctl.scala 2110:21] + wire _T_746 = _T_742 | io_ifu_ic_debug_rd_data_valid; // @[dec_tlu_ctl.scala 2113:78] + reg [31:0] _T_748; // @[Reg.scala 27:20] + wire [31:0] dicad1 = {25'h0,_T_748[6:0]}; // @[Cat.scala 29:58] + wire [38:0] _T_753 = {dicad1[6:0],dicad0h}; // @[Cat.scala 29:58] + wire _T_755 = io_allow_dbg_halt_csr_write & io_dec_csr_any_unq_d; // @[dec_tlu_ctl.scala 2141:52] + wire _T_756 = _T_755 & io_dec_i0_decode_d; // @[dec_tlu_ctl.scala 2141:75] + wire _T_757 = ~io_dec_csr_wen_unq_d; // @[dec_tlu_ctl.scala 2141:98] + wire _T_758 = _T_756 & _T_757; // @[dec_tlu_ctl.scala 2141:96] + wire _T_760 = io_dec_csr_rdaddr_d == 12'h7cb; // @[dec_tlu_ctl.scala 2141:149] + wire _T_763 = io_dec_csr_wraddr_r == 12'h7cb; // @[dec_tlu_ctl.scala 2142:104] + reg icache_rd_valid_f; // @[dec_tlu_ctl.scala 2144:58] + reg icache_wr_valid_f; // @[dec_tlu_ctl.scala 2145:58] + wire _T_765 = io_dec_csr_wraddr_r == 12'h7a0; // @[dec_tlu_ctl.scala 2156:69] + wire wr_mtsel_r = io_dec_csr_wen_r_mod & _T_765; // @[dec_tlu_ctl.scala 2156:40] + reg [1:0] mtsel; // @[dec_tlu_ctl.scala 2159:43] + wire tdata_load = io_dec_csr_wrdata_r[0] & _T_398; // @[dec_tlu_ctl.scala 2194:42] + wire tdata_opcode = io_dec_csr_wrdata_r[2] & _T_398; // @[dec_tlu_ctl.scala 2196:44] + wire _T_776 = io_dec_csr_wrdata_r[27] & io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 2198:46] + wire tdata_action = _T_776 & io_dec_csr_wrdata_r[12]; // @[dec_tlu_ctl.scala 2198:69] + wire [9:0] tdata_wrdata_r = {_T_776,io_dec_csr_wrdata_r[20:19],tdata_action,io_dec_csr_wrdata_r[11],io_dec_csr_wrdata_r[7:6],tdata_opcode,io_dec_csr_wrdata_r[1],tdata_load}; // @[Cat.scala 29:58] + wire _T_791 = io_dec_csr_wraddr_r == 12'h7a1; // @[dec_tlu_ctl.scala 2204:99] + wire _T_792 = io_dec_csr_wen_r_mod & _T_791; // @[dec_tlu_ctl.scala 2204:70] + wire _T_793 = mtsel == 2'h0; // @[dec_tlu_ctl.scala 2204:121] + wire _T_794 = _T_792 & _T_793; // @[dec_tlu_ctl.scala 2204:112] + wire _T_796 = ~io_mtdata1_t_0[9]; // @[dec_tlu_ctl.scala 2204:138] + wire _T_797 = _T_796 | io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 2204:170] + wire wr_mtdata1_t_r_0 = _T_794 & _T_797; // @[dec_tlu_ctl.scala 2204:135] + wire _T_802 = mtsel == 2'h1; // @[dec_tlu_ctl.scala 2204:121] + wire _T_803 = _T_792 & _T_802; // @[dec_tlu_ctl.scala 2204:112] + wire _T_805 = ~io_mtdata1_t_1[9]; // @[dec_tlu_ctl.scala 2204:138] + wire _T_806 = _T_805 | io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 2204:170] + wire wr_mtdata1_t_r_1 = _T_803 & _T_806; // @[dec_tlu_ctl.scala 2204:135] + wire _T_811 = mtsel == 2'h2; // @[dec_tlu_ctl.scala 2204:121] + wire _T_812 = _T_792 & _T_811; // @[dec_tlu_ctl.scala 2204:112] + wire _T_814 = ~io_mtdata1_t_2[9]; // @[dec_tlu_ctl.scala 2204:138] + wire _T_815 = _T_814 | io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 2204:170] + wire wr_mtdata1_t_r_2 = _T_812 & _T_815; // @[dec_tlu_ctl.scala 2204:135] + wire _T_820 = mtsel == 2'h3; // @[dec_tlu_ctl.scala 2204:121] + wire _T_821 = _T_792 & _T_820; // @[dec_tlu_ctl.scala 2204:112] + wire _T_823 = ~io_mtdata1_t_3[9]; // @[dec_tlu_ctl.scala 2204:138] + wire _T_824 = _T_823 | io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 2204:170] + wire wr_mtdata1_t_r_3 = _T_821 & _T_824; // @[dec_tlu_ctl.scala 2204:135] + wire _T_830 = io_update_hit_bit_r[0] | io_mtdata1_t_0[8]; // @[dec_tlu_ctl.scala 2205:139] + wire [9:0] _T_833 = {io_mtdata1_t_0[9],_T_830,io_mtdata1_t_0[7:0]}; // @[Cat.scala 29:58] + wire _T_839 = io_update_hit_bit_r[1] | io_mtdata1_t_1[8]; // @[dec_tlu_ctl.scala 2205:139] + wire [9:0] _T_842 = {io_mtdata1_t_1[9],_T_839,io_mtdata1_t_1[7:0]}; // @[Cat.scala 29:58] + wire _T_848 = io_update_hit_bit_r[2] | io_mtdata1_t_2[8]; // @[dec_tlu_ctl.scala 2205:139] + wire [9:0] _T_851 = {io_mtdata1_t_2[9],_T_848,io_mtdata1_t_2[7:0]}; // @[Cat.scala 29:58] + wire _T_857 = io_update_hit_bit_r[3] | io_mtdata1_t_3[8]; // @[dec_tlu_ctl.scala 2205:139] + wire [9:0] _T_860 = {io_mtdata1_t_3[9],_T_857,io_mtdata1_t_3[7:0]}; // @[Cat.scala 29:58] + reg [9:0] _T_862; // @[dec_tlu_ctl.scala 2207:74] + reg [9:0] _T_863; // @[dec_tlu_ctl.scala 2207:74] + reg [9:0] _T_864; // @[dec_tlu_ctl.scala 2207:74] + reg [9:0] _T_865; // @[dec_tlu_ctl.scala 2207:74] + wire [31:0] _T_880 = {4'h2,io_mtdata1_t_0[9],6'h1f,io_mtdata1_t_0[8:7],6'h0,io_mtdata1_t_0[6:5],3'h0,io_mtdata1_t_0[4:3],3'h0,io_mtdata1_t_0[2:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_895 = {4'h2,io_mtdata1_t_1[9],6'h1f,io_mtdata1_t_1[8:7],6'h0,io_mtdata1_t_1[6:5],3'h0,io_mtdata1_t_1[4:3],3'h0,io_mtdata1_t_1[2:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_910 = {4'h2,io_mtdata1_t_2[9],6'h1f,io_mtdata1_t_2[8:7],6'h0,io_mtdata1_t_2[6:5],3'h0,io_mtdata1_t_2[4:3],3'h0,io_mtdata1_t_2[2:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_925 = {4'h2,io_mtdata1_t_3[9],6'h1f,io_mtdata1_t_3[8:7],6'h0,io_mtdata1_t_3[6:5],3'h0,io_mtdata1_t_3[4:3],3'h0,io_mtdata1_t_3[2:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_926 = _T_793 ? _T_880 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_927 = _T_802 ? _T_895 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_928 = _T_811 ? _T_910 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_929 = _T_820 ? _T_925 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_930 = _T_926 | _T_927; // @[Mux.scala 27:72] + wire [31:0] _T_931 = _T_930 | _T_928; // @[Mux.scala 27:72] + wire [31:0] mtdata1_tsel_out = _T_931 | _T_929; // @[Mux.scala 27:72] + wire _T_958 = io_dec_csr_wraddr_r == 12'h7a2; // @[dec_tlu_ctl.scala 2224:98] + wire _T_959 = io_dec_csr_wen_r_mod & _T_958; // @[dec_tlu_ctl.scala 2224:69] + wire _T_961 = _T_959 & _T_793; // @[dec_tlu_ctl.scala 2224:111] + wire _T_970 = _T_959 & _T_802; // @[dec_tlu_ctl.scala 2224:111] + wire _T_979 = _T_959 & _T_811; // @[dec_tlu_ctl.scala 2224:111] + wire _T_988 = _T_959 & _T_820; // @[dec_tlu_ctl.scala 2224:111] reg [31:0] mtdata2_t_0; // @[lib.scala 374:16] reg [31:0] mtdata2_t_1; // @[lib.scala 374:16] reg [31:0] mtdata2_t_2; // @[lib.scala 374:16] reg [31:0] mtdata2_t_3; // @[lib.scala 374:16] - wire [31:0] _T_1016 = _T_804 ? mtdata2_t_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_1017 = _T_813 ? mtdata2_t_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_1018 = _T_822 ? mtdata2_t_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_1019 = _T_831 ? mtdata2_t_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_1020 = _T_1016 | _T_1017; // @[Mux.scala 27:72] - wire [31:0] _T_1021 = _T_1020 | _T_1018; // @[Mux.scala 27:72] - wire [31:0] mtdata2_tsel_out = _T_1021 | _T_1019; // @[Mux.scala 27:72] - wire [3:0] _T_1024 = io_tlu_i0_commit_cmt ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] pmu_i0_itype_qual = io_dec_tlu_packet_r_pmu_i0_itype & _T_1024; // @[dec_tlu_ctl.scala 2267:59] - wire _T_1026 = ~mcountinhibit[3]; // @[dec_tlu_ctl.scala 2273:24] + wire [31:0] _T_1005 = _T_793 ? mtdata2_t_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1006 = _T_802 ? mtdata2_t_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1007 = _T_811 ? mtdata2_t_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1008 = _T_820 ? mtdata2_t_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1009 = _T_1005 | _T_1006; // @[Mux.scala 27:72] + wire [31:0] _T_1010 = _T_1009 | _T_1007; // @[Mux.scala 27:72] + wire [31:0] mtdata2_tsel_out = _T_1010 | _T_1008; // @[Mux.scala 27:72] + wire [3:0] _T_1013 = io_tlu_i0_commit_cmt ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] pmu_i0_itype_qual = io_dec_tlu_packet_r_pmu_i0_itype & _T_1013; // @[dec_tlu_ctl.scala 2249:59] + wire _T_1015 = ~mcountinhibit[3]; // @[dec_tlu_ctl.scala 2255:24] reg [9:0] mhpme3; // @[Reg.scala 27:20] - wire _T_1027 = mhpme3 == 10'h1; // @[dec_tlu_ctl.scala 2274:34] - wire _T_1029 = mhpme3 == 10'h2; // @[dec_tlu_ctl.scala 2275:34] - wire _T_1031 = mhpme3 == 10'h3; // @[dec_tlu_ctl.scala 2276:34] - wire _T_1033 = mhpme3 == 10'h4; // @[dec_tlu_ctl.scala 2277:34] - wire _T_1035 = ~io_illegal_r; // @[dec_tlu_ctl.scala 2277:96] - wire _T_1036 = io_tlu_i0_commit_cmt & _T_1035; // @[dec_tlu_ctl.scala 2277:94] - wire _T_1037 = mhpme3 == 10'h5; // @[dec_tlu_ctl.scala 2278:34] - wire _T_1039 = ~io_exu_pmu_i0_pc4; // @[dec_tlu_ctl.scala 2278:96] - wire _T_1040 = io_tlu_i0_commit_cmt & _T_1039; // @[dec_tlu_ctl.scala 2278:94] - wire _T_1042 = _T_1040 & _T_1035; // @[dec_tlu_ctl.scala 2278:115] - wire _T_1043 = mhpme3 == 10'h6; // @[dec_tlu_ctl.scala 2279:34] - wire _T_1045 = io_tlu_i0_commit_cmt & io_exu_pmu_i0_pc4; // @[dec_tlu_ctl.scala 2279:94] - wire _T_1047 = _T_1045 & _T_1035; // @[dec_tlu_ctl.scala 2279:115] - wire _T_1048 = mhpme3 == 10'h7; // @[dec_tlu_ctl.scala 2280:34] - wire _T_1050 = mhpme3 == 10'h8; // @[dec_tlu_ctl.scala 2281:34] - wire _T_1052 = mhpme3 == 10'h1e; // @[dec_tlu_ctl.scala 2282:34] - wire _T_1054 = mhpme3 == 10'h9; // @[dec_tlu_ctl.scala 2283:34] - wire _T_1056 = pmu_i0_itype_qual == 4'h1; // @[dec_tlu_ctl.scala 2283:91] - wire _T_1057 = mhpme3 == 10'ha; // @[dec_tlu_ctl.scala 2284:34] - wire _T_1059 = io_dec_tlu_packet_r_pmu_divide & io_tlu_i0_commit_cmt; // @[dec_tlu_ctl.scala 2284:105] - wire _T_1060 = mhpme3 == 10'hb; // @[dec_tlu_ctl.scala 2285:34] - wire _T_1062 = pmu_i0_itype_qual == 4'h2; // @[dec_tlu_ctl.scala 2285:91] - wire _T_1063 = mhpme3 == 10'hc; // @[dec_tlu_ctl.scala 2286:34] - wire _T_1065 = pmu_i0_itype_qual == 4'h3; // @[dec_tlu_ctl.scala 2286:91] - wire _T_1066 = mhpme3 == 10'hd; // @[dec_tlu_ctl.scala 2287:34] - wire _T_1069 = _T_1062 & io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[dec_tlu_ctl.scala 2287:100] - wire _T_1070 = mhpme3 == 10'he; // @[dec_tlu_ctl.scala 2288:34] - wire _T_1074 = _T_1065 & io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[dec_tlu_ctl.scala 2288:101] - wire _T_1075 = mhpme3 == 10'hf; // @[dec_tlu_ctl.scala 2289:34] - wire _T_1077 = pmu_i0_itype_qual == 4'h4; // @[dec_tlu_ctl.scala 2289:89] - wire _T_1078 = mhpme3 == 10'h10; // @[dec_tlu_ctl.scala 2290:34] - wire _T_1080 = pmu_i0_itype_qual == 4'h5; // @[dec_tlu_ctl.scala 2290:89] - wire _T_1081 = mhpme3 == 10'h12; // @[dec_tlu_ctl.scala 2291:34] - wire _T_1083 = pmu_i0_itype_qual == 4'h6; // @[dec_tlu_ctl.scala 2291:89] - wire _T_1084 = mhpme3 == 10'h11; // @[dec_tlu_ctl.scala 2292:34] - wire _T_1086 = pmu_i0_itype_qual == 4'h7; // @[dec_tlu_ctl.scala 2292:89] - wire _T_1087 = mhpme3 == 10'h13; // @[dec_tlu_ctl.scala 2293:34] - wire _T_1089 = pmu_i0_itype_qual == 4'h8; // @[dec_tlu_ctl.scala 2293:89] - wire _T_1090 = mhpme3 == 10'h14; // @[dec_tlu_ctl.scala 2294:34] - wire _T_1092 = pmu_i0_itype_qual == 4'h9; // @[dec_tlu_ctl.scala 2294:89] - wire _T_1093 = mhpme3 == 10'h15; // @[dec_tlu_ctl.scala 2295:34] - wire _T_1095 = pmu_i0_itype_qual == 4'ha; // @[dec_tlu_ctl.scala 2295:89] - wire _T_1096 = mhpme3 == 10'h16; // @[dec_tlu_ctl.scala 2296:34] - wire _T_1098 = pmu_i0_itype_qual == 4'hb; // @[dec_tlu_ctl.scala 2296:89] - wire _T_1099 = mhpme3 == 10'h17; // @[dec_tlu_ctl.scala 2297:34] - wire _T_1101 = pmu_i0_itype_qual == 4'hc; // @[dec_tlu_ctl.scala 2297:89] - wire _T_1102 = mhpme3 == 10'h18; // @[dec_tlu_ctl.scala 2298:34] - wire _T_1104 = pmu_i0_itype_qual == 4'hd; // @[dec_tlu_ctl.scala 2298:89] - wire _T_1105 = pmu_i0_itype_qual == 4'he; // @[dec_tlu_ctl.scala 2298:122] - wire _T_1106 = _T_1104 | _T_1105; // @[dec_tlu_ctl.scala 2298:101] - wire _T_1107 = mhpme3 == 10'h19; // @[dec_tlu_ctl.scala 2299:34] - wire _T_1109 = io_exu_pmu_i0_br_misp & io_tlu_i0_commit_cmt; // @[dec_tlu_ctl.scala 2299:95] - wire _T_1110 = mhpme3 == 10'h1a; // @[dec_tlu_ctl.scala 2300:34] - wire _T_1112 = io_exu_pmu_i0_br_ataken & io_tlu_i0_commit_cmt; // @[dec_tlu_ctl.scala 2300:97] - wire _T_1113 = mhpme3 == 10'h1b; // @[dec_tlu_ctl.scala 2301:34] - wire _T_1115 = io_dec_tlu_packet_r_pmu_i0_br_unpred & io_tlu_i0_commit_cmt; // @[dec_tlu_ctl.scala 2301:110] - wire _T_1116 = mhpme3 == 10'h1c; // @[dec_tlu_ctl.scala 2302:34] - wire _T_1120 = mhpme3 == 10'h1f; // @[dec_tlu_ctl.scala 2304:34] - wire _T_1122 = mhpme3 == 10'h20; // @[dec_tlu_ctl.scala 2305:34] - wire _T_1124 = mhpme3 == 10'h22; // @[dec_tlu_ctl.scala 2306:34] - wire _T_1126 = mhpme3 == 10'h23; // @[dec_tlu_ctl.scala 2307:34] - wire _T_1128 = mhpme3 == 10'h24; // @[dec_tlu_ctl.scala 2308:34] - wire _T_1130 = mhpme3 == 10'h25; // @[dec_tlu_ctl.scala 2309:34] - wire _T_1132 = io_i0_exception_valid_r | io_i0_trigger_hit_r; // @[dec_tlu_ctl.scala 2309:98] - wire _T_1133 = _T_1132 | io_lsu_exc_valid_r; // @[dec_tlu_ctl.scala 2309:120] - wire _T_1134 = mhpme3 == 10'h26; // @[dec_tlu_ctl.scala 2310:34] - wire _T_1136 = io_take_timer_int | io_take_int_timer0_int; // @[dec_tlu_ctl.scala 2310:92] - wire _T_1137 = _T_1136 | io_take_int_timer1_int; // @[dec_tlu_ctl.scala 2310:117] - wire _T_1138 = mhpme3 == 10'h27; // @[dec_tlu_ctl.scala 2311:34] - wire _T_1140 = mhpme3 == 10'h28; // @[dec_tlu_ctl.scala 2312:34] - wire _T_1142 = mhpme3 == 10'h29; // @[dec_tlu_ctl.scala 2313:34] - wire _T_1144 = io_dec_tlu_br0_error_r | io_dec_tlu_br0_start_error_r; // @[dec_tlu_ctl.scala 2313:97] - wire _T_1145 = _T_1144 & io_rfpc_i0_r; // @[dec_tlu_ctl.scala 2313:129] - wire _T_1146 = mhpme3 == 10'h2a; // @[dec_tlu_ctl.scala 2314:34] - wire _T_1148 = mhpme3 == 10'h2b; // @[dec_tlu_ctl.scala 2315:34] - wire _T_1150 = mhpme3 == 10'h2c; // @[dec_tlu_ctl.scala 2316:34] - wire _T_1152 = mhpme3 == 10'h2d; // @[dec_tlu_ctl.scala 2317:34] - wire _T_1154 = mhpme3 == 10'h2e; // @[dec_tlu_ctl.scala 2318:34] - wire _T_1156 = mhpme3 == 10'h2f; // @[dec_tlu_ctl.scala 2319:34] - wire _T_1158 = mhpme3 == 10'h30; // @[dec_tlu_ctl.scala 2320:34] - wire _T_1160 = mhpme3 == 10'h31; // @[dec_tlu_ctl.scala 2321:34] - wire _T_1164 = ~io_mstatus[0]; // @[dec_tlu_ctl.scala 2321:73] - wire _T_1165 = mhpme3 == 10'h32; // @[dec_tlu_ctl.scala 2322:34] - wire [5:0] _T_1172 = io_mip & mie; // @[dec_tlu_ctl.scala 2322:113] - wire _T_1173 = |_T_1172; // @[dec_tlu_ctl.scala 2322:125] - wire _T_1174 = _T_1164 & _T_1173; // @[dec_tlu_ctl.scala 2322:98] - wire _T_1175 = mhpme3 == 10'h36; // @[dec_tlu_ctl.scala 2323:34] - wire _T_1177 = pmu_i0_itype_qual == 4'hf; // @[dec_tlu_ctl.scala 2323:91] - wire _T_1178 = mhpme3 == 10'h37; // @[dec_tlu_ctl.scala 2324:34] - wire _T_1180 = io_tlu_i0_commit_cmt & io_lsu_pmu_load_external_r; // @[dec_tlu_ctl.scala 2324:94] - wire _T_1181 = mhpme3 == 10'h38; // @[dec_tlu_ctl.scala 2325:34] - wire _T_1183 = io_tlu_i0_commit_cmt & io_lsu_pmu_store_external_r; // @[dec_tlu_ctl.scala 2325:94] - wire _T_1184 = mhpme3 == 10'h200; // @[dec_tlu_ctl.scala 2327:34] - wire _T_1186 = mhpme3 == 10'h201; // @[dec_tlu_ctl.scala 2328:34] - wire _T_1188 = mhpme3 == 10'h202; // @[dec_tlu_ctl.scala 2329:34] - wire _T_1190 = mhpme3 == 10'h203; // @[dec_tlu_ctl.scala 2330:34] - wire _T_1192 = mhpme3 == 10'h204; // @[dec_tlu_ctl.scala 2331:34] - wire _T_1195 = _T_1029 & io_ifu_pmu_ic_hit; // @[Mux.scala 27:72] - wire _T_1196 = _T_1031 & io_ifu_pmu_ic_miss; // @[Mux.scala 27:72] - wire _T_1197 = _T_1033 & _T_1036; // @[Mux.scala 27:72] - wire _T_1198 = _T_1037 & _T_1042; // @[Mux.scala 27:72] - wire _T_1199 = _T_1043 & _T_1047; // @[Mux.scala 27:72] - wire _T_1200 = _T_1048 & io_ifu_pmu_instr_aligned; // @[Mux.scala 27:72] - wire _T_1201 = _T_1050 & io_dec_pmu_instr_decoded; // @[Mux.scala 27:72] - wire _T_1202 = _T_1052 & io_dec_pmu_decode_stall; // @[Mux.scala 27:72] - wire _T_1203 = _T_1054 & _T_1056; // @[Mux.scala 27:72] - wire _T_1204 = _T_1057 & _T_1059; // @[Mux.scala 27:72] - wire _T_1205 = _T_1060 & _T_1062; // @[Mux.scala 27:72] - wire _T_1206 = _T_1063 & _T_1065; // @[Mux.scala 27:72] - wire _T_1207 = _T_1066 & _T_1069; // @[Mux.scala 27:72] - wire _T_1208 = _T_1070 & _T_1074; // @[Mux.scala 27:72] - wire _T_1209 = _T_1075 & _T_1077; // @[Mux.scala 27:72] - wire _T_1210 = _T_1078 & _T_1080; // @[Mux.scala 27:72] - wire _T_1211 = _T_1081 & _T_1083; // @[Mux.scala 27:72] - wire _T_1212 = _T_1084 & _T_1086; // @[Mux.scala 27:72] - wire _T_1213 = _T_1087 & _T_1089; // @[Mux.scala 27:72] - wire _T_1214 = _T_1090 & _T_1092; // @[Mux.scala 27:72] - wire _T_1215 = _T_1093 & _T_1095; // @[Mux.scala 27:72] - wire _T_1216 = _T_1096 & _T_1098; // @[Mux.scala 27:72] - wire _T_1217 = _T_1099 & _T_1101; // @[Mux.scala 27:72] - wire _T_1218 = _T_1102 & _T_1106; // @[Mux.scala 27:72] - wire _T_1219 = _T_1107 & _T_1109; // @[Mux.scala 27:72] - wire _T_1220 = _T_1110 & _T_1112; // @[Mux.scala 27:72] - wire _T_1221 = _T_1113 & _T_1115; // @[Mux.scala 27:72] - wire _T_1222 = _T_1116 & io_ifu_pmu_fetch_stall; // @[Mux.scala 27:72] - wire _T_1224 = _T_1120 & io_dec_pmu_postsync_stall; // @[Mux.scala 27:72] - wire _T_1225 = _T_1122 & io_dec_pmu_presync_stall; // @[Mux.scala 27:72] - wire _T_1226 = _T_1124 & io_lsu_store_stall_any; // @[Mux.scala 27:72] - wire _T_1227 = _T_1126 & io_dma_dccm_stall_any; // @[Mux.scala 27:72] - wire _T_1228 = _T_1128 & io_dma_iccm_stall_any; // @[Mux.scala 27:72] - wire _T_1229 = _T_1130 & _T_1133; // @[Mux.scala 27:72] - wire _T_1230 = _T_1134 & _T_1137; // @[Mux.scala 27:72] - wire _T_1231 = _T_1138 & io_take_ext_int; // @[Mux.scala 27:72] - wire _T_1232 = _T_1140 & io_tlu_flush_lower_r; // @[Mux.scala 27:72] - wire _T_1233 = _T_1142 & _T_1145; // @[Mux.scala 27:72] - wire _T_1234 = _T_1146 & io_ifu_pmu_bus_trxn; // @[Mux.scala 27:72] - wire _T_1235 = _T_1148 & io_lsu_pmu_bus_trxn; // @[Mux.scala 27:72] - wire _T_1236 = _T_1150 & io_lsu_pmu_bus_misaligned; // @[Mux.scala 27:72] - wire _T_1237 = _T_1152 & io_ifu_pmu_bus_error; // @[Mux.scala 27:72] - wire _T_1238 = _T_1154 & io_lsu_pmu_bus_error; // @[Mux.scala 27:72] - wire _T_1239 = _T_1156 & io_ifu_pmu_bus_busy; // @[Mux.scala 27:72] - wire _T_1240 = _T_1158 & io_lsu_pmu_bus_busy; // @[Mux.scala 27:72] - wire _T_1241 = _T_1160 & _T_1164; // @[Mux.scala 27:72] - wire _T_1242 = _T_1165 & _T_1174; // @[Mux.scala 27:72] - wire _T_1243 = _T_1175 & _T_1177; // @[Mux.scala 27:72] - wire _T_1244 = _T_1178 & _T_1180; // @[Mux.scala 27:72] - wire _T_1245 = _T_1181 & _T_1183; // @[Mux.scala 27:72] - wire _T_1246 = _T_1184 & io_dec_tlu_pmu_fw_halted; // @[Mux.scala 27:72] - wire _T_1247 = _T_1186 & io_dma_pmu_any_read; // @[Mux.scala 27:72] - wire _T_1248 = _T_1188 & io_dma_pmu_any_write; // @[Mux.scala 27:72] - wire _T_1249 = _T_1190 & io_dma_pmu_dccm_read; // @[Mux.scala 27:72] - wire _T_1250 = _T_1192 & io_dma_pmu_dccm_write; // @[Mux.scala 27:72] - wire _T_1251 = _T_1027 | _T_1195; // @[Mux.scala 27:72] + wire _T_1016 = mhpme3 == 10'h1; // @[dec_tlu_ctl.scala 2256:34] + wire _T_1018 = mhpme3 == 10'h2; // @[dec_tlu_ctl.scala 2257:34] + wire _T_1020 = mhpme3 == 10'h3; // @[dec_tlu_ctl.scala 2258:34] + wire _T_1022 = mhpme3 == 10'h4; // @[dec_tlu_ctl.scala 2259:34] + wire _T_1024 = ~io_illegal_r; // @[dec_tlu_ctl.scala 2259:96] + wire _T_1025 = io_tlu_i0_commit_cmt & _T_1024; // @[dec_tlu_ctl.scala 2259:94] + wire _T_1026 = mhpme3 == 10'h5; // @[dec_tlu_ctl.scala 2260:34] + wire _T_1028 = ~io_exu_pmu_i0_pc4; // @[dec_tlu_ctl.scala 2260:96] + wire _T_1029 = io_tlu_i0_commit_cmt & _T_1028; // @[dec_tlu_ctl.scala 2260:94] + wire _T_1031 = _T_1029 & _T_1024; // @[dec_tlu_ctl.scala 2260:115] + wire _T_1032 = mhpme3 == 10'h6; // @[dec_tlu_ctl.scala 2261:34] + wire _T_1034 = io_tlu_i0_commit_cmt & io_exu_pmu_i0_pc4; // @[dec_tlu_ctl.scala 2261:94] + wire _T_1036 = _T_1034 & _T_1024; // @[dec_tlu_ctl.scala 2261:115] + wire _T_1037 = mhpme3 == 10'h7; // @[dec_tlu_ctl.scala 2262:34] + wire _T_1039 = mhpme3 == 10'h8; // @[dec_tlu_ctl.scala 2263:34] + wire _T_1041 = mhpme3 == 10'h1e; // @[dec_tlu_ctl.scala 2264:34] + wire _T_1043 = mhpme3 == 10'h9; // @[dec_tlu_ctl.scala 2265:34] + wire _T_1045 = pmu_i0_itype_qual == 4'h1; // @[dec_tlu_ctl.scala 2265:91] + wire _T_1046 = mhpme3 == 10'ha; // @[dec_tlu_ctl.scala 2266:34] + wire _T_1048 = io_dec_tlu_packet_r_pmu_divide & io_tlu_i0_commit_cmt; // @[dec_tlu_ctl.scala 2266:105] + wire _T_1049 = mhpme3 == 10'hb; // @[dec_tlu_ctl.scala 2267:34] + wire _T_1051 = pmu_i0_itype_qual == 4'h2; // @[dec_tlu_ctl.scala 2267:91] + wire _T_1052 = mhpme3 == 10'hc; // @[dec_tlu_ctl.scala 2268:34] + wire _T_1054 = pmu_i0_itype_qual == 4'h3; // @[dec_tlu_ctl.scala 2268:91] + wire _T_1055 = mhpme3 == 10'hd; // @[dec_tlu_ctl.scala 2269:34] + wire _T_1058 = _T_1051 & io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[dec_tlu_ctl.scala 2269:100] + wire _T_1059 = mhpme3 == 10'he; // @[dec_tlu_ctl.scala 2270:34] + wire _T_1063 = _T_1054 & io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[dec_tlu_ctl.scala 2270:101] + wire _T_1064 = mhpme3 == 10'hf; // @[dec_tlu_ctl.scala 2271:34] + wire _T_1066 = pmu_i0_itype_qual == 4'h4; // @[dec_tlu_ctl.scala 2271:89] + wire _T_1067 = mhpme3 == 10'h10; // @[dec_tlu_ctl.scala 2272:34] + wire _T_1069 = pmu_i0_itype_qual == 4'h5; // @[dec_tlu_ctl.scala 2272:89] + wire _T_1070 = mhpme3 == 10'h12; // @[dec_tlu_ctl.scala 2273:34] + wire _T_1072 = pmu_i0_itype_qual == 4'h6; // @[dec_tlu_ctl.scala 2273:89] + wire _T_1073 = mhpme3 == 10'h11; // @[dec_tlu_ctl.scala 2274:34] + wire _T_1075 = pmu_i0_itype_qual == 4'h7; // @[dec_tlu_ctl.scala 2274:89] + wire _T_1076 = mhpme3 == 10'h13; // @[dec_tlu_ctl.scala 2275:34] + wire _T_1078 = pmu_i0_itype_qual == 4'h8; // @[dec_tlu_ctl.scala 2275:89] + wire _T_1079 = mhpme3 == 10'h14; // @[dec_tlu_ctl.scala 2276:34] + wire _T_1081 = pmu_i0_itype_qual == 4'h9; // @[dec_tlu_ctl.scala 2276:89] + wire _T_1082 = mhpme3 == 10'h15; // @[dec_tlu_ctl.scala 2277:34] + wire _T_1084 = pmu_i0_itype_qual == 4'ha; // @[dec_tlu_ctl.scala 2277:89] + wire _T_1085 = mhpme3 == 10'h16; // @[dec_tlu_ctl.scala 2278:34] + wire _T_1087 = pmu_i0_itype_qual == 4'hb; // @[dec_tlu_ctl.scala 2278:89] + wire _T_1088 = mhpme3 == 10'h17; // @[dec_tlu_ctl.scala 2279:34] + wire _T_1090 = pmu_i0_itype_qual == 4'hc; // @[dec_tlu_ctl.scala 2279:89] + wire _T_1091 = mhpme3 == 10'h18; // @[dec_tlu_ctl.scala 2280:34] + wire _T_1093 = pmu_i0_itype_qual == 4'hd; // @[dec_tlu_ctl.scala 2280:89] + wire _T_1094 = pmu_i0_itype_qual == 4'he; // @[dec_tlu_ctl.scala 2280:122] + wire _T_1095 = _T_1093 | _T_1094; // @[dec_tlu_ctl.scala 2280:101] + wire _T_1096 = mhpme3 == 10'h19; // @[dec_tlu_ctl.scala 2281:34] + wire _T_1098 = io_exu_pmu_i0_br_misp & io_tlu_i0_commit_cmt; // @[dec_tlu_ctl.scala 2281:95] + wire _T_1099 = mhpme3 == 10'h1a; // @[dec_tlu_ctl.scala 2282:34] + wire _T_1101 = io_exu_pmu_i0_br_ataken & io_tlu_i0_commit_cmt; // @[dec_tlu_ctl.scala 2282:97] + wire _T_1102 = mhpme3 == 10'h1b; // @[dec_tlu_ctl.scala 2283:34] + wire _T_1104 = io_dec_tlu_packet_r_pmu_i0_br_unpred & io_tlu_i0_commit_cmt; // @[dec_tlu_ctl.scala 2283:110] + wire _T_1105 = mhpme3 == 10'h1c; // @[dec_tlu_ctl.scala 2284:34] + wire _T_1109 = mhpme3 == 10'h1f; // @[dec_tlu_ctl.scala 2286:34] + wire _T_1111 = mhpme3 == 10'h20; // @[dec_tlu_ctl.scala 2287:34] + wire _T_1113 = mhpme3 == 10'h22; // @[dec_tlu_ctl.scala 2288:34] + wire _T_1115 = mhpme3 == 10'h23; // @[dec_tlu_ctl.scala 2289:34] + wire _T_1117 = mhpme3 == 10'h24; // @[dec_tlu_ctl.scala 2290:34] + wire _T_1119 = mhpme3 == 10'h25; // @[dec_tlu_ctl.scala 2291:34] + wire _T_1121 = io_i0_exception_valid_r | io_i0_trigger_hit_r; // @[dec_tlu_ctl.scala 2291:98] + wire _T_1122 = _T_1121 | io_lsu_exc_valid_r; // @[dec_tlu_ctl.scala 2291:120] + wire _T_1123 = mhpme3 == 10'h26; // @[dec_tlu_ctl.scala 2292:34] + wire _T_1125 = io_take_timer_int | io_take_int_timer0_int; // @[dec_tlu_ctl.scala 2292:92] + wire _T_1126 = _T_1125 | io_take_int_timer1_int; // @[dec_tlu_ctl.scala 2292:117] + wire _T_1127 = mhpme3 == 10'h27; // @[dec_tlu_ctl.scala 2293:34] + wire _T_1129 = mhpme3 == 10'h28; // @[dec_tlu_ctl.scala 2294:34] + wire _T_1131 = mhpme3 == 10'h29; // @[dec_tlu_ctl.scala 2295:34] + wire _T_1133 = io_dec_tlu_br0_error_r | io_dec_tlu_br0_start_error_r; // @[dec_tlu_ctl.scala 2295:97] + wire _T_1134 = _T_1133 & io_rfpc_i0_r; // @[dec_tlu_ctl.scala 2295:129] + wire _T_1135 = mhpme3 == 10'h2a; // @[dec_tlu_ctl.scala 2296:34] + wire _T_1137 = mhpme3 == 10'h2b; // @[dec_tlu_ctl.scala 2297:34] + wire _T_1139 = mhpme3 == 10'h2c; // @[dec_tlu_ctl.scala 2298:34] + wire _T_1141 = mhpme3 == 10'h2d; // @[dec_tlu_ctl.scala 2299:34] + wire _T_1143 = mhpme3 == 10'h2e; // @[dec_tlu_ctl.scala 2300:34] + wire _T_1145 = mhpme3 == 10'h2f; // @[dec_tlu_ctl.scala 2301:34] + wire _T_1147 = mhpme3 == 10'h30; // @[dec_tlu_ctl.scala 2302:34] + wire _T_1149 = mhpme3 == 10'h31; // @[dec_tlu_ctl.scala 2303:34] + wire _T_1153 = ~io_mstatus[0]; // @[dec_tlu_ctl.scala 2303:73] + wire _T_1154 = mhpme3 == 10'h32; // @[dec_tlu_ctl.scala 2304:34] + wire [5:0] _T_1161 = io_mip & mie; // @[dec_tlu_ctl.scala 2304:113] + wire _T_1162 = |_T_1161; // @[dec_tlu_ctl.scala 2304:125] + wire _T_1163 = _T_1153 & _T_1162; // @[dec_tlu_ctl.scala 2304:98] + wire _T_1164 = mhpme3 == 10'h36; // @[dec_tlu_ctl.scala 2305:34] + wire _T_1166 = pmu_i0_itype_qual == 4'hf; // @[dec_tlu_ctl.scala 2305:91] + wire _T_1167 = mhpme3 == 10'h37; // @[dec_tlu_ctl.scala 2306:34] + wire _T_1169 = io_tlu_i0_commit_cmt & io_lsu_pmu_load_external_r; // @[dec_tlu_ctl.scala 2306:94] + wire _T_1170 = mhpme3 == 10'h38; // @[dec_tlu_ctl.scala 2307:34] + wire _T_1172 = io_tlu_i0_commit_cmt & io_lsu_pmu_store_external_r; // @[dec_tlu_ctl.scala 2307:94] + wire _T_1173 = mhpme3 == 10'h200; // @[dec_tlu_ctl.scala 2309:34] + wire _T_1175 = mhpme3 == 10'h201; // @[dec_tlu_ctl.scala 2310:34] + wire _T_1177 = mhpme3 == 10'h202; // @[dec_tlu_ctl.scala 2311:34] + wire _T_1179 = mhpme3 == 10'h203; // @[dec_tlu_ctl.scala 2312:34] + wire _T_1181 = mhpme3 == 10'h204; // @[dec_tlu_ctl.scala 2313:34] + wire _T_1184 = _T_1018 & io_ifu_pmu_ic_hit; // @[Mux.scala 27:72] + wire _T_1185 = _T_1020 & io_ifu_pmu_ic_miss; // @[Mux.scala 27:72] + wire _T_1186 = _T_1022 & _T_1025; // @[Mux.scala 27:72] + wire _T_1187 = _T_1026 & _T_1031; // @[Mux.scala 27:72] + wire _T_1188 = _T_1032 & _T_1036; // @[Mux.scala 27:72] + wire _T_1189 = _T_1037 & io_ifu_pmu_instr_aligned; // @[Mux.scala 27:72] + wire _T_1190 = _T_1039 & io_dec_pmu_instr_decoded; // @[Mux.scala 27:72] + wire _T_1191 = _T_1041 & io_dec_pmu_decode_stall; // @[Mux.scala 27:72] + wire _T_1192 = _T_1043 & _T_1045; // @[Mux.scala 27:72] + wire _T_1193 = _T_1046 & _T_1048; // @[Mux.scala 27:72] + wire _T_1194 = _T_1049 & _T_1051; // @[Mux.scala 27:72] + wire _T_1195 = _T_1052 & _T_1054; // @[Mux.scala 27:72] + wire _T_1196 = _T_1055 & _T_1058; // @[Mux.scala 27:72] + wire _T_1197 = _T_1059 & _T_1063; // @[Mux.scala 27:72] + wire _T_1198 = _T_1064 & _T_1066; // @[Mux.scala 27:72] + wire _T_1199 = _T_1067 & _T_1069; // @[Mux.scala 27:72] + wire _T_1200 = _T_1070 & _T_1072; // @[Mux.scala 27:72] + wire _T_1201 = _T_1073 & _T_1075; // @[Mux.scala 27:72] + wire _T_1202 = _T_1076 & _T_1078; // @[Mux.scala 27:72] + wire _T_1203 = _T_1079 & _T_1081; // @[Mux.scala 27:72] + wire _T_1204 = _T_1082 & _T_1084; // @[Mux.scala 27:72] + wire _T_1205 = _T_1085 & _T_1087; // @[Mux.scala 27:72] + wire _T_1206 = _T_1088 & _T_1090; // @[Mux.scala 27:72] + wire _T_1207 = _T_1091 & _T_1095; // @[Mux.scala 27:72] + wire _T_1208 = _T_1096 & _T_1098; // @[Mux.scala 27:72] + wire _T_1209 = _T_1099 & _T_1101; // @[Mux.scala 27:72] + wire _T_1210 = _T_1102 & _T_1104; // @[Mux.scala 27:72] + wire _T_1211 = _T_1105 & io_ifu_pmu_fetch_stall; // @[Mux.scala 27:72] + wire _T_1213 = _T_1109 & io_dec_pmu_postsync_stall; // @[Mux.scala 27:72] + wire _T_1214 = _T_1111 & io_dec_pmu_presync_stall; // @[Mux.scala 27:72] + wire _T_1215 = _T_1113 & io_lsu_store_stall_any; // @[Mux.scala 27:72] + wire _T_1216 = _T_1115 & io_dma_dccm_stall_any; // @[Mux.scala 27:72] + wire _T_1217 = _T_1117 & io_dma_iccm_stall_any; // @[Mux.scala 27:72] + wire _T_1218 = _T_1119 & _T_1122; // @[Mux.scala 27:72] + wire _T_1219 = _T_1123 & _T_1126; // @[Mux.scala 27:72] + wire _T_1220 = _T_1127 & io_take_ext_int; // @[Mux.scala 27:72] + wire _T_1221 = _T_1129 & io_tlu_flush_lower_r; // @[Mux.scala 27:72] + wire _T_1222 = _T_1131 & _T_1134; // @[Mux.scala 27:72] + wire _T_1223 = _T_1135 & io_ifu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_1224 = _T_1137 & io_lsu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_1225 = _T_1139 & io_lsu_pmu_bus_misaligned; // @[Mux.scala 27:72] + wire _T_1226 = _T_1141 & io_ifu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_1227 = _T_1143 & io_lsu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_1228 = _T_1145 & io_ifu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_1229 = _T_1147 & io_lsu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_1230 = _T_1149 & _T_1153; // @[Mux.scala 27:72] + wire _T_1231 = _T_1154 & _T_1163; // @[Mux.scala 27:72] + wire _T_1232 = _T_1164 & _T_1166; // @[Mux.scala 27:72] + wire _T_1233 = _T_1167 & _T_1169; // @[Mux.scala 27:72] + wire _T_1234 = _T_1170 & _T_1172; // @[Mux.scala 27:72] + wire _T_1235 = _T_1173 & io_dec_tlu_pmu_fw_halted; // @[Mux.scala 27:72] + wire _T_1236 = _T_1175 & io_dma_pmu_any_read; // @[Mux.scala 27:72] + wire _T_1237 = _T_1177 & io_dma_pmu_any_write; // @[Mux.scala 27:72] + wire _T_1238 = _T_1179 & io_dma_pmu_dccm_read; // @[Mux.scala 27:72] + wire _T_1239 = _T_1181 & io_dma_pmu_dccm_write; // @[Mux.scala 27:72] + wire _T_1240 = _T_1016 | _T_1184; // @[Mux.scala 27:72] + wire _T_1241 = _T_1240 | _T_1185; // @[Mux.scala 27:72] + wire _T_1242 = _T_1241 | _T_1186; // @[Mux.scala 27:72] + wire _T_1243 = _T_1242 | _T_1187; // @[Mux.scala 27:72] + wire _T_1244 = _T_1243 | _T_1188; // @[Mux.scala 27:72] + wire _T_1245 = _T_1244 | _T_1189; // @[Mux.scala 27:72] + wire _T_1246 = _T_1245 | _T_1190; // @[Mux.scala 27:72] + wire _T_1247 = _T_1246 | _T_1191; // @[Mux.scala 27:72] + wire _T_1248 = _T_1247 | _T_1192; // @[Mux.scala 27:72] + wire _T_1249 = _T_1248 | _T_1193; // @[Mux.scala 27:72] + wire _T_1250 = _T_1249 | _T_1194; // @[Mux.scala 27:72] + wire _T_1251 = _T_1250 | _T_1195; // @[Mux.scala 27:72] wire _T_1252 = _T_1251 | _T_1196; // @[Mux.scala 27:72] wire _T_1253 = _T_1252 | _T_1197; // @[Mux.scala 27:72] wire _T_1254 = _T_1253 | _T_1198; // @[Mux.scala 27:72] @@ -51574,7 +51615,7 @@ module csr_tlu( wire _T_1265 = _T_1264 | _T_1209; // @[Mux.scala 27:72] wire _T_1266 = _T_1265 | _T_1210; // @[Mux.scala 27:72] wire _T_1267 = _T_1266 | _T_1211; // @[Mux.scala 27:72] - wire _T_1268 = _T_1267 | _T_1212; // @[Mux.scala 27:72] + wire _T_1268 = _T_1267 | _T_1191; // @[Mux.scala 27:72] wire _T_1269 = _T_1268 | _T_1213; // @[Mux.scala 27:72] wire _T_1270 = _T_1269 | _T_1214; // @[Mux.scala 27:72] wire _T_1271 = _T_1270 | _T_1215; // @[Mux.scala 27:72] @@ -51585,7 +51626,7 @@ module csr_tlu( wire _T_1276 = _T_1275 | _T_1220; // @[Mux.scala 27:72] wire _T_1277 = _T_1276 | _T_1221; // @[Mux.scala 27:72] wire _T_1278 = _T_1277 | _T_1222; // @[Mux.scala 27:72] - wire _T_1279 = _T_1278 | _T_1202; // @[Mux.scala 27:72] + wire _T_1279 = _T_1278 | _T_1223; // @[Mux.scala 27:72] wire _T_1280 = _T_1279 | _T_1224; // @[Mux.scala 27:72] wire _T_1281 = _T_1280 | _T_1225; // @[Mux.scala 27:72] wire _T_1282 = _T_1281 | _T_1226; // @[Mux.scala 27:72] @@ -51602,132 +51643,132 @@ module csr_tlu( wire _T_1293 = _T_1292 | _T_1237; // @[Mux.scala 27:72] wire _T_1294 = _T_1293 | _T_1238; // @[Mux.scala 27:72] wire _T_1295 = _T_1294 | _T_1239; // @[Mux.scala 27:72] - wire _T_1296 = _T_1295 | _T_1240; // @[Mux.scala 27:72] - wire _T_1297 = _T_1296 | _T_1241; // @[Mux.scala 27:72] - wire _T_1298 = _T_1297 | _T_1242; // @[Mux.scala 27:72] - wire _T_1299 = _T_1298 | _T_1243; // @[Mux.scala 27:72] - wire _T_1300 = _T_1299 | _T_1244; // @[Mux.scala 27:72] - wire _T_1301 = _T_1300 | _T_1245; // @[Mux.scala 27:72] - wire _T_1302 = _T_1301 | _T_1246; // @[Mux.scala 27:72] - wire _T_1303 = _T_1302 | _T_1247; // @[Mux.scala 27:72] - wire _T_1304 = _T_1303 | _T_1248; // @[Mux.scala 27:72] - wire _T_1305 = _T_1304 | _T_1249; // @[Mux.scala 27:72] - wire _T_1306 = _T_1305 | _T_1250; // @[Mux.scala 27:72] - wire mhpmc_inc_r_0 = _T_1026 & _T_1306; // @[dec_tlu_ctl.scala 2273:44] - wire _T_1310 = ~mcountinhibit[4]; // @[dec_tlu_ctl.scala 2273:24] + wire mhpmc_inc_r_0 = _T_1015 & _T_1295; // @[dec_tlu_ctl.scala 2255:44] + wire _T_1299 = ~mcountinhibit[4]; // @[dec_tlu_ctl.scala 2255:24] reg [9:0] mhpme4; // @[Reg.scala 27:20] - wire _T_1311 = mhpme4 == 10'h1; // @[dec_tlu_ctl.scala 2274:34] - wire _T_1313 = mhpme4 == 10'h2; // @[dec_tlu_ctl.scala 2275:34] - wire _T_1315 = mhpme4 == 10'h3; // @[dec_tlu_ctl.scala 2276:34] - wire _T_1317 = mhpme4 == 10'h4; // @[dec_tlu_ctl.scala 2277:34] - wire _T_1321 = mhpme4 == 10'h5; // @[dec_tlu_ctl.scala 2278:34] - wire _T_1327 = mhpme4 == 10'h6; // @[dec_tlu_ctl.scala 2279:34] - wire _T_1332 = mhpme4 == 10'h7; // @[dec_tlu_ctl.scala 2280:34] - wire _T_1334 = mhpme4 == 10'h8; // @[dec_tlu_ctl.scala 2281:34] - wire _T_1336 = mhpme4 == 10'h1e; // @[dec_tlu_ctl.scala 2282:34] - wire _T_1338 = mhpme4 == 10'h9; // @[dec_tlu_ctl.scala 2283:34] - wire _T_1341 = mhpme4 == 10'ha; // @[dec_tlu_ctl.scala 2284:34] - wire _T_1344 = mhpme4 == 10'hb; // @[dec_tlu_ctl.scala 2285:34] - wire _T_1347 = mhpme4 == 10'hc; // @[dec_tlu_ctl.scala 2286:34] - wire _T_1350 = mhpme4 == 10'hd; // @[dec_tlu_ctl.scala 2287:34] - wire _T_1354 = mhpme4 == 10'he; // @[dec_tlu_ctl.scala 2288:34] - wire _T_1359 = mhpme4 == 10'hf; // @[dec_tlu_ctl.scala 2289:34] - wire _T_1362 = mhpme4 == 10'h10; // @[dec_tlu_ctl.scala 2290:34] - wire _T_1365 = mhpme4 == 10'h12; // @[dec_tlu_ctl.scala 2291:34] - wire _T_1368 = mhpme4 == 10'h11; // @[dec_tlu_ctl.scala 2292:34] - wire _T_1371 = mhpme4 == 10'h13; // @[dec_tlu_ctl.scala 2293:34] - wire _T_1374 = mhpme4 == 10'h14; // @[dec_tlu_ctl.scala 2294:34] - wire _T_1377 = mhpme4 == 10'h15; // @[dec_tlu_ctl.scala 2295:34] - wire _T_1380 = mhpme4 == 10'h16; // @[dec_tlu_ctl.scala 2296:34] - wire _T_1383 = mhpme4 == 10'h17; // @[dec_tlu_ctl.scala 2297:34] - wire _T_1386 = mhpme4 == 10'h18; // @[dec_tlu_ctl.scala 2298:34] - wire _T_1391 = mhpme4 == 10'h19; // @[dec_tlu_ctl.scala 2299:34] - wire _T_1394 = mhpme4 == 10'h1a; // @[dec_tlu_ctl.scala 2300:34] - wire _T_1397 = mhpme4 == 10'h1b; // @[dec_tlu_ctl.scala 2301:34] - wire _T_1400 = mhpme4 == 10'h1c; // @[dec_tlu_ctl.scala 2302:34] - wire _T_1404 = mhpme4 == 10'h1f; // @[dec_tlu_ctl.scala 2304:34] - wire _T_1406 = mhpme4 == 10'h20; // @[dec_tlu_ctl.scala 2305:34] - wire _T_1408 = mhpme4 == 10'h22; // @[dec_tlu_ctl.scala 2306:34] - wire _T_1410 = mhpme4 == 10'h23; // @[dec_tlu_ctl.scala 2307:34] - wire _T_1412 = mhpme4 == 10'h24; // @[dec_tlu_ctl.scala 2308:34] - wire _T_1414 = mhpme4 == 10'h25; // @[dec_tlu_ctl.scala 2309:34] - wire _T_1418 = mhpme4 == 10'h26; // @[dec_tlu_ctl.scala 2310:34] - wire _T_1422 = mhpme4 == 10'h27; // @[dec_tlu_ctl.scala 2311:34] - wire _T_1424 = mhpme4 == 10'h28; // @[dec_tlu_ctl.scala 2312:34] - wire _T_1426 = mhpme4 == 10'h29; // @[dec_tlu_ctl.scala 2313:34] - wire _T_1430 = mhpme4 == 10'h2a; // @[dec_tlu_ctl.scala 2314:34] - wire _T_1432 = mhpme4 == 10'h2b; // @[dec_tlu_ctl.scala 2315:34] - wire _T_1434 = mhpme4 == 10'h2c; // @[dec_tlu_ctl.scala 2316:34] - wire _T_1436 = mhpme4 == 10'h2d; // @[dec_tlu_ctl.scala 2317:34] - wire _T_1438 = mhpme4 == 10'h2e; // @[dec_tlu_ctl.scala 2318:34] - wire _T_1440 = mhpme4 == 10'h2f; // @[dec_tlu_ctl.scala 2319:34] - wire _T_1442 = mhpme4 == 10'h30; // @[dec_tlu_ctl.scala 2320:34] - wire _T_1444 = mhpme4 == 10'h31; // @[dec_tlu_ctl.scala 2321:34] - wire _T_1449 = mhpme4 == 10'h32; // @[dec_tlu_ctl.scala 2322:34] - wire _T_1459 = mhpme4 == 10'h36; // @[dec_tlu_ctl.scala 2323:34] - wire _T_1462 = mhpme4 == 10'h37; // @[dec_tlu_ctl.scala 2324:34] - wire _T_1465 = mhpme4 == 10'h38; // @[dec_tlu_ctl.scala 2325:34] - wire _T_1468 = mhpme4 == 10'h200; // @[dec_tlu_ctl.scala 2327:34] - wire _T_1470 = mhpme4 == 10'h201; // @[dec_tlu_ctl.scala 2328:34] - wire _T_1472 = mhpme4 == 10'h202; // @[dec_tlu_ctl.scala 2329:34] - wire _T_1474 = mhpme4 == 10'h203; // @[dec_tlu_ctl.scala 2330:34] - wire _T_1476 = mhpme4 == 10'h204; // @[dec_tlu_ctl.scala 2331:34] - wire _T_1479 = _T_1313 & io_ifu_pmu_ic_hit; // @[Mux.scala 27:72] - wire _T_1480 = _T_1315 & io_ifu_pmu_ic_miss; // @[Mux.scala 27:72] - wire _T_1481 = _T_1317 & _T_1036; // @[Mux.scala 27:72] - wire _T_1482 = _T_1321 & _T_1042; // @[Mux.scala 27:72] - wire _T_1483 = _T_1327 & _T_1047; // @[Mux.scala 27:72] - wire _T_1484 = _T_1332 & io_ifu_pmu_instr_aligned; // @[Mux.scala 27:72] - wire _T_1485 = _T_1334 & io_dec_pmu_instr_decoded; // @[Mux.scala 27:72] - wire _T_1486 = _T_1336 & io_dec_pmu_decode_stall; // @[Mux.scala 27:72] - wire _T_1487 = _T_1338 & _T_1056; // @[Mux.scala 27:72] - wire _T_1488 = _T_1341 & _T_1059; // @[Mux.scala 27:72] - wire _T_1489 = _T_1344 & _T_1062; // @[Mux.scala 27:72] - wire _T_1490 = _T_1347 & _T_1065; // @[Mux.scala 27:72] - wire _T_1491 = _T_1350 & _T_1069; // @[Mux.scala 27:72] - wire _T_1492 = _T_1354 & _T_1074; // @[Mux.scala 27:72] - wire _T_1493 = _T_1359 & _T_1077; // @[Mux.scala 27:72] - wire _T_1494 = _T_1362 & _T_1080; // @[Mux.scala 27:72] - wire _T_1495 = _T_1365 & _T_1083; // @[Mux.scala 27:72] - wire _T_1496 = _T_1368 & _T_1086; // @[Mux.scala 27:72] - wire _T_1497 = _T_1371 & _T_1089; // @[Mux.scala 27:72] - wire _T_1498 = _T_1374 & _T_1092; // @[Mux.scala 27:72] - wire _T_1499 = _T_1377 & _T_1095; // @[Mux.scala 27:72] - wire _T_1500 = _T_1380 & _T_1098; // @[Mux.scala 27:72] - wire _T_1501 = _T_1383 & _T_1101; // @[Mux.scala 27:72] - wire _T_1502 = _T_1386 & _T_1106; // @[Mux.scala 27:72] - wire _T_1503 = _T_1391 & _T_1109; // @[Mux.scala 27:72] - wire _T_1504 = _T_1394 & _T_1112; // @[Mux.scala 27:72] - wire _T_1505 = _T_1397 & _T_1115; // @[Mux.scala 27:72] - wire _T_1506 = _T_1400 & io_ifu_pmu_fetch_stall; // @[Mux.scala 27:72] - wire _T_1508 = _T_1404 & io_dec_pmu_postsync_stall; // @[Mux.scala 27:72] - wire _T_1509 = _T_1406 & io_dec_pmu_presync_stall; // @[Mux.scala 27:72] - wire _T_1510 = _T_1408 & io_lsu_store_stall_any; // @[Mux.scala 27:72] - wire _T_1511 = _T_1410 & io_dma_dccm_stall_any; // @[Mux.scala 27:72] - wire _T_1512 = _T_1412 & io_dma_iccm_stall_any; // @[Mux.scala 27:72] - wire _T_1513 = _T_1414 & _T_1133; // @[Mux.scala 27:72] - wire _T_1514 = _T_1418 & _T_1137; // @[Mux.scala 27:72] - wire _T_1515 = _T_1422 & io_take_ext_int; // @[Mux.scala 27:72] - wire _T_1516 = _T_1424 & io_tlu_flush_lower_r; // @[Mux.scala 27:72] - wire _T_1517 = _T_1426 & _T_1145; // @[Mux.scala 27:72] - wire _T_1518 = _T_1430 & io_ifu_pmu_bus_trxn; // @[Mux.scala 27:72] - wire _T_1519 = _T_1432 & io_lsu_pmu_bus_trxn; // @[Mux.scala 27:72] - wire _T_1520 = _T_1434 & io_lsu_pmu_bus_misaligned; // @[Mux.scala 27:72] - wire _T_1521 = _T_1436 & io_ifu_pmu_bus_error; // @[Mux.scala 27:72] - wire _T_1522 = _T_1438 & io_lsu_pmu_bus_error; // @[Mux.scala 27:72] - wire _T_1523 = _T_1440 & io_ifu_pmu_bus_busy; // @[Mux.scala 27:72] - wire _T_1524 = _T_1442 & io_lsu_pmu_bus_busy; // @[Mux.scala 27:72] - wire _T_1525 = _T_1444 & _T_1164; // @[Mux.scala 27:72] - wire _T_1526 = _T_1449 & _T_1174; // @[Mux.scala 27:72] - wire _T_1527 = _T_1459 & _T_1177; // @[Mux.scala 27:72] - wire _T_1528 = _T_1462 & _T_1180; // @[Mux.scala 27:72] - wire _T_1529 = _T_1465 & _T_1183; // @[Mux.scala 27:72] - wire _T_1530 = _T_1468 & io_dec_tlu_pmu_fw_halted; // @[Mux.scala 27:72] - wire _T_1531 = _T_1470 & io_dma_pmu_any_read; // @[Mux.scala 27:72] - wire _T_1532 = _T_1472 & io_dma_pmu_any_write; // @[Mux.scala 27:72] - wire _T_1533 = _T_1474 & io_dma_pmu_dccm_read; // @[Mux.scala 27:72] - wire _T_1534 = _T_1476 & io_dma_pmu_dccm_write; // @[Mux.scala 27:72] - wire _T_1535 = _T_1311 | _T_1479; // @[Mux.scala 27:72] + wire _T_1300 = mhpme4 == 10'h1; // @[dec_tlu_ctl.scala 2256:34] + wire _T_1302 = mhpme4 == 10'h2; // @[dec_tlu_ctl.scala 2257:34] + wire _T_1304 = mhpme4 == 10'h3; // @[dec_tlu_ctl.scala 2258:34] + wire _T_1306 = mhpme4 == 10'h4; // @[dec_tlu_ctl.scala 2259:34] + wire _T_1310 = mhpme4 == 10'h5; // @[dec_tlu_ctl.scala 2260:34] + wire _T_1316 = mhpme4 == 10'h6; // @[dec_tlu_ctl.scala 2261:34] + wire _T_1321 = mhpme4 == 10'h7; // @[dec_tlu_ctl.scala 2262:34] + wire _T_1323 = mhpme4 == 10'h8; // @[dec_tlu_ctl.scala 2263:34] + wire _T_1325 = mhpme4 == 10'h1e; // @[dec_tlu_ctl.scala 2264:34] + wire _T_1327 = mhpme4 == 10'h9; // @[dec_tlu_ctl.scala 2265:34] + wire _T_1330 = mhpme4 == 10'ha; // @[dec_tlu_ctl.scala 2266:34] + wire _T_1333 = mhpme4 == 10'hb; // @[dec_tlu_ctl.scala 2267:34] + wire _T_1336 = mhpme4 == 10'hc; // @[dec_tlu_ctl.scala 2268:34] + wire _T_1339 = mhpme4 == 10'hd; // @[dec_tlu_ctl.scala 2269:34] + wire _T_1343 = mhpme4 == 10'he; // @[dec_tlu_ctl.scala 2270:34] + wire _T_1348 = mhpme4 == 10'hf; // @[dec_tlu_ctl.scala 2271:34] + wire _T_1351 = mhpme4 == 10'h10; // @[dec_tlu_ctl.scala 2272:34] + wire _T_1354 = mhpme4 == 10'h12; // @[dec_tlu_ctl.scala 2273:34] + wire _T_1357 = mhpme4 == 10'h11; // @[dec_tlu_ctl.scala 2274:34] + wire _T_1360 = mhpme4 == 10'h13; // @[dec_tlu_ctl.scala 2275:34] + wire _T_1363 = mhpme4 == 10'h14; // @[dec_tlu_ctl.scala 2276:34] + wire _T_1366 = mhpme4 == 10'h15; // @[dec_tlu_ctl.scala 2277:34] + wire _T_1369 = mhpme4 == 10'h16; // @[dec_tlu_ctl.scala 2278:34] + wire _T_1372 = mhpme4 == 10'h17; // @[dec_tlu_ctl.scala 2279:34] + wire _T_1375 = mhpme4 == 10'h18; // @[dec_tlu_ctl.scala 2280:34] + wire _T_1380 = mhpme4 == 10'h19; // @[dec_tlu_ctl.scala 2281:34] + wire _T_1383 = mhpme4 == 10'h1a; // @[dec_tlu_ctl.scala 2282:34] + wire _T_1386 = mhpme4 == 10'h1b; // @[dec_tlu_ctl.scala 2283:34] + wire _T_1389 = mhpme4 == 10'h1c; // @[dec_tlu_ctl.scala 2284:34] + wire _T_1393 = mhpme4 == 10'h1f; // @[dec_tlu_ctl.scala 2286:34] + wire _T_1395 = mhpme4 == 10'h20; // @[dec_tlu_ctl.scala 2287:34] + wire _T_1397 = mhpme4 == 10'h22; // @[dec_tlu_ctl.scala 2288:34] + wire _T_1399 = mhpme4 == 10'h23; // @[dec_tlu_ctl.scala 2289:34] + wire _T_1401 = mhpme4 == 10'h24; // @[dec_tlu_ctl.scala 2290:34] + wire _T_1403 = mhpme4 == 10'h25; // @[dec_tlu_ctl.scala 2291:34] + wire _T_1407 = mhpme4 == 10'h26; // @[dec_tlu_ctl.scala 2292:34] + wire _T_1411 = mhpme4 == 10'h27; // @[dec_tlu_ctl.scala 2293:34] + wire _T_1413 = mhpme4 == 10'h28; // @[dec_tlu_ctl.scala 2294:34] + wire _T_1415 = mhpme4 == 10'h29; // @[dec_tlu_ctl.scala 2295:34] + wire _T_1419 = mhpme4 == 10'h2a; // @[dec_tlu_ctl.scala 2296:34] + wire _T_1421 = mhpme4 == 10'h2b; // @[dec_tlu_ctl.scala 2297:34] + wire _T_1423 = mhpme4 == 10'h2c; // @[dec_tlu_ctl.scala 2298:34] + wire _T_1425 = mhpme4 == 10'h2d; // @[dec_tlu_ctl.scala 2299:34] + wire _T_1427 = mhpme4 == 10'h2e; // @[dec_tlu_ctl.scala 2300:34] + wire _T_1429 = mhpme4 == 10'h2f; // @[dec_tlu_ctl.scala 2301:34] + wire _T_1431 = mhpme4 == 10'h30; // @[dec_tlu_ctl.scala 2302:34] + wire _T_1433 = mhpme4 == 10'h31; // @[dec_tlu_ctl.scala 2303:34] + wire _T_1438 = mhpme4 == 10'h32; // @[dec_tlu_ctl.scala 2304:34] + wire _T_1448 = mhpme4 == 10'h36; // @[dec_tlu_ctl.scala 2305:34] + wire _T_1451 = mhpme4 == 10'h37; // @[dec_tlu_ctl.scala 2306:34] + wire _T_1454 = mhpme4 == 10'h38; // @[dec_tlu_ctl.scala 2307:34] + wire _T_1457 = mhpme4 == 10'h200; // @[dec_tlu_ctl.scala 2309:34] + wire _T_1459 = mhpme4 == 10'h201; // @[dec_tlu_ctl.scala 2310:34] + wire _T_1461 = mhpme4 == 10'h202; // @[dec_tlu_ctl.scala 2311:34] + wire _T_1463 = mhpme4 == 10'h203; // @[dec_tlu_ctl.scala 2312:34] + wire _T_1465 = mhpme4 == 10'h204; // @[dec_tlu_ctl.scala 2313:34] + wire _T_1468 = _T_1302 & io_ifu_pmu_ic_hit; // @[Mux.scala 27:72] + wire _T_1469 = _T_1304 & io_ifu_pmu_ic_miss; // @[Mux.scala 27:72] + wire _T_1470 = _T_1306 & _T_1025; // @[Mux.scala 27:72] + wire _T_1471 = _T_1310 & _T_1031; // @[Mux.scala 27:72] + wire _T_1472 = _T_1316 & _T_1036; // @[Mux.scala 27:72] + wire _T_1473 = _T_1321 & io_ifu_pmu_instr_aligned; // @[Mux.scala 27:72] + wire _T_1474 = _T_1323 & io_dec_pmu_instr_decoded; // @[Mux.scala 27:72] + wire _T_1475 = _T_1325 & io_dec_pmu_decode_stall; // @[Mux.scala 27:72] + wire _T_1476 = _T_1327 & _T_1045; // @[Mux.scala 27:72] + wire _T_1477 = _T_1330 & _T_1048; // @[Mux.scala 27:72] + wire _T_1478 = _T_1333 & _T_1051; // @[Mux.scala 27:72] + wire _T_1479 = _T_1336 & _T_1054; // @[Mux.scala 27:72] + wire _T_1480 = _T_1339 & _T_1058; // @[Mux.scala 27:72] + wire _T_1481 = _T_1343 & _T_1063; // @[Mux.scala 27:72] + wire _T_1482 = _T_1348 & _T_1066; // @[Mux.scala 27:72] + wire _T_1483 = _T_1351 & _T_1069; // @[Mux.scala 27:72] + wire _T_1484 = _T_1354 & _T_1072; // @[Mux.scala 27:72] + wire _T_1485 = _T_1357 & _T_1075; // @[Mux.scala 27:72] + wire _T_1486 = _T_1360 & _T_1078; // @[Mux.scala 27:72] + wire _T_1487 = _T_1363 & _T_1081; // @[Mux.scala 27:72] + wire _T_1488 = _T_1366 & _T_1084; // @[Mux.scala 27:72] + wire _T_1489 = _T_1369 & _T_1087; // @[Mux.scala 27:72] + wire _T_1490 = _T_1372 & _T_1090; // @[Mux.scala 27:72] + wire _T_1491 = _T_1375 & _T_1095; // @[Mux.scala 27:72] + wire _T_1492 = _T_1380 & _T_1098; // @[Mux.scala 27:72] + wire _T_1493 = _T_1383 & _T_1101; // @[Mux.scala 27:72] + wire _T_1494 = _T_1386 & _T_1104; // @[Mux.scala 27:72] + wire _T_1495 = _T_1389 & io_ifu_pmu_fetch_stall; // @[Mux.scala 27:72] + wire _T_1497 = _T_1393 & io_dec_pmu_postsync_stall; // @[Mux.scala 27:72] + wire _T_1498 = _T_1395 & io_dec_pmu_presync_stall; // @[Mux.scala 27:72] + wire _T_1499 = _T_1397 & io_lsu_store_stall_any; // @[Mux.scala 27:72] + wire _T_1500 = _T_1399 & io_dma_dccm_stall_any; // @[Mux.scala 27:72] + wire _T_1501 = _T_1401 & io_dma_iccm_stall_any; // @[Mux.scala 27:72] + wire _T_1502 = _T_1403 & _T_1122; // @[Mux.scala 27:72] + wire _T_1503 = _T_1407 & _T_1126; // @[Mux.scala 27:72] + wire _T_1504 = _T_1411 & io_take_ext_int; // @[Mux.scala 27:72] + wire _T_1505 = _T_1413 & io_tlu_flush_lower_r; // @[Mux.scala 27:72] + wire _T_1506 = _T_1415 & _T_1134; // @[Mux.scala 27:72] + wire _T_1507 = _T_1419 & io_ifu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_1508 = _T_1421 & io_lsu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_1509 = _T_1423 & io_lsu_pmu_bus_misaligned; // @[Mux.scala 27:72] + wire _T_1510 = _T_1425 & io_ifu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_1511 = _T_1427 & io_lsu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_1512 = _T_1429 & io_ifu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_1513 = _T_1431 & io_lsu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_1514 = _T_1433 & _T_1153; // @[Mux.scala 27:72] + wire _T_1515 = _T_1438 & _T_1163; // @[Mux.scala 27:72] + wire _T_1516 = _T_1448 & _T_1166; // @[Mux.scala 27:72] + wire _T_1517 = _T_1451 & _T_1169; // @[Mux.scala 27:72] + wire _T_1518 = _T_1454 & _T_1172; // @[Mux.scala 27:72] + wire _T_1519 = _T_1457 & io_dec_tlu_pmu_fw_halted; // @[Mux.scala 27:72] + wire _T_1520 = _T_1459 & io_dma_pmu_any_read; // @[Mux.scala 27:72] + wire _T_1521 = _T_1461 & io_dma_pmu_any_write; // @[Mux.scala 27:72] + wire _T_1522 = _T_1463 & io_dma_pmu_dccm_read; // @[Mux.scala 27:72] + wire _T_1523 = _T_1465 & io_dma_pmu_dccm_write; // @[Mux.scala 27:72] + wire _T_1524 = _T_1300 | _T_1468; // @[Mux.scala 27:72] + wire _T_1525 = _T_1524 | _T_1469; // @[Mux.scala 27:72] + wire _T_1526 = _T_1525 | _T_1470; // @[Mux.scala 27:72] + wire _T_1527 = _T_1526 | _T_1471; // @[Mux.scala 27:72] + wire _T_1528 = _T_1527 | _T_1472; // @[Mux.scala 27:72] + wire _T_1529 = _T_1528 | _T_1473; // @[Mux.scala 27:72] + wire _T_1530 = _T_1529 | _T_1474; // @[Mux.scala 27:72] + wire _T_1531 = _T_1530 | _T_1475; // @[Mux.scala 27:72] + wire _T_1532 = _T_1531 | _T_1476; // @[Mux.scala 27:72] + wire _T_1533 = _T_1532 | _T_1477; // @[Mux.scala 27:72] + wire _T_1534 = _T_1533 | _T_1478; // @[Mux.scala 27:72] + wire _T_1535 = _T_1534 | _T_1479; // @[Mux.scala 27:72] wire _T_1536 = _T_1535 | _T_1480; // @[Mux.scala 27:72] wire _T_1537 = _T_1536 | _T_1481; // @[Mux.scala 27:72] wire _T_1538 = _T_1537 | _T_1482; // @[Mux.scala 27:72] @@ -51744,7 +51785,7 @@ module csr_tlu( wire _T_1549 = _T_1548 | _T_1493; // @[Mux.scala 27:72] wire _T_1550 = _T_1549 | _T_1494; // @[Mux.scala 27:72] wire _T_1551 = _T_1550 | _T_1495; // @[Mux.scala 27:72] - wire _T_1552 = _T_1551 | _T_1496; // @[Mux.scala 27:72] + wire _T_1552 = _T_1551 | _T_1475; // @[Mux.scala 27:72] wire _T_1553 = _T_1552 | _T_1497; // @[Mux.scala 27:72] wire _T_1554 = _T_1553 | _T_1498; // @[Mux.scala 27:72] wire _T_1555 = _T_1554 | _T_1499; // @[Mux.scala 27:72] @@ -51755,7 +51796,7 @@ module csr_tlu( wire _T_1560 = _T_1559 | _T_1504; // @[Mux.scala 27:72] wire _T_1561 = _T_1560 | _T_1505; // @[Mux.scala 27:72] wire _T_1562 = _T_1561 | _T_1506; // @[Mux.scala 27:72] - wire _T_1563 = _T_1562 | _T_1486; // @[Mux.scala 27:72] + wire _T_1563 = _T_1562 | _T_1507; // @[Mux.scala 27:72] wire _T_1564 = _T_1563 | _T_1508; // @[Mux.scala 27:72] wire _T_1565 = _T_1564 | _T_1509; // @[Mux.scala 27:72] wire _T_1566 = _T_1565 | _T_1510; // @[Mux.scala 27:72] @@ -51772,132 +51813,132 @@ module csr_tlu( wire _T_1577 = _T_1576 | _T_1521; // @[Mux.scala 27:72] wire _T_1578 = _T_1577 | _T_1522; // @[Mux.scala 27:72] wire _T_1579 = _T_1578 | _T_1523; // @[Mux.scala 27:72] - wire _T_1580 = _T_1579 | _T_1524; // @[Mux.scala 27:72] - wire _T_1581 = _T_1580 | _T_1525; // @[Mux.scala 27:72] - wire _T_1582 = _T_1581 | _T_1526; // @[Mux.scala 27:72] - wire _T_1583 = _T_1582 | _T_1527; // @[Mux.scala 27:72] - wire _T_1584 = _T_1583 | _T_1528; // @[Mux.scala 27:72] - wire _T_1585 = _T_1584 | _T_1529; // @[Mux.scala 27:72] - wire _T_1586 = _T_1585 | _T_1530; // @[Mux.scala 27:72] - wire _T_1587 = _T_1586 | _T_1531; // @[Mux.scala 27:72] - wire _T_1588 = _T_1587 | _T_1532; // @[Mux.scala 27:72] - wire _T_1589 = _T_1588 | _T_1533; // @[Mux.scala 27:72] - wire _T_1590 = _T_1589 | _T_1534; // @[Mux.scala 27:72] - wire mhpmc_inc_r_1 = _T_1310 & _T_1590; // @[dec_tlu_ctl.scala 2273:44] - wire _T_1594 = ~mcountinhibit[5]; // @[dec_tlu_ctl.scala 2273:24] + wire mhpmc_inc_r_1 = _T_1299 & _T_1579; // @[dec_tlu_ctl.scala 2255:44] + wire _T_1583 = ~mcountinhibit[5]; // @[dec_tlu_ctl.scala 2255:24] reg [9:0] mhpme5; // @[Reg.scala 27:20] - wire _T_1595 = mhpme5 == 10'h1; // @[dec_tlu_ctl.scala 2274:34] - wire _T_1597 = mhpme5 == 10'h2; // @[dec_tlu_ctl.scala 2275:34] - wire _T_1599 = mhpme5 == 10'h3; // @[dec_tlu_ctl.scala 2276:34] - wire _T_1601 = mhpme5 == 10'h4; // @[dec_tlu_ctl.scala 2277:34] - wire _T_1605 = mhpme5 == 10'h5; // @[dec_tlu_ctl.scala 2278:34] - wire _T_1611 = mhpme5 == 10'h6; // @[dec_tlu_ctl.scala 2279:34] - wire _T_1616 = mhpme5 == 10'h7; // @[dec_tlu_ctl.scala 2280:34] - wire _T_1618 = mhpme5 == 10'h8; // @[dec_tlu_ctl.scala 2281:34] - wire _T_1620 = mhpme5 == 10'h1e; // @[dec_tlu_ctl.scala 2282:34] - wire _T_1622 = mhpme5 == 10'h9; // @[dec_tlu_ctl.scala 2283:34] - wire _T_1625 = mhpme5 == 10'ha; // @[dec_tlu_ctl.scala 2284:34] - wire _T_1628 = mhpme5 == 10'hb; // @[dec_tlu_ctl.scala 2285:34] - wire _T_1631 = mhpme5 == 10'hc; // @[dec_tlu_ctl.scala 2286:34] - wire _T_1634 = mhpme5 == 10'hd; // @[dec_tlu_ctl.scala 2287:34] - wire _T_1638 = mhpme5 == 10'he; // @[dec_tlu_ctl.scala 2288:34] - wire _T_1643 = mhpme5 == 10'hf; // @[dec_tlu_ctl.scala 2289:34] - wire _T_1646 = mhpme5 == 10'h10; // @[dec_tlu_ctl.scala 2290:34] - wire _T_1649 = mhpme5 == 10'h12; // @[dec_tlu_ctl.scala 2291:34] - wire _T_1652 = mhpme5 == 10'h11; // @[dec_tlu_ctl.scala 2292:34] - wire _T_1655 = mhpme5 == 10'h13; // @[dec_tlu_ctl.scala 2293:34] - wire _T_1658 = mhpme5 == 10'h14; // @[dec_tlu_ctl.scala 2294:34] - wire _T_1661 = mhpme5 == 10'h15; // @[dec_tlu_ctl.scala 2295:34] - wire _T_1664 = mhpme5 == 10'h16; // @[dec_tlu_ctl.scala 2296:34] - wire _T_1667 = mhpme5 == 10'h17; // @[dec_tlu_ctl.scala 2297:34] - wire _T_1670 = mhpme5 == 10'h18; // @[dec_tlu_ctl.scala 2298:34] - wire _T_1675 = mhpme5 == 10'h19; // @[dec_tlu_ctl.scala 2299:34] - wire _T_1678 = mhpme5 == 10'h1a; // @[dec_tlu_ctl.scala 2300:34] - wire _T_1681 = mhpme5 == 10'h1b; // @[dec_tlu_ctl.scala 2301:34] - wire _T_1684 = mhpme5 == 10'h1c; // @[dec_tlu_ctl.scala 2302:34] - wire _T_1688 = mhpme5 == 10'h1f; // @[dec_tlu_ctl.scala 2304:34] - wire _T_1690 = mhpme5 == 10'h20; // @[dec_tlu_ctl.scala 2305:34] - wire _T_1692 = mhpme5 == 10'h22; // @[dec_tlu_ctl.scala 2306:34] - wire _T_1694 = mhpme5 == 10'h23; // @[dec_tlu_ctl.scala 2307:34] - wire _T_1696 = mhpme5 == 10'h24; // @[dec_tlu_ctl.scala 2308:34] - wire _T_1698 = mhpme5 == 10'h25; // @[dec_tlu_ctl.scala 2309:34] - wire _T_1702 = mhpme5 == 10'h26; // @[dec_tlu_ctl.scala 2310:34] - wire _T_1706 = mhpme5 == 10'h27; // @[dec_tlu_ctl.scala 2311:34] - wire _T_1708 = mhpme5 == 10'h28; // @[dec_tlu_ctl.scala 2312:34] - wire _T_1710 = mhpme5 == 10'h29; // @[dec_tlu_ctl.scala 2313:34] - wire _T_1714 = mhpme5 == 10'h2a; // @[dec_tlu_ctl.scala 2314:34] - wire _T_1716 = mhpme5 == 10'h2b; // @[dec_tlu_ctl.scala 2315:34] - wire _T_1718 = mhpme5 == 10'h2c; // @[dec_tlu_ctl.scala 2316:34] - wire _T_1720 = mhpme5 == 10'h2d; // @[dec_tlu_ctl.scala 2317:34] - wire _T_1722 = mhpme5 == 10'h2e; // @[dec_tlu_ctl.scala 2318:34] - wire _T_1724 = mhpme5 == 10'h2f; // @[dec_tlu_ctl.scala 2319:34] - wire _T_1726 = mhpme5 == 10'h30; // @[dec_tlu_ctl.scala 2320:34] - wire _T_1728 = mhpme5 == 10'h31; // @[dec_tlu_ctl.scala 2321:34] - wire _T_1733 = mhpme5 == 10'h32; // @[dec_tlu_ctl.scala 2322:34] - wire _T_1743 = mhpme5 == 10'h36; // @[dec_tlu_ctl.scala 2323:34] - wire _T_1746 = mhpme5 == 10'h37; // @[dec_tlu_ctl.scala 2324:34] - wire _T_1749 = mhpme5 == 10'h38; // @[dec_tlu_ctl.scala 2325:34] - wire _T_1752 = mhpme5 == 10'h200; // @[dec_tlu_ctl.scala 2327:34] - wire _T_1754 = mhpme5 == 10'h201; // @[dec_tlu_ctl.scala 2328:34] - wire _T_1756 = mhpme5 == 10'h202; // @[dec_tlu_ctl.scala 2329:34] - wire _T_1758 = mhpme5 == 10'h203; // @[dec_tlu_ctl.scala 2330:34] - wire _T_1760 = mhpme5 == 10'h204; // @[dec_tlu_ctl.scala 2331:34] - wire _T_1763 = _T_1597 & io_ifu_pmu_ic_hit; // @[Mux.scala 27:72] - wire _T_1764 = _T_1599 & io_ifu_pmu_ic_miss; // @[Mux.scala 27:72] - wire _T_1765 = _T_1601 & _T_1036; // @[Mux.scala 27:72] - wire _T_1766 = _T_1605 & _T_1042; // @[Mux.scala 27:72] - wire _T_1767 = _T_1611 & _T_1047; // @[Mux.scala 27:72] - wire _T_1768 = _T_1616 & io_ifu_pmu_instr_aligned; // @[Mux.scala 27:72] - wire _T_1769 = _T_1618 & io_dec_pmu_instr_decoded; // @[Mux.scala 27:72] - wire _T_1770 = _T_1620 & io_dec_pmu_decode_stall; // @[Mux.scala 27:72] - wire _T_1771 = _T_1622 & _T_1056; // @[Mux.scala 27:72] - wire _T_1772 = _T_1625 & _T_1059; // @[Mux.scala 27:72] - wire _T_1773 = _T_1628 & _T_1062; // @[Mux.scala 27:72] - wire _T_1774 = _T_1631 & _T_1065; // @[Mux.scala 27:72] - wire _T_1775 = _T_1634 & _T_1069; // @[Mux.scala 27:72] - wire _T_1776 = _T_1638 & _T_1074; // @[Mux.scala 27:72] - wire _T_1777 = _T_1643 & _T_1077; // @[Mux.scala 27:72] - wire _T_1778 = _T_1646 & _T_1080; // @[Mux.scala 27:72] - wire _T_1779 = _T_1649 & _T_1083; // @[Mux.scala 27:72] - wire _T_1780 = _T_1652 & _T_1086; // @[Mux.scala 27:72] - wire _T_1781 = _T_1655 & _T_1089; // @[Mux.scala 27:72] - wire _T_1782 = _T_1658 & _T_1092; // @[Mux.scala 27:72] - wire _T_1783 = _T_1661 & _T_1095; // @[Mux.scala 27:72] - wire _T_1784 = _T_1664 & _T_1098; // @[Mux.scala 27:72] - wire _T_1785 = _T_1667 & _T_1101; // @[Mux.scala 27:72] - wire _T_1786 = _T_1670 & _T_1106; // @[Mux.scala 27:72] - wire _T_1787 = _T_1675 & _T_1109; // @[Mux.scala 27:72] - wire _T_1788 = _T_1678 & _T_1112; // @[Mux.scala 27:72] - wire _T_1789 = _T_1681 & _T_1115; // @[Mux.scala 27:72] - wire _T_1790 = _T_1684 & io_ifu_pmu_fetch_stall; // @[Mux.scala 27:72] - wire _T_1792 = _T_1688 & io_dec_pmu_postsync_stall; // @[Mux.scala 27:72] - wire _T_1793 = _T_1690 & io_dec_pmu_presync_stall; // @[Mux.scala 27:72] - wire _T_1794 = _T_1692 & io_lsu_store_stall_any; // @[Mux.scala 27:72] - wire _T_1795 = _T_1694 & io_dma_dccm_stall_any; // @[Mux.scala 27:72] - wire _T_1796 = _T_1696 & io_dma_iccm_stall_any; // @[Mux.scala 27:72] - wire _T_1797 = _T_1698 & _T_1133; // @[Mux.scala 27:72] - wire _T_1798 = _T_1702 & _T_1137; // @[Mux.scala 27:72] - wire _T_1799 = _T_1706 & io_take_ext_int; // @[Mux.scala 27:72] - wire _T_1800 = _T_1708 & io_tlu_flush_lower_r; // @[Mux.scala 27:72] - wire _T_1801 = _T_1710 & _T_1145; // @[Mux.scala 27:72] - wire _T_1802 = _T_1714 & io_ifu_pmu_bus_trxn; // @[Mux.scala 27:72] - wire _T_1803 = _T_1716 & io_lsu_pmu_bus_trxn; // @[Mux.scala 27:72] - wire _T_1804 = _T_1718 & io_lsu_pmu_bus_misaligned; // @[Mux.scala 27:72] - wire _T_1805 = _T_1720 & io_ifu_pmu_bus_error; // @[Mux.scala 27:72] - wire _T_1806 = _T_1722 & io_lsu_pmu_bus_error; // @[Mux.scala 27:72] - wire _T_1807 = _T_1724 & io_ifu_pmu_bus_busy; // @[Mux.scala 27:72] - wire _T_1808 = _T_1726 & io_lsu_pmu_bus_busy; // @[Mux.scala 27:72] - wire _T_1809 = _T_1728 & _T_1164; // @[Mux.scala 27:72] - wire _T_1810 = _T_1733 & _T_1174; // @[Mux.scala 27:72] - wire _T_1811 = _T_1743 & _T_1177; // @[Mux.scala 27:72] - wire _T_1812 = _T_1746 & _T_1180; // @[Mux.scala 27:72] - wire _T_1813 = _T_1749 & _T_1183; // @[Mux.scala 27:72] - wire _T_1814 = _T_1752 & io_dec_tlu_pmu_fw_halted; // @[Mux.scala 27:72] - wire _T_1815 = _T_1754 & io_dma_pmu_any_read; // @[Mux.scala 27:72] - wire _T_1816 = _T_1756 & io_dma_pmu_any_write; // @[Mux.scala 27:72] - wire _T_1817 = _T_1758 & io_dma_pmu_dccm_read; // @[Mux.scala 27:72] - wire _T_1818 = _T_1760 & io_dma_pmu_dccm_write; // @[Mux.scala 27:72] - wire _T_1819 = _T_1595 | _T_1763; // @[Mux.scala 27:72] + wire _T_1584 = mhpme5 == 10'h1; // @[dec_tlu_ctl.scala 2256:34] + wire _T_1586 = mhpme5 == 10'h2; // @[dec_tlu_ctl.scala 2257:34] + wire _T_1588 = mhpme5 == 10'h3; // @[dec_tlu_ctl.scala 2258:34] + wire _T_1590 = mhpme5 == 10'h4; // @[dec_tlu_ctl.scala 2259:34] + wire _T_1594 = mhpme5 == 10'h5; // @[dec_tlu_ctl.scala 2260:34] + wire _T_1600 = mhpme5 == 10'h6; // @[dec_tlu_ctl.scala 2261:34] + wire _T_1605 = mhpme5 == 10'h7; // @[dec_tlu_ctl.scala 2262:34] + wire _T_1607 = mhpme5 == 10'h8; // @[dec_tlu_ctl.scala 2263:34] + wire _T_1609 = mhpme5 == 10'h1e; // @[dec_tlu_ctl.scala 2264:34] + wire _T_1611 = mhpme5 == 10'h9; // @[dec_tlu_ctl.scala 2265:34] + wire _T_1614 = mhpme5 == 10'ha; // @[dec_tlu_ctl.scala 2266:34] + wire _T_1617 = mhpme5 == 10'hb; // @[dec_tlu_ctl.scala 2267:34] + wire _T_1620 = mhpme5 == 10'hc; // @[dec_tlu_ctl.scala 2268:34] + wire _T_1623 = mhpme5 == 10'hd; // @[dec_tlu_ctl.scala 2269:34] + wire _T_1627 = mhpme5 == 10'he; // @[dec_tlu_ctl.scala 2270:34] + wire _T_1632 = mhpme5 == 10'hf; // @[dec_tlu_ctl.scala 2271:34] + wire _T_1635 = mhpme5 == 10'h10; // @[dec_tlu_ctl.scala 2272:34] + wire _T_1638 = mhpme5 == 10'h12; // @[dec_tlu_ctl.scala 2273:34] + wire _T_1641 = mhpme5 == 10'h11; // @[dec_tlu_ctl.scala 2274:34] + wire _T_1644 = mhpme5 == 10'h13; // @[dec_tlu_ctl.scala 2275:34] + wire _T_1647 = mhpme5 == 10'h14; // @[dec_tlu_ctl.scala 2276:34] + wire _T_1650 = mhpme5 == 10'h15; // @[dec_tlu_ctl.scala 2277:34] + wire _T_1653 = mhpme5 == 10'h16; // @[dec_tlu_ctl.scala 2278:34] + wire _T_1656 = mhpme5 == 10'h17; // @[dec_tlu_ctl.scala 2279:34] + wire _T_1659 = mhpme5 == 10'h18; // @[dec_tlu_ctl.scala 2280:34] + wire _T_1664 = mhpme5 == 10'h19; // @[dec_tlu_ctl.scala 2281:34] + wire _T_1667 = mhpme5 == 10'h1a; // @[dec_tlu_ctl.scala 2282:34] + wire _T_1670 = mhpme5 == 10'h1b; // @[dec_tlu_ctl.scala 2283:34] + wire _T_1673 = mhpme5 == 10'h1c; // @[dec_tlu_ctl.scala 2284:34] + wire _T_1677 = mhpme5 == 10'h1f; // @[dec_tlu_ctl.scala 2286:34] + wire _T_1679 = mhpme5 == 10'h20; // @[dec_tlu_ctl.scala 2287:34] + wire _T_1681 = mhpme5 == 10'h22; // @[dec_tlu_ctl.scala 2288:34] + wire _T_1683 = mhpme5 == 10'h23; // @[dec_tlu_ctl.scala 2289:34] + wire _T_1685 = mhpme5 == 10'h24; // @[dec_tlu_ctl.scala 2290:34] + wire _T_1687 = mhpme5 == 10'h25; // @[dec_tlu_ctl.scala 2291:34] + wire _T_1691 = mhpme5 == 10'h26; // @[dec_tlu_ctl.scala 2292:34] + wire _T_1695 = mhpme5 == 10'h27; // @[dec_tlu_ctl.scala 2293:34] + wire _T_1697 = mhpme5 == 10'h28; // @[dec_tlu_ctl.scala 2294:34] + wire _T_1699 = mhpme5 == 10'h29; // @[dec_tlu_ctl.scala 2295:34] + wire _T_1703 = mhpme5 == 10'h2a; // @[dec_tlu_ctl.scala 2296:34] + wire _T_1705 = mhpme5 == 10'h2b; // @[dec_tlu_ctl.scala 2297:34] + wire _T_1707 = mhpme5 == 10'h2c; // @[dec_tlu_ctl.scala 2298:34] + wire _T_1709 = mhpme5 == 10'h2d; // @[dec_tlu_ctl.scala 2299:34] + wire _T_1711 = mhpme5 == 10'h2e; // @[dec_tlu_ctl.scala 2300:34] + wire _T_1713 = mhpme5 == 10'h2f; // @[dec_tlu_ctl.scala 2301:34] + wire _T_1715 = mhpme5 == 10'h30; // @[dec_tlu_ctl.scala 2302:34] + wire _T_1717 = mhpme5 == 10'h31; // @[dec_tlu_ctl.scala 2303:34] + wire _T_1722 = mhpme5 == 10'h32; // @[dec_tlu_ctl.scala 2304:34] + wire _T_1732 = mhpme5 == 10'h36; // @[dec_tlu_ctl.scala 2305:34] + wire _T_1735 = mhpme5 == 10'h37; // @[dec_tlu_ctl.scala 2306:34] + wire _T_1738 = mhpme5 == 10'h38; // @[dec_tlu_ctl.scala 2307:34] + wire _T_1741 = mhpme5 == 10'h200; // @[dec_tlu_ctl.scala 2309:34] + wire _T_1743 = mhpme5 == 10'h201; // @[dec_tlu_ctl.scala 2310:34] + wire _T_1745 = mhpme5 == 10'h202; // @[dec_tlu_ctl.scala 2311:34] + wire _T_1747 = mhpme5 == 10'h203; // @[dec_tlu_ctl.scala 2312:34] + wire _T_1749 = mhpme5 == 10'h204; // @[dec_tlu_ctl.scala 2313:34] + wire _T_1752 = _T_1586 & io_ifu_pmu_ic_hit; // @[Mux.scala 27:72] + wire _T_1753 = _T_1588 & io_ifu_pmu_ic_miss; // @[Mux.scala 27:72] + wire _T_1754 = _T_1590 & _T_1025; // @[Mux.scala 27:72] + wire _T_1755 = _T_1594 & _T_1031; // @[Mux.scala 27:72] + wire _T_1756 = _T_1600 & _T_1036; // @[Mux.scala 27:72] + wire _T_1757 = _T_1605 & io_ifu_pmu_instr_aligned; // @[Mux.scala 27:72] + wire _T_1758 = _T_1607 & io_dec_pmu_instr_decoded; // @[Mux.scala 27:72] + wire _T_1759 = _T_1609 & io_dec_pmu_decode_stall; // @[Mux.scala 27:72] + wire _T_1760 = _T_1611 & _T_1045; // @[Mux.scala 27:72] + wire _T_1761 = _T_1614 & _T_1048; // @[Mux.scala 27:72] + wire _T_1762 = _T_1617 & _T_1051; // @[Mux.scala 27:72] + wire _T_1763 = _T_1620 & _T_1054; // @[Mux.scala 27:72] + wire _T_1764 = _T_1623 & _T_1058; // @[Mux.scala 27:72] + wire _T_1765 = _T_1627 & _T_1063; // @[Mux.scala 27:72] + wire _T_1766 = _T_1632 & _T_1066; // @[Mux.scala 27:72] + wire _T_1767 = _T_1635 & _T_1069; // @[Mux.scala 27:72] + wire _T_1768 = _T_1638 & _T_1072; // @[Mux.scala 27:72] + wire _T_1769 = _T_1641 & _T_1075; // @[Mux.scala 27:72] + wire _T_1770 = _T_1644 & _T_1078; // @[Mux.scala 27:72] + wire _T_1771 = _T_1647 & _T_1081; // @[Mux.scala 27:72] + wire _T_1772 = _T_1650 & _T_1084; // @[Mux.scala 27:72] + wire _T_1773 = _T_1653 & _T_1087; // @[Mux.scala 27:72] + wire _T_1774 = _T_1656 & _T_1090; // @[Mux.scala 27:72] + wire _T_1775 = _T_1659 & _T_1095; // @[Mux.scala 27:72] + wire _T_1776 = _T_1664 & _T_1098; // @[Mux.scala 27:72] + wire _T_1777 = _T_1667 & _T_1101; // @[Mux.scala 27:72] + wire _T_1778 = _T_1670 & _T_1104; // @[Mux.scala 27:72] + wire _T_1779 = _T_1673 & io_ifu_pmu_fetch_stall; // @[Mux.scala 27:72] + wire _T_1781 = _T_1677 & io_dec_pmu_postsync_stall; // @[Mux.scala 27:72] + wire _T_1782 = _T_1679 & io_dec_pmu_presync_stall; // @[Mux.scala 27:72] + wire _T_1783 = _T_1681 & io_lsu_store_stall_any; // @[Mux.scala 27:72] + wire _T_1784 = _T_1683 & io_dma_dccm_stall_any; // @[Mux.scala 27:72] + wire _T_1785 = _T_1685 & io_dma_iccm_stall_any; // @[Mux.scala 27:72] + wire _T_1786 = _T_1687 & _T_1122; // @[Mux.scala 27:72] + wire _T_1787 = _T_1691 & _T_1126; // @[Mux.scala 27:72] + wire _T_1788 = _T_1695 & io_take_ext_int; // @[Mux.scala 27:72] + wire _T_1789 = _T_1697 & io_tlu_flush_lower_r; // @[Mux.scala 27:72] + wire _T_1790 = _T_1699 & _T_1134; // @[Mux.scala 27:72] + wire _T_1791 = _T_1703 & io_ifu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_1792 = _T_1705 & io_lsu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_1793 = _T_1707 & io_lsu_pmu_bus_misaligned; // @[Mux.scala 27:72] + wire _T_1794 = _T_1709 & io_ifu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_1795 = _T_1711 & io_lsu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_1796 = _T_1713 & io_ifu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_1797 = _T_1715 & io_lsu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_1798 = _T_1717 & _T_1153; // @[Mux.scala 27:72] + wire _T_1799 = _T_1722 & _T_1163; // @[Mux.scala 27:72] + wire _T_1800 = _T_1732 & _T_1166; // @[Mux.scala 27:72] + wire _T_1801 = _T_1735 & _T_1169; // @[Mux.scala 27:72] + wire _T_1802 = _T_1738 & _T_1172; // @[Mux.scala 27:72] + wire _T_1803 = _T_1741 & io_dec_tlu_pmu_fw_halted; // @[Mux.scala 27:72] + wire _T_1804 = _T_1743 & io_dma_pmu_any_read; // @[Mux.scala 27:72] + wire _T_1805 = _T_1745 & io_dma_pmu_any_write; // @[Mux.scala 27:72] + wire _T_1806 = _T_1747 & io_dma_pmu_dccm_read; // @[Mux.scala 27:72] + wire _T_1807 = _T_1749 & io_dma_pmu_dccm_write; // @[Mux.scala 27:72] + wire _T_1808 = _T_1584 | _T_1752; // @[Mux.scala 27:72] + wire _T_1809 = _T_1808 | _T_1753; // @[Mux.scala 27:72] + wire _T_1810 = _T_1809 | _T_1754; // @[Mux.scala 27:72] + wire _T_1811 = _T_1810 | _T_1755; // @[Mux.scala 27:72] + wire _T_1812 = _T_1811 | _T_1756; // @[Mux.scala 27:72] + wire _T_1813 = _T_1812 | _T_1757; // @[Mux.scala 27:72] + wire _T_1814 = _T_1813 | _T_1758; // @[Mux.scala 27:72] + wire _T_1815 = _T_1814 | _T_1759; // @[Mux.scala 27:72] + wire _T_1816 = _T_1815 | _T_1760; // @[Mux.scala 27:72] + wire _T_1817 = _T_1816 | _T_1761; // @[Mux.scala 27:72] + wire _T_1818 = _T_1817 | _T_1762; // @[Mux.scala 27:72] + wire _T_1819 = _T_1818 | _T_1763; // @[Mux.scala 27:72] wire _T_1820 = _T_1819 | _T_1764; // @[Mux.scala 27:72] wire _T_1821 = _T_1820 | _T_1765; // @[Mux.scala 27:72] wire _T_1822 = _T_1821 | _T_1766; // @[Mux.scala 27:72] @@ -51914,7 +51955,7 @@ module csr_tlu( wire _T_1833 = _T_1832 | _T_1777; // @[Mux.scala 27:72] wire _T_1834 = _T_1833 | _T_1778; // @[Mux.scala 27:72] wire _T_1835 = _T_1834 | _T_1779; // @[Mux.scala 27:72] - wire _T_1836 = _T_1835 | _T_1780; // @[Mux.scala 27:72] + wire _T_1836 = _T_1835 | _T_1759; // @[Mux.scala 27:72] wire _T_1837 = _T_1836 | _T_1781; // @[Mux.scala 27:72] wire _T_1838 = _T_1837 | _T_1782; // @[Mux.scala 27:72] wire _T_1839 = _T_1838 | _T_1783; // @[Mux.scala 27:72] @@ -51925,7 +51966,7 @@ module csr_tlu( wire _T_1844 = _T_1843 | _T_1788; // @[Mux.scala 27:72] wire _T_1845 = _T_1844 | _T_1789; // @[Mux.scala 27:72] wire _T_1846 = _T_1845 | _T_1790; // @[Mux.scala 27:72] - wire _T_1847 = _T_1846 | _T_1770; // @[Mux.scala 27:72] + wire _T_1847 = _T_1846 | _T_1791; // @[Mux.scala 27:72] wire _T_1848 = _T_1847 | _T_1792; // @[Mux.scala 27:72] wire _T_1849 = _T_1848 | _T_1793; // @[Mux.scala 27:72] wire _T_1850 = _T_1849 | _T_1794; // @[Mux.scala 27:72] @@ -51942,132 +51983,132 @@ module csr_tlu( wire _T_1861 = _T_1860 | _T_1805; // @[Mux.scala 27:72] wire _T_1862 = _T_1861 | _T_1806; // @[Mux.scala 27:72] wire _T_1863 = _T_1862 | _T_1807; // @[Mux.scala 27:72] - wire _T_1864 = _T_1863 | _T_1808; // @[Mux.scala 27:72] - wire _T_1865 = _T_1864 | _T_1809; // @[Mux.scala 27:72] - wire _T_1866 = _T_1865 | _T_1810; // @[Mux.scala 27:72] - wire _T_1867 = _T_1866 | _T_1811; // @[Mux.scala 27:72] - wire _T_1868 = _T_1867 | _T_1812; // @[Mux.scala 27:72] - wire _T_1869 = _T_1868 | _T_1813; // @[Mux.scala 27:72] - wire _T_1870 = _T_1869 | _T_1814; // @[Mux.scala 27:72] - wire _T_1871 = _T_1870 | _T_1815; // @[Mux.scala 27:72] - wire _T_1872 = _T_1871 | _T_1816; // @[Mux.scala 27:72] - wire _T_1873 = _T_1872 | _T_1817; // @[Mux.scala 27:72] - wire _T_1874 = _T_1873 | _T_1818; // @[Mux.scala 27:72] - wire mhpmc_inc_r_2 = _T_1594 & _T_1874; // @[dec_tlu_ctl.scala 2273:44] - wire _T_1878 = ~mcountinhibit[6]; // @[dec_tlu_ctl.scala 2273:24] + wire mhpmc_inc_r_2 = _T_1583 & _T_1863; // @[dec_tlu_ctl.scala 2255:44] + wire _T_1867 = ~mcountinhibit[6]; // @[dec_tlu_ctl.scala 2255:24] reg [9:0] mhpme6; // @[Reg.scala 27:20] - wire _T_1879 = mhpme6 == 10'h1; // @[dec_tlu_ctl.scala 2274:34] - wire _T_1881 = mhpme6 == 10'h2; // @[dec_tlu_ctl.scala 2275:34] - wire _T_1883 = mhpme6 == 10'h3; // @[dec_tlu_ctl.scala 2276:34] - wire _T_1885 = mhpme6 == 10'h4; // @[dec_tlu_ctl.scala 2277:34] - wire _T_1889 = mhpme6 == 10'h5; // @[dec_tlu_ctl.scala 2278:34] - wire _T_1895 = mhpme6 == 10'h6; // @[dec_tlu_ctl.scala 2279:34] - wire _T_1900 = mhpme6 == 10'h7; // @[dec_tlu_ctl.scala 2280:34] - wire _T_1902 = mhpme6 == 10'h8; // @[dec_tlu_ctl.scala 2281:34] - wire _T_1904 = mhpme6 == 10'h1e; // @[dec_tlu_ctl.scala 2282:34] - wire _T_1906 = mhpme6 == 10'h9; // @[dec_tlu_ctl.scala 2283:34] - wire _T_1909 = mhpme6 == 10'ha; // @[dec_tlu_ctl.scala 2284:34] - wire _T_1912 = mhpme6 == 10'hb; // @[dec_tlu_ctl.scala 2285:34] - wire _T_1915 = mhpme6 == 10'hc; // @[dec_tlu_ctl.scala 2286:34] - wire _T_1918 = mhpme6 == 10'hd; // @[dec_tlu_ctl.scala 2287:34] - wire _T_1922 = mhpme6 == 10'he; // @[dec_tlu_ctl.scala 2288:34] - wire _T_1927 = mhpme6 == 10'hf; // @[dec_tlu_ctl.scala 2289:34] - wire _T_1930 = mhpme6 == 10'h10; // @[dec_tlu_ctl.scala 2290:34] - wire _T_1933 = mhpme6 == 10'h12; // @[dec_tlu_ctl.scala 2291:34] - wire _T_1936 = mhpme6 == 10'h11; // @[dec_tlu_ctl.scala 2292:34] - wire _T_1939 = mhpme6 == 10'h13; // @[dec_tlu_ctl.scala 2293:34] - wire _T_1942 = mhpme6 == 10'h14; // @[dec_tlu_ctl.scala 2294:34] - wire _T_1945 = mhpme6 == 10'h15; // @[dec_tlu_ctl.scala 2295:34] - wire _T_1948 = mhpme6 == 10'h16; // @[dec_tlu_ctl.scala 2296:34] - wire _T_1951 = mhpme6 == 10'h17; // @[dec_tlu_ctl.scala 2297:34] - wire _T_1954 = mhpme6 == 10'h18; // @[dec_tlu_ctl.scala 2298:34] - wire _T_1959 = mhpme6 == 10'h19; // @[dec_tlu_ctl.scala 2299:34] - wire _T_1962 = mhpme6 == 10'h1a; // @[dec_tlu_ctl.scala 2300:34] - wire _T_1965 = mhpme6 == 10'h1b; // @[dec_tlu_ctl.scala 2301:34] - wire _T_1968 = mhpme6 == 10'h1c; // @[dec_tlu_ctl.scala 2302:34] - wire _T_1972 = mhpme6 == 10'h1f; // @[dec_tlu_ctl.scala 2304:34] - wire _T_1974 = mhpme6 == 10'h20; // @[dec_tlu_ctl.scala 2305:34] - wire _T_1976 = mhpme6 == 10'h22; // @[dec_tlu_ctl.scala 2306:34] - wire _T_1978 = mhpme6 == 10'h23; // @[dec_tlu_ctl.scala 2307:34] - wire _T_1980 = mhpme6 == 10'h24; // @[dec_tlu_ctl.scala 2308:34] - wire _T_1982 = mhpme6 == 10'h25; // @[dec_tlu_ctl.scala 2309:34] - wire _T_1986 = mhpme6 == 10'h26; // @[dec_tlu_ctl.scala 2310:34] - wire _T_1990 = mhpme6 == 10'h27; // @[dec_tlu_ctl.scala 2311:34] - wire _T_1992 = mhpme6 == 10'h28; // @[dec_tlu_ctl.scala 2312:34] - wire _T_1994 = mhpme6 == 10'h29; // @[dec_tlu_ctl.scala 2313:34] - wire _T_1998 = mhpme6 == 10'h2a; // @[dec_tlu_ctl.scala 2314:34] - wire _T_2000 = mhpme6 == 10'h2b; // @[dec_tlu_ctl.scala 2315:34] - wire _T_2002 = mhpme6 == 10'h2c; // @[dec_tlu_ctl.scala 2316:34] - wire _T_2004 = mhpme6 == 10'h2d; // @[dec_tlu_ctl.scala 2317:34] - wire _T_2006 = mhpme6 == 10'h2e; // @[dec_tlu_ctl.scala 2318:34] - wire _T_2008 = mhpme6 == 10'h2f; // @[dec_tlu_ctl.scala 2319:34] - wire _T_2010 = mhpme6 == 10'h30; // @[dec_tlu_ctl.scala 2320:34] - wire _T_2012 = mhpme6 == 10'h31; // @[dec_tlu_ctl.scala 2321:34] - wire _T_2017 = mhpme6 == 10'h32; // @[dec_tlu_ctl.scala 2322:34] - wire _T_2027 = mhpme6 == 10'h36; // @[dec_tlu_ctl.scala 2323:34] - wire _T_2030 = mhpme6 == 10'h37; // @[dec_tlu_ctl.scala 2324:34] - wire _T_2033 = mhpme6 == 10'h38; // @[dec_tlu_ctl.scala 2325:34] - wire _T_2036 = mhpme6 == 10'h200; // @[dec_tlu_ctl.scala 2327:34] - wire _T_2038 = mhpme6 == 10'h201; // @[dec_tlu_ctl.scala 2328:34] - wire _T_2040 = mhpme6 == 10'h202; // @[dec_tlu_ctl.scala 2329:34] - wire _T_2042 = mhpme6 == 10'h203; // @[dec_tlu_ctl.scala 2330:34] - wire _T_2044 = mhpme6 == 10'h204; // @[dec_tlu_ctl.scala 2331:34] - wire _T_2047 = _T_1881 & io_ifu_pmu_ic_hit; // @[Mux.scala 27:72] - wire _T_2048 = _T_1883 & io_ifu_pmu_ic_miss; // @[Mux.scala 27:72] - wire _T_2049 = _T_1885 & _T_1036; // @[Mux.scala 27:72] - wire _T_2050 = _T_1889 & _T_1042; // @[Mux.scala 27:72] - wire _T_2051 = _T_1895 & _T_1047; // @[Mux.scala 27:72] - wire _T_2052 = _T_1900 & io_ifu_pmu_instr_aligned; // @[Mux.scala 27:72] - wire _T_2053 = _T_1902 & io_dec_pmu_instr_decoded; // @[Mux.scala 27:72] - wire _T_2054 = _T_1904 & io_dec_pmu_decode_stall; // @[Mux.scala 27:72] - wire _T_2055 = _T_1906 & _T_1056; // @[Mux.scala 27:72] - wire _T_2056 = _T_1909 & _T_1059; // @[Mux.scala 27:72] - wire _T_2057 = _T_1912 & _T_1062; // @[Mux.scala 27:72] - wire _T_2058 = _T_1915 & _T_1065; // @[Mux.scala 27:72] - wire _T_2059 = _T_1918 & _T_1069; // @[Mux.scala 27:72] - wire _T_2060 = _T_1922 & _T_1074; // @[Mux.scala 27:72] - wire _T_2061 = _T_1927 & _T_1077; // @[Mux.scala 27:72] - wire _T_2062 = _T_1930 & _T_1080; // @[Mux.scala 27:72] - wire _T_2063 = _T_1933 & _T_1083; // @[Mux.scala 27:72] - wire _T_2064 = _T_1936 & _T_1086; // @[Mux.scala 27:72] - wire _T_2065 = _T_1939 & _T_1089; // @[Mux.scala 27:72] - wire _T_2066 = _T_1942 & _T_1092; // @[Mux.scala 27:72] - wire _T_2067 = _T_1945 & _T_1095; // @[Mux.scala 27:72] - wire _T_2068 = _T_1948 & _T_1098; // @[Mux.scala 27:72] - wire _T_2069 = _T_1951 & _T_1101; // @[Mux.scala 27:72] - wire _T_2070 = _T_1954 & _T_1106; // @[Mux.scala 27:72] - wire _T_2071 = _T_1959 & _T_1109; // @[Mux.scala 27:72] - wire _T_2072 = _T_1962 & _T_1112; // @[Mux.scala 27:72] - wire _T_2073 = _T_1965 & _T_1115; // @[Mux.scala 27:72] - wire _T_2074 = _T_1968 & io_ifu_pmu_fetch_stall; // @[Mux.scala 27:72] - wire _T_2076 = _T_1972 & io_dec_pmu_postsync_stall; // @[Mux.scala 27:72] - wire _T_2077 = _T_1974 & io_dec_pmu_presync_stall; // @[Mux.scala 27:72] - wire _T_2078 = _T_1976 & io_lsu_store_stall_any; // @[Mux.scala 27:72] - wire _T_2079 = _T_1978 & io_dma_dccm_stall_any; // @[Mux.scala 27:72] - wire _T_2080 = _T_1980 & io_dma_iccm_stall_any; // @[Mux.scala 27:72] - wire _T_2081 = _T_1982 & _T_1133; // @[Mux.scala 27:72] - wire _T_2082 = _T_1986 & _T_1137; // @[Mux.scala 27:72] - wire _T_2083 = _T_1990 & io_take_ext_int; // @[Mux.scala 27:72] - wire _T_2084 = _T_1992 & io_tlu_flush_lower_r; // @[Mux.scala 27:72] - wire _T_2085 = _T_1994 & _T_1145; // @[Mux.scala 27:72] - wire _T_2086 = _T_1998 & io_ifu_pmu_bus_trxn; // @[Mux.scala 27:72] - wire _T_2087 = _T_2000 & io_lsu_pmu_bus_trxn; // @[Mux.scala 27:72] - wire _T_2088 = _T_2002 & io_lsu_pmu_bus_misaligned; // @[Mux.scala 27:72] - wire _T_2089 = _T_2004 & io_ifu_pmu_bus_error; // @[Mux.scala 27:72] - wire _T_2090 = _T_2006 & io_lsu_pmu_bus_error; // @[Mux.scala 27:72] - wire _T_2091 = _T_2008 & io_ifu_pmu_bus_busy; // @[Mux.scala 27:72] - wire _T_2092 = _T_2010 & io_lsu_pmu_bus_busy; // @[Mux.scala 27:72] - wire _T_2093 = _T_2012 & _T_1164; // @[Mux.scala 27:72] - wire _T_2094 = _T_2017 & _T_1174; // @[Mux.scala 27:72] - wire _T_2095 = _T_2027 & _T_1177; // @[Mux.scala 27:72] - wire _T_2096 = _T_2030 & _T_1180; // @[Mux.scala 27:72] - wire _T_2097 = _T_2033 & _T_1183; // @[Mux.scala 27:72] - wire _T_2098 = _T_2036 & io_dec_tlu_pmu_fw_halted; // @[Mux.scala 27:72] - wire _T_2099 = _T_2038 & io_dma_pmu_any_read; // @[Mux.scala 27:72] - wire _T_2100 = _T_2040 & io_dma_pmu_any_write; // @[Mux.scala 27:72] - wire _T_2101 = _T_2042 & io_dma_pmu_dccm_read; // @[Mux.scala 27:72] - wire _T_2102 = _T_2044 & io_dma_pmu_dccm_write; // @[Mux.scala 27:72] - wire _T_2103 = _T_1879 | _T_2047; // @[Mux.scala 27:72] + wire _T_1868 = mhpme6 == 10'h1; // @[dec_tlu_ctl.scala 2256:34] + wire _T_1870 = mhpme6 == 10'h2; // @[dec_tlu_ctl.scala 2257:34] + wire _T_1872 = mhpme6 == 10'h3; // @[dec_tlu_ctl.scala 2258:34] + wire _T_1874 = mhpme6 == 10'h4; // @[dec_tlu_ctl.scala 2259:34] + wire _T_1878 = mhpme6 == 10'h5; // @[dec_tlu_ctl.scala 2260:34] + wire _T_1884 = mhpme6 == 10'h6; // @[dec_tlu_ctl.scala 2261:34] + wire _T_1889 = mhpme6 == 10'h7; // @[dec_tlu_ctl.scala 2262:34] + wire _T_1891 = mhpme6 == 10'h8; // @[dec_tlu_ctl.scala 2263:34] + wire _T_1893 = mhpme6 == 10'h1e; // @[dec_tlu_ctl.scala 2264:34] + wire _T_1895 = mhpme6 == 10'h9; // @[dec_tlu_ctl.scala 2265:34] + wire _T_1898 = mhpme6 == 10'ha; // @[dec_tlu_ctl.scala 2266:34] + wire _T_1901 = mhpme6 == 10'hb; // @[dec_tlu_ctl.scala 2267:34] + wire _T_1904 = mhpme6 == 10'hc; // @[dec_tlu_ctl.scala 2268:34] + wire _T_1907 = mhpme6 == 10'hd; // @[dec_tlu_ctl.scala 2269:34] + wire _T_1911 = mhpme6 == 10'he; // @[dec_tlu_ctl.scala 2270:34] + wire _T_1916 = mhpme6 == 10'hf; // @[dec_tlu_ctl.scala 2271:34] + wire _T_1919 = mhpme6 == 10'h10; // @[dec_tlu_ctl.scala 2272:34] + wire _T_1922 = mhpme6 == 10'h12; // @[dec_tlu_ctl.scala 2273:34] + wire _T_1925 = mhpme6 == 10'h11; // @[dec_tlu_ctl.scala 2274:34] + wire _T_1928 = mhpme6 == 10'h13; // @[dec_tlu_ctl.scala 2275:34] + wire _T_1931 = mhpme6 == 10'h14; // @[dec_tlu_ctl.scala 2276:34] + wire _T_1934 = mhpme6 == 10'h15; // @[dec_tlu_ctl.scala 2277:34] + wire _T_1937 = mhpme6 == 10'h16; // @[dec_tlu_ctl.scala 2278:34] + wire _T_1940 = mhpme6 == 10'h17; // @[dec_tlu_ctl.scala 2279:34] + wire _T_1943 = mhpme6 == 10'h18; // @[dec_tlu_ctl.scala 2280:34] + wire _T_1948 = mhpme6 == 10'h19; // @[dec_tlu_ctl.scala 2281:34] + wire _T_1951 = mhpme6 == 10'h1a; // @[dec_tlu_ctl.scala 2282:34] + wire _T_1954 = mhpme6 == 10'h1b; // @[dec_tlu_ctl.scala 2283:34] + wire _T_1957 = mhpme6 == 10'h1c; // @[dec_tlu_ctl.scala 2284:34] + wire _T_1961 = mhpme6 == 10'h1f; // @[dec_tlu_ctl.scala 2286:34] + wire _T_1963 = mhpme6 == 10'h20; // @[dec_tlu_ctl.scala 2287:34] + wire _T_1965 = mhpme6 == 10'h22; // @[dec_tlu_ctl.scala 2288:34] + wire _T_1967 = mhpme6 == 10'h23; // @[dec_tlu_ctl.scala 2289:34] + wire _T_1969 = mhpme6 == 10'h24; // @[dec_tlu_ctl.scala 2290:34] + wire _T_1971 = mhpme6 == 10'h25; // @[dec_tlu_ctl.scala 2291:34] + wire _T_1975 = mhpme6 == 10'h26; // @[dec_tlu_ctl.scala 2292:34] + wire _T_1979 = mhpme6 == 10'h27; // @[dec_tlu_ctl.scala 2293:34] + wire _T_1981 = mhpme6 == 10'h28; // @[dec_tlu_ctl.scala 2294:34] + wire _T_1983 = mhpme6 == 10'h29; // @[dec_tlu_ctl.scala 2295:34] + wire _T_1987 = mhpme6 == 10'h2a; // @[dec_tlu_ctl.scala 2296:34] + wire _T_1989 = mhpme6 == 10'h2b; // @[dec_tlu_ctl.scala 2297:34] + wire _T_1991 = mhpme6 == 10'h2c; // @[dec_tlu_ctl.scala 2298:34] + wire _T_1993 = mhpme6 == 10'h2d; // @[dec_tlu_ctl.scala 2299:34] + wire _T_1995 = mhpme6 == 10'h2e; // @[dec_tlu_ctl.scala 2300:34] + wire _T_1997 = mhpme6 == 10'h2f; // @[dec_tlu_ctl.scala 2301:34] + wire _T_1999 = mhpme6 == 10'h30; // @[dec_tlu_ctl.scala 2302:34] + wire _T_2001 = mhpme6 == 10'h31; // @[dec_tlu_ctl.scala 2303:34] + wire _T_2006 = mhpme6 == 10'h32; // @[dec_tlu_ctl.scala 2304:34] + wire _T_2016 = mhpme6 == 10'h36; // @[dec_tlu_ctl.scala 2305:34] + wire _T_2019 = mhpme6 == 10'h37; // @[dec_tlu_ctl.scala 2306:34] + wire _T_2022 = mhpme6 == 10'h38; // @[dec_tlu_ctl.scala 2307:34] + wire _T_2025 = mhpme6 == 10'h200; // @[dec_tlu_ctl.scala 2309:34] + wire _T_2027 = mhpme6 == 10'h201; // @[dec_tlu_ctl.scala 2310:34] + wire _T_2029 = mhpme6 == 10'h202; // @[dec_tlu_ctl.scala 2311:34] + wire _T_2031 = mhpme6 == 10'h203; // @[dec_tlu_ctl.scala 2312:34] + wire _T_2033 = mhpme6 == 10'h204; // @[dec_tlu_ctl.scala 2313:34] + wire _T_2036 = _T_1870 & io_ifu_pmu_ic_hit; // @[Mux.scala 27:72] + wire _T_2037 = _T_1872 & io_ifu_pmu_ic_miss; // @[Mux.scala 27:72] + wire _T_2038 = _T_1874 & _T_1025; // @[Mux.scala 27:72] + wire _T_2039 = _T_1878 & _T_1031; // @[Mux.scala 27:72] + wire _T_2040 = _T_1884 & _T_1036; // @[Mux.scala 27:72] + wire _T_2041 = _T_1889 & io_ifu_pmu_instr_aligned; // @[Mux.scala 27:72] + wire _T_2042 = _T_1891 & io_dec_pmu_instr_decoded; // @[Mux.scala 27:72] + wire _T_2043 = _T_1893 & io_dec_pmu_decode_stall; // @[Mux.scala 27:72] + wire _T_2044 = _T_1895 & _T_1045; // @[Mux.scala 27:72] + wire _T_2045 = _T_1898 & _T_1048; // @[Mux.scala 27:72] + wire _T_2046 = _T_1901 & _T_1051; // @[Mux.scala 27:72] + wire _T_2047 = _T_1904 & _T_1054; // @[Mux.scala 27:72] + wire _T_2048 = _T_1907 & _T_1058; // @[Mux.scala 27:72] + wire _T_2049 = _T_1911 & _T_1063; // @[Mux.scala 27:72] + wire _T_2050 = _T_1916 & _T_1066; // @[Mux.scala 27:72] + wire _T_2051 = _T_1919 & _T_1069; // @[Mux.scala 27:72] + wire _T_2052 = _T_1922 & _T_1072; // @[Mux.scala 27:72] + wire _T_2053 = _T_1925 & _T_1075; // @[Mux.scala 27:72] + wire _T_2054 = _T_1928 & _T_1078; // @[Mux.scala 27:72] + wire _T_2055 = _T_1931 & _T_1081; // @[Mux.scala 27:72] + wire _T_2056 = _T_1934 & _T_1084; // @[Mux.scala 27:72] + wire _T_2057 = _T_1937 & _T_1087; // @[Mux.scala 27:72] + wire _T_2058 = _T_1940 & _T_1090; // @[Mux.scala 27:72] + wire _T_2059 = _T_1943 & _T_1095; // @[Mux.scala 27:72] + wire _T_2060 = _T_1948 & _T_1098; // @[Mux.scala 27:72] + wire _T_2061 = _T_1951 & _T_1101; // @[Mux.scala 27:72] + wire _T_2062 = _T_1954 & _T_1104; // @[Mux.scala 27:72] + wire _T_2063 = _T_1957 & io_ifu_pmu_fetch_stall; // @[Mux.scala 27:72] + wire _T_2065 = _T_1961 & io_dec_pmu_postsync_stall; // @[Mux.scala 27:72] + wire _T_2066 = _T_1963 & io_dec_pmu_presync_stall; // @[Mux.scala 27:72] + wire _T_2067 = _T_1965 & io_lsu_store_stall_any; // @[Mux.scala 27:72] + wire _T_2068 = _T_1967 & io_dma_dccm_stall_any; // @[Mux.scala 27:72] + wire _T_2069 = _T_1969 & io_dma_iccm_stall_any; // @[Mux.scala 27:72] + wire _T_2070 = _T_1971 & _T_1122; // @[Mux.scala 27:72] + wire _T_2071 = _T_1975 & _T_1126; // @[Mux.scala 27:72] + wire _T_2072 = _T_1979 & io_take_ext_int; // @[Mux.scala 27:72] + wire _T_2073 = _T_1981 & io_tlu_flush_lower_r; // @[Mux.scala 27:72] + wire _T_2074 = _T_1983 & _T_1134; // @[Mux.scala 27:72] + wire _T_2075 = _T_1987 & io_ifu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_2076 = _T_1989 & io_lsu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_2077 = _T_1991 & io_lsu_pmu_bus_misaligned; // @[Mux.scala 27:72] + wire _T_2078 = _T_1993 & io_ifu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_2079 = _T_1995 & io_lsu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_2080 = _T_1997 & io_ifu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_2081 = _T_1999 & io_lsu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_2082 = _T_2001 & _T_1153; // @[Mux.scala 27:72] + wire _T_2083 = _T_2006 & _T_1163; // @[Mux.scala 27:72] + wire _T_2084 = _T_2016 & _T_1166; // @[Mux.scala 27:72] + wire _T_2085 = _T_2019 & _T_1169; // @[Mux.scala 27:72] + wire _T_2086 = _T_2022 & _T_1172; // @[Mux.scala 27:72] + wire _T_2087 = _T_2025 & io_dec_tlu_pmu_fw_halted; // @[Mux.scala 27:72] + wire _T_2088 = _T_2027 & io_dma_pmu_any_read; // @[Mux.scala 27:72] + wire _T_2089 = _T_2029 & io_dma_pmu_any_write; // @[Mux.scala 27:72] + wire _T_2090 = _T_2031 & io_dma_pmu_dccm_read; // @[Mux.scala 27:72] + wire _T_2091 = _T_2033 & io_dma_pmu_dccm_write; // @[Mux.scala 27:72] + wire _T_2092 = _T_1868 | _T_2036; // @[Mux.scala 27:72] + wire _T_2093 = _T_2092 | _T_2037; // @[Mux.scala 27:72] + wire _T_2094 = _T_2093 | _T_2038; // @[Mux.scala 27:72] + wire _T_2095 = _T_2094 | _T_2039; // @[Mux.scala 27:72] + wire _T_2096 = _T_2095 | _T_2040; // @[Mux.scala 27:72] + wire _T_2097 = _T_2096 | _T_2041; // @[Mux.scala 27:72] + wire _T_2098 = _T_2097 | _T_2042; // @[Mux.scala 27:72] + wire _T_2099 = _T_2098 | _T_2043; // @[Mux.scala 27:72] + wire _T_2100 = _T_2099 | _T_2044; // @[Mux.scala 27:72] + wire _T_2101 = _T_2100 | _T_2045; // @[Mux.scala 27:72] + wire _T_2102 = _T_2101 | _T_2046; // @[Mux.scala 27:72] + wire _T_2103 = _T_2102 | _T_2047; // @[Mux.scala 27:72] wire _T_2104 = _T_2103 | _T_2048; // @[Mux.scala 27:72] wire _T_2105 = _T_2104 | _T_2049; // @[Mux.scala 27:72] wire _T_2106 = _T_2105 | _T_2050; // @[Mux.scala 27:72] @@ -52084,7 +52125,7 @@ module csr_tlu( wire _T_2117 = _T_2116 | _T_2061; // @[Mux.scala 27:72] wire _T_2118 = _T_2117 | _T_2062; // @[Mux.scala 27:72] wire _T_2119 = _T_2118 | _T_2063; // @[Mux.scala 27:72] - wire _T_2120 = _T_2119 | _T_2064; // @[Mux.scala 27:72] + wire _T_2120 = _T_2119 | _T_2043; // @[Mux.scala 27:72] wire _T_2121 = _T_2120 | _T_2065; // @[Mux.scala 27:72] wire _T_2122 = _T_2121 | _T_2066; // @[Mux.scala 27:72] wire _T_2123 = _T_2122 | _T_2067; // @[Mux.scala 27:72] @@ -52095,7 +52136,7 @@ module csr_tlu( wire _T_2128 = _T_2127 | _T_2072; // @[Mux.scala 27:72] wire _T_2129 = _T_2128 | _T_2073; // @[Mux.scala 27:72] wire _T_2130 = _T_2129 | _T_2074; // @[Mux.scala 27:72] - wire _T_2131 = _T_2130 | _T_2054; // @[Mux.scala 27:72] + wire _T_2131 = _T_2130 | _T_2075; // @[Mux.scala 27:72] wire _T_2132 = _T_2131 | _T_2076; // @[Mux.scala 27:72] wire _T_2133 = _T_2132 | _T_2077; // @[Mux.scala 27:72] wire _T_2134 = _T_2133 | _T_2078; // @[Mux.scala 27:72] @@ -52112,197 +52153,197 @@ module csr_tlu( wire _T_2145 = _T_2144 | _T_2089; // @[Mux.scala 27:72] wire _T_2146 = _T_2145 | _T_2090; // @[Mux.scala 27:72] wire _T_2147 = _T_2146 | _T_2091; // @[Mux.scala 27:72] - wire _T_2148 = _T_2147 | _T_2092; // @[Mux.scala 27:72] - wire _T_2149 = _T_2148 | _T_2093; // @[Mux.scala 27:72] - wire _T_2150 = _T_2149 | _T_2094; // @[Mux.scala 27:72] - wire _T_2151 = _T_2150 | _T_2095; // @[Mux.scala 27:72] - wire _T_2152 = _T_2151 | _T_2096; // @[Mux.scala 27:72] - wire _T_2153 = _T_2152 | _T_2097; // @[Mux.scala 27:72] - wire _T_2154 = _T_2153 | _T_2098; // @[Mux.scala 27:72] - wire _T_2155 = _T_2154 | _T_2099; // @[Mux.scala 27:72] - wire _T_2156 = _T_2155 | _T_2100; // @[Mux.scala 27:72] - wire _T_2157 = _T_2156 | _T_2101; // @[Mux.scala 27:72] - wire _T_2158 = _T_2157 | _T_2102; // @[Mux.scala 27:72] - wire mhpmc_inc_r_3 = _T_1878 & _T_2158; // @[dec_tlu_ctl.scala 2273:44] - reg mhpmc_inc_r_d1_0; // @[dec_tlu_ctl.scala 2334:53] - reg mhpmc_inc_r_d1_1; // @[dec_tlu_ctl.scala 2335:53] - reg mhpmc_inc_r_d1_2; // @[dec_tlu_ctl.scala 2336:53] - reg mhpmc_inc_r_d1_3; // @[dec_tlu_ctl.scala 2337:53] - reg perfcnt_halted_d1; // @[dec_tlu_ctl.scala 2338:56] - wire perfcnt_halted = _T_85 | io_dec_tlu_pmu_fw_halted; // @[dec_tlu_ctl.scala 2341:67] - wire _T_2170 = ~_T_85; // @[dec_tlu_ctl.scala 2342:37] - wire [3:0] _T_2172 = _T_2170 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_2179 = {mhpme6[9],mhpme5[9],mhpme4[9],mhpme3[9]}; // @[Cat.scala 29:58] - wire [3:0] perfcnt_during_sleep = _T_2172 & _T_2179; // @[dec_tlu_ctl.scala 2342:86] - wire _T_2181 = ~perfcnt_during_sleep[0]; // @[dec_tlu_ctl.scala 2344:67] - wire _T_2182 = perfcnt_halted_d1 & _T_2181; // @[dec_tlu_ctl.scala 2344:65] - wire _T_2183 = ~_T_2182; // @[dec_tlu_ctl.scala 2344:45] - wire _T_2186 = ~perfcnt_during_sleep[1]; // @[dec_tlu_ctl.scala 2345:67] - wire _T_2187 = perfcnt_halted_d1 & _T_2186; // @[dec_tlu_ctl.scala 2345:65] - wire _T_2188 = ~_T_2187; // @[dec_tlu_ctl.scala 2345:45] - wire _T_2191 = ~perfcnt_during_sleep[2]; // @[dec_tlu_ctl.scala 2346:67] - wire _T_2192 = perfcnt_halted_d1 & _T_2191; // @[dec_tlu_ctl.scala 2346:65] - wire _T_2193 = ~_T_2192; // @[dec_tlu_ctl.scala 2346:45] - wire _T_2196 = ~perfcnt_during_sleep[3]; // @[dec_tlu_ctl.scala 2347:67] - wire _T_2197 = perfcnt_halted_d1 & _T_2196; // @[dec_tlu_ctl.scala 2347:65] - wire _T_2198 = ~_T_2197; // @[dec_tlu_ctl.scala 2347:45] - wire _T_2201 = io_dec_csr_wraddr_r == 12'hb03; // @[dec_tlu_ctl.scala 2353:72] - wire mhpmc3_wr_en0 = io_dec_csr_wen_r_mod & _T_2201; // @[dec_tlu_ctl.scala 2353:43] - wire _T_2202 = ~perfcnt_halted; // @[dec_tlu_ctl.scala 2354:23] - wire _T_2204 = _T_2202 | perfcnt_during_sleep[0]; // @[dec_tlu_ctl.scala 2354:39] - wire _T_2205 = |mhpmc_inc_r_0; // @[dec_tlu_ctl.scala 2354:86] - wire mhpmc3_wr_en1 = _T_2204 & _T_2205; // @[dec_tlu_ctl.scala 2354:66] + wire mhpmc_inc_r_3 = _T_1867 & _T_2147; // @[dec_tlu_ctl.scala 2255:44] + reg mhpmc_inc_r_d1_0; // @[dec_tlu_ctl.scala 2316:53] + reg mhpmc_inc_r_d1_1; // @[dec_tlu_ctl.scala 2317:53] + reg mhpmc_inc_r_d1_2; // @[dec_tlu_ctl.scala 2318:53] + reg mhpmc_inc_r_d1_3; // @[dec_tlu_ctl.scala 2319:53] + reg perfcnt_halted_d1; // @[dec_tlu_ctl.scala 2320:56] + wire perfcnt_halted = _T_85 | io_dec_tlu_pmu_fw_halted; // @[dec_tlu_ctl.scala 2323:67] + wire _T_2159 = ~_T_85; // @[dec_tlu_ctl.scala 2324:37] + wire [3:0] _T_2161 = _T_2159 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_2168 = {mhpme6[9],mhpme5[9],mhpme4[9],mhpme3[9]}; // @[Cat.scala 29:58] + wire [3:0] perfcnt_during_sleep = _T_2161 & _T_2168; // @[dec_tlu_ctl.scala 2324:86] + wire _T_2170 = ~perfcnt_during_sleep[0]; // @[dec_tlu_ctl.scala 2326:67] + wire _T_2171 = perfcnt_halted_d1 & _T_2170; // @[dec_tlu_ctl.scala 2326:65] + wire _T_2172 = ~_T_2171; // @[dec_tlu_ctl.scala 2326:45] + wire _T_2175 = ~perfcnt_during_sleep[1]; // @[dec_tlu_ctl.scala 2327:67] + wire _T_2176 = perfcnt_halted_d1 & _T_2175; // @[dec_tlu_ctl.scala 2327:65] + wire _T_2177 = ~_T_2176; // @[dec_tlu_ctl.scala 2327:45] + wire _T_2180 = ~perfcnt_during_sleep[2]; // @[dec_tlu_ctl.scala 2328:67] + wire _T_2181 = perfcnt_halted_d1 & _T_2180; // @[dec_tlu_ctl.scala 2328:65] + wire _T_2182 = ~_T_2181; // @[dec_tlu_ctl.scala 2328:45] + wire _T_2185 = ~perfcnt_during_sleep[3]; // @[dec_tlu_ctl.scala 2329:67] + wire _T_2186 = perfcnt_halted_d1 & _T_2185; // @[dec_tlu_ctl.scala 2329:65] + wire _T_2187 = ~_T_2186; // @[dec_tlu_ctl.scala 2329:45] + wire _T_2190 = io_dec_csr_wraddr_r == 12'hb03; // @[dec_tlu_ctl.scala 2335:72] + wire mhpmc3_wr_en0 = io_dec_csr_wen_r_mod & _T_2190; // @[dec_tlu_ctl.scala 2335:43] + wire _T_2191 = ~perfcnt_halted; // @[dec_tlu_ctl.scala 2336:23] + wire _T_2193 = _T_2191 | perfcnt_during_sleep[0]; // @[dec_tlu_ctl.scala 2336:39] + wire _T_2194 = |mhpmc_inc_r_0; // @[dec_tlu_ctl.scala 2336:86] + wire mhpmc3_wr_en1 = _T_2193 & _T_2194; // @[dec_tlu_ctl.scala 2336:66] reg [31:0] mhpmc3h; // @[lib.scala 374:16] reg [31:0] mhpmc3; // @[lib.scala 374:16] - wire [63:0] _T_2208 = {mhpmc3h,mhpmc3}; // @[Cat.scala 29:58] - wire [63:0] _T_2209 = {63'h0,mhpmc_inc_r_0}; // @[Cat.scala 29:58] - wire [63:0] mhpmc3_incr = _T_2208 + _T_2209; // @[dec_tlu_ctl.scala 2358:49] - wire _T_2217 = io_dec_csr_wraddr_r == 12'hb83; // @[dec_tlu_ctl.scala 2363:73] - wire mhpmc3h_wr_en0 = io_dec_csr_wen_r_mod & _T_2217; // @[dec_tlu_ctl.scala 2363:44] - wire _T_2223 = io_dec_csr_wraddr_r == 12'hb04; // @[dec_tlu_ctl.scala 2372:72] - wire mhpmc4_wr_en0 = io_dec_csr_wen_r_mod & _T_2223; // @[dec_tlu_ctl.scala 2372:43] - wire _T_2226 = _T_2202 | perfcnt_during_sleep[1]; // @[dec_tlu_ctl.scala 2373:39] - wire _T_2227 = |mhpmc_inc_r_1; // @[dec_tlu_ctl.scala 2373:86] - wire mhpmc4_wr_en1 = _T_2226 & _T_2227; // @[dec_tlu_ctl.scala 2373:66] + wire [63:0] _T_2197 = {mhpmc3h,mhpmc3}; // @[Cat.scala 29:58] + wire [63:0] _T_2198 = {63'h0,mhpmc_inc_r_0}; // @[Cat.scala 29:58] + wire [63:0] mhpmc3_incr = _T_2197 + _T_2198; // @[dec_tlu_ctl.scala 2340:49] + wire _T_2206 = io_dec_csr_wraddr_r == 12'hb83; // @[dec_tlu_ctl.scala 2345:73] + wire mhpmc3h_wr_en0 = io_dec_csr_wen_r_mod & _T_2206; // @[dec_tlu_ctl.scala 2345:44] + wire _T_2212 = io_dec_csr_wraddr_r == 12'hb04; // @[dec_tlu_ctl.scala 2354:72] + wire mhpmc4_wr_en0 = io_dec_csr_wen_r_mod & _T_2212; // @[dec_tlu_ctl.scala 2354:43] + wire _T_2215 = _T_2191 | perfcnt_during_sleep[1]; // @[dec_tlu_ctl.scala 2355:39] + wire _T_2216 = |mhpmc_inc_r_1; // @[dec_tlu_ctl.scala 2355:86] + wire mhpmc4_wr_en1 = _T_2215 & _T_2216; // @[dec_tlu_ctl.scala 2355:66] reg [31:0] mhpmc4h; // @[lib.scala 374:16] reg [31:0] mhpmc4; // @[lib.scala 374:16] - wire [63:0] _T_2230 = {mhpmc4h,mhpmc4}; // @[Cat.scala 29:58] - wire [63:0] _T_2231 = {63'h0,mhpmc_inc_r_1}; // @[Cat.scala 29:58] - wire [63:0] mhpmc4_incr = _T_2230 + _T_2231; // @[dec_tlu_ctl.scala 2378:49] - wire _T_2240 = io_dec_csr_wraddr_r == 12'hb84; // @[dec_tlu_ctl.scala 2382:73] - wire mhpmc4h_wr_en0 = io_dec_csr_wen_r_mod & _T_2240; // @[dec_tlu_ctl.scala 2382:44] - wire _T_2246 = io_dec_csr_wraddr_r == 12'hb05; // @[dec_tlu_ctl.scala 2391:72] - wire mhpmc5_wr_en0 = io_dec_csr_wen_r_mod & _T_2246; // @[dec_tlu_ctl.scala 2391:43] - wire _T_2249 = _T_2202 | perfcnt_during_sleep[2]; // @[dec_tlu_ctl.scala 2392:39] - wire _T_2250 = |mhpmc_inc_r_2; // @[dec_tlu_ctl.scala 2392:86] - wire mhpmc5_wr_en1 = _T_2249 & _T_2250; // @[dec_tlu_ctl.scala 2392:66] + wire [63:0] _T_2219 = {mhpmc4h,mhpmc4}; // @[Cat.scala 29:58] + wire [63:0] _T_2220 = {63'h0,mhpmc_inc_r_1}; // @[Cat.scala 29:58] + wire [63:0] mhpmc4_incr = _T_2219 + _T_2220; // @[dec_tlu_ctl.scala 2360:49] + wire _T_2229 = io_dec_csr_wraddr_r == 12'hb84; // @[dec_tlu_ctl.scala 2364:73] + wire mhpmc4h_wr_en0 = io_dec_csr_wen_r_mod & _T_2229; // @[dec_tlu_ctl.scala 2364:44] + wire _T_2235 = io_dec_csr_wraddr_r == 12'hb05; // @[dec_tlu_ctl.scala 2373:72] + wire mhpmc5_wr_en0 = io_dec_csr_wen_r_mod & _T_2235; // @[dec_tlu_ctl.scala 2373:43] + wire _T_2238 = _T_2191 | perfcnt_during_sleep[2]; // @[dec_tlu_ctl.scala 2374:39] + wire _T_2239 = |mhpmc_inc_r_2; // @[dec_tlu_ctl.scala 2374:86] + wire mhpmc5_wr_en1 = _T_2238 & _T_2239; // @[dec_tlu_ctl.scala 2374:66] reg [31:0] mhpmc5h; // @[lib.scala 374:16] reg [31:0] mhpmc5; // @[lib.scala 374:16] - wire [63:0] _T_2253 = {mhpmc5h,mhpmc5}; // @[Cat.scala 29:58] - wire [63:0] _T_2254 = {63'h0,mhpmc_inc_r_2}; // @[Cat.scala 29:58] - wire [63:0] mhpmc5_incr = _T_2253 + _T_2254; // @[dec_tlu_ctl.scala 2395:49] - wire _T_2262 = io_dec_csr_wraddr_r == 12'hb85; // @[dec_tlu_ctl.scala 2400:73] - wire mhpmc5h_wr_en0 = io_dec_csr_wen_r_mod & _T_2262; // @[dec_tlu_ctl.scala 2400:44] - wire _T_2268 = io_dec_csr_wraddr_r == 12'hb06; // @[dec_tlu_ctl.scala 2409:72] - wire mhpmc6_wr_en0 = io_dec_csr_wen_r_mod & _T_2268; // @[dec_tlu_ctl.scala 2409:43] - wire _T_2271 = _T_2202 | perfcnt_during_sleep[3]; // @[dec_tlu_ctl.scala 2410:39] - wire _T_2272 = |mhpmc_inc_r_3; // @[dec_tlu_ctl.scala 2410:86] - wire mhpmc6_wr_en1 = _T_2271 & _T_2272; // @[dec_tlu_ctl.scala 2410:66] + wire [63:0] _T_2242 = {mhpmc5h,mhpmc5}; // @[Cat.scala 29:58] + wire [63:0] _T_2243 = {63'h0,mhpmc_inc_r_2}; // @[Cat.scala 29:58] + wire [63:0] mhpmc5_incr = _T_2242 + _T_2243; // @[dec_tlu_ctl.scala 2377:49] + wire _T_2251 = io_dec_csr_wraddr_r == 12'hb85; // @[dec_tlu_ctl.scala 2382:73] + wire mhpmc5h_wr_en0 = io_dec_csr_wen_r_mod & _T_2251; // @[dec_tlu_ctl.scala 2382:44] + wire _T_2257 = io_dec_csr_wraddr_r == 12'hb06; // @[dec_tlu_ctl.scala 2391:72] + wire mhpmc6_wr_en0 = io_dec_csr_wen_r_mod & _T_2257; // @[dec_tlu_ctl.scala 2391:43] + wire _T_2260 = _T_2191 | perfcnt_during_sleep[3]; // @[dec_tlu_ctl.scala 2392:39] + wire _T_2261 = |mhpmc_inc_r_3; // @[dec_tlu_ctl.scala 2392:86] + wire mhpmc6_wr_en1 = _T_2260 & _T_2261; // @[dec_tlu_ctl.scala 2392:66] reg [31:0] mhpmc6h; // @[lib.scala 374:16] reg [31:0] mhpmc6; // @[lib.scala 374:16] - wire [63:0] _T_2275 = {mhpmc6h,mhpmc6}; // @[Cat.scala 29:58] - wire [63:0] _T_2276 = {63'h0,mhpmc_inc_r_3}; // @[Cat.scala 29:58] - wire [63:0] mhpmc6_incr = _T_2275 + _T_2276; // @[dec_tlu_ctl.scala 2413:49] - wire _T_2284 = io_dec_csr_wraddr_r == 12'hb86; // @[dec_tlu_ctl.scala 2418:73] - wire mhpmc6h_wr_en0 = io_dec_csr_wen_r_mod & _T_2284; // @[dec_tlu_ctl.scala 2418:44] - wire _T_2290 = io_dec_csr_wrdata_r[9:0] > 10'h204; // @[dec_tlu_ctl.scala 2429:56] - wire _T_2292 = |io_dec_csr_wrdata_r[31:10]; // @[dec_tlu_ctl.scala 2429:102] - wire _T_2293 = _T_2290 | _T_2292; // @[dec_tlu_ctl.scala 2429:71] - wire _T_2296 = io_dec_csr_wraddr_r == 12'h323; // @[dec_tlu_ctl.scala 2431:70] - wire wr_mhpme3_r = io_dec_csr_wen_r_mod & _T_2296; // @[dec_tlu_ctl.scala 2431:41] - wire _T_2300 = io_dec_csr_wraddr_r == 12'h324; // @[dec_tlu_ctl.scala 2438:70] - wire wr_mhpme4_r = io_dec_csr_wen_r_mod & _T_2300; // @[dec_tlu_ctl.scala 2438:41] - wire _T_2304 = io_dec_csr_wraddr_r == 12'h325; // @[dec_tlu_ctl.scala 2445:70] - wire wr_mhpme5_r = io_dec_csr_wen_r_mod & _T_2304; // @[dec_tlu_ctl.scala 2445:41] - wire _T_2308 = io_dec_csr_wraddr_r == 12'h326; // @[dec_tlu_ctl.scala 2452:70] - wire wr_mhpme6_r = io_dec_csr_wen_r_mod & _T_2308; // @[dec_tlu_ctl.scala 2452:41] - wire _T_2312 = io_dec_csr_wraddr_r == 12'h320; // @[dec_tlu_ctl.scala 2469:77] - wire wr_mcountinhibit_r = io_dec_csr_wen_r_mod & _T_2312; // @[dec_tlu_ctl.scala 2469:48] - wire _T_2324 = io_i0_valid_wb | io_exc_or_int_valid_r_d1; // @[dec_tlu_ctl.scala 2484:51] - wire _T_2325 = _T_2324 | io_interrupt_valid_r_d1; // @[dec_tlu_ctl.scala 2484:78] - wire _T_2326 = _T_2325 | io_dec_tlu_i0_valid_wb1; // @[dec_tlu_ctl.scala 2484:104] - wire _T_2327 = _T_2326 | io_dec_tlu_i0_exc_valid_wb1; // @[dec_tlu_ctl.scala 2484:130] - wire _T_2328 = _T_2327 | io_dec_tlu_int_valid_wb1; // @[dec_tlu_ctl.scala 2485:32] - reg _T_2331; // @[dec_tlu_ctl.scala 2487:62] - wire _T_2332 = io_i0_exception_valid_r_d1 | io_lsu_i0_exc_r_d1; // @[dec_tlu_ctl.scala 2488:91] - wire _T_2333 = ~io_trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 2488:137] - wire _T_2334 = io_trigger_hit_r_d1 & _T_2333; // @[dec_tlu_ctl.scala 2488:135] - reg _T_2336; // @[dec_tlu_ctl.scala 2488:62] - reg [4:0] _T_2337; // @[dec_tlu_ctl.scala 2489:62] - reg _T_2338; // @[dec_tlu_ctl.scala 2490:62] - wire [31:0] _T_2344 = {io_core_id,4'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_2353 = {21'h3,3'h0,io_mstatus[1],3'h0,io_mstatus[0],3'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_2358 = {io_mtvec[30:1],1'h0,io_mtvec[0]}; // @[Cat.scala 29:58] - wire [31:0] _T_2371 = {1'h0,io_mip[5:3],16'h0,io_mip[2],3'h0,io_mip[1],3'h0,io_mip[0],3'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_2384 = {1'h0,mie[5:3],16'h0,mie[2],3'h0,mie[1],3'h0,mie[0],3'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_2396 = {io_mepc,1'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_2401 = {28'h0,mscause}; // @[Cat.scala 29:58] - wire [31:0] _T_2409 = {meivt,10'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_2412 = {meivt,meihap,2'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_2415 = {28'h0,meicurpl}; // @[Cat.scala 29:58] - wire [31:0] _T_2418 = {28'h0,meicidpl}; // @[Cat.scala 29:58] - wire [31:0] _T_2421 = {28'h0,meipt}; // @[Cat.scala 29:58] - wire [31:0] _T_2424 = {23'h0,mcgc}; // @[Cat.scala 29:58] - wire [31:0] _T_2427 = {13'h0,_T_350,4'h0,mfdc_int[11:7],_T_353,mfdc_int[5:0]}; // @[Cat.scala 29:58] - wire [31:0] _T_2431 = {16'h4000,io_dcsr[15:2],2'h3}; // @[Cat.scala 29:58] - wire [31:0] _T_2433 = {io_dpc,1'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_2449 = {7'h0,dicawics[16],2'h0,dicawics[15:14],3'h0,dicawics[13:0],3'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_2452 = {30'h0,mtsel}; // @[Cat.scala 29:58] - wire [31:0] _T_2481 = {26'h0,mfdht}; // @[Cat.scala 29:58] - wire [31:0] _T_2484 = {30'h0,mfdhs}; // @[Cat.scala 29:58] - wire [31:0] _T_2487 = {22'h0,mhpme3}; // @[Cat.scala 29:58] - wire [31:0] _T_2490 = {22'h0,mhpme4}; // @[Cat.scala 29:58] - wire [31:0] _T_2493 = {22'h0,mhpme5}; // @[Cat.scala 29:58] - wire [31:0] _T_2496 = {22'h0,mhpme6}; // @[Cat.scala 29:58] - wire [31:0] _T_2499 = {25'h0,temp_ncount6_2,1'h0,temp_ncount0}; // @[Cat.scala 29:58] - wire [31:0] _T_2502 = {30'h0,mpmc,1'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_2505 = io_csr_pkt_csr_misa ? 32'h40001104 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2506 = io_csr_pkt_csr_mvendorid ? 32'h45 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2507 = io_csr_pkt_csr_marchid ? 32'h10 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2508 = io_csr_pkt_csr_mimpid ? 32'h2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2509 = io_csr_pkt_csr_mhartid ? _T_2344 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2510 = io_csr_pkt_csr_mstatus ? _T_2353 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2511 = io_csr_pkt_csr_mtvec ? _T_2358 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2512 = io_csr_pkt_csr_mip ? _T_2371 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2513 = io_csr_pkt_csr_mie ? _T_2384 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2514 = io_csr_pkt_csr_mcyclel ? mcyclel : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2515 = io_csr_pkt_csr_mcycleh ? mcycleh_inc : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2516 = io_csr_pkt_csr_minstretl ? minstretl : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2517 = io_csr_pkt_csr_minstreth ? minstreth_inc : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2518 = io_csr_pkt_csr_mscratch ? mscratch : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2519 = io_csr_pkt_csr_mepc ? _T_2396 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2520 = io_csr_pkt_csr_mcause ? mcause : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2521 = io_csr_pkt_csr_mscause ? _T_2401 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2522 = io_csr_pkt_csr_mtval ? mtval : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2523 = io_csr_pkt_csr_mrac ? mrac : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2524 = io_csr_pkt_csr_mdseac ? mdseac : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2525 = io_csr_pkt_csr_meivt ? _T_2409 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2526 = io_csr_pkt_csr_meihap ? _T_2412 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2527 = io_csr_pkt_csr_meicurpl ? _T_2415 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2528 = io_csr_pkt_csr_meicidpl ? _T_2418 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2529 = io_csr_pkt_csr_meipt ? _T_2421 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2530 = io_csr_pkt_csr_mcgc ? _T_2424 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2531 = io_csr_pkt_csr_mfdc ? _T_2427 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2532 = io_csr_pkt_csr_dcsr ? _T_2431 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2533 = io_csr_pkt_csr_dpc ? _T_2433 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2534 = io_csr_pkt_csr_dicad0 ? dicad0[31:0] : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2535 = io_csr_pkt_csr_dicad0h ? dicad0h : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2536 = io_csr_pkt_csr_dicad1 ? dicad1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2537 = io_csr_pkt_csr_dicawics ? _T_2449 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2538 = io_csr_pkt_csr_mtsel ? _T_2452 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2539 = io_csr_pkt_csr_mtdata1 ? mtdata1_tsel_out : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2540 = io_csr_pkt_csr_mtdata2 ? mtdata2_tsel_out : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2541 = io_csr_pkt_csr_micect ? micect : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2542 = io_csr_pkt_csr_miccmect ? miccmect : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2543 = io_csr_pkt_csr_mdccmect ? mdccmect : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2544 = io_csr_pkt_csr_mhpmc3 ? mhpmc3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2545 = io_csr_pkt_csr_mhpmc4 ? mhpmc4 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2546 = io_csr_pkt_csr_mhpmc5 ? mhpmc5 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2547 = io_csr_pkt_csr_mhpmc6 ? mhpmc6 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2548 = io_csr_pkt_csr_mhpmc3h ? mhpmc3h : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2549 = io_csr_pkt_csr_mhpmc4h ? mhpmc4h : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2550 = io_csr_pkt_csr_mhpmc5h ? mhpmc5h : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2551 = io_csr_pkt_csr_mhpmc6h ? mhpmc6h : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2552 = io_csr_pkt_csr_mfdht ? _T_2481 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2553 = io_csr_pkt_csr_mfdhs ? _T_2484 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2554 = io_csr_pkt_csr_mhpme3 ? _T_2487 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2555 = io_csr_pkt_csr_mhpme4 ? _T_2490 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2556 = io_csr_pkt_csr_mhpme5 ? _T_2493 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2557 = io_csr_pkt_csr_mhpme6 ? _T_2496 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2558 = io_csr_pkt_csr_mcountinhibit ? _T_2499 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2559 = io_csr_pkt_csr_mpmc ? _T_2502 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2560 = io_dec_timer_read_d ? io_dec_timer_rddata_d : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2561 = _T_2505 | _T_2506; // @[Mux.scala 27:72] + wire [63:0] _T_2264 = {mhpmc6h,mhpmc6}; // @[Cat.scala 29:58] + wire [63:0] _T_2265 = {63'h0,mhpmc_inc_r_3}; // @[Cat.scala 29:58] + wire [63:0] mhpmc6_incr = _T_2264 + _T_2265; // @[dec_tlu_ctl.scala 2395:49] + wire _T_2273 = io_dec_csr_wraddr_r == 12'hb86; // @[dec_tlu_ctl.scala 2400:73] + wire mhpmc6h_wr_en0 = io_dec_csr_wen_r_mod & _T_2273; // @[dec_tlu_ctl.scala 2400:44] + wire _T_2279 = io_dec_csr_wrdata_r[9:0] > 10'h204; // @[dec_tlu_ctl.scala 2411:56] + wire _T_2281 = |io_dec_csr_wrdata_r[31:10]; // @[dec_tlu_ctl.scala 2411:102] + wire _T_2282 = _T_2279 | _T_2281; // @[dec_tlu_ctl.scala 2411:71] + wire _T_2285 = io_dec_csr_wraddr_r == 12'h323; // @[dec_tlu_ctl.scala 2413:70] + wire wr_mhpme3_r = io_dec_csr_wen_r_mod & _T_2285; // @[dec_tlu_ctl.scala 2413:41] + wire _T_2289 = io_dec_csr_wraddr_r == 12'h324; // @[dec_tlu_ctl.scala 2420:70] + wire wr_mhpme4_r = io_dec_csr_wen_r_mod & _T_2289; // @[dec_tlu_ctl.scala 2420:41] + wire _T_2293 = io_dec_csr_wraddr_r == 12'h325; // @[dec_tlu_ctl.scala 2427:70] + wire wr_mhpme5_r = io_dec_csr_wen_r_mod & _T_2293; // @[dec_tlu_ctl.scala 2427:41] + wire _T_2297 = io_dec_csr_wraddr_r == 12'h326; // @[dec_tlu_ctl.scala 2434:70] + wire wr_mhpme6_r = io_dec_csr_wen_r_mod & _T_2297; // @[dec_tlu_ctl.scala 2434:41] + wire _T_2301 = io_dec_csr_wraddr_r == 12'h320; // @[dec_tlu_ctl.scala 2451:77] + wire wr_mcountinhibit_r = io_dec_csr_wen_r_mod & _T_2301; // @[dec_tlu_ctl.scala 2451:48] + wire _T_2313 = io_i0_valid_wb | io_exc_or_int_valid_r_d1; // @[dec_tlu_ctl.scala 2466:51] + wire _T_2314 = _T_2313 | io_interrupt_valid_r_d1; // @[dec_tlu_ctl.scala 2466:78] + wire _T_2315 = _T_2314 | io_dec_tlu_i0_valid_wb1; // @[dec_tlu_ctl.scala 2466:104] + wire _T_2316 = _T_2315 | io_dec_tlu_i0_exc_valid_wb1; // @[dec_tlu_ctl.scala 2466:130] + wire _T_2317 = _T_2316 | io_dec_tlu_int_valid_wb1; // @[dec_tlu_ctl.scala 2467:32] + reg _T_2320; // @[dec_tlu_ctl.scala 2469:62] + wire _T_2321 = io_i0_exception_valid_r_d1 | io_lsu_i0_exc_r_d1; // @[dec_tlu_ctl.scala 2470:91] + wire _T_2322 = ~io_trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 2470:137] + wire _T_2323 = io_trigger_hit_r_d1 & _T_2322; // @[dec_tlu_ctl.scala 2470:135] + reg _T_2325; // @[dec_tlu_ctl.scala 2470:62] + reg [4:0] _T_2326; // @[dec_tlu_ctl.scala 2471:62] + reg _T_2327; // @[dec_tlu_ctl.scala 2472:62] + wire [31:0] _T_2333 = {io_core_id,4'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2342 = {21'h3,3'h0,io_mstatus[1],3'h0,io_mstatus[0],3'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2347 = {io_mtvec[30:1],1'h0,io_mtvec[0]}; // @[Cat.scala 29:58] + wire [31:0] _T_2360 = {1'h0,io_mip[5:3],16'h0,io_mip[2],3'h0,io_mip[1],3'h0,io_mip[0],3'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2373 = {1'h0,mie[5:3],16'h0,mie[2],3'h0,mie[1],3'h0,mie[0],3'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2385 = {io_mepc,1'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2390 = {28'h0,mscause}; // @[Cat.scala 29:58] + wire [31:0] _T_2398 = {meivt,10'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2401 = {meivt,meihap,2'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2404 = {28'h0,meicurpl}; // @[Cat.scala 29:58] + wire [31:0] _T_2407 = {28'h0,meicidpl}; // @[Cat.scala 29:58] + wire [31:0] _T_2410 = {28'h0,meipt}; // @[Cat.scala 29:58] + wire [31:0] _T_2413 = {23'h0,mcgc}; // @[Cat.scala 29:58] + wire [31:0] _T_2416 = {13'h0,_T_345,4'h0,mfdc_int[11:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_2420 = {16'h4000,io_dcsr[15:2],2'h3}; // @[Cat.scala 29:58] + wire [31:0] _T_2422 = {io_dpc,1'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2438 = {7'h0,dicawics[16],2'h0,dicawics[15:14],3'h0,dicawics[13:0],3'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2441 = {30'h0,mtsel}; // @[Cat.scala 29:58] + wire [31:0] _T_2470 = {26'h0,mfdht}; // @[Cat.scala 29:58] + wire [31:0] _T_2473 = {30'h0,mfdhs}; // @[Cat.scala 29:58] + wire [31:0] _T_2476 = {22'h0,mhpme3}; // @[Cat.scala 29:58] + wire [31:0] _T_2479 = {22'h0,mhpme4}; // @[Cat.scala 29:58] + wire [31:0] _T_2482 = {22'h0,mhpme5}; // @[Cat.scala 29:58] + wire [31:0] _T_2485 = {22'h0,mhpme6}; // @[Cat.scala 29:58] + wire [31:0] _T_2488 = {25'h0,temp_ncount6_2,1'h0,temp_ncount0}; // @[Cat.scala 29:58] + wire [31:0] _T_2491 = {30'h0,mpmc,1'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2494 = io_csr_pkt_csr_misa ? 32'h40001104 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2495 = io_csr_pkt_csr_mvendorid ? 32'h45 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2496 = io_csr_pkt_csr_marchid ? 32'h10 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2497 = io_csr_pkt_csr_mimpid ? 32'h2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2498 = io_csr_pkt_csr_mhartid ? _T_2333 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2499 = io_csr_pkt_csr_mstatus ? _T_2342 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2500 = io_csr_pkt_csr_mtvec ? _T_2347 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2501 = io_csr_pkt_csr_mip ? _T_2360 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2502 = io_csr_pkt_csr_mie ? _T_2373 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2503 = io_csr_pkt_csr_mcyclel ? mcyclel : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2504 = io_csr_pkt_csr_mcycleh ? mcycleh_inc : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2505 = io_csr_pkt_csr_minstretl ? minstretl : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2506 = io_csr_pkt_csr_minstreth ? minstreth_inc : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2507 = io_csr_pkt_csr_mscratch ? mscratch : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2508 = io_csr_pkt_csr_mepc ? _T_2385 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2509 = io_csr_pkt_csr_mcause ? mcause : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2510 = io_csr_pkt_csr_mscause ? _T_2390 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2511 = io_csr_pkt_csr_mtval ? mtval : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2512 = io_csr_pkt_csr_mrac ? mrac : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2513 = io_csr_pkt_csr_mdseac ? mdseac : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2514 = io_csr_pkt_csr_meivt ? _T_2398 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2515 = io_csr_pkt_csr_meihap ? _T_2401 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2516 = io_csr_pkt_csr_meicurpl ? _T_2404 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2517 = io_csr_pkt_csr_meicidpl ? _T_2407 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2518 = io_csr_pkt_csr_meipt ? _T_2410 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2519 = io_csr_pkt_csr_mcgc ? _T_2413 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2520 = io_csr_pkt_csr_mfdc ? _T_2416 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2521 = io_csr_pkt_csr_dcsr ? _T_2420 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2522 = io_csr_pkt_csr_dpc ? _T_2422 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2523 = io_csr_pkt_csr_dicad0 ? dicad0[31:0] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2524 = io_csr_pkt_csr_dicad0h ? dicad0h : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2525 = io_csr_pkt_csr_dicad1 ? dicad1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2526 = io_csr_pkt_csr_dicawics ? _T_2438 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2527 = io_csr_pkt_csr_mtsel ? _T_2441 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2528 = io_csr_pkt_csr_mtdata1 ? mtdata1_tsel_out : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2529 = io_csr_pkt_csr_mtdata2 ? mtdata2_tsel_out : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2530 = io_csr_pkt_csr_micect ? micect : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2531 = io_csr_pkt_csr_miccmect ? miccmect : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2532 = io_csr_pkt_csr_mdccmect ? mdccmect : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2533 = io_csr_pkt_csr_mhpmc3 ? mhpmc3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2534 = io_csr_pkt_csr_mhpmc4 ? mhpmc4 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2535 = io_csr_pkt_csr_mhpmc5 ? mhpmc5 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2536 = io_csr_pkt_csr_mhpmc6 ? mhpmc6 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2537 = io_csr_pkt_csr_mhpmc3h ? mhpmc3h : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2538 = io_csr_pkt_csr_mhpmc4h ? mhpmc4h : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2539 = io_csr_pkt_csr_mhpmc5h ? mhpmc5h : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2540 = io_csr_pkt_csr_mhpmc6h ? mhpmc6h : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2541 = io_csr_pkt_csr_mfdht ? _T_2470 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2542 = io_csr_pkt_csr_mfdhs ? _T_2473 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2543 = io_csr_pkt_csr_mhpme3 ? _T_2476 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2544 = io_csr_pkt_csr_mhpme4 ? _T_2479 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2545 = io_csr_pkt_csr_mhpme5 ? _T_2482 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2546 = io_csr_pkt_csr_mhpme6 ? _T_2485 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2547 = io_csr_pkt_csr_mcountinhibit ? _T_2488 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2548 = io_csr_pkt_csr_mpmc ? _T_2491 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2549 = io_dec_timer_read_d ? io_dec_timer_rddata_d : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2550 = _T_2494 | _T_2495; // @[Mux.scala 27:72] + wire [31:0] _T_2551 = _T_2550 | _T_2496; // @[Mux.scala 27:72] + wire [31:0] _T_2552 = _T_2551 | _T_2497; // @[Mux.scala 27:72] + wire [31:0] _T_2553 = _T_2552 | _T_2498; // @[Mux.scala 27:72] + wire [31:0] _T_2554 = _T_2553 | _T_2499; // @[Mux.scala 27:72] + wire [31:0] _T_2555 = _T_2554 | _T_2500; // @[Mux.scala 27:72] + wire [31:0] _T_2556 = _T_2555 | _T_2501; // @[Mux.scala 27:72] + wire [31:0] _T_2557 = _T_2556 | _T_2502; // @[Mux.scala 27:72] + wire [31:0] _T_2558 = _T_2557 | _T_2503; // @[Mux.scala 27:72] + wire [31:0] _T_2559 = _T_2558 | _T_2504; // @[Mux.scala 27:72] + wire [31:0] _T_2560 = _T_2559 | _T_2505; // @[Mux.scala 27:72] + wire [31:0] _T_2561 = _T_2560 | _T_2506; // @[Mux.scala 27:72] wire [31:0] _T_2562 = _T_2561 | _T_2507; // @[Mux.scala 27:72] wire [31:0] _T_2563 = _T_2562 | _T_2508; // @[Mux.scala 27:72] wire [31:0] _T_2564 = _T_2563 | _T_2509; // @[Mux.scala 27:72] @@ -52345,17 +52386,6 @@ module csr_tlu( wire [31:0] _T_2601 = _T_2600 | _T_2546; // @[Mux.scala 27:72] wire [31:0] _T_2602 = _T_2601 | _T_2547; // @[Mux.scala 27:72] wire [31:0] _T_2603 = _T_2602 | _T_2548; // @[Mux.scala 27:72] - wire [31:0] _T_2604 = _T_2603 | _T_2549; // @[Mux.scala 27:72] - wire [31:0] _T_2605 = _T_2604 | _T_2550; // @[Mux.scala 27:72] - wire [31:0] _T_2606 = _T_2605 | _T_2551; // @[Mux.scala 27:72] - wire [31:0] _T_2607 = _T_2606 | _T_2552; // @[Mux.scala 27:72] - wire [31:0] _T_2608 = _T_2607 | _T_2553; // @[Mux.scala 27:72] - wire [31:0] _T_2609 = _T_2608 | _T_2554; // @[Mux.scala 27:72] - wire [31:0] _T_2610 = _T_2609 | _T_2555; // @[Mux.scala 27:72] - wire [31:0] _T_2611 = _T_2610 | _T_2556; // @[Mux.scala 27:72] - wire [31:0] _T_2612 = _T_2611 | _T_2557; // @[Mux.scala 27:72] - wire [31:0] _T_2613 = _T_2612 | _T_2558; // @[Mux.scala 27:72] - wire [31:0] _T_2614 = _T_2613 | _T_2559; // @[Mux.scala 27:72] rvclkhdr rvclkhdr ( // @[lib.scala 368:23] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -52566,84 +52596,84 @@ module csr_tlu( .io_en(rvclkhdr_34_io_en), .io_scan_mode(rvclkhdr_34_io_scan_mode) ); - assign io_dec_tlu_ic_diag_pkt_icache_wrdata = {_T_764,dicad0[31:0]}; // @[dec_tlu_ctl.scala 2154:61] - assign io_dec_tlu_ic_diag_pkt_icache_dicawics = dicawics; // @[dec_tlu_ctl.scala 2157:41] - assign io_dec_tlu_ic_diag_pkt_icache_rd_valid = icache_rd_valid_f; // @[dec_tlu_ctl.scala 2165:41] - assign io_dec_tlu_ic_diag_pkt_icache_wr_valid = icache_wr_valid_f; // @[dec_tlu_ctl.scala 2166:41] - assign io_trigger_pkt_any_0_select = io_mtdata1_t_0[7]; // @[dec_tlu_ctl.scala 2230:40] - assign io_trigger_pkt_any_0_match_pkt = io_mtdata1_t_0[4]; // @[dec_tlu_ctl.scala 2231:43] - assign io_trigger_pkt_any_0_store = io_mtdata1_t_0[1]; // @[dec_tlu_ctl.scala 2232:40] - assign io_trigger_pkt_any_0_load = io_mtdata1_t_0[0]; // @[dec_tlu_ctl.scala 2233:40] - assign io_trigger_pkt_any_0_execute = io_mtdata1_t_0[2]; // @[dec_tlu_ctl.scala 2234:40] - assign io_trigger_pkt_any_0_m = io_mtdata1_t_0[3]; // @[dec_tlu_ctl.scala 2235:40] - assign io_trigger_pkt_any_0_tdata2 = mtdata2_t_0; // @[dec_tlu_ctl.scala 2248:51] - assign io_trigger_pkt_any_1_select = io_mtdata1_t_1[7]; // @[dec_tlu_ctl.scala 2230:40] - assign io_trigger_pkt_any_1_match_pkt = io_mtdata1_t_1[4]; // @[dec_tlu_ctl.scala 2231:43] - assign io_trigger_pkt_any_1_store = io_mtdata1_t_1[1]; // @[dec_tlu_ctl.scala 2232:40] - assign io_trigger_pkt_any_1_load = io_mtdata1_t_1[0]; // @[dec_tlu_ctl.scala 2233:40] - assign io_trigger_pkt_any_1_execute = io_mtdata1_t_1[2]; // @[dec_tlu_ctl.scala 2234:40] - assign io_trigger_pkt_any_1_m = io_mtdata1_t_1[3]; // @[dec_tlu_ctl.scala 2235:40] - assign io_trigger_pkt_any_1_tdata2 = mtdata2_t_1; // @[dec_tlu_ctl.scala 2248:51] - assign io_trigger_pkt_any_2_select = io_mtdata1_t_2[7]; // @[dec_tlu_ctl.scala 2230:40] - assign io_trigger_pkt_any_2_match_pkt = io_mtdata1_t_2[4]; // @[dec_tlu_ctl.scala 2231:43] - assign io_trigger_pkt_any_2_store = io_mtdata1_t_2[1]; // @[dec_tlu_ctl.scala 2232:40] - assign io_trigger_pkt_any_2_load = io_mtdata1_t_2[0]; // @[dec_tlu_ctl.scala 2233:40] - assign io_trigger_pkt_any_2_execute = io_mtdata1_t_2[2]; // @[dec_tlu_ctl.scala 2234:40] - assign io_trigger_pkt_any_2_m = io_mtdata1_t_2[3]; // @[dec_tlu_ctl.scala 2235:40] - assign io_trigger_pkt_any_2_tdata2 = mtdata2_t_2; // @[dec_tlu_ctl.scala 2248:51] - assign io_trigger_pkt_any_3_select = io_mtdata1_t_3[7]; // @[dec_tlu_ctl.scala 2230:40] - assign io_trigger_pkt_any_3_match_pkt = io_mtdata1_t_3[4]; // @[dec_tlu_ctl.scala 2231:43] - assign io_trigger_pkt_any_3_store = io_mtdata1_t_3[1]; // @[dec_tlu_ctl.scala 2232:40] - assign io_trigger_pkt_any_3_load = io_mtdata1_t_3[0]; // @[dec_tlu_ctl.scala 2233:40] - assign io_trigger_pkt_any_3_execute = io_mtdata1_t_3[2]; // @[dec_tlu_ctl.scala 2234:40] - assign io_trigger_pkt_any_3_m = io_mtdata1_t_3[3]; // @[dec_tlu_ctl.scala 2235:40] - assign io_trigger_pkt_any_3_tdata2 = mtdata2_t_3; // @[dec_tlu_ctl.scala 2248:51] - assign io_dec_tlu_int_valid_wb1 = _T_2338; // @[dec_tlu_ctl.scala 2490:30] - assign io_dec_tlu_i0_exc_valid_wb1 = _T_2336; // @[dec_tlu_ctl.scala 2488:30] - assign io_dec_tlu_i0_valid_wb1 = _T_2331; // @[dec_tlu_ctl.scala 2487:30] - assign io_dec_tlu_mtval_wb1 = mtval; // @[dec_tlu_ctl.scala 2492:24] - assign io_dec_tlu_exc_cause_wb1 = _T_2337; // @[dec_tlu_ctl.scala 2489:30] - assign io_dec_tlu_perfcnt0 = mhpmc_inc_r_d1_0 & _T_2183; // @[dec_tlu_ctl.scala 2344:22] - assign io_dec_tlu_perfcnt1 = mhpmc_inc_r_d1_1 & _T_2188; // @[dec_tlu_ctl.scala 2345:22] - assign io_dec_tlu_perfcnt2 = mhpmc_inc_r_d1_2 & _T_2193; // @[dec_tlu_ctl.scala 2346:22] - assign io_dec_tlu_perfcnt3 = mhpmc_inc_r_d1_3 & _T_2198; // @[dec_tlu_ctl.scala 2347:22] - assign io_dec_tlu_misc_clk_override = mcgc[8]; // @[dec_tlu_ctl.scala 1717:31] - assign io_dec_tlu_dec_clk_override = mcgc[7]; // @[dec_tlu_ctl.scala 1718:31] - assign io_dec_tlu_lsu_clk_override = mcgc[4]; // @[dec_tlu_ctl.scala 1720:31] - assign io_dec_tlu_pic_clk_override = mcgc[2]; // @[dec_tlu_ctl.scala 1722:31] - assign io_dec_tlu_dccm_clk_override = mcgc[1]; // @[dec_tlu_ctl.scala 1723:31] - assign io_dec_tlu_icm_clk_override = mcgc[0]; // @[dec_tlu_ctl.scala 1724:31] - assign io_dec_csr_rddata_d = _T_2614 | _T_2560; // @[dec_tlu_ctl.scala 2497:21] - assign io_dec_tlu_pipelining_disable = mfdc[0]; // @[dec_tlu_ctl.scala 1767:39] - assign io_dec_tlu_wr_pause_r = _T_370 & _T_371; // @[dec_tlu_ctl.scala 1776:24] - assign io_dec_tlu_meipt = meipt; // @[dec_tlu_ctl.scala 2005:19] - assign io_dec_tlu_meicurpl = meicurpl; // @[dec_tlu_ctl.scala 1969:22] - assign io_dec_tlu_meihap = {meivt,meihap}; // @[dec_tlu_ctl.scala 1955:20] - assign io_dec_tlu_mrac_ff = mrac; // @[dec_tlu_ctl.scala 1806:21] - assign io_dec_tlu_wb_coalescing_disable = mfdc[2]; // @[dec_tlu_ctl.scala 1766:39] - assign io_dec_tlu_bpred_disable = mfdc[3]; // @[dec_tlu_ctl.scala 1765:39] - assign io_dec_tlu_sideeffect_posted_disable = mfdc[6]; // @[dec_tlu_ctl.scala 1764:39] - assign io_dec_tlu_core_ecc_disable = mfdc[8]; // @[dec_tlu_ctl.scala 1763:39] - assign io_dec_tlu_external_ldfwd_disable = mfdc[11]; // @[dec_tlu_ctl.scala 1762:39] - assign io_dec_tlu_dma_qos_prty = mfdc[18:16]; // @[dec_tlu_ctl.scala 1761:39] - assign io_dec_csr_wen_r_mod = _T_1 & _T_2; // @[dec_tlu_ctl.scala 1450:23] - assign io_fw_halt_req = _T_502 & _T_503; // @[dec_tlu_ctl.scala 1841:17] - assign io_mstatus = _T_56; // @[dec_tlu_ctl.scala 1466:13] - assign io_mstatus_mie_ns = io_mstatus[0] & _T_54; // @[dec_tlu_ctl.scala 1465:20] - assign io_dcsr = _T_701; // @[dec_tlu_ctl.scala 2052:10] - assign io_mtvec = _T_62; // @[dec_tlu_ctl.scala 1478:11] - assign io_mip = _T_68; // @[dec_tlu_ctl.scala 1493:9] - assign io_mie_ns = wr_mie_r ? _T_78 : mie; // @[dec_tlu_ctl.scala 1507:12] - assign io_npc_r = _T_161 | _T_159; // @[dec_tlu_ctl.scala 1601:11] - assign io_npc_r_d1 = _T_167; // @[dec_tlu_ctl.scala 1607:14] - assign io_mepc = _T_196; // @[dec_tlu_ctl.scala 1626:10] - assign io_mdseac_locked_ns = mdseac_en | _T_489; // @[dec_tlu_ctl.scala 1824:22] - assign io_force_halt = mfdht[0] & _T_609; // @[dec_tlu_ctl.scala 1932:16] - assign io_dpc = _T_726; // @[dec_tlu_ctl.scala 2069:9] - assign io_mtdata1_t_0 = _T_873; // @[dec_tlu_ctl.scala 2225:39] - assign io_mtdata1_t_1 = _T_874; // @[dec_tlu_ctl.scala 2225:39] - assign io_mtdata1_t_2 = _T_875; // @[dec_tlu_ctl.scala 2225:39] - assign io_mtdata1_t_3 = _T_876; // @[dec_tlu_ctl.scala 2225:39] + assign io_dec_tlu_ic_diag_pkt_icache_wrdata = {_T_753,dicad0[31:0]}; // @[dec_tlu_ctl.scala 2136:56] + assign io_dec_tlu_ic_diag_pkt_icache_dicawics = dicawics; // @[dec_tlu_ctl.scala 2139:41] + assign io_dec_tlu_ic_diag_pkt_icache_rd_valid = icache_rd_valid_f; // @[dec_tlu_ctl.scala 2147:41] + assign io_dec_tlu_ic_diag_pkt_icache_wr_valid = icache_wr_valid_f; // @[dec_tlu_ctl.scala 2148:41] + assign io_trigger_pkt_any_0_select = io_mtdata1_t_0[7]; // @[dec_tlu_ctl.scala 2212:40] + assign io_trigger_pkt_any_0_match_pkt = io_mtdata1_t_0[4]; // @[dec_tlu_ctl.scala 2213:43] + assign io_trigger_pkt_any_0_store = io_mtdata1_t_0[1]; // @[dec_tlu_ctl.scala 2214:40] + assign io_trigger_pkt_any_0_load = io_mtdata1_t_0[0]; // @[dec_tlu_ctl.scala 2215:40] + assign io_trigger_pkt_any_0_execute = io_mtdata1_t_0[2]; // @[dec_tlu_ctl.scala 2216:40] + assign io_trigger_pkt_any_0_m = io_mtdata1_t_0[3]; // @[dec_tlu_ctl.scala 2217:40] + assign io_trigger_pkt_any_0_tdata2 = mtdata2_t_0; // @[dec_tlu_ctl.scala 2230:51] + assign io_trigger_pkt_any_1_select = io_mtdata1_t_1[7]; // @[dec_tlu_ctl.scala 2212:40] + assign io_trigger_pkt_any_1_match_pkt = io_mtdata1_t_1[4]; // @[dec_tlu_ctl.scala 2213:43] + assign io_trigger_pkt_any_1_store = io_mtdata1_t_1[1]; // @[dec_tlu_ctl.scala 2214:40] + assign io_trigger_pkt_any_1_load = io_mtdata1_t_1[0]; // @[dec_tlu_ctl.scala 2215:40] + assign io_trigger_pkt_any_1_execute = io_mtdata1_t_1[2]; // @[dec_tlu_ctl.scala 2216:40] + assign io_trigger_pkt_any_1_m = io_mtdata1_t_1[3]; // @[dec_tlu_ctl.scala 2217:40] + assign io_trigger_pkt_any_1_tdata2 = mtdata2_t_1; // @[dec_tlu_ctl.scala 2230:51] + assign io_trigger_pkt_any_2_select = io_mtdata1_t_2[7]; // @[dec_tlu_ctl.scala 2212:40] + assign io_trigger_pkt_any_2_match_pkt = io_mtdata1_t_2[4]; // @[dec_tlu_ctl.scala 2213:43] + assign io_trigger_pkt_any_2_store = io_mtdata1_t_2[1]; // @[dec_tlu_ctl.scala 2214:40] + assign io_trigger_pkt_any_2_load = io_mtdata1_t_2[0]; // @[dec_tlu_ctl.scala 2215:40] + assign io_trigger_pkt_any_2_execute = io_mtdata1_t_2[2]; // @[dec_tlu_ctl.scala 2216:40] + assign io_trigger_pkt_any_2_m = io_mtdata1_t_2[3]; // @[dec_tlu_ctl.scala 2217:40] + assign io_trigger_pkt_any_2_tdata2 = mtdata2_t_2; // @[dec_tlu_ctl.scala 2230:51] + assign io_trigger_pkt_any_3_select = io_mtdata1_t_3[7]; // @[dec_tlu_ctl.scala 2212:40] + assign io_trigger_pkt_any_3_match_pkt = io_mtdata1_t_3[4]; // @[dec_tlu_ctl.scala 2213:43] + assign io_trigger_pkt_any_3_store = io_mtdata1_t_3[1]; // @[dec_tlu_ctl.scala 2214:40] + assign io_trigger_pkt_any_3_load = io_mtdata1_t_3[0]; // @[dec_tlu_ctl.scala 2215:40] + assign io_trigger_pkt_any_3_execute = io_mtdata1_t_3[2]; // @[dec_tlu_ctl.scala 2216:40] + assign io_trigger_pkt_any_3_m = io_mtdata1_t_3[3]; // @[dec_tlu_ctl.scala 2217:40] + assign io_trigger_pkt_any_3_tdata2 = mtdata2_t_3; // @[dec_tlu_ctl.scala 2230:51] + assign io_dec_tlu_int_valid_wb1 = _T_2327; // @[dec_tlu_ctl.scala 2472:30] + assign io_dec_tlu_i0_exc_valid_wb1 = _T_2325; // @[dec_tlu_ctl.scala 2470:30] + assign io_dec_tlu_i0_valid_wb1 = _T_2320; // @[dec_tlu_ctl.scala 2469:30] + assign io_dec_tlu_mtval_wb1 = mtval; // @[dec_tlu_ctl.scala 2474:24] + assign io_dec_tlu_exc_cause_wb1 = _T_2326; // @[dec_tlu_ctl.scala 2471:30] + assign io_dec_tlu_perfcnt0 = mhpmc_inc_r_d1_0 & _T_2172; // @[dec_tlu_ctl.scala 2326:22] + assign io_dec_tlu_perfcnt1 = mhpmc_inc_r_d1_1 & _T_2177; // @[dec_tlu_ctl.scala 2327:22] + assign io_dec_tlu_perfcnt2 = mhpmc_inc_r_d1_2 & _T_2182; // @[dec_tlu_ctl.scala 2328:22] + assign io_dec_tlu_perfcnt3 = mhpmc_inc_r_d1_3 & _T_2187; // @[dec_tlu_ctl.scala 2329:22] + assign io_dec_tlu_misc_clk_override = mcgc[8]; // @[dec_tlu_ctl.scala 1698:31] + assign io_dec_tlu_dec_clk_override = mcgc[7]; // @[dec_tlu_ctl.scala 1699:31] + assign io_dec_tlu_lsu_clk_override = mcgc[4]; // @[dec_tlu_ctl.scala 1701:31] + assign io_dec_tlu_bus_clk_override = mcgc[3]; // @[dec_tlu_ctl.scala 1702:31] + assign io_dec_tlu_pic_clk_override = mcgc[2]; // @[dec_tlu_ctl.scala 1703:31] + assign io_dec_tlu_dccm_clk_override = mcgc[1]; // @[dec_tlu_ctl.scala 1704:31] + assign io_dec_tlu_icm_clk_override = mcgc[0]; // @[dec_tlu_ctl.scala 1705:31] + assign io_dec_csr_rddata_d = _T_2603 | _T_2549; // @[dec_tlu_ctl.scala 2479:21] + assign io_dec_tlu_pipelining_disable = mfdc[0]; // @[dec_tlu_ctl.scala 1748:39] + assign io_dec_tlu_wr_pause_r = _T_360 & _T_361; // @[dec_tlu_ctl.scala 1757:24] + assign io_dec_tlu_meipt = meipt; // @[dec_tlu_ctl.scala 1986:19] + assign io_dec_tlu_meicurpl = meicurpl; // @[dec_tlu_ctl.scala 1950:22] + assign io_dec_tlu_meihap = {meivt,meihap}; // @[dec_tlu_ctl.scala 1936:20] + assign io_dec_tlu_mrac_ff = mrac; // @[dec_tlu_ctl.scala 1787:21] + assign io_dec_tlu_bpred_disable = mfdc[3]; // @[dec_tlu_ctl.scala 1746:39] + assign io_dec_tlu_sideeffect_posted_disable = mfdc[6]; // @[dec_tlu_ctl.scala 1745:39] + assign io_dec_tlu_core_ecc_disable = mfdc[8]; // @[dec_tlu_ctl.scala 1744:39] + assign io_dec_tlu_external_ldfwd_disable = mfdc[11]; // @[dec_tlu_ctl.scala 1743:39] + assign io_dec_tlu_dma_qos_prty = mfdc[18:16]; // @[dec_tlu_ctl.scala 1742:39] + assign io_dec_csr_wen_r_mod = _T_1 & _T_2; // @[dec_tlu_ctl.scala 1431:23] + assign io_fw_halt_req = _T_492 & _T_493; // @[dec_tlu_ctl.scala 1822:17] + assign io_mstatus = _T_56; // @[dec_tlu_ctl.scala 1447:13] + assign io_mstatus_mie_ns = io_mstatus[0] & _T_54; // @[dec_tlu_ctl.scala 1446:20] + assign io_dcsr = _T_691; // @[dec_tlu_ctl.scala 2033:10] + assign io_mtvec = _T_62; // @[dec_tlu_ctl.scala 1459:11] + assign io_mip = _T_68; // @[dec_tlu_ctl.scala 1474:9] + assign io_mie_ns = wr_mie_r ? _T_78 : mie; // @[dec_tlu_ctl.scala 1488:12] + assign io_npc_r = _T_161 | _T_159; // @[dec_tlu_ctl.scala 1582:11] + assign io_npc_r_d1 = _T_167; // @[dec_tlu_ctl.scala 1588:14] + assign io_mepc = _T_196; // @[dec_tlu_ctl.scala 1607:10] + assign io_mdseac_locked_ns = mdseac_en | _T_479; // @[dec_tlu_ctl.scala 1805:22] + assign io_force_halt = mfdht[0] & _T_599; // @[dec_tlu_ctl.scala 1913:16] + assign io_dpc = _T_716; // @[dec_tlu_ctl.scala 2050:9] + assign io_mtdata1_t_0 = _T_862; // @[dec_tlu_ctl.scala 2207:39] + assign io_mtdata1_t_1 = _T_863; // @[dec_tlu_ctl.scala 2207:39] + assign io_mtdata1_t_2 = _T_864; // @[dec_tlu_ctl.scala 2207:39] + assign io_mtdata1_t_3 = _T_865; // @[dec_tlu_ctl.scala 2207:39] assign rvclkhdr_io_clk = clock; // @[lib.scala 370:18] assign rvclkhdr_io_en = io_dec_csr_wen_r_mod & _T_58; // @[lib.scala 371:17] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] @@ -52675,34 +52705,34 @@ module csr_tlu( assign rvclkhdr_9_io_en = io_dec_csr_wen_r_mod & _T_337; // @[lib.scala 371:17] assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] assign rvclkhdr_10_io_clk = clock; // @[lib.scala 370:18] - assign rvclkhdr_10_io_en = io_dec_csr_wen_r_mod & _T_374; // @[lib.scala 371:17] + assign rvclkhdr_10_io_en = io_dec_csr_wen_r_mod & _T_364; // @[lib.scala 371:17] assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] assign rvclkhdr_11_io_clk = clock; // @[lib.scala 370:18] - assign rvclkhdr_11_io_en = _T_493 & _T_494; // @[lib.scala 371:17] + assign rvclkhdr_11_io_en = _T_483 & _T_484; // @[lib.scala 371:17] assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] assign rvclkhdr_12_io_clk = clock; // @[lib.scala 370:18] assign rvclkhdr_12_io_en = wr_micect_r | io_ic_perr_r_d1; // @[lib.scala 371:17] assign rvclkhdr_12_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] assign rvclkhdr_13_io_clk = clock; // @[lib.scala 370:18] - assign rvclkhdr_13_io_en = _T_549 | io_iccm_dma_sb_error; // @[lib.scala 371:17] + assign rvclkhdr_13_io_en = _T_539 | io_iccm_dma_sb_error; // @[lib.scala 371:17] assign rvclkhdr_13_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] assign rvclkhdr_14_io_clk = clock; // @[lib.scala 370:18] assign rvclkhdr_14_io_en = wr_mdccmect_r | io_lsu_single_ecc_error_r_d1; // @[lib.scala 371:17] assign rvclkhdr_14_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] assign rvclkhdr_15_io_clk = clock; // @[lib.scala 370:18] - assign rvclkhdr_15_io_en = io_dec_csr_wen_r_mod & _T_612; // @[lib.scala 371:17] + assign rvclkhdr_15_io_en = io_dec_csr_wen_r_mod & _T_602; // @[lib.scala 371:17] assign rvclkhdr_15_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] assign rvclkhdr_16_io_clk = clock; // @[lib.scala 370:18] - assign rvclkhdr_16_io_en = _T_632 | io_take_ext_int_start; // @[lib.scala 371:17] + assign rvclkhdr_16_io_en = _T_622 | io_take_ext_int_start; // @[lib.scala 371:17] assign rvclkhdr_16_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] assign rvclkhdr_17_io_clk = clock; // @[lib.scala 370:18] - assign rvclkhdr_17_io_en = _T_698 | io_take_nmi; // @[lib.scala 371:17] + assign rvclkhdr_17_io_en = _T_688 | io_take_nmi; // @[lib.scala 371:17] assign rvclkhdr_17_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] assign rvclkhdr_18_io_clk = clock; // @[lib.scala 370:18] - assign rvclkhdr_18_io_en = _T_723 | dpc_capture_npc; // @[lib.scala 371:17] + assign rvclkhdr_18_io_en = _T_713 | dpc_capture_npc; // @[lib.scala 371:17] assign rvclkhdr_18_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] assign rvclkhdr_19_io_clk = clock; // @[lib.scala 370:18] - assign rvclkhdr_19_io_en = _T_663 & _T_733; // @[lib.scala 371:17] + assign rvclkhdr_19_io_en = _T_653 & _T_723; // @[lib.scala 371:17] assign rvclkhdr_19_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] assign rvclkhdr_20_io_clk = clock; // @[lib.scala 370:18] assign rvclkhdr_20_io_en = wr_dicad0_r | io_ifu_ic_debug_rd_data_valid; // @[lib.scala 371:17] @@ -52711,16 +52741,16 @@ module csr_tlu( assign rvclkhdr_21_io_en = wr_dicad0h_r | io_ifu_ic_debug_rd_data_valid; // @[lib.scala 371:17] assign rvclkhdr_21_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] assign rvclkhdr_22_io_clk = clock; // @[lib.scala 370:18] - assign rvclkhdr_22_io_en = _T_972 & _T_808; // @[lib.scala 371:17] + assign rvclkhdr_22_io_en = _T_961 & _T_797; // @[lib.scala 371:17] assign rvclkhdr_22_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] assign rvclkhdr_23_io_clk = clock; // @[lib.scala 370:18] - assign rvclkhdr_23_io_en = _T_981 & _T_817; // @[lib.scala 371:17] + assign rvclkhdr_23_io_en = _T_970 & _T_806; // @[lib.scala 371:17] assign rvclkhdr_23_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] assign rvclkhdr_24_io_clk = clock; // @[lib.scala 370:18] - assign rvclkhdr_24_io_en = _T_990 & _T_826; // @[lib.scala 371:17] + assign rvclkhdr_24_io_en = _T_979 & _T_815; // @[lib.scala 371:17] assign rvclkhdr_24_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] assign rvclkhdr_25_io_clk = clock; // @[lib.scala 370:18] - assign rvclkhdr_25_io_en = _T_999 & _T_835; // @[lib.scala 371:17] + assign rvclkhdr_25_io_en = _T_988 & _T_824; // @[lib.scala 371:17] assign rvclkhdr_25_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] assign rvclkhdr_26_io_clk = clock; // @[lib.scala 370:18] assign rvclkhdr_26_io_en = mhpmc3_wr_en0 | mhpmc3_wr_en1; // @[lib.scala 371:17] @@ -52747,7 +52777,7 @@ module csr_tlu( assign rvclkhdr_33_io_en = mhpmc6h_wr_en0 | mhpmc6_wr_en1; // @[lib.scala 371:17] assign rvclkhdr_33_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] assign rvclkhdr_34_io_clk = clock; // @[lib.scala 344:17] - assign rvclkhdr_34_io_en = _T_2328 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_34_io_en = _T_2317 | io_clk_override; // @[lib.scala 345:16] assign rvclkhdr_34_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE @@ -52857,9 +52887,9 @@ initial begin _RAND_35 = {1{`RANDOM}}; meipt = _RAND_35[3:0]; _RAND_36 = {1{`RANDOM}}; - _T_701 = _RAND_36[15:0]; + _T_691 = _RAND_36[15:0]; _RAND_37 = {1{`RANDOM}}; - _T_726 = _RAND_37[30:0]; + _T_716 = _RAND_37[30:0]; _RAND_38 = {1{`RANDOM}}; dicawics = _RAND_38[16:0]; _RAND_39 = {3{`RANDOM}}; @@ -52867,7 +52897,7 @@ initial begin _RAND_40 = {1{`RANDOM}}; dicad0h = _RAND_40[31:0]; _RAND_41 = {1{`RANDOM}}; - _T_759 = _RAND_41[6:0]; + _T_748 = _RAND_41[31:0]; _RAND_42 = {1{`RANDOM}}; icache_rd_valid_f = _RAND_42[0:0]; _RAND_43 = {1{`RANDOM}}; @@ -52875,13 +52905,13 @@ initial begin _RAND_44 = {1{`RANDOM}}; mtsel = _RAND_44[1:0]; _RAND_45 = {1{`RANDOM}}; - _T_873 = _RAND_45[9:0]; + _T_862 = _RAND_45[9:0]; _RAND_46 = {1{`RANDOM}}; - _T_874 = _RAND_46[9:0]; + _T_863 = _RAND_46[9:0]; _RAND_47 = {1{`RANDOM}}; - _T_875 = _RAND_47[9:0]; + _T_864 = _RAND_47[9:0]; _RAND_48 = {1{`RANDOM}}; - _T_876 = _RAND_48[9:0]; + _T_865 = _RAND_48[9:0]; _RAND_49 = {1{`RANDOM}}; mtdata2_t_0 = _RAND_49[31:0]; _RAND_50 = {1{`RANDOM}}; @@ -52925,13 +52955,13 @@ initial begin _RAND_69 = {1{`RANDOM}}; mhpmc6 = _RAND_69[31:0]; _RAND_70 = {1{`RANDOM}}; - _T_2331 = _RAND_70[0:0]; + _T_2320 = _RAND_70[0:0]; _RAND_71 = {1{`RANDOM}}; - _T_2336 = _RAND_71[0:0]; + _T_2325 = _RAND_71[0:0]; _RAND_72 = {1{`RANDOM}}; - _T_2337 = _RAND_72[4:0]; + _T_2326 = _RAND_72[4:0]; _RAND_73 = {1{`RANDOM}}; - _T_2338 = _RAND_73[0:0]; + _T_2327 = _RAND_73[0:0]; `endif // RANDOMIZE_REG_INIT if (reset) begin mpmc_b = 1'h0; @@ -53042,10 +53072,10 @@ initial begin meipt = 4'h0; end if (reset) begin - _T_701 = 16'h0; + _T_691 = 16'h0; end if (reset) begin - _T_726 = 31'h0; + _T_716 = 31'h0; end if (reset) begin dicawics = 17'h0; @@ -53057,7 +53087,7 @@ initial begin dicad0h = 32'h0; end if (reset) begin - _T_759 = 7'h0; + _T_748 = 32'h0; end if (reset) begin icache_rd_valid_f = 1'h0; @@ -53069,16 +53099,16 @@ initial begin mtsel = 2'h0; end if (reset) begin - _T_873 = 10'h0; + _T_862 = 10'h0; end if (reset) begin - _T_874 = 10'h0; + _T_863 = 10'h0; end if (reset) begin - _T_875 = 10'h0; + _T_864 = 10'h0; end if (reset) begin - _T_876 = 10'h0; + _T_865 = 10'h0; end if (reset) begin mtdata2_t_0 = 32'h0; @@ -53144,16 +53174,16 @@ initial begin mhpmc6 = 32'h0; end if (reset) begin - _T_2331 = 1'h0; + _T_2320 = 1'h0; end if (reset) begin - _T_2336 = 1'h0; + _T_2325 = 1'h0; end if (reset) begin - _T_2337 = 5'h0; + _T_2326 = 5'h0; end if (reset) begin - _T_2338 = 1'h0; + _T_2327 = 1'h0; end `endif // RANDOMIZE end // initial @@ -53165,9 +53195,9 @@ end // initial if (reset) begin mpmc_b <= 1'h0; end else if (wr_mpmc_r) begin - mpmc_b <= _T_510; + mpmc_b <= _T_500; end else begin - mpmc_b <= _T_511; + mpmc_b <= _T_501; end end always @(posedge io_free_clk or posedge reset) begin @@ -53188,27 +53218,27 @@ end // initial if (reset) begin mdccmect <= 32'h0; end else if (wr_mdccmect_r) begin - mdccmect <= _T_525; + mdccmect <= _T_515; end else begin - mdccmect <= _T_569; + mdccmect <= _T_559; end end always @(posedge rvclkhdr_13_io_l1clk or posedge reset) begin if (reset) begin miccmect <= 32'h0; end else if (wr_miccmect_r) begin - miccmect <= _T_525; + miccmect <= _T_515; end else begin - miccmect <= _T_548; + miccmect <= _T_538; end end always @(posedge rvclkhdr_12_io_l1clk or posedge reset) begin if (reset) begin micect <= 32'h0; end else if (wr_micect_r) begin - micect <= _T_525; + micect <= _T_515; end else begin - micect <= _T_527; + micect <= _T_517; end end always @(posedge io_free_clk or posedge reset) begin @@ -53356,14 +53386,14 @@ end // initial if (reset) begin mfdc_int <= 15'h0; end else begin - mfdc_int <= {_T_347,_T_346}; + mfdc_int <= {_T_341,io_dec_csr_wrdata_r[11:0]}; end end always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin if (reset) begin mrac <= 32'h0; end else begin - mrac <= {_T_484,_T_469}; + mrac <= {_T_474,_T_459}; end end always @(posedge rvclkhdr_11_io_l1clk or posedge reset) begin @@ -53383,11 +53413,11 @@ end // initial always @(posedge io_active_clk or posedge reset) begin if (reset) begin mfdhs <= 2'h0; - end else if (_T_595) begin + end else if (_T_585) begin if (wr_mfdhs_r) begin mfdhs <= io_dec_csr_wrdata_r[1:0]; - end else if (_T_589) begin - mfdhs <= _T_593; + end else if (_T_579) begin + mfdhs <= _T_583; end end end @@ -53396,7 +53426,7 @@ end // initial force_halt_ctr_f <= 32'h0; end else if (mfdht[0]) begin if (io_debug_halt_req_f) begin - force_halt_ctr_f <= _T_600; + force_halt_ctr_f <= _T_590; end else if (io_dbg_tlu_halted_f) begin force_halt_ctr_f <= 32'h0; end @@ -53441,27 +53471,27 @@ end // initial end always @(posedge rvclkhdr_17_io_l1clk or posedge reset) begin if (reset) begin - _T_701 <= 16'h0; + _T_691 <= 16'h0; end else if (enter_debug_halt_req_le) begin - _T_701 <= _T_675; + _T_691 <= _T_665; end else if (wr_dcsr_r) begin - _T_701 <= _T_690; + _T_691 <= _T_680; end else begin - _T_701 <= _T_695; + _T_691 <= _T_685; end end always @(posedge rvclkhdr_18_io_l1clk or posedge reset) begin if (reset) begin - _T_726 <= 31'h0; + _T_716 <= 31'h0; end else begin - _T_726 <= _T_721 | _T_720; + _T_716 <= _T_711 | _T_710; end end always @(posedge rvclkhdr_19_io_l1clk or posedge reset) begin if (reset) begin dicawics <= 17'h0; end else begin - dicawics <= {_T_730,io_dec_csr_wrdata_r[16:3]}; + dicawics <= {_T_720,io_dec_csr_wrdata_r[16:3]}; end end always @(posedge rvclkhdr_20_io_l1clk or posedge reset) begin @@ -53484,12 +53514,12 @@ end // initial end always @(posedge io_active_clk or posedge reset) begin if (reset) begin - _T_759 <= 7'h0; - end else if (_T_757) begin - if (_T_752) begin - _T_759 <= io_dec_csr_wrdata_r[6:0]; + _T_748 <= 32'h0; + end else if (_T_746) begin + if (_T_742) begin + _T_748 <= io_dec_csr_wrdata_r; end else begin - _T_759 <= io_ifu_ic_debug_rd_data[70:64]; + _T_748 <= {{25'd0}, io_ifu_ic_debug_rd_data[70:64]}; end end end @@ -53497,14 +53527,14 @@ end // initial if (reset) begin icache_rd_valid_f <= 1'h0; end else begin - icache_rd_valid_f <= _T_769 & _T_771; + icache_rd_valid_f <= _T_758 & _T_760; end end always @(posedge io_active_clk or posedge reset) begin if (reset) begin icache_wr_valid_f <= 1'h0; end else begin - icache_wr_valid_f <= _T_663 & _T_774; + icache_wr_valid_f <= _T_653 & _T_763; end end always @(posedge io_csr_wr_clk or posedge reset) begin @@ -53516,38 +53546,38 @@ end // initial end always @(posedge io_active_clk or posedge reset) begin if (reset) begin - _T_873 <= 10'h0; + _T_862 <= 10'h0; end else if (wr_mtdata1_t_r_0) begin - _T_873 <= tdata_wrdata_r; + _T_862 <= tdata_wrdata_r; end else begin - _T_873 <= _T_844; + _T_862 <= _T_833; end end always @(posedge io_active_clk or posedge reset) begin if (reset) begin - _T_874 <= 10'h0; + _T_863 <= 10'h0; end else if (wr_mtdata1_t_r_1) begin - _T_874 <= tdata_wrdata_r; + _T_863 <= tdata_wrdata_r; end else begin - _T_874 <= _T_853; + _T_863 <= _T_842; end end always @(posedge io_active_clk or posedge reset) begin if (reset) begin - _T_875 <= 10'h0; + _T_864 <= 10'h0; end else if (wr_mtdata1_t_r_2) begin - _T_875 <= tdata_wrdata_r; + _T_864 <= tdata_wrdata_r; end else begin - _T_875 <= _T_862; + _T_864 <= _T_851; end end always @(posedge io_active_clk or posedge reset) begin if (reset) begin - _T_876 <= 10'h0; + _T_865 <= 10'h0; end else if (wr_mtdata1_t_r_3) begin - _T_876 <= tdata_wrdata_r; + _T_865 <= tdata_wrdata_r; end else begin - _T_876 <= _T_871; + _T_865 <= _T_860; end end always @(posedge rvclkhdr_22_io_l1clk or posedge reset) begin @@ -53582,7 +53612,7 @@ end // initial if (reset) begin mhpme3 <= 10'h0; end else if (wr_mhpme3_r) begin - if (_T_2293) begin + if (_T_2282) begin mhpme3 <= 10'h204; end else begin mhpme3 <= io_dec_csr_wrdata_r[9:0]; @@ -53593,7 +53623,7 @@ end // initial if (reset) begin mhpme4 <= 10'h0; end else if (wr_mhpme4_r) begin - if (_T_2293) begin + if (_T_2282) begin mhpme4 <= 10'h204; end else begin mhpme4 <= io_dec_csr_wrdata_r[9:0]; @@ -53604,7 +53634,7 @@ end // initial if (reset) begin mhpme5 <= 10'h0; end else if (wr_mhpme5_r) begin - if (_T_2293) begin + if (_T_2282) begin mhpme5 <= 10'h204; end else begin mhpme5 <= io_dec_csr_wrdata_r[9:0]; @@ -53615,7 +53645,7 @@ end // initial if (reset) begin mhpme6 <= 10'h0; end else if (wr_mhpme6_r) begin - if (_T_2293) begin + if (_T_2282) begin mhpme6 <= 10'h204; end else begin mhpme6 <= io_dec_csr_wrdata_r[9:0]; @@ -53626,28 +53656,28 @@ end // initial if (reset) begin mhpmc_inc_r_d1_0 <= 1'h0; end else begin - mhpmc_inc_r_d1_0 <= _T_1026 & _T_1306; + mhpmc_inc_r_d1_0 <= _T_1015 & _T_1295; end end always @(posedge io_free_clk or posedge reset) begin if (reset) begin mhpmc_inc_r_d1_1 <= 1'h0; end else begin - mhpmc_inc_r_d1_1 <= _T_1310 & _T_1590; + mhpmc_inc_r_d1_1 <= _T_1299 & _T_1579; end end always @(posedge io_free_clk or posedge reset) begin if (reset) begin mhpmc_inc_r_d1_2 <= 1'h0; end else begin - mhpmc_inc_r_d1_2 <= _T_1594 & _T_1874; + mhpmc_inc_r_d1_2 <= _T_1583 & _T_1863; end end always @(posedge io_free_clk or posedge reset) begin if (reset) begin mhpmc_inc_r_d1_3 <= 1'h0; end else begin - mhpmc_inc_r_d1_3 <= _T_1878 & _T_2158; + mhpmc_inc_r_d1_3 <= _T_1867 & _T_2147; end end always @(posedge io_free_clk or posedge reset) begin @@ -53731,30 +53761,30 @@ end // initial end always @(posedge rvclkhdr_34_io_l1clk or posedge reset) begin if (reset) begin - _T_2331 <= 1'h0; + _T_2320 <= 1'h0; end else begin - _T_2331 <= io_i0_valid_wb; + _T_2320 <= io_i0_valid_wb; end end always @(posedge rvclkhdr_34_io_l1clk or posedge reset) begin if (reset) begin - _T_2336 <= 1'h0; + _T_2325 <= 1'h0; end else begin - _T_2336 <= _T_2332 | _T_2334; + _T_2325 <= _T_2321 | _T_2323; end end always @(posedge rvclkhdr_34_io_l1clk or posedge reset) begin if (reset) begin - _T_2337 <= 5'h0; + _T_2326 <= 5'h0; end else begin - _T_2337 <= io_exc_cause_wb; + _T_2326 <= io_exc_cause_wb; end end always @(posedge rvclkhdr_34_io_l1clk or posedge reset) begin if (reset) begin - _T_2338 <= 1'h0; + _T_2327 <= 1'h0; end else begin - _T_2338 <= io_interrupt_valid_r_d1; + _T_2327 <= io_interrupt_valid_r_d1; end end endmodule @@ -53828,371 +53858,371 @@ module dec_decode_csr_read( output io_csr_pkt_postsync, output io_csr_pkt_legal ); - wire _T_1 = ~io_dec_csr_rdaddr_d[11]; // @[dec_tlu_ctl.scala 2569:129] - wire _T_3 = ~io_dec_csr_rdaddr_d[6]; // @[dec_tlu_ctl.scala 2569:129] - wire _T_5 = ~io_dec_csr_rdaddr_d[5]; // @[dec_tlu_ctl.scala 2569:129] - wire _T_7 = ~io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2569:129] - wire _T_9 = _T_1 & _T_3; // @[dec_tlu_ctl.scala 2569:198] - wire _T_10 = _T_9 & _T_5; // @[dec_tlu_ctl.scala 2569:198] - wire _T_11 = _T_10 & _T_7; // @[dec_tlu_ctl.scala 2569:198] - wire _T_15 = ~io_dec_csr_rdaddr_d[7]; // @[dec_tlu_ctl.scala 2569:129] - wire _T_17 = ~io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2569:129] - wire _T_19 = io_dec_csr_rdaddr_d[10] & _T_15; // @[dec_tlu_ctl.scala 2569:198] - wire _T_20 = _T_19 & _T_17; // @[dec_tlu_ctl.scala 2569:198] - wire _T_27 = ~io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2569:165] - wire _T_29 = _T_19 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_36 = io_dec_csr_rdaddr_d[10] & _T_3; // @[dec_tlu_ctl.scala 2569:198] - wire _T_37 = _T_36 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_69 = _T_10 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_70 = _T_69 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_75 = _T_15 & io_dec_csr_rdaddr_d[6]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_94 = ~io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2569:129] - wire _T_96 = ~io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2569:129] - wire _T_101 = io_dec_csr_rdaddr_d[11] & _T_15; // @[dec_tlu_ctl.scala 2569:198] - wire _T_102 = _T_101 & _T_94; // @[dec_tlu_ctl.scala 2569:198] - wire _T_103 = _T_102 & _T_96; // @[dec_tlu_ctl.scala 2569:198] - wire _T_104 = _T_103 & _T_7; // @[dec_tlu_ctl.scala 2569:198] - wire _T_119 = io_dec_csr_rdaddr_d[7] & _T_3; // @[dec_tlu_ctl.scala 2569:198] - wire _T_120 = _T_119 & _T_5; // @[dec_tlu_ctl.scala 2569:198] - wire _T_121 = _T_120 & _T_94; // @[dec_tlu_ctl.scala 2569:198] - wire _T_122 = _T_121 & _T_96; // @[dec_tlu_ctl.scala 2569:198] - wire _T_123 = _T_122 & _T_7; // @[dec_tlu_ctl.scala 2569:198] - wire _T_138 = _T_15 & _T_3; // @[dec_tlu_ctl.scala 2569:198] - wire _T_139 = _T_138 & _T_94; // @[dec_tlu_ctl.scala 2569:198] - wire _T_140 = _T_139 & _T_96; // @[dec_tlu_ctl.scala 2569:198] - wire _T_141 = _T_140 & _T_7; // @[dec_tlu_ctl.scala 2569:198] - wire _T_142 = _T_141 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_145 = ~io_dec_csr_rdaddr_d[10]; // @[dec_tlu_ctl.scala 2569:129] - wire _T_156 = _T_145 & io_dec_csr_rdaddr_d[7]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_157 = _T_156 & _T_94; // @[dec_tlu_ctl.scala 2569:198] - wire _T_158 = _T_157 & _T_96; // @[dec_tlu_ctl.scala 2569:198] - wire _T_159 = _T_158 & _T_7; // @[dec_tlu_ctl.scala 2569:198] - wire _T_160 = _T_159 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_172 = _T_75 & _T_7; // @[dec_tlu_ctl.scala 2569:198] - wire _T_173 = _T_172 & _T_17; // @[dec_tlu_ctl.scala 2569:198] - wire _T_182 = _T_75 & _T_17; // @[dec_tlu_ctl.scala 2569:198] - wire _T_183 = _T_182 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_191 = _T_75 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_196 = io_dec_csr_rdaddr_d[6] & io_dec_csr_rdaddr_d[5]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_217 = _T_1 & io_dec_csr_rdaddr_d[7]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_218 = _T_217 & _T_5; // @[dec_tlu_ctl.scala 2569:198] - wire _T_219 = _T_218 & _T_96; // @[dec_tlu_ctl.scala 2569:198] - wire _T_220 = _T_219 & _T_7; // @[dec_tlu_ctl.scala 2569:198] - wire _T_230 = io_dec_csr_rdaddr_d[10] & _T_94; // @[dec_tlu_ctl.scala 2569:198] - wire _T_231 = _T_230 & _T_96; // @[dec_tlu_ctl.scala 2569:198] - wire _T_232 = _T_231 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_240 = io_dec_csr_rdaddr_d[11] & io_dec_csr_rdaddr_d[10]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_241 = _T_240 & _T_94; // @[dec_tlu_ctl.scala 2569:198] - wire _T_258 = _T_145 & io_dec_csr_rdaddr_d[6]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_259 = _T_258 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_260 = _T_259 & _T_7; // @[dec_tlu_ctl.scala 2569:198] - wire _T_261 = _T_260 & _T_17; // @[dec_tlu_ctl.scala 2569:198] - wire _T_268 = io_dec_csr_rdaddr_d[11] & io_dec_csr_rdaddr_d[6]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_269 = _T_268 & _T_17; // @[dec_tlu_ctl.scala 2569:198] - wire _T_281 = _T_268 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_291 = _T_36 & io_dec_csr_rdaddr_d[5]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_292 = _T_291 & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_299 = io_dec_csr_rdaddr_d[10] & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_300 = _T_299 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_310 = _T_300 & _T_17; // @[dec_tlu_ctl.scala 2569:198] - wire _T_311 = _T_310 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_330 = io_dec_csr_rdaddr_d[10] & io_dec_csr_rdaddr_d[5]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_331 = _T_330 & _T_94; // @[dec_tlu_ctl.scala 2569:198] - wire _T_332 = _T_331 & _T_17; // @[dec_tlu_ctl.scala 2569:198] - wire _T_342 = _T_231 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_381 = _T_103 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_382 = _T_381 & _T_17; // @[dec_tlu_ctl.scala 2569:198] - wire _T_397 = _T_103 & _T_17; // @[dec_tlu_ctl.scala 2569:198] - wire _T_411 = _T_15 & _T_5; // @[dec_tlu_ctl.scala 2569:198] - wire _T_412 = _T_411 & _T_94; // @[dec_tlu_ctl.scala 2569:198] - wire _T_413 = _T_412 & _T_96; // @[dec_tlu_ctl.scala 2569:198] - wire _T_414 = _T_413 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_415 = _T_414 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_426 = io_dec_csr_rdaddr_d[7] & _T_94; // @[dec_tlu_ctl.scala 2569:198] - wire _T_427 = _T_426 & _T_96; // @[dec_tlu_ctl.scala 2569:198] - wire _T_428 = _T_427 & _T_7; // @[dec_tlu_ctl.scala 2569:198] - wire _T_429 = _T_428 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_444 = _T_119 & _T_94; // @[dec_tlu_ctl.scala 2569:198] - wire _T_445 = _T_444 & _T_96; // @[dec_tlu_ctl.scala 2569:198] - wire _T_446 = _T_445 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_447 = _T_446 & _T_17; // @[dec_tlu_ctl.scala 2569:198] - wire _T_460 = _T_427 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_461 = _T_460 & _T_17; // @[dec_tlu_ctl.scala 2569:198] - wire _T_478 = _T_446 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_490 = _T_15 & io_dec_csr_rdaddr_d[5]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_491 = _T_490 & _T_94; // @[dec_tlu_ctl.scala 2569:198] - wire _T_492 = _T_491 & _T_96; // @[dec_tlu_ctl.scala 2569:198] - wire _T_493 = _T_492 & _T_7; // @[dec_tlu_ctl.scala 2569:198] - wire _T_505 = io_dec_csr_rdaddr_d[5] & _T_94; // @[dec_tlu_ctl.scala 2569:198] - wire _T_506 = _T_505 & _T_96; // @[dec_tlu_ctl.scala 2569:198] - wire _T_507 = _T_506 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_508 = _T_507 & _T_17; // @[dec_tlu_ctl.scala 2569:198] - wire _T_536 = _T_507 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_553 = _T_493 & _T_27; // @[dec_tlu_ctl.scala 2569:198] - wire _T_562 = io_dec_csr_rdaddr_d[6] & _T_5; // @[dec_tlu_ctl.scala 2569:198] - wire _T_563 = _T_562 & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_564 = _T_563 & _T_17; // @[dec_tlu_ctl.scala 2569:198] - wire _T_572 = io_dec_csr_rdaddr_d[6] & _T_96; // @[dec_tlu_ctl.scala 2569:198] - wire _T_573 = _T_572 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_574 = _T_573 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_585 = _T_563 & _T_7; // @[dec_tlu_ctl.scala 2569:198] - wire _T_593 = io_dec_csr_rdaddr_d[6] & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_594 = _T_593 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_595 = _T_594 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_614 = io_dec_csr_rdaddr_d[6] & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_615 = _T_614 & _T_17; // @[dec_tlu_ctl.scala 2569:198] - wire _T_624 = io_dec_csr_rdaddr_d[6] & _T_94; // @[dec_tlu_ctl.scala 2569:198] - wire _T_625 = _T_624 & _T_96; // @[dec_tlu_ctl.scala 2569:198] - wire _T_626 = _T_625 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_668 = _T_196 & _T_96; // @[dec_tlu_ctl.scala 2569:198] - wire _T_669 = _T_668 & _T_17; // @[dec_tlu_ctl.scala 2569:198] - wire _T_685 = _T_196 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_693 = io_dec_csr_rdaddr_d[6] & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_694 = _T_693 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_695 = _T_694 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_703 = _T_624 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_716 = _T_1 & _T_5; // @[dec_tlu_ctl.scala 2569:198] - wire _T_717 = _T_716 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_718 = _T_717 & _T_7; // @[dec_tlu_ctl.scala 2569:198] - wire _T_719 = _T_718 & _T_17; // @[dec_tlu_ctl.scala 2569:198] - wire _T_726 = io_dec_csr_rdaddr_d[10] & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_727 = _T_726 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_737 = _T_230 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_738 = _T_737 & _T_17; // @[dec_tlu_ctl.scala 2569:198] - wire _T_748 = _T_726 & _T_7; // @[dec_tlu_ctl.scala 2569:198] - wire _T_749 = _T_748 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_787 = _T_311 | _T_553; // @[dec_tlu_ctl.scala 2637:81] - wire _T_799 = _T_3 & _T_5; // @[dec_tlu_ctl.scala 2569:198] - wire _T_800 = _T_799 & _T_94; // @[dec_tlu_ctl.scala 2569:198] - wire _T_801 = _T_800 & _T_96; // @[dec_tlu_ctl.scala 2569:198] - wire _T_802 = _T_801 & _T_7; // @[dec_tlu_ctl.scala 2569:198] - wire _T_803 = _T_802 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_804 = _T_787 | _T_803; // @[dec_tlu_ctl.scala 2637:121] - wire _T_813 = io_dec_csr_rdaddr_d[11] & _T_94; // @[dec_tlu_ctl.scala 2569:198] - wire _T_814 = _T_813 & _T_96; // @[dec_tlu_ctl.scala 2569:198] - wire _T_815 = _T_814 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_816 = _T_815 & _T_17; // @[dec_tlu_ctl.scala 2569:198] - wire _T_817 = _T_804 | _T_816; // @[dec_tlu_ctl.scala 2637:155] - wire _T_828 = _T_814 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_829 = _T_828 & _T_27; // @[dec_tlu_ctl.scala 2569:198] - wire _T_830 = _T_817 | _T_829; // @[dec_tlu_ctl.scala 2638:97] - wire _T_841 = io_dec_csr_rdaddr_d[7] & _T_5; // @[dec_tlu_ctl.scala 2569:198] - wire _T_842 = _T_841 & _T_94; // @[dec_tlu_ctl.scala 2569:198] - wire _T_843 = _T_842 & _T_96; // @[dec_tlu_ctl.scala 2569:198] - wire _T_844 = _T_843 & _T_7; // @[dec_tlu_ctl.scala 2569:198] - wire _T_845 = _T_844 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_869 = _T_311 | _T_70; // @[dec_tlu_ctl.scala 2639:81] - wire _T_879 = _T_869 | _T_183; // @[dec_tlu_ctl.scala 2639:121] - wire _T_889 = _T_879 | _T_342; // @[dec_tlu_ctl.scala 2639:162] - wire _T_904 = _T_1 & _T_15; // @[dec_tlu_ctl.scala 2569:198] - wire _T_905 = _T_904 & _T_3; // @[dec_tlu_ctl.scala 2569:198] - wire _T_906 = _T_905 & _T_94; // @[dec_tlu_ctl.scala 2569:198] - wire _T_907 = _T_906 & _T_96; // @[dec_tlu_ctl.scala 2569:198] - wire _T_908 = _T_907 & _T_7; // @[dec_tlu_ctl.scala 2569:198] - wire _T_909 = _T_908 & _T_27; // @[dec_tlu_ctl.scala 2569:198] - wire _T_910 = _T_889 | _T_909; // @[dec_tlu_ctl.scala 2640:105] - wire _T_922 = _T_217 & io_dec_csr_rdaddr_d[6]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_923 = _T_922 & _T_94; // @[dec_tlu_ctl.scala 2569:198] - wire _T_924 = _T_923 & _T_96; // @[dec_tlu_ctl.scala 2569:198] - wire _T_925 = _T_924 & _T_17; // @[dec_tlu_ctl.scala 2569:198] - wire _T_926 = _T_910 | _T_925; // @[dec_tlu_ctl.scala 2640:145] - wire _T_937 = _T_231 & _T_7; // @[dec_tlu_ctl.scala 2569:198] - wire _T_938 = _T_937 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_955 = _T_1 & io_dec_csr_rdaddr_d[10]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_956 = _T_955 & io_dec_csr_rdaddr_d[9]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_957 = _T_956 & io_dec_csr_rdaddr_d[8]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_958 = _T_957 & io_dec_csr_rdaddr_d[7]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_959 = _T_958 & io_dec_csr_rdaddr_d[6]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_960 = _T_959 & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_961 = _T_960 & _T_96; // @[dec_tlu_ctl.scala 2569:198] - wire _T_962 = _T_961 & _T_7; // @[dec_tlu_ctl.scala 2569:198] - wire _T_963 = _T_962 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_964 = _T_963 & _T_27; // @[dec_tlu_ctl.scala 2569:198] - wire _T_983 = _T_1 & _T_145; // @[dec_tlu_ctl.scala 2569:198] - wire _T_984 = _T_983 & io_dec_csr_rdaddr_d[9]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_985 = _T_984 & io_dec_csr_rdaddr_d[8]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_986 = _T_985 & _T_15; // @[dec_tlu_ctl.scala 2569:198] - wire _T_987 = _T_986 & _T_3; // @[dec_tlu_ctl.scala 2569:198] - wire _T_988 = _T_987 & _T_5; // @[dec_tlu_ctl.scala 2569:198] - wire _T_989 = _T_988 & _T_94; // @[dec_tlu_ctl.scala 2569:198] - wire _T_990 = _T_989 & _T_96; // @[dec_tlu_ctl.scala 2569:198] - wire _T_991 = _T_990 & _T_17; // @[dec_tlu_ctl.scala 2569:198] - wire _T_992 = _T_964 | _T_991; // @[dec_tlu_ctl.scala 2642:81] - wire _T_1013 = _T_987 & io_dec_csr_rdaddr_d[5]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1014 = _T_1013 & _T_17; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1015 = _T_1014 & _T_27; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1016 = _T_992 | _T_1015; // @[dec_tlu_ctl.scala 2642:129] - wire _T_1032 = io_dec_csr_rdaddr_d[11] & io_dec_csr_rdaddr_d[9]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1033 = _T_1032 & io_dec_csr_rdaddr_d[8]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1034 = _T_1033 & io_dec_csr_rdaddr_d[7]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1035 = _T_1034 & io_dec_csr_rdaddr_d[6]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1036 = _T_1035 & _T_5; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1037 = _T_1036 & _T_94; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1038 = _T_1037 & _T_7; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1039 = _T_1038 & _T_17; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1040 = _T_1039 & _T_27; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1041 = _T_1016 | _T_1040; // @[dec_tlu_ctl.scala 2643:105] - wire _T_1053 = io_dec_csr_rdaddr_d[11] & _T_145; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1054 = _T_1053 & io_dec_csr_rdaddr_d[9]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1055 = _T_1054 & io_dec_csr_rdaddr_d[8]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1056 = _T_1055 & _T_3; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1057 = _T_1056 & _T_5; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1058 = _T_1057 & _T_27; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1059 = _T_1041 | _T_1058; // @[dec_tlu_ctl.scala 2643:153] - wire _T_1078 = _T_959 & io_dec_csr_rdaddr_d[5]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1079 = _T_1078 & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1080 = _T_1079 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1081 = _T_1080 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1082 = _T_1081 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1083 = _T_1082 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1084 = _T_1059 | _T_1083; // @[dec_tlu_ctl.scala 2644:105] - wire _T_1105 = _T_1079 & _T_7; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1106 = _T_1105 & _T_17; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1107 = _T_1084 | _T_1106; // @[dec_tlu_ctl.scala 2644:153] - wire _T_1125 = _T_1033 & _T_15; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1126 = _T_1125 & _T_3; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1127 = _T_1126 & _T_5; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1128 = _T_1127 & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1129 = _T_1128 & _T_96; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1130 = _T_1129 & _T_7; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1131 = _T_1130 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1132 = _T_1107 | _T_1131; // @[dec_tlu_ctl.scala 2645:105] - wire _T_1152 = _T_958 & _T_3; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1153 = _T_1152 & io_dec_csr_rdaddr_d[5]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1154 = _T_1153 & _T_96; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1155 = _T_1154 & _T_7; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1156 = _T_1155 & _T_17; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1157 = _T_1132 | _T_1156; // @[dec_tlu_ctl.scala 2645:161] - wire _T_1176 = _T_1013 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1177 = _T_1157 | _T_1176; // @[dec_tlu_ctl.scala 2646:105] - wire _T_1202 = _T_1129 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1203 = _T_1202 & _T_17; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1204 = _T_1203 & _T_27; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1205 = _T_1177 | _T_1204; // @[dec_tlu_ctl.scala 2646:161] - wire _T_1224 = _T_959 & _T_5; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1225 = _T_1224 & _T_94; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1226 = _T_1225 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1227 = _T_1226 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1228 = _T_1205 | _T_1227; // @[dec_tlu_ctl.scala 2647:97] - wire _T_1248 = _T_1224 & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1249 = _T_1248 & _T_96; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1250 = _T_1249 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1251 = _T_1228 | _T_1250; // @[dec_tlu_ctl.scala 2647:153] - wire _T_1275 = _T_1130 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1276 = _T_1251 | _T_1275; // @[dec_tlu_ctl.scala 2648:105] - wire _T_1296 = _T_1013 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1297 = _T_1296 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1298 = _T_1276 | _T_1297; // @[dec_tlu_ctl.scala 2648:161] - wire _T_1315 = _T_1055 & io_dec_csr_rdaddr_d[7]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1316 = _T_1315 & _T_5; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1317 = _T_1316 & _T_94; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1318 = _T_1317 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1319 = _T_1318 & _T_7; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1320 = _T_1298 | _T_1319; // @[dec_tlu_ctl.scala 2649:105] - wire _T_1343 = _T_1318 & _T_17; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1344 = _T_1343 & _T_27; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1345 = _T_1320 | _T_1344; // @[dec_tlu_ctl.scala 2649:161] - wire _T_1361 = _T_1057 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1362 = _T_1345 | _T_1361; // @[dec_tlu_ctl.scala 2650:105] - wire _T_1384 = _T_1249 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1385 = _T_1362 | _T_1384; // @[dec_tlu_ctl.scala 2650:161] - wire _T_1406 = _T_1225 & _T_27; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1407 = _T_1385 | _T_1406; // @[dec_tlu_ctl.scala 2651:105] - wire _T_1430 = _T_1226 & _T_7; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1431 = _T_1407 | _T_1430; // @[dec_tlu_ctl.scala 2651:161] - wire _T_1455 = _T_1153 & _T_94; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1456 = _T_1455 & _T_96; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1457 = _T_1456 & _T_7; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1458 = _T_1457 & _T_27; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1459 = _T_1431 | _T_1458; // @[dec_tlu_ctl.scala 2652:105] - wire _T_1475 = _T_1057 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1476 = _T_1459 | _T_1475; // @[dec_tlu_ctl.scala 2652:153] - wire _T_1498 = _T_986 & io_dec_csr_rdaddr_d[6]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1499 = _T_1498 & _T_5; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1500 = _T_1499 & _T_94; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1501 = _T_1500 & _T_96; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1502 = _T_1501 & _T_7; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1503 = _T_1476 | _T_1502; // @[dec_tlu_ctl.scala 2653:113] - wire _T_1526 = _T_986 & _T_5; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1527 = _T_1526 & _T_94; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1528 = _T_1527 & _T_96; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1529 = _T_1528 & _T_17; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1530 = _T_1529 & _T_27; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1531 = _T_1503 | _T_1530; // @[dec_tlu_ctl.scala 2653:161] - wire _T_1550 = _T_1013 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1551 = _T_1531 | _T_1550; // @[dec_tlu_ctl.scala 2654:97] - wire _T_1567 = _T_1057 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1568 = _T_1551 | _T_1567; // @[dec_tlu_ctl.scala 2654:153] - wire _T_1587 = _T_1013 & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2569:198] - wire _T_1588 = _T_1568 | _T_1587; // @[dec_tlu_ctl.scala 2655:113] - wire _T_1604 = _T_1057 & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2569:198] - assign io_csr_pkt_csr_misa = _T_11 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2571:57] - assign io_csr_pkt_csr_mvendorid = _T_20 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2572:57] - assign io_csr_pkt_csr_marchid = _T_29 & _T_27; // @[dec_tlu_ctl.scala 2573:57] - assign io_csr_pkt_csr_mimpid = _T_37 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2574:57] - assign io_csr_pkt_csr_mhartid = _T_19 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2575:57] - assign io_csr_pkt_csr_mstatus = _T_11 & _T_27; // @[dec_tlu_ctl.scala 2576:57] - assign io_csr_pkt_csr_mtvec = _T_69 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2577:57] - assign io_csr_pkt_csr_mip = _T_75 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2578:65] - assign io_csr_pkt_csr_mie = _T_69 & _T_27; // @[dec_tlu_ctl.scala 2579:65] - assign io_csr_pkt_csr_mcyclel = _T_104 & _T_17; // @[dec_tlu_ctl.scala 2580:57] - assign io_csr_pkt_csr_mcycleh = _T_123 & _T_17; // @[dec_tlu_ctl.scala 2581:57] - assign io_csr_pkt_csr_minstretl = _T_142 & _T_27; // @[dec_tlu_ctl.scala 2582:57] - assign io_csr_pkt_csr_minstreth = _T_160 & _T_27; // @[dec_tlu_ctl.scala 2583:57] - assign io_csr_pkt_csr_mscratch = _T_173 & _T_27; // @[dec_tlu_ctl.scala 2584:57] - assign io_csr_pkt_csr_mepc = _T_182 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2585:57] - assign io_csr_pkt_csr_mcause = _T_191 & _T_27; // @[dec_tlu_ctl.scala 2586:57] - assign io_csr_pkt_csr_mscause = _T_196 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2587:57] - assign io_csr_pkt_csr_mtval = _T_191 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2588:57] - assign io_csr_pkt_csr_mrac = _T_220 & _T_17; // @[dec_tlu_ctl.scala 2589:57] - assign io_csr_pkt_csr_dmst = _T_232 & _T_17; // @[dec_tlu_ctl.scala 2590:57] - assign io_csr_pkt_csr_mdseac = _T_241 & _T_96; // @[dec_tlu_ctl.scala 2591:57] - assign io_csr_pkt_csr_meihap = _T_240 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2592:57] - assign io_csr_pkt_csr_meivt = _T_261 & _T_27; // @[dec_tlu_ctl.scala 2593:57] - assign io_csr_pkt_csr_meipt = _T_269 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2594:57] - assign io_csr_pkt_csr_meicurpl = _T_268 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2595:57] - assign io_csr_pkt_csr_meicidpl = _T_281 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2596:57] - assign io_csr_pkt_csr_dcsr = _T_292 & _T_27; // @[dec_tlu_ctl.scala 2597:57] - assign io_csr_pkt_csr_mcgc = _T_300 & _T_27; // @[dec_tlu_ctl.scala 2598:57] - assign io_csr_pkt_csr_mfdc = _T_310 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2599:57] - assign io_csr_pkt_csr_dpc = _T_292 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2600:65] - assign io_csr_pkt_csr_mtsel = _T_332 & _T_27; // @[dec_tlu_ctl.scala 2601:57] - assign io_csr_pkt_csr_mtdata1 = _T_231 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2602:57] - assign io_csr_pkt_csr_mtdata2 = _T_331 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2603:57] - assign io_csr_pkt_csr_mhpmc3 = _T_104 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2604:57] - assign io_csr_pkt_csr_mhpmc4 = _T_382 & _T_27; // @[dec_tlu_ctl.scala 2605:57] - assign io_csr_pkt_csr_mhpmc5 = _T_397 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2606:57] - assign io_csr_pkt_csr_mhpmc6 = _T_415 & _T_27; // @[dec_tlu_ctl.scala 2607:57] - assign io_csr_pkt_csr_mhpmc3h = _T_429 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2608:57] - assign io_csr_pkt_csr_mhpmc4h = _T_447 & _T_27; // @[dec_tlu_ctl.scala 2609:57] - assign io_csr_pkt_csr_mhpmc5h = _T_461 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2610:57] - assign io_csr_pkt_csr_mhpmc6h = _T_478 & _T_27; // @[dec_tlu_ctl.scala 2611:57] - assign io_csr_pkt_csr_mhpme3 = _T_493 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2612:57] - assign io_csr_pkt_csr_mhpme4 = _T_508 & _T_27; // @[dec_tlu_ctl.scala 2613:57] - assign io_csr_pkt_csr_mhpme5 = _T_508 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2614:57] - assign io_csr_pkt_csr_mhpme6 = _T_536 & _T_27; // @[dec_tlu_ctl.scala 2615:57] - assign io_csr_pkt_csr_mcountinhibit = _T_493 & _T_27; // @[dec_tlu_ctl.scala 2616:49] - assign io_csr_pkt_csr_mitctl0 = _T_564 & _T_27; // @[dec_tlu_ctl.scala 2617:57] - assign io_csr_pkt_csr_mitctl1 = _T_574 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2618:57] - assign io_csr_pkt_csr_mitb0 = _T_585 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2619:57] - assign io_csr_pkt_csr_mitb1 = _T_595 & _T_27; // @[dec_tlu_ctl.scala 2620:57] - assign io_csr_pkt_csr_mitcnt0 = _T_585 & _T_27; // @[dec_tlu_ctl.scala 2621:57] - assign io_csr_pkt_csr_mitcnt1 = _T_615 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2622:57] - assign io_csr_pkt_csr_mpmc = _T_626 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2623:57] - assign io_csr_pkt_csr_meicpct = _T_281 & _T_27; // @[dec_tlu_ctl.scala 2625:57] - assign io_csr_pkt_csr_micect = _T_669 & _T_27; // @[dec_tlu_ctl.scala 2627:57] - assign io_csr_pkt_csr_miccmect = _T_668 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2628:57] - assign io_csr_pkt_csr_mdccmect = _T_685 & _T_27; // @[dec_tlu_ctl.scala 2629:57] - assign io_csr_pkt_csr_mfdht = _T_695 & _T_27; // @[dec_tlu_ctl.scala 2630:57] - assign io_csr_pkt_csr_mfdhs = _T_703 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2631:57] - assign io_csr_pkt_csr_dicawics = _T_719 & _T_27; // @[dec_tlu_ctl.scala 2632:57] - assign io_csr_pkt_csr_dicad0h = _T_727 & _T_17; // @[dec_tlu_ctl.scala 2633:57] - assign io_csr_pkt_csr_dicad0 = _T_738 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2634:57] - assign io_csr_pkt_csr_dicad1 = _T_749 & _T_27; // @[dec_tlu_ctl.scala 2635:57] - assign io_csr_pkt_csr_dicago = _T_749 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2636:57] - assign io_csr_pkt_presync = _T_830 | _T_845; // @[dec_tlu_ctl.scala 2637:34] - assign io_csr_pkt_postsync = _T_926 | _T_938; // @[dec_tlu_ctl.scala 2639:30] - assign io_csr_pkt_legal = _T_1588 | _T_1604; // @[dec_tlu_ctl.scala 2642:26] + wire _T_1 = ~io_dec_csr_rdaddr_d[11]; // @[dec_tlu_ctl.scala 2551:129] + wire _T_3 = ~io_dec_csr_rdaddr_d[6]; // @[dec_tlu_ctl.scala 2551:129] + wire _T_5 = ~io_dec_csr_rdaddr_d[5]; // @[dec_tlu_ctl.scala 2551:129] + wire _T_7 = ~io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2551:129] + wire _T_9 = _T_1 & _T_3; // @[dec_tlu_ctl.scala 2551:198] + wire _T_10 = _T_9 & _T_5; // @[dec_tlu_ctl.scala 2551:198] + wire _T_11 = _T_10 & _T_7; // @[dec_tlu_ctl.scala 2551:198] + wire _T_15 = ~io_dec_csr_rdaddr_d[7]; // @[dec_tlu_ctl.scala 2551:129] + wire _T_17 = ~io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2551:129] + wire _T_19 = io_dec_csr_rdaddr_d[10] & _T_15; // @[dec_tlu_ctl.scala 2551:198] + wire _T_20 = _T_19 & _T_17; // @[dec_tlu_ctl.scala 2551:198] + wire _T_27 = ~io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2551:165] + wire _T_29 = _T_19 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_36 = io_dec_csr_rdaddr_d[10] & _T_3; // @[dec_tlu_ctl.scala 2551:198] + wire _T_37 = _T_36 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_69 = _T_10 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_70 = _T_69 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_75 = _T_15 & io_dec_csr_rdaddr_d[6]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_94 = ~io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2551:129] + wire _T_96 = ~io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2551:129] + wire _T_101 = io_dec_csr_rdaddr_d[11] & _T_15; // @[dec_tlu_ctl.scala 2551:198] + wire _T_102 = _T_101 & _T_94; // @[dec_tlu_ctl.scala 2551:198] + wire _T_103 = _T_102 & _T_96; // @[dec_tlu_ctl.scala 2551:198] + wire _T_104 = _T_103 & _T_7; // @[dec_tlu_ctl.scala 2551:198] + wire _T_119 = io_dec_csr_rdaddr_d[7] & _T_3; // @[dec_tlu_ctl.scala 2551:198] + wire _T_120 = _T_119 & _T_5; // @[dec_tlu_ctl.scala 2551:198] + wire _T_121 = _T_120 & _T_94; // @[dec_tlu_ctl.scala 2551:198] + wire _T_122 = _T_121 & _T_96; // @[dec_tlu_ctl.scala 2551:198] + wire _T_123 = _T_122 & _T_7; // @[dec_tlu_ctl.scala 2551:198] + wire _T_138 = _T_15 & _T_3; // @[dec_tlu_ctl.scala 2551:198] + wire _T_139 = _T_138 & _T_94; // @[dec_tlu_ctl.scala 2551:198] + wire _T_140 = _T_139 & _T_96; // @[dec_tlu_ctl.scala 2551:198] + wire _T_141 = _T_140 & _T_7; // @[dec_tlu_ctl.scala 2551:198] + wire _T_142 = _T_141 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_145 = ~io_dec_csr_rdaddr_d[10]; // @[dec_tlu_ctl.scala 2551:129] + wire _T_156 = _T_145 & io_dec_csr_rdaddr_d[7]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_157 = _T_156 & _T_94; // @[dec_tlu_ctl.scala 2551:198] + wire _T_158 = _T_157 & _T_96; // @[dec_tlu_ctl.scala 2551:198] + wire _T_159 = _T_158 & _T_7; // @[dec_tlu_ctl.scala 2551:198] + wire _T_160 = _T_159 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_172 = _T_75 & _T_7; // @[dec_tlu_ctl.scala 2551:198] + wire _T_173 = _T_172 & _T_17; // @[dec_tlu_ctl.scala 2551:198] + wire _T_182 = _T_75 & _T_17; // @[dec_tlu_ctl.scala 2551:198] + wire _T_183 = _T_182 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_191 = _T_75 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_196 = io_dec_csr_rdaddr_d[6] & io_dec_csr_rdaddr_d[5]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_217 = _T_1 & io_dec_csr_rdaddr_d[7]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_218 = _T_217 & _T_5; // @[dec_tlu_ctl.scala 2551:198] + wire _T_219 = _T_218 & _T_96; // @[dec_tlu_ctl.scala 2551:198] + wire _T_220 = _T_219 & _T_7; // @[dec_tlu_ctl.scala 2551:198] + wire _T_230 = io_dec_csr_rdaddr_d[10] & _T_94; // @[dec_tlu_ctl.scala 2551:198] + wire _T_231 = _T_230 & _T_96; // @[dec_tlu_ctl.scala 2551:198] + wire _T_232 = _T_231 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_240 = io_dec_csr_rdaddr_d[11] & io_dec_csr_rdaddr_d[10]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_241 = _T_240 & _T_94; // @[dec_tlu_ctl.scala 2551:198] + wire _T_258 = _T_145 & io_dec_csr_rdaddr_d[6]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_259 = _T_258 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_260 = _T_259 & _T_7; // @[dec_tlu_ctl.scala 2551:198] + wire _T_261 = _T_260 & _T_17; // @[dec_tlu_ctl.scala 2551:198] + wire _T_268 = io_dec_csr_rdaddr_d[11] & io_dec_csr_rdaddr_d[6]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_269 = _T_268 & _T_17; // @[dec_tlu_ctl.scala 2551:198] + wire _T_281 = _T_268 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_291 = _T_36 & io_dec_csr_rdaddr_d[5]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_292 = _T_291 & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_299 = io_dec_csr_rdaddr_d[10] & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_300 = _T_299 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_310 = _T_300 & _T_17; // @[dec_tlu_ctl.scala 2551:198] + wire _T_311 = _T_310 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_330 = io_dec_csr_rdaddr_d[10] & io_dec_csr_rdaddr_d[5]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_331 = _T_330 & _T_94; // @[dec_tlu_ctl.scala 2551:198] + wire _T_332 = _T_331 & _T_17; // @[dec_tlu_ctl.scala 2551:198] + wire _T_342 = _T_231 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_381 = _T_103 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_382 = _T_381 & _T_17; // @[dec_tlu_ctl.scala 2551:198] + wire _T_397 = _T_103 & _T_17; // @[dec_tlu_ctl.scala 2551:198] + wire _T_411 = _T_15 & _T_5; // @[dec_tlu_ctl.scala 2551:198] + wire _T_412 = _T_411 & _T_94; // @[dec_tlu_ctl.scala 2551:198] + wire _T_413 = _T_412 & _T_96; // @[dec_tlu_ctl.scala 2551:198] + wire _T_414 = _T_413 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_415 = _T_414 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_426 = io_dec_csr_rdaddr_d[7] & _T_94; // @[dec_tlu_ctl.scala 2551:198] + wire _T_427 = _T_426 & _T_96; // @[dec_tlu_ctl.scala 2551:198] + wire _T_428 = _T_427 & _T_7; // @[dec_tlu_ctl.scala 2551:198] + wire _T_429 = _T_428 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_444 = _T_119 & _T_94; // @[dec_tlu_ctl.scala 2551:198] + wire _T_445 = _T_444 & _T_96; // @[dec_tlu_ctl.scala 2551:198] + wire _T_446 = _T_445 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_447 = _T_446 & _T_17; // @[dec_tlu_ctl.scala 2551:198] + wire _T_460 = _T_427 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_461 = _T_460 & _T_17; // @[dec_tlu_ctl.scala 2551:198] + wire _T_478 = _T_446 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_490 = _T_15 & io_dec_csr_rdaddr_d[5]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_491 = _T_490 & _T_94; // @[dec_tlu_ctl.scala 2551:198] + wire _T_492 = _T_491 & _T_96; // @[dec_tlu_ctl.scala 2551:198] + wire _T_493 = _T_492 & _T_7; // @[dec_tlu_ctl.scala 2551:198] + wire _T_505 = io_dec_csr_rdaddr_d[5] & _T_94; // @[dec_tlu_ctl.scala 2551:198] + wire _T_506 = _T_505 & _T_96; // @[dec_tlu_ctl.scala 2551:198] + wire _T_507 = _T_506 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_508 = _T_507 & _T_17; // @[dec_tlu_ctl.scala 2551:198] + wire _T_536 = _T_507 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_553 = _T_493 & _T_27; // @[dec_tlu_ctl.scala 2551:198] + wire _T_562 = io_dec_csr_rdaddr_d[6] & _T_5; // @[dec_tlu_ctl.scala 2551:198] + wire _T_563 = _T_562 & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_564 = _T_563 & _T_17; // @[dec_tlu_ctl.scala 2551:198] + wire _T_572 = io_dec_csr_rdaddr_d[6] & _T_96; // @[dec_tlu_ctl.scala 2551:198] + wire _T_573 = _T_572 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_574 = _T_573 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_585 = _T_563 & _T_7; // @[dec_tlu_ctl.scala 2551:198] + wire _T_593 = io_dec_csr_rdaddr_d[6] & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_594 = _T_593 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_595 = _T_594 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_614 = io_dec_csr_rdaddr_d[6] & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_615 = _T_614 & _T_17; // @[dec_tlu_ctl.scala 2551:198] + wire _T_624 = io_dec_csr_rdaddr_d[6] & _T_94; // @[dec_tlu_ctl.scala 2551:198] + wire _T_625 = _T_624 & _T_96; // @[dec_tlu_ctl.scala 2551:198] + wire _T_626 = _T_625 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_668 = _T_196 & _T_96; // @[dec_tlu_ctl.scala 2551:198] + wire _T_669 = _T_668 & _T_17; // @[dec_tlu_ctl.scala 2551:198] + wire _T_685 = _T_196 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_693 = io_dec_csr_rdaddr_d[6] & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_694 = _T_693 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_695 = _T_694 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_703 = _T_624 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_716 = _T_1 & _T_5; // @[dec_tlu_ctl.scala 2551:198] + wire _T_717 = _T_716 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_718 = _T_717 & _T_7; // @[dec_tlu_ctl.scala 2551:198] + wire _T_719 = _T_718 & _T_17; // @[dec_tlu_ctl.scala 2551:198] + wire _T_726 = io_dec_csr_rdaddr_d[10] & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_727 = _T_726 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_737 = _T_230 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_738 = _T_737 & _T_17; // @[dec_tlu_ctl.scala 2551:198] + wire _T_748 = _T_726 & _T_7; // @[dec_tlu_ctl.scala 2551:198] + wire _T_749 = _T_748 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_787 = _T_311 | _T_553; // @[dec_tlu_ctl.scala 2619:81] + wire _T_799 = _T_3 & _T_5; // @[dec_tlu_ctl.scala 2551:198] + wire _T_800 = _T_799 & _T_94; // @[dec_tlu_ctl.scala 2551:198] + wire _T_801 = _T_800 & _T_96; // @[dec_tlu_ctl.scala 2551:198] + wire _T_802 = _T_801 & _T_7; // @[dec_tlu_ctl.scala 2551:198] + wire _T_803 = _T_802 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_804 = _T_787 | _T_803; // @[dec_tlu_ctl.scala 2619:121] + wire _T_813 = io_dec_csr_rdaddr_d[11] & _T_94; // @[dec_tlu_ctl.scala 2551:198] + wire _T_814 = _T_813 & _T_96; // @[dec_tlu_ctl.scala 2551:198] + wire _T_815 = _T_814 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_816 = _T_815 & _T_17; // @[dec_tlu_ctl.scala 2551:198] + wire _T_817 = _T_804 | _T_816; // @[dec_tlu_ctl.scala 2619:155] + wire _T_828 = _T_814 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_829 = _T_828 & _T_27; // @[dec_tlu_ctl.scala 2551:198] + wire _T_830 = _T_817 | _T_829; // @[dec_tlu_ctl.scala 2620:97] + wire _T_841 = io_dec_csr_rdaddr_d[7] & _T_5; // @[dec_tlu_ctl.scala 2551:198] + wire _T_842 = _T_841 & _T_94; // @[dec_tlu_ctl.scala 2551:198] + wire _T_843 = _T_842 & _T_96; // @[dec_tlu_ctl.scala 2551:198] + wire _T_844 = _T_843 & _T_7; // @[dec_tlu_ctl.scala 2551:198] + wire _T_845 = _T_844 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_869 = _T_311 | _T_70; // @[dec_tlu_ctl.scala 2621:81] + wire _T_879 = _T_869 | _T_183; // @[dec_tlu_ctl.scala 2621:121] + wire _T_889 = _T_879 | _T_342; // @[dec_tlu_ctl.scala 2621:162] + wire _T_904 = _T_1 & _T_15; // @[dec_tlu_ctl.scala 2551:198] + wire _T_905 = _T_904 & _T_3; // @[dec_tlu_ctl.scala 2551:198] + wire _T_906 = _T_905 & _T_94; // @[dec_tlu_ctl.scala 2551:198] + wire _T_907 = _T_906 & _T_96; // @[dec_tlu_ctl.scala 2551:198] + wire _T_908 = _T_907 & _T_7; // @[dec_tlu_ctl.scala 2551:198] + wire _T_909 = _T_908 & _T_27; // @[dec_tlu_ctl.scala 2551:198] + wire _T_910 = _T_889 | _T_909; // @[dec_tlu_ctl.scala 2622:105] + wire _T_922 = _T_217 & io_dec_csr_rdaddr_d[6]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_923 = _T_922 & _T_94; // @[dec_tlu_ctl.scala 2551:198] + wire _T_924 = _T_923 & _T_96; // @[dec_tlu_ctl.scala 2551:198] + wire _T_925 = _T_924 & _T_17; // @[dec_tlu_ctl.scala 2551:198] + wire _T_926 = _T_910 | _T_925; // @[dec_tlu_ctl.scala 2622:145] + wire _T_937 = _T_231 & _T_7; // @[dec_tlu_ctl.scala 2551:198] + wire _T_938 = _T_937 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_955 = _T_1 & io_dec_csr_rdaddr_d[10]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_956 = _T_955 & io_dec_csr_rdaddr_d[9]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_957 = _T_956 & io_dec_csr_rdaddr_d[8]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_958 = _T_957 & io_dec_csr_rdaddr_d[7]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_959 = _T_958 & io_dec_csr_rdaddr_d[6]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_960 = _T_959 & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_961 = _T_960 & _T_96; // @[dec_tlu_ctl.scala 2551:198] + wire _T_962 = _T_961 & _T_7; // @[dec_tlu_ctl.scala 2551:198] + wire _T_963 = _T_962 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_964 = _T_963 & _T_27; // @[dec_tlu_ctl.scala 2551:198] + wire _T_983 = _T_1 & _T_145; // @[dec_tlu_ctl.scala 2551:198] + wire _T_984 = _T_983 & io_dec_csr_rdaddr_d[9]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_985 = _T_984 & io_dec_csr_rdaddr_d[8]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_986 = _T_985 & _T_15; // @[dec_tlu_ctl.scala 2551:198] + wire _T_987 = _T_986 & _T_3; // @[dec_tlu_ctl.scala 2551:198] + wire _T_988 = _T_987 & _T_5; // @[dec_tlu_ctl.scala 2551:198] + wire _T_989 = _T_988 & _T_94; // @[dec_tlu_ctl.scala 2551:198] + wire _T_990 = _T_989 & _T_96; // @[dec_tlu_ctl.scala 2551:198] + wire _T_991 = _T_990 & _T_17; // @[dec_tlu_ctl.scala 2551:198] + wire _T_992 = _T_964 | _T_991; // @[dec_tlu_ctl.scala 2624:81] + wire _T_1013 = _T_987 & io_dec_csr_rdaddr_d[5]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1014 = _T_1013 & _T_17; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1015 = _T_1014 & _T_27; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1016 = _T_992 | _T_1015; // @[dec_tlu_ctl.scala 2624:129] + wire _T_1032 = io_dec_csr_rdaddr_d[11] & io_dec_csr_rdaddr_d[9]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1033 = _T_1032 & io_dec_csr_rdaddr_d[8]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1034 = _T_1033 & io_dec_csr_rdaddr_d[7]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1035 = _T_1034 & io_dec_csr_rdaddr_d[6]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1036 = _T_1035 & _T_5; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1037 = _T_1036 & _T_94; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1038 = _T_1037 & _T_7; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1039 = _T_1038 & _T_17; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1040 = _T_1039 & _T_27; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1041 = _T_1016 | _T_1040; // @[dec_tlu_ctl.scala 2625:105] + wire _T_1053 = io_dec_csr_rdaddr_d[11] & _T_145; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1054 = _T_1053 & io_dec_csr_rdaddr_d[9]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1055 = _T_1054 & io_dec_csr_rdaddr_d[8]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1056 = _T_1055 & _T_3; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1057 = _T_1056 & _T_5; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1058 = _T_1057 & _T_27; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1059 = _T_1041 | _T_1058; // @[dec_tlu_ctl.scala 2625:153] + wire _T_1078 = _T_959 & io_dec_csr_rdaddr_d[5]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1079 = _T_1078 & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1080 = _T_1079 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1081 = _T_1080 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1082 = _T_1081 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1083 = _T_1082 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1084 = _T_1059 | _T_1083; // @[dec_tlu_ctl.scala 2626:105] + wire _T_1105 = _T_1079 & _T_7; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1106 = _T_1105 & _T_17; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1107 = _T_1084 | _T_1106; // @[dec_tlu_ctl.scala 2626:153] + wire _T_1125 = _T_1033 & _T_15; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1126 = _T_1125 & _T_3; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1127 = _T_1126 & _T_5; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1128 = _T_1127 & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1129 = _T_1128 & _T_96; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1130 = _T_1129 & _T_7; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1131 = _T_1130 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1132 = _T_1107 | _T_1131; // @[dec_tlu_ctl.scala 2627:105] + wire _T_1152 = _T_958 & _T_3; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1153 = _T_1152 & io_dec_csr_rdaddr_d[5]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1154 = _T_1153 & _T_96; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1155 = _T_1154 & _T_7; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1156 = _T_1155 & _T_17; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1157 = _T_1132 | _T_1156; // @[dec_tlu_ctl.scala 2627:161] + wire _T_1176 = _T_1013 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1177 = _T_1157 | _T_1176; // @[dec_tlu_ctl.scala 2628:105] + wire _T_1202 = _T_1129 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1203 = _T_1202 & _T_17; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1204 = _T_1203 & _T_27; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1205 = _T_1177 | _T_1204; // @[dec_tlu_ctl.scala 2628:161] + wire _T_1224 = _T_959 & _T_5; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1225 = _T_1224 & _T_94; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1226 = _T_1225 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1227 = _T_1226 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1228 = _T_1205 | _T_1227; // @[dec_tlu_ctl.scala 2629:97] + wire _T_1248 = _T_1224 & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1249 = _T_1248 & _T_96; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1250 = _T_1249 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1251 = _T_1228 | _T_1250; // @[dec_tlu_ctl.scala 2629:153] + wire _T_1275 = _T_1130 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1276 = _T_1251 | _T_1275; // @[dec_tlu_ctl.scala 2630:105] + wire _T_1296 = _T_1013 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1297 = _T_1296 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1298 = _T_1276 | _T_1297; // @[dec_tlu_ctl.scala 2630:161] + wire _T_1315 = _T_1055 & io_dec_csr_rdaddr_d[7]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1316 = _T_1315 & _T_5; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1317 = _T_1316 & _T_94; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1318 = _T_1317 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1319 = _T_1318 & _T_7; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1320 = _T_1298 | _T_1319; // @[dec_tlu_ctl.scala 2631:105] + wire _T_1343 = _T_1318 & _T_17; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1344 = _T_1343 & _T_27; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1345 = _T_1320 | _T_1344; // @[dec_tlu_ctl.scala 2631:161] + wire _T_1361 = _T_1057 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1362 = _T_1345 | _T_1361; // @[dec_tlu_ctl.scala 2632:105] + wire _T_1384 = _T_1249 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1385 = _T_1362 | _T_1384; // @[dec_tlu_ctl.scala 2632:161] + wire _T_1406 = _T_1225 & _T_27; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1407 = _T_1385 | _T_1406; // @[dec_tlu_ctl.scala 2633:105] + wire _T_1430 = _T_1226 & _T_7; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1431 = _T_1407 | _T_1430; // @[dec_tlu_ctl.scala 2633:161] + wire _T_1455 = _T_1153 & _T_94; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1456 = _T_1455 & _T_96; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1457 = _T_1456 & _T_7; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1458 = _T_1457 & _T_27; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1459 = _T_1431 | _T_1458; // @[dec_tlu_ctl.scala 2634:105] + wire _T_1475 = _T_1057 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1476 = _T_1459 | _T_1475; // @[dec_tlu_ctl.scala 2634:153] + wire _T_1498 = _T_986 & io_dec_csr_rdaddr_d[6]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1499 = _T_1498 & _T_5; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1500 = _T_1499 & _T_94; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1501 = _T_1500 & _T_96; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1502 = _T_1501 & _T_7; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1503 = _T_1476 | _T_1502; // @[dec_tlu_ctl.scala 2635:113] + wire _T_1526 = _T_986 & _T_5; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1527 = _T_1526 & _T_94; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1528 = _T_1527 & _T_96; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1529 = _T_1528 & _T_17; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1530 = _T_1529 & _T_27; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1531 = _T_1503 | _T_1530; // @[dec_tlu_ctl.scala 2635:161] + wire _T_1550 = _T_1013 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1551 = _T_1531 | _T_1550; // @[dec_tlu_ctl.scala 2636:97] + wire _T_1567 = _T_1057 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1568 = _T_1551 | _T_1567; // @[dec_tlu_ctl.scala 2636:153] + wire _T_1587 = _T_1013 & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2551:198] + wire _T_1588 = _T_1568 | _T_1587; // @[dec_tlu_ctl.scala 2637:113] + wire _T_1604 = _T_1057 & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2551:198] + assign io_csr_pkt_csr_misa = _T_11 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2553:57] + assign io_csr_pkt_csr_mvendorid = _T_20 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2554:57] + assign io_csr_pkt_csr_marchid = _T_29 & _T_27; // @[dec_tlu_ctl.scala 2555:57] + assign io_csr_pkt_csr_mimpid = _T_37 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2556:57] + assign io_csr_pkt_csr_mhartid = _T_19 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2557:57] + assign io_csr_pkt_csr_mstatus = _T_11 & _T_27; // @[dec_tlu_ctl.scala 2558:57] + assign io_csr_pkt_csr_mtvec = _T_69 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2559:57] + assign io_csr_pkt_csr_mip = _T_75 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2560:65] + assign io_csr_pkt_csr_mie = _T_69 & _T_27; // @[dec_tlu_ctl.scala 2561:65] + assign io_csr_pkt_csr_mcyclel = _T_104 & _T_17; // @[dec_tlu_ctl.scala 2562:57] + assign io_csr_pkt_csr_mcycleh = _T_123 & _T_17; // @[dec_tlu_ctl.scala 2563:57] + assign io_csr_pkt_csr_minstretl = _T_142 & _T_27; // @[dec_tlu_ctl.scala 2564:57] + assign io_csr_pkt_csr_minstreth = _T_160 & _T_27; // @[dec_tlu_ctl.scala 2565:57] + assign io_csr_pkt_csr_mscratch = _T_173 & _T_27; // @[dec_tlu_ctl.scala 2566:57] + assign io_csr_pkt_csr_mepc = _T_182 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2567:57] + assign io_csr_pkt_csr_mcause = _T_191 & _T_27; // @[dec_tlu_ctl.scala 2568:57] + assign io_csr_pkt_csr_mscause = _T_196 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2569:57] + assign io_csr_pkt_csr_mtval = _T_191 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2570:57] + assign io_csr_pkt_csr_mrac = _T_220 & _T_17; // @[dec_tlu_ctl.scala 2571:57] + assign io_csr_pkt_csr_dmst = _T_232 & _T_17; // @[dec_tlu_ctl.scala 2572:57] + assign io_csr_pkt_csr_mdseac = _T_241 & _T_96; // @[dec_tlu_ctl.scala 2573:57] + assign io_csr_pkt_csr_meihap = _T_240 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2574:57] + assign io_csr_pkt_csr_meivt = _T_261 & _T_27; // @[dec_tlu_ctl.scala 2575:57] + assign io_csr_pkt_csr_meipt = _T_269 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2576:57] + assign io_csr_pkt_csr_meicurpl = _T_268 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2577:57] + assign io_csr_pkt_csr_meicidpl = _T_281 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2578:57] + assign io_csr_pkt_csr_dcsr = _T_292 & _T_27; // @[dec_tlu_ctl.scala 2579:57] + assign io_csr_pkt_csr_mcgc = _T_300 & _T_27; // @[dec_tlu_ctl.scala 2580:57] + assign io_csr_pkt_csr_mfdc = _T_310 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2581:57] + assign io_csr_pkt_csr_dpc = _T_292 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2582:65] + assign io_csr_pkt_csr_mtsel = _T_332 & _T_27; // @[dec_tlu_ctl.scala 2583:57] + assign io_csr_pkt_csr_mtdata1 = _T_231 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2584:57] + assign io_csr_pkt_csr_mtdata2 = _T_331 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2585:57] + assign io_csr_pkt_csr_mhpmc3 = _T_104 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2586:57] + assign io_csr_pkt_csr_mhpmc4 = _T_382 & _T_27; // @[dec_tlu_ctl.scala 2587:57] + assign io_csr_pkt_csr_mhpmc5 = _T_397 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2588:57] + assign io_csr_pkt_csr_mhpmc6 = _T_415 & _T_27; // @[dec_tlu_ctl.scala 2589:57] + assign io_csr_pkt_csr_mhpmc3h = _T_429 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2590:57] + assign io_csr_pkt_csr_mhpmc4h = _T_447 & _T_27; // @[dec_tlu_ctl.scala 2591:57] + assign io_csr_pkt_csr_mhpmc5h = _T_461 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2592:57] + assign io_csr_pkt_csr_mhpmc6h = _T_478 & _T_27; // @[dec_tlu_ctl.scala 2593:57] + assign io_csr_pkt_csr_mhpme3 = _T_493 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2594:57] + assign io_csr_pkt_csr_mhpme4 = _T_508 & _T_27; // @[dec_tlu_ctl.scala 2595:57] + assign io_csr_pkt_csr_mhpme5 = _T_508 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2596:57] + assign io_csr_pkt_csr_mhpme6 = _T_536 & _T_27; // @[dec_tlu_ctl.scala 2597:57] + assign io_csr_pkt_csr_mcountinhibit = _T_493 & _T_27; // @[dec_tlu_ctl.scala 2598:49] + assign io_csr_pkt_csr_mitctl0 = _T_564 & _T_27; // @[dec_tlu_ctl.scala 2599:57] + assign io_csr_pkt_csr_mitctl1 = _T_574 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2600:57] + assign io_csr_pkt_csr_mitb0 = _T_585 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2601:57] + assign io_csr_pkt_csr_mitb1 = _T_595 & _T_27; // @[dec_tlu_ctl.scala 2602:57] + assign io_csr_pkt_csr_mitcnt0 = _T_585 & _T_27; // @[dec_tlu_ctl.scala 2603:57] + assign io_csr_pkt_csr_mitcnt1 = _T_615 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2604:57] + assign io_csr_pkt_csr_mpmc = _T_626 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2605:57] + assign io_csr_pkt_csr_meicpct = _T_281 & _T_27; // @[dec_tlu_ctl.scala 2607:57] + assign io_csr_pkt_csr_micect = _T_669 & _T_27; // @[dec_tlu_ctl.scala 2609:57] + assign io_csr_pkt_csr_miccmect = _T_668 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2610:57] + assign io_csr_pkt_csr_mdccmect = _T_685 & _T_27; // @[dec_tlu_ctl.scala 2611:57] + assign io_csr_pkt_csr_mfdht = _T_695 & _T_27; // @[dec_tlu_ctl.scala 2612:57] + assign io_csr_pkt_csr_mfdhs = _T_703 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2613:57] + assign io_csr_pkt_csr_dicawics = _T_719 & _T_27; // @[dec_tlu_ctl.scala 2614:57] + assign io_csr_pkt_csr_dicad0h = _T_727 & _T_17; // @[dec_tlu_ctl.scala 2615:57] + assign io_csr_pkt_csr_dicad0 = _T_738 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2616:57] + assign io_csr_pkt_csr_dicad1 = _T_749 & _T_27; // @[dec_tlu_ctl.scala 2617:57] + assign io_csr_pkt_csr_dicago = _T_749 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2618:57] + assign io_csr_pkt_presync = _T_830 | _T_845; // @[dec_tlu_ctl.scala 2619:34] + assign io_csr_pkt_postsync = _T_926 | _T_938; // @[dec_tlu_ctl.scala 2621:30] + assign io_csr_pkt_legal = _T_1588 | _T_1604; // @[dec_tlu_ctl.scala 2624:26] endmodule module dec_tlu_ctl( input clock, @@ -54338,9 +54368,11 @@ module dec_tlu_ctl( output io_dec_tlu_misc_clk_override, output io_dec_tlu_dec_clk_override, output io_dec_tlu_lsu_clk_override, + output io_dec_tlu_bus_clk_override, output io_dec_tlu_pic_clk_override, output io_dec_tlu_dccm_clk_override, output io_dec_tlu_icm_clk_override, + output io_dec_tlu_flush_lower_wb, input io_ifu_pmu_instr_aligned, output io_tlu_bp_dec_tlu_br0_r_pkt_valid, output [1:0] io_tlu_bp_dec_tlu_br0_r_pkt_bits_hist, @@ -54348,7 +54380,6 @@ module dec_tlu_ctl( output io_tlu_bp_dec_tlu_br0_r_pkt_bits_br_start_error, output io_tlu_bp_dec_tlu_br0_r_pkt_bits_way, output io_tlu_bp_dec_tlu_br0_r_pkt_bits_middle, - output io_tlu_bp_dec_tlu_flush_lower_wb, output io_tlu_bp_dec_tlu_flush_leak_one_wb, output io_tlu_bp_dec_tlu_bpred_disable, output io_tlu_ifc_dec_tlu_flush_noredir_wb, @@ -54378,7 +54409,6 @@ module dec_tlu_ctl( input io_tlu_busbuff_lsu_pmu_bus_error, input io_tlu_busbuff_lsu_pmu_bus_busy, output io_tlu_busbuff_dec_tlu_external_ldfwd_disable, - output io_tlu_busbuff_dec_tlu_wb_coalescing_disable, output io_tlu_busbuff_dec_tlu_sideeffect_posted_disable, input io_tlu_busbuff_lsu_imprecise_error_load_any, input io_tlu_busbuff_lsu_imprecise_error_store_any, @@ -54469,26 +54499,26 @@ module dec_tlu_ctl( reg [31:0] _RAND_73; reg [31:0] _RAND_74; `endif // RANDOMIZE_REG_INIT - wire int_timers_clock; // @[dec_tlu_ctl.scala 274:30] - wire int_timers_reset; // @[dec_tlu_ctl.scala 274:30] - wire int_timers_io_free_clk; // @[dec_tlu_ctl.scala 274:30] - wire int_timers_io_scan_mode; // @[dec_tlu_ctl.scala 274:30] - wire int_timers_io_dec_csr_wen_r_mod; // @[dec_tlu_ctl.scala 274:30] - wire [11:0] int_timers_io_dec_csr_wraddr_r; // @[dec_tlu_ctl.scala 274:30] - wire [31:0] int_timers_io_dec_csr_wrdata_r; // @[dec_tlu_ctl.scala 274:30] - wire int_timers_io_csr_mitctl0; // @[dec_tlu_ctl.scala 274:30] - wire int_timers_io_csr_mitctl1; // @[dec_tlu_ctl.scala 274:30] - wire int_timers_io_csr_mitb0; // @[dec_tlu_ctl.scala 274:30] - wire int_timers_io_csr_mitb1; // @[dec_tlu_ctl.scala 274:30] - wire int_timers_io_csr_mitcnt0; // @[dec_tlu_ctl.scala 274:30] - wire int_timers_io_csr_mitcnt1; // @[dec_tlu_ctl.scala 274:30] - wire int_timers_io_dec_pause_state; // @[dec_tlu_ctl.scala 274:30] - wire int_timers_io_dec_tlu_pmu_fw_halted; // @[dec_tlu_ctl.scala 274:30] - wire int_timers_io_internal_dbg_halt_timers; // @[dec_tlu_ctl.scala 274:30] - wire [31:0] int_timers_io_dec_timer_rddata_d; // @[dec_tlu_ctl.scala 274:30] - wire int_timers_io_dec_timer_read_d; // @[dec_tlu_ctl.scala 274:30] - wire int_timers_io_dec_timer_t0_pulse; // @[dec_tlu_ctl.scala 274:30] - wire int_timers_io_dec_timer_t1_pulse; // @[dec_tlu_ctl.scala 274:30] + wire int_timers_clock; // @[dec_tlu_ctl.scala 275:32] + wire int_timers_reset; // @[dec_tlu_ctl.scala 275:32] + wire int_timers_io_free_clk; // @[dec_tlu_ctl.scala 275:32] + wire int_timers_io_scan_mode; // @[dec_tlu_ctl.scala 275:32] + wire int_timers_io_dec_csr_wen_r_mod; // @[dec_tlu_ctl.scala 275:32] + wire [11:0] int_timers_io_dec_csr_wraddr_r; // @[dec_tlu_ctl.scala 275:32] + wire [31:0] int_timers_io_dec_csr_wrdata_r; // @[dec_tlu_ctl.scala 275:32] + wire int_timers_io_csr_mitctl0; // @[dec_tlu_ctl.scala 275:32] + wire int_timers_io_csr_mitctl1; // @[dec_tlu_ctl.scala 275:32] + wire int_timers_io_csr_mitb0; // @[dec_tlu_ctl.scala 275:32] + wire int_timers_io_csr_mitb1; // @[dec_tlu_ctl.scala 275:32] + wire int_timers_io_csr_mitcnt0; // @[dec_tlu_ctl.scala 275:32] + wire int_timers_io_csr_mitcnt1; // @[dec_tlu_ctl.scala 275:32] + wire int_timers_io_dec_pause_state; // @[dec_tlu_ctl.scala 275:32] + wire int_timers_io_dec_tlu_pmu_fw_halted; // @[dec_tlu_ctl.scala 275:32] + wire int_timers_io_internal_dbg_halt_timers; // @[dec_tlu_ctl.scala 275:32] + wire [31:0] int_timers_io_dec_timer_rddata_d; // @[dec_tlu_ctl.scala 275:32] + wire int_timers_io_dec_timer_read_d; // @[dec_tlu_ctl.scala 275:32] + wire int_timers_io_dec_timer_t0_pulse; // @[dec_tlu_ctl.scala 275:32] + wire int_timers_io_dec_timer_t1_pulse; // @[dec_tlu_ctl.scala 275:32] wire rvclkhdr_io_l1clk; // @[lib.scala 343:22] wire rvclkhdr_io_clk; // @[lib.scala 343:22] wire rvclkhdr_io_en; // @[lib.scala 343:22] @@ -54505,905 +54535,905 @@ module dec_tlu_ctl( wire rvclkhdr_3_io_clk; // @[lib.scala 343:22] wire rvclkhdr_3_io_en; // @[lib.scala 343:22] wire rvclkhdr_3_io_scan_mode; // @[lib.scala 343:22] - wire csr_clock; // @[dec_tlu_ctl.scala 817:15] - wire csr_reset; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_free_clk; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_active_clk; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_scan_mode; // @[dec_tlu_ctl.scala 817:15] - wire [31:0] csr_io_dec_csr_wrdata_r; // @[dec_tlu_ctl.scala 817:15] - wire [11:0] csr_io_dec_csr_wraddr_r; // @[dec_tlu_ctl.scala 817:15] - wire [11:0] csr_io_dec_csr_rdaddr_d; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_csr_wen_unq_d; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_i0_decode_d; // @[dec_tlu_ctl.scala 817:15] - wire [70:0] csr_io_dec_tlu_ic_diag_pkt_icache_wrdata; // @[dec_tlu_ctl.scala 817:15] - wire [16:0] csr_io_dec_tlu_ic_diag_pkt_icache_dicawics; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_ifu_ic_debug_rd_data_valid; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_0_select; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_0_match_pkt; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_0_store; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_0_load; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_0_execute; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_0_m; // @[dec_tlu_ctl.scala 817:15] - wire [31:0] csr_io_trigger_pkt_any_0_tdata2; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_1_select; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_1_match_pkt; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_1_store; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_1_load; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_1_execute; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_1_m; // @[dec_tlu_ctl.scala 817:15] - wire [31:0] csr_io_trigger_pkt_any_1_tdata2; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_2_select; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_2_match_pkt; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_2_store; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_2_load; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_2_execute; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_2_m; // @[dec_tlu_ctl.scala 817:15] - wire [31:0] csr_io_trigger_pkt_any_2_tdata2; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_3_select; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_3_match_pkt; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_3_store; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_3_load; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_3_execute; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_pkt_any_3_m; // @[dec_tlu_ctl.scala 817:15] - wire [31:0] csr_io_trigger_pkt_any_3_tdata2; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_ifu_pmu_bus_trxn; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dma_iccm_stall_any; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dma_dccm_stall_any; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_lsu_store_stall_any; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_pmu_presync_stall; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_pmu_postsync_stall; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_pmu_decode_stall; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_ifu_pmu_fetch_stall; // @[dec_tlu_ctl.scala 817:15] - wire [1:0] csr_io_dec_tlu_packet_r_icaf_type; // @[dec_tlu_ctl.scala 817:15] - wire [3:0] csr_io_dec_tlu_packet_r_pmu_i0_itype; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_packet_r_pmu_i0_br_unpred; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_packet_r_pmu_divide; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_exu_pmu_i0_br_ataken; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_exu_pmu_i0_br_misp; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_pmu_instr_decoded; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_ifu_pmu_instr_aligned; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_exu_pmu_i0_pc4; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_ifu_pmu_ic_miss; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_ifu_pmu_ic_hit; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_int_valid_wb1; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_i0_exc_valid_wb1; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_i0_valid_wb1; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_csr_wen_r; // @[dec_tlu_ctl.scala 817:15] - wire [31:0] csr_io_dec_tlu_mtval_wb1; // @[dec_tlu_ctl.scala 817:15] - wire [4:0] csr_io_dec_tlu_exc_cause_wb1; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_perfcnt0; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_perfcnt1; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_perfcnt2; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_perfcnt3; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dma_pmu_dccm_write; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dma_pmu_dccm_read; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dma_pmu_any_write; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dma_pmu_any_read; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_lsu_pmu_bus_busy; // @[dec_tlu_ctl.scala 817:15] - wire [30:0] csr_io_dec_tlu_i0_pc_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_csr_any_unq_d; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_misc_clk_override; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_dec_clk_override; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_lsu_clk_override; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_pic_clk_override; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_dccm_clk_override; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_icm_clk_override; // @[dec_tlu_ctl.scala 817:15] - wire [31:0] csr_io_dec_csr_rddata_d; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_pipelining_disable; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_wr_pause_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_ifu_pmu_bus_busy; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_lsu_pmu_bus_error; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_ifu_pmu_bus_error; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_lsu_pmu_bus_misaligned; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_lsu_pmu_bus_trxn; // @[dec_tlu_ctl.scala 817:15] - wire [70:0] csr_io_ifu_ic_debug_rd_data; // @[dec_tlu_ctl.scala 817:15] - wire [3:0] csr_io_dec_tlu_meipt; // @[dec_tlu_ctl.scala 817:15] - wire [3:0] csr_io_pic_pl; // @[dec_tlu_ctl.scala 817:15] - wire [3:0] csr_io_dec_tlu_meicurpl; // @[dec_tlu_ctl.scala 817:15] - wire [29:0] csr_io_dec_tlu_meihap; // @[dec_tlu_ctl.scala 817:15] - wire [7:0] csr_io_pic_claimid; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_iccm_dma_sb_error; // @[dec_tlu_ctl.scala 817:15] - wire [31:0] csr_io_lsu_imprecise_error_addr_any; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_lsu_imprecise_error_load_any; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_lsu_imprecise_error_store_any; // @[dec_tlu_ctl.scala 817:15] - wire [31:0] csr_io_dec_tlu_mrac_ff; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_wb_coalescing_disable; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_bpred_disable; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_sideeffect_posted_disable; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_core_ecc_disable; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_external_ldfwd_disable; // @[dec_tlu_ctl.scala 817:15] - wire [2:0] csr_io_dec_tlu_dma_qos_prty; // @[dec_tlu_ctl.scala 817:15] - wire [31:0] csr_io_dec_illegal_inst; // @[dec_tlu_ctl.scala 817:15] - wire [3:0] csr_io_lsu_error_pkt_r_bits_mscause; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_mexintpend; // @[dec_tlu_ctl.scala 817:15] - wire [30:0] csr_io_exu_npc_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_mpc_reset_run_req; // @[dec_tlu_ctl.scala 817:15] - wire [30:0] csr_io_rst_vec; // @[dec_tlu_ctl.scala 817:15] - wire [27:0] csr_io_core_id; // @[dec_tlu_ctl.scala 817:15] - wire [31:0] csr_io_dec_timer_rddata_d; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_timer_read_d; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_csr_wen_r_mod; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_rfpc_i0_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_i0_trigger_hit_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_fw_halt_req; // @[dec_tlu_ctl.scala 817:15] - wire [1:0] csr_io_mstatus; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_exc_or_int_valid_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_mret_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_mstatus_mie_ns; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dcsr_single_step_running_f; // @[dec_tlu_ctl.scala 817:15] - wire [15:0] csr_io_dcsr; // @[dec_tlu_ctl.scala 817:15] - wire [30:0] csr_io_mtvec; // @[dec_tlu_ctl.scala 817:15] - wire [5:0] csr_io_mip; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_timer_t0_pulse; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_timer_t1_pulse; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_timer_int_sync; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_soft_int_sync; // @[dec_tlu_ctl.scala 817:15] - wire [5:0] csr_io_mie_ns; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_wr_clk; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_ebreak_to_debug_mode_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_pmu_fw_halted; // @[dec_tlu_ctl.scala 817:15] - wire [1:0] csr_io_lsu_fir_error; // @[dec_tlu_ctl.scala 817:15] - wire [30:0] csr_io_npc_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_flush_noredir_r_d1; // @[dec_tlu_ctl.scala 817:15] - wire [30:0] csr_io_tlu_flush_path_r_d1; // @[dec_tlu_ctl.scala 817:15] - wire [30:0] csr_io_npc_r_d1; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_reset_delayed; // @[dec_tlu_ctl.scala 817:15] - wire [30:0] csr_io_mepc; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_interrupt_valid_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_i0_exception_valid_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_lsu_exc_valid_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_mepc_trigger_hit_sel_pc_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_e4e5_int_clk; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_lsu_i0_exc_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_inst_acc_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_inst_acc_second_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_take_nmi; // @[dec_tlu_ctl.scala 817:15] - wire [31:0] csr_io_lsu_error_pkt_addr_r; // @[dec_tlu_ctl.scala 817:15] - wire [4:0] csr_io_exc_cause_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_i0_valid_wb; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_exc_or_int_valid_r_d1; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_interrupt_valid_r_d1; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_clk_override; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_i0_exception_valid_r_d1; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_lsu_i0_exc_r_d1; // @[dec_tlu_ctl.scala 817:15] - wire [4:0] csr_io_exc_cause_wb; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_nmi_lsu_store_type; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_nmi_lsu_load_type; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_tlu_i0_commit_cmt; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_ebreak_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_ecall_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_illegal_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_mdseac_locked_ns; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_mdseac_locked_f; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_nmi_int_detected_f; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_internal_dbg_halt_mode_f2; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_ext_int_freeze_d1; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_ic_perr_r_d1; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_iccm_sbecc_r_d1; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_lsu_single_ecc_error_r_d1; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_ifu_miss_state_idle_f; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_lsu_idle_any_f; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dbg_tlu_halted; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_debug_halt_req_f; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_force_halt; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_take_ext_int_start; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_trigger_hit_r_d1; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_debug_halt_req; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_allow_dbg_halt_csr_write; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_internal_dbg_halt_mode_f; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_enter_debug_halt_req; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_internal_dbg_halt_mode; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_request_debug_mode_done; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_request_debug_mode_r; // @[dec_tlu_ctl.scala 817:15] - wire [30:0] csr_io_dpc; // @[dec_tlu_ctl.scala 817:15] - wire [3:0] csr_io_update_hit_bit_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_take_timer_int; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_take_int_timer0_int; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_take_int_timer1_int; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_take_ext_int; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_tlu_flush_lower_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_br0_error_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_dec_tlu_br0_start_error_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_lsu_pmu_load_external_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_lsu_pmu_store_external_r; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_misa; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mvendorid; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_marchid; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mimpid; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mhartid; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mstatus; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mtvec; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mip; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mie; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mcyclel; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mcycleh; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_minstretl; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_minstreth; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mscratch; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mepc; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mcause; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mscause; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mtval; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mrac; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mdseac; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_meihap; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_meivt; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_meipt; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_meicurpl; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_meicidpl; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_dcsr; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mcgc; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mfdc; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_dpc; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mtsel; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mtdata1; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mtdata2; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mhpmc3; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mhpmc4; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mhpmc5; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mhpmc6; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mhpmc3h; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mhpmc4h; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mhpmc5h; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mhpmc6h; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mhpme3; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mhpme4; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mhpme5; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mhpme6; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mcountinhibit; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mpmc; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_micect; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_miccmect; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mdccmect; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mfdht; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_mfdhs; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_dicawics; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_dicad0h; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_dicad0; // @[dec_tlu_ctl.scala 817:15] - wire csr_io_csr_pkt_csr_dicad1; // @[dec_tlu_ctl.scala 817:15] - wire [9:0] csr_io_mtdata1_t_0; // @[dec_tlu_ctl.scala 817:15] - wire [9:0] csr_io_mtdata1_t_1; // @[dec_tlu_ctl.scala 817:15] - wire [9:0] csr_io_mtdata1_t_2; // @[dec_tlu_ctl.scala 817:15] - wire [9:0] csr_io_mtdata1_t_3; // @[dec_tlu_ctl.scala 817:15] - wire [11:0] csr_read_io_dec_csr_rdaddr_d; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_misa; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mvendorid; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_marchid; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mimpid; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mhartid; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mstatus; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mtvec; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mip; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mie; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mcyclel; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mcycleh; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_minstretl; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_minstreth; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mscratch; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mepc; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mcause; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mscause; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mtval; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mrac; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_dmst; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mdseac; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_meihap; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_meivt; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_meipt; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_meicurpl; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_meicidpl; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_dcsr; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mcgc; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mfdc; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_dpc; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mtsel; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mtdata1; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mtdata2; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mhpmc3; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mhpmc4; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mhpmc5; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mhpmc6; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mhpmc3h; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mhpmc4h; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mhpmc5h; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mhpmc6h; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mhpme3; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mhpme4; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mhpme5; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mhpme6; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mcountinhibit; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mitctl0; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mitctl1; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mitb0; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mitb1; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mitcnt0; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mitcnt1; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mpmc; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_meicpct; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_micect; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_miccmect; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mdccmect; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mfdht; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_mfdhs; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_dicawics; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_dicad0h; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_dicad0; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_dicad1; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_csr_dicago; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_presync; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_postsync; // @[dec_tlu_ctl.scala 1010:22] - wire csr_read_io_csr_pkt_legal; // @[dec_tlu_ctl.scala 1010:22] - reg dbg_halt_state_f; // @[dec_tlu_ctl.scala 366:89] - wire _T = ~dbg_halt_state_f; // @[dec_tlu_ctl.scala 273:39] - reg mpc_halt_state_f; // @[dec_tlu_ctl.scala 361:89] + wire csr_clock; // @[dec_tlu_ctl.scala 813:15] + wire csr_reset; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_free_clk; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_active_clk; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_scan_mode; // @[dec_tlu_ctl.scala 813:15] + wire [31:0] csr_io_dec_csr_wrdata_r; // @[dec_tlu_ctl.scala 813:15] + wire [11:0] csr_io_dec_csr_wraddr_r; // @[dec_tlu_ctl.scala 813:15] + wire [11:0] csr_io_dec_csr_rdaddr_d; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dec_csr_wen_unq_d; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dec_i0_decode_d; // @[dec_tlu_ctl.scala 813:15] + wire [70:0] csr_io_dec_tlu_ic_diag_pkt_icache_wrdata; // @[dec_tlu_ctl.scala 813:15] + wire [16:0] csr_io_dec_tlu_ic_diag_pkt_icache_dicawics; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_ifu_ic_debug_rd_data_valid; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_trigger_pkt_any_0_select; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_trigger_pkt_any_0_match_pkt; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_trigger_pkt_any_0_store; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_trigger_pkt_any_0_load; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_trigger_pkt_any_0_execute; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_trigger_pkt_any_0_m; // @[dec_tlu_ctl.scala 813:15] + wire [31:0] csr_io_trigger_pkt_any_0_tdata2; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_trigger_pkt_any_1_select; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_trigger_pkt_any_1_match_pkt; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_trigger_pkt_any_1_store; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_trigger_pkt_any_1_load; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_trigger_pkt_any_1_execute; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_trigger_pkt_any_1_m; // @[dec_tlu_ctl.scala 813:15] + wire [31:0] csr_io_trigger_pkt_any_1_tdata2; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_trigger_pkt_any_2_select; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_trigger_pkt_any_2_match_pkt; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_trigger_pkt_any_2_store; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_trigger_pkt_any_2_load; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_trigger_pkt_any_2_execute; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_trigger_pkt_any_2_m; // @[dec_tlu_ctl.scala 813:15] + wire [31:0] csr_io_trigger_pkt_any_2_tdata2; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_trigger_pkt_any_3_select; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_trigger_pkt_any_3_match_pkt; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_trigger_pkt_any_3_store; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_trigger_pkt_any_3_load; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_trigger_pkt_any_3_execute; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_trigger_pkt_any_3_m; // @[dec_tlu_ctl.scala 813:15] + wire [31:0] csr_io_trigger_pkt_any_3_tdata2; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_ifu_pmu_bus_trxn; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dma_iccm_stall_any; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dma_dccm_stall_any; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_lsu_store_stall_any; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dec_pmu_presync_stall; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dec_pmu_postsync_stall; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dec_pmu_decode_stall; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_ifu_pmu_fetch_stall; // @[dec_tlu_ctl.scala 813:15] + wire [1:0] csr_io_dec_tlu_packet_r_icaf_type; // @[dec_tlu_ctl.scala 813:15] + wire [3:0] csr_io_dec_tlu_packet_r_pmu_i0_itype; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dec_tlu_packet_r_pmu_i0_br_unpred; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dec_tlu_packet_r_pmu_divide; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_exu_pmu_i0_br_ataken; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_exu_pmu_i0_br_misp; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dec_pmu_instr_decoded; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_ifu_pmu_instr_aligned; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_exu_pmu_i0_pc4; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_ifu_pmu_ic_miss; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_ifu_pmu_ic_hit; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dec_tlu_int_valid_wb1; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dec_tlu_i0_exc_valid_wb1; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dec_tlu_i0_valid_wb1; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dec_csr_wen_r; // @[dec_tlu_ctl.scala 813:15] + wire [31:0] csr_io_dec_tlu_mtval_wb1; // @[dec_tlu_ctl.scala 813:15] + wire [4:0] csr_io_dec_tlu_exc_cause_wb1; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dec_tlu_perfcnt0; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dec_tlu_perfcnt1; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dec_tlu_perfcnt2; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dec_tlu_perfcnt3; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dma_pmu_dccm_write; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dma_pmu_dccm_read; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dma_pmu_any_write; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dma_pmu_any_read; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_lsu_pmu_bus_busy; // @[dec_tlu_ctl.scala 813:15] + wire [30:0] csr_io_dec_tlu_i0_pc_r; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dec_csr_any_unq_d; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dec_tlu_misc_clk_override; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dec_tlu_dec_clk_override; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dec_tlu_lsu_clk_override; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dec_tlu_bus_clk_override; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dec_tlu_pic_clk_override; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dec_tlu_dccm_clk_override; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dec_tlu_icm_clk_override; // @[dec_tlu_ctl.scala 813:15] + wire [31:0] csr_io_dec_csr_rddata_d; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dec_tlu_pipelining_disable; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dec_tlu_wr_pause_r; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_ifu_pmu_bus_busy; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_lsu_pmu_bus_error; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_ifu_pmu_bus_error; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_lsu_pmu_bus_misaligned; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_lsu_pmu_bus_trxn; // @[dec_tlu_ctl.scala 813:15] + wire [70:0] csr_io_ifu_ic_debug_rd_data; // @[dec_tlu_ctl.scala 813:15] + wire [3:0] csr_io_dec_tlu_meipt; // @[dec_tlu_ctl.scala 813:15] + wire [3:0] csr_io_pic_pl; // @[dec_tlu_ctl.scala 813:15] + wire [3:0] csr_io_dec_tlu_meicurpl; // @[dec_tlu_ctl.scala 813:15] + wire [29:0] csr_io_dec_tlu_meihap; // @[dec_tlu_ctl.scala 813:15] + wire [7:0] csr_io_pic_claimid; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_iccm_dma_sb_error; // @[dec_tlu_ctl.scala 813:15] + wire [31:0] csr_io_lsu_imprecise_error_addr_any; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_lsu_imprecise_error_load_any; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_lsu_imprecise_error_store_any; // @[dec_tlu_ctl.scala 813:15] + wire [31:0] csr_io_dec_tlu_mrac_ff; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dec_tlu_bpred_disable; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dec_tlu_sideeffect_posted_disable; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dec_tlu_core_ecc_disable; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dec_tlu_external_ldfwd_disable; // @[dec_tlu_ctl.scala 813:15] + wire [2:0] csr_io_dec_tlu_dma_qos_prty; // @[dec_tlu_ctl.scala 813:15] + wire [31:0] csr_io_dec_illegal_inst; // @[dec_tlu_ctl.scala 813:15] + wire [3:0] csr_io_lsu_error_pkt_r_bits_mscause; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_mexintpend; // @[dec_tlu_ctl.scala 813:15] + wire [30:0] csr_io_exu_npc_r; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_mpc_reset_run_req; // @[dec_tlu_ctl.scala 813:15] + wire [30:0] csr_io_rst_vec; // @[dec_tlu_ctl.scala 813:15] + wire [27:0] csr_io_core_id; // @[dec_tlu_ctl.scala 813:15] + wire [31:0] csr_io_dec_timer_rddata_d; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dec_timer_read_d; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dec_csr_wen_r_mod; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_rfpc_i0_r; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_i0_trigger_hit_r; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_fw_halt_req; // @[dec_tlu_ctl.scala 813:15] + wire [1:0] csr_io_mstatus; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_exc_or_int_valid_r; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_mret_r; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_mstatus_mie_ns; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dcsr_single_step_running_f; // @[dec_tlu_ctl.scala 813:15] + wire [15:0] csr_io_dcsr; // @[dec_tlu_ctl.scala 813:15] + wire [30:0] csr_io_mtvec; // @[dec_tlu_ctl.scala 813:15] + wire [5:0] csr_io_mip; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dec_timer_t0_pulse; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dec_timer_t1_pulse; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_timer_int_sync; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_soft_int_sync; // @[dec_tlu_ctl.scala 813:15] + wire [5:0] csr_io_mie_ns; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_wr_clk; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_ebreak_to_debug_mode_r; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dec_tlu_pmu_fw_halted; // @[dec_tlu_ctl.scala 813:15] + wire [1:0] csr_io_lsu_fir_error; // @[dec_tlu_ctl.scala 813:15] + wire [30:0] csr_io_npc_r; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dec_tlu_flush_noredir_r_d1; // @[dec_tlu_ctl.scala 813:15] + wire [30:0] csr_io_tlu_flush_path_r_d1; // @[dec_tlu_ctl.scala 813:15] + wire [30:0] csr_io_npc_r_d1; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_reset_delayed; // @[dec_tlu_ctl.scala 813:15] + wire [30:0] csr_io_mepc; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_interrupt_valid_r; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_i0_exception_valid_r; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_lsu_exc_valid_r; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_mepc_trigger_hit_sel_pc_r; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_e4e5_int_clk; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_lsu_i0_exc_r; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_inst_acc_r; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_inst_acc_second_r; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_take_nmi; // @[dec_tlu_ctl.scala 813:15] + wire [31:0] csr_io_lsu_error_pkt_addr_r; // @[dec_tlu_ctl.scala 813:15] + wire [4:0] csr_io_exc_cause_r; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_i0_valid_wb; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_exc_or_int_valid_r_d1; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_interrupt_valid_r_d1; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_clk_override; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_i0_exception_valid_r_d1; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_lsu_i0_exc_r_d1; // @[dec_tlu_ctl.scala 813:15] + wire [4:0] csr_io_exc_cause_wb; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_nmi_lsu_store_type; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_nmi_lsu_load_type; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_tlu_i0_commit_cmt; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_ebreak_r; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_ecall_r; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_illegal_r; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_mdseac_locked_ns; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_mdseac_locked_f; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_nmi_int_detected_f; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_internal_dbg_halt_mode_f2; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_ext_int_freeze_d1; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_ic_perr_r_d1; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_iccm_sbecc_r_d1; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_lsu_single_ecc_error_r_d1; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_ifu_miss_state_idle_f; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_lsu_idle_any_f; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dbg_tlu_halted; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_debug_halt_req_f; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_force_halt; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_take_ext_int_start; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_trigger_hit_r_d1; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_debug_halt_req; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_allow_dbg_halt_csr_write; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_internal_dbg_halt_mode_f; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_enter_debug_halt_req; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_internal_dbg_halt_mode; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_request_debug_mode_done; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_request_debug_mode_r; // @[dec_tlu_ctl.scala 813:15] + wire [30:0] csr_io_dpc; // @[dec_tlu_ctl.scala 813:15] + wire [3:0] csr_io_update_hit_bit_r; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_take_timer_int; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_take_int_timer0_int; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_take_int_timer1_int; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_take_ext_int; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_tlu_flush_lower_r; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dec_tlu_br0_error_r; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_dec_tlu_br0_start_error_r; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_lsu_pmu_load_external_r; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_lsu_pmu_store_external_r; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_misa; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_mvendorid; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_marchid; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_mimpid; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_mhartid; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_mstatus; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_mtvec; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_mip; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_mie; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_mcyclel; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_mcycleh; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_minstretl; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_minstreth; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_mscratch; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_mepc; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_mcause; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_mscause; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_mtval; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_mrac; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_mdseac; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_meihap; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_meivt; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_meipt; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_meicurpl; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_meicidpl; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_dcsr; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_mcgc; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_mfdc; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_dpc; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_mtsel; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_mtdata1; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_mtdata2; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_mhpmc3; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_mhpmc4; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_mhpmc5; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_mhpmc6; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_mhpmc3h; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_mhpmc4h; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_mhpmc5h; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_mhpmc6h; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_mhpme3; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_mhpme4; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_mhpme5; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_mhpme6; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_mcountinhibit; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_mpmc; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_micect; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_miccmect; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_mdccmect; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_mfdht; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_mfdhs; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_dicawics; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_dicad0h; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_dicad0; // @[dec_tlu_ctl.scala 813:15] + wire csr_io_csr_pkt_csr_dicad1; // @[dec_tlu_ctl.scala 813:15] + wire [9:0] csr_io_mtdata1_t_0; // @[dec_tlu_ctl.scala 813:15] + wire [9:0] csr_io_mtdata1_t_1; // @[dec_tlu_ctl.scala 813:15] + wire [9:0] csr_io_mtdata1_t_2; // @[dec_tlu_ctl.scala 813:15] + wire [9:0] csr_io_mtdata1_t_3; // @[dec_tlu_ctl.scala 813:15] + wire [11:0] csr_read_io_dec_csr_rdaddr_d; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_misa; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mvendorid; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_marchid; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mimpid; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mhartid; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mstatus; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mtvec; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mip; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mie; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mcyclel; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mcycleh; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_minstretl; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_minstreth; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mscratch; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mepc; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mcause; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mscause; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mtval; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mrac; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_dmst; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mdseac; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_meihap; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_meivt; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_meipt; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_meicurpl; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_meicidpl; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_dcsr; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mcgc; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mfdc; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_dpc; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mtsel; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mtdata1; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mtdata2; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mhpmc3; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mhpmc4; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mhpmc5; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mhpmc6; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mhpmc3h; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mhpmc4h; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mhpmc5h; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mhpmc6h; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mhpme3; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mhpme4; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mhpme5; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mhpme6; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mcountinhibit; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mitctl0; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mitctl1; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mitb0; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mitb1; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mitcnt0; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mitcnt1; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mpmc; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_meicpct; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_micect; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_miccmect; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mdccmect; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mfdht; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_mfdhs; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_dicawics; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_dicad0h; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_dicad0; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_dicad1; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_csr_dicago; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_presync; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_postsync; // @[dec_tlu_ctl.scala 1006:22] + wire csr_read_io_csr_pkt_legal; // @[dec_tlu_ctl.scala 1006:22] + reg dbg_halt_state_f; // @[dec_tlu_ctl.scala 365:114] + wire _T = ~dbg_halt_state_f; // @[dec_tlu_ctl.scala 274:39] + reg mpc_halt_state_f; // @[dec_tlu_ctl.scala 360:114] wire [2:0] _T_3 = {io_i_cpu_run_req,io_mpc_debug_halt_req,io_mpc_debug_run_req}; // @[Cat.scala 29:58] wire [3:0] _T_6 = {io_nmi_int,io_timer_int,io_soft_int,io_i_cpu_halt_req}; // @[Cat.scala 29:58] reg [6:0] _T_8; // @[lib.scala 37:81] reg [6:0] syncro_ff; // @[lib.scala 37:58] - wire nmi_int_sync = syncro_ff[6]; // @[dec_tlu_ctl.scala 301:67] - wire i_cpu_halt_req_sync = syncro_ff[3]; // @[dec_tlu_ctl.scala 304:59] - wire i_cpu_run_req_sync = syncro_ff[2]; // @[dec_tlu_ctl.scala 305:59] - wire mpc_debug_halt_req_sync_raw = syncro_ff[1]; // @[dec_tlu_ctl.scala 306:51] - wire mpc_debug_run_req_sync = syncro_ff[0]; // @[dec_tlu_ctl.scala 307:51] - wire dec_csr_wen_r_mod = csr_io_dec_csr_wen_r_mod; // @[dec_tlu_ctl.scala 1003:31] - reg lsu_exc_valid_r_d1; // @[dec_tlu_ctl.scala 612:74] - wire _T_11 = io_lsu_error_pkt_r_valid | lsu_exc_valid_r_d1; // @[dec_tlu_ctl.scala 311:67] - reg e5_valid; // @[dec_tlu_ctl.scala 323:97] - wire e4e5_valid = io_dec_tlu_i0_valid_r | e5_valid; // @[dec_tlu_ctl.scala 314:30] - reg debug_mode_status; // @[dec_tlu_ctl.scala 324:81] - reg i_cpu_run_req_d1_raw; // @[dec_tlu_ctl.scala 572:80] - reg nmi_int_delayed; // @[dec_tlu_ctl.scala 339:72] - wire _T_37 = ~nmi_int_delayed; // @[dec_tlu_ctl.scala 348:45] - wire _T_38 = nmi_int_sync & _T_37; // @[dec_tlu_ctl.scala 348:43] - reg mdseac_locked_f; // @[dec_tlu_ctl.scala 605:89] - wire _T_35 = ~mdseac_locked_f; // @[dec_tlu_ctl.scala 346:32] - wire _T_36 = io_tlu_busbuff_lsu_imprecise_error_load_any | io_tlu_busbuff_lsu_imprecise_error_store_any; // @[dec_tlu_ctl.scala 346:96] - wire nmi_lsu_detected = _T_35 & _T_36; // @[dec_tlu_ctl.scala 346:49] - wire _T_39 = _T_38 | nmi_lsu_detected; // @[dec_tlu_ctl.scala 348:63] - reg nmi_int_detected_f; // @[dec_tlu_ctl.scala 340:72] - reg take_nmi_r_d1; // @[dec_tlu_ctl.scala 814:98] - wire _T_40 = ~take_nmi_r_d1; // @[dec_tlu_ctl.scala 348:106] - wire _T_41 = nmi_int_detected_f & _T_40; // @[dec_tlu_ctl.scala 348:104] - wire _T_42 = _T_39 | _T_41; // @[dec_tlu_ctl.scala 348:82] - reg take_ext_int_start_d3; // @[dec_tlu_ctl.scala 745:62] - wire _T_43 = |io_lsu_fir_error; // @[dec_tlu_ctl.scala 348:165] - wire _T_44 = take_ext_int_start_d3 & _T_43; // @[dec_tlu_ctl.scala 348:146] - wire nmi_int_detected = _T_42 | _T_44; // @[dec_tlu_ctl.scala 348:122] - wire _T_631 = ~io_dec_csr_stall_int_ff; // @[dec_tlu_ctl.scala 722:23] - wire mstatus_mie_ns = csr_io_mstatus_mie_ns; // @[dec_tlu_ctl.scala 1002:31] - wire _T_632 = _T_631 & mstatus_mie_ns; // @[dec_tlu_ctl.scala 722:48] - wire [5:0] mip = csr_io_mip; // @[dec_tlu_ctl.scala 1008:31] - wire _T_634 = _T_632 & mip[1]; // @[dec_tlu_ctl.scala 722:65] - wire [5:0] mie_ns = csr_io_mie_ns; // @[dec_tlu_ctl.scala 997:31] - wire timer_int_ready = _T_634 & mie_ns[1]; // @[dec_tlu_ctl.scala 722:83] - wire _T_391 = nmi_int_detected | timer_int_ready; // @[dec_tlu_ctl.scala 599:66] - wire _T_628 = _T_632 & mip[0]; // @[dec_tlu_ctl.scala 721:65] - wire soft_int_ready = _T_628 & mie_ns[0]; // @[dec_tlu_ctl.scala 721:83] - wire _T_392 = _T_391 | soft_int_ready; // @[dec_tlu_ctl.scala 599:84] - reg int_timer0_int_hold_f; // @[dec_tlu_ctl.scala 579:73] - wire _T_393 = _T_392 | int_timer0_int_hold_f; // @[dec_tlu_ctl.scala 599:101] - reg int_timer1_int_hold_f; // @[dec_tlu_ctl.scala 580:73] - wire _T_394 = _T_393 | int_timer1_int_hold_f; // @[dec_tlu_ctl.scala 599:125] - wire _T_608 = _T_632 & mip[2]; // @[dec_tlu_ctl.scala 718:66] - wire mhwakeup_ready = _T_608 & mie_ns[2]; // @[dec_tlu_ctl.scala 718:84] - wire _T_395 = io_dec_pic_mhwakeup & mhwakeup_ready; // @[dec_tlu_ctl.scala 599:172] - wire _T_396 = _T_394 | _T_395; // @[dec_tlu_ctl.scala 599:149] - wire _T_397 = _T_396 & io_o_cpu_halt_status; // @[dec_tlu_ctl.scala 599:191] - reg i_cpu_halt_req_d1; // @[dec_tlu_ctl.scala 571:80] - wire _T_398 = ~i_cpu_halt_req_d1; // @[dec_tlu_ctl.scala 599:216] - wire _T_399 = _T_397 & _T_398; // @[dec_tlu_ctl.scala 599:214] - wire i_cpu_run_req_d1 = i_cpu_run_req_d1_raw | _T_399; // @[dec_tlu_ctl.scala 599:45] - wire _T_14 = debug_mode_status | i_cpu_run_req_d1; // @[dec_tlu_ctl.scala 315:50] - wire _T_685 = ~_T_43; // @[dec_tlu_ctl.scala 750:49] - wire take_ext_int = take_ext_int_start_d3 & _T_685; // @[dec_tlu_ctl.scala 750:47] - wire _T_698 = ~soft_int_ready; // @[dec_tlu_ctl.scala 767:40] - wire _T_699 = timer_int_ready & _T_698; // @[dec_tlu_ctl.scala 767:38] - wire _T_617 = ~io_lsu_fastint_stall_any; // @[dec_tlu_ctl.scala 719:104] - wire ext_int_ready = mhwakeup_ready & _T_617; // @[dec_tlu_ctl.scala 719:102] - wire _T_700 = ~ext_int_ready; // @[dec_tlu_ctl.scala 767:58] - wire _T_701 = _T_699 & _T_700; // @[dec_tlu_ctl.scala 767:56] - wire _T_622 = _T_632 & mip[5]; // @[dec_tlu_ctl.scala 720:65] - wire ce_int_ready = _T_622 & mie_ns[5]; // @[dec_tlu_ctl.scala 720:83] - wire _T_702 = ~ce_int_ready; // @[dec_tlu_ctl.scala 767:75] - wire _T_703 = _T_701 & _T_702; // @[dec_tlu_ctl.scala 767:73] - wire _T_152 = ~debug_mode_status; // @[dec_tlu_ctl.scala 422:37] - reg dbg_halt_req_held; // @[dec_tlu_ctl.scala 465:81] - wire _T_106 = io_dbg_halt_req | dbg_halt_req_held; // @[dec_tlu_ctl.scala 399:48] - reg ext_int_freeze_d1; // @[dec_tlu_ctl.scala 746:66] - wire _T_107 = ~ext_int_freeze_d1; // @[dec_tlu_ctl.scala 399:71] - wire dbg_halt_req_final = _T_106 & _T_107; // @[dec_tlu_ctl.scala 399:69] - wire mpc_debug_halt_req_sync = mpc_debug_halt_req_sync_raw & _T_107; // @[dec_tlu_ctl.scala 358:67] - wire _T_109 = dbg_halt_req_final | mpc_debug_halt_req_sync; // @[dec_tlu_ctl.scala 402:50] - reg reset_detect; // @[dec_tlu_ctl.scala 335:88] - reg reset_detected; // @[dec_tlu_ctl.scala 336:88] - wire reset_delayed = reset_detect ^ reset_detected; // @[dec_tlu_ctl.scala 337:64] - wire _T_110 = ~io_mpc_reset_run_req; // @[dec_tlu_ctl.scala 402:95] - wire _T_111 = reset_delayed & _T_110; // @[dec_tlu_ctl.scala 402:93] - wire _T_112 = _T_109 | _T_111; // @[dec_tlu_ctl.scala 402:76] - wire _T_114 = _T_112 & _T_152; // @[dec_tlu_ctl.scala 402:119] - wire debug_halt_req = _T_114 & _T_107; // @[dec_tlu_ctl.scala 402:147] - wire _T_153 = _T_152 & debug_halt_req; // @[dec_tlu_ctl.scala 422:63] - reg dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 457:81] - wire _T_154 = _T_153 | dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 422:81] - reg trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 456:81] - wire _T_155 = _T_154 | trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 422:107] - reg ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 671:64] - wire enter_debug_halt_req = _T_155 | ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 422:132] - reg debug_halt_req_f; // @[dec_tlu_ctl.scala 454:89] - wire force_halt = csr_io_force_halt; // @[dec_tlu_ctl.scala 1000:31] - reg lsu_idle_any_f; // @[dec_tlu_ctl.scala 450:89] - wire _T_142 = io_lsu_idle_any & lsu_idle_any_f; // @[dec_tlu_ctl.scala 416:53] - wire _T_143 = _T_142 & io_tlu_mem_ifu_miss_state_idle; // @[dec_tlu_ctl.scala 416:70] - reg ifu_miss_state_idle_f; // @[dec_tlu_ctl.scala 451:81] - wire _T_144 = _T_143 & ifu_miss_state_idle_f; // @[dec_tlu_ctl.scala 416:103] - wire _T_145 = ~debug_halt_req; // @[dec_tlu_ctl.scala 416:129] - wire _T_146 = _T_144 & _T_145; // @[dec_tlu_ctl.scala 416:127] - reg debug_halt_req_d1; // @[dec_tlu_ctl.scala 458:89] - wire _T_147 = ~debug_halt_req_d1; // @[dec_tlu_ctl.scala 416:147] - wire _T_148 = _T_146 & _T_147; // @[dec_tlu_ctl.scala 416:145] - wire _T_149 = ~io_dec_div_active; // @[dec_tlu_ctl.scala 416:168] - wire _T_150 = _T_148 & _T_149; // @[dec_tlu_ctl.scala 416:166] - wire core_empty = force_halt | _T_150; // @[dec_tlu_ctl.scala 416:34] - wire _T_163 = debug_halt_req_f & core_empty; // @[dec_tlu_ctl.scala 432:48] - reg dec_tlu_flush_noredir_r_d1; // @[dec_tlu_ctl.scala 448:81] - reg dec_tlu_flush_pause_r_d1; // @[dec_tlu_ctl.scala 464:73] - wire _T_132 = ~dec_tlu_flush_pause_r_d1; // @[dec_tlu_ctl.scala 412:56] - wire _T_133 = dec_tlu_flush_noredir_r_d1 & _T_132; // @[dec_tlu_ctl.scala 412:54] - reg take_ext_int_start_d1; // @[dec_tlu_ctl.scala 743:62] - wire _T_134 = ~take_ext_int_start_d1; // @[dec_tlu_ctl.scala 412:84] - wire _T_135 = _T_133 & _T_134; // @[dec_tlu_ctl.scala 412:82] - reg halt_taken_f; // @[dec_tlu_ctl.scala 449:89] - reg dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 452:89] - wire _T_136 = ~dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 412:126] - wire _T_137 = halt_taken_f & _T_136; // @[dec_tlu_ctl.scala 412:124] - reg pmu_fw_tlu_halted_f; // @[dec_tlu_ctl.scala 578:73] - wire _T_138 = ~pmu_fw_tlu_halted_f; // @[dec_tlu_ctl.scala 412:146] - wire _T_139 = _T_137 & _T_138; // @[dec_tlu_ctl.scala 412:144] - reg interrupt_valid_r_d1; // @[dec_tlu_ctl.scala 808:90] - wire _T_140 = ~interrupt_valid_r_d1; // @[dec_tlu_ctl.scala 412:169] - wire _T_141 = _T_139 & _T_140; // @[dec_tlu_ctl.scala 412:167] - wire halt_taken = _T_135 | _T_141; // @[dec_tlu_ctl.scala 412:108] - wire _T_164 = _T_163 & halt_taken; // @[dec_tlu_ctl.scala 432:61] - reg debug_resume_req_f; // @[dec_tlu_ctl.scala 455:89] - wire _T_165 = ~debug_resume_req_f; // @[dec_tlu_ctl.scala 432:97] - wire _T_166 = dbg_tlu_halted_f & _T_165; // @[dec_tlu_ctl.scala 432:95] - wire dbg_tlu_halted = _T_164 | _T_166; // @[dec_tlu_ctl.scala 432:75] - wire _T_167 = ~dbg_tlu_halted; // @[dec_tlu_ctl.scala 433:73] - wire _T_168 = debug_halt_req_f & _T_167; // @[dec_tlu_ctl.scala 433:71] - wire debug_halt_req_ns = enter_debug_halt_req | _T_168; // @[dec_tlu_ctl.scala 433:51] - wire [15:0] dcsr = csr_io_dcsr; // @[dec_tlu_ctl.scala 1006:31] - wire _T_157 = ~dcsr[2]; // @[dec_tlu_ctl.scala 425:106] - wire _T_158 = debug_resume_req_f & _T_157; // @[dec_tlu_ctl.scala 425:104] - wire _T_159 = ~_T_158; // @[dec_tlu_ctl.scala 425:83] - wire _T_160 = debug_mode_status & _T_159; // @[dec_tlu_ctl.scala 425:81] - wire internal_dbg_halt_mode = debug_halt_req_ns | _T_160; // @[dec_tlu_ctl.scala 425:53] - wire _T_177 = debug_resume_req_f & dcsr[2]; // @[dec_tlu_ctl.scala 438:60] - reg dcsr_single_step_running_f; // @[dec_tlu_ctl.scala 463:73] - wire _T_178 = ~dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 438:111] - wire _T_179 = dcsr_single_step_running_f & _T_178; // @[dec_tlu_ctl.scala 438:109] - wire dcsr_single_step_running = _T_177 | _T_179; // @[dec_tlu_ctl.scala 438:79] - wire _T_665 = ~dcsr_single_step_running; // @[dec_tlu_ctl.scala 739:55] - wire _T_666 = _T_665 | io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 739:81] - wire _T_667 = internal_dbg_halt_mode & _T_666; // @[dec_tlu_ctl.scala 739:52] - wire _T_346 = ~io_dec_tlu_debug_mode; // @[dec_tlu_ctl.scala 568:62] - wire _T_347 = i_cpu_halt_req_sync & _T_346; // @[dec_tlu_ctl.scala 568:60] - wire i_cpu_halt_req_sync_qual = _T_347 & _T_107; // @[dec_tlu_ctl.scala 568:85] - wire ext_halt_pulse = i_cpu_halt_req_sync_qual & _T_398; // @[dec_tlu_ctl.scala 584:50] - wire fw_halt_req = csr_io_fw_halt_req; // @[dec_tlu_ctl.scala 1004:31] - wire enter_pmu_fw_halt_req = ext_halt_pulse | fw_halt_req; // @[dec_tlu_ctl.scala 585:48] - reg pmu_fw_halt_req_f; // @[dec_tlu_ctl.scala 577:73] - wire _T_371 = pmu_fw_halt_req_f & core_empty; // @[dec_tlu_ctl.scala 590:45] - wire _T_372 = _T_371 & halt_taken; // @[dec_tlu_ctl.scala 590:58] - wire _T_373 = ~enter_debug_halt_req; // @[dec_tlu_ctl.scala 590:73] - wire _T_374 = _T_372 & _T_373; // @[dec_tlu_ctl.scala 590:71] - wire _T_375 = ~i_cpu_run_req_d1; // @[dec_tlu_ctl.scala 590:121] - wire _T_376 = pmu_fw_tlu_halted_f & _T_375; // @[dec_tlu_ctl.scala 590:119] - wire _T_377 = _T_374 | _T_376; // @[dec_tlu_ctl.scala 590:96] - wire _T_378 = ~debug_halt_req_f; // @[dec_tlu_ctl.scala 590:143] - wire pmu_fw_tlu_halted = _T_377 & _T_378; // @[dec_tlu_ctl.scala 590:141] - wire _T_361 = ~pmu_fw_tlu_halted; // @[dec_tlu_ctl.scala 586:72] - wire _T_362 = pmu_fw_halt_req_f & _T_361; // @[dec_tlu_ctl.scala 586:70] - wire _T_363 = enter_pmu_fw_halt_req | _T_362; // @[dec_tlu_ctl.scala 586:49] - wire pmu_fw_halt_req_ns = _T_363 & _T_378; // @[dec_tlu_ctl.scala 586:93] - reg internal_pmu_fw_halt_mode_f; // @[dec_tlu_ctl.scala 576:68] - wire _T_367 = internal_pmu_fw_halt_mode_f & _T_375; // @[dec_tlu_ctl.scala 587:83] - wire _T_369 = _T_367 & _T_378; // @[dec_tlu_ctl.scala 587:103] - wire internal_pmu_fw_halt_mode = pmu_fw_halt_req_ns | _T_369; // @[dec_tlu_ctl.scala 587:52] - wire _T_668 = _T_667 | internal_pmu_fw_halt_mode; // @[dec_tlu_ctl.scala 739:107] - wire _T_669 = _T_668 | i_cpu_halt_req_d1; // @[dec_tlu_ctl.scala 739:135] - wire _T_738 = ~internal_pmu_fw_halt_mode; // @[dec_tlu_ctl.scala 771:35] - wire _T_739 = nmi_int_detected & _T_738; // @[dec_tlu_ctl.scala 771:33] - wire _T_740 = ~internal_dbg_halt_mode; // @[dec_tlu_ctl.scala 771:65] - wire _T_742 = dcsr_single_step_running_f & dcsr[11]; // @[dec_tlu_ctl.scala 771:119] - wire _T_743 = ~io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 771:141] - wire _T_744 = _T_742 & _T_743; // @[dec_tlu_ctl.scala 771:139] - wire _T_746 = _T_744 & _T_178; // @[dec_tlu_ctl.scala 771:164] - wire _T_747 = _T_740 | _T_746; // @[dec_tlu_ctl.scala 771:89] - wire _T_748 = _T_739 & _T_747; // @[dec_tlu_ctl.scala 771:62] - wire _T_463 = io_dec_tlu_packet_r_pmu_i0_itype == 4'h8; // @[dec_tlu_ctl.scala 657:51] - wire _T_464 = _T_463 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 657:64] - wire _T_297 = io_tlu_bp_dec_tlu_flush_lower_wb | io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 519:65] + wire nmi_int_sync = syncro_ff[6]; // @[dec_tlu_ctl.scala 302:76] + wire i_cpu_halt_req_sync = syncro_ff[3]; // @[dec_tlu_ctl.scala 305:64] + wire i_cpu_run_req_sync = syncro_ff[2]; // @[dec_tlu_ctl.scala 306:66] + wire mpc_debug_halt_req_sync_raw = syncro_ff[1]; // @[dec_tlu_ctl.scala 307:52] + wire mpc_debug_run_req_sync = syncro_ff[0]; // @[dec_tlu_ctl.scala 308:56] + wire dec_csr_wen_r_mod = csr_io_dec_csr_wen_r_mod; // @[dec_tlu_ctl.scala 999:31] + reg lsu_exc_valid_r_d1; // @[dec_tlu_ctl.scala 609:74] + wire _T_11 = io_lsu_error_pkt_r_valid | lsu_exc_valid_r_d1; // @[dec_tlu_ctl.scala 312:71] + reg e5_valid; // @[dec_tlu_ctl.scala 324:138] + wire e4e5_valid = io_dec_tlu_i0_valid_r | e5_valid; // @[dec_tlu_ctl.scala 315:39] + reg debug_mode_status; // @[dec_tlu_ctl.scala 325:90] + reg i_cpu_run_req_d1_raw; // @[dec_tlu_ctl.scala 569:81] + reg nmi_int_delayed; // @[dec_tlu_ctl.scala 338:81] + wire _T_37 = ~nmi_int_delayed; // @[dec_tlu_ctl.scala 347:45] + wire _T_38 = nmi_int_sync & _T_37; // @[dec_tlu_ctl.scala 347:43] + reg mdseac_locked_f; // @[dec_tlu_ctl.scala 602:89] + wire _T_35 = ~mdseac_locked_f; // @[dec_tlu_ctl.scala 345:32] + wire _T_36 = io_tlu_busbuff_lsu_imprecise_error_load_any | io_tlu_busbuff_lsu_imprecise_error_store_any; // @[dec_tlu_ctl.scala 345:96] + wire nmi_lsu_detected = _T_35 & _T_36; // @[dec_tlu_ctl.scala 345:49] + wire _T_39 = _T_38 | nmi_lsu_detected; // @[dec_tlu_ctl.scala 347:63] + reg nmi_int_detected_f; // @[dec_tlu_ctl.scala 339:73] + reg take_nmi_r_d1; // @[dec_tlu_ctl.scala 810:107] + wire _T_40 = ~take_nmi_r_d1; // @[dec_tlu_ctl.scala 347:106] + wire _T_41 = nmi_int_detected_f & _T_40; // @[dec_tlu_ctl.scala 347:104] + wire _T_42 = _T_39 | _T_41; // @[dec_tlu_ctl.scala 347:82] + reg take_ext_int_start_d3; // @[dec_tlu_ctl.scala 742:74] + wire _T_43 = |io_lsu_fir_error; // @[dec_tlu_ctl.scala 347:165] + wire _T_44 = take_ext_int_start_d3 & _T_43; // @[dec_tlu_ctl.scala 347:146] + wire nmi_int_detected = _T_42 | _T_44; // @[dec_tlu_ctl.scala 347:122] + wire _T_631 = ~io_dec_csr_stall_int_ff; // @[dec_tlu_ctl.scala 719:23] + wire mstatus_mie_ns = csr_io_mstatus_mie_ns; // @[dec_tlu_ctl.scala 998:31] + wire _T_632 = _T_631 & mstatus_mie_ns; // @[dec_tlu_ctl.scala 719:48] + wire [5:0] mip = csr_io_mip; // @[dec_tlu_ctl.scala 1004:31] + wire _T_634 = _T_632 & mip[1]; // @[dec_tlu_ctl.scala 719:65] + wire [5:0] mie_ns = csr_io_mie_ns; // @[dec_tlu_ctl.scala 993:31] + wire timer_int_ready = _T_634 & mie_ns[1]; // @[dec_tlu_ctl.scala 719:83] + wire _T_391 = nmi_int_detected | timer_int_ready; // @[dec_tlu_ctl.scala 596:66] + wire _T_628 = _T_632 & mip[0]; // @[dec_tlu_ctl.scala 718:65] + wire soft_int_ready = _T_628 & mie_ns[0]; // @[dec_tlu_ctl.scala 718:83] + wire _T_392 = _T_391 | soft_int_ready; // @[dec_tlu_ctl.scala 596:84] + reg int_timer0_int_hold_f; // @[dec_tlu_ctl.scala 576:74] + wire _T_393 = _T_392 | int_timer0_int_hold_f; // @[dec_tlu_ctl.scala 596:101] + reg int_timer1_int_hold_f; // @[dec_tlu_ctl.scala 577:74] + wire _T_394 = _T_393 | int_timer1_int_hold_f; // @[dec_tlu_ctl.scala 596:125] + wire _T_608 = _T_632 & mip[2]; // @[dec_tlu_ctl.scala 715:65] + wire mhwakeup_ready = _T_608 & mie_ns[2]; // @[dec_tlu_ctl.scala 715:83] + wire _T_395 = io_dec_pic_mhwakeup & mhwakeup_ready; // @[dec_tlu_ctl.scala 596:172] + wire _T_396 = _T_394 | _T_395; // @[dec_tlu_ctl.scala 596:149] + wire _T_397 = _T_396 & io_o_cpu_halt_status; // @[dec_tlu_ctl.scala 596:191] + reg i_cpu_halt_req_d1; // @[dec_tlu_ctl.scala 568:81] + wire _T_398 = ~i_cpu_halt_req_d1; // @[dec_tlu_ctl.scala 596:216] + wire _T_399 = _T_397 & _T_398; // @[dec_tlu_ctl.scala 596:214] + wire i_cpu_run_req_d1 = i_cpu_run_req_d1_raw | _T_399; // @[dec_tlu_ctl.scala 596:45] + wire _T_14 = debug_mode_status | i_cpu_run_req_d1; // @[dec_tlu_ctl.scala 316:55] + wire _T_685 = ~_T_43; // @[dec_tlu_ctl.scala 747:49] + wire take_ext_int = take_ext_int_start_d3 & _T_685; // @[dec_tlu_ctl.scala 747:47] + wire _T_698 = ~soft_int_ready; // @[dec_tlu_ctl.scala 764:40] + wire _T_699 = timer_int_ready & _T_698; // @[dec_tlu_ctl.scala 764:38] + wire _T_617 = ~io_lsu_fastint_stall_any; // @[dec_tlu_ctl.scala 716:104] + wire ext_int_ready = mhwakeup_ready & _T_617; // @[dec_tlu_ctl.scala 716:102] + wire _T_700 = ~ext_int_ready; // @[dec_tlu_ctl.scala 764:58] + wire _T_701 = _T_699 & _T_700; // @[dec_tlu_ctl.scala 764:56] + wire _T_622 = _T_632 & mip[5]; // @[dec_tlu_ctl.scala 717:65] + wire ce_int_ready = _T_622 & mie_ns[5]; // @[dec_tlu_ctl.scala 717:83] + wire _T_702 = ~ce_int_ready; // @[dec_tlu_ctl.scala 764:75] + wire _T_703 = _T_701 & _T_702; // @[dec_tlu_ctl.scala 764:73] + wire _T_152 = ~debug_mode_status; // @[dec_tlu_ctl.scala 421:37] + reg dbg_halt_req_held; // @[dec_tlu_ctl.scala 464:98] + wire _T_106 = io_dbg_halt_req | dbg_halt_req_held; // @[dec_tlu_ctl.scala 398:48] + reg ext_int_freeze_d1; // @[dec_tlu_ctl.scala 743:90] + wire _T_107 = ~ext_int_freeze_d1; // @[dec_tlu_ctl.scala 398:71] + wire dbg_halt_req_final = _T_106 & _T_107; // @[dec_tlu_ctl.scala 398:69] + wire mpc_debug_halt_req_sync = mpc_debug_halt_req_sync_raw & _T_107; // @[dec_tlu_ctl.scala 357:70] + wire _T_109 = dbg_halt_req_final | mpc_debug_halt_req_sync; // @[dec_tlu_ctl.scala 401:50] + reg reset_detect; // @[dec_tlu_ctl.scala 334:106] + reg reset_detected; // @[dec_tlu_ctl.scala 335:98] + wire reset_delayed = reset_detect ^ reset_detected; // @[dec_tlu_ctl.scala 336:89] + wire _T_110 = ~io_mpc_reset_run_req; // @[dec_tlu_ctl.scala 401:95] + wire _T_111 = reset_delayed & _T_110; // @[dec_tlu_ctl.scala 401:93] + wire _T_112 = _T_109 | _T_111; // @[dec_tlu_ctl.scala 401:76] + wire _T_114 = _T_112 & _T_152; // @[dec_tlu_ctl.scala 401:119] + wire debug_halt_req = _T_114 & _T_107; // @[dec_tlu_ctl.scala 401:147] + wire _T_153 = _T_152 & debug_halt_req; // @[dec_tlu_ctl.scala 421:63] + reg dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 456:90] + wire _T_154 = _T_153 | dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 421:81] + reg trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 455:90] + wire _T_155 = _T_154 | trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 421:107] + reg ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 668:64] + wire enter_debug_halt_req = _T_155 | ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 421:132] + reg debug_halt_req_f; // @[dec_tlu_ctl.scala 453:114] + wire force_halt = csr_io_force_halt; // @[dec_tlu_ctl.scala 996:31] + reg lsu_idle_any_f; // @[dec_tlu_ctl.scala 449:114] + wire _T_142 = io_lsu_idle_any & lsu_idle_any_f; // @[dec_tlu_ctl.scala 415:53] + wire _T_143 = _T_142 & io_tlu_mem_ifu_miss_state_idle; // @[dec_tlu_ctl.scala 415:70] + reg ifu_miss_state_idle_f; // @[dec_tlu_ctl.scala 450:98] + wire _T_144 = _T_143 & ifu_miss_state_idle_f; // @[dec_tlu_ctl.scala 415:103] + wire _T_145 = ~debug_halt_req; // @[dec_tlu_ctl.scala 415:129] + wire _T_146 = _T_144 & _T_145; // @[dec_tlu_ctl.scala 415:127] + reg debug_halt_req_d1; // @[dec_tlu_ctl.scala 457:114] + wire _T_147 = ~debug_halt_req_d1; // @[dec_tlu_ctl.scala 415:147] + wire _T_148 = _T_146 & _T_147; // @[dec_tlu_ctl.scala 415:145] + wire _T_149 = ~io_dec_div_active; // @[dec_tlu_ctl.scala 415:168] + wire _T_150 = _T_148 & _T_149; // @[dec_tlu_ctl.scala 415:166] + wire core_empty = force_halt | _T_150; // @[dec_tlu_ctl.scala 415:34] + wire _T_163 = debug_halt_req_f & core_empty; // @[dec_tlu_ctl.scala 431:48] + reg dec_tlu_flush_noredir_r_d1; // @[dec_tlu_ctl.scala 447:82] + reg dec_tlu_flush_pause_r_d1; // @[dec_tlu_ctl.scala 463:74] + wire _T_132 = ~dec_tlu_flush_pause_r_d1; // @[dec_tlu_ctl.scala 411:56] + wire _T_133 = dec_tlu_flush_noredir_r_d1 & _T_132; // @[dec_tlu_ctl.scala 411:54] + reg take_ext_int_start_d1; // @[dec_tlu_ctl.scala 740:74] + wire _T_134 = ~take_ext_int_start_d1; // @[dec_tlu_ctl.scala 411:84] + wire _T_135 = _T_133 & _T_134; // @[dec_tlu_ctl.scala 411:82] + reg halt_taken_f; // @[dec_tlu_ctl.scala 448:122] + reg dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 451:114] + wire _T_136 = ~dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 411:126] + wire _T_137 = halt_taken_f & _T_136; // @[dec_tlu_ctl.scala 411:124] + reg pmu_fw_tlu_halted_f; // @[dec_tlu_ctl.scala 575:74] + wire _T_138 = ~pmu_fw_tlu_halted_f; // @[dec_tlu_ctl.scala 411:146] + wire _T_139 = _T_137 & _T_138; // @[dec_tlu_ctl.scala 411:144] + reg interrupt_valid_r_d1; // @[dec_tlu_ctl.scala 804:91] + wire _T_140 = ~interrupt_valid_r_d1; // @[dec_tlu_ctl.scala 411:169] + wire _T_141 = _T_139 & _T_140; // @[dec_tlu_ctl.scala 411:167] + wire halt_taken = _T_135 | _T_141; // @[dec_tlu_ctl.scala 411:108] + wire _T_164 = _T_163 & halt_taken; // @[dec_tlu_ctl.scala 431:61] + reg debug_resume_req_f; // @[dec_tlu_ctl.scala 454:106] + wire _T_165 = ~debug_resume_req_f; // @[dec_tlu_ctl.scala 431:97] + wire _T_166 = dbg_tlu_halted_f & _T_165; // @[dec_tlu_ctl.scala 431:95] + wire dbg_tlu_halted = _T_164 | _T_166; // @[dec_tlu_ctl.scala 431:75] + wire _T_167 = ~dbg_tlu_halted; // @[dec_tlu_ctl.scala 432:73] + wire _T_168 = debug_halt_req_f & _T_167; // @[dec_tlu_ctl.scala 432:71] + wire debug_halt_req_ns = enter_debug_halt_req | _T_168; // @[dec_tlu_ctl.scala 432:51] + wire [15:0] dcsr = csr_io_dcsr; // @[dec_tlu_ctl.scala 1002:31] + wire _T_157 = ~dcsr[2]; // @[dec_tlu_ctl.scala 424:106] + wire _T_158 = debug_resume_req_f & _T_157; // @[dec_tlu_ctl.scala 424:104] + wire _T_159 = ~_T_158; // @[dec_tlu_ctl.scala 424:83] + wire _T_160 = debug_mode_status & _T_159; // @[dec_tlu_ctl.scala 424:81] + wire internal_dbg_halt_mode = debug_halt_req_ns | _T_160; // @[dec_tlu_ctl.scala 424:53] + wire _T_177 = debug_resume_req_f & dcsr[2]; // @[dec_tlu_ctl.scala 437:60] + reg dcsr_single_step_running_f; // @[dec_tlu_ctl.scala 462:66] + wire _T_178 = ~dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 437:111] + wire _T_179 = dcsr_single_step_running_f & _T_178; // @[dec_tlu_ctl.scala 437:109] + wire dcsr_single_step_running = _T_177 | _T_179; // @[dec_tlu_ctl.scala 437:79] + wire _T_665 = ~dcsr_single_step_running; // @[dec_tlu_ctl.scala 736:55] + wire _T_666 = _T_665 | io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 736:81] + wire _T_667 = internal_dbg_halt_mode & _T_666; // @[dec_tlu_ctl.scala 736:52] + wire _T_346 = ~io_dec_tlu_debug_mode; // @[dec_tlu_ctl.scala 565:62] + wire _T_347 = i_cpu_halt_req_sync & _T_346; // @[dec_tlu_ctl.scala 565:60] + wire i_cpu_halt_req_sync_qual = _T_347 & _T_107; // @[dec_tlu_ctl.scala 565:85] + wire ext_halt_pulse = i_cpu_halt_req_sync_qual & _T_398; // @[dec_tlu_ctl.scala 581:50] + wire fw_halt_req = csr_io_fw_halt_req; // @[dec_tlu_ctl.scala 1000:31] + wire enter_pmu_fw_halt_req = ext_halt_pulse | fw_halt_req; // @[dec_tlu_ctl.scala 582:48] + reg pmu_fw_halt_req_f; // @[dec_tlu_ctl.scala 574:82] + wire _T_371 = pmu_fw_halt_req_f & core_empty; // @[dec_tlu_ctl.scala 587:45] + wire _T_372 = _T_371 & halt_taken; // @[dec_tlu_ctl.scala 587:58] + wire _T_373 = ~enter_debug_halt_req; // @[dec_tlu_ctl.scala 587:73] + wire _T_374 = _T_372 & _T_373; // @[dec_tlu_ctl.scala 587:71] + wire _T_375 = ~i_cpu_run_req_d1; // @[dec_tlu_ctl.scala 587:121] + wire _T_376 = pmu_fw_tlu_halted_f & _T_375; // @[dec_tlu_ctl.scala 587:119] + wire _T_377 = _T_374 | _T_376; // @[dec_tlu_ctl.scala 587:96] + wire _T_378 = ~debug_halt_req_f; // @[dec_tlu_ctl.scala 587:143] + wire pmu_fw_tlu_halted = _T_377 & _T_378; // @[dec_tlu_ctl.scala 587:141] + wire _T_361 = ~pmu_fw_tlu_halted; // @[dec_tlu_ctl.scala 583:72] + wire _T_362 = pmu_fw_halt_req_f & _T_361; // @[dec_tlu_ctl.scala 583:70] + wire _T_363 = enter_pmu_fw_halt_req | _T_362; // @[dec_tlu_ctl.scala 583:49] + wire pmu_fw_halt_req_ns = _T_363 & _T_378; // @[dec_tlu_ctl.scala 583:93] + reg internal_pmu_fw_halt_mode_f; // @[dec_tlu_ctl.scala 573:70] + wire _T_367 = internal_pmu_fw_halt_mode_f & _T_375; // @[dec_tlu_ctl.scala 584:83] + wire _T_369 = _T_367 & _T_378; // @[dec_tlu_ctl.scala 584:103] + wire internal_pmu_fw_halt_mode = pmu_fw_halt_req_ns | _T_369; // @[dec_tlu_ctl.scala 584:52] + wire _T_668 = _T_667 | internal_pmu_fw_halt_mode; // @[dec_tlu_ctl.scala 736:107] + wire _T_669 = _T_668 | i_cpu_halt_req_d1; // @[dec_tlu_ctl.scala 736:135] + wire _T_738 = ~internal_pmu_fw_halt_mode; // @[dec_tlu_ctl.scala 768:35] + wire _T_739 = nmi_int_detected & _T_738; // @[dec_tlu_ctl.scala 768:33] + wire _T_740 = ~internal_dbg_halt_mode; // @[dec_tlu_ctl.scala 768:65] + wire _T_742 = dcsr_single_step_running_f & dcsr[11]; // @[dec_tlu_ctl.scala 768:119] + wire _T_743 = ~io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 768:141] + wire _T_744 = _T_742 & _T_743; // @[dec_tlu_ctl.scala 768:139] + wire _T_746 = _T_744 & _T_178; // @[dec_tlu_ctl.scala 768:164] + wire _T_747 = _T_740 | _T_746; // @[dec_tlu_ctl.scala 768:89] + wire _T_748 = _T_739 & _T_747; // @[dec_tlu_ctl.scala 768:62] + wire _T_463 = io_dec_tlu_packet_r_pmu_i0_itype == 4'h8; // @[dec_tlu_ctl.scala 654:52] + wire _T_464 = _T_463 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 654:65] + wire _T_297 = io_dec_tlu_flush_lower_wb | io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 518:58] wire [3:0] _T_299 = _T_297 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_300 = ~_T_299; // @[dec_tlu_ctl.scala 519:23] + wire [3:0] _T_300 = ~_T_299; // @[dec_tlu_ctl.scala 518:23] wire [3:0] _T_292 = io_dec_tlu_i0_valid_r ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_294 = _T_292 & io_dec_tlu_packet_r_i0trigger; // @[dec_tlu_ctl.scala 517:53] - wire [9:0] mtdata1_t_3 = csr_io_mtdata1_t_3; // @[dec_tlu_ctl.scala 155:67 dec_tlu_ctl.scala 1009:33] - wire [9:0] mtdata1_t_2 = csr_io_mtdata1_t_2; // @[dec_tlu_ctl.scala 155:67 dec_tlu_ctl.scala 1009:33] - wire [9:0] mtdata1_t_1 = csr_io_mtdata1_t_1; // @[dec_tlu_ctl.scala 155:67 dec_tlu_ctl.scala 1009:33] - wire [9:0] mtdata1_t_0 = csr_io_mtdata1_t_0; // @[dec_tlu_ctl.scala 155:67 dec_tlu_ctl.scala 1009:33] + wire [3:0] _T_294 = _T_292 & io_dec_tlu_packet_r_i0trigger; // @[dec_tlu_ctl.scala 516:53] + wire [9:0] mtdata1_t_3 = csr_io_mtdata1_t_3; // @[dec_tlu_ctl.scala 156:67 dec_tlu_ctl.scala 1005:31] + wire [9:0] mtdata1_t_2 = csr_io_mtdata1_t_2; // @[dec_tlu_ctl.scala 156:67 dec_tlu_ctl.scala 1005:31] + wire [9:0] mtdata1_t_1 = csr_io_mtdata1_t_1; // @[dec_tlu_ctl.scala 156:67 dec_tlu_ctl.scala 1005:31] + wire [9:0] mtdata1_t_0 = csr_io_mtdata1_t_0; // @[dec_tlu_ctl.scala 156:67 dec_tlu_ctl.scala 1005:31] wire [3:0] trigger_execute = {mtdata1_t_3[2],mtdata1_t_2[2],mtdata1_t_1[2],mtdata1_t_0[2]}; // @[Cat.scala 29:58] wire [3:0] trigger_data = {mtdata1_t_3[7],mtdata1_t_2[7],mtdata1_t_1[7],mtdata1_t_0[7]}; // @[Cat.scala 29:58] - wire [3:0] _T_279 = trigger_execute & trigger_data; // @[dec_tlu_ctl.scala 509:57] - wire inst_acc_r_raw = io_dec_tlu_packet_r_icaf & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 665:49] + wire [3:0] _T_279 = trigger_execute & trigger_data; // @[dec_tlu_ctl.scala 508:57] + wire inst_acc_r_raw = io_dec_tlu_packet_r_icaf & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 662:49] wire [3:0] _T_281 = inst_acc_r_raw ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_282 = _T_279 & _T_281; // @[dec_tlu_ctl.scala 509:72] - wire _T_283 = io_tlu_exu_exu_i0_br_error_r | io_tlu_exu_exu_i0_br_start_error_r; // @[dec_tlu_ctl.scala 509:137] + wire [3:0] _T_282 = _T_279 & _T_281; // @[dec_tlu_ctl.scala 508:72] + wire _T_283 = io_tlu_exu_exu_i0_br_error_r | io_tlu_exu_exu_i0_br_start_error_r; // @[dec_tlu_ctl.scala 508:137] wire [3:0] _T_285 = _T_283 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_286 = _T_282 | _T_285; // @[dec_tlu_ctl.scala 509:98] - wire [3:0] i0_iside_trigger_has_pri_r = ~_T_286; // @[dec_tlu_ctl.scala 509:38] - wire [3:0] _T_295 = _T_294 & i0_iside_trigger_has_pri_r; // @[dec_tlu_ctl.scala 517:90] + wire [3:0] _T_286 = _T_282 | _T_285; // @[dec_tlu_ctl.scala 508:98] + wire [3:0] i0_iside_trigger_has_pri_r = ~_T_286; // @[dec_tlu_ctl.scala 508:38] + wire [3:0] _T_295 = _T_294 & i0_iside_trigger_has_pri_r; // @[dec_tlu_ctl.scala 516:90] wire [3:0] trigger_store = {mtdata1_t_3[1],mtdata1_t_2[1],mtdata1_t_1[1],mtdata1_t_0[1]}; // @[Cat.scala 29:58] - wire [3:0] _T_287 = trigger_store & trigger_data; // @[dec_tlu_ctl.scala 512:51] + wire [3:0] _T_287 = trigger_store & trigger_data; // @[dec_tlu_ctl.scala 511:51] wire [3:0] _T_289 = io_lsu_error_pkt_r_valid ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_290 = _T_287 & _T_289; // @[dec_tlu_ctl.scala 512:66] - wire [3:0] i0_lsu_trigger_has_pri_r = ~_T_290; // @[dec_tlu_ctl.scala 512:35] - wire [3:0] _T_296 = _T_295 & i0_lsu_trigger_has_pri_r; // @[dec_tlu_ctl.scala 517:119] - wire [1:0] mstatus = csr_io_mstatus; // @[dec_tlu_ctl.scala 1005:31] - wire _T_259 = mtdata1_t_3[6] | mstatus[0]; // @[dec_tlu_ctl.scala 506:62] - wire _T_261 = _T_259 & mtdata1_t_3[3]; // @[dec_tlu_ctl.scala 506:86] - wire _T_264 = mtdata1_t_2[6] | mstatus[0]; // @[dec_tlu_ctl.scala 506:150] - wire _T_266 = _T_264 & mtdata1_t_2[3]; // @[dec_tlu_ctl.scala 506:174] - wire _T_269 = mtdata1_t_1[6] | mstatus[0]; // @[dec_tlu_ctl.scala 506:239] - wire _T_271 = _T_269 & mtdata1_t_1[3]; // @[dec_tlu_ctl.scala 506:263] - wire _T_274 = mtdata1_t_0[6] | mstatus[0]; // @[dec_tlu_ctl.scala 506:328] - wire _T_276 = _T_274 & mtdata1_t_0[3]; // @[dec_tlu_ctl.scala 506:352] + wire [3:0] _T_290 = _T_287 & _T_289; // @[dec_tlu_ctl.scala 511:66] + wire [3:0] i0_lsu_trigger_has_pri_r = ~_T_290; // @[dec_tlu_ctl.scala 511:35] + wire [3:0] _T_296 = _T_295 & i0_lsu_trigger_has_pri_r; // @[dec_tlu_ctl.scala 516:119] + wire [1:0] mstatus = csr_io_mstatus; // @[dec_tlu_ctl.scala 1001:31] + wire _T_259 = mtdata1_t_3[6] | mstatus[0]; // @[dec_tlu_ctl.scala 505:62] + wire _T_261 = _T_259 & mtdata1_t_3[3]; // @[dec_tlu_ctl.scala 505:86] + wire _T_264 = mtdata1_t_2[6] | mstatus[0]; // @[dec_tlu_ctl.scala 505:150] + wire _T_266 = _T_264 & mtdata1_t_2[3]; // @[dec_tlu_ctl.scala 505:174] + wire _T_269 = mtdata1_t_1[6] | mstatus[0]; // @[dec_tlu_ctl.scala 505:239] + wire _T_271 = _T_269 & mtdata1_t_1[3]; // @[dec_tlu_ctl.scala 505:263] + wire _T_274 = mtdata1_t_0[6] | mstatus[0]; // @[dec_tlu_ctl.scala 505:328] + wire _T_276 = _T_274 & mtdata1_t_0[3]; // @[dec_tlu_ctl.scala 505:352] wire [3:0] trigger_enabled = {_T_261,_T_266,_T_271,_T_276}; // @[Cat.scala 29:58] - wire [3:0] i0trigger_qual_r = _T_296 & trigger_enabled; // @[dec_tlu_ctl.scala 517:146] - wire [3:0] i0_trigger_r = _T_300 & i0trigger_qual_r; // @[dec_tlu_ctl.scala 519:91] - wire _T_303 = ~mtdata1_t_2[5]; // @[dec_tlu_ctl.scala 522:60] - wire _T_305 = _T_303 | i0_trigger_r[2]; // @[dec_tlu_ctl.scala 522:89] - wire _T_306 = i0_trigger_r[3] & _T_305; // @[dec_tlu_ctl.scala 522:57] - wire _T_311 = _T_303 | i0_trigger_r[3]; // @[dec_tlu_ctl.scala 522:157] - wire _T_312 = i0_trigger_r[2] & _T_311; // @[dec_tlu_ctl.scala 522:125] - wire _T_315 = ~mtdata1_t_0[5]; // @[dec_tlu_ctl.scala 522:196] - wire _T_317 = _T_315 | i0_trigger_r[0]; // @[dec_tlu_ctl.scala 522:225] - wire _T_318 = i0_trigger_r[1] & _T_317; // @[dec_tlu_ctl.scala 522:193] - wire _T_323 = _T_315 | i0_trigger_r[1]; // @[dec_tlu_ctl.scala 522:293] - wire _T_324 = i0_trigger_r[0] & _T_323; // @[dec_tlu_ctl.scala 522:261] + wire [3:0] i0trigger_qual_r = _T_296 & trigger_enabled; // @[dec_tlu_ctl.scala 516:146] + wire [3:0] i0_trigger_r = _T_300 & i0trigger_qual_r; // @[dec_tlu_ctl.scala 518:84] + wire _T_303 = ~mtdata1_t_2[5]; // @[dec_tlu_ctl.scala 521:60] + wire _T_305 = _T_303 | i0_trigger_r[2]; // @[dec_tlu_ctl.scala 521:89] + wire _T_306 = i0_trigger_r[3] & _T_305; // @[dec_tlu_ctl.scala 521:57] + wire _T_311 = _T_303 | i0_trigger_r[3]; // @[dec_tlu_ctl.scala 521:157] + wire _T_312 = i0_trigger_r[2] & _T_311; // @[dec_tlu_ctl.scala 521:125] + wire _T_315 = ~mtdata1_t_0[5]; // @[dec_tlu_ctl.scala 521:196] + wire _T_317 = _T_315 | i0_trigger_r[0]; // @[dec_tlu_ctl.scala 521:225] + wire _T_318 = i0_trigger_r[1] & _T_317; // @[dec_tlu_ctl.scala 521:193] + wire _T_323 = _T_315 | i0_trigger_r[1]; // @[dec_tlu_ctl.scala 521:293] + wire _T_324 = i0_trigger_r[0] & _T_323; // @[dec_tlu_ctl.scala 521:261] wire [3:0] i0_trigger_chain_masked_r = {_T_306,_T_312,_T_318,_T_324}; // @[Cat.scala 29:58] - wire i0_trigger_hit_raw_r = |i0_trigger_chain_masked_r; // @[dec_tlu_ctl.scala 525:57] - wire _T_465 = ~i0_trigger_hit_raw_r; // @[dec_tlu_ctl.scala 657:90] - wire _T_466 = _T_464 & _T_465; // @[dec_tlu_ctl.scala 657:88] - wire _T_468 = ~dcsr[15]; // @[dec_tlu_ctl.scala 657:110] - wire _T_469 = _T_466 & _T_468; // @[dec_tlu_ctl.scala 657:108] - reg tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 327:80] - wire _T_429 = ~tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 632:44] - wire _T_430 = io_dec_tlu_i0_valid_r & _T_429; // @[dec_tlu_ctl.scala 632:42] - wire _T_432 = _T_430 & _T_283; // @[dec_tlu_ctl.scala 632:66] - reg ic_perr_r_d1; // @[dec_tlu_ctl.scala 321:89] - reg iccm_sbecc_r_d1; // @[dec_tlu_ctl.scala 322:89] - wire _T_433 = ic_perr_r_d1 | iccm_sbecc_r_d1; // @[dec_tlu_ctl.scala 632:154] - wire _T_435 = _T_433 & _T_107; // @[dec_tlu_ctl.scala 632:173] - wire _T_436 = _T_432 | _T_435; // @[dec_tlu_ctl.scala 632:137] - wire _T_438 = _T_436 & _T_465; // @[dec_tlu_ctl.scala 632:196] - wire _T_410 = io_dec_tlu_i0_valid_r & _T_465; // @[dec_tlu_ctl.scala 620:47] - wire _T_411 = ~io_lsu_error_pkt_r_bits_inst_type; // @[dec_tlu_ctl.scala 620:70] - wire _T_412 = _T_411 & io_lsu_error_pkt_r_bits_single_ecc_error; // @[dec_tlu_ctl.scala 620:105] - wire lsu_i0_rfnpc_r = _T_410 & _T_412; // @[dec_tlu_ctl.scala 620:67] - wire _T_439 = ~lsu_i0_rfnpc_r; // @[dec_tlu_ctl.scala 632:220] - wire rfpc_i0_r = _T_438 & _T_439; // @[dec_tlu_ctl.scala 632:217] - wire _T_470 = ~rfpc_i0_r; // @[dec_tlu_ctl.scala 657:132] - wire ebreak_r = _T_469 & _T_470; // @[dec_tlu_ctl.scala 657:130] - wire _T_472 = io_dec_tlu_packet_r_pmu_i0_itype == 4'h9; // @[dec_tlu_ctl.scala 658:51] - wire _T_473 = _T_472 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 658:64] - wire _T_475 = _T_473 & _T_465; // @[dec_tlu_ctl.scala 658:88] - wire ecall_r = _T_475 & _T_470; // @[dec_tlu_ctl.scala 658:108] - wire _T_523 = ebreak_r | ecall_r; // @[dec_tlu_ctl.scala 685:41] - wire _T_478 = ~io_dec_tlu_packet_r_legal; // @[dec_tlu_ctl.scala 659:17] - wire _T_479 = _T_478 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 659:46] - wire _T_481 = _T_479 & _T_465; // @[dec_tlu_ctl.scala 659:70] - wire illegal_r = _T_481 & _T_470; // @[dec_tlu_ctl.scala 659:90] - wire _T_524 = _T_523 | illegal_r; // @[dec_tlu_ctl.scala 685:51] - wire _T_511 = inst_acc_r_raw & _T_470; // @[dec_tlu_ctl.scala 666:33] - wire inst_acc_r = _T_511 & _T_465; // @[dec_tlu_ctl.scala 666:46] - wire _T_525 = _T_524 | inst_acc_r; // @[dec_tlu_ctl.scala 685:63] - wire _T_527 = _T_525 & _T_470; // @[dec_tlu_ctl.scala 685:77] - wire _T_528 = ~io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 685:92] - wire i0_exception_valid_r = _T_527 & _T_528; // @[dec_tlu_ctl.scala 685:90] - wire _T_789 = i0_exception_valid_r | rfpc_i0_r; // @[dec_tlu_ctl.scala 784:49] - wire _T_402 = ~io_tlu_bp_dec_tlu_flush_lower_wb; // @[dec_tlu_ctl.scala 608:57] - wire lsu_exc_valid_r_raw = io_lsu_error_pkt_r_valid & _T_402; // @[dec_tlu_ctl.scala 608:55] - wire _T_403 = io_lsu_error_pkt_r_valid & lsu_exc_valid_r_raw; // @[dec_tlu_ctl.scala 610:40] - wire _T_405 = _T_403 & _T_465; // @[dec_tlu_ctl.scala 610:62] - wire lsu_exc_valid_r = _T_405 & _T_470; // @[dec_tlu_ctl.scala 610:82] - wire _T_790 = _T_789 | lsu_exc_valid_r; // @[dec_tlu_ctl.scala 784:61] - wire _T_490 = io_dec_tlu_packet_r_fence_i & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 662:50] - wire _T_492 = _T_490 & _T_465; // @[dec_tlu_ctl.scala 662:74] - wire fence_i_r = _T_492 & _T_470; // @[dec_tlu_ctl.scala 662:95] - wire _T_791 = _T_790 | fence_i_r; // @[dec_tlu_ctl.scala 784:79] - wire _T_792 = _T_791 | lsu_i0_rfnpc_r; // @[dec_tlu_ctl.scala 784:91] - wire _T_414 = io_dec_tlu_i0_valid_r & _T_470; // @[dec_tlu_ctl.scala 623:50] - wire _T_415 = ~lsu_exc_valid_r; // @[dec_tlu_ctl.scala 623:65] - wire _T_416 = _T_414 & _T_415; // @[dec_tlu_ctl.scala 623:63] - wire _T_417 = ~inst_acc_r; // @[dec_tlu_ctl.scala 623:82] - wire _T_418 = _T_416 & _T_417; // @[dec_tlu_ctl.scala 623:79] - wire _T_420 = _T_418 & _T_528; // @[dec_tlu_ctl.scala 623:94] - reg request_debug_mode_r_d1; // @[dec_tlu_ctl.scala 461:81] - wire _T_421 = ~request_debug_mode_r_d1; // @[dec_tlu_ctl.scala 623:121] - wire _T_422 = _T_420 & _T_421; // @[dec_tlu_ctl.scala 623:119] - wire tlu_i0_commit_cmt = _T_422 & _T_465; // @[dec_tlu_ctl.scala 623:146] - reg iccm_repair_state_d1; // @[dec_tlu_ctl.scala 320:80] - wire _T_444 = tlu_i0_commit_cmt & iccm_repair_state_d1; // @[dec_tlu_ctl.scala 641:52] - wire _T_484 = io_dec_tlu_packet_r_pmu_i0_itype == 4'hc; // @[dec_tlu_ctl.scala 660:51] - wire _T_485 = _T_484 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 660:64] - wire _T_487 = _T_485 & _T_465; // @[dec_tlu_ctl.scala 660:88] - wire mret_r = _T_487 & _T_470; // @[dec_tlu_ctl.scala 660:108] - wire _T_446 = _T_523 | mret_r; // @[dec_tlu_ctl.scala 641:98] - wire take_reset = reset_delayed & io_mpc_reset_run_req; // @[dec_tlu_ctl.scala 770:32] - wire _T_447 = _T_446 | take_reset; // @[dec_tlu_ctl.scala 641:107] - wire _T_448 = _T_447 | illegal_r; // @[dec_tlu_ctl.scala 641:120] - wire _T_449 = io_dec_csr_wraddr_r == 12'h7c2; // @[dec_tlu_ctl.scala 641:176] - wire _T_450 = dec_csr_wen_r_mod & _T_449; // @[dec_tlu_ctl.scala 641:153] - wire _T_451 = _T_448 | _T_450; // @[dec_tlu_ctl.scala 641:132] - wire _T_452 = ~_T_451; // @[dec_tlu_ctl.scala 641:77] - wire iccm_repair_state_rfnpc = _T_444 & _T_452; // @[dec_tlu_ctl.scala 641:75] - wire _T_793 = _T_792 | iccm_repair_state_rfnpc; // @[dec_tlu_ctl.scala 784:108] - wire _T_794 = _T_793 | debug_resume_req_f; // @[dec_tlu_ctl.scala 784:135] - wire _T_786 = i_cpu_run_req_d1 & pmu_fw_tlu_halted_f; // @[dec_tlu_ctl.scala 782:43] - wire _T_211 = ~io_dec_pause_state; // @[dec_tlu_ctl.scala 481:28] - reg dec_pause_state_f; // @[dec_tlu_ctl.scala 460:81] - wire _T_212 = _T_211 & dec_pause_state_f; // @[dec_tlu_ctl.scala 481:48] - wire _T_213 = ext_int_ready | ce_int_ready; // @[dec_tlu_ctl.scala 481:86] - wire _T_214 = _T_213 | timer_int_ready; // @[dec_tlu_ctl.scala 481:101] - wire _T_215 = _T_214 | soft_int_ready; // @[dec_tlu_ctl.scala 481:119] - wire _T_216 = _T_215 | int_timer0_int_hold_f; // @[dec_tlu_ctl.scala 481:136] - wire _T_217 = _T_216 | int_timer1_int_hold_f; // @[dec_tlu_ctl.scala 481:160] - wire _T_218 = _T_217 | nmi_int_detected; // @[dec_tlu_ctl.scala 481:184] - wire _T_219 = _T_218 | ext_int_freeze_d1; // @[dec_tlu_ctl.scala 481:203] - wire _T_220 = ~_T_219; // @[dec_tlu_ctl.scala 481:70] - wire _T_221 = _T_212 & _T_220; // @[dec_tlu_ctl.scala 481:68] - wire _T_223 = _T_221 & _T_140; // @[dec_tlu_ctl.scala 481:224] - wire _T_225 = _T_223 & _T_378; // @[dec_tlu_ctl.scala 481:248] - wire _T_226 = ~pmu_fw_halt_req_f; // @[dec_tlu_ctl.scala 481:270] - wire _T_227 = _T_225 & _T_226; // @[dec_tlu_ctl.scala 481:268] - wire _T_228 = ~halt_taken_f; // @[dec_tlu_ctl.scala 481:291] - wire pause_expired_r = _T_227 & _T_228; // @[dec_tlu_ctl.scala 481:289] - wire sel_npc_resume = _T_786 | pause_expired_r; // @[dec_tlu_ctl.scala 782:66] - wire _T_795 = _T_794 | sel_npc_resume; // @[dec_tlu_ctl.scala 784:157] - reg dec_tlu_wr_pause_r_d1; // @[dec_tlu_ctl.scala 459:81] - wire _T_796 = _T_795 | dec_tlu_wr_pause_r_d1; // @[dec_tlu_ctl.scala 784:175] - wire synchronous_flush_r = _T_796 | i0_trigger_hit_raw_r; // @[dec_tlu_ctl.scala 784:201] - wire _T_749 = ~synchronous_flush_r; // @[dec_tlu_ctl.scala 771:195] - wire _T_750 = _T_748 & _T_749; // @[dec_tlu_ctl.scala 771:193] - wire _T_751 = ~mret_r; // @[dec_tlu_ctl.scala 771:218] - wire _T_752 = _T_750 & _T_751; // @[dec_tlu_ctl.scala 771:216] - wire _T_753 = ~take_reset; // @[dec_tlu_ctl.scala 771:228] - wire _T_754 = _T_752 & _T_753; // @[dec_tlu_ctl.scala 771:226] - wire _T_519 = _T_466 & dcsr[15]; // @[dec_tlu_ctl.scala 669:121] - wire ebreak_to_debug_mode_r = _T_519 & _T_470; // @[dec_tlu_ctl.scala 669:142] - wire _T_755 = ~ebreak_to_debug_mode_r; // @[dec_tlu_ctl.scala 771:242] - wire _T_756 = _T_754 & _T_755; // @[dec_tlu_ctl.scala 771:240] - wire _T_760 = _T_107 | _T_44; // @[dec_tlu_ctl.scala 771:288] - wire take_nmi = _T_756 & _T_760; // @[dec_tlu_ctl.scala 771:266] - wire _T_670 = _T_669 | take_nmi; // @[dec_tlu_ctl.scala 739:155] - wire _T_671 = _T_670 | ebreak_to_debug_mode_r; // @[dec_tlu_ctl.scala 739:166] - wire _T_672 = _T_671 | synchronous_flush_r; // @[dec_tlu_ctl.scala 739:191] - reg exc_or_int_valid_r_d1; // @[dec_tlu_ctl.scala 810:90] - wire _T_673 = _T_672 | exc_or_int_valid_r_d1; // @[dec_tlu_ctl.scala 739:214] - wire _T_674 = _T_673 | mret_r; // @[dec_tlu_ctl.scala 739:238] - wire block_interrupts = _T_674 | ext_int_freeze_d1; // @[dec_tlu_ctl.scala 739:247] - wire _T_704 = ~block_interrupts; // @[dec_tlu_ctl.scala 767:91] - wire take_timer_int = _T_703 & _T_704; // @[dec_tlu_ctl.scala 767:89] - wire _T_762 = take_ext_int | take_timer_int; // @[dec_tlu_ctl.scala 774:38] - wire _T_693 = soft_int_ready & _T_700; // @[dec_tlu_ctl.scala 766:36] - wire _T_695 = _T_693 & _T_702; // @[dec_tlu_ctl.scala 766:53] - wire take_soft_int = _T_695 & _T_704; // @[dec_tlu_ctl.scala 766:69] - wire _T_763 = _T_762 | take_soft_int; // @[dec_tlu_ctl.scala 774:55] - wire _T_764 = _T_763 | take_nmi; // @[dec_tlu_ctl.scala 774:71] - wire _T_689 = ce_int_ready & _T_700; // @[dec_tlu_ctl.scala 765:33] - wire take_ce_int = _T_689 & _T_704; // @[dec_tlu_ctl.scala 765:50] - wire _T_765 = _T_764 | take_ce_int; // @[dec_tlu_ctl.scala 774:82] - wire int_timer0_int_possible = mstatus_mie_ns & mie_ns[4]; // @[dec_tlu_ctl.scala 725:49] - wire int_timer0_int_ready = mip[4] & int_timer0_int_possible; // @[dec_tlu_ctl.scala 726:47] - wire _T_706 = int_timer0_int_ready | int_timer0_int_hold_f; // @[dec_tlu_ctl.scala 768:49] - wire _T_707 = _T_706 & int_timer0_int_possible; // @[dec_tlu_ctl.scala 768:74] - wire _T_709 = _T_707 & _T_631; // @[dec_tlu_ctl.scala 768:100] - wire _T_710 = ~timer_int_ready; // @[dec_tlu_ctl.scala 768:129] - wire _T_711 = _T_709 & _T_710; // @[dec_tlu_ctl.scala 768:127] - wire _T_713 = _T_711 & _T_698; // @[dec_tlu_ctl.scala 768:146] - wire _T_715 = _T_713 & _T_700; // @[dec_tlu_ctl.scala 768:164] - wire _T_717 = _T_715 & _T_702; // @[dec_tlu_ctl.scala 768:181] - wire take_int_timer0_int = _T_717 & _T_704; // @[dec_tlu_ctl.scala 768:197] - wire _T_766 = _T_765 | take_int_timer0_int; // @[dec_tlu_ctl.scala 774:96] - wire int_timer1_int_possible = mstatus_mie_ns & mie_ns[3]; // @[dec_tlu_ctl.scala 727:49] - wire int_timer1_int_ready = mip[3] & int_timer1_int_possible; // @[dec_tlu_ctl.scala 728:47] - wire _T_720 = int_timer1_int_ready | int_timer1_int_hold_f; // @[dec_tlu_ctl.scala 769:49] - wire _T_721 = _T_720 & int_timer1_int_possible; // @[dec_tlu_ctl.scala 769:74] - wire _T_723 = _T_721 & _T_631; // @[dec_tlu_ctl.scala 769:100] - wire _T_725 = ~_T_706; // @[dec_tlu_ctl.scala 769:129] - wire _T_726 = _T_723 & _T_725; // @[dec_tlu_ctl.scala 769:127] - wire _T_728 = _T_726 & _T_710; // @[dec_tlu_ctl.scala 769:177] - wire _T_730 = _T_728 & _T_698; // @[dec_tlu_ctl.scala 769:196] - wire _T_732 = _T_730 & _T_700; // @[dec_tlu_ctl.scala 769:214] - wire _T_734 = _T_732 & _T_702; // @[dec_tlu_ctl.scala 769:231] - wire take_int_timer1_int = _T_734 & _T_704; // @[dec_tlu_ctl.scala 769:247] - wire interrupt_valid_r = _T_766 | take_int_timer1_int; // @[dec_tlu_ctl.scala 774:118] - wire _T_15 = _T_14 | interrupt_valid_r; // @[dec_tlu_ctl.scala 315:69] - wire _T_16 = _T_15 | interrupt_valid_r_d1; // @[dec_tlu_ctl.scala 315:89] - wire _T_17 = _T_16 | reset_delayed; // @[dec_tlu_ctl.scala 315:112] - wire _T_18 = _T_17 | pause_expired_r; // @[dec_tlu_ctl.scala 315:128] - reg pause_expired_wb; // @[dec_tlu_ctl.scala 815:90] - wire _T_19 = _T_18 | pause_expired_wb; // @[dec_tlu_ctl.scala 315:146] - wire _T_496 = io_tlu_mem_ifu_ic_error_start & _T_107; // @[dec_tlu_ctl.scala 663:51] - wire _T_498 = _T_152 | dcsr_single_step_running; // @[dec_tlu_ctl.scala 663:101] - wire _T_499 = _T_496 & _T_498; // @[dec_tlu_ctl.scala 663:72] - wire _T_500 = ~internal_pmu_fw_halt_mode_f; // @[dec_tlu_ctl.scala 663:131] - wire ic_perr_r = _T_499 & _T_500; // @[dec_tlu_ctl.scala 663:129] - wire _T_20 = _T_19 | ic_perr_r; // @[dec_tlu_ctl.scala 315:165] - wire _T_21 = _T_20 | ic_perr_r_d1; // @[dec_tlu_ctl.scala 315:177] - wire _T_503 = io_tlu_mem_ifu_iccm_rd_ecc_single_err & _T_107; // @[dec_tlu_ctl.scala 664:59] - wire _T_506 = _T_503 & _T_498; // @[dec_tlu_ctl.scala 664:80] - wire iccm_sbecc_r = _T_506 & _T_500; // @[dec_tlu_ctl.scala 664:137] - wire _T_22 = _T_21 | iccm_sbecc_r; // @[dec_tlu_ctl.scala 315:192] - wire _T_23 = _T_22 | iccm_sbecc_r_d1; // @[dec_tlu_ctl.scala 315:207] - wire flush_clkvalid = _T_23 | io_dec_tlu_dec_clk_override; // @[dec_tlu_ctl.scala 315:225] - reg lsu_pmu_load_external_r; // @[dec_tlu_ctl.scala 325:80] - reg lsu_pmu_store_external_r; // @[dec_tlu_ctl.scala 326:72] - reg _T_32; // @[dec_tlu_ctl.scala 328:73] - reg internal_dbg_halt_mode_f2; // @[dec_tlu_ctl.scala 329:72] - reg _T_33; // @[dec_tlu_ctl.scala 330:89] - reg nmi_lsu_load_type_f; // @[dec_tlu_ctl.scala 341:72] - reg nmi_lsu_store_type_f; // @[dec_tlu_ctl.scala 342:72] - wire _T_46 = nmi_lsu_detected & io_tlu_busbuff_lsu_imprecise_error_load_any; // @[dec_tlu_ctl.scala 350:48] - wire _T_49 = ~_T_41; // @[dec_tlu_ctl.scala 350:96] - wire _T_50 = _T_46 & _T_49; // @[dec_tlu_ctl.scala 350:94] - wire _T_52 = nmi_lsu_load_type_f & _T_40; // @[dec_tlu_ctl.scala 350:159] - wire _T_54 = nmi_lsu_detected & io_tlu_busbuff_lsu_imprecise_error_store_any; // @[dec_tlu_ctl.scala 351:49] - wire _T_58 = _T_54 & _T_49; // @[dec_tlu_ctl.scala 351:96] - wire _T_60 = nmi_lsu_store_type_f & _T_40; // @[dec_tlu_ctl.scala 351:162] - reg mpc_debug_halt_req_sync_f; // @[dec_tlu_ctl.scala 359:72] - reg mpc_debug_run_req_sync_f; // @[dec_tlu_ctl.scala 360:72] - reg mpc_run_state_f; // @[dec_tlu_ctl.scala 362:88] - reg debug_brkpt_status_f; // @[dec_tlu_ctl.scala 363:80] - reg mpc_debug_halt_ack_f; // @[dec_tlu_ctl.scala 364:80] - reg mpc_debug_run_ack_f; // @[dec_tlu_ctl.scala 365:80] - reg dbg_run_state_f; // @[dec_tlu_ctl.scala 367:88] - reg _T_65; // @[dec_tlu_ctl.scala 368:81] - wire _T_66 = ~mpc_debug_halt_req_sync_f; // @[dec_tlu_ctl.scala 372:71] - wire mpc_debug_halt_req_sync_pulse = mpc_debug_halt_req_sync & _T_66; // @[dec_tlu_ctl.scala 372:69] - wire _T_67 = ~mpc_debug_run_req_sync_f; // @[dec_tlu_ctl.scala 373:70] - wire mpc_debug_run_req_sync_pulse = mpc_debug_run_req_sync & _T_67; // @[dec_tlu_ctl.scala 373:68] - wire _T_68 = mpc_halt_state_f | mpc_debug_halt_req_sync_pulse; // @[dec_tlu_ctl.scala 375:48] - wire _T_71 = _T_68 | _T_111; // @[dec_tlu_ctl.scala 375:80] - wire _T_72 = ~mpc_debug_run_req_sync; // @[dec_tlu_ctl.scala 375:125] - wire mpc_halt_state_ns = _T_71 & _T_72; // @[dec_tlu_ctl.scala 375:123] - wire _T_74 = ~mpc_debug_run_ack_f; // @[dec_tlu_ctl.scala 376:80] - wire _T_75 = mpc_debug_run_req_sync_pulse & _T_74; // @[dec_tlu_ctl.scala 376:78] - wire _T_76 = mpc_run_state_f | _T_75; // @[dec_tlu_ctl.scala 376:46] - wire _T_77 = ~dcsr_single_step_running_f; // @[dec_tlu_ctl.scala 376:133] - wire _T_78 = debug_mode_status & _T_77; // @[dec_tlu_ctl.scala 376:131] - wire mpc_run_state_ns = _T_76 & _T_78; // @[dec_tlu_ctl.scala 376:103] - wire _T_80 = dbg_halt_req_final | dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 378:70] - wire _T_81 = _T_80 | trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 378:96] - wire _T_82 = _T_81 | ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 378:121] - wire _T_83 = dbg_halt_state_f | _T_82; // @[dec_tlu_ctl.scala 378:48] - wire _T_84 = ~io_dbg_resume_req; // @[dec_tlu_ctl.scala 378:153] - wire dbg_halt_state_ns = _T_83 & _T_84; // @[dec_tlu_ctl.scala 378:151] - wire _T_86 = dbg_run_state_f | io_dbg_resume_req; // @[dec_tlu_ctl.scala 379:46] - wire dbg_run_state_ns = _T_86 & _T_78; // @[dec_tlu_ctl.scala 379:67] - wire debug_brkpt_valid = ebreak_to_debug_mode_r_d1 | trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 385:59] - wire _T_92 = debug_brkpt_valid | debug_brkpt_status_f; // @[dec_tlu_ctl.scala 386:53] - wire _T_94 = internal_dbg_halt_mode & _T_77; // @[dec_tlu_ctl.scala 386:103] - wire _T_96 = mpc_halt_state_f & debug_mode_status; // @[dec_tlu_ctl.scala 389:51] - wire _T_97 = _T_96 & mpc_debug_halt_req_sync; // @[dec_tlu_ctl.scala 389:78] - wire _T_99 = ~dbg_halt_state_ns; // @[dec_tlu_ctl.scala 390:59] - wire _T_100 = mpc_debug_run_req_sync & _T_99; // @[dec_tlu_ctl.scala 390:57] - wire _T_101 = ~mpc_debug_halt_req_sync; // @[dec_tlu_ctl.scala 390:80] - wire _T_102 = _T_100 & _T_101; // @[dec_tlu_ctl.scala 390:78] - wire _T_103 = mpc_debug_run_ack_f & mpc_debug_run_req_sync; // @[dec_tlu_ctl.scala 390:129] - wire _T_118 = mpc_run_state_ns & _T_99; // @[dec_tlu_ctl.scala 404:73] - wire _T_119 = ~mpc_halt_state_ns; // @[dec_tlu_ctl.scala 404:117] - wire _T_120 = dbg_run_state_ns & _T_119; // @[dec_tlu_ctl.scala 404:115] - wire _T_121 = _T_118 | _T_120; // @[dec_tlu_ctl.scala 404:95] - wire _T_122 = debug_halt_req_f | pmu_fw_halt_req_f; // @[dec_tlu_ctl.scala 409:43] - wire _T_124 = _T_122 & _T_749; // @[dec_tlu_ctl.scala 409:64] - wire _T_126 = _T_124 & _T_751; // @[dec_tlu_ctl.scala 409:87] - wire _T_128 = _T_126 & _T_228; // @[dec_tlu_ctl.scala 409:97] - wire _T_129 = ~dec_tlu_flush_noredir_r_d1; // @[dec_tlu_ctl.scala 409:115] - wire _T_130 = _T_128 & _T_129; // @[dec_tlu_ctl.scala 409:113] - wire take_halt = _T_130 & _T_753; // @[dec_tlu_ctl.scala 409:143] - wire _T_170 = debug_resume_req_f & dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 434:49] - wire _T_172 = io_dec_tlu_i0_valid_r & _T_528; // @[dec_tlu_ctl.scala 436:59] - wire _T_174 = _T_172 & dcsr[2]; // @[dec_tlu_ctl.scala 436:84] - wire _T_329 = mtdata1_t_3[6] & mtdata1_t_3[9]; // @[dec_tlu_ctl.scala 531:61] - wire _T_332 = mtdata1_t_2[6] & mtdata1_t_2[9]; // @[dec_tlu_ctl.scala 531:121] - wire _T_335 = mtdata1_t_1[6] & mtdata1_t_1[9]; // @[dec_tlu_ctl.scala 531:181] - wire _T_338 = mtdata1_t_0[6] & mtdata1_t_0[9]; // @[dec_tlu_ctl.scala 531:241] + wire i0_trigger_hit_raw_r = |i0_trigger_chain_masked_r; // @[dec_tlu_ctl.scala 524:57] + wire _T_465 = ~i0_trigger_hit_raw_r; // @[dec_tlu_ctl.scala 654:91] + wire _T_466 = _T_464 & _T_465; // @[dec_tlu_ctl.scala 654:89] + wire _T_468 = ~dcsr[15]; // @[dec_tlu_ctl.scala 654:111] + wire _T_469 = _T_466 & _T_468; // @[dec_tlu_ctl.scala 654:109] + reg tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 328:90] + wire _T_429 = ~tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 629:44] + wire _T_430 = io_dec_tlu_i0_valid_r & _T_429; // @[dec_tlu_ctl.scala 629:42] + wire _T_432 = _T_430 & _T_283; // @[dec_tlu_ctl.scala 629:66] + reg ic_perr_r_d1; // @[dec_tlu_ctl.scala 322:122] + reg iccm_sbecc_r_d1; // @[dec_tlu_ctl.scala 323:114] + wire _T_433 = ic_perr_r_d1 | iccm_sbecc_r_d1; // @[dec_tlu_ctl.scala 629:154] + wire _T_435 = _T_433 & _T_107; // @[dec_tlu_ctl.scala 629:173] + wire _T_436 = _T_432 | _T_435; // @[dec_tlu_ctl.scala 629:137] + wire _T_438 = _T_436 & _T_465; // @[dec_tlu_ctl.scala 629:196] + wire _T_410 = io_dec_tlu_i0_valid_r & _T_465; // @[dec_tlu_ctl.scala 617:47] + wire _T_411 = ~io_lsu_error_pkt_r_bits_inst_type; // @[dec_tlu_ctl.scala 617:70] + wire _T_412 = _T_411 & io_lsu_error_pkt_r_bits_single_ecc_error; // @[dec_tlu_ctl.scala 617:105] + wire lsu_i0_rfnpc_r = _T_410 & _T_412; // @[dec_tlu_ctl.scala 617:67] + wire _T_439 = ~lsu_i0_rfnpc_r; // @[dec_tlu_ctl.scala 629:220] + wire rfpc_i0_r = _T_438 & _T_439; // @[dec_tlu_ctl.scala 629:217] + wire _T_470 = ~rfpc_i0_r; // @[dec_tlu_ctl.scala 654:133] + wire ebreak_r = _T_469 & _T_470; // @[dec_tlu_ctl.scala 654:131] + wire _T_472 = io_dec_tlu_packet_r_pmu_i0_itype == 4'h9; // @[dec_tlu_ctl.scala 655:52] + wire _T_473 = _T_472 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 655:65] + wire _T_475 = _T_473 & _T_465; // @[dec_tlu_ctl.scala 655:89] + wire ecall_r = _T_475 & _T_470; // @[dec_tlu_ctl.scala 655:109] + wire _T_523 = ebreak_r | ecall_r; // @[dec_tlu_ctl.scala 682:41] + wire _T_478 = ~io_dec_tlu_packet_r_legal; // @[dec_tlu_ctl.scala 656:18] + wire _T_479 = _T_478 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 656:47] + wire _T_481 = _T_479 & _T_465; // @[dec_tlu_ctl.scala 656:71] + wire illegal_r = _T_481 & _T_470; // @[dec_tlu_ctl.scala 656:91] + wire _T_524 = _T_523 | illegal_r; // @[dec_tlu_ctl.scala 682:51] + wire _T_511 = inst_acc_r_raw & _T_470; // @[dec_tlu_ctl.scala 663:33] + wire inst_acc_r = _T_511 & _T_465; // @[dec_tlu_ctl.scala 663:46] + wire _T_525 = _T_524 | inst_acc_r; // @[dec_tlu_ctl.scala 682:63] + wire _T_527 = _T_525 & _T_470; // @[dec_tlu_ctl.scala 682:77] + wire _T_528 = ~io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 682:92] + wire i0_exception_valid_r = _T_527 & _T_528; // @[dec_tlu_ctl.scala 682:90] + wire _T_789 = i0_exception_valid_r | rfpc_i0_r; // @[dec_tlu_ctl.scala 781:49] + wire _T_402 = ~io_dec_tlu_flush_lower_wb; // @[dec_tlu_ctl.scala 605:57] + wire lsu_exc_valid_r_raw = io_lsu_error_pkt_r_valid & _T_402; // @[dec_tlu_ctl.scala 605:55] + wire _T_403 = io_lsu_error_pkt_r_valid & lsu_exc_valid_r_raw; // @[dec_tlu_ctl.scala 607:40] + wire _T_405 = _T_403 & _T_465; // @[dec_tlu_ctl.scala 607:62] + wire lsu_exc_valid_r = _T_405 & _T_470; // @[dec_tlu_ctl.scala 607:82] + wire _T_790 = _T_789 | lsu_exc_valid_r; // @[dec_tlu_ctl.scala 781:61] + wire _T_490 = io_dec_tlu_packet_r_fence_i & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 659:50] + wire _T_492 = _T_490 & _T_465; // @[dec_tlu_ctl.scala 659:74] + wire fence_i_r = _T_492 & _T_470; // @[dec_tlu_ctl.scala 659:95] + wire _T_791 = _T_790 | fence_i_r; // @[dec_tlu_ctl.scala 781:79] + wire _T_792 = _T_791 | lsu_i0_rfnpc_r; // @[dec_tlu_ctl.scala 781:91] + wire _T_414 = io_dec_tlu_i0_valid_r & _T_470; // @[dec_tlu_ctl.scala 620:50] + wire _T_415 = ~lsu_exc_valid_r; // @[dec_tlu_ctl.scala 620:65] + wire _T_416 = _T_414 & _T_415; // @[dec_tlu_ctl.scala 620:63] + wire _T_417 = ~inst_acc_r; // @[dec_tlu_ctl.scala 620:82] + wire _T_418 = _T_416 & _T_417; // @[dec_tlu_ctl.scala 620:79] + wire _T_420 = _T_418 & _T_528; // @[dec_tlu_ctl.scala 620:94] + reg request_debug_mode_r_d1; // @[dec_tlu_ctl.scala 460:82] + wire _T_421 = ~request_debug_mode_r_d1; // @[dec_tlu_ctl.scala 620:121] + wire _T_422 = _T_420 & _T_421; // @[dec_tlu_ctl.scala 620:119] + wire tlu_i0_commit_cmt = _T_422 & _T_465; // @[dec_tlu_ctl.scala 620:146] + reg iccm_repair_state_d1; // @[dec_tlu_ctl.scala 321:90] + wire _T_444 = tlu_i0_commit_cmt & iccm_repair_state_d1; // @[dec_tlu_ctl.scala 638:52] + wire _T_484 = io_dec_tlu_packet_r_pmu_i0_itype == 4'hc; // @[dec_tlu_ctl.scala 657:58] + wire _T_485 = _T_484 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 657:71] + wire _T_487 = _T_485 & _T_465; // @[dec_tlu_ctl.scala 657:95] + wire mret_r = _T_487 & _T_470; // @[dec_tlu_ctl.scala 657:115] + wire _T_446 = _T_523 | mret_r; // @[dec_tlu_ctl.scala 638:98] + wire take_reset = reset_delayed & io_mpc_reset_run_req; // @[dec_tlu_ctl.scala 767:32] + wire _T_447 = _T_446 | take_reset; // @[dec_tlu_ctl.scala 638:107] + wire _T_448 = _T_447 | illegal_r; // @[dec_tlu_ctl.scala 638:120] + wire _T_449 = io_dec_csr_wraddr_r == 12'h7c2; // @[dec_tlu_ctl.scala 638:176] + wire _T_450 = dec_csr_wen_r_mod & _T_449; // @[dec_tlu_ctl.scala 638:153] + wire _T_451 = _T_448 | _T_450; // @[dec_tlu_ctl.scala 638:132] + wire _T_452 = ~_T_451; // @[dec_tlu_ctl.scala 638:77] + wire iccm_repair_state_rfnpc = _T_444 & _T_452; // @[dec_tlu_ctl.scala 638:75] + wire _T_793 = _T_792 | iccm_repair_state_rfnpc; // @[dec_tlu_ctl.scala 781:108] + wire _T_794 = _T_793 | debug_resume_req_f; // @[dec_tlu_ctl.scala 781:135] + wire _T_786 = i_cpu_run_req_d1 & pmu_fw_tlu_halted_f; // @[dec_tlu_ctl.scala 779:43] + wire _T_211 = ~io_dec_pause_state; // @[dec_tlu_ctl.scala 480:28] + reg dec_pause_state_f; // @[dec_tlu_ctl.scala 459:98] + wire _T_212 = _T_211 & dec_pause_state_f; // @[dec_tlu_ctl.scala 480:48] + wire _T_213 = ext_int_ready | ce_int_ready; // @[dec_tlu_ctl.scala 480:86] + wire _T_214 = _T_213 | timer_int_ready; // @[dec_tlu_ctl.scala 480:101] + wire _T_215 = _T_214 | soft_int_ready; // @[dec_tlu_ctl.scala 480:119] + wire _T_216 = _T_215 | int_timer0_int_hold_f; // @[dec_tlu_ctl.scala 480:136] + wire _T_217 = _T_216 | int_timer1_int_hold_f; // @[dec_tlu_ctl.scala 480:160] + wire _T_218 = _T_217 | nmi_int_detected; // @[dec_tlu_ctl.scala 480:184] + wire _T_219 = _T_218 | ext_int_freeze_d1; // @[dec_tlu_ctl.scala 480:203] + wire _T_220 = ~_T_219; // @[dec_tlu_ctl.scala 480:70] + wire _T_221 = _T_212 & _T_220; // @[dec_tlu_ctl.scala 480:68] + wire _T_223 = _T_221 & _T_140; // @[dec_tlu_ctl.scala 480:224] + wire _T_225 = _T_223 & _T_378; // @[dec_tlu_ctl.scala 480:248] + wire _T_226 = ~pmu_fw_halt_req_f; // @[dec_tlu_ctl.scala 480:270] + wire _T_227 = _T_225 & _T_226; // @[dec_tlu_ctl.scala 480:268] + wire _T_228 = ~halt_taken_f; // @[dec_tlu_ctl.scala 480:291] + wire pause_expired_r = _T_227 & _T_228; // @[dec_tlu_ctl.scala 480:289] + wire sel_npc_resume = _T_786 | pause_expired_r; // @[dec_tlu_ctl.scala 779:66] + wire _T_795 = _T_794 | sel_npc_resume; // @[dec_tlu_ctl.scala 781:157] + reg dec_tlu_wr_pause_r_d1; // @[dec_tlu_ctl.scala 458:90] + wire _T_796 = _T_795 | dec_tlu_wr_pause_r_d1; // @[dec_tlu_ctl.scala 781:175] + wire synchronous_flush_r = _T_796 | i0_trigger_hit_raw_r; // @[dec_tlu_ctl.scala 781:201] + wire _T_749 = ~synchronous_flush_r; // @[dec_tlu_ctl.scala 768:195] + wire _T_750 = _T_748 & _T_749; // @[dec_tlu_ctl.scala 768:193] + wire _T_751 = ~mret_r; // @[dec_tlu_ctl.scala 768:218] + wire _T_752 = _T_750 & _T_751; // @[dec_tlu_ctl.scala 768:216] + wire _T_753 = ~take_reset; // @[dec_tlu_ctl.scala 768:228] + wire _T_754 = _T_752 & _T_753; // @[dec_tlu_ctl.scala 768:226] + wire _T_519 = _T_466 & dcsr[15]; // @[dec_tlu_ctl.scala 666:121] + wire ebreak_to_debug_mode_r = _T_519 & _T_470; // @[dec_tlu_ctl.scala 666:142] + wire _T_755 = ~ebreak_to_debug_mode_r; // @[dec_tlu_ctl.scala 768:242] + wire _T_756 = _T_754 & _T_755; // @[dec_tlu_ctl.scala 768:240] + wire _T_760 = _T_107 | _T_44; // @[dec_tlu_ctl.scala 768:288] + wire take_nmi = _T_756 & _T_760; // @[dec_tlu_ctl.scala 768:266] + wire _T_670 = _T_669 | take_nmi; // @[dec_tlu_ctl.scala 736:155] + wire _T_671 = _T_670 | ebreak_to_debug_mode_r; // @[dec_tlu_ctl.scala 736:166] + wire _T_672 = _T_671 | synchronous_flush_r; // @[dec_tlu_ctl.scala 736:191] + reg exc_or_int_valid_r_d1; // @[dec_tlu_ctl.scala 806:91] + wire _T_673 = _T_672 | exc_or_int_valid_r_d1; // @[dec_tlu_ctl.scala 736:214] + wire _T_674 = _T_673 | mret_r; // @[dec_tlu_ctl.scala 736:238] + wire block_interrupts = _T_674 | ext_int_freeze_d1; // @[dec_tlu_ctl.scala 736:247] + wire _T_704 = ~block_interrupts; // @[dec_tlu_ctl.scala 764:91] + wire take_timer_int = _T_703 & _T_704; // @[dec_tlu_ctl.scala 764:89] + wire _T_762 = take_ext_int | take_timer_int; // @[dec_tlu_ctl.scala 771:38] + wire _T_693 = soft_int_ready & _T_700; // @[dec_tlu_ctl.scala 763:36] + wire _T_695 = _T_693 & _T_702; // @[dec_tlu_ctl.scala 763:53] + wire take_soft_int = _T_695 & _T_704; // @[dec_tlu_ctl.scala 763:69] + wire _T_763 = _T_762 | take_soft_int; // @[dec_tlu_ctl.scala 771:55] + wire _T_764 = _T_763 | take_nmi; // @[dec_tlu_ctl.scala 771:71] + wire _T_689 = ce_int_ready & _T_700; // @[dec_tlu_ctl.scala 762:33] + wire take_ce_int = _T_689 & _T_704; // @[dec_tlu_ctl.scala 762:50] + wire _T_765 = _T_764 | take_ce_int; // @[dec_tlu_ctl.scala 771:82] + wire int_timer0_int_possible = mstatus_mie_ns & mie_ns[4]; // @[dec_tlu_ctl.scala 722:49] + wire int_timer0_int_ready = mip[4] & int_timer0_int_possible; // @[dec_tlu_ctl.scala 723:47] + wire _T_706 = int_timer0_int_ready | int_timer0_int_hold_f; // @[dec_tlu_ctl.scala 765:49] + wire _T_707 = _T_706 & int_timer0_int_possible; // @[dec_tlu_ctl.scala 765:74] + wire _T_709 = _T_707 & _T_631; // @[dec_tlu_ctl.scala 765:100] + wire _T_710 = ~timer_int_ready; // @[dec_tlu_ctl.scala 765:129] + wire _T_711 = _T_709 & _T_710; // @[dec_tlu_ctl.scala 765:127] + wire _T_713 = _T_711 & _T_698; // @[dec_tlu_ctl.scala 765:146] + wire _T_715 = _T_713 & _T_700; // @[dec_tlu_ctl.scala 765:164] + wire _T_717 = _T_715 & _T_702; // @[dec_tlu_ctl.scala 765:181] + wire take_int_timer0_int = _T_717 & _T_704; // @[dec_tlu_ctl.scala 765:197] + wire _T_766 = _T_765 | take_int_timer0_int; // @[dec_tlu_ctl.scala 771:96] + wire int_timer1_int_possible = mstatus_mie_ns & mie_ns[3]; // @[dec_tlu_ctl.scala 724:49] + wire int_timer1_int_ready = mip[3] & int_timer1_int_possible; // @[dec_tlu_ctl.scala 725:47] + wire _T_720 = int_timer1_int_ready | int_timer1_int_hold_f; // @[dec_tlu_ctl.scala 766:49] + wire _T_721 = _T_720 & int_timer1_int_possible; // @[dec_tlu_ctl.scala 766:74] + wire _T_723 = _T_721 & _T_631; // @[dec_tlu_ctl.scala 766:100] + wire _T_725 = ~_T_706; // @[dec_tlu_ctl.scala 766:129] + wire _T_726 = _T_723 & _T_725; // @[dec_tlu_ctl.scala 766:127] + wire _T_728 = _T_726 & _T_710; // @[dec_tlu_ctl.scala 766:177] + wire _T_730 = _T_728 & _T_698; // @[dec_tlu_ctl.scala 766:196] + wire _T_732 = _T_730 & _T_700; // @[dec_tlu_ctl.scala 766:214] + wire _T_734 = _T_732 & _T_702; // @[dec_tlu_ctl.scala 766:231] + wire take_int_timer1_int = _T_734 & _T_704; // @[dec_tlu_ctl.scala 766:247] + wire interrupt_valid_r = _T_766 | take_int_timer1_int; // @[dec_tlu_ctl.scala 771:118] + wire _T_15 = _T_14 | interrupt_valid_r; // @[dec_tlu_ctl.scala 316:74] + wire _T_16 = _T_15 | interrupt_valid_r_d1; // @[dec_tlu_ctl.scala 316:94] + wire _T_17 = _T_16 | reset_delayed; // @[dec_tlu_ctl.scala 316:117] + wire _T_18 = _T_17 | pause_expired_r; // @[dec_tlu_ctl.scala 316:133] + reg pause_expired_wb; // @[dec_tlu_ctl.scala 811:91] + wire _T_19 = _T_18 | pause_expired_wb; // @[dec_tlu_ctl.scala 316:151] + wire _T_496 = io_tlu_mem_ifu_ic_error_start & _T_107; // @[dec_tlu_ctl.scala 660:51] + wire _T_498 = _T_152 | dcsr_single_step_running; // @[dec_tlu_ctl.scala 660:101] + wire _T_499 = _T_496 & _T_498; // @[dec_tlu_ctl.scala 660:72] + wire _T_500 = ~internal_pmu_fw_halt_mode_f; // @[dec_tlu_ctl.scala 660:131] + wire ic_perr_r = _T_499 & _T_500; // @[dec_tlu_ctl.scala 660:129] + wire _T_20 = _T_19 | ic_perr_r; // @[dec_tlu_ctl.scala 316:170] + wire _T_21 = _T_20 | ic_perr_r_d1; // @[dec_tlu_ctl.scala 316:182] + wire _T_503 = io_tlu_mem_ifu_iccm_rd_ecc_single_err & _T_107; // @[dec_tlu_ctl.scala 661:59] + wire _T_506 = _T_503 & _T_498; // @[dec_tlu_ctl.scala 661:80] + wire iccm_sbecc_r = _T_506 & _T_500; // @[dec_tlu_ctl.scala 661:137] + wire _T_22 = _T_21 | iccm_sbecc_r; // @[dec_tlu_ctl.scala 316:197] + wire _T_23 = _T_22 | iccm_sbecc_r_d1; // @[dec_tlu_ctl.scala 316:212] + wire flush_clkvalid = _T_23 | io_dec_tlu_dec_clk_override; // @[dec_tlu_ctl.scala 316:230] + reg lsu_pmu_load_external_r; // @[dec_tlu_ctl.scala 326:82] + reg lsu_pmu_store_external_r; // @[dec_tlu_ctl.scala 327:74] + reg _T_32; // @[dec_tlu_ctl.scala 329:74] + reg internal_dbg_halt_mode_f2; // @[dec_tlu_ctl.scala 330:74] + reg _T_33; // @[dec_tlu_ctl.scala 331:74] + reg nmi_lsu_load_type_f; // @[dec_tlu_ctl.scala 340:73] + reg nmi_lsu_store_type_f; // @[dec_tlu_ctl.scala 341:73] + wire _T_46 = nmi_lsu_detected & io_tlu_busbuff_lsu_imprecise_error_load_any; // @[dec_tlu_ctl.scala 349:48] + wire _T_49 = ~_T_41; // @[dec_tlu_ctl.scala 349:96] + wire _T_50 = _T_46 & _T_49; // @[dec_tlu_ctl.scala 349:94] + wire _T_52 = nmi_lsu_load_type_f & _T_40; // @[dec_tlu_ctl.scala 349:159] + wire _T_54 = nmi_lsu_detected & io_tlu_busbuff_lsu_imprecise_error_store_any; // @[dec_tlu_ctl.scala 350:49] + wire _T_58 = _T_54 & _T_49; // @[dec_tlu_ctl.scala 350:96] + wire _T_60 = nmi_lsu_store_type_f & _T_40; // @[dec_tlu_ctl.scala 350:162] + reg mpc_debug_halt_req_sync_f; // @[dec_tlu_ctl.scala 358:74] + reg mpc_debug_run_req_sync_f; // @[dec_tlu_ctl.scala 359:74] + reg mpc_run_state_f; // @[dec_tlu_ctl.scala 361:106] + reg debug_brkpt_status_f; // @[dec_tlu_ctl.scala 362:90] + reg mpc_debug_halt_ack_f; // @[dec_tlu_ctl.scala 363:90] + reg mpc_debug_run_ack_f; // @[dec_tlu_ctl.scala 364:90] + reg dbg_run_state_f; // @[dec_tlu_ctl.scala 366:106] + reg _T_65; // @[dec_tlu_ctl.scala 367:82] + wire _T_66 = ~mpc_debug_halt_req_sync_f; // @[dec_tlu_ctl.scala 371:71] + wire mpc_debug_halt_req_sync_pulse = mpc_debug_halt_req_sync & _T_66; // @[dec_tlu_ctl.scala 371:69] + wire _T_67 = ~mpc_debug_run_req_sync_f; // @[dec_tlu_ctl.scala 372:70] + wire mpc_debug_run_req_sync_pulse = mpc_debug_run_req_sync & _T_67; // @[dec_tlu_ctl.scala 372:68] + wire _T_68 = mpc_halt_state_f | mpc_debug_halt_req_sync_pulse; // @[dec_tlu_ctl.scala 374:48] + wire _T_71 = _T_68 | _T_111; // @[dec_tlu_ctl.scala 374:80] + wire _T_72 = ~mpc_debug_run_req_sync; // @[dec_tlu_ctl.scala 374:125] + wire mpc_halt_state_ns = _T_71 & _T_72; // @[dec_tlu_ctl.scala 374:123] + wire _T_74 = ~mpc_debug_run_ack_f; // @[dec_tlu_ctl.scala 375:80] + wire _T_75 = mpc_debug_run_req_sync_pulse & _T_74; // @[dec_tlu_ctl.scala 375:78] + wire _T_76 = mpc_run_state_f | _T_75; // @[dec_tlu_ctl.scala 375:46] + wire _T_77 = ~dcsr_single_step_running_f; // @[dec_tlu_ctl.scala 375:133] + wire _T_78 = debug_mode_status & _T_77; // @[dec_tlu_ctl.scala 375:131] + wire mpc_run_state_ns = _T_76 & _T_78; // @[dec_tlu_ctl.scala 375:103] + wire _T_80 = dbg_halt_req_final | dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 377:70] + wire _T_81 = _T_80 | trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 377:96] + wire _T_82 = _T_81 | ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 377:121] + wire _T_83 = dbg_halt_state_f | _T_82; // @[dec_tlu_ctl.scala 377:48] + wire _T_84 = ~io_dbg_resume_req; // @[dec_tlu_ctl.scala 377:153] + wire dbg_halt_state_ns = _T_83 & _T_84; // @[dec_tlu_ctl.scala 377:151] + wire _T_86 = dbg_run_state_f | io_dbg_resume_req; // @[dec_tlu_ctl.scala 378:46] + wire dbg_run_state_ns = _T_86 & _T_78; // @[dec_tlu_ctl.scala 378:67] + wire debug_brkpt_valid = ebreak_to_debug_mode_r_d1 | trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 384:59] + wire _T_92 = debug_brkpt_valid | debug_brkpt_status_f; // @[dec_tlu_ctl.scala 385:53] + wire _T_94 = internal_dbg_halt_mode & _T_77; // @[dec_tlu_ctl.scala 385:103] + wire _T_96 = mpc_halt_state_f & debug_mode_status; // @[dec_tlu_ctl.scala 388:51] + wire _T_97 = _T_96 & mpc_debug_halt_req_sync; // @[dec_tlu_ctl.scala 388:78] + wire _T_99 = ~dbg_halt_state_ns; // @[dec_tlu_ctl.scala 389:59] + wire _T_100 = mpc_debug_run_req_sync & _T_99; // @[dec_tlu_ctl.scala 389:57] + wire _T_101 = ~mpc_debug_halt_req_sync; // @[dec_tlu_ctl.scala 389:80] + wire _T_102 = _T_100 & _T_101; // @[dec_tlu_ctl.scala 389:78] + wire _T_103 = mpc_debug_run_ack_f & mpc_debug_run_req_sync; // @[dec_tlu_ctl.scala 389:129] + wire _T_118 = mpc_run_state_ns & _T_99; // @[dec_tlu_ctl.scala 403:73] + wire _T_119 = ~mpc_halt_state_ns; // @[dec_tlu_ctl.scala 403:117] + wire _T_120 = dbg_run_state_ns & _T_119; // @[dec_tlu_ctl.scala 403:115] + wire _T_121 = _T_118 | _T_120; // @[dec_tlu_ctl.scala 403:95] + wire _T_122 = debug_halt_req_f | pmu_fw_halt_req_f; // @[dec_tlu_ctl.scala 408:43] + wire _T_124 = _T_122 & _T_749; // @[dec_tlu_ctl.scala 408:64] + wire _T_126 = _T_124 & _T_751; // @[dec_tlu_ctl.scala 408:87] + wire _T_128 = _T_126 & _T_228; // @[dec_tlu_ctl.scala 408:97] + wire _T_129 = ~dec_tlu_flush_noredir_r_d1; // @[dec_tlu_ctl.scala 408:115] + wire _T_130 = _T_128 & _T_129; // @[dec_tlu_ctl.scala 408:113] + wire take_halt = _T_130 & _T_753; // @[dec_tlu_ctl.scala 408:143] + wire _T_170 = debug_resume_req_f & dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 433:49] + wire _T_172 = io_dec_tlu_i0_valid_r & _T_528; // @[dec_tlu_ctl.scala 435:59] + wire _T_174 = _T_172 & dcsr[2]; // @[dec_tlu_ctl.scala 435:84] + wire _T_329 = mtdata1_t_3[6] & mtdata1_t_3[9]; // @[dec_tlu_ctl.scala 530:61] + wire _T_332 = mtdata1_t_2[6] & mtdata1_t_2[9]; // @[dec_tlu_ctl.scala 530:121] + wire _T_335 = mtdata1_t_1[6] & mtdata1_t_1[9]; // @[dec_tlu_ctl.scala 530:181] + wire _T_338 = mtdata1_t_0[6] & mtdata1_t_0[9]; // @[dec_tlu_ctl.scala 530:241] wire [3:0] trigger_action = {_T_329,_T_332,_T_335,_T_338}; // @[Cat.scala 29:58] - wire [3:0] _T_343 = i0_trigger_chain_masked_r & trigger_action; // @[dec_tlu_ctl.scala 537:57] - wire i0_trigger_action_r = |_T_343; // @[dec_tlu_ctl.scala 537:75] - wire trigger_hit_dmode_r = i0_trigger_hit_raw_r & i0_trigger_action_r; // @[dec_tlu_ctl.scala 539:45] - wire _T_180 = trigger_hit_dmode_r | ebreak_to_debug_mode_r; // @[dec_tlu_ctl.scala 443:57] - wire _T_182 = request_debug_mode_r_d1 & _T_402; // @[dec_tlu_ctl.scala 443:110] - reg request_debug_mode_done_f; // @[dec_tlu_ctl.scala 462:73] - wire _T_183 = request_debug_mode_r_d1 | request_debug_mode_done_f; // @[dec_tlu_ctl.scala 445:64] - reg _T_190; // @[dec_tlu_ctl.scala 453:81] - wire _T_201 = fence_i_r & internal_dbg_halt_mode; // @[dec_tlu_ctl.scala 474:71] - wire _T_202 = take_halt | _T_201; // @[dec_tlu_ctl.scala 474:58] - wire _T_203 = _T_202 | io_dec_tlu_flush_pause_r; // @[dec_tlu_ctl.scala 474:97] - wire _T_204 = i0_trigger_hit_raw_r & trigger_hit_dmode_r; // @[dec_tlu_ctl.scala 474:144] - wire _T_205 = _T_203 | _T_204; // @[dec_tlu_ctl.scala 474:124] - wire take_ext_int_start = ext_int_ready & _T_704; // @[dec_tlu_ctl.scala 747:45] - wire _T_207 = ~interrupt_valid_r; // @[dec_tlu_ctl.scala 479:61] - wire _T_208 = dec_tlu_wr_pause_r_d1 & _T_207; // @[dec_tlu_ctl.scala 479:59] - wire _T_209 = ~take_ext_int_start; // @[dec_tlu_ctl.scala 479:82] - wire _T_231 = io_tlu_exu_dec_tlu_flush_lower_r & dcsr[2]; // @[dec_tlu_ctl.scala 483:82] - wire _T_232 = io_dec_tlu_resume_ack | dcsr_single_step_running; // @[dec_tlu_ctl.scala 483:125] - wire _T_233 = _T_231 & _T_232; // @[dec_tlu_ctl.scala 483:100] - wire _T_234 = ~io_tlu_ifc_dec_tlu_flush_noredir_wb; // @[dec_tlu_ctl.scala 483:155] + wire [3:0] _T_343 = i0_trigger_chain_masked_r & trigger_action; // @[dec_tlu_ctl.scala 536:57] + wire i0_trigger_action_r = |_T_343; // @[dec_tlu_ctl.scala 536:75] + wire trigger_hit_dmode_r = i0_trigger_hit_raw_r & i0_trigger_action_r; // @[dec_tlu_ctl.scala 538:45] + wire _T_180 = trigger_hit_dmode_r | ebreak_to_debug_mode_r; // @[dec_tlu_ctl.scala 442:57] + wire _T_182 = request_debug_mode_r_d1 & _T_402; // @[dec_tlu_ctl.scala 442:110] + reg request_debug_mode_done_f; // @[dec_tlu_ctl.scala 461:74] + wire _T_183 = request_debug_mode_r_d1 | request_debug_mode_done_f; // @[dec_tlu_ctl.scala 444:64] + reg _T_190; // @[dec_tlu_ctl.scala 452:98] + wire _T_201 = fence_i_r & internal_dbg_halt_mode; // @[dec_tlu_ctl.scala 473:71] + wire _T_202 = take_halt | _T_201; // @[dec_tlu_ctl.scala 473:58] + wire _T_203 = _T_202 | io_dec_tlu_flush_pause_r; // @[dec_tlu_ctl.scala 473:97] + wire _T_204 = i0_trigger_hit_raw_r & trigger_hit_dmode_r; // @[dec_tlu_ctl.scala 473:144] + wire _T_205 = _T_203 | _T_204; // @[dec_tlu_ctl.scala 473:124] + wire take_ext_int_start = ext_int_ready & _T_704; // @[dec_tlu_ctl.scala 744:66] + wire _T_207 = ~interrupt_valid_r; // @[dec_tlu_ctl.scala 478:61] + wire _T_208 = dec_tlu_wr_pause_r_d1 & _T_207; // @[dec_tlu_ctl.scala 478:59] + wire _T_209 = ~take_ext_int_start; // @[dec_tlu_ctl.scala 478:82] + wire _T_231 = io_tlu_exu_dec_tlu_flush_lower_r & dcsr[2]; // @[dec_tlu_ctl.scala 482:82] + wire _T_232 = io_dec_tlu_resume_ack | dcsr_single_step_running; // @[dec_tlu_ctl.scala 482:125] + wire _T_233 = _T_231 & _T_232; // @[dec_tlu_ctl.scala 482:100] + wire _T_234 = ~io_tlu_ifc_dec_tlu_flush_noredir_wb; // @[dec_tlu_ctl.scala 482:155] wire [3:0] _T_342 = i0_trigger_hit_raw_r ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire _T_345 = ~trigger_hit_dmode_r; // @[dec_tlu_ctl.scala 541:55] - wire mepc_trigger_hit_sel_pc_r = i0_trigger_hit_raw_r & _T_345; // @[dec_tlu_ctl.scala 541:53] - wire _T_350 = i_cpu_run_req_sync & _T_346; // @[dec_tlu_ctl.scala 569:58] - wire _T_351 = _T_350 & pmu_fw_tlu_halted_f; // @[dec_tlu_ctl.scala 569:83] - wire i_cpu_run_req_sync_qual = _T_351 & _T_107; // @[dec_tlu_ctl.scala 569:105] - reg _T_353; // @[dec_tlu_ctl.scala 573:81] - reg _T_354; // @[dec_tlu_ctl.scala 574:81] - reg _T_355; // @[dec_tlu_ctl.scala 575:81] - wire _T_384 = io_o_cpu_halt_status & _T_375; // @[dec_tlu_ctl.scala 593:89] - wire _T_386 = _T_384 & _T_152; // @[dec_tlu_ctl.scala 593:109] - wire _T_388 = io_o_cpu_halt_status & i_cpu_run_req_sync_qual; // @[dec_tlu_ctl.scala 594:41] - wire _T_389 = io_o_cpu_run_ack & i_cpu_run_req_sync_qual; // @[dec_tlu_ctl.scala 594:88] - reg lsu_single_ecc_error_r_d1; // @[dec_tlu_ctl.scala 606:72] - reg lsu_i0_exc_r_d1; // @[dec_tlu_ctl.scala 613:73] - wire _T_408 = ~io_lsu_error_pkt_r_bits_exc_type; // @[dec_tlu_ctl.scala 614:40] - wire lsu_exc_ma_r = lsu_exc_valid_r & _T_408; // @[dec_tlu_ctl.scala 614:38] - wire lsu_exc_acc_r = lsu_exc_valid_r & io_lsu_error_pkt_r_bits_exc_type; // @[dec_tlu_ctl.scala 615:38] - wire lsu_exc_st_r = lsu_exc_valid_r & io_lsu_error_pkt_r_bits_inst_type; // @[dec_tlu_ctl.scala 616:38] - wire _T_424 = rfpc_i0_r | lsu_exc_valid_r; // @[dec_tlu_ctl.scala 626:38] - wire _T_425 = _T_424 | inst_acc_r; // @[dec_tlu_ctl.scala 626:53] - wire _T_426 = illegal_r & io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 626:79] - wire _T_427 = _T_425 | _T_426; // @[dec_tlu_ctl.scala 626:66] - wire _T_441 = ~io_tlu_exu_dec_tlu_flush_lower_r; // @[dec_tlu_ctl.scala 635:70] - wire _T_442 = iccm_repair_state_d1 & _T_441; // @[dec_tlu_ctl.scala 635:68] - wire _T_453 = io_tlu_exu_exu_i0_br_error_r & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 644:59] - wire _T_455 = io_tlu_exu_exu_i0_br_start_error_r & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 645:71] - wire _T_457 = io_tlu_exu_exu_i0_br_valid_r & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 646:55] - wire _T_459 = _T_457 & _T_429; // @[dec_tlu_ctl.scala 646:79] - wire _T_460 = ~io_tlu_exu_exu_i0_br_mp_r; // @[dec_tlu_ctl.scala 646:106] - wire _T_461 = ~io_tlu_exu_exu_pmu_i0_br_ataken; // @[dec_tlu_ctl.scala 646:135] - wire _T_462 = _T_460 | _T_461; // @[dec_tlu_ctl.scala 646:133] - wire _T_529 = ~take_nmi; // @[dec_tlu_ctl.scala 694:33] - wire _T_530 = take_ext_int & _T_529; // @[dec_tlu_ctl.scala 694:31] - wire _T_533 = take_timer_int & _T_529; // @[dec_tlu_ctl.scala 695:25] - wire _T_536 = take_soft_int & _T_529; // @[dec_tlu_ctl.scala 696:24] - wire _T_539 = take_int_timer0_int & _T_529; // @[dec_tlu_ctl.scala 697:30] - wire _T_542 = take_int_timer1_int & _T_529; // @[dec_tlu_ctl.scala 698:30] - wire _T_545 = take_ce_int & _T_529; // @[dec_tlu_ctl.scala 699:22] - wire _T_548 = illegal_r & _T_529; // @[dec_tlu_ctl.scala 700:20] - wire _T_551 = ecall_r & _T_529; // @[dec_tlu_ctl.scala 701:19] - wire _T_554 = inst_acc_r & _T_529; // @[dec_tlu_ctl.scala 702:22] - wire _T_556 = ebreak_r | i0_trigger_hit_raw_r; // @[dec_tlu_ctl.scala 703:20] - wire _T_558 = _T_556 & _T_529; // @[dec_tlu_ctl.scala 703:40] - wire _T_560 = ~lsu_exc_st_r; // @[dec_tlu_ctl.scala 704:25] - wire _T_561 = lsu_exc_ma_r & _T_560; // @[dec_tlu_ctl.scala 704:23] - wire _T_563 = _T_561 & _T_529; // @[dec_tlu_ctl.scala 704:39] - wire _T_566 = lsu_exc_acc_r & _T_560; // @[dec_tlu_ctl.scala 705:24] - wire _T_568 = _T_566 & _T_529; // @[dec_tlu_ctl.scala 705:40] - wire _T_570 = lsu_exc_ma_r & lsu_exc_st_r; // @[dec_tlu_ctl.scala 706:23] - wire _T_572 = _T_570 & _T_529; // @[dec_tlu_ctl.scala 706:38] - wire _T_574 = lsu_exc_acc_r & lsu_exc_st_r; // @[dec_tlu_ctl.scala 707:24] - wire _T_576 = _T_574 & _T_529; // @[dec_tlu_ctl.scala 707:39] + wire _T_345 = ~trigger_hit_dmode_r; // @[dec_tlu_ctl.scala 540:55] + wire mepc_trigger_hit_sel_pc_r = i0_trigger_hit_raw_r & _T_345; // @[dec_tlu_ctl.scala 540:53] + wire _T_350 = i_cpu_run_req_sync & _T_346; // @[dec_tlu_ctl.scala 566:58] + wire _T_351 = _T_350 & pmu_fw_tlu_halted_f; // @[dec_tlu_ctl.scala 566:83] + wire i_cpu_run_req_sync_qual = _T_351 & _T_107; // @[dec_tlu_ctl.scala 566:105] + reg _T_353; // @[dec_tlu_ctl.scala 570:82] + reg _T_354; // @[dec_tlu_ctl.scala 571:82] + reg _T_355; // @[dec_tlu_ctl.scala 572:82] + wire _T_384 = io_o_cpu_halt_status & _T_375; // @[dec_tlu_ctl.scala 590:89] + wire _T_386 = _T_384 & _T_152; // @[dec_tlu_ctl.scala 590:109] + wire _T_388 = io_o_cpu_halt_status & i_cpu_run_req_sync_qual; // @[dec_tlu_ctl.scala 591:41] + wire _T_389 = io_o_cpu_run_ack & i_cpu_run_req_sync_qual; // @[dec_tlu_ctl.scala 591:88] + reg lsu_single_ecc_error_r_d1; // @[dec_tlu_ctl.scala 603:72] + reg lsu_i0_exc_r_d1; // @[dec_tlu_ctl.scala 610:73] + wire _T_408 = ~io_lsu_error_pkt_r_bits_exc_type; // @[dec_tlu_ctl.scala 611:40] + wire lsu_exc_ma_r = lsu_exc_valid_r & _T_408; // @[dec_tlu_ctl.scala 611:38] + wire lsu_exc_acc_r = lsu_exc_valid_r & io_lsu_error_pkt_r_bits_exc_type; // @[dec_tlu_ctl.scala 612:38] + wire lsu_exc_st_r = lsu_exc_valid_r & io_lsu_error_pkt_r_bits_inst_type; // @[dec_tlu_ctl.scala 613:38] + wire _T_424 = rfpc_i0_r | lsu_exc_valid_r; // @[dec_tlu_ctl.scala 623:38] + wire _T_425 = _T_424 | inst_acc_r; // @[dec_tlu_ctl.scala 623:53] + wire _T_426 = illegal_r & io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 623:79] + wire _T_427 = _T_425 | _T_426; // @[dec_tlu_ctl.scala 623:66] + wire _T_441 = ~io_tlu_exu_dec_tlu_flush_lower_r; // @[dec_tlu_ctl.scala 632:70] + wire _T_442 = iccm_repair_state_d1 & _T_441; // @[dec_tlu_ctl.scala 632:68] + wire _T_453 = io_tlu_exu_exu_i0_br_error_r & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 641:59] + wire _T_455 = io_tlu_exu_exu_i0_br_start_error_r & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 642:71] + wire _T_457 = io_tlu_exu_exu_i0_br_valid_r & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 643:55] + wire _T_459 = _T_457 & _T_429; // @[dec_tlu_ctl.scala 643:79] + wire _T_460 = ~io_tlu_exu_exu_i0_br_mp_r; // @[dec_tlu_ctl.scala 643:106] + wire _T_461 = ~io_tlu_exu_exu_pmu_i0_br_ataken; // @[dec_tlu_ctl.scala 643:135] + wire _T_462 = _T_460 | _T_461; // @[dec_tlu_ctl.scala 643:133] + wire _T_529 = ~take_nmi; // @[dec_tlu_ctl.scala 691:33] + wire _T_530 = take_ext_int & _T_529; // @[dec_tlu_ctl.scala 691:31] + wire _T_533 = take_timer_int & _T_529; // @[dec_tlu_ctl.scala 692:25] + wire _T_536 = take_soft_int & _T_529; // @[dec_tlu_ctl.scala 693:24] + wire _T_539 = take_int_timer0_int & _T_529; // @[dec_tlu_ctl.scala 694:30] + wire _T_542 = take_int_timer1_int & _T_529; // @[dec_tlu_ctl.scala 695:30] + wire _T_545 = take_ce_int & _T_529; // @[dec_tlu_ctl.scala 696:22] + wire _T_548 = illegal_r & _T_529; // @[dec_tlu_ctl.scala 697:20] + wire _T_551 = ecall_r & _T_529; // @[dec_tlu_ctl.scala 698:19] + wire _T_554 = inst_acc_r & _T_529; // @[dec_tlu_ctl.scala 699:22] + wire _T_556 = ebreak_r | i0_trigger_hit_raw_r; // @[dec_tlu_ctl.scala 700:20] + wire _T_558 = _T_556 & _T_529; // @[dec_tlu_ctl.scala 700:40] + wire _T_560 = ~lsu_exc_st_r; // @[dec_tlu_ctl.scala 701:25] + wire _T_561 = lsu_exc_ma_r & _T_560; // @[dec_tlu_ctl.scala 701:23] + wire _T_563 = _T_561 & _T_529; // @[dec_tlu_ctl.scala 701:39] + wire _T_566 = lsu_exc_acc_r & _T_560; // @[dec_tlu_ctl.scala 702:24] + wire _T_568 = _T_566 & _T_529; // @[dec_tlu_ctl.scala 702:40] + wire _T_570 = lsu_exc_ma_r & lsu_exc_st_r; // @[dec_tlu_ctl.scala 703:23] + wire _T_572 = _T_570 & _T_529; // @[dec_tlu_ctl.scala 703:38] + wire _T_574 = lsu_exc_acc_r & lsu_exc_st_r; // @[dec_tlu_ctl.scala 704:24] + wire _T_576 = _T_574 & _T_529; // @[dec_tlu_ctl.scala 704:39] wire [4:0] _T_578 = _T_530 ? 5'hb : 5'h0; // @[Mux.scala 27:72] wire [4:0] _T_579 = _T_533 ? 5'h7 : 5'h0; // @[Mux.scala 27:72] wire [4:0] _T_580 = _T_536 ? 5'h3 : 5'h0; // @[Mux.scala 27:72] @@ -55431,66 +55461,66 @@ module dec_tlu_ctl( wire [4:0] _T_602 = _T_601 | _T_589; // @[Mux.scala 27:72] wire [4:0] _T_603 = _T_602 | _T_590; // @[Mux.scala 27:72] wire [4:0] exc_cause_r = _T_603 | _T_591; // @[Mux.scala 27:72] - wire _T_641 = io_dec_csr_stall_int_ff | synchronous_flush_r; // @[dec_tlu_ctl.scala 732:52] - wire _T_642 = _T_641 | exc_or_int_valid_r_d1; // @[dec_tlu_ctl.scala 732:74] - wire int_timer_stalled = _T_642 | mret_r; // @[dec_tlu_ctl.scala 732:98] - wire _T_643 = pmu_fw_tlu_halted_f | int_timer_stalled; // @[dec_tlu_ctl.scala 734:72] - wire _T_644 = int_timer0_int_ready & _T_643; // @[dec_tlu_ctl.scala 734:49] - wire _T_645 = int_timer0_int_possible & int_timer0_int_hold_f; // @[dec_tlu_ctl.scala 734:121] - wire _T_647 = _T_645 & _T_207; // @[dec_tlu_ctl.scala 734:145] - wire _T_649 = _T_647 & _T_209; // @[dec_tlu_ctl.scala 734:166] - wire _T_651 = _T_649 & _T_152; // @[dec_tlu_ctl.scala 734:188] - wire _T_654 = int_timer1_int_ready & _T_643; // @[dec_tlu_ctl.scala 735:49] - wire _T_655 = int_timer1_int_possible & int_timer1_int_hold_f; // @[dec_tlu_ctl.scala 735:121] - wire _T_657 = _T_655 & _T_207; // @[dec_tlu_ctl.scala 735:145] - wire _T_659 = _T_657 & _T_209; // @[dec_tlu_ctl.scala 735:166] - wire _T_661 = _T_659 & _T_152; // @[dec_tlu_ctl.scala 735:188] - reg take_ext_int_start_d2; // @[dec_tlu_ctl.scala 744:62] - wire _T_681 = take_ext_int_start | take_ext_int_start_d1; // @[dec_tlu_ctl.scala 749:46] - wire _T_682 = _T_681 | take_ext_int_start_d2; // @[dec_tlu_ctl.scala 749:70] - wire csr_pkt_csr_meicpct = csr_read_io_csr_pkt_csr_meicpct; // @[dec_tlu_ctl.scala 270:41 dec_tlu_ctl.scala 1012:16] - wire fast_int_meicpct = csr_pkt_csr_meicpct & io_dec_csr_any_unq_d; // @[dec_tlu_ctl.scala 751:49] - wire [30:0] mtvec = csr_io_mtvec; // @[dec_tlu_ctl.scala 1007:31] + wire _T_641 = io_dec_csr_stall_int_ff | synchronous_flush_r; // @[dec_tlu_ctl.scala 729:52] + wire _T_642 = _T_641 | exc_or_int_valid_r_d1; // @[dec_tlu_ctl.scala 729:74] + wire int_timer_stalled = _T_642 | mret_r; // @[dec_tlu_ctl.scala 729:98] + wire _T_643 = pmu_fw_tlu_halted_f | int_timer_stalled; // @[dec_tlu_ctl.scala 731:72] + wire _T_644 = int_timer0_int_ready & _T_643; // @[dec_tlu_ctl.scala 731:49] + wire _T_645 = int_timer0_int_possible & int_timer0_int_hold_f; // @[dec_tlu_ctl.scala 731:121] + wire _T_647 = _T_645 & _T_207; // @[dec_tlu_ctl.scala 731:145] + wire _T_649 = _T_647 & _T_209; // @[dec_tlu_ctl.scala 731:166] + wire _T_651 = _T_649 & _T_152; // @[dec_tlu_ctl.scala 731:188] + wire _T_654 = int_timer1_int_ready & _T_643; // @[dec_tlu_ctl.scala 732:49] + wire _T_655 = int_timer1_int_possible & int_timer1_int_hold_f; // @[dec_tlu_ctl.scala 732:121] + wire _T_657 = _T_655 & _T_207; // @[dec_tlu_ctl.scala 732:145] + wire _T_659 = _T_657 & _T_209; // @[dec_tlu_ctl.scala 732:166] + wire _T_661 = _T_659 & _T_152; // @[dec_tlu_ctl.scala 732:188] + reg take_ext_int_start_d2; // @[dec_tlu_ctl.scala 741:74] + wire _T_681 = take_ext_int_start | take_ext_int_start_d1; // @[dec_tlu_ctl.scala 746:46] + wire _T_682 = _T_681 | take_ext_int_start_d2; // @[dec_tlu_ctl.scala 746:70] + wire csr_pkt_csr_meicpct = csr_read_io_csr_pkt_csr_meicpct; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1008:16] + wire fast_int_meicpct = csr_pkt_csr_meicpct & io_dec_csr_any_unq_d; // @[dec_tlu_ctl.scala 748:49] + wire [30:0] mtvec = csr_io_mtvec; // @[dec_tlu_ctl.scala 1003:31] wire [30:0] _T_769 = {mtvec[30:1],1'h0}; // @[Cat.scala 29:58] wire [30:0] _T_771 = {25'h0,exc_cause_r,1'h0}; // @[Cat.scala 29:58] - wire [30:0] vectored_path = _T_769 + _T_771; // @[dec_tlu_ctl.scala 779:51] - wire [30:0] _T_778 = mtvec[0] ? vectored_path : _T_769; // @[dec_tlu_ctl.scala 780:61] - wire [30:0] interrupt_path = take_nmi ? io_nmi_vec : _T_778; // @[dec_tlu_ctl.scala 780:28] - wire _T_779 = lsu_i0_rfnpc_r | fence_i_r; // @[dec_tlu_ctl.scala 781:36] - wire _T_780 = _T_779 | iccm_repair_state_rfnpc; // @[dec_tlu_ctl.scala 781:48] - wire _T_782 = i_cpu_run_req_d1 & _T_207; // @[dec_tlu_ctl.scala 781:94] - wire _T_783 = _T_780 | _T_782; // @[dec_tlu_ctl.scala 781:74] - wire _T_785 = rfpc_i0_r & _T_743; // @[dec_tlu_ctl.scala 781:129] - wire sel_npc_r = _T_783 | _T_785; // @[dec_tlu_ctl.scala 781:116] - wire _T_798 = interrupt_valid_r | mret_r; // @[dec_tlu_ctl.scala 785:43] - wire _T_799 = _T_798 | synchronous_flush_r; // @[dec_tlu_ctl.scala 785:52] - wire _T_800 = _T_799 | take_halt; // @[dec_tlu_ctl.scala 785:74] - wire _T_801 = _T_800 | take_reset; // @[dec_tlu_ctl.scala 785:86] - wire _T_807 = _T_529 & sel_npc_r; // @[dec_tlu_ctl.scala 789:73] - wire _T_810 = _T_529 & rfpc_i0_r; // @[dec_tlu_ctl.scala 790:73] - wire _T_812 = _T_810 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 790:91] - wire _T_813 = ~sel_npc_r; // @[dec_tlu_ctl.scala 790:132] - wire _T_814 = _T_812 & _T_813; // @[dec_tlu_ctl.scala 790:121] - wire _T_816 = ~take_ext_int; // @[dec_tlu_ctl.scala 791:96] - wire _T_817 = interrupt_valid_r & _T_816; // @[dec_tlu_ctl.scala 791:82] - wire _T_818 = i0_exception_valid_r | lsu_exc_valid_r; // @[dec_tlu_ctl.scala 792:80] - wire _T_821 = _T_818 | mepc_trigger_hit_sel_pc_r; // @[dec_tlu_ctl.scala 792:98] - wire _T_823 = _T_821 & _T_207; // @[dec_tlu_ctl.scala 792:143] - wire _T_825 = _T_823 & _T_816; // @[dec_tlu_ctl.scala 792:164] - wire _T_830 = _T_529 & mret_r; // @[dec_tlu_ctl.scala 793:68] - wire _T_833 = _T_529 & debug_resume_req_f; // @[dec_tlu_ctl.scala 794:68] - wire _T_836 = _T_529 & sel_npc_resume; // @[dec_tlu_ctl.scala 795:68] + wire [30:0] vectored_path = _T_769 + _T_771; // @[dec_tlu_ctl.scala 776:51] + wire [30:0] _T_778 = mtvec[0] ? vectored_path : _T_769; // @[dec_tlu_ctl.scala 777:61] + wire [30:0] interrupt_path = take_nmi ? io_nmi_vec : _T_778; // @[dec_tlu_ctl.scala 777:28] + wire _T_779 = lsu_i0_rfnpc_r | fence_i_r; // @[dec_tlu_ctl.scala 778:36] + wire _T_780 = _T_779 | iccm_repair_state_rfnpc; // @[dec_tlu_ctl.scala 778:48] + wire _T_782 = i_cpu_run_req_d1 & _T_207; // @[dec_tlu_ctl.scala 778:94] + wire _T_783 = _T_780 | _T_782; // @[dec_tlu_ctl.scala 778:74] + wire _T_785 = rfpc_i0_r & _T_743; // @[dec_tlu_ctl.scala 778:129] + wire sel_npc_r = _T_783 | _T_785; // @[dec_tlu_ctl.scala 778:116] + wire _T_798 = interrupt_valid_r | mret_r; // @[dec_tlu_ctl.scala 782:43] + wire _T_799 = _T_798 | synchronous_flush_r; // @[dec_tlu_ctl.scala 782:52] + wire _T_800 = _T_799 | take_halt; // @[dec_tlu_ctl.scala 782:74] + wire _T_801 = _T_800 | take_reset; // @[dec_tlu_ctl.scala 782:86] + wire _T_807 = _T_529 & sel_npc_r; // @[dec_tlu_ctl.scala 786:73] + wire _T_810 = _T_529 & rfpc_i0_r; // @[dec_tlu_ctl.scala 787:73] + wire _T_812 = _T_810 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 787:91] + wire _T_813 = ~sel_npc_r; // @[dec_tlu_ctl.scala 787:132] + wire _T_814 = _T_812 & _T_813; // @[dec_tlu_ctl.scala 787:121] + wire _T_816 = ~take_ext_int; // @[dec_tlu_ctl.scala 788:96] + wire _T_817 = interrupt_valid_r & _T_816; // @[dec_tlu_ctl.scala 788:82] + wire _T_818 = i0_exception_valid_r | lsu_exc_valid_r; // @[dec_tlu_ctl.scala 789:80] + wire _T_821 = _T_818 | mepc_trigger_hit_sel_pc_r; // @[dec_tlu_ctl.scala 789:98] + wire _T_823 = _T_821 & _T_207; // @[dec_tlu_ctl.scala 789:143] + wire _T_825 = _T_823 & _T_816; // @[dec_tlu_ctl.scala 789:164] + wire _T_830 = _T_529 & mret_r; // @[dec_tlu_ctl.scala 790:68] + wire _T_833 = _T_529 & debug_resume_req_f; // @[dec_tlu_ctl.scala 791:68] + wire _T_836 = _T_529 & sel_npc_resume; // @[dec_tlu_ctl.scala 792:68] wire [30:0] _T_838 = take_ext_int ? io_lsu_fir_addr : 31'h0; // @[Mux.scala 27:72] - wire [30:0] npc_r = csr_io_npc_r; // @[dec_tlu_ctl.scala 995:31] + wire [30:0] npc_r = csr_io_npc_r; // @[dec_tlu_ctl.scala 991:31] wire [30:0] _T_839 = _T_807 ? npc_r : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_840 = _T_814 ? io_dec_tlu_i0_pc_r : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_841 = _T_817 ? interrupt_path : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_842 = _T_825 ? _T_769 : 31'h0; // @[Mux.scala 27:72] - wire [30:0] mepc = csr_io_mepc; // @[dec_tlu_ctl.scala 998:31] + wire [30:0] mepc = csr_io_mepc; // @[dec_tlu_ctl.scala 994:31] wire [30:0] _T_843 = _T_830 ? mepc : 31'h0; // @[Mux.scala 27:72] - wire [30:0] dpc = csr_io_dpc; // @[dec_tlu_ctl.scala 1001:31] + wire [30:0] dpc = csr_io_dpc; // @[dec_tlu_ctl.scala 997:31] wire [30:0] _T_844 = _T_833 ? dpc : 31'h0; // @[Mux.scala 27:72] - wire [30:0] npc_r_d1 = csr_io_npc_r_d1; // @[dec_tlu_ctl.scala 996:31] + wire [30:0] npc_r_d1 = csr_io_npc_r_d1; // @[dec_tlu_ctl.scala 992:31] wire [30:0] _T_845 = _T_836 ? npc_r_d1 : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_846 = _T_838 | _T_839; // @[Mux.scala 27:72] wire [30:0] _T_847 = _T_846 | _T_840; // @[Mux.scala 27:72] @@ -55499,54 +55529,54 @@ module dec_tlu_ctl( wire [30:0] _T_850 = _T_849 | _T_843; // @[Mux.scala 27:72] wire [30:0] _T_851 = _T_850 | _T_844; // @[Mux.scala 27:72] wire [30:0] _T_852 = _T_851 | _T_845; // @[Mux.scala 27:72] - reg [30:0] tlu_flush_path_r_d1; // @[dec_tlu_ctl.scala 798:64] - wire _T_854 = lsu_exc_valid_r | i0_exception_valid_r; // @[dec_tlu_ctl.scala 806:45] - wire _T_855 = _T_854 | interrupt_valid_r; // @[dec_tlu_ctl.scala 806:68] - reg i0_exception_valid_r_d1; // @[dec_tlu_ctl.scala 809:89] - reg [4:0] exc_cause_wb; // @[dec_tlu_ctl.scala 811:89] - wire _T_860 = ~illegal_r; // @[dec_tlu_ctl.scala 812:119] - reg i0_valid_wb; // @[dec_tlu_ctl.scala 812:97] - reg trigger_hit_r_d1; // @[dec_tlu_ctl.scala 813:89] - wire csr_pkt_presync = csr_read_io_csr_pkt_presync; // @[dec_tlu_ctl.scala 270:41 dec_tlu_ctl.scala 1012:16] - wire _T_864 = csr_pkt_presync & io_dec_csr_any_unq_d; // @[dec_tlu_ctl.scala 1014:42] - wire _T_865 = ~io_dec_csr_wen_unq_d; // @[dec_tlu_ctl.scala 1014:67] - wire csr_pkt_postsync = csr_read_io_csr_pkt_postsync; // @[dec_tlu_ctl.scala 270:41 dec_tlu_ctl.scala 1012:16] - wire csr_pkt_csr_dcsr = csr_read_io_csr_pkt_csr_dcsr; // @[dec_tlu_ctl.scala 270:41 dec_tlu_ctl.scala 1012:16] - wire csr_pkt_csr_dpc = csr_read_io_csr_pkt_csr_dpc; // @[dec_tlu_ctl.scala 270:41 dec_tlu_ctl.scala 1012:16] - wire _T_874 = csr_pkt_csr_dcsr | csr_pkt_csr_dpc; // @[dec_tlu_ctl.scala 1019:55] - wire csr_pkt_csr_dmst = csr_read_io_csr_pkt_csr_dmst; // @[dec_tlu_ctl.scala 270:41 dec_tlu_ctl.scala 1012:16] - wire _T_875 = _T_874 | csr_pkt_csr_dmst; // @[dec_tlu_ctl.scala 1019:73] - wire csr_pkt_csr_dicawics = csr_read_io_csr_pkt_csr_dicawics; // @[dec_tlu_ctl.scala 270:41 dec_tlu_ctl.scala 1012:16] - wire _T_876 = _T_875 | csr_pkt_csr_dicawics; // @[dec_tlu_ctl.scala 1019:92] - wire csr_pkt_csr_dicad0 = csr_read_io_csr_pkt_csr_dicad0; // @[dec_tlu_ctl.scala 270:41 dec_tlu_ctl.scala 1012:16] - wire _T_877 = _T_876 | csr_pkt_csr_dicad0; // @[dec_tlu_ctl.scala 1019:115] - wire csr_pkt_csr_dicad0h = csr_read_io_csr_pkt_csr_dicad0h; // @[dec_tlu_ctl.scala 270:41 dec_tlu_ctl.scala 1012:16] - wire _T_878 = _T_877 | csr_pkt_csr_dicad0h; // @[dec_tlu_ctl.scala 1019:136] - wire csr_pkt_csr_dicad1 = csr_read_io_csr_pkt_csr_dicad1; // @[dec_tlu_ctl.scala 270:41 dec_tlu_ctl.scala 1012:16] - wire _T_879 = _T_878 | csr_pkt_csr_dicad1; // @[dec_tlu_ctl.scala 1019:158] - wire csr_pkt_csr_dicago = csr_read_io_csr_pkt_csr_dicago; // @[dec_tlu_ctl.scala 270:41 dec_tlu_ctl.scala 1012:16] - wire _T_880 = _T_879 | csr_pkt_csr_dicago; // @[dec_tlu_ctl.scala 1019:179] - wire _T_881 = ~_T_880; // @[dec_tlu_ctl.scala 1019:36] - wire _T_882 = _T_881 | dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 1019:201] - wire csr_pkt_legal = csr_read_io_csr_pkt_legal; // @[dec_tlu_ctl.scala 270:41 dec_tlu_ctl.scala 1012:16] - wire _T_883 = csr_pkt_legal & _T_882; // @[dec_tlu_ctl.scala 1019:33] - wire _T_884 = ~fast_int_meicpct; // @[dec_tlu_ctl.scala 1019:223] - wire valid_csr = _T_883 & _T_884; // @[dec_tlu_ctl.scala 1019:221] - wire _T_887 = io_dec_csr_any_unq_d & valid_csr; // @[dec_tlu_ctl.scala 1021:46] - wire csr_pkt_csr_mvendorid = csr_read_io_csr_pkt_csr_mvendorid; // @[dec_tlu_ctl.scala 270:41 dec_tlu_ctl.scala 1012:16] - wire csr_pkt_csr_marchid = csr_read_io_csr_pkt_csr_marchid; // @[dec_tlu_ctl.scala 270:41 dec_tlu_ctl.scala 1012:16] - wire _T_888 = csr_pkt_csr_mvendorid | csr_pkt_csr_marchid; // @[dec_tlu_ctl.scala 1021:107] - wire csr_pkt_csr_mimpid = csr_read_io_csr_pkt_csr_mimpid; // @[dec_tlu_ctl.scala 270:41 dec_tlu_ctl.scala 1012:16] - wire _T_889 = _T_888 | csr_pkt_csr_mimpid; // @[dec_tlu_ctl.scala 1021:129] - wire csr_pkt_csr_mhartid = csr_read_io_csr_pkt_csr_mhartid; // @[dec_tlu_ctl.scala 270:41 dec_tlu_ctl.scala 1012:16] - wire _T_890 = _T_889 | csr_pkt_csr_mhartid; // @[dec_tlu_ctl.scala 1021:150] - wire csr_pkt_csr_mdseac = csr_read_io_csr_pkt_csr_mdseac; // @[dec_tlu_ctl.scala 270:41 dec_tlu_ctl.scala 1012:16] - wire _T_891 = _T_890 | csr_pkt_csr_mdseac; // @[dec_tlu_ctl.scala 1021:172] - wire csr_pkt_csr_meihap = csr_read_io_csr_pkt_csr_meihap; // @[dec_tlu_ctl.scala 270:41 dec_tlu_ctl.scala 1012:16] - wire _T_892 = _T_891 | csr_pkt_csr_meihap; // @[dec_tlu_ctl.scala 1021:193] - wire _T_893 = io_dec_csr_wen_unq_d & _T_892; // @[dec_tlu_ctl.scala 1021:82] - wire _T_894 = ~_T_893; // @[dec_tlu_ctl.scala 1021:59] - dec_timer_ctl int_timers ( // @[dec_tlu_ctl.scala 274:30] + reg [30:0] tlu_flush_path_r_d1; // @[dec_tlu_ctl.scala 795:64] + wire _T_854 = lsu_exc_valid_r | i0_exception_valid_r; // @[dec_tlu_ctl.scala 802:45] + wire _T_855 = _T_854 | interrupt_valid_r; // @[dec_tlu_ctl.scala 802:68] + reg i0_exception_valid_r_d1; // @[dec_tlu_ctl.scala 805:75] + reg [4:0] exc_cause_wb; // @[dec_tlu_ctl.scala 807:91] + wire _T_860 = ~illegal_r; // @[dec_tlu_ctl.scala 808:121] + reg i0_valid_wb; // @[dec_tlu_ctl.scala 808:99] + reg trigger_hit_r_d1; // @[dec_tlu_ctl.scala 809:83] + wire csr_pkt_presync = csr_read_io_csr_pkt_presync; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1008:16] + wire _T_864 = csr_pkt_presync & io_dec_csr_any_unq_d; // @[dec_tlu_ctl.scala 1010:42] + wire _T_865 = ~io_dec_csr_wen_unq_d; // @[dec_tlu_ctl.scala 1010:67] + wire csr_pkt_postsync = csr_read_io_csr_pkt_postsync; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1008:16] + wire csr_pkt_csr_dcsr = csr_read_io_csr_pkt_csr_dcsr; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1008:16] + wire csr_pkt_csr_dpc = csr_read_io_csr_pkt_csr_dpc; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1008:16] + wire _T_874 = csr_pkt_csr_dcsr | csr_pkt_csr_dpc; // @[dec_tlu_ctl.scala 1015:55] + wire csr_pkt_csr_dmst = csr_read_io_csr_pkt_csr_dmst; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1008:16] + wire _T_875 = _T_874 | csr_pkt_csr_dmst; // @[dec_tlu_ctl.scala 1015:73] + wire csr_pkt_csr_dicawics = csr_read_io_csr_pkt_csr_dicawics; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1008:16] + wire _T_876 = _T_875 | csr_pkt_csr_dicawics; // @[dec_tlu_ctl.scala 1015:92] + wire csr_pkt_csr_dicad0 = csr_read_io_csr_pkt_csr_dicad0; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1008:16] + wire _T_877 = _T_876 | csr_pkt_csr_dicad0; // @[dec_tlu_ctl.scala 1015:115] + wire csr_pkt_csr_dicad0h = csr_read_io_csr_pkt_csr_dicad0h; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1008:16] + wire _T_878 = _T_877 | csr_pkt_csr_dicad0h; // @[dec_tlu_ctl.scala 1015:136] + wire csr_pkt_csr_dicad1 = csr_read_io_csr_pkt_csr_dicad1; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1008:16] + wire _T_879 = _T_878 | csr_pkt_csr_dicad1; // @[dec_tlu_ctl.scala 1015:158] + wire csr_pkt_csr_dicago = csr_read_io_csr_pkt_csr_dicago; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1008:16] + wire _T_880 = _T_879 | csr_pkt_csr_dicago; // @[dec_tlu_ctl.scala 1015:179] + wire _T_881 = ~_T_880; // @[dec_tlu_ctl.scala 1015:36] + wire _T_882 = _T_881 | dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 1015:201] + wire csr_pkt_legal = csr_read_io_csr_pkt_legal; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1008:16] + wire _T_883 = csr_pkt_legal & _T_882; // @[dec_tlu_ctl.scala 1015:33] + wire _T_884 = ~fast_int_meicpct; // @[dec_tlu_ctl.scala 1015:223] + wire valid_csr = _T_883 & _T_884; // @[dec_tlu_ctl.scala 1015:221] + wire _T_887 = io_dec_csr_any_unq_d & valid_csr; // @[dec_tlu_ctl.scala 1017:46] + wire csr_pkt_csr_mvendorid = csr_read_io_csr_pkt_csr_mvendorid; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1008:16] + wire csr_pkt_csr_marchid = csr_read_io_csr_pkt_csr_marchid; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1008:16] + wire _T_888 = csr_pkt_csr_mvendorid | csr_pkt_csr_marchid; // @[dec_tlu_ctl.scala 1017:107] + wire csr_pkt_csr_mimpid = csr_read_io_csr_pkt_csr_mimpid; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1008:16] + wire _T_889 = _T_888 | csr_pkt_csr_mimpid; // @[dec_tlu_ctl.scala 1017:129] + wire csr_pkt_csr_mhartid = csr_read_io_csr_pkt_csr_mhartid; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1008:16] + wire _T_890 = _T_889 | csr_pkt_csr_mhartid; // @[dec_tlu_ctl.scala 1017:150] + wire csr_pkt_csr_mdseac = csr_read_io_csr_pkt_csr_mdseac; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1008:16] + wire _T_891 = _T_890 | csr_pkt_csr_mdseac; // @[dec_tlu_ctl.scala 1017:172] + wire csr_pkt_csr_meihap = csr_read_io_csr_pkt_csr_meihap; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1008:16] + wire _T_892 = _T_891 | csr_pkt_csr_meihap; // @[dec_tlu_ctl.scala 1017:193] + wire _T_893 = io_dec_csr_wen_unq_d & _T_892; // @[dec_tlu_ctl.scala 1017:82] + wire _T_894 = ~_T_893; // @[dec_tlu_ctl.scala 1017:59] + dec_timer_ctl int_timers ( // @[dec_tlu_ctl.scala 275:32] .clock(int_timers_clock), .reset(int_timers_reset), .io_free_clk(int_timers_io_free_clk), @@ -55592,7 +55622,7 @@ module dec_tlu_ctl( .io_en(rvclkhdr_3_io_en), .io_scan_mode(rvclkhdr_3_io_scan_mode) ); - csr_tlu csr ( // @[dec_tlu_ctl.scala 817:15] + csr_tlu csr ( // @[dec_tlu_ctl.scala 813:15] .clock(csr_clock), .reset(csr_reset), .io_free_clk(csr_io_free_clk), @@ -55678,6 +55708,7 @@ module dec_tlu_ctl( .io_dec_tlu_misc_clk_override(csr_io_dec_tlu_misc_clk_override), .io_dec_tlu_dec_clk_override(csr_io_dec_tlu_dec_clk_override), .io_dec_tlu_lsu_clk_override(csr_io_dec_tlu_lsu_clk_override), + .io_dec_tlu_bus_clk_override(csr_io_dec_tlu_bus_clk_override), .io_dec_tlu_pic_clk_override(csr_io_dec_tlu_pic_clk_override), .io_dec_tlu_dccm_clk_override(csr_io_dec_tlu_dccm_clk_override), .io_dec_tlu_icm_clk_override(csr_io_dec_tlu_icm_clk_override), @@ -55700,7 +55731,6 @@ module dec_tlu_ctl( .io_lsu_imprecise_error_load_any(csr_io_lsu_imprecise_error_load_any), .io_lsu_imprecise_error_store_any(csr_io_lsu_imprecise_error_store_any), .io_dec_tlu_mrac_ff(csr_io_dec_tlu_mrac_ff), - .io_dec_tlu_wb_coalescing_disable(csr_io_dec_tlu_wb_coalescing_disable), .io_dec_tlu_bpred_disable(csr_io_dec_tlu_bpred_disable), .io_dec_tlu_sideeffect_posted_disable(csr_io_dec_tlu_sideeffect_posted_disable), .io_dec_tlu_core_ecc_disable(csr_io_dec_tlu_core_ecc_disable), @@ -55864,7 +55894,7 @@ module dec_tlu_ctl( .io_mtdata1_t_2(csr_io_mtdata1_t_2), .io_mtdata1_t_3(csr_io_mtdata1_t_3) ); - dec_decode_csr_read csr_read ( // @[dec_tlu_ctl.scala 1010:22] + dec_decode_csr_read csr_read ( // @[dec_tlu_ctl.scala 1006:22] .io_dec_csr_rdaddr_d(csr_read_io_dec_csr_rdaddr_d), .io_csr_pkt_csr_misa(csr_read_io_csr_pkt_csr_misa), .io_csr_pkt_csr_mvendorid(csr_read_io_csr_pkt_csr_mvendorid), @@ -55934,118 +55964,118 @@ module dec_tlu_ctl( .io_csr_pkt_postsync(csr_read_io_csr_pkt_postsync), .io_csr_pkt_legal(csr_read_io_csr_pkt_legal) ); - assign io_tlu_exu_dec_tlu_meihap = csr_io_dec_tlu_meihap; // @[dec_tlu_ctl.scala 876:52] - assign io_tlu_exu_dec_tlu_flush_lower_r = _T_801 | take_ext_int_start; // @[dec_tlu_ctl.scala 802:49] - assign io_tlu_exu_dec_tlu_flush_path_r = take_reset ? io_rst_vec : _T_852; // @[dec_tlu_ctl.scala 803:49] - assign io_tlu_dma_dec_tlu_dma_qos_prty = csr_io_dec_tlu_dma_qos_prty; // @[dec_tlu_ctl.scala 906:48] - assign io_dec_dbg_cmd_done = io_dec_tlu_i0_valid_r & io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 487:29] - assign io_dec_dbg_cmd_fail = illegal_r & io_dec_dbg_cmd_done; // @[dec_tlu_ctl.scala 488:29] - assign io_dec_tlu_dbg_halted = dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 469:41] - assign io_dec_tlu_debug_mode = debug_mode_status; // @[dec_tlu_ctl.scala 470:41] - assign io_dec_tlu_resume_ack = _T_190; // @[dec_tlu_ctl.scala 453:49] - assign io_dec_tlu_debug_stall = debug_halt_req_f; // @[dec_tlu_ctl.scala 468:41] - assign io_dec_tlu_mpc_halted_only = _T_65; // @[dec_tlu_ctl.scala 368:49] - assign io_dec_tlu_flush_extint = ext_int_ready & _T_704; // @[dec_tlu_ctl.scala 476:33] - assign io_trigger_pkt_any_0_select = csr_io_trigger_pkt_any_0_select; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_0_match_pkt = csr_io_trigger_pkt_any_0_match_pkt; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_0_store = csr_io_trigger_pkt_any_0_store; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_0_load = csr_io_trigger_pkt_any_0_load; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_0_execute = csr_io_trigger_pkt_any_0_execute; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_0_m = csr_io_trigger_pkt_any_0_m; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_0_tdata2 = csr_io_trigger_pkt_any_0_tdata2; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_1_select = csr_io_trigger_pkt_any_1_select; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_1_match_pkt = csr_io_trigger_pkt_any_1_match_pkt; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_1_store = csr_io_trigger_pkt_any_1_store; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_1_load = csr_io_trigger_pkt_any_1_load; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_1_execute = csr_io_trigger_pkt_any_1_execute; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_1_m = csr_io_trigger_pkt_any_1_m; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_1_tdata2 = csr_io_trigger_pkt_any_1_tdata2; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_2_select = csr_io_trigger_pkt_any_2_select; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_2_match_pkt = csr_io_trigger_pkt_any_2_match_pkt; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_2_store = csr_io_trigger_pkt_any_2_store; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_2_load = csr_io_trigger_pkt_any_2_load; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_2_execute = csr_io_trigger_pkt_any_2_execute; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_2_m = csr_io_trigger_pkt_any_2_m; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_2_tdata2 = csr_io_trigger_pkt_any_2_tdata2; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_3_select = csr_io_trigger_pkt_any_3_select; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_3_match_pkt = csr_io_trigger_pkt_any_3_match_pkt; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_3_store = csr_io_trigger_pkt_any_3_store; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_3_load = csr_io_trigger_pkt_any_3_load; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_3_execute = csr_io_trigger_pkt_any_3_execute; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_3_m = csr_io_trigger_pkt_any_3_m; // @[dec_tlu_ctl.scala 882:40] - assign io_trigger_pkt_any_3_tdata2 = csr_io_trigger_pkt_any_3_tdata2; // @[dec_tlu_ctl.scala 882:40] - assign io_o_cpu_halt_status = _T_353; // @[dec_tlu_ctl.scala 573:49] - assign io_o_cpu_halt_ack = _T_354; // @[dec_tlu_ctl.scala 574:49] - assign io_o_cpu_run_ack = _T_355; // @[dec_tlu_ctl.scala 575:49] - assign io_o_debug_mode_status = debug_mode_status; // @[dec_tlu_ctl.scala 596:27] - assign io_mpc_debug_halt_ack = mpc_debug_halt_ack_f; // @[dec_tlu_ctl.scala 393:31] - assign io_mpc_debug_run_ack = mpc_debug_run_ack_f; // @[dec_tlu_ctl.scala 394:31] - assign io_debug_brkpt_status = debug_brkpt_status_f; // @[dec_tlu_ctl.scala 395:31] - assign io_dec_csr_rddata_d = csr_io_dec_csr_rddata_d; // @[dec_tlu_ctl.scala 897:40] - assign io_dec_csr_legal_d = _T_887 & _T_894; // @[dec_tlu_ctl.scala 1021:20] - assign io_dec_tlu_i0_kill_writeb_wb = _T_32; // @[dec_tlu_ctl.scala 328:41] - assign io_dec_tlu_i0_kill_writeb_r = _T_427 | i0_trigger_hit_raw_r; // @[dec_tlu_ctl.scala 334:41] - assign io_dec_tlu_wr_pause_r = csr_io_dec_tlu_wr_pause_r; // @[dec_tlu_ctl.scala 899:40] - assign io_dec_tlu_flush_pause_r = _T_208 & _T_209; // @[dec_tlu_ctl.scala 479:34] - assign io_dec_tlu_presync_d = _T_864 & _T_865; // @[dec_tlu_ctl.scala 1014:23] - assign io_dec_tlu_postsync_d = csr_pkt_postsync & io_dec_csr_any_unq_d; // @[dec_tlu_ctl.scala 1015:23] - assign io_dec_tlu_perfcnt0 = csr_io_dec_tlu_perfcnt0; // @[dec_tlu_ctl.scala 885:40] - assign io_dec_tlu_perfcnt1 = csr_io_dec_tlu_perfcnt1; // @[dec_tlu_ctl.scala 886:40] - assign io_dec_tlu_perfcnt2 = csr_io_dec_tlu_perfcnt2; // @[dec_tlu_ctl.scala 887:40] - assign io_dec_tlu_perfcnt3 = csr_io_dec_tlu_perfcnt3; // @[dec_tlu_ctl.scala 888:40] - assign io_dec_tlu_i0_exc_valid_wb1 = csr_io_dec_tlu_i0_exc_valid_wb1; // @[dec_tlu_ctl.scala 879:44] - assign io_dec_tlu_i0_valid_wb1 = csr_io_dec_tlu_i0_valid_wb1; // @[dec_tlu_ctl.scala 880:44] - assign io_dec_tlu_int_valid_wb1 = csr_io_dec_tlu_int_valid_wb1; // @[dec_tlu_ctl.scala 878:44] - assign io_dec_tlu_exc_cause_wb1 = csr_io_dec_tlu_exc_cause_wb1; // @[dec_tlu_ctl.scala 884:40] - assign io_dec_tlu_mtval_wb1 = csr_io_dec_tlu_mtval_wb1; // @[dec_tlu_ctl.scala 883:40] - assign io_dec_tlu_pipelining_disable = csr_io_dec_tlu_pipelining_disable; // @[dec_tlu_ctl.scala 898:40] - assign io_dec_tlu_misc_clk_override = csr_io_dec_tlu_misc_clk_override; // @[dec_tlu_ctl.scala 889:40] - assign io_dec_tlu_dec_clk_override = csr_io_dec_tlu_dec_clk_override; // @[dec_tlu_ctl.scala 890:40] - assign io_dec_tlu_lsu_clk_override = csr_io_dec_tlu_lsu_clk_override; // @[dec_tlu_ctl.scala 892:40] - assign io_dec_tlu_pic_clk_override = csr_io_dec_tlu_pic_clk_override; // @[dec_tlu_ctl.scala 894:40] - assign io_dec_tlu_dccm_clk_override = csr_io_dec_tlu_dccm_clk_override; // @[dec_tlu_ctl.scala 895:40] - assign io_dec_tlu_icm_clk_override = csr_io_dec_tlu_icm_clk_override; // @[dec_tlu_ctl.scala 896:40] - assign io_tlu_bp_dec_tlu_br0_r_pkt_valid = _T_459 & _T_462; // @[dec_tlu_ctl.scala 652:57] - assign io_tlu_bp_dec_tlu_br0_r_pkt_bits_hist = io_tlu_exu_exu_i0_br_hist_r; // @[dec_tlu_ctl.scala 649:65] - assign io_tlu_bp_dec_tlu_br0_r_pkt_bits_br_error = _T_453 & _T_429; // @[dec_tlu_ctl.scala 650:57] - assign io_tlu_bp_dec_tlu_br0_r_pkt_bits_br_start_error = _T_455 & _T_429; // @[dec_tlu_ctl.scala 651:57] - assign io_tlu_bp_dec_tlu_br0_r_pkt_bits_way = io_exu_i0_br_way_r; // @[dec_tlu_ctl.scala 653:65] - assign io_tlu_bp_dec_tlu_br0_r_pkt_bits_middle = io_tlu_exu_exu_i0_br_middle_r; // @[dec_tlu_ctl.scala 654:65] - assign io_tlu_bp_dec_tlu_flush_lower_wb = tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 800:49] - assign io_tlu_bp_dec_tlu_flush_leak_one_wb = _T_233 & _T_234; // @[dec_tlu_ctl.scala 483:45] - assign io_tlu_bp_dec_tlu_bpred_disable = csr_io_dec_tlu_bpred_disable; // @[dec_tlu_ctl.scala 902:47] - assign io_tlu_ifc_dec_tlu_flush_noredir_wb = _T_205 | take_ext_int_start; // @[dec_tlu_ctl.scala 474:45] - assign io_tlu_ifc_dec_tlu_mrac_ff = csr_io_dec_tlu_mrac_ff; // @[dec_tlu_ctl.scala 900:48] - assign io_tlu_mem_dec_tlu_flush_err_wb = io_tlu_exu_dec_tlu_flush_lower_r & _T_433; // @[dec_tlu_ctl.scala 484:41] - assign io_tlu_mem_dec_tlu_i0_commit_cmt = _T_422 & _T_465; // @[dec_tlu_ctl.scala 627:37] - assign io_tlu_mem_dec_tlu_force_halt = _T_33; // @[dec_tlu_ctl.scala 330:57] - assign io_tlu_mem_dec_tlu_fence_i_wb = _T_492 & _T_470; // @[dec_tlu_ctl.scala 672:39] - assign io_tlu_mem_dec_tlu_ic_diag_pkt_icache_wrdata = csr_io_dec_tlu_ic_diag_pkt_icache_wrdata; // @[dec_tlu_ctl.scala 881:52] - assign io_tlu_mem_dec_tlu_ic_diag_pkt_icache_dicawics = csr_io_dec_tlu_ic_diag_pkt_icache_dicawics; // @[dec_tlu_ctl.scala 881:52] - assign io_tlu_mem_dec_tlu_ic_diag_pkt_icache_rd_valid = csr_io_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[dec_tlu_ctl.scala 881:52] - assign io_tlu_mem_dec_tlu_ic_diag_pkt_icache_wr_valid = csr_io_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[dec_tlu_ctl.scala 881:52] - assign io_tlu_mem_dec_tlu_core_ecc_disable = csr_io_dec_tlu_core_ecc_disable; // @[dec_tlu_ctl.scala 904:48] - assign io_tlu_busbuff_dec_tlu_external_ldfwd_disable = csr_io_dec_tlu_external_ldfwd_disable; // @[dec_tlu_ctl.scala 905:52] - assign io_tlu_busbuff_dec_tlu_wb_coalescing_disable = csr_io_dec_tlu_wb_coalescing_disable; // @[dec_tlu_ctl.scala 901:52] - assign io_tlu_busbuff_dec_tlu_sideeffect_posted_disable = csr_io_dec_tlu_sideeffect_posted_disable; // @[dec_tlu_ctl.scala 903:52] - assign io_dec_pic_dec_tlu_meicurpl = csr_io_dec_tlu_meicurpl; // @[dec_tlu_ctl.scala 875:52] - assign io_dec_pic_dec_tlu_meipt = csr_io_dec_tlu_meipt; // @[dec_tlu_ctl.scala 877:52] + assign io_tlu_exu_dec_tlu_meihap = csr_io_dec_tlu_meihap; // @[dec_tlu_ctl.scala 872:44] + assign io_tlu_exu_dec_tlu_flush_lower_r = _T_801 | take_ext_int_start; // @[dec_tlu_ctl.scala 798:49] + assign io_tlu_exu_dec_tlu_flush_path_r = take_reset ? io_rst_vec : _T_852; // @[dec_tlu_ctl.scala 799:49] + assign io_tlu_dma_dec_tlu_dma_qos_prty = csr_io_dec_tlu_dma_qos_prty; // @[dec_tlu_ctl.scala 902:48] + assign io_dec_dbg_cmd_done = io_dec_tlu_i0_valid_r & io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 486:29] + assign io_dec_dbg_cmd_fail = illegal_r & io_dec_dbg_cmd_done; // @[dec_tlu_ctl.scala 487:29] + assign io_dec_tlu_dbg_halted = dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 468:41] + assign io_dec_tlu_debug_mode = debug_mode_status; // @[dec_tlu_ctl.scala 469:41] + assign io_dec_tlu_resume_ack = _T_190; // @[dec_tlu_ctl.scala 452:65] + assign io_dec_tlu_debug_stall = debug_halt_req_f; // @[dec_tlu_ctl.scala 467:41] + assign io_dec_tlu_mpc_halted_only = _T_65; // @[dec_tlu_ctl.scala 367:49] + assign io_dec_tlu_flush_extint = ext_int_ready & _T_704; // @[dec_tlu_ctl.scala 475:33] + assign io_trigger_pkt_any_0_select = csr_io_trigger_pkt_any_0_select; // @[dec_tlu_ctl.scala 878:40] + assign io_trigger_pkt_any_0_match_pkt = csr_io_trigger_pkt_any_0_match_pkt; // @[dec_tlu_ctl.scala 878:40] + assign io_trigger_pkt_any_0_store = csr_io_trigger_pkt_any_0_store; // @[dec_tlu_ctl.scala 878:40] + assign io_trigger_pkt_any_0_load = csr_io_trigger_pkt_any_0_load; // @[dec_tlu_ctl.scala 878:40] + assign io_trigger_pkt_any_0_execute = csr_io_trigger_pkt_any_0_execute; // @[dec_tlu_ctl.scala 878:40] + assign io_trigger_pkt_any_0_m = csr_io_trigger_pkt_any_0_m; // @[dec_tlu_ctl.scala 878:40] + assign io_trigger_pkt_any_0_tdata2 = csr_io_trigger_pkt_any_0_tdata2; // @[dec_tlu_ctl.scala 878:40] + assign io_trigger_pkt_any_1_select = csr_io_trigger_pkt_any_1_select; // @[dec_tlu_ctl.scala 878:40] + assign io_trigger_pkt_any_1_match_pkt = csr_io_trigger_pkt_any_1_match_pkt; // @[dec_tlu_ctl.scala 878:40] + assign io_trigger_pkt_any_1_store = csr_io_trigger_pkt_any_1_store; // @[dec_tlu_ctl.scala 878:40] + assign io_trigger_pkt_any_1_load = csr_io_trigger_pkt_any_1_load; // @[dec_tlu_ctl.scala 878:40] + assign io_trigger_pkt_any_1_execute = csr_io_trigger_pkt_any_1_execute; // @[dec_tlu_ctl.scala 878:40] + assign io_trigger_pkt_any_1_m = csr_io_trigger_pkt_any_1_m; // @[dec_tlu_ctl.scala 878:40] + assign io_trigger_pkt_any_1_tdata2 = csr_io_trigger_pkt_any_1_tdata2; // @[dec_tlu_ctl.scala 878:40] + assign io_trigger_pkt_any_2_select = csr_io_trigger_pkt_any_2_select; // @[dec_tlu_ctl.scala 878:40] + assign io_trigger_pkt_any_2_match_pkt = csr_io_trigger_pkt_any_2_match_pkt; // @[dec_tlu_ctl.scala 878:40] + assign io_trigger_pkt_any_2_store = csr_io_trigger_pkt_any_2_store; // @[dec_tlu_ctl.scala 878:40] + assign io_trigger_pkt_any_2_load = csr_io_trigger_pkt_any_2_load; // @[dec_tlu_ctl.scala 878:40] + assign io_trigger_pkt_any_2_execute = csr_io_trigger_pkt_any_2_execute; // @[dec_tlu_ctl.scala 878:40] + assign io_trigger_pkt_any_2_m = csr_io_trigger_pkt_any_2_m; // @[dec_tlu_ctl.scala 878:40] + assign io_trigger_pkt_any_2_tdata2 = csr_io_trigger_pkt_any_2_tdata2; // @[dec_tlu_ctl.scala 878:40] + assign io_trigger_pkt_any_3_select = csr_io_trigger_pkt_any_3_select; // @[dec_tlu_ctl.scala 878:40] + assign io_trigger_pkt_any_3_match_pkt = csr_io_trigger_pkt_any_3_match_pkt; // @[dec_tlu_ctl.scala 878:40] + assign io_trigger_pkt_any_3_store = csr_io_trigger_pkt_any_3_store; // @[dec_tlu_ctl.scala 878:40] + assign io_trigger_pkt_any_3_load = csr_io_trigger_pkt_any_3_load; // @[dec_tlu_ctl.scala 878:40] + assign io_trigger_pkt_any_3_execute = csr_io_trigger_pkt_any_3_execute; // @[dec_tlu_ctl.scala 878:40] + assign io_trigger_pkt_any_3_m = csr_io_trigger_pkt_any_3_m; // @[dec_tlu_ctl.scala 878:40] + assign io_trigger_pkt_any_3_tdata2 = csr_io_trigger_pkt_any_3_tdata2; // @[dec_tlu_ctl.scala 878:40] + assign io_o_cpu_halt_status = _T_353; // @[dec_tlu_ctl.scala 570:49] + assign io_o_cpu_halt_ack = _T_354; // @[dec_tlu_ctl.scala 571:49] + assign io_o_cpu_run_ack = _T_355; // @[dec_tlu_ctl.scala 572:49] + assign io_o_debug_mode_status = debug_mode_status; // @[dec_tlu_ctl.scala 593:27] + assign io_mpc_debug_halt_ack = mpc_debug_halt_ack_f; // @[dec_tlu_ctl.scala 392:31] + assign io_mpc_debug_run_ack = mpc_debug_run_ack_f; // @[dec_tlu_ctl.scala 393:31] + assign io_debug_brkpt_status = debug_brkpt_status_f; // @[dec_tlu_ctl.scala 394:31] + assign io_dec_csr_rddata_d = csr_io_dec_csr_rddata_d; // @[dec_tlu_ctl.scala 893:40] + assign io_dec_csr_legal_d = _T_887 & _T_894; // @[dec_tlu_ctl.scala 1017:20] + assign io_dec_tlu_i0_kill_writeb_wb = _T_32; // @[dec_tlu_ctl.scala 329:41] + assign io_dec_tlu_i0_kill_writeb_r = _T_427 | i0_trigger_hit_raw_r; // @[dec_tlu_ctl.scala 333:37] + assign io_dec_tlu_wr_pause_r = csr_io_dec_tlu_wr_pause_r; // @[dec_tlu_ctl.scala 895:40] + assign io_dec_tlu_flush_pause_r = _T_208 & _T_209; // @[dec_tlu_ctl.scala 478:34] + assign io_dec_tlu_presync_d = _T_864 & _T_865; // @[dec_tlu_ctl.scala 1010:23] + assign io_dec_tlu_postsync_d = csr_pkt_postsync & io_dec_csr_any_unq_d; // @[dec_tlu_ctl.scala 1011:23] + assign io_dec_tlu_perfcnt0 = csr_io_dec_tlu_perfcnt0; // @[dec_tlu_ctl.scala 881:40] + assign io_dec_tlu_perfcnt1 = csr_io_dec_tlu_perfcnt1; // @[dec_tlu_ctl.scala 882:40] + assign io_dec_tlu_perfcnt2 = csr_io_dec_tlu_perfcnt2; // @[dec_tlu_ctl.scala 883:40] + assign io_dec_tlu_perfcnt3 = csr_io_dec_tlu_perfcnt3; // @[dec_tlu_ctl.scala 884:40] + assign io_dec_tlu_i0_exc_valid_wb1 = csr_io_dec_tlu_i0_exc_valid_wb1; // @[dec_tlu_ctl.scala 875:44] + assign io_dec_tlu_i0_valid_wb1 = csr_io_dec_tlu_i0_valid_wb1; // @[dec_tlu_ctl.scala 876:44] + assign io_dec_tlu_int_valid_wb1 = csr_io_dec_tlu_int_valid_wb1; // @[dec_tlu_ctl.scala 874:44] + assign io_dec_tlu_exc_cause_wb1 = csr_io_dec_tlu_exc_cause_wb1; // @[dec_tlu_ctl.scala 880:40] + assign io_dec_tlu_mtval_wb1 = csr_io_dec_tlu_mtval_wb1; // @[dec_tlu_ctl.scala 879:40] + assign io_dec_tlu_pipelining_disable = csr_io_dec_tlu_pipelining_disable; // @[dec_tlu_ctl.scala 894:40] + assign io_dec_tlu_misc_clk_override = csr_io_dec_tlu_misc_clk_override; // @[dec_tlu_ctl.scala 885:40] + assign io_dec_tlu_dec_clk_override = csr_io_dec_tlu_dec_clk_override; // @[dec_tlu_ctl.scala 886:40] + assign io_dec_tlu_lsu_clk_override = csr_io_dec_tlu_lsu_clk_override; // @[dec_tlu_ctl.scala 888:40] + assign io_dec_tlu_bus_clk_override = csr_io_dec_tlu_bus_clk_override; // @[dec_tlu_ctl.scala 889:40] + assign io_dec_tlu_pic_clk_override = csr_io_dec_tlu_pic_clk_override; // @[dec_tlu_ctl.scala 890:40] + assign io_dec_tlu_dccm_clk_override = csr_io_dec_tlu_dccm_clk_override; // @[dec_tlu_ctl.scala 891:40] + assign io_dec_tlu_icm_clk_override = csr_io_dec_tlu_icm_clk_override; // @[dec_tlu_ctl.scala 892:40] + assign io_dec_tlu_flush_lower_wb = tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 797:41] + assign io_tlu_bp_dec_tlu_br0_r_pkt_valid = _T_459 & _T_462; // @[dec_tlu_ctl.scala 649:57] + assign io_tlu_bp_dec_tlu_br0_r_pkt_bits_hist = io_tlu_exu_exu_i0_br_hist_r; // @[dec_tlu_ctl.scala 646:65] + assign io_tlu_bp_dec_tlu_br0_r_pkt_bits_br_error = _T_453 & _T_429; // @[dec_tlu_ctl.scala 647:57] + assign io_tlu_bp_dec_tlu_br0_r_pkt_bits_br_start_error = _T_455 & _T_429; // @[dec_tlu_ctl.scala 648:57] + assign io_tlu_bp_dec_tlu_br0_r_pkt_bits_way = io_exu_i0_br_way_r; // @[dec_tlu_ctl.scala 650:65] + assign io_tlu_bp_dec_tlu_br0_r_pkt_bits_middle = io_tlu_exu_exu_i0_br_middle_r; // @[dec_tlu_ctl.scala 651:65] + assign io_tlu_bp_dec_tlu_flush_leak_one_wb = _T_233 & _T_234; // @[dec_tlu_ctl.scala 482:45] + assign io_tlu_bp_dec_tlu_bpred_disable = csr_io_dec_tlu_bpred_disable; // @[dec_tlu_ctl.scala 898:47] + assign io_tlu_ifc_dec_tlu_flush_noredir_wb = _T_205 | take_ext_int_start; // @[dec_tlu_ctl.scala 473:45] + assign io_tlu_ifc_dec_tlu_mrac_ff = csr_io_dec_tlu_mrac_ff; // @[dec_tlu_ctl.scala 896:48] + assign io_tlu_mem_dec_tlu_flush_err_wb = io_tlu_exu_dec_tlu_flush_lower_r & _T_433; // @[dec_tlu_ctl.scala 483:41] + assign io_tlu_mem_dec_tlu_i0_commit_cmt = _T_422 & _T_465; // @[dec_tlu_ctl.scala 624:37] + assign io_tlu_mem_dec_tlu_force_halt = _T_33; // @[dec_tlu_ctl.scala 331:41] + assign io_tlu_mem_dec_tlu_fence_i_wb = _T_492 & _T_470; // @[dec_tlu_ctl.scala 669:39] + assign io_tlu_mem_dec_tlu_ic_diag_pkt_icache_wrdata = csr_io_dec_tlu_ic_diag_pkt_icache_wrdata; // @[dec_tlu_ctl.scala 877:44] + assign io_tlu_mem_dec_tlu_ic_diag_pkt_icache_dicawics = csr_io_dec_tlu_ic_diag_pkt_icache_dicawics; // @[dec_tlu_ctl.scala 877:44] + assign io_tlu_mem_dec_tlu_ic_diag_pkt_icache_rd_valid = csr_io_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[dec_tlu_ctl.scala 877:44] + assign io_tlu_mem_dec_tlu_ic_diag_pkt_icache_wr_valid = csr_io_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[dec_tlu_ctl.scala 877:44] + assign io_tlu_mem_dec_tlu_core_ecc_disable = csr_io_dec_tlu_core_ecc_disable; // @[dec_tlu_ctl.scala 900:48] + assign io_tlu_busbuff_dec_tlu_external_ldfwd_disable = csr_io_dec_tlu_external_ldfwd_disable; // @[dec_tlu_ctl.scala 901:52] + assign io_tlu_busbuff_dec_tlu_sideeffect_posted_disable = csr_io_dec_tlu_sideeffect_posted_disable; // @[dec_tlu_ctl.scala 899:52] + assign io_dec_pic_dec_tlu_meicurpl = csr_io_dec_tlu_meicurpl; // @[dec_tlu_ctl.scala 871:44] + assign io_dec_pic_dec_tlu_meipt = csr_io_dec_tlu_meipt; // @[dec_tlu_ctl.scala 873:44] assign int_timers_clock = clock; assign int_timers_reset = reset; - assign int_timers_io_free_clk = io_free_clk; // @[dec_tlu_ctl.scala 275:57] - assign int_timers_io_scan_mode = io_scan_mode; // @[dec_tlu_ctl.scala 276:57] - assign int_timers_io_dec_csr_wen_r_mod = csr_io_dec_csr_wen_r_mod; // @[dec_tlu_ctl.scala 277:49] - assign int_timers_io_dec_csr_wraddr_r = io_dec_csr_wraddr_r; // @[dec_tlu_ctl.scala 279:49] - assign int_timers_io_dec_csr_wrdata_r = io_dec_csr_wrdata_r; // @[dec_tlu_ctl.scala 280:49] - assign int_timers_io_csr_mitctl0 = csr_read_io_csr_pkt_csr_mitctl0; // @[dec_tlu_ctl.scala 281:57] - assign int_timers_io_csr_mitctl1 = csr_read_io_csr_pkt_csr_mitctl1; // @[dec_tlu_ctl.scala 282:57] - assign int_timers_io_csr_mitb0 = csr_read_io_csr_pkt_csr_mitb0; // @[dec_tlu_ctl.scala 283:57] - assign int_timers_io_csr_mitb1 = csr_read_io_csr_pkt_csr_mitb1; // @[dec_tlu_ctl.scala 284:57] - assign int_timers_io_csr_mitcnt0 = csr_read_io_csr_pkt_csr_mitcnt0; // @[dec_tlu_ctl.scala 285:57] - assign int_timers_io_csr_mitcnt1 = csr_read_io_csr_pkt_csr_mitcnt1; // @[dec_tlu_ctl.scala 286:57] - assign int_timers_io_dec_pause_state = io_dec_pause_state; // @[dec_tlu_ctl.scala 287:49] - assign int_timers_io_dec_tlu_pmu_fw_halted = pmu_fw_tlu_halted_f; // @[dec_tlu_ctl.scala 288:49] - assign int_timers_io_internal_dbg_halt_timers = debug_mode_status & _T_665; // @[dec_tlu_ctl.scala 289:47] + assign int_timers_io_free_clk = io_free_clk; // @[dec_tlu_ctl.scala 276:73] + assign int_timers_io_scan_mode = io_scan_mode; // @[dec_tlu_ctl.scala 277:73] + assign int_timers_io_dec_csr_wen_r_mod = csr_io_dec_csr_wen_r_mod; // @[dec_tlu_ctl.scala 278:49] + assign int_timers_io_dec_csr_wraddr_r = io_dec_csr_wraddr_r; // @[dec_tlu_ctl.scala 280:49] + assign int_timers_io_dec_csr_wrdata_r = io_dec_csr_wrdata_r; // @[dec_tlu_ctl.scala 281:49] + assign int_timers_io_csr_mitctl0 = csr_read_io_csr_pkt_csr_mitctl0; // @[dec_tlu_ctl.scala 282:73] + assign int_timers_io_csr_mitctl1 = csr_read_io_csr_pkt_csr_mitctl1; // @[dec_tlu_ctl.scala 283:73] + assign int_timers_io_csr_mitb0 = csr_read_io_csr_pkt_csr_mitb0; // @[dec_tlu_ctl.scala 284:73] + assign int_timers_io_csr_mitb1 = csr_read_io_csr_pkt_csr_mitb1; // @[dec_tlu_ctl.scala 285:73] + assign int_timers_io_csr_mitcnt0 = csr_read_io_csr_pkt_csr_mitcnt0; // @[dec_tlu_ctl.scala 286:73] + assign int_timers_io_csr_mitcnt1 = csr_read_io_csr_pkt_csr_mitcnt1; // @[dec_tlu_ctl.scala 287:73] + assign int_timers_io_dec_pause_state = io_dec_pause_state; // @[dec_tlu_ctl.scala 288:57] + assign int_timers_io_dec_tlu_pmu_fw_halted = pmu_fw_tlu_halted_f; // @[dec_tlu_ctl.scala 289:49] + assign int_timers_io_internal_dbg_halt_timers = debug_mode_status & _T_665; // @[dec_tlu_ctl.scala 290:48] assign rvclkhdr_io_clk = clock; // @[lib.scala 344:17] assign rvclkhdr_io_en = dec_csr_wen_r_mod | io_dec_tlu_dec_clk_override; // @[lib.scala 345:16] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] @@ -56060,197 +56090,197 @@ module dec_tlu_ctl( assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] assign csr_clock = clock; assign csr_reset = reset; - assign csr_io_free_clk = io_free_clk; // @[dec_tlu_ctl.scala 818:44] - assign csr_io_active_clk = io_active_clk; // @[dec_tlu_ctl.scala 819:44] - assign csr_io_scan_mode = io_scan_mode; // @[dec_tlu_ctl.scala 820:44] - assign csr_io_dec_csr_wrdata_r = io_dec_csr_wrdata_r; // @[dec_tlu_ctl.scala 821:44] - assign csr_io_dec_csr_wraddr_r = io_dec_csr_wraddr_r; // @[dec_tlu_ctl.scala 822:44] - assign csr_io_dec_csr_rdaddr_d = io_dec_csr_rdaddr_d; // @[dec_tlu_ctl.scala 823:44] - assign csr_io_dec_csr_wen_unq_d = io_dec_csr_wen_unq_d; // @[dec_tlu_ctl.scala 824:44] - assign csr_io_dec_i0_decode_d = io_dec_i0_decode_d; // @[dec_tlu_ctl.scala 825:44] - assign csr_io_ifu_ic_debug_rd_data_valid = io_tlu_mem_ifu_ic_debug_rd_data_valid; // @[dec_tlu_ctl.scala 826:44] - assign csr_io_ifu_pmu_bus_trxn = io_tlu_mem_ifu_pmu_bus_trxn; // @[dec_tlu_ctl.scala 827:44] - assign csr_io_dma_iccm_stall_any = io_tlu_dma_dma_iccm_stall_any; // @[dec_tlu_ctl.scala 828:44] - assign csr_io_dma_dccm_stall_any = io_tlu_dma_dma_dccm_stall_any; // @[dec_tlu_ctl.scala 829:44] - assign csr_io_lsu_store_stall_any = io_lsu_store_stall_any; // @[dec_tlu_ctl.scala 830:44] - assign csr_io_dec_pmu_presync_stall = io_dec_pmu_presync_stall; // @[dec_tlu_ctl.scala 831:44] - assign csr_io_dec_pmu_postsync_stall = io_dec_pmu_postsync_stall; // @[dec_tlu_ctl.scala 832:44] - assign csr_io_dec_pmu_decode_stall = io_dec_pmu_decode_stall; // @[dec_tlu_ctl.scala 833:44] - assign csr_io_ifu_pmu_fetch_stall = io_tlu_ifc_ifu_pmu_fetch_stall; // @[dec_tlu_ctl.scala 834:44] - assign csr_io_dec_tlu_packet_r_icaf_type = io_dec_tlu_packet_r_icaf_type; // @[dec_tlu_ctl.scala 835:44] - assign csr_io_dec_tlu_packet_r_pmu_i0_itype = io_dec_tlu_packet_r_pmu_i0_itype; // @[dec_tlu_ctl.scala 835:44] - assign csr_io_dec_tlu_packet_r_pmu_i0_br_unpred = io_dec_tlu_packet_r_pmu_i0_br_unpred; // @[dec_tlu_ctl.scala 835:44] - assign csr_io_dec_tlu_packet_r_pmu_divide = io_dec_tlu_packet_r_pmu_divide; // @[dec_tlu_ctl.scala 835:44] - assign csr_io_dec_tlu_packet_r_pmu_lsu_misaligned = io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[dec_tlu_ctl.scala 835:44] - assign csr_io_exu_pmu_i0_br_ataken = io_tlu_exu_exu_pmu_i0_br_ataken; // @[dec_tlu_ctl.scala 836:44] - assign csr_io_exu_pmu_i0_br_misp = io_tlu_exu_exu_pmu_i0_br_misp; // @[dec_tlu_ctl.scala 837:44] - assign csr_io_dec_pmu_instr_decoded = io_dec_pmu_instr_decoded; // @[dec_tlu_ctl.scala 838:44] - assign csr_io_ifu_pmu_instr_aligned = io_ifu_pmu_instr_aligned; // @[dec_tlu_ctl.scala 839:44] - assign csr_io_exu_pmu_i0_pc4 = io_tlu_exu_exu_pmu_i0_pc4; // @[dec_tlu_ctl.scala 840:44] - assign csr_io_ifu_pmu_ic_miss = io_tlu_mem_ifu_pmu_ic_miss; // @[dec_tlu_ctl.scala 841:44] - assign csr_io_ifu_pmu_ic_hit = io_tlu_mem_ifu_pmu_ic_hit; // @[dec_tlu_ctl.scala 842:44] - assign csr_io_dec_csr_wen_r = io_dec_csr_wen_r; // @[dec_tlu_ctl.scala 843:44] - assign csr_io_dec_tlu_dbg_halted = io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 844:44] - assign csr_io_dma_pmu_dccm_write = io_tlu_dma_dma_pmu_dccm_write; // @[dec_tlu_ctl.scala 845:44] - assign csr_io_dma_pmu_dccm_read = io_tlu_dma_dma_pmu_dccm_read; // @[dec_tlu_ctl.scala 846:44] - assign csr_io_dma_pmu_any_write = io_tlu_dma_dma_pmu_any_write; // @[dec_tlu_ctl.scala 847:44] - assign csr_io_dma_pmu_any_read = io_tlu_dma_dma_pmu_any_read; // @[dec_tlu_ctl.scala 848:44] - assign csr_io_lsu_pmu_bus_busy = io_tlu_busbuff_lsu_pmu_bus_busy; // @[dec_tlu_ctl.scala 849:44] - assign csr_io_dec_tlu_i0_pc_r = io_dec_tlu_i0_pc_r; // @[dec_tlu_ctl.scala 850:44] - assign csr_io_dec_tlu_i0_valid_r = io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 851:44] - assign csr_io_dec_csr_any_unq_d = io_dec_csr_any_unq_d; // @[dec_tlu_ctl.scala 853:44] - assign csr_io_ifu_pmu_bus_busy = io_tlu_mem_ifu_pmu_bus_busy; // @[dec_tlu_ctl.scala 854:44] - assign csr_io_lsu_pmu_bus_error = io_tlu_busbuff_lsu_pmu_bus_error; // @[dec_tlu_ctl.scala 855:44] - assign csr_io_ifu_pmu_bus_error = io_tlu_mem_ifu_pmu_bus_error; // @[dec_tlu_ctl.scala 856:44] - assign csr_io_lsu_pmu_bus_misaligned = io_tlu_busbuff_lsu_pmu_bus_misaligned; // @[dec_tlu_ctl.scala 857:44] - assign csr_io_lsu_pmu_bus_trxn = io_tlu_busbuff_lsu_pmu_bus_trxn; // @[dec_tlu_ctl.scala 858:44] - assign csr_io_ifu_ic_debug_rd_data = io_tlu_mem_ifu_ic_debug_rd_data; // @[dec_tlu_ctl.scala 859:44] - assign csr_io_pic_pl = io_dec_pic_pic_pl; // @[dec_tlu_ctl.scala 860:44] - assign csr_io_pic_claimid = io_dec_pic_pic_claimid; // @[dec_tlu_ctl.scala 861:44] - assign csr_io_iccm_dma_sb_error = io_iccm_dma_sb_error; // @[dec_tlu_ctl.scala 862:44] - assign csr_io_lsu_imprecise_error_addr_any = io_tlu_busbuff_lsu_imprecise_error_addr_any; // @[dec_tlu_ctl.scala 863:44] - assign csr_io_lsu_imprecise_error_load_any = io_tlu_busbuff_lsu_imprecise_error_load_any; // @[dec_tlu_ctl.scala 864:44] - assign csr_io_lsu_imprecise_error_store_any = io_tlu_busbuff_lsu_imprecise_error_store_any; // @[dec_tlu_ctl.scala 865:44] - assign csr_io_dec_illegal_inst = io_dec_illegal_inst; // @[dec_tlu_ctl.scala 866:44 dec_tlu_ctl.scala 907:44] - assign csr_io_lsu_error_pkt_r_bits_mscause = io_lsu_error_pkt_r_bits_mscause; // @[dec_tlu_ctl.scala 867:44 dec_tlu_ctl.scala 908:44] - assign csr_io_mexintpend = io_dec_pic_mexintpend; // @[dec_tlu_ctl.scala 868:44 dec_tlu_ctl.scala 909:44] - assign csr_io_exu_npc_r = io_tlu_exu_exu_npc_r; // @[dec_tlu_ctl.scala 869:44 dec_tlu_ctl.scala 910:44] - assign csr_io_mpc_reset_run_req = io_mpc_reset_run_req; // @[dec_tlu_ctl.scala 870:44 dec_tlu_ctl.scala 911:44] - assign csr_io_rst_vec = io_rst_vec; // @[dec_tlu_ctl.scala 871:44 dec_tlu_ctl.scala 912:44] - assign csr_io_core_id = io_core_id; // @[dec_tlu_ctl.scala 872:44 dec_tlu_ctl.scala 913:44] - assign csr_io_dec_timer_rddata_d = int_timers_io_dec_timer_rddata_d; // @[dec_tlu_ctl.scala 873:44 dec_tlu_ctl.scala 914:44] - assign csr_io_dec_timer_read_d = int_timers_io_dec_timer_read_d; // @[dec_tlu_ctl.scala 874:44 dec_tlu_ctl.scala 915:44] - assign csr_io_rfpc_i0_r = _T_438 & _T_439; // @[dec_tlu_ctl.scala 918:39] - assign csr_io_i0_trigger_hit_r = |i0_trigger_chain_masked_r; // @[dec_tlu_ctl.scala 919:39] - assign csr_io_exc_or_int_valid_r = _T_855 | mepc_trigger_hit_sel_pc_r; // @[dec_tlu_ctl.scala 920:39] - assign csr_io_mret_r = _T_487 & _T_470; // @[dec_tlu_ctl.scala 921:39] - assign csr_io_dcsr_single_step_running_f = dcsr_single_step_running_f; // @[dec_tlu_ctl.scala 922:39] - assign csr_io_dec_timer_t0_pulse = int_timers_io_dec_timer_t0_pulse; // @[dec_tlu_ctl.scala 923:39] - assign csr_io_dec_timer_t1_pulse = int_timers_io_dec_timer_t1_pulse; // @[dec_tlu_ctl.scala 924:39] - assign csr_io_timer_int_sync = syncro_ff[5]; // @[dec_tlu_ctl.scala 925:39] - assign csr_io_soft_int_sync = syncro_ff[4]; // @[dec_tlu_ctl.scala 926:39] - assign csr_io_csr_wr_clk = rvclkhdr_io_l1clk; // @[dec_tlu_ctl.scala 927:39] - assign csr_io_ebreak_to_debug_mode_r = _T_519 & _T_470; // @[dec_tlu_ctl.scala 928:39] - assign csr_io_dec_tlu_pmu_fw_halted = pmu_fw_tlu_halted_f; // @[dec_tlu_ctl.scala 929:39] - assign csr_io_lsu_fir_error = io_lsu_fir_error; // @[dec_tlu_ctl.scala 930:39] - assign csr_io_tlu_flush_lower_r_d1 = tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 931:39] - assign csr_io_dec_tlu_flush_noredir_r_d1 = dec_tlu_flush_noredir_r_d1; // @[dec_tlu_ctl.scala 932:39] - assign csr_io_tlu_flush_path_r_d1 = tlu_flush_path_r_d1; // @[dec_tlu_ctl.scala 933:39] - assign csr_io_reset_delayed = reset_detect ^ reset_detected; // @[dec_tlu_ctl.scala 934:39] - assign csr_io_interrupt_valid_r = _T_766 | take_int_timer1_int; // @[dec_tlu_ctl.scala 935:39] - assign csr_io_i0_exception_valid_r = _T_527 & _T_528; // @[dec_tlu_ctl.scala 936:39] - assign csr_io_lsu_exc_valid_r = _T_405 & _T_470; // @[dec_tlu_ctl.scala 937:39] - assign csr_io_mepc_trigger_hit_sel_pc_r = i0_trigger_hit_raw_r & _T_345; // @[dec_tlu_ctl.scala 938:39] - assign csr_io_e4e5_int_clk = rvclkhdr_3_io_l1clk; // @[dec_tlu_ctl.scala 939:39] - assign csr_io_lsu_i0_exc_r = _T_405 & _T_470; // @[dec_tlu_ctl.scala 940:39] - assign csr_io_inst_acc_r = _T_511 & _T_465; // @[dec_tlu_ctl.scala 941:39] - assign csr_io_inst_acc_second_r = io_dec_tlu_packet_r_icaf_f1; // @[dec_tlu_ctl.scala 942:39] - assign csr_io_take_nmi = _T_756 & _T_760; // @[dec_tlu_ctl.scala 943:39] - assign csr_io_lsu_error_pkt_addr_r = io_lsu_error_pkt_r_bits_addr; // @[dec_tlu_ctl.scala 944:39] - assign csr_io_exc_cause_r = _T_603 | _T_591; // @[dec_tlu_ctl.scala 945:39] - assign csr_io_i0_valid_wb = i0_valid_wb; // @[dec_tlu_ctl.scala 946:39] - assign csr_io_exc_or_int_valid_r_d1 = exc_or_int_valid_r_d1; // @[dec_tlu_ctl.scala 947:39] - assign csr_io_interrupt_valid_r_d1 = interrupt_valid_r_d1; // @[dec_tlu_ctl.scala 948:39] - assign csr_io_clk_override = io_dec_tlu_dec_clk_override; // @[dec_tlu_ctl.scala 949:39] - assign csr_io_i0_exception_valid_r_d1 = i0_exception_valid_r_d1; // @[dec_tlu_ctl.scala 950:39] - assign csr_io_lsu_i0_exc_r_d1 = lsu_i0_exc_r_d1; // @[dec_tlu_ctl.scala 951:39] - assign csr_io_exc_cause_wb = exc_cause_wb; // @[dec_tlu_ctl.scala 952:39] - assign csr_io_nmi_lsu_store_type = _T_58 | _T_60; // @[dec_tlu_ctl.scala 953:39] - assign csr_io_nmi_lsu_load_type = _T_50 | _T_52; // @[dec_tlu_ctl.scala 954:39] - assign csr_io_tlu_i0_commit_cmt = _T_422 & _T_465; // @[dec_tlu_ctl.scala 955:39] - assign csr_io_ebreak_r = _T_469 & _T_470; // @[dec_tlu_ctl.scala 956:39] - assign csr_io_ecall_r = _T_475 & _T_470; // @[dec_tlu_ctl.scala 957:39] - assign csr_io_illegal_r = _T_481 & _T_470; // @[dec_tlu_ctl.scala 958:39] - assign csr_io_mdseac_locked_f = mdseac_locked_f; // @[dec_tlu_ctl.scala 959:39] - assign csr_io_nmi_int_detected_f = nmi_int_detected_f; // @[dec_tlu_ctl.scala 960:39] - assign csr_io_internal_dbg_halt_mode_f2 = internal_dbg_halt_mode_f2; // @[dec_tlu_ctl.scala 961:39] - assign csr_io_ext_int_freeze_d1 = ext_int_freeze_d1; // @[dec_tlu_ctl.scala 962:39] - assign csr_io_ic_perr_r_d1 = ic_perr_r_d1; // @[dec_tlu_ctl.scala 963:39] - assign csr_io_iccm_sbecc_r_d1 = iccm_sbecc_r_d1; // @[dec_tlu_ctl.scala 964:39] - assign csr_io_lsu_single_ecc_error_r_d1 = lsu_single_ecc_error_r_d1; // @[dec_tlu_ctl.scala 965:39] - assign csr_io_ifu_miss_state_idle_f = ifu_miss_state_idle_f; // @[dec_tlu_ctl.scala 966:39] - assign csr_io_lsu_idle_any_f = lsu_idle_any_f; // @[dec_tlu_ctl.scala 967:39] - assign csr_io_dbg_tlu_halted_f = dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 968:39] - assign csr_io_dbg_tlu_halted = _T_164 | _T_166; // @[dec_tlu_ctl.scala 969:39] - assign csr_io_debug_halt_req_f = debug_halt_req_f; // @[dec_tlu_ctl.scala 970:51] - assign csr_io_take_ext_int_start = ext_int_ready & _T_704; // @[dec_tlu_ctl.scala 971:47] - assign csr_io_trigger_hit_dmode_r_d1 = trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 972:43] - assign csr_io_trigger_hit_r_d1 = trigger_hit_r_d1; // @[dec_tlu_ctl.scala 973:43] - assign csr_io_dcsr_single_step_done_f = dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 974:43] - assign csr_io_ebreak_to_debug_mode_r_d1 = ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 975:39] - assign csr_io_debug_halt_req = _T_114 & _T_107; // @[dec_tlu_ctl.scala 976:51] - assign csr_io_allow_dbg_halt_csr_write = debug_mode_status & _T_77; // @[dec_tlu_ctl.scala 977:39] - assign csr_io_internal_dbg_halt_mode_f = debug_mode_status; // @[dec_tlu_ctl.scala 978:39] - assign csr_io_enter_debug_halt_req = _T_155 | ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 979:39] - assign csr_io_internal_dbg_halt_mode = debug_halt_req_ns | _T_160; // @[dec_tlu_ctl.scala 980:39] - assign csr_io_request_debug_mode_done = _T_183 & _T_136; // @[dec_tlu_ctl.scala 981:39] - assign csr_io_request_debug_mode_r = _T_180 | _T_182; // @[dec_tlu_ctl.scala 982:39] - assign csr_io_update_hit_bit_r = _T_342 & i0_trigger_chain_masked_r; // @[dec_tlu_ctl.scala 983:39] - assign csr_io_take_timer_int = _T_703 & _T_704; // @[dec_tlu_ctl.scala 984:39] - assign csr_io_take_int_timer0_int = _T_717 & _T_704; // @[dec_tlu_ctl.scala 985:39] - assign csr_io_take_int_timer1_int = _T_734 & _T_704; // @[dec_tlu_ctl.scala 986:39] - assign csr_io_take_ext_int = take_ext_int_start_d3 & _T_685; // @[dec_tlu_ctl.scala 987:39] - assign csr_io_tlu_flush_lower_r = _T_801 | take_ext_int_start; // @[dec_tlu_ctl.scala 988:39] - assign csr_io_dec_tlu_br0_error_r = _T_453 & _T_429; // @[dec_tlu_ctl.scala 989:39] - assign csr_io_dec_tlu_br0_start_error_r = _T_455 & _T_429; // @[dec_tlu_ctl.scala 990:39] - assign csr_io_lsu_pmu_load_external_r = lsu_pmu_load_external_r; // @[dec_tlu_ctl.scala 991:39] - assign csr_io_lsu_pmu_store_external_r = lsu_pmu_store_external_r; // @[dec_tlu_ctl.scala 992:39] - assign csr_io_csr_pkt_csr_misa = csr_read_io_csr_pkt_csr_misa; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mvendorid = csr_read_io_csr_pkt_csr_mvendorid; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_marchid = csr_read_io_csr_pkt_csr_marchid; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mimpid = csr_read_io_csr_pkt_csr_mimpid; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mhartid = csr_read_io_csr_pkt_csr_mhartid; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mstatus = csr_read_io_csr_pkt_csr_mstatus; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mtvec = csr_read_io_csr_pkt_csr_mtvec; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mip = csr_read_io_csr_pkt_csr_mip; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mie = csr_read_io_csr_pkt_csr_mie; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mcyclel = csr_read_io_csr_pkt_csr_mcyclel; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mcycleh = csr_read_io_csr_pkt_csr_mcycleh; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_minstretl = csr_read_io_csr_pkt_csr_minstretl; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_minstreth = csr_read_io_csr_pkt_csr_minstreth; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mscratch = csr_read_io_csr_pkt_csr_mscratch; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mepc = csr_read_io_csr_pkt_csr_mepc; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mcause = csr_read_io_csr_pkt_csr_mcause; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mscause = csr_read_io_csr_pkt_csr_mscause; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mtval = csr_read_io_csr_pkt_csr_mtval; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mrac = csr_read_io_csr_pkt_csr_mrac; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mdseac = csr_read_io_csr_pkt_csr_mdseac; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_meihap = csr_read_io_csr_pkt_csr_meihap; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_meivt = csr_read_io_csr_pkt_csr_meivt; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_meipt = csr_read_io_csr_pkt_csr_meipt; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_meicurpl = csr_read_io_csr_pkt_csr_meicurpl; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_meicidpl = csr_read_io_csr_pkt_csr_meicidpl; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_dcsr = csr_read_io_csr_pkt_csr_dcsr; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mcgc = csr_read_io_csr_pkt_csr_mcgc; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mfdc = csr_read_io_csr_pkt_csr_mfdc; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_dpc = csr_read_io_csr_pkt_csr_dpc; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mtsel = csr_read_io_csr_pkt_csr_mtsel; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mtdata1 = csr_read_io_csr_pkt_csr_mtdata1; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mtdata2 = csr_read_io_csr_pkt_csr_mtdata2; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mhpmc3 = csr_read_io_csr_pkt_csr_mhpmc3; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mhpmc4 = csr_read_io_csr_pkt_csr_mhpmc4; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mhpmc5 = csr_read_io_csr_pkt_csr_mhpmc5; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mhpmc6 = csr_read_io_csr_pkt_csr_mhpmc6; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mhpmc3h = csr_read_io_csr_pkt_csr_mhpmc3h; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mhpmc4h = csr_read_io_csr_pkt_csr_mhpmc4h; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mhpmc5h = csr_read_io_csr_pkt_csr_mhpmc5h; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mhpmc6h = csr_read_io_csr_pkt_csr_mhpmc6h; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mhpme3 = csr_read_io_csr_pkt_csr_mhpme3; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mhpme4 = csr_read_io_csr_pkt_csr_mhpme4; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mhpme5 = csr_read_io_csr_pkt_csr_mhpme5; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mhpme6 = csr_read_io_csr_pkt_csr_mhpme6; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mcountinhibit = csr_read_io_csr_pkt_csr_mcountinhibit; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mpmc = csr_read_io_csr_pkt_csr_mpmc; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_micect = csr_read_io_csr_pkt_csr_micect; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_miccmect = csr_read_io_csr_pkt_csr_miccmect; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mdccmect = csr_read_io_csr_pkt_csr_mdccmect; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mfdht = csr_read_io_csr_pkt_csr_mfdht; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_mfdhs = csr_read_io_csr_pkt_csr_mfdhs; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_dicawics = csr_read_io_csr_pkt_csr_dicawics; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_dicad0h = csr_read_io_csr_pkt_csr_dicad0h; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_dicad0 = csr_read_io_csr_pkt_csr_dicad0; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_dicad1 = csr_read_io_csr_pkt_csr_dicad1; // @[dec_tlu_ctl.scala 993:39] - assign csr_read_io_dec_csr_rdaddr_d = io_dec_csr_rdaddr_d; // @[dec_tlu_ctl.scala 1011:37] + assign csr_io_free_clk = io_free_clk; // @[dec_tlu_ctl.scala 814:44] + assign csr_io_active_clk = io_active_clk; // @[dec_tlu_ctl.scala 815:44] + assign csr_io_scan_mode = io_scan_mode; // @[dec_tlu_ctl.scala 816:44] + assign csr_io_dec_csr_wrdata_r = io_dec_csr_wrdata_r; // @[dec_tlu_ctl.scala 817:44] + assign csr_io_dec_csr_wraddr_r = io_dec_csr_wraddr_r; // @[dec_tlu_ctl.scala 818:44] + assign csr_io_dec_csr_rdaddr_d = io_dec_csr_rdaddr_d; // @[dec_tlu_ctl.scala 819:44] + assign csr_io_dec_csr_wen_unq_d = io_dec_csr_wen_unq_d; // @[dec_tlu_ctl.scala 820:44] + assign csr_io_dec_i0_decode_d = io_dec_i0_decode_d; // @[dec_tlu_ctl.scala 821:44] + assign csr_io_ifu_ic_debug_rd_data_valid = io_tlu_mem_ifu_ic_debug_rd_data_valid; // @[dec_tlu_ctl.scala 822:44] + assign csr_io_ifu_pmu_bus_trxn = io_tlu_mem_ifu_pmu_bus_trxn; // @[dec_tlu_ctl.scala 823:44] + assign csr_io_dma_iccm_stall_any = io_tlu_dma_dma_iccm_stall_any; // @[dec_tlu_ctl.scala 824:44] + assign csr_io_dma_dccm_stall_any = io_tlu_dma_dma_dccm_stall_any; // @[dec_tlu_ctl.scala 825:44] + assign csr_io_lsu_store_stall_any = io_lsu_store_stall_any; // @[dec_tlu_ctl.scala 826:44] + assign csr_io_dec_pmu_presync_stall = io_dec_pmu_presync_stall; // @[dec_tlu_ctl.scala 827:44] + assign csr_io_dec_pmu_postsync_stall = io_dec_pmu_postsync_stall; // @[dec_tlu_ctl.scala 828:44] + assign csr_io_dec_pmu_decode_stall = io_dec_pmu_decode_stall; // @[dec_tlu_ctl.scala 829:44] + assign csr_io_ifu_pmu_fetch_stall = io_tlu_ifc_ifu_pmu_fetch_stall; // @[dec_tlu_ctl.scala 830:44] + assign csr_io_dec_tlu_packet_r_icaf_type = io_dec_tlu_packet_r_icaf_type; // @[dec_tlu_ctl.scala 831:44] + assign csr_io_dec_tlu_packet_r_pmu_i0_itype = io_dec_tlu_packet_r_pmu_i0_itype; // @[dec_tlu_ctl.scala 831:44] + assign csr_io_dec_tlu_packet_r_pmu_i0_br_unpred = io_dec_tlu_packet_r_pmu_i0_br_unpred; // @[dec_tlu_ctl.scala 831:44] + assign csr_io_dec_tlu_packet_r_pmu_divide = io_dec_tlu_packet_r_pmu_divide; // @[dec_tlu_ctl.scala 831:44] + assign csr_io_dec_tlu_packet_r_pmu_lsu_misaligned = io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[dec_tlu_ctl.scala 831:44] + assign csr_io_exu_pmu_i0_br_ataken = io_tlu_exu_exu_pmu_i0_br_ataken; // @[dec_tlu_ctl.scala 832:44] + assign csr_io_exu_pmu_i0_br_misp = io_tlu_exu_exu_pmu_i0_br_misp; // @[dec_tlu_ctl.scala 833:44] + assign csr_io_dec_pmu_instr_decoded = io_dec_pmu_instr_decoded; // @[dec_tlu_ctl.scala 834:44] + assign csr_io_ifu_pmu_instr_aligned = io_ifu_pmu_instr_aligned; // @[dec_tlu_ctl.scala 835:44] + assign csr_io_exu_pmu_i0_pc4 = io_tlu_exu_exu_pmu_i0_pc4; // @[dec_tlu_ctl.scala 836:44] + assign csr_io_ifu_pmu_ic_miss = io_tlu_mem_ifu_pmu_ic_miss; // @[dec_tlu_ctl.scala 837:44] + assign csr_io_ifu_pmu_ic_hit = io_tlu_mem_ifu_pmu_ic_hit; // @[dec_tlu_ctl.scala 838:44] + assign csr_io_dec_csr_wen_r = io_dec_csr_wen_r; // @[dec_tlu_ctl.scala 839:44] + assign csr_io_dec_tlu_dbg_halted = io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 840:44] + assign csr_io_dma_pmu_dccm_write = io_tlu_dma_dma_pmu_dccm_write; // @[dec_tlu_ctl.scala 841:44] + assign csr_io_dma_pmu_dccm_read = io_tlu_dma_dma_pmu_dccm_read; // @[dec_tlu_ctl.scala 842:44] + assign csr_io_dma_pmu_any_write = io_tlu_dma_dma_pmu_any_write; // @[dec_tlu_ctl.scala 843:44] + assign csr_io_dma_pmu_any_read = io_tlu_dma_dma_pmu_any_read; // @[dec_tlu_ctl.scala 844:44] + assign csr_io_lsu_pmu_bus_busy = io_tlu_busbuff_lsu_pmu_bus_busy; // @[dec_tlu_ctl.scala 845:44] + assign csr_io_dec_tlu_i0_pc_r = io_dec_tlu_i0_pc_r; // @[dec_tlu_ctl.scala 846:44] + assign csr_io_dec_tlu_i0_valid_r = io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 847:44] + assign csr_io_dec_csr_any_unq_d = io_dec_csr_any_unq_d; // @[dec_tlu_ctl.scala 849:44] + assign csr_io_ifu_pmu_bus_busy = io_tlu_mem_ifu_pmu_bus_busy; // @[dec_tlu_ctl.scala 850:44] + assign csr_io_lsu_pmu_bus_error = io_tlu_busbuff_lsu_pmu_bus_error; // @[dec_tlu_ctl.scala 851:44] + assign csr_io_ifu_pmu_bus_error = io_tlu_mem_ifu_pmu_bus_error; // @[dec_tlu_ctl.scala 852:44] + assign csr_io_lsu_pmu_bus_misaligned = io_tlu_busbuff_lsu_pmu_bus_misaligned; // @[dec_tlu_ctl.scala 853:44] + assign csr_io_lsu_pmu_bus_trxn = io_tlu_busbuff_lsu_pmu_bus_trxn; // @[dec_tlu_ctl.scala 854:44] + assign csr_io_ifu_ic_debug_rd_data = io_tlu_mem_ifu_ic_debug_rd_data; // @[dec_tlu_ctl.scala 855:44] + assign csr_io_pic_pl = io_dec_pic_pic_pl; // @[dec_tlu_ctl.scala 856:44] + assign csr_io_pic_claimid = io_dec_pic_pic_claimid; // @[dec_tlu_ctl.scala 857:44] + assign csr_io_iccm_dma_sb_error = io_iccm_dma_sb_error; // @[dec_tlu_ctl.scala 858:44] + assign csr_io_lsu_imprecise_error_addr_any = io_tlu_busbuff_lsu_imprecise_error_addr_any; // @[dec_tlu_ctl.scala 859:44] + assign csr_io_lsu_imprecise_error_load_any = io_tlu_busbuff_lsu_imprecise_error_load_any; // @[dec_tlu_ctl.scala 860:44] + assign csr_io_lsu_imprecise_error_store_any = io_tlu_busbuff_lsu_imprecise_error_store_any; // @[dec_tlu_ctl.scala 861:44] + assign csr_io_dec_illegal_inst = io_dec_illegal_inst; // @[dec_tlu_ctl.scala 862:44 dec_tlu_ctl.scala 903:44] + assign csr_io_lsu_error_pkt_r_bits_mscause = io_lsu_error_pkt_r_bits_mscause; // @[dec_tlu_ctl.scala 863:44 dec_tlu_ctl.scala 904:44] + assign csr_io_mexintpend = io_dec_pic_mexintpend; // @[dec_tlu_ctl.scala 864:44 dec_tlu_ctl.scala 905:44] + assign csr_io_exu_npc_r = io_tlu_exu_exu_npc_r; // @[dec_tlu_ctl.scala 865:44 dec_tlu_ctl.scala 906:44] + assign csr_io_mpc_reset_run_req = io_mpc_reset_run_req; // @[dec_tlu_ctl.scala 866:44 dec_tlu_ctl.scala 907:44] + assign csr_io_rst_vec = io_rst_vec; // @[dec_tlu_ctl.scala 867:44 dec_tlu_ctl.scala 908:44] + assign csr_io_core_id = io_core_id; // @[dec_tlu_ctl.scala 868:44 dec_tlu_ctl.scala 909:44] + assign csr_io_dec_timer_rddata_d = int_timers_io_dec_timer_rddata_d; // @[dec_tlu_ctl.scala 869:44 dec_tlu_ctl.scala 910:44] + assign csr_io_dec_timer_read_d = int_timers_io_dec_timer_read_d; // @[dec_tlu_ctl.scala 870:44 dec_tlu_ctl.scala 911:44] + assign csr_io_rfpc_i0_r = _T_438 & _T_439; // @[dec_tlu_ctl.scala 914:39] + assign csr_io_i0_trigger_hit_r = |i0_trigger_chain_masked_r; // @[dec_tlu_ctl.scala 915:39] + assign csr_io_exc_or_int_valid_r = _T_855 | mepc_trigger_hit_sel_pc_r; // @[dec_tlu_ctl.scala 916:39] + assign csr_io_mret_r = _T_487 & _T_470; // @[dec_tlu_ctl.scala 917:39] + assign csr_io_dcsr_single_step_running_f = dcsr_single_step_running_f; // @[dec_tlu_ctl.scala 918:39] + assign csr_io_dec_timer_t0_pulse = int_timers_io_dec_timer_t0_pulse; // @[dec_tlu_ctl.scala 919:39] + assign csr_io_dec_timer_t1_pulse = int_timers_io_dec_timer_t1_pulse; // @[dec_tlu_ctl.scala 920:39] + assign csr_io_timer_int_sync = syncro_ff[5]; // @[dec_tlu_ctl.scala 921:39] + assign csr_io_soft_int_sync = syncro_ff[4]; // @[dec_tlu_ctl.scala 922:39] + assign csr_io_csr_wr_clk = rvclkhdr_io_l1clk; // @[dec_tlu_ctl.scala 923:39] + assign csr_io_ebreak_to_debug_mode_r = _T_519 & _T_470; // @[dec_tlu_ctl.scala 924:39] + assign csr_io_dec_tlu_pmu_fw_halted = pmu_fw_tlu_halted_f; // @[dec_tlu_ctl.scala 925:39] + assign csr_io_lsu_fir_error = io_lsu_fir_error; // @[dec_tlu_ctl.scala 926:39] + assign csr_io_tlu_flush_lower_r_d1 = tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 927:39] + assign csr_io_dec_tlu_flush_noredir_r_d1 = dec_tlu_flush_noredir_r_d1; // @[dec_tlu_ctl.scala 928:39] + assign csr_io_tlu_flush_path_r_d1 = tlu_flush_path_r_d1; // @[dec_tlu_ctl.scala 929:39] + assign csr_io_reset_delayed = reset_detect ^ reset_detected; // @[dec_tlu_ctl.scala 930:39] + assign csr_io_interrupt_valid_r = _T_766 | take_int_timer1_int; // @[dec_tlu_ctl.scala 931:39] + assign csr_io_i0_exception_valid_r = _T_527 & _T_528; // @[dec_tlu_ctl.scala 932:39] + assign csr_io_lsu_exc_valid_r = _T_405 & _T_470; // @[dec_tlu_ctl.scala 933:39] + assign csr_io_mepc_trigger_hit_sel_pc_r = i0_trigger_hit_raw_r & _T_345; // @[dec_tlu_ctl.scala 934:39] + assign csr_io_e4e5_int_clk = rvclkhdr_3_io_l1clk; // @[dec_tlu_ctl.scala 935:39] + assign csr_io_lsu_i0_exc_r = _T_405 & _T_470; // @[dec_tlu_ctl.scala 936:39] + assign csr_io_inst_acc_r = _T_511 & _T_465; // @[dec_tlu_ctl.scala 937:39] + assign csr_io_inst_acc_second_r = io_dec_tlu_packet_r_icaf_f1; // @[dec_tlu_ctl.scala 938:39] + assign csr_io_take_nmi = _T_756 & _T_760; // @[dec_tlu_ctl.scala 939:39] + assign csr_io_lsu_error_pkt_addr_r = io_lsu_error_pkt_r_bits_addr; // @[dec_tlu_ctl.scala 940:39] + assign csr_io_exc_cause_r = _T_603 | _T_591; // @[dec_tlu_ctl.scala 941:39] + assign csr_io_i0_valid_wb = i0_valid_wb; // @[dec_tlu_ctl.scala 942:39] + assign csr_io_exc_or_int_valid_r_d1 = exc_or_int_valid_r_d1; // @[dec_tlu_ctl.scala 943:39] + assign csr_io_interrupt_valid_r_d1 = interrupt_valid_r_d1; // @[dec_tlu_ctl.scala 944:39] + assign csr_io_clk_override = io_dec_tlu_dec_clk_override; // @[dec_tlu_ctl.scala 945:39] + assign csr_io_i0_exception_valid_r_d1 = i0_exception_valid_r_d1; // @[dec_tlu_ctl.scala 946:39] + assign csr_io_lsu_i0_exc_r_d1 = lsu_i0_exc_r_d1; // @[dec_tlu_ctl.scala 947:39] + assign csr_io_exc_cause_wb = exc_cause_wb; // @[dec_tlu_ctl.scala 948:39] + assign csr_io_nmi_lsu_store_type = _T_58 | _T_60; // @[dec_tlu_ctl.scala 949:39] + assign csr_io_nmi_lsu_load_type = _T_50 | _T_52; // @[dec_tlu_ctl.scala 950:39] + assign csr_io_tlu_i0_commit_cmt = _T_422 & _T_465; // @[dec_tlu_ctl.scala 951:39] + assign csr_io_ebreak_r = _T_469 & _T_470; // @[dec_tlu_ctl.scala 952:39] + assign csr_io_ecall_r = _T_475 & _T_470; // @[dec_tlu_ctl.scala 953:39] + assign csr_io_illegal_r = _T_481 & _T_470; // @[dec_tlu_ctl.scala 954:39] + assign csr_io_mdseac_locked_f = mdseac_locked_f; // @[dec_tlu_ctl.scala 955:39] + assign csr_io_nmi_int_detected_f = nmi_int_detected_f; // @[dec_tlu_ctl.scala 956:39] + assign csr_io_internal_dbg_halt_mode_f2 = internal_dbg_halt_mode_f2; // @[dec_tlu_ctl.scala 957:39] + assign csr_io_ext_int_freeze_d1 = ext_int_freeze_d1; // @[dec_tlu_ctl.scala 958:39] + assign csr_io_ic_perr_r_d1 = ic_perr_r_d1; // @[dec_tlu_ctl.scala 959:39] + assign csr_io_iccm_sbecc_r_d1 = iccm_sbecc_r_d1; // @[dec_tlu_ctl.scala 960:39] + assign csr_io_lsu_single_ecc_error_r_d1 = lsu_single_ecc_error_r_d1; // @[dec_tlu_ctl.scala 961:39] + assign csr_io_ifu_miss_state_idle_f = ifu_miss_state_idle_f; // @[dec_tlu_ctl.scala 962:39] + assign csr_io_lsu_idle_any_f = lsu_idle_any_f; // @[dec_tlu_ctl.scala 963:39] + assign csr_io_dbg_tlu_halted_f = dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 964:39] + assign csr_io_dbg_tlu_halted = _T_164 | _T_166; // @[dec_tlu_ctl.scala 965:39] + assign csr_io_debug_halt_req_f = debug_halt_req_f; // @[dec_tlu_ctl.scala 966:65] + assign csr_io_take_ext_int_start = ext_int_ready & _T_704; // @[dec_tlu_ctl.scala 967:49] + assign csr_io_trigger_hit_dmode_r_d1 = trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 968:49] + assign csr_io_trigger_hit_r_d1 = trigger_hit_r_d1; // @[dec_tlu_ctl.scala 969:49] + assign csr_io_dcsr_single_step_done_f = dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 970:49] + assign csr_io_ebreak_to_debug_mode_r_d1 = ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 971:39] + assign csr_io_debug_halt_req = _T_114 & _T_107; // @[dec_tlu_ctl.scala 972:73] + assign csr_io_allow_dbg_halt_csr_write = debug_mode_status & _T_77; // @[dec_tlu_ctl.scala 973:39] + assign csr_io_internal_dbg_halt_mode_f = debug_mode_status; // @[dec_tlu_ctl.scala 974:39] + assign csr_io_enter_debug_halt_req = _T_155 | ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 975:39] + assign csr_io_internal_dbg_halt_mode = debug_halt_req_ns | _T_160; // @[dec_tlu_ctl.scala 976:39] + assign csr_io_request_debug_mode_done = _T_183 & _T_136; // @[dec_tlu_ctl.scala 977:39] + assign csr_io_request_debug_mode_r = _T_180 | _T_182; // @[dec_tlu_ctl.scala 978:39] + assign csr_io_update_hit_bit_r = _T_342 & i0_trigger_chain_masked_r; // @[dec_tlu_ctl.scala 979:39] + assign csr_io_take_timer_int = _T_703 & _T_704; // @[dec_tlu_ctl.scala 980:39] + assign csr_io_take_int_timer0_int = _T_717 & _T_704; // @[dec_tlu_ctl.scala 981:39] + assign csr_io_take_int_timer1_int = _T_734 & _T_704; // @[dec_tlu_ctl.scala 982:39] + assign csr_io_take_ext_int = take_ext_int_start_d3 & _T_685; // @[dec_tlu_ctl.scala 983:39] + assign csr_io_tlu_flush_lower_r = _T_801 | take_ext_int_start; // @[dec_tlu_ctl.scala 984:39] + assign csr_io_dec_tlu_br0_error_r = _T_453 & _T_429; // @[dec_tlu_ctl.scala 985:39] + assign csr_io_dec_tlu_br0_start_error_r = _T_455 & _T_429; // @[dec_tlu_ctl.scala 986:39] + assign csr_io_lsu_pmu_load_external_r = lsu_pmu_load_external_r; // @[dec_tlu_ctl.scala 987:39] + assign csr_io_lsu_pmu_store_external_r = lsu_pmu_store_external_r; // @[dec_tlu_ctl.scala 988:39] + assign csr_io_csr_pkt_csr_misa = csr_read_io_csr_pkt_csr_misa; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_mvendorid = csr_read_io_csr_pkt_csr_mvendorid; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_marchid = csr_read_io_csr_pkt_csr_marchid; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_mimpid = csr_read_io_csr_pkt_csr_mimpid; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_mhartid = csr_read_io_csr_pkt_csr_mhartid; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_mstatus = csr_read_io_csr_pkt_csr_mstatus; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_mtvec = csr_read_io_csr_pkt_csr_mtvec; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_mip = csr_read_io_csr_pkt_csr_mip; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_mie = csr_read_io_csr_pkt_csr_mie; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_mcyclel = csr_read_io_csr_pkt_csr_mcyclel; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_mcycleh = csr_read_io_csr_pkt_csr_mcycleh; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_minstretl = csr_read_io_csr_pkt_csr_minstretl; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_minstreth = csr_read_io_csr_pkt_csr_minstreth; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_mscratch = csr_read_io_csr_pkt_csr_mscratch; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_mepc = csr_read_io_csr_pkt_csr_mepc; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_mcause = csr_read_io_csr_pkt_csr_mcause; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_mscause = csr_read_io_csr_pkt_csr_mscause; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_mtval = csr_read_io_csr_pkt_csr_mtval; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_mrac = csr_read_io_csr_pkt_csr_mrac; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_mdseac = csr_read_io_csr_pkt_csr_mdseac; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_meihap = csr_read_io_csr_pkt_csr_meihap; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_meivt = csr_read_io_csr_pkt_csr_meivt; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_meipt = csr_read_io_csr_pkt_csr_meipt; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_meicurpl = csr_read_io_csr_pkt_csr_meicurpl; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_meicidpl = csr_read_io_csr_pkt_csr_meicidpl; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_dcsr = csr_read_io_csr_pkt_csr_dcsr; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_mcgc = csr_read_io_csr_pkt_csr_mcgc; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_mfdc = csr_read_io_csr_pkt_csr_mfdc; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_dpc = csr_read_io_csr_pkt_csr_dpc; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_mtsel = csr_read_io_csr_pkt_csr_mtsel; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_mtdata1 = csr_read_io_csr_pkt_csr_mtdata1; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_mtdata2 = csr_read_io_csr_pkt_csr_mtdata2; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_mhpmc3 = csr_read_io_csr_pkt_csr_mhpmc3; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_mhpmc4 = csr_read_io_csr_pkt_csr_mhpmc4; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_mhpmc5 = csr_read_io_csr_pkt_csr_mhpmc5; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_mhpmc6 = csr_read_io_csr_pkt_csr_mhpmc6; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_mhpmc3h = csr_read_io_csr_pkt_csr_mhpmc3h; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_mhpmc4h = csr_read_io_csr_pkt_csr_mhpmc4h; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_mhpmc5h = csr_read_io_csr_pkt_csr_mhpmc5h; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_mhpmc6h = csr_read_io_csr_pkt_csr_mhpmc6h; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_mhpme3 = csr_read_io_csr_pkt_csr_mhpme3; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_mhpme4 = csr_read_io_csr_pkt_csr_mhpme4; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_mhpme5 = csr_read_io_csr_pkt_csr_mhpme5; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_mhpme6 = csr_read_io_csr_pkt_csr_mhpme6; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_mcountinhibit = csr_read_io_csr_pkt_csr_mcountinhibit; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_mpmc = csr_read_io_csr_pkt_csr_mpmc; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_micect = csr_read_io_csr_pkt_csr_micect; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_miccmect = csr_read_io_csr_pkt_csr_miccmect; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_mdccmect = csr_read_io_csr_pkt_csr_mdccmect; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_mfdht = csr_read_io_csr_pkt_csr_mfdht; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_mfdhs = csr_read_io_csr_pkt_csr_mfdhs; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_dicawics = csr_read_io_csr_pkt_csr_dicawics; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_dicad0h = csr_read_io_csr_pkt_csr_dicad0h; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_dicad0 = csr_read_io_csr_pkt_csr_dicad0; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_csr_pkt_csr_dicad1 = csr_read_io_csr_pkt_csr_dicad1; // @[dec_tlu_ctl.scala 989:39] + assign csr_read_io_dec_csr_rdaddr_d = io_dec_csr_rdaddr_d; // @[dec_tlu_ctl.scala 1007:37] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE `endif @@ -57900,6 +57930,7 @@ module dec( output [31:0] io_rv_trace_pkt_rv_i_tval_ip, output io_dec_tlu_misc_clk_override, output io_dec_tlu_lsu_clk_override, + output io_dec_tlu_bus_clk_override, output io_dec_tlu_pic_clk_override, output io_dec_tlu_dccm_clk_override, output io_dec_tlu_icm_clk_override, @@ -58037,7 +58068,6 @@ module dec( input io_lsu_dec_tlu_busbuff_lsu_pmu_bus_error, input io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy, output io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable, - output io_lsu_dec_tlu_busbuff_dec_tlu_wb_coalescing_disable, output io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable, input io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any, input io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any, @@ -58056,7 +58086,7 @@ module dec( input io_dec_dbg_dbg_ib_dbg_cmd_write, input [1:0] io_dec_dbg_dbg_ib_dbg_cmd_type, input [31:0] io_dec_dbg_dbg_ib_dbg_cmd_addr, - input [1:0] io_dec_dbg_dbg_dctl_dbg_cmd_wrdata, + input [31:0] io_dec_dbg_dbg_dctl_dbg_cmd_wrdata, input io_dec_dma_dctl_dma_dma_dccm_stall_any, input io_dec_dma_tlu_dma_dma_pmu_dccm_read, input io_dec_dma_tlu_dma_dma_pmu_dccm_write, @@ -58186,6 +58216,9 @@ module dec( wire [1:0] decode_io_dctl_busbuff_lsu_nonblock_load_data_tag; // @[dec.scala 118:22] wire [31:0] decode_io_dctl_busbuff_lsu_nonblock_load_data; // @[dec.scala 118:22] wire decode_io_dctl_dma_dma_dccm_stall_any; // @[dec.scala 118:22] + wire decode_io_dec_aln_dec_i0_decode_d; // @[dec.scala 118:22] + wire [15:0] decode_io_dec_aln_ifu_i0_cinst; // @[dec.scala 118:22] + wire [31:0] decode_io_dbg_dctl_dbg_cmd_wrdata; // @[dec.scala 118:22] wire decode_io_dec_tlu_flush_extint; // @[dec.scala 118:22] wire decode_io_dec_tlu_force_halt; // @[dec.scala 118:22] wire [31:0] decode_io_dec_i0_inst_wb1; // @[dec.scala 118:22] @@ -58283,9 +58316,6 @@ module dec( wire decode_io_dec_pause_state_cg; // @[dec.scala 118:22] wire decode_io_dec_div_active; // @[dec.scala 118:22] wire decode_io_scan_mode; // @[dec.scala 118:22] - wire decode_io_dec_aln_dec_i0_decode_d; // @[dec.scala 118:22] - wire [15:0] decode_io_dec_aln_ifu_i0_cinst; // @[dec.scala 118:22] - wire [1:0] decode_io_dbg_dctl_dbg_cmd_wrdata; // @[dec.scala 118:22] wire gpr_clock; // @[dec.scala 119:19] wire gpr_reset; // @[dec.scala 119:19] wire [4:0] gpr_io_raddr0; // @[dec.scala 119:19] @@ -58445,9 +58475,11 @@ module dec( wire tlu_io_dec_tlu_misc_clk_override; // @[dec.scala 120:19] wire tlu_io_dec_tlu_dec_clk_override; // @[dec.scala 120:19] wire tlu_io_dec_tlu_lsu_clk_override; // @[dec.scala 120:19] + wire tlu_io_dec_tlu_bus_clk_override; // @[dec.scala 120:19] wire tlu_io_dec_tlu_pic_clk_override; // @[dec.scala 120:19] wire tlu_io_dec_tlu_dccm_clk_override; // @[dec.scala 120:19] wire tlu_io_dec_tlu_icm_clk_override; // @[dec.scala 120:19] + wire tlu_io_dec_tlu_flush_lower_wb; // @[dec.scala 120:19] wire tlu_io_ifu_pmu_instr_aligned; // @[dec.scala 120:19] wire tlu_io_tlu_bp_dec_tlu_br0_r_pkt_valid; // @[dec.scala 120:19] wire [1:0] tlu_io_tlu_bp_dec_tlu_br0_r_pkt_bits_hist; // @[dec.scala 120:19] @@ -58455,7 +58487,6 @@ module dec( wire tlu_io_tlu_bp_dec_tlu_br0_r_pkt_bits_br_start_error; // @[dec.scala 120:19] wire tlu_io_tlu_bp_dec_tlu_br0_r_pkt_bits_way; // @[dec.scala 120:19] wire tlu_io_tlu_bp_dec_tlu_br0_r_pkt_bits_middle; // @[dec.scala 120:19] - wire tlu_io_tlu_bp_dec_tlu_flush_lower_wb; // @[dec.scala 120:19] wire tlu_io_tlu_bp_dec_tlu_flush_leak_one_wb; // @[dec.scala 120:19] wire tlu_io_tlu_bp_dec_tlu_bpred_disable; // @[dec.scala 120:19] wire tlu_io_tlu_ifc_dec_tlu_flush_noredir_wb; // @[dec.scala 120:19] @@ -58485,7 +58516,6 @@ module dec( wire tlu_io_tlu_busbuff_lsu_pmu_bus_error; // @[dec.scala 120:19] wire tlu_io_tlu_busbuff_lsu_pmu_bus_busy; // @[dec.scala 120:19] wire tlu_io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[dec.scala 120:19] - wire tlu_io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[dec.scala 120:19] wire tlu_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[dec.scala 120:19] wire tlu_io_tlu_busbuff_lsu_imprecise_error_load_any; // @[dec.scala 120:19] wire tlu_io_tlu_busbuff_lsu_imprecise_error_store_any; // @[dec.scala 120:19] @@ -58520,7 +58550,7 @@ module dec( wire [31:0] dec_trigger_io_trigger_pkt_any_3_tdata2; // @[dec.scala 121:27] wire [30:0] dec_trigger_io_dec_i0_pc_d; // @[dec.scala 121:27] wire [3:0] dec_trigger_io_dec_i0_trigger_match_d; // @[dec.scala 121:27] - wire _T_1 = tlu_io_dec_tlu_i0_valid_wb1 | tlu_io_dec_tlu_i0_exc_valid_wb1; // @[dec.scala 296:98] + wire _T_1 = tlu_io_dec_tlu_i0_valid_wb1 | tlu_io_dec_tlu_i0_exc_valid_wb1; // @[dec.scala 290:98] dec_ib_ctl instbuff ( // @[dec.scala 117:24] .io_ifu_ib_ifu_i0_icaf(instbuff_io_ifu_ib_ifu_i0_icaf), .io_ifu_ib_ifu_i0_icaf_type(instbuff_io_ifu_ib_ifu_i0_icaf_type), @@ -58638,6 +58668,9 @@ module dec( .io_dctl_busbuff_lsu_nonblock_load_data_tag(decode_io_dctl_busbuff_lsu_nonblock_load_data_tag), .io_dctl_busbuff_lsu_nonblock_load_data(decode_io_dctl_busbuff_lsu_nonblock_load_data), .io_dctl_dma_dma_dccm_stall_any(decode_io_dctl_dma_dma_dccm_stall_any), + .io_dec_aln_dec_i0_decode_d(decode_io_dec_aln_dec_i0_decode_d), + .io_dec_aln_ifu_i0_cinst(decode_io_dec_aln_ifu_i0_cinst), + .io_dbg_dctl_dbg_cmd_wrdata(decode_io_dbg_dctl_dbg_cmd_wrdata), .io_dec_tlu_flush_extint(decode_io_dec_tlu_flush_extint), .io_dec_tlu_force_halt(decode_io_dec_tlu_force_halt), .io_dec_i0_inst_wb1(decode_io_dec_i0_inst_wb1), @@ -58734,10 +58767,7 @@ module dec( .io_dec_pause_state(decode_io_dec_pause_state), .io_dec_pause_state_cg(decode_io_dec_pause_state_cg), .io_dec_div_active(decode_io_dec_div_active), - .io_scan_mode(decode_io_scan_mode), - .io_dec_aln_dec_i0_decode_d(decode_io_dec_aln_dec_i0_decode_d), - .io_dec_aln_ifu_i0_cinst(decode_io_dec_aln_ifu_i0_cinst), - .io_dbg_dctl_dbg_cmd_wrdata(decode_io_dbg_dctl_dbg_cmd_wrdata) + .io_scan_mode(decode_io_scan_mode) ); dec_gpr_ctl gpr ( // @[dec.scala 119:19] .clock(gpr_clock), @@ -58901,9 +58931,11 @@ module dec( .io_dec_tlu_misc_clk_override(tlu_io_dec_tlu_misc_clk_override), .io_dec_tlu_dec_clk_override(tlu_io_dec_tlu_dec_clk_override), .io_dec_tlu_lsu_clk_override(tlu_io_dec_tlu_lsu_clk_override), + .io_dec_tlu_bus_clk_override(tlu_io_dec_tlu_bus_clk_override), .io_dec_tlu_pic_clk_override(tlu_io_dec_tlu_pic_clk_override), .io_dec_tlu_dccm_clk_override(tlu_io_dec_tlu_dccm_clk_override), .io_dec_tlu_icm_clk_override(tlu_io_dec_tlu_icm_clk_override), + .io_dec_tlu_flush_lower_wb(tlu_io_dec_tlu_flush_lower_wb), .io_ifu_pmu_instr_aligned(tlu_io_ifu_pmu_instr_aligned), .io_tlu_bp_dec_tlu_br0_r_pkt_valid(tlu_io_tlu_bp_dec_tlu_br0_r_pkt_valid), .io_tlu_bp_dec_tlu_br0_r_pkt_bits_hist(tlu_io_tlu_bp_dec_tlu_br0_r_pkt_bits_hist), @@ -58911,7 +58943,6 @@ module dec( .io_tlu_bp_dec_tlu_br0_r_pkt_bits_br_start_error(tlu_io_tlu_bp_dec_tlu_br0_r_pkt_bits_br_start_error), .io_tlu_bp_dec_tlu_br0_r_pkt_bits_way(tlu_io_tlu_bp_dec_tlu_br0_r_pkt_bits_way), .io_tlu_bp_dec_tlu_br0_r_pkt_bits_middle(tlu_io_tlu_bp_dec_tlu_br0_r_pkt_bits_middle), - .io_tlu_bp_dec_tlu_flush_lower_wb(tlu_io_tlu_bp_dec_tlu_flush_lower_wb), .io_tlu_bp_dec_tlu_flush_leak_one_wb(tlu_io_tlu_bp_dec_tlu_flush_leak_one_wb), .io_tlu_bp_dec_tlu_bpred_disable(tlu_io_tlu_bp_dec_tlu_bpred_disable), .io_tlu_ifc_dec_tlu_flush_noredir_wb(tlu_io_tlu_ifc_dec_tlu_flush_noredir_wb), @@ -58941,7 +58972,6 @@ module dec( .io_tlu_busbuff_lsu_pmu_bus_error(tlu_io_tlu_busbuff_lsu_pmu_bus_error), .io_tlu_busbuff_lsu_pmu_bus_busy(tlu_io_tlu_busbuff_lsu_pmu_bus_busy), .io_tlu_busbuff_dec_tlu_external_ldfwd_disable(tlu_io_tlu_busbuff_dec_tlu_external_ldfwd_disable), - .io_tlu_busbuff_dec_tlu_wb_coalescing_disable(tlu_io_tlu_busbuff_dec_tlu_wb_coalescing_disable), .io_tlu_busbuff_dec_tlu_sideeffect_posted_disable(tlu_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable), .io_tlu_busbuff_lsu_imprecise_error_load_any(tlu_io_tlu_busbuff_lsu_imprecise_error_load_any), .io_tlu_busbuff_lsu_imprecise_error_store_any(tlu_io_tlu_busbuff_lsu_imprecise_error_store_any), @@ -58980,40 +59010,40 @@ module dec( .io_dec_i0_trigger_match_d(dec_trigger_io_dec_i0_trigger_match_d) ); assign io_dec_pause_state_cg = decode_io_dec_pause_state_cg; // @[dec.scala 188:40] - assign io_o_cpu_halt_status = tlu_io_o_cpu_halt_status; // @[dec.scala 265:29] - assign io_o_cpu_halt_ack = tlu_io_o_cpu_halt_ack; // @[dec.scala 266:29] - assign io_o_cpu_run_ack = tlu_io_o_cpu_run_ack; // @[dec.scala 267:29] - assign io_o_debug_mode_status = tlu_io_o_debug_mode_status; // @[dec.scala 268:29] - assign io_mpc_debug_halt_ack = tlu_io_mpc_debug_halt_ack; // @[dec.scala 269:29] - assign io_mpc_debug_run_ack = tlu_io_mpc_debug_run_ack; // @[dec.scala 270:29] - assign io_debug_brkpt_status = tlu_io_debug_brkpt_status; // @[dec.scala 271:29] - assign io_dec_tlu_dbg_halted = tlu_io_dec_tlu_dbg_halted; // @[dec.scala 260:28] - assign io_dec_tlu_debug_mode = tlu_io_dec_tlu_debug_mode; // @[dec.scala 261:28] - assign io_dec_tlu_resume_ack = tlu_io_dec_tlu_resume_ack; // @[dec.scala 262:28] - assign io_dec_tlu_mpc_halted_only = tlu_io_dec_tlu_mpc_halted_only; // @[dec.scala 263:51] - assign io_dec_dbg_rddata = decode_io_dec_i0_wdata_r; // @[dec.scala 304:21] - assign io_dec_dbg_cmd_done = tlu_io_dec_dbg_cmd_done; // @[dec.scala 258:28] - assign io_dec_dbg_cmd_fail = tlu_io_dec_dbg_cmd_fail; // @[dec.scala 259:28] - assign io_trigger_pkt_any_0_select = tlu_io_trigger_pkt_any_0_select; // @[dec.scala 264:29] - assign io_trigger_pkt_any_0_match_pkt = tlu_io_trigger_pkt_any_0_match_pkt; // @[dec.scala 264:29] - assign io_trigger_pkt_any_0_store = tlu_io_trigger_pkt_any_0_store; // @[dec.scala 264:29] - assign io_trigger_pkt_any_0_load = tlu_io_trigger_pkt_any_0_load; // @[dec.scala 264:29] - assign io_trigger_pkt_any_0_tdata2 = tlu_io_trigger_pkt_any_0_tdata2; // @[dec.scala 264:29] - assign io_trigger_pkt_any_1_select = tlu_io_trigger_pkt_any_1_select; // @[dec.scala 264:29] - assign io_trigger_pkt_any_1_match_pkt = tlu_io_trigger_pkt_any_1_match_pkt; // @[dec.scala 264:29] - assign io_trigger_pkt_any_1_store = tlu_io_trigger_pkt_any_1_store; // @[dec.scala 264:29] - assign io_trigger_pkt_any_1_load = tlu_io_trigger_pkt_any_1_load; // @[dec.scala 264:29] - assign io_trigger_pkt_any_1_tdata2 = tlu_io_trigger_pkt_any_1_tdata2; // @[dec.scala 264:29] - assign io_trigger_pkt_any_2_select = tlu_io_trigger_pkt_any_2_select; // @[dec.scala 264:29] - assign io_trigger_pkt_any_2_match_pkt = tlu_io_trigger_pkt_any_2_match_pkt; // @[dec.scala 264:29] - assign io_trigger_pkt_any_2_store = tlu_io_trigger_pkt_any_2_store; // @[dec.scala 264:29] - assign io_trigger_pkt_any_2_load = tlu_io_trigger_pkt_any_2_load; // @[dec.scala 264:29] - assign io_trigger_pkt_any_2_tdata2 = tlu_io_trigger_pkt_any_2_tdata2; // @[dec.scala 264:29] - assign io_trigger_pkt_any_3_select = tlu_io_trigger_pkt_any_3_select; // @[dec.scala 264:29] - assign io_trigger_pkt_any_3_match_pkt = tlu_io_trigger_pkt_any_3_match_pkt; // @[dec.scala 264:29] - assign io_trigger_pkt_any_3_store = tlu_io_trigger_pkt_any_3_store; // @[dec.scala 264:29] - assign io_trigger_pkt_any_3_load = tlu_io_trigger_pkt_any_3_load; // @[dec.scala 264:29] - assign io_trigger_pkt_any_3_tdata2 = tlu_io_trigger_pkt_any_3_tdata2; // @[dec.scala 264:29] + assign io_o_cpu_halt_status = tlu_io_o_cpu_halt_status; // @[dec.scala 261:29] + assign io_o_cpu_halt_ack = tlu_io_o_cpu_halt_ack; // @[dec.scala 262:29] + assign io_o_cpu_run_ack = tlu_io_o_cpu_run_ack; // @[dec.scala 263:29] + assign io_o_debug_mode_status = tlu_io_o_debug_mode_status; // @[dec.scala 264:29] + assign io_mpc_debug_halt_ack = tlu_io_mpc_debug_halt_ack; // @[dec.scala 265:29] + assign io_mpc_debug_run_ack = tlu_io_mpc_debug_run_ack; // @[dec.scala 266:29] + assign io_debug_brkpt_status = tlu_io_debug_brkpt_status; // @[dec.scala 267:29] + assign io_dec_tlu_dbg_halted = tlu_io_dec_tlu_dbg_halted; // @[dec.scala 256:28] + assign io_dec_tlu_debug_mode = tlu_io_dec_tlu_debug_mode; // @[dec.scala 257:28] + assign io_dec_tlu_resume_ack = tlu_io_dec_tlu_resume_ack; // @[dec.scala 258:28] + assign io_dec_tlu_mpc_halted_only = tlu_io_dec_tlu_mpc_halted_only; // @[dec.scala 259:51] + assign io_dec_dbg_rddata = decode_io_dec_i0_wdata_r; // @[dec.scala 298:21] + assign io_dec_dbg_cmd_done = tlu_io_dec_dbg_cmd_done; // @[dec.scala 254:28] + assign io_dec_dbg_cmd_fail = tlu_io_dec_dbg_cmd_fail; // @[dec.scala 255:28] + assign io_trigger_pkt_any_0_select = tlu_io_trigger_pkt_any_0_select; // @[dec.scala 260:29] + assign io_trigger_pkt_any_0_match_pkt = tlu_io_trigger_pkt_any_0_match_pkt; // @[dec.scala 260:29] + assign io_trigger_pkt_any_0_store = tlu_io_trigger_pkt_any_0_store; // @[dec.scala 260:29] + assign io_trigger_pkt_any_0_load = tlu_io_trigger_pkt_any_0_load; // @[dec.scala 260:29] + assign io_trigger_pkt_any_0_tdata2 = tlu_io_trigger_pkt_any_0_tdata2; // @[dec.scala 260:29] + assign io_trigger_pkt_any_1_select = tlu_io_trigger_pkt_any_1_select; // @[dec.scala 260:29] + assign io_trigger_pkt_any_1_match_pkt = tlu_io_trigger_pkt_any_1_match_pkt; // @[dec.scala 260:29] + assign io_trigger_pkt_any_1_store = tlu_io_trigger_pkt_any_1_store; // @[dec.scala 260:29] + assign io_trigger_pkt_any_1_load = tlu_io_trigger_pkt_any_1_load; // @[dec.scala 260:29] + assign io_trigger_pkt_any_1_tdata2 = tlu_io_trigger_pkt_any_1_tdata2; // @[dec.scala 260:29] + assign io_trigger_pkt_any_2_select = tlu_io_trigger_pkt_any_2_select; // @[dec.scala 260:29] + assign io_trigger_pkt_any_2_match_pkt = tlu_io_trigger_pkt_any_2_match_pkt; // @[dec.scala 260:29] + assign io_trigger_pkt_any_2_store = tlu_io_trigger_pkt_any_2_store; // @[dec.scala 260:29] + assign io_trigger_pkt_any_2_load = tlu_io_trigger_pkt_any_2_load; // @[dec.scala 260:29] + assign io_trigger_pkt_any_2_tdata2 = tlu_io_trigger_pkt_any_2_tdata2; // @[dec.scala 260:29] + assign io_trigger_pkt_any_3_select = tlu_io_trigger_pkt_any_3_select; // @[dec.scala 260:29] + assign io_trigger_pkt_any_3_match_pkt = tlu_io_trigger_pkt_any_3_match_pkt; // @[dec.scala 260:29] + assign io_trigger_pkt_any_3_store = tlu_io_trigger_pkt_any_3_store; // @[dec.scala 260:29] + assign io_trigger_pkt_any_3_load = tlu_io_trigger_pkt_any_3_load; // @[dec.scala 260:29] + assign io_trigger_pkt_any_3_tdata2 = tlu_io_trigger_pkt_any_3_tdata2; // @[dec.scala 260:29] assign io_lsu_p_valid = decode_io_lsu_p_valid; // @[dec.scala 185:40] assign io_lsu_p_bits_fast_int = decode_io_lsu_p_bits_fast_int; // @[dec.scala 185:40] assign io_lsu_p_bits_by = decode_io_lsu_p_bits_by; // @[dec.scala 185:40] @@ -59025,24 +59055,25 @@ module dec( assign io_lsu_p_bits_store_data_bypass_d = decode_io_lsu_p_bits_store_data_bypass_d; // @[dec.scala 185:40] assign io_lsu_p_bits_load_ldst_bypass_d = decode_io_lsu_p_bits_load_ldst_bypass_d; // @[dec.scala 185:40] assign io_dec_lsu_offset_d = decode_io_dec_lsu_offset_d; // @[dec.scala 187:40] - assign io_dec_tlu_i0_kill_writeb_r = tlu_io_dec_tlu_i0_kill_writeb_r; // @[dec.scala 274:34] - assign io_dec_tlu_perfcnt0 = tlu_io_dec_tlu_perfcnt0; // @[dec.scala 275:29] - assign io_dec_tlu_perfcnt1 = tlu_io_dec_tlu_perfcnt1; // @[dec.scala 276:29] - assign io_dec_tlu_perfcnt2 = tlu_io_dec_tlu_perfcnt2; // @[dec.scala 277:29] - assign io_dec_tlu_perfcnt3 = tlu_io_dec_tlu_perfcnt3; // @[dec.scala 278:29] + assign io_dec_tlu_i0_kill_writeb_r = tlu_io_dec_tlu_i0_kill_writeb_r; // @[dec.scala 268:34] + assign io_dec_tlu_perfcnt0 = tlu_io_dec_tlu_perfcnt0; // @[dec.scala 269:29] + assign io_dec_tlu_perfcnt1 = tlu_io_dec_tlu_perfcnt1; // @[dec.scala 270:29] + assign io_dec_tlu_perfcnt2 = tlu_io_dec_tlu_perfcnt2; // @[dec.scala 271:29] + assign io_dec_tlu_perfcnt3 = tlu_io_dec_tlu_perfcnt3; // @[dec.scala 272:29] assign io_dec_lsu_valid_raw_d = decode_io_dec_lsu_valid_raw_d; // @[dec.scala 186:40] - assign io_rv_trace_pkt_rv_i_valid_ip = {tlu_io_dec_tlu_int_valid_wb1,_T_1}; // @[dec.scala 296:33] - assign io_rv_trace_pkt_rv_i_insn_ip = decode_io_dec_i0_inst_wb1; // @[dec.scala 294:32] - assign io_rv_trace_pkt_rv_i_address_ip = {decode_io_dec_i0_pc_wb1,1'h0}; // @[dec.scala 295:35] - assign io_rv_trace_pkt_rv_i_exception_ip = {tlu_io_dec_tlu_int_valid_wb1,tlu_io_dec_tlu_i0_exc_valid_wb1}; // @[dec.scala 297:37] - assign io_rv_trace_pkt_rv_i_ecause_ip = tlu_io_dec_tlu_exc_cause_wb1; // @[dec.scala 298:34] - assign io_rv_trace_pkt_rv_i_interrupt_ip = {tlu_io_dec_tlu_int_valid_wb1,1'h0}; // @[dec.scala 299:37] - assign io_rv_trace_pkt_rv_i_tval_ip = tlu_io_dec_tlu_mtval_wb1; // @[dec.scala 300:32] - assign io_dec_tlu_misc_clk_override = tlu_io_dec_tlu_misc_clk_override; // @[dec.scala 284:35] - assign io_dec_tlu_lsu_clk_override = tlu_io_dec_tlu_lsu_clk_override; // @[dec.scala 286:36] - assign io_dec_tlu_pic_clk_override = tlu_io_dec_tlu_pic_clk_override; // @[dec.scala 288:36] - assign io_dec_tlu_dccm_clk_override = tlu_io_dec_tlu_dccm_clk_override; // @[dec.scala 289:36] - assign io_dec_tlu_icm_clk_override = tlu_io_dec_tlu_icm_clk_override; // @[dec.scala 290:36] + assign io_rv_trace_pkt_rv_i_valid_ip = {tlu_io_dec_tlu_int_valid_wb1,_T_1}; // @[dec.scala 290:33] + assign io_rv_trace_pkt_rv_i_insn_ip = decode_io_dec_i0_inst_wb1; // @[dec.scala 288:32] + assign io_rv_trace_pkt_rv_i_address_ip = {decode_io_dec_i0_pc_wb1,1'h0}; // @[dec.scala 289:35] + assign io_rv_trace_pkt_rv_i_exception_ip = {tlu_io_dec_tlu_int_valid_wb1,tlu_io_dec_tlu_i0_exc_valid_wb1}; // @[dec.scala 291:37] + assign io_rv_trace_pkt_rv_i_ecause_ip = tlu_io_dec_tlu_exc_cause_wb1; // @[dec.scala 292:34] + assign io_rv_trace_pkt_rv_i_interrupt_ip = {tlu_io_dec_tlu_int_valid_wb1,1'h0}; // @[dec.scala 293:37] + assign io_rv_trace_pkt_rv_i_tval_ip = tlu_io_dec_tlu_mtval_wb1; // @[dec.scala 294:32] + assign io_dec_tlu_misc_clk_override = tlu_io_dec_tlu_misc_clk_override; // @[dec.scala 278:35] + assign io_dec_tlu_lsu_clk_override = tlu_io_dec_tlu_lsu_clk_override; // @[dec.scala 280:36] + assign io_dec_tlu_bus_clk_override = tlu_io_dec_tlu_bus_clk_override; // @[dec.scala 281:36] + assign io_dec_tlu_pic_clk_override = tlu_io_dec_tlu_pic_clk_override; // @[dec.scala 282:36] + assign io_dec_tlu_dccm_clk_override = tlu_io_dec_tlu_dccm_clk_override; // @[dec.scala 283:36] + assign io_dec_tlu_icm_clk_override = tlu_io_dec_tlu_icm_clk_override; // @[dec.scala 284:36] assign io_ifu_dec_dec_aln_aln_dec_dec_i0_decode_d = decode_io_dec_aln_dec_i0_decode_d; // @[dec.scala 133:21] assign io_ifu_dec_dec_mem_ctrl_dec_tlu_flush_err_wb = tlu_io_tlu_mem_dec_tlu_flush_err_wb; // @[dec.scala 202:18] assign io_ifu_dec_dec_mem_ctrl_dec_tlu_i0_commit_cmt = tlu_io_tlu_mem_dec_tlu_i0_commit_cmt; // @[dec.scala 202:18] @@ -59127,7 +59158,6 @@ module dec( assign io_dec_exu_gpr_exu_gpr_i0_rs1_d = gpr_io_gpr_exu_gpr_i0_rs1_d; // @[dec.scala 201:22] assign io_dec_exu_gpr_exu_gpr_i0_rs2_d = gpr_io_gpr_exu_gpr_i0_rs2_d; // @[dec.scala 201:22] assign io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable = tlu_io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[dec.scala 222:26] - assign io_lsu_dec_tlu_busbuff_dec_tlu_wb_coalescing_disable = tlu_io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[dec.scala 222:26] assign io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable = tlu_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[dec.scala 222:26] assign io_dec_dma_tlu_dma_dec_tlu_dma_qos_prty = tlu_io_tlu_dma_dec_tlu_dma_qos_prty; // @[dec.scala 206:18] assign io_dec_pic_dec_tlu_meicurpl = tlu_io_dec_pic_dec_tlu_meicurpl; // @[dec.scala 224:14] @@ -59169,6 +59199,8 @@ module dec( assign decode_io_dctl_busbuff_lsu_nonblock_load_data_tag = io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag; // @[dec.scala 141:26] assign decode_io_dctl_busbuff_lsu_nonblock_load_data = io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data; // @[dec.scala 141:26] assign decode_io_dctl_dma_dma_dccm_stall_any = io_dec_dma_dctl_dma_dma_dccm_stall_any; // @[dec.scala 138:22] + assign decode_io_dec_aln_ifu_i0_cinst = io_ifu_dec_dec_aln_aln_dec_ifu_i0_cinst; // @[dec.scala 133:21] + assign decode_io_dbg_dctl_dbg_cmd_wrdata = io_dec_dbg_dbg_dctl_dbg_cmd_wrdata; // @[dec.scala 150:22] assign decode_io_dec_tlu_flush_extint = tlu_io_dec_tlu_flush_extint; // @[dec.scala 139:48] assign decode_io_dec_tlu_force_halt = tlu_io_tlu_mem_dec_tlu_force_halt; // @[dec.scala 140:48] assign decode_io_dec_i0_trigger_match_d = dec_trigger_io_dec_i0_trigger_match_d; // @[dec.scala 142:48] @@ -59199,7 +59231,7 @@ module dec( assign decode_io_lsu_store_stall_any = io_lsu_store_stall_any; // @[dec.scala 162:48] assign decode_io_exu_div_wren = io_exu_div_wren; // @[dec.scala 163:48] assign decode_io_dec_tlu_i0_kill_writeb_wb = tlu_io_dec_tlu_i0_kill_writeb_wb; // @[dec.scala 164:48] - assign decode_io_dec_tlu_flush_lower_wb = tlu_io_tlu_bp_dec_tlu_flush_lower_wb; // @[dec.scala 165:48] + assign decode_io_dec_tlu_flush_lower_wb = tlu_io_dec_tlu_flush_lower_wb; // @[dec.scala 165:48] assign decode_io_dec_tlu_i0_kill_writeb_r = tlu_io_dec_tlu_i0_kill_writeb_r; // @[dec.scala 166:48] assign decode_io_dec_tlu_flush_lower_r = tlu_io_tlu_exu_dec_tlu_flush_lower_r; // @[dec.scala 167:48] assign decode_io_dec_tlu_flush_pause_r = tlu_io_dec_tlu_flush_pause_r; // @[dec.scala 168:48] @@ -59217,8 +59249,6 @@ module dec( assign decode_io_active_clk = io_active_clk; // @[dec.scala 180:48] assign decode_io_clk_override = tlu_io_dec_tlu_dec_clk_override; // @[dec.scala 181:48] assign decode_io_scan_mode = io_scan_mode; // @[dec.scala 182:48] - assign decode_io_dec_aln_ifu_i0_cinst = io_ifu_dec_dec_aln_aln_dec_ifu_i0_cinst; // @[dec.scala 133:21] - assign decode_io_dbg_dctl_dbg_cmd_wrdata = io_dec_dbg_dbg_dctl_dbg_cmd_wrdata; // @[dec.scala 150:22] assign gpr_clock = clock; assign gpr_reset = reset; assign gpr_io_raddr0 = decode_io_dec_i0_rs1_d; // @[dec.scala 189:23] @@ -59302,12 +59332,12 @@ module dec( assign tlu_io_dbg_halt_req = io_dbg_halt_req; // @[dec.scala 244:45] assign tlu_io_dbg_resume_req = io_dbg_resume_req; // @[dec.scala 245:45] assign tlu_io_dec_div_active = decode_io_dec_div_active; // @[dec.scala 247:45] - assign tlu_io_timer_int = io_timer_int; // @[dec.scala 252:45] - assign tlu_io_soft_int = io_soft_int; // @[dec.scala 253:45] - assign tlu_io_core_id = io_core_id; // @[dec.scala 254:45] - assign tlu_io_mpc_debug_halt_req = io_mpc_debug_halt_req; // @[dec.scala 255:45] - assign tlu_io_mpc_debug_run_req = io_mpc_debug_run_req; // @[dec.scala 256:45] - assign tlu_io_mpc_reset_run_req = io_mpc_reset_run_req; // @[dec.scala 257:45] + assign tlu_io_timer_int = io_timer_int; // @[dec.scala 248:45] + assign tlu_io_soft_int = io_soft_int; // @[dec.scala 249:45] + assign tlu_io_core_id = io_core_id; // @[dec.scala 250:45] + assign tlu_io_mpc_debug_halt_req = io_mpc_debug_halt_req; // @[dec.scala 251:45] + assign tlu_io_mpc_debug_run_req = io_mpc_debug_run_req; // @[dec.scala 252:45] + assign tlu_io_mpc_reset_run_req = io_mpc_reset_run_req; // @[dec.scala 253:45] assign tlu_io_ifu_pmu_instr_aligned = io_ifu_dec_dec_aln_ifu_pmu_instr_aligned; // @[dec.scala 216:45] assign tlu_io_tlu_ifc_ifu_pmu_fetch_stall = io_ifu_dec_dec_ifc_ifu_pmu_fetch_stall; // @[dec.scala 203:18] assign tlu_io_tlu_mem_ifu_pmu_ic_miss = io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_miss; // @[dec.scala 202:18] @@ -59373,10 +59403,10 @@ module dbg( input [6:0] io_dmi_reg_addr, input io_dmi_reg_wr_en, input [31:0] io_dmi_reg_wdata, + output [31:0] io_dmi_reg_rdata, input io_sb_axi_aw_ready, output io_sb_axi_aw_valid, output [31:0] io_sb_axi_aw_bits_addr, - output [3:0] io_sb_axi_aw_bits_region, output [2:0] io_sb_axi_aw_bits_size, input io_sb_axi_w_ready, output io_sb_axi_w_valid, @@ -59388,7 +59418,6 @@ module dbg( input io_sb_axi_ar_ready, output io_sb_axi_ar_valid, output [31:0] io_sb_axi_ar_bits_addr, - output [3:0] io_sb_axi_ar_bits_region, output [2:0] io_sb_axi_ar_bits_size, output io_sb_axi_r_ready, input io_sb_axi_r_valid, @@ -59398,12 +59427,12 @@ module dbg( output io_dbg_dec_dbg_ib_dbg_cmd_write, output [1:0] io_dbg_dec_dbg_ib_dbg_cmd_type, output [31:0] io_dbg_dec_dbg_ib_dbg_cmd_addr, - output [1:0] io_dbg_dec_dbg_dctl_dbg_cmd_wrdata, + output [31:0] io_dbg_dec_dbg_dctl_dbg_cmd_wrdata, output io_dbg_dma_dbg_ib_dbg_cmd_valid, output io_dbg_dma_dbg_ib_dbg_cmd_write, output [1:0] io_dbg_dma_dbg_ib_dbg_cmd_type, output [31:0] io_dbg_dma_dbg_ib_dbg_cmd_addr, - output [1:0] io_dbg_dma_dbg_dctl_dbg_cmd_wrdata, + output [31:0] io_dbg_dma_dbg_dctl_dbg_cmd_wrdata, output io_dbg_dma_io_dbg_dma_bubble, input io_dbg_dma_io_dma_dbg_ready, input io_dbg_bus_clk_en, @@ -59433,6 +59462,7 @@ module dbg( reg [31:0] _RAND_18; reg [31:0] _RAND_19; reg [31:0] _RAND_20; + reg [31:0] _RAND_21; `endif // RANDOMIZE_REG_INIT wire [2:0] dbg_state; wire dbg_state_en; @@ -59601,6 +59631,7 @@ module dbg( wire _T_187 = dmstatus_havereset_wren | dmstatus_havereset; // @[dbg.scala 207:16] wire _T_188 = ~dmstatus_havereset_rst; // @[dbg.scala 207:72] reg _T_190; // @[dbg.scala 207:12] + wire [31:0] haltsum0_reg = {31'h0,dmstatus_halted}; // @[Cat.scala 29:58] wire [31:0] abstractcs_reg; wire _T_192 = abstractcs_reg[12] & io_dmi_reg_en; // @[dbg.scala 213:50] wire _T_193 = io_dmi_reg_addr == 7'h16; // @[dbg.scala 213:106] @@ -59760,7 +59791,42 @@ module dbg( wire _GEN_35 = _T_316 ? _T_326 : _GEN_32; // @[Conditional.scala 39:67] wire _GEN_36 = _T_316 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] wire _GEN_38 = _T_316 ? 1'h0 : _GEN_31; // @[Conditional.scala 39:67] + wire [31:0] _T_415 = _T_197 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_416 = _T_415 & data0_reg; // @[dbg.scala 313:71] + wire [31:0] _T_419 = _T_292 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_420 = _T_419 & data1_reg; // @[dbg.scala 313:122] + wire [31:0] _T_421 = _T_416 | _T_420; // @[dbg.scala 313:83] + wire [31:0] _T_424 = _T_128 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_425 = _T_424 & dmcontrol_reg; // @[dbg.scala 314:43] + wire [31:0] _T_426 = _T_421 | _T_425; // @[dbg.scala 313:134] + wire _T_427 = io_dmi_reg_addr == 7'h11; // @[dbg.scala 314:86] + wire [31:0] _T_429 = _T_427 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_430 = _T_429 & dmstatus_reg; // @[dbg.scala 314:99] + wire [31:0] _T_431 = _T_426 | _T_430; // @[dbg.scala 314:59] + wire [31:0] _T_434 = _T_193 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_435 = _T_434 & abstractcs_reg; // @[dbg.scala 315:43] + wire [31:0] _T_436 = _T_431 | _T_435; // @[dbg.scala 314:114] + wire [31:0] _T_439 = _T_194 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_440 = _T_439 & command_reg; // @[dbg.scala 315:100] + wire [31:0] _T_441 = _T_436 | _T_440; // @[dbg.scala 315:60] + wire _T_442 = io_dmi_reg_addr == 7'h40; // @[dbg.scala 316:30] + wire [31:0] _T_444 = _T_442 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_445 = _T_444 & haltsum0_reg; // @[dbg.scala 316:43] + wire [31:0] _T_446 = _T_441 | _T_445; // @[dbg.scala 315:114] + wire [31:0] _T_449 = _T_17 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_450 = _T_449 & sbcs_reg; // @[dbg.scala 316:98] + wire [31:0] _T_451 = _T_446 | _T_450; // @[dbg.scala 316:58] + wire [31:0] _T_454 = _T_25 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_455 = _T_454 & sbaddress0_reg; // @[dbg.scala 317:43] + wire [31:0] _T_456 = _T_451 | _T_455; // @[dbg.scala 316:109] + wire [31:0] _T_459 = _T_26 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_460 = _T_459 & sbdata0_reg; // @[dbg.scala 317:100] + wire [31:0] _T_461 = _T_456 | _T_460; // @[dbg.scala 317:60] + wire [31:0] _T_464 = _T_28 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_465 = _T_464 & sbdata1_reg; // @[dbg.scala 318:43] + wire [31:0] dmi_reg_rdata_din = _T_461 | _T_465; // @[dbg.scala 317:114] reg [2:0] _T_466; // @[Reg.scala 27:20] + reg [31:0] _T_467; // @[Reg.scala 27:20] wire _T_469 = command_reg[31:24] == 8'h2; // @[dbg.scala 329:62] wire [31:0] _T_471 = {data1_reg[31:2],2'h0}; // @[Cat.scala 29:58] wire [31:0] _T_473 = {20'h0,command_reg[11:0]}; // @[Cat.scala 29:58] @@ -59941,9 +60007,9 @@ module dbg( assign io_dbg_core_rst_l = ~dmcontrol_reg[1]; // @[dbg.scala 105:21] assign io_dbg_halt_req = _T_298 ? _T_314 : _GEN_35; // @[dbg.scala 266:19 dbg.scala 272:23 dbg.scala 277:23 dbg.scala 288:23 dbg.scala 293:23 dbg.scala 298:23 dbg.scala 305:23 dbg.scala 310:23] assign io_dbg_resume_req = _T_298 ? 1'h0 : _GEN_38; // @[dbg.scala 267:21 dbg.scala 287:25] + assign io_dmi_reg_rdata = _T_467; // @[dbg.scala 325:20] assign io_sb_axi_aw_valid = _T_558 | _T_559; // @[dbg.scala 412:22] assign io_sb_axi_aw_bits_addr = sbaddress0_reg; // @[dbg.scala 413:26] - assign io_sb_axi_aw_bits_region = sbaddress0_reg[31:28]; // @[dbg.scala 418:28] assign io_sb_axi_aw_bits_size = sbcs_reg[19:17]; // @[dbg.scala 415:26] assign io_sb_axi_w_valid = _T_558 | _T_565; // @[dbg.scala 423:21] assign io_sb_axi_w_bits_data = _T_593 | _T_601; // @[dbg.scala 424:25] @@ -59951,14 +60017,13 @@ module dbg( assign io_sb_axi_b_ready = 1'h1; // @[dbg.scala 444:21] assign io_sb_axi_ar_valid = sb_state == 4'h3; // @[dbg.scala 433:22] assign io_sb_axi_ar_bits_addr = sbaddress0_reg; // @[dbg.scala 434:26] - assign io_sb_axi_ar_bits_region = sbaddress0_reg[31:28]; // @[dbg.scala 439:28] assign io_sb_axi_ar_bits_size = sbcs_reg[19:17]; // @[dbg.scala 436:26] assign io_sb_axi_r_ready = 1'h1; // @[dbg.scala 445:21] assign io_dbg_dec_dbg_ib_dbg_cmd_valid = _T_480 & io_dbg_dma_io_dma_dbg_ready; // @[dbg.scala 331:35] assign io_dbg_dec_dbg_ib_dbg_cmd_write = command_reg[16]; // @[dbg.scala 332:35] assign io_dbg_dec_dbg_ib_dbg_cmd_type = _T_469 ? 2'h2 : _T_489; // @[dbg.scala 333:34] assign io_dbg_dec_dbg_ib_dbg_cmd_addr = _T_469 ? _T_471 : _T_473; // @[dbg.scala 329:34] - assign io_dbg_dec_dbg_dctl_dbg_cmd_wrdata = data0_reg[1:0]; // @[dbg.scala 330:38] + assign io_dbg_dec_dbg_dctl_dbg_cmd_wrdata = data0_reg; // @[dbg.scala 330:38] assign io_dbg_dma_dbg_ib_dbg_cmd_valid = io_dbg_dec_dbg_ib_dbg_cmd_valid; // @[dbg.scala 454:39] assign io_dbg_dma_dbg_ib_dbg_cmd_write = io_dbg_dec_dbg_ib_dbg_cmd_write; // @[dbg.scala 455:39] assign io_dbg_dma_dbg_ib_dbg_cmd_type = io_dbg_dec_dbg_ib_dbg_cmd_type; // @[dbg.scala 456:39] @@ -60093,7 +60158,9 @@ initial begin _RAND_19 = {1{`RANDOM}}; _T_466 = _RAND_19[2:0]; _RAND_20 = {1{`RANDOM}}; - _T_545 = _RAND_20[3:0]; + _T_467 = _RAND_20[31:0]; + _RAND_21 = {1{`RANDOM}}; + _T_545 = _RAND_21[3:0]; `endif // RANDOMIZE_REG_INIT if (dbg_dm_rst_l) begin temp_sbcs_22 = 1'h0; @@ -60155,6 +60222,9 @@ initial begin if (rst_temp) begin _T_466 = 3'h0; end + if (dbg_dm_rst_l) begin + _T_467 = 32'h0; + end if (dbg_dm_rst_l) begin _T_545 = 4'h0; end @@ -60384,6 +60454,13 @@ end // initial end end end + always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + _T_467 <= 32'h0; + end else if (io_dmi_reg_en) begin + _T_467 <= dmi_reg_rdata_din; + end + end always @(posedge rvclkhdr_1_io_l1clk or posedge dbg_dm_rst_l) begin if (dbg_dm_rst_l) begin _T_545 <= 4'h0; @@ -62005,27 +62082,27 @@ module exu( wire i_alu_io_predict_p_out_bits_pret; // @[exu.scala 144:19] wire i_alu_io_predict_p_out_bits_pja; // @[exu.scala 144:19] wire i_alu_io_predict_p_out_bits_way; // @[exu.scala 144:19] - wire i_mul_clock; // @[exu.scala 162:19] - wire i_mul_reset; // @[exu.scala 162:19] - wire i_mul_io_scan_mode; // @[exu.scala 162:19] - wire i_mul_io_mul_p_valid; // @[exu.scala 162:19] - wire i_mul_io_mul_p_bits_rs1_sign; // @[exu.scala 162:19] - wire i_mul_io_mul_p_bits_rs2_sign; // @[exu.scala 162:19] - wire i_mul_io_mul_p_bits_low; // @[exu.scala 162:19] - wire [31:0] i_mul_io_rs1_in; // @[exu.scala 162:19] - wire [31:0] i_mul_io_rs2_in; // @[exu.scala 162:19] - wire [31:0] i_mul_io_result_x; // @[exu.scala 162:19] - wire i_div_clock; // @[exu.scala 169:19] - wire i_div_reset; // @[exu.scala 169:19] - wire i_div_io_scan_mode; // @[exu.scala 169:19] - wire [31:0] i_div_io_dividend; // @[exu.scala 169:19] - wire [31:0] i_div_io_divisor; // @[exu.scala 169:19] - wire [31:0] i_div_io_exu_div_result; // @[exu.scala 169:19] - wire i_div_io_exu_div_wren; // @[exu.scala 169:19] - wire i_div_io_dec_div_div_p_valid; // @[exu.scala 169:19] - wire i_div_io_dec_div_div_p_bits_unsign; // @[exu.scala 169:19] - wire i_div_io_dec_div_div_p_bits_rem; // @[exu.scala 169:19] - wire i_div_io_dec_div_dec_div_cancel; // @[exu.scala 169:19] + wire i_mul_clock; // @[exu.scala 162:21] + wire i_mul_reset; // @[exu.scala 162:21] + wire i_mul_io_scan_mode; // @[exu.scala 162:21] + wire i_mul_io_mul_p_valid; // @[exu.scala 162:21] + wire i_mul_io_mul_p_bits_rs1_sign; // @[exu.scala 162:21] + wire i_mul_io_mul_p_bits_rs2_sign; // @[exu.scala 162:21] + wire i_mul_io_mul_p_bits_low; // @[exu.scala 162:21] + wire [31:0] i_mul_io_rs1_in; // @[exu.scala 162:21] + wire [31:0] i_mul_io_rs2_in; // @[exu.scala 162:21] + wire [31:0] i_mul_io_result_x; // @[exu.scala 162:21] + wire i_div_clock; // @[exu.scala 169:21] + wire i_div_reset; // @[exu.scala 169:21] + wire i_div_io_scan_mode; // @[exu.scala 169:21] + wire [31:0] i_div_io_dividend; // @[exu.scala 169:21] + wire [31:0] i_div_io_divisor; // @[exu.scala 169:21] + wire [31:0] i_div_io_exu_div_result; // @[exu.scala 169:21] + wire i_div_io_exu_div_wren; // @[exu.scala 169:21] + wire i_div_io_dec_div_div_p_valid; // @[exu.scala 169:21] + wire i_div_io_dec_div_div_p_bits_unsign; // @[exu.scala 169:21] + wire i_div_io_dec_div_div_p_bits_rem; // @[exu.scala 169:21] + wire i_div_io_dec_div_dec_div_cancel; // @[exu.scala 169:21] wire [15:0] _T = {io_dec_exu_decode_exu_i0_predict_fghr_d,io_dec_exu_decode_exu_i0_predict_index_d}; // @[Cat.scala 29:58] reg [30:0] i0_flush_path_x; // @[lib.scala 374:16] reg [31:0] _T_3; // @[lib.scala 374:16] @@ -62135,7 +62212,7 @@ module exu( wire _T_179 = i0_flush_upper_x & _T_149; // @[exu.scala 215:67] wire [30:0] i0_flush_path_d = i_alu_io_flush_path_out; // @[exu.scala 42:53 exu.scala 157:41] wire [31:0] pred_correct_npc_r = {{1'd0}, _T_23}; // @[exu.scala 47:51 exu.scala 78:41] - wire [31:0] _T_188 = i0_pred_correct_upper_r ? pred_correct_npc_r : {{1'd0}, i0_flush_path_upper_r}; // @[exu.scala 233:72] + wire [31:0] _T_188 = i0_pred_correct_upper_r ? pred_correct_npc_r : {{1'd0}, i0_flush_path_upper_r}; // @[exu.scala 233:55] wire [31:0] i0_rs2_d = _T_92; // @[Mux.scala 27:72 Mux.scala 27:72] rvclkhdr rvclkhdr ( // @[lib.scala 368:23] .io_l1clk(rvclkhdr_io_l1clk), @@ -62309,7 +62386,7 @@ module exu( .io_predict_p_out_bits_pja(i_alu_io_predict_p_out_bits_pja), .io_predict_p_out_bits_way(i_alu_io_predict_p_out_bits_way) ); - exu_mul_ctl i_mul ( // @[exu.scala 162:19] + exu_mul_ctl i_mul ( // @[exu.scala 162:21] .clock(i_mul_clock), .reset(i_mul_reset), .io_scan_mode(i_mul_io_scan_mode), @@ -62321,7 +62398,7 @@ module exu( .io_rs2_in(i_mul_io_rs2_in), .io_result_x(i_mul_io_result_x) ); - exu_div_ctl i_div ( // @[exu.scala 169:19] + exu_div_ctl i_div ( // @[exu.scala 169:21] .clock(i_div_clock), .reset(i_div_reset), .io_scan_mode(i_div_io_scan_mode), @@ -62347,29 +62424,29 @@ module exu( assign io_dec_exu_tlu_exu_exu_pmu_i0_br_misp = i0_pp_r_bits_misp; // @[exu.scala 182:47] assign io_dec_exu_tlu_exu_exu_pmu_i0_br_ataken = i0_pp_r_bits_ataken; // @[exu.scala 183:47] assign io_dec_exu_tlu_exu_exu_pmu_i0_pc4 = i0_pp_r_bits_pc4; // @[exu.scala 184:47] - assign io_dec_exu_tlu_exu_exu_npc_r = _T_188[30:0]; // @[exu.scala 233:66] + assign io_dec_exu_tlu_exu_exu_npc_r = _T_188[30:0]; // @[exu.scala 233:49] assign io_exu_bp_exu_i0_br_fghr_r = predpipe_r[20:13]; // @[exu.scala 209:58] assign io_exu_bp_exu_i0_br_way_r = i0_pp_r_bits_way; // @[exu.scala 204:43] - assign io_exu_bp_exu_mp_pkt_bits_misp = i0_flush_upper_x & i0_predict_p_x_bits_misp; // @[exu.scala 219:48] - assign io_exu_bp_exu_mp_pkt_bits_ataken = i0_flush_upper_x & i0_predict_p_x_bits_ataken; // @[exu.scala 223:48] - assign io_exu_bp_exu_mp_pkt_bits_boffset = i0_flush_upper_x & i0_predict_p_x_bits_boffset; // @[exu.scala 224:48] - assign io_exu_bp_exu_mp_pkt_bits_pc4 = i0_flush_upper_x & i0_predict_p_x_bits_pc4; // @[exu.scala 225:48] - assign io_exu_bp_exu_mp_pkt_bits_hist = i0_flush_upper_x ? i0_predict_p_x_bits_hist : 2'h0; // @[exu.scala 226:66] - assign io_exu_bp_exu_mp_pkt_bits_toffset = i0_flush_upper_x ? i0_predict_p_x_bits_toffset : 12'h0; // @[exu.scala 227:58] - assign io_exu_bp_exu_mp_pkt_bits_pcall = i0_flush_upper_x & i0_predict_p_x_bits_pcall; // @[exu.scala 220:48] - assign io_exu_bp_exu_mp_pkt_bits_pret = i0_flush_upper_x & i0_predict_p_x_bits_pret; // @[exu.scala 222:48] - assign io_exu_bp_exu_mp_pkt_bits_pja = i0_flush_upper_x & i0_predict_p_x_bits_pja; // @[exu.scala 221:48] - assign io_exu_bp_exu_mp_pkt_bits_way = i0_flush_upper_x & i0_predict_p_x_bits_way; // @[exu.scala 218:48] - assign io_exu_bp_exu_mp_eghr = final_predpipe_mp[20:13]; // @[exu.scala 231:43] - assign io_exu_bp_exu_mp_fghr = _T_179 ? ghr_d : ghr_x; // @[exu.scala 228:43] - assign io_exu_bp_exu_mp_index = final_predpipe_mp[12:5]; // @[exu.scala 229:66] - assign io_exu_bp_exu_mp_btag = final_predpipe_mp[4:0]; // @[exu.scala 230:58] + assign io_exu_bp_exu_mp_pkt_bits_misp = i0_flush_upper_x & i0_predict_p_x_bits_misp; // @[exu.scala 219:37] + assign io_exu_bp_exu_mp_pkt_bits_ataken = i0_flush_upper_x & i0_predict_p_x_bits_ataken; // @[exu.scala 223:37] + assign io_exu_bp_exu_mp_pkt_bits_boffset = i0_flush_upper_x & i0_predict_p_x_bits_boffset; // @[exu.scala 224:37] + assign io_exu_bp_exu_mp_pkt_bits_pc4 = i0_flush_upper_x & i0_predict_p_x_bits_pc4; // @[exu.scala 225:37] + assign io_exu_bp_exu_mp_pkt_bits_hist = i0_flush_upper_x ? i0_predict_p_x_bits_hist : 2'h0; // @[exu.scala 226:49] + assign io_exu_bp_exu_mp_pkt_bits_toffset = i0_flush_upper_x ? i0_predict_p_x_bits_toffset : 12'h0; // @[exu.scala 227:41] + assign io_exu_bp_exu_mp_pkt_bits_pcall = i0_flush_upper_x & i0_predict_p_x_bits_pcall; // @[exu.scala 220:37] + assign io_exu_bp_exu_mp_pkt_bits_pret = i0_flush_upper_x & i0_predict_p_x_bits_pret; // @[exu.scala 222:37] + assign io_exu_bp_exu_mp_pkt_bits_pja = i0_flush_upper_x & i0_predict_p_x_bits_pja; // @[exu.scala 221:37] + assign io_exu_bp_exu_mp_pkt_bits_way = i0_flush_upper_x & i0_predict_p_x_bits_way; // @[exu.scala 218:37] + assign io_exu_bp_exu_mp_eghr = final_predpipe_mp[20:13]; // @[exu.scala 231:37] + assign io_exu_bp_exu_mp_fghr = _T_179 ? ghr_d : ghr_x; // @[exu.scala 228:37] + assign io_exu_bp_exu_mp_index = final_predpipe_mp[12:5]; // @[exu.scala 229:67] + assign io_exu_bp_exu_mp_btag = final_predpipe_mp[4:0]; // @[exu.scala 230:61] assign io_exu_flush_final = i_alu_io_flush_final_out; // @[exu.scala 158:22] assign io_exu_div_result = i_div_io_exu_div_result; // @[exu.scala 176:33] assign io_exu_div_wren = i_div_io_exu_div_wren; // @[exu.scala 175:41] assign io_lsu_exu_exu_lsu_rs1_d = _T_106 | _T_105; // @[exu.scala 119:27] assign io_lsu_exu_exu_lsu_rs2_d = _T_117 | _T_118; // @[exu.scala 125:27] - assign io_exu_flush_path_final = io_dec_exu_tlu_exu_dec_tlu_flush_lower_r ? io_dec_exu_tlu_exu_dec_tlu_flush_path_r : i0_flush_path_d; // @[exu.scala 232:50] + assign io_exu_flush_path_final = io_dec_exu_tlu_exu_dec_tlu_flush_lower_r ? io_dec_exu_tlu_exu_dec_tlu_flush_path_r : i0_flush_path_d; // @[exu.scala 232:33] assign rvclkhdr_io_clk = clock; // @[lib.scala 370:18] assign rvclkhdr_io_en = io_dec_exu_decode_exu_dec_data_en[1]; // @[lib.scala 371:17] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] @@ -62469,7 +62546,7 @@ module exu( assign i_alu_io_pp_in_bits_way = io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_way; // @[exu.scala 148:41] assign i_mul_clock = clock; assign i_mul_reset = reset; - assign i_mul_io_scan_mode = io_scan_mode; // @[exu.scala 163:33] + assign i_mul_io_scan_mode = io_scan_mode; // @[exu.scala 163:25] assign i_mul_io_mul_p_valid = io_dec_exu_decode_exu_mul_p_valid; // @[exu.scala 164:41] assign i_mul_io_mul_p_bits_rs1_sign = io_dec_exu_decode_exu_mul_p_bits_rs1_sign; // @[exu.scala 164:41] assign i_mul_io_mul_p_bits_rs2_sign = io_dec_exu_decode_exu_mul_p_bits_rs2_sign; // @[exu.scala 164:41] @@ -66634,15 +66711,15 @@ module lsu_ecc( wire _T_202 = io_dccm_data_ecc_hi_m[0] ^ _T_201; // @[lib.scala 193:206] wire [6:0] _T_208 = {_T_98,_T_108,_T_125,_T_142,_T_162,_T_182,_T_202}; // @[Cat.scala 29:58] wire _T_209 = _T_208 != 7'h0; // @[lib.scala 194:44] - wire _T_1131 = ~io_dec_tlu_core_ecc_disable; // @[lsu_ecc.scala 107:73] - wire _T_1138 = io_lsu_pkt_m_bits_load | io_lsu_pkt_m_bits_store; // @[lsu_ecc.scala 125:65] - wire _T_1139 = io_lsu_pkt_m_valid & _T_1138; // @[lsu_ecc.scala 125:39] - wire _T_1140 = _T_1139 & io_addr_in_dccm_m; // @[lsu_ecc.scala 125:92] - wire is_ldst_m = _T_1140 & io_lsu_dccm_rden_m; // @[lsu_ecc.scala 125:112] - wire ldst_dual_m = io_lsu_addr_m[2] != io_end_addr_m[2]; // @[lsu_ecc.scala 124:39] - wire _T_1144 = ldst_dual_m | io_lsu_pkt_m_bits_dma; // @[lsu_ecc.scala 127:48] - wire _T_1145 = is_ldst_m & _T_1144; // @[lsu_ecc.scala 127:33] - wire is_ldst_hi_m = _T_1145 & _T_1131; // @[lsu_ecc.scala 127:73] + wire _T_1131 = ~io_dec_tlu_core_ecc_disable; // @[lsu_ecc.scala 105:73] + wire _T_1138 = io_lsu_pkt_m_bits_load | io_lsu_pkt_m_bits_store; // @[lsu_ecc.scala 123:65] + wire _T_1139 = io_lsu_pkt_m_valid & _T_1138; // @[lsu_ecc.scala 123:39] + wire _T_1140 = _T_1139 & io_addr_in_dccm_m; // @[lsu_ecc.scala 123:92] + wire is_ldst_m = _T_1140 & io_lsu_dccm_rden_m; // @[lsu_ecc.scala 123:112] + wire ldst_dual_m = io_lsu_addr_m[2] != io_end_addr_m[2]; // @[lsu_ecc.scala 122:39] + wire _T_1144 = ldst_dual_m | io_lsu_pkt_m_bits_dma; // @[lsu_ecc.scala 125:48] + wire _T_1145 = is_ldst_m & _T_1144; // @[lsu_ecc.scala 125:33] + wire is_ldst_hi_m = _T_1145 & _T_1131; // @[lsu_ecc.scala 125:73] wire _T_210 = is_ldst_hi_m & _T_209; // @[lib.scala 194:32] wire single_ecc_error_hi_any = _T_210 & _T_208[6]; // @[lib.scala 194:53] wire _T_215 = ~_T_208[6]; // @[lib.scala 195:55] @@ -66725,7 +66802,7 @@ module lsu_ecc( wire _T_580 = io_dccm_data_ecc_lo_m[0] ^ _T_579; // @[lib.scala 193:206] wire [6:0] _T_586 = {_T_476,_T_486,_T_503,_T_520,_T_540,_T_560,_T_580}; // @[Cat.scala 29:58] wire _T_587 = _T_586 != 7'h0; // @[lib.scala 194:44] - wire is_ldst_lo_m = is_ldst_m & _T_1131; // @[lsu_ecc.scala 126:33] + wire is_ldst_lo_m = is_ldst_m & _T_1131; // @[lsu_ecc.scala 124:33] wire _T_588 = is_ldst_lo_m & _T_587; // @[lib.scala 194:32] wire single_ecc_error_lo_any = _T_588 & _T_586[6]; // @[lib.scala 194:53] wire _T_593 = ~_T_586[6]; // @[lib.scala 195:55] @@ -66780,8 +66857,8 @@ module lsu_ecc( wire [38:0] _T_734 = single_ecc_error_lo_any ? _T_733 : _T_693; // @[lib.scala 202:31] wire [3:0] _T_740 = {_T_734[6:4],_T_734[2]}; // @[Cat.scala 29:58] wire [27:0] _T_742 = {_T_734[37:32],_T_734[30:16],_T_734[14:8]}; // @[Cat.scala 29:58] - wire [31:0] _T_1158 = io_dma_dccm_wen ? io_dma_dccm_wdata_lo : io_stbuf_data_any; // @[lsu_ecc.scala 149:87] - wire [31:0] dccm_wdata_lo_any = io_ld_single_ecc_error_r_ff ? io_sec_data_lo_r_ff : _T_1158; // @[lsu_ecc.scala 149:27] + wire [31:0] _T_1158 = io_dma_dccm_wen ? io_dma_dccm_wdata_lo : io_stbuf_data_any; // @[lsu_ecc.scala 147:87] + wire [31:0] dccm_wdata_lo_any = io_ld_single_ecc_error_r_ff ? io_sec_data_lo_r_ff : _T_1158; // @[lsu_ecc.scala 147:27] wire _T_774 = dccm_wdata_lo_any[0] ^ dccm_wdata_lo_any[1]; // @[lib.scala 119:74] wire _T_775 = _T_774 ^ dccm_wdata_lo_any[3]; // @[lib.scala 119:74] wire _T_776 = _T_775 ^ dccm_wdata_lo_any[4]; // @[lib.scala 119:74] @@ -66870,8 +66947,8 @@ module lsu_ecc( wire _T_935 = ^dccm_wdata_lo_any; // @[lib.scala 127:13] wire _T_936 = ^_T_934; // @[lib.scala 127:23] wire _T_937 = _T_935 ^ _T_936; // @[lib.scala 127:18] - wire [31:0] _T_1162 = io_dma_dccm_wen ? io_dma_dccm_wdata_hi : io_stbuf_data_any; // @[lsu_ecc.scala 150:87] - wire [31:0] dccm_wdata_hi_any = io_ld_single_ecc_error_r_ff ? io_sec_data_hi_r_ff : _T_1162; // @[lsu_ecc.scala 150:27] + wire [31:0] _T_1162 = io_dma_dccm_wen ? io_dma_dccm_wdata_hi : io_stbuf_data_any; // @[lsu_ecc.scala 148:87] + wire [31:0] dccm_wdata_hi_any = io_ld_single_ecc_error_r_ff ? io_sec_data_hi_r_ff : _T_1162; // @[lsu_ecc.scala 148:27] wire _T_956 = dccm_wdata_hi_any[0] ^ dccm_wdata_hi_any[1]; // @[lib.scala 119:74] wire _T_957 = _T_956 ^ dccm_wdata_hi_any[3]; // @[lib.scala 119:74] wire _T_958 = _T_957 ^ dccm_wdata_hi_any[4]; // @[lib.scala 119:74] @@ -66960,12 +67037,12 @@ module lsu_ecc( wire _T_1117 = ^dccm_wdata_hi_any; // @[lib.scala 127:13] wire _T_1118 = ^_T_1116; // @[lib.scala 127:23] wire _T_1119 = _T_1117 ^ _T_1118; // @[lib.scala 127:18] - reg _T_1150; // @[lsu_ecc.scala 141:72] - reg _T_1151; // @[lsu_ecc.scala 142:72] - reg _T_1152; // @[lsu_ecc.scala 143:72] - reg _T_1153; // @[lsu_ecc.scala 144:72] - reg [31:0] _T_1154; // @[lsu_ecc.scala 145:72] - reg [31:0] _T_1155; // @[lsu_ecc.scala 146:72] + reg _T_1150; // @[lsu_ecc.scala 139:72] + reg _T_1151; // @[lsu_ecc.scala 140:72] + reg _T_1152; // @[lsu_ecc.scala 141:72] + reg _T_1153; // @[lsu_ecc.scala 142:72] + reg [31:0] _T_1154; // @[lsu_ecc.scala 143:72] + reg [31:0] _T_1155; // @[lsu_ecc.scala 144:72] reg [31:0] _T_1164; // @[lib.scala 374:16] reg [31:0] _T_1165; // @[lib.scala 374:16] rvclkhdr rvclkhdr ( // @[lib.scala 368:23] @@ -66980,23 +67057,23 @@ module lsu_ecc( .io_en(rvclkhdr_1_io_en), .io_scan_mode(rvclkhdr_1_io_scan_mode) ); - assign io_sec_data_hi_r = _T_1154; // @[lsu_ecc.scala 114:22 lsu_ecc.scala 145:62] - assign io_sec_data_lo_r = _T_1155; // @[lsu_ecc.scala 117:25 lsu_ecc.scala 146:62] - assign io_sec_data_hi_m = {_T_364,_T_362}; // @[lsu_ecc.scala 90:32 lsu_ecc.scala 134:27] - assign io_sec_data_lo_m = {_T_742,_T_740}; // @[lsu_ecc.scala 91:32 lsu_ecc.scala 136:27] - assign io_sec_data_hi_r_ff = _T_1164; // @[lsu_ecc.scala 157:23] - assign io_sec_data_lo_r_ff = _T_1165; // @[lsu_ecc.scala 158:23] - assign io_dma_dccm_wdata_ecc_hi = {_T_1119,_T_1116}; // @[lsu_ecc.scala 154:28] - assign io_dma_dccm_wdata_ecc_lo = {_T_937,_T_934}; // @[lsu_ecc.scala 155:28] - assign io_stbuf_ecc_any = {_T_937,_T_934}; // @[lsu_ecc.scala 153:28] - assign io_sec_data_ecc_hi_r_ff = {_T_1119,_T_1116}; // @[lsu_ecc.scala 151:28] - assign io_sec_data_ecc_lo_r_ff = {_T_937,_T_934}; // @[lsu_ecc.scala 152:28] - assign io_single_ecc_error_hi_r = _T_1153; // @[lsu_ecc.scala 115:31 lsu_ecc.scala 144:62] - assign io_single_ecc_error_lo_r = _T_1152; // @[lsu_ecc.scala 118:31 lsu_ecc.scala 143:62] - assign io_lsu_single_ecc_error_r = _T_1150; // @[lsu_ecc.scala 120:31 lsu_ecc.scala 141:62] - assign io_lsu_double_ecc_error_r = _T_1151; // @[lsu_ecc.scala 121:31 lsu_ecc.scala 142:62] - assign io_lsu_single_ecc_error_m = single_ecc_error_hi_any | single_ecc_error_lo_any; // @[lsu_ecc.scala 92:30 lsu_ecc.scala 138:33] - assign io_lsu_double_ecc_error_m = double_ecc_error_hi_any | double_ecc_error_lo_any; // @[lsu_ecc.scala 93:30 lsu_ecc.scala 139:33] + assign io_sec_data_hi_r = _T_1154; // @[lsu_ecc.scala 112:22 lsu_ecc.scala 143:62] + assign io_sec_data_lo_r = _T_1155; // @[lsu_ecc.scala 115:25 lsu_ecc.scala 144:62] + assign io_sec_data_hi_m = {_T_364,_T_362}; // @[lsu_ecc.scala 88:32 lsu_ecc.scala 132:27] + assign io_sec_data_lo_m = {_T_742,_T_740}; // @[lsu_ecc.scala 89:32 lsu_ecc.scala 134:27] + assign io_sec_data_hi_r_ff = _T_1164; // @[lsu_ecc.scala 155:23] + assign io_sec_data_lo_r_ff = _T_1165; // @[lsu_ecc.scala 156:23] + assign io_dma_dccm_wdata_ecc_hi = {_T_1119,_T_1116}; // @[lsu_ecc.scala 152:28] + assign io_dma_dccm_wdata_ecc_lo = {_T_937,_T_934}; // @[lsu_ecc.scala 153:28] + assign io_stbuf_ecc_any = {_T_937,_T_934}; // @[lsu_ecc.scala 151:28] + assign io_sec_data_ecc_hi_r_ff = {_T_1119,_T_1116}; // @[lsu_ecc.scala 149:28] + assign io_sec_data_ecc_lo_r_ff = {_T_937,_T_934}; // @[lsu_ecc.scala 150:28] + assign io_single_ecc_error_hi_r = _T_1153; // @[lsu_ecc.scala 113:31 lsu_ecc.scala 142:62] + assign io_single_ecc_error_lo_r = _T_1152; // @[lsu_ecc.scala 116:31 lsu_ecc.scala 141:62] + assign io_lsu_single_ecc_error_r = _T_1150; // @[lsu_ecc.scala 118:31 lsu_ecc.scala 139:62] + assign io_lsu_double_ecc_error_r = _T_1151; // @[lsu_ecc.scala 119:31 lsu_ecc.scala 140:62] + assign io_lsu_single_ecc_error_m = single_ecc_error_hi_any | single_ecc_error_lo_any; // @[lsu_ecc.scala 90:30 lsu_ecc.scala 136:33] + assign io_lsu_double_ecc_error_m = double_ecc_error_hi_any | double_ecc_error_lo_any; // @[lsu_ecc.scala 91:30 lsu_ecc.scala 137:33] assign rvclkhdr_io_clk = clock; // @[lib.scala 370:18] assign rvclkhdr_io_en = io_ld_single_ecc_error_r; // @[lib.scala 371:17] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] @@ -68099,7 +68176,6 @@ module lsu_bus_buffer( output io_tlu_busbuff_lsu_pmu_bus_error, output io_tlu_busbuff_lsu_pmu_bus_busy, input io_tlu_busbuff_dec_tlu_external_ldfwd_disable, - input io_tlu_busbuff_dec_tlu_wb_coalescing_disable, input io_tlu_busbuff_dec_tlu_sideeffect_posted_disable, output io_tlu_busbuff_lsu_imprecise_error_load_any, output io_tlu_busbuff_lsu_imprecise_error_store_any, @@ -68149,9 +68225,7 @@ module lsu_bus_buffer( output io_lsu_axi_aw_valid, output [2:0] io_lsu_axi_aw_bits_id, output [31:0] io_lsu_axi_aw_bits_addr, - output [3:0] io_lsu_axi_aw_bits_region, output [2:0] io_lsu_axi_aw_bits_size, - output [3:0] io_lsu_axi_aw_bits_cache, input io_lsu_axi_w_ready, output io_lsu_axi_w_valid, output [63:0] io_lsu_axi_w_bits_data, @@ -68164,9 +68238,7 @@ module lsu_bus_buffer( output io_lsu_axi_ar_valid, output [2:0] io_lsu_axi_ar_bits_id, output [31:0] io_lsu_axi_ar_bits_addr, - output [3:0] io_lsu_axi_ar_bits_region, output [2:0] io_lsu_axi_ar_bits_size, - output [3:0] io_lsu_axi_ar_bits_cache, output io_lsu_axi_r_ready, input io_lsu_axi_r_valid, input [2:0] io_lsu_axi_r_bits_id, @@ -68262,9 +68334,9 @@ module lsu_bus_buffer( reg [31:0] _RAND_75; reg [31:0] _RAND_76; reg [31:0] _RAND_77; - reg [31:0] _RAND_78; + reg [63:0] _RAND_78; reg [31:0] _RAND_79; - reg [63:0] _RAND_80; + reg [31:0] _RAND_80; reg [31:0] _RAND_81; reg [31:0] _RAND_82; reg [31:0] _RAND_83; @@ -68289,8 +68361,6 @@ module lsu_bus_buffer( reg [31:0] _RAND_102; reg [31:0] _RAND_103; reg [31:0] _RAND_104; - reg [31:0] _RAND_105; - reg [31:0] _RAND_106; `endif // RANDOMIZE_REG_INIT wire rvclkhdr_io_l1clk; // @[lib.scala 368:23] wire rvclkhdr_io_clk; // @[lib.scala 368:23] @@ -68964,74 +69034,26 @@ module lsu_bus_buffer( wire _T_854 = ~ibuf_byp; // @[lsu_bus_buffer.scala 208:56] wire ibuf_wr_en = _T_853 & _T_854; // @[lsu_bus_buffer.scala 208:54] wire _T_855 = ~ibuf_wr_en; // @[lsu_bus_buffer.scala 210:36] - reg [2:0] ibuf_timer; // @[lsu_bus_buffer.scala 253:55] - wire _T_864 = ibuf_timer == 3'h7; // @[lsu_bus_buffer.scala 216:62] - wire _T_865 = ibuf_wr_en | _T_864; // @[lsu_bus_buffer.scala 216:48] - wire _T_929 = _T_853 & io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 235:54] - wire _T_930 = _T_929 & ibuf_valid; // @[lsu_bus_buffer.scala 235:80] - wire _T_931 = _T_930 & ibuf_write; // @[lsu_bus_buffer.scala 235:93] - wire _T_934 = io_lsu_addr_r[31:2] == ibuf_addr[31:2]; // @[lsu_bus_buffer.scala 235:129] - wire _T_935 = _T_931 & _T_934; // @[lsu_bus_buffer.scala 235:106] - wire _T_936 = ~io_is_sideeffects_r; // @[lsu_bus_buffer.scala 235:152] - wire _T_937 = _T_935 & _T_936; // @[lsu_bus_buffer.scala 235:150] - wire _T_938 = ~io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_buffer.scala 235:175] - wire ibuf_merge_en = _T_937 & _T_938; // @[lsu_bus_buffer.scala 235:173] - wire ibuf_merge_in = ~io_ldst_dual_r; // @[lsu_bus_buffer.scala 236:20] - wire _T_866 = ibuf_merge_en & ibuf_merge_in; // @[lsu_bus_buffer.scala 216:98] - wire _T_867 = ~_T_866; // @[lsu_bus_buffer.scala 216:82] - wire _T_868 = _T_865 & _T_867; // @[lsu_bus_buffer.scala 216:80] - wire _T_869 = _T_868 | ibuf_byp; // @[lsu_bus_buffer.scala 217:5] wire _T_857 = ~io_lsu_busreq_r; // @[lsu_bus_buffer.scala 211:44] wire _T_858 = io_lsu_busreq_m & _T_857; // @[lsu_bus_buffer.scala 211:42] wire _T_859 = _T_858 & ibuf_valid; // @[lsu_bus_buffer.scala 211:61] wire _T_862 = ibuf_addr[31:2] != io_lsu_addr_m[31:2]; // @[lsu_bus_buffer.scala 211:120] wire _T_863 = io_lsu_pkt_m_bits_load | _T_862; // @[lsu_bus_buffer.scala 211:100] wire ibuf_force_drain = _T_859 & _T_863; // @[lsu_bus_buffer.scala 211:74] - wire _T_870 = _T_869 | ibuf_force_drain; // @[lsu_bus_buffer.scala 217:16] reg ibuf_sideeffect; // @[Reg.scala 27:20] - wire _T_871 = _T_870 | ibuf_sideeffect; // @[lsu_bus_buffer.scala 217:35] - wire _T_872 = ~ibuf_write; // @[lsu_bus_buffer.scala 217:55] - wire _T_873 = _T_871 | _T_872; // @[lsu_bus_buffer.scala 217:53] - wire _T_874 = _T_873 | io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_buffer.scala 217:67] - wire ibuf_drain_vld = ibuf_valid & _T_874; // @[lsu_bus_buffer.scala 216:32] - wire _T_856 = ibuf_drain_vld & _T_855; // @[lsu_bus_buffer.scala 210:34] + wire _T_856 = ibuf_valid & _T_855; // @[lsu_bus_buffer.scala 210:34] wire ibuf_rst = _T_856 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 210:49] reg [1:0] WrPtr1_r; // @[lsu_bus_buffer.scala 616:49] reg [1:0] WrPtr0_r; // @[lsu_bus_buffer.scala 615:49] reg [1:0] ibuf_tag; // @[Reg.scala 27:20] wire [1:0] ibuf_sz_in = {io_lsu_pkt_r_bits_word,io_lsu_pkt_r_bits_half}; // @[Cat.scala 29:58] - wire [3:0] _T_881 = ibuf_byteen | ldst_byteen_lo_r; // @[lsu_bus_buffer.scala 226:77] - wire [7:0] _T_889 = ldst_byteen_lo_r[0] ? store_data_lo_r[7:0] : ibuf_data[7:0]; // @[lsu_bus_buffer.scala 231:8] wire [7:0] _T_892 = io_ldst_dual_r ? store_data_hi_r[7:0] : store_data_lo_r[7:0]; // @[lsu_bus_buffer.scala 232:8] - wire [7:0] _T_893 = _T_866 ? _T_889 : _T_892; // @[lsu_bus_buffer.scala 230:46] - wire [7:0] _T_898 = ldst_byteen_lo_r[1] ? store_data_lo_r[15:8] : ibuf_data[15:8]; // @[lsu_bus_buffer.scala 231:8] wire [7:0] _T_901 = io_ldst_dual_r ? store_data_hi_r[15:8] : store_data_lo_r[15:8]; // @[lsu_bus_buffer.scala 232:8] - wire [7:0] _T_902 = _T_866 ? _T_898 : _T_901; // @[lsu_bus_buffer.scala 230:46] - wire [7:0] _T_907 = ldst_byteen_lo_r[2] ? store_data_lo_r[23:16] : ibuf_data[23:16]; // @[lsu_bus_buffer.scala 231:8] wire [7:0] _T_910 = io_ldst_dual_r ? store_data_hi_r[23:16] : store_data_lo_r[23:16]; // @[lsu_bus_buffer.scala 232:8] - wire [7:0] _T_911 = _T_866 ? _T_907 : _T_910; // @[lsu_bus_buffer.scala 230:46] - wire [7:0] _T_916 = ldst_byteen_lo_r[3] ? store_data_lo_r[31:24] : ibuf_data[31:24]; // @[lsu_bus_buffer.scala 231:8] wire [7:0] _T_919 = io_ldst_dual_r ? store_data_hi_r[31:24] : store_data_lo_r[31:24]; // @[lsu_bus_buffer.scala 232:8] - wire [7:0] _T_920 = _T_866 ? _T_916 : _T_919; // @[lsu_bus_buffer.scala 230:46] - wire [23:0] _T_922 = {_T_920,_T_911,_T_902}; // @[Cat.scala 29:58] - wire _T_923 = ibuf_timer < 3'h7; // @[lsu_bus_buffer.scala 233:59] - wire [2:0] _T_926 = ibuf_timer + 3'h1; // @[lsu_bus_buffer.scala 233:93] - wire _T_941 = ~ibuf_merge_in; // @[lsu_bus_buffer.scala 237:65] - wire _T_942 = ibuf_merge_en & _T_941; // @[lsu_bus_buffer.scala 237:63] - wire _T_945 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[lsu_bus_buffer.scala 237:96] - wire _T_947 = _T_942 ? _T_945 : ibuf_byteen[0]; // @[lsu_bus_buffer.scala 237:48] - wire _T_952 = ibuf_byteen[1] | ldst_byteen_lo_r[1]; // @[lsu_bus_buffer.scala 237:96] - wire _T_954 = _T_942 ? _T_952 : ibuf_byteen[1]; // @[lsu_bus_buffer.scala 237:48] - wire _T_959 = ibuf_byteen[2] | ldst_byteen_lo_r[2]; // @[lsu_bus_buffer.scala 237:96] - wire _T_961 = _T_942 ? _T_959 : ibuf_byteen[2]; // @[lsu_bus_buffer.scala 237:48] - wire _T_966 = ibuf_byteen[3] | ldst_byteen_lo_r[3]; // @[lsu_bus_buffer.scala 237:96] - wire _T_968 = _T_942 ? _T_966 : ibuf_byteen[3]; // @[lsu_bus_buffer.scala 237:48] - wire [3:0] ibuf_byteen_out = {_T_968,_T_961,_T_954,_T_947}; // @[Cat.scala 29:58] - wire [7:0] _T_978 = _T_942 ? _T_889 : ibuf_data[7:0]; // @[lsu_bus_buffer.scala 238:45] - wire [7:0] _T_986 = _T_942 ? _T_898 : ibuf_data[15:8]; // @[lsu_bus_buffer.scala 238:45] - wire [7:0] _T_994 = _T_942 ? _T_907 : ibuf_data[23:16]; // @[lsu_bus_buffer.scala 238:45] - wire [7:0] _T_1002 = _T_942 ? _T_916 : ibuf_data[31:24]; // @[lsu_bus_buffer.scala 238:45] - wire [31:0] ibuf_data_out = {_T_1002,_T_994,_T_986,_T_978}; // @[Cat.scala 29:58] + wire [23:0] _T_922 = {_T_919,_T_910,_T_901}; // @[Cat.scala 29:58] + wire [3:0] ibuf_byteen_out = {ibuf_byteen[3],ibuf_byteen[2],ibuf_byteen[1],ibuf_byteen[0]}; // @[Cat.scala 29:58] + wire [31:0] ibuf_data_out = {ibuf_data[31:24],ibuf_data[23:16],ibuf_data[15:8],ibuf_data[7:0]}; // @[Cat.scala 29:58] wire _T_1005 = ibuf_wr_en | ibuf_valid; // @[lsu_bus_buffer.scala 240:58] wire _T_1006 = ~ibuf_rst; // @[lsu_bus_buffer.scala 240:93] reg [1:0] ibuf_dualtag; // @[Reg.scala 27:20] @@ -69040,24 +69062,10 @@ module lsu_bus_buffer( reg ibuf_nomerge; // @[Reg.scala 27:20] reg ibuf_unsign; // @[Reg.scala 27:20] reg [1:0] ibuf_sz; // @[Reg.scala 27:20] - wire _T_4446 = buf_write[3] & _T_2621; // @[lsu_bus_buffer.scala 522:64] wire _T_4447 = ~buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 522:91] - wire _T_4448 = _T_4446 & _T_4447; // @[lsu_bus_buffer.scala 522:89] - wire _T_4441 = buf_write[2] & _T_2616; // @[lsu_bus_buffer.scala 522:64] wire _T_4442 = ~buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 522:91] - wire _T_4443 = _T_4441 & _T_4442; // @[lsu_bus_buffer.scala 522:89] - wire [1:0] _T_4449 = _T_4448 + _T_4443; // @[lsu_bus_buffer.scala 522:142] - wire _T_4436 = buf_write[1] & _T_2611; // @[lsu_bus_buffer.scala 522:64] wire _T_4437 = ~buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 522:91] - wire _T_4438 = _T_4436 & _T_4437; // @[lsu_bus_buffer.scala 522:89] - wire [1:0] _GEN_362 = {{1'd0}, _T_4438}; // @[lsu_bus_buffer.scala 522:142] - wire [2:0] _T_4450 = _T_4449 + _GEN_362; // @[lsu_bus_buffer.scala 522:142] - wire _T_4431 = buf_write[0] & _T_2606; // @[lsu_bus_buffer.scala 522:64] wire _T_4432 = ~buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 522:91] - wire _T_4433 = _T_4431 & _T_4432; // @[lsu_bus_buffer.scala 522:89] - wire [2:0] _GEN_363 = {{2'd0}, _T_4433}; // @[lsu_bus_buffer.scala 522:142] - wire [3:0] buf_numvld_wrcmd_any = _T_4450 + _GEN_363; // @[lsu_bus_buffer.scala 522:142] - wire _T_1016 = buf_numvld_wrcmd_any == 4'h1; // @[lsu_bus_buffer.scala 263:43] wire _T_4463 = _T_2621 & _T_4447; // @[lsu_bus_buffer.scala 523:73] wire _T_4460 = _T_2616 & _T_4442; // @[lsu_bus_buffer.scala 523:73] wire [1:0] _T_4464 = _T_4463 + _T_4460; // @[lsu_bus_buffer.scala 523:126] @@ -69068,11 +69076,6 @@ module lsu_bus_buffer( wire [2:0] _GEN_365 = {{2'd0}, _T_4454}; // @[lsu_bus_buffer.scala 523:126] wire [3:0] buf_numvld_cmd_any = _T_4465 + _GEN_365; // @[lsu_bus_buffer.scala 523:126] wire _T_1017 = buf_numvld_cmd_any == 4'h1; // @[lsu_bus_buffer.scala 263:72] - wire _T_1018 = _T_1016 & _T_1017; // @[lsu_bus_buffer.scala 263:51] - reg [2:0] obuf_wr_timer; // @[lsu_bus_buffer.scala 361:54] - wire _T_1019 = obuf_wr_timer != 3'h7; // @[lsu_bus_buffer.scala 263:97] - wire _T_1020 = _T_1018 & _T_1019; // @[lsu_bus_buffer.scala 263:80] - wire _T_1022 = _T_1020 & _T_938; // @[lsu_bus_buffer.scala 263:114] wire _T_1979 = |buf_age_3; // @[lsu_bus_buffer.scala 378:58] wire _T_1980 = ~_T_1979; // @[lsu_bus_buffer.scala 378:45] wire _T_1982 = _T_1980 & _T_2621; // @[lsu_bus_buffer.scala 378:63] @@ -69107,18 +69110,9 @@ module lsu_bus_buffer( wire _T_1025 = CmdPtr0 == 2'h2; // @[lsu_bus_buffer.scala 264:114] wire _T_1026 = CmdPtr0 == 2'h3; // @[lsu_bus_buffer.scala 264:114] reg buf_nomerge_0; // @[Reg.scala 27:20] - wire _T_1027 = _T_1023 & buf_nomerge_0; // @[Mux.scala 27:72] reg buf_nomerge_1; // @[Reg.scala 27:20] - wire _T_1028 = _T_1024 & buf_nomerge_1; // @[Mux.scala 27:72] reg buf_nomerge_2; // @[Reg.scala 27:20] - wire _T_1029 = _T_1025 & buf_nomerge_2; // @[Mux.scala 27:72] reg buf_nomerge_3; // @[Reg.scala 27:20] - wire _T_1030 = _T_1026 & buf_nomerge_3; // @[Mux.scala 27:72] - wire _T_1031 = _T_1027 | _T_1028; // @[Mux.scala 27:72] - wire _T_1032 = _T_1031 | _T_1029; // @[Mux.scala 27:72] - wire _T_1033 = _T_1032 | _T_1030; // @[Mux.scala 27:72] - wire _T_1035 = ~_T_1033; // @[lsu_bus_buffer.scala 264:31] - wire _T_1036 = _T_1022 & _T_1035; // @[lsu_bus_buffer.scala 264:29] reg _T_4330; // @[Reg.scala 27:20] reg _T_4327; // @[Reg.scala 27:20] reg _T_4324; // @[Reg.scala 27:20] @@ -69132,7 +69126,6 @@ module lsu_bus_buffer( wire _T_1050 = _T_1049 | _T_1047; // @[Mux.scala 27:72] wire _T_1051 = _T_1050 | _T_1048; // @[Mux.scala 27:72] wire _T_1053 = ~_T_1051; // @[lsu_bus_buffer.scala 265:5] - wire _T_1054 = _T_1036 & _T_1053; // @[lsu_bus_buffer.scala 264:140] wire _T_1065 = _T_858 & _T_852; // @[lsu_bus_buffer.scala 267:58] wire _T_1067 = _T_1065 & _T_1017; // @[lsu_bus_buffer.scala 267:72] wire [29:0] _T_1077 = _T_1023 ? buf_addr_0[31:2] : 30'h0; // @[Mux.scala 27:72] @@ -69144,12 +69137,6 @@ module lsu_bus_buffer( wire [29:0] _T_1083 = _T_1082 | _T_1080; // @[Mux.scala 27:72] wire _T_1085 = io_lsu_addr_m[31:2] != _T_1083; // @[lsu_bus_buffer.scala 267:123] wire obuf_force_wr_en = _T_1067 & _T_1085; // @[lsu_bus_buffer.scala 267:101] - wire _T_1055 = ~obuf_force_wr_en; // @[lsu_bus_buffer.scala 265:119] - wire obuf_wr_wait = _T_1054 & _T_1055; // @[lsu_bus_buffer.scala 265:117] - wire _T_1056 = |buf_numvld_cmd_any; // @[lsu_bus_buffer.scala 266:75] - wire _T_1057 = obuf_wr_timer < 3'h7; // @[lsu_bus_buffer.scala 266:95] - wire _T_1058 = _T_1056 & _T_1057; // @[lsu_bus_buffer.scala 266:79] - wire [2:0] _T_1060 = obuf_wr_timer + 3'h1; // @[lsu_bus_buffer.scala 266:123] wire _T_4482 = buf_state_3 == 3'h1; // @[lsu_bus_buffer.scala 524:63] wire _T_4486 = _T_4482 | _T_4463; // @[lsu_bus_buffer.scala 524:74] wire _T_4477 = buf_state_2 == 3'h1; // @[lsu_bus_buffer.scala 524:63] @@ -69306,8 +69293,6 @@ module lsu_bus_buffer( reg obuf_nosend; // @[Reg.scala 27:20] wire _T_1233 = _T_1232 | obuf_nosend; // @[lsu_bus_buffer.scala 288:60] wire _T_1234 = _T_1230 & _T_1233; // @[lsu_bus_buffer.scala 288:29] - wire _T_1235 = ~obuf_wr_wait; // @[lsu_bus_buffer.scala 288:77] - wire _T_1236 = _T_1234 & _T_1235; // @[lsu_bus_buffer.scala 288:75] reg [31:0] obuf_addr; // @[lib.scala 374:16] wire _T_4804 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[lsu_bus_buffer.scala 554:56] wire _T_4805 = obuf_valid & _T_4804; // @[lsu_bus_buffer.scala 554:38] @@ -69345,7 +69330,7 @@ module lsu_bus_buffer( wire _T_4851 = _T_4790 & _T_4847; // @[Mux.scala 27:72] wire bus_addr_match_pending = _T_4853 | _T_4851; // @[Mux.scala 27:72] wire _T_1239 = ~bus_addr_match_pending; // @[lsu_bus_buffer.scala 288:118] - wire _T_1240 = _T_1236 & _T_1239; // @[lsu_bus_buffer.scala 288:116] + wire _T_1240 = _T_1234 & _T_1239; // @[lsu_bus_buffer.scala 288:116] wire obuf_wr_en = _T_1240 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 288:142] wire _T_1242 = obuf_valid & obuf_nosend; // @[lsu_bus_buffer.scala 290:47] wire bus_wcmd_sent = io_lsu_axi_aw_valid & io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 557:40] @@ -69601,6 +69586,7 @@ module lsu_bus_buffer( wire _T_1885 = _T_1875 & _T_1884; // @[lsu_bus_buffer.scala 364:76] wire _T_1886 = buf_state_3 == 3'h0; // @[lsu_bus_buffer.scala 364:65] wire _T_1887 = ibuf_tag == 2'h3; // @[lsu_bus_buffer.scala 365:30] + wire _T_1888 = ibuf_valid & _T_1887; // @[lsu_bus_buffer.scala 365:19] wire _T_1889 = WrPtr0_r == 2'h3; // @[lsu_bus_buffer.scala 366:18] wire _T_1890 = WrPtr1_r == 2'h3; // @[lsu_bus_buffer.scala 366:57] wire [1:0] _T_1898 = _T_1885 ? 2'h2 : 2'h3; // @[Mux.scala 98:16] @@ -69676,17 +69662,15 @@ module lsu_bus_buffer( wire _T_2125 = _T_2123 | _T_2104[7]; // @[lsu_bus_buffer.scala 386:104] wire [2:0] _T_2127 = {_T_2111,_T_2118,_T_2125}; // @[Cat.scala 29:58] wire _T_3532 = ibuf_byp | io_ldst_dual_r; // @[lsu_bus_buffer.scala 444:77] - wire _T_3533 = ~ibuf_merge_en; // @[lsu_bus_buffer.scala 444:97] - wire _T_3534 = _T_3532 & _T_3533; // @[lsu_bus_buffer.scala 444:95] wire _T_3535 = 2'h0 == WrPtr0_r; // @[lsu_bus_buffer.scala 444:117] - wire _T_3536 = _T_3534 & _T_3535; // @[lsu_bus_buffer.scala 444:112] + wire _T_3536 = _T_3532 & _T_3535; // @[lsu_bus_buffer.scala 444:112] wire _T_3537 = ibuf_byp & io_ldst_dual_r; // @[lsu_bus_buffer.scala 444:144] wire _T_3538 = 2'h0 == WrPtr1_r; // @[lsu_bus_buffer.scala 444:166] wire _T_3539 = _T_3537 & _T_3538; // @[lsu_bus_buffer.scala 444:161] wire _T_3540 = _T_3536 | _T_3539; // @[lsu_bus_buffer.scala 444:132] wire _T_3541 = _T_853 & _T_3540; // @[lsu_bus_buffer.scala 444:63] wire _T_3542 = 2'h0 == ibuf_tag; // @[lsu_bus_buffer.scala 444:206] - wire _T_3543 = ibuf_drain_vld & _T_3542; // @[lsu_bus_buffer.scala 444:201] + wire _T_3543 = ibuf_valid & _T_3542; // @[lsu_bus_buffer.scala 444:201] wire _T_3544 = _T_3541 | _T_3543; // @[lsu_bus_buffer.scala 444:183] wire _T_3554 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 451:46] wire _T_3589 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] @@ -69754,7 +69738,7 @@ module lsu_bus_buffer( wire _GEN_64 = _T_3551 ? _T_3554 : _GEN_54; // @[Conditional.scala 39:67] wire buf_state_en_0 = _T_3528 ? _T_3544 : _GEN_64; // @[Conditional.scala 40:58] wire _T_2129 = _T_1853 & buf_state_en_0; // @[lsu_bus_buffer.scala 406:94] - wire _T_2135 = ibuf_drain_vld & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 408:23] + wire _T_2135 = ibuf_valid & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 408:23] wire _T_2137 = _T_2135 & _T_3532; // @[lsu_bus_buffer.scala 408:41] wire _T_2139 = _T_2137 & _T_1856; // @[lsu_bus_buffer.scala 408:71] wire _T_2141 = _T_2139 & _T_1854; // @[lsu_bus_buffer.scala 408:92] @@ -69786,13 +69770,13 @@ module lsu_bus_buffer( wire _T_2227 = _T_2225 | buf_age_0[3]; // @[lsu_bus_buffer.scala 409:97] wire [2:0] _T_2229 = {_T_2227,_T_2202,_T_2177}; // @[Cat.scala 29:58] wire _T_3728 = 2'h1 == WrPtr0_r; // @[lsu_bus_buffer.scala 444:117] - wire _T_3729 = _T_3534 & _T_3728; // @[lsu_bus_buffer.scala 444:112] + wire _T_3729 = _T_3532 & _T_3728; // @[lsu_bus_buffer.scala 444:112] wire _T_3731 = 2'h1 == WrPtr1_r; // @[lsu_bus_buffer.scala 444:166] wire _T_3732 = _T_3537 & _T_3731; // @[lsu_bus_buffer.scala 444:161] wire _T_3733 = _T_3729 | _T_3732; // @[lsu_bus_buffer.scala 444:132] wire _T_3734 = _T_853 & _T_3733; // @[lsu_bus_buffer.scala 444:63] wire _T_3735 = 2'h1 == ibuf_tag; // @[lsu_bus_buffer.scala 444:206] - wire _T_3736 = ibuf_drain_vld & _T_3735; // @[lsu_bus_buffer.scala 444:201] + wire _T_3736 = ibuf_valid & _T_3735; // @[lsu_bus_buffer.scala 444:201] wire _T_3737 = _T_3734 | _T_3736; // @[lsu_bus_buffer.scala 444:183] wire _T_3782 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3827 = io_lsu_axi_b_bits_id == 3'h1; // @[lsu_bus_buffer.scala 469:73] @@ -69876,13 +69860,13 @@ module lsu_bus_buffer( wire _T_2329 = _T_2327 | buf_age_1[3]; // @[lsu_bus_buffer.scala 409:97] wire [2:0] _T_2331 = {_T_2329,_T_2304,_T_2279}; // @[Cat.scala 29:58] wire _T_3921 = 2'h2 == WrPtr0_r; // @[lsu_bus_buffer.scala 444:117] - wire _T_3922 = _T_3534 & _T_3921; // @[lsu_bus_buffer.scala 444:112] + wire _T_3922 = _T_3532 & _T_3921; // @[lsu_bus_buffer.scala 444:112] wire _T_3924 = 2'h2 == WrPtr1_r; // @[lsu_bus_buffer.scala 444:166] wire _T_3925 = _T_3537 & _T_3924; // @[lsu_bus_buffer.scala 444:161] wire _T_3926 = _T_3922 | _T_3925; // @[lsu_bus_buffer.scala 444:132] wire _T_3927 = _T_853 & _T_3926; // @[lsu_bus_buffer.scala 444:63] wire _T_3928 = 2'h2 == ibuf_tag; // @[lsu_bus_buffer.scala 444:206] - wire _T_3929 = ibuf_drain_vld & _T_3928; // @[lsu_bus_buffer.scala 444:201] + wire _T_3929 = ibuf_valid & _T_3928; // @[lsu_bus_buffer.scala 444:201] wire _T_3930 = _T_3927 | _T_3929; // @[lsu_bus_buffer.scala 444:183] wire _T_3975 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] wire _T_4020 = io_lsu_axi_b_bits_id == 3'h2; // @[lsu_bus_buffer.scala 469:73] @@ -69966,13 +69950,13 @@ module lsu_bus_buffer( wire _T_2431 = _T_2429 | buf_age_2[3]; // @[lsu_bus_buffer.scala 409:97] wire [2:0] _T_2433 = {_T_2431,_T_2406,_T_2381}; // @[Cat.scala 29:58] wire _T_4114 = 2'h3 == WrPtr0_r; // @[lsu_bus_buffer.scala 444:117] - wire _T_4115 = _T_3534 & _T_4114; // @[lsu_bus_buffer.scala 444:112] + wire _T_4115 = _T_3532 & _T_4114; // @[lsu_bus_buffer.scala 444:112] wire _T_4117 = 2'h3 == WrPtr1_r; // @[lsu_bus_buffer.scala 444:166] wire _T_4118 = _T_3537 & _T_4117; // @[lsu_bus_buffer.scala 444:161] wire _T_4119 = _T_4115 | _T_4118; // @[lsu_bus_buffer.scala 444:132] wire _T_4120 = _T_853 & _T_4119; // @[lsu_bus_buffer.scala 444:63] wire _T_4121 = 2'h3 == ibuf_tag; // @[lsu_bus_buffer.scala 444:206] - wire _T_4122 = ibuf_drain_vld & _T_4121; // @[lsu_bus_buffer.scala 444:201] + wire _T_4122 = ibuf_valid & _T_4121; // @[lsu_bus_buffer.scala 444:201] wire _T_4123 = _T_4120 | _T_4122; // @[lsu_bus_buffer.scala 444:183] wire _T_4168 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4213 = io_lsu_axi_b_bits_id == 3'h3; // @[lsu_bus_buffer.scala 469:73] @@ -70167,11 +70151,7 @@ module lsu_bus_buffer( wire _T_3213 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[lsu_bus_buffer.scala 420:88] wire _T_3216 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[lsu_bus_buffer.scala 420:88] wire [2:0] _T_3218 = {_T_3216,_T_3213,_T_3210}; // @[Cat.scala 29:58] - wire _T_3329 = ibuf_drain_vld & _T_1854; // @[lsu_bus_buffer.scala 426:63] - wire _T_3331 = ibuf_drain_vld & _T_1865; // @[lsu_bus_buffer.scala 426:63] - wire _T_3333 = ibuf_drain_vld & _T_1876; // @[lsu_bus_buffer.scala 426:63] - wire _T_3335 = ibuf_drain_vld & _T_1887; // @[lsu_bus_buffer.scala 426:63] - wire [3:0] ibuf_drainvec_vld = {_T_3335,_T_3333,_T_3331,_T_3329}; // @[Cat.scala 29:58] + wire [3:0] ibuf_drainvec_vld = {_T_1888,_T_1877,_T_1866,_T_1855}; // @[Cat.scala 29:58] wire _T_3343 = _T_3537 & _T_1857; // @[lsu_bus_buffer.scala 428:35] wire _T_3352 = _T_3537 & _T_1868; // @[lsu_bus_buffer.scala 428:35] wire _T_3361 = _T_3537 & _T_1879; // @[lsu_bus_buffer.scala 428:35] @@ -70794,9 +70774,7 @@ module lsu_bus_buffer( assign io_lsu_axi_aw_valid = _T_4876 & _T_1239; // @[lsu_bus_buffer.scala 569:23] assign io_lsu_axi_aw_bits_id = {{1'd0}, _T_1848}; // @[lsu_bus_buffer.scala 570:25] assign io_lsu_axi_aw_bits_addr = obuf_sideeffect ? obuf_addr : _T_4880; // @[lsu_bus_buffer.scala 571:27] - assign io_lsu_axi_aw_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 575:29] assign io_lsu_axi_aw_bits_size = obuf_sideeffect ? _T_4882 : 3'h3; // @[lsu_bus_buffer.scala 572:27] - assign io_lsu_axi_aw_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 574:28] assign io_lsu_axi_w_valid = _T_4888 & _T_1239; // @[lsu_bus_buffer.scala 581:22] assign io_lsu_axi_w_bits_data = obuf_data; // @[lsu_bus_buffer.scala 583:26] assign io_lsu_axi_w_bits_strb = obuf_byteen & _T_4892; // @[lsu_bus_buffer.scala 582:26] @@ -70804,9 +70782,7 @@ module lsu_bus_buffer( assign io_lsu_axi_ar_valid = _T_4897 & _T_1239; // @[lsu_bus_buffer.scala 586:23] assign io_lsu_axi_ar_bits_id = {{1'd0}, _T_1848}; // @[lsu_bus_buffer.scala 587:25] assign io_lsu_axi_ar_bits_addr = obuf_sideeffect ? obuf_addr : _T_4880; // @[lsu_bus_buffer.scala 588:27] - assign io_lsu_axi_ar_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 592:29] assign io_lsu_axi_ar_bits_size = obuf_sideeffect ? _T_4882 : 3'h3; // @[lsu_bus_buffer.scala 589:27] - assign io_lsu_axi_ar_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 591:28] assign io_lsu_axi_r_ready = 1'h1; // @[lsu_bus_buffer.scala 598:22] assign io_lsu_busreq_r = _T_4987; // @[lsu_bus_buffer.scala 617:19] assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[lsu_bus_buffer.scala 526:30] @@ -70956,151 +70932,147 @@ initial begin _RAND_33 = {1{`RANDOM}}; ibuf_data = _RAND_33[31:0]; _RAND_34 = {1{`RANDOM}}; - ibuf_timer = _RAND_34[2:0]; + ibuf_sideeffect = _RAND_34[0:0]; _RAND_35 = {1{`RANDOM}}; - ibuf_sideeffect = _RAND_35[0:0]; + WrPtr1_r = _RAND_35[1:0]; _RAND_36 = {1{`RANDOM}}; - WrPtr1_r = _RAND_36[1:0]; + WrPtr0_r = _RAND_36[1:0]; _RAND_37 = {1{`RANDOM}}; - WrPtr0_r = _RAND_37[1:0]; + ibuf_tag = _RAND_37[1:0]; _RAND_38 = {1{`RANDOM}}; - ibuf_tag = _RAND_38[1:0]; + ibuf_dualtag = _RAND_38[1:0]; _RAND_39 = {1{`RANDOM}}; - ibuf_dualtag = _RAND_39[1:0]; + ibuf_dual = _RAND_39[0:0]; _RAND_40 = {1{`RANDOM}}; - ibuf_dual = _RAND_40[0:0]; + ibuf_samedw = _RAND_40[0:0]; _RAND_41 = {1{`RANDOM}}; - ibuf_samedw = _RAND_41[0:0]; + ibuf_nomerge = _RAND_41[0:0]; _RAND_42 = {1{`RANDOM}}; - ibuf_nomerge = _RAND_42[0:0]; + ibuf_unsign = _RAND_42[0:0]; _RAND_43 = {1{`RANDOM}}; - ibuf_unsign = _RAND_43[0:0]; + ibuf_sz = _RAND_43[1:0]; _RAND_44 = {1{`RANDOM}}; - ibuf_sz = _RAND_44[1:0]; + buf_nomerge_0 = _RAND_44[0:0]; _RAND_45 = {1{`RANDOM}}; - obuf_wr_timer = _RAND_45[2:0]; + buf_nomerge_1 = _RAND_45[0:0]; _RAND_46 = {1{`RANDOM}}; - buf_nomerge_0 = _RAND_46[0:0]; + buf_nomerge_2 = _RAND_46[0:0]; _RAND_47 = {1{`RANDOM}}; - buf_nomerge_1 = _RAND_47[0:0]; + buf_nomerge_3 = _RAND_47[0:0]; _RAND_48 = {1{`RANDOM}}; - buf_nomerge_2 = _RAND_48[0:0]; + _T_4330 = _RAND_48[0:0]; _RAND_49 = {1{`RANDOM}}; - buf_nomerge_3 = _RAND_49[0:0]; + _T_4327 = _RAND_49[0:0]; _RAND_50 = {1{`RANDOM}}; - _T_4330 = _RAND_50[0:0]; + _T_4324 = _RAND_50[0:0]; _RAND_51 = {1{`RANDOM}}; - _T_4327 = _RAND_51[0:0]; + _T_4321 = _RAND_51[0:0]; _RAND_52 = {1{`RANDOM}}; - _T_4324 = _RAND_52[0:0]; + obuf_sideeffect = _RAND_52[0:0]; _RAND_53 = {1{`RANDOM}}; - _T_4321 = _RAND_53[0:0]; + buf_dual_3 = _RAND_53[0:0]; _RAND_54 = {1{`RANDOM}}; - obuf_sideeffect = _RAND_54[0:0]; + buf_dual_2 = _RAND_54[0:0]; _RAND_55 = {1{`RANDOM}}; - buf_dual_3 = _RAND_55[0:0]; + buf_dual_1 = _RAND_55[0:0]; _RAND_56 = {1{`RANDOM}}; - buf_dual_2 = _RAND_56[0:0]; + buf_dual_0 = _RAND_56[0:0]; _RAND_57 = {1{`RANDOM}}; - buf_dual_1 = _RAND_57[0:0]; + buf_samedw_3 = _RAND_57[0:0]; _RAND_58 = {1{`RANDOM}}; - buf_dual_0 = _RAND_58[0:0]; + buf_samedw_2 = _RAND_58[0:0]; _RAND_59 = {1{`RANDOM}}; - buf_samedw_3 = _RAND_59[0:0]; + buf_samedw_1 = _RAND_59[0:0]; _RAND_60 = {1{`RANDOM}}; - buf_samedw_2 = _RAND_60[0:0]; + buf_samedw_0 = _RAND_60[0:0]; _RAND_61 = {1{`RANDOM}}; - buf_samedw_1 = _RAND_61[0:0]; + obuf_write = _RAND_61[0:0]; _RAND_62 = {1{`RANDOM}}; - buf_samedw_0 = _RAND_62[0:0]; + obuf_cmd_done = _RAND_62[0:0]; _RAND_63 = {1{`RANDOM}}; - obuf_write = _RAND_63[0:0]; + obuf_data_done = _RAND_63[0:0]; _RAND_64 = {1{`RANDOM}}; - obuf_cmd_done = _RAND_64[0:0]; + obuf_nosend = _RAND_64[0:0]; _RAND_65 = {1{`RANDOM}}; - obuf_data_done = _RAND_65[0:0]; + obuf_addr = _RAND_65[31:0]; _RAND_66 = {1{`RANDOM}}; - obuf_nosend = _RAND_66[0:0]; + buf_sz_0 = _RAND_66[1:0]; _RAND_67 = {1{`RANDOM}}; - obuf_addr = _RAND_67[31:0]; + buf_sz_1 = _RAND_67[1:0]; _RAND_68 = {1{`RANDOM}}; - buf_sz_0 = _RAND_68[1:0]; + buf_sz_2 = _RAND_68[1:0]; _RAND_69 = {1{`RANDOM}}; - buf_sz_1 = _RAND_69[1:0]; + buf_sz_3 = _RAND_69[1:0]; _RAND_70 = {1{`RANDOM}}; - buf_sz_2 = _RAND_70[1:0]; + obuf_rdrsp_pend = _RAND_70[0:0]; _RAND_71 = {1{`RANDOM}}; - buf_sz_3 = _RAND_71[1:0]; + obuf_rdrsp_tag = _RAND_71[2:0]; _RAND_72 = {1{`RANDOM}}; - obuf_rdrsp_pend = _RAND_72[0:0]; + buf_dualhi_3 = _RAND_72[0:0]; _RAND_73 = {1{`RANDOM}}; - obuf_rdrsp_tag = _RAND_73[2:0]; + buf_dualhi_2 = _RAND_73[0:0]; _RAND_74 = {1{`RANDOM}}; - buf_dualhi_3 = _RAND_74[0:0]; + buf_dualhi_1 = _RAND_74[0:0]; _RAND_75 = {1{`RANDOM}}; - buf_dualhi_2 = _RAND_75[0:0]; + buf_dualhi_0 = _RAND_75[0:0]; _RAND_76 = {1{`RANDOM}}; - buf_dualhi_1 = _RAND_76[0:0]; + obuf_sz = _RAND_76[1:0]; _RAND_77 = {1{`RANDOM}}; - buf_dualhi_0 = _RAND_77[0:0]; - _RAND_78 = {1{`RANDOM}}; - obuf_sz = _RAND_78[1:0]; + obuf_byteen = _RAND_77[7:0]; + _RAND_78 = {2{`RANDOM}}; + obuf_data = _RAND_78[63:0]; _RAND_79 = {1{`RANDOM}}; - obuf_byteen = _RAND_79[7:0]; - _RAND_80 = {2{`RANDOM}}; - obuf_data = _RAND_80[63:0]; + buf_rspageQ_0 = _RAND_79[3:0]; + _RAND_80 = {1{`RANDOM}}; + buf_rspageQ_1 = _RAND_80[3:0]; _RAND_81 = {1{`RANDOM}}; - buf_rspageQ_0 = _RAND_81[3:0]; + buf_rspageQ_2 = _RAND_81[3:0]; _RAND_82 = {1{`RANDOM}}; - buf_rspageQ_1 = _RAND_82[3:0]; + buf_rspageQ_3 = _RAND_82[3:0]; _RAND_83 = {1{`RANDOM}}; - buf_rspageQ_2 = _RAND_83[3:0]; + _T_4307 = _RAND_83[0:0]; _RAND_84 = {1{`RANDOM}}; - buf_rspageQ_3 = _RAND_84[3:0]; + _T_4305 = _RAND_84[0:0]; _RAND_85 = {1{`RANDOM}}; - _T_4307 = _RAND_85[0:0]; + _T_4303 = _RAND_85[0:0]; _RAND_86 = {1{`RANDOM}}; - _T_4305 = _RAND_86[0:0]; + _T_4301 = _RAND_86[0:0]; _RAND_87 = {1{`RANDOM}}; - _T_4303 = _RAND_87[0:0]; + buf_ldfwdtag_0 = _RAND_87[1:0]; _RAND_88 = {1{`RANDOM}}; - _T_4301 = _RAND_88[0:0]; + buf_dualtag_0 = _RAND_88[1:0]; _RAND_89 = {1{`RANDOM}}; - buf_ldfwdtag_0 = _RAND_89[1:0]; + buf_ldfwdtag_3 = _RAND_89[1:0]; _RAND_90 = {1{`RANDOM}}; - buf_dualtag_0 = _RAND_90[1:0]; + buf_ldfwdtag_2 = _RAND_90[1:0]; _RAND_91 = {1{`RANDOM}}; - buf_ldfwdtag_3 = _RAND_91[1:0]; + buf_ldfwdtag_1 = _RAND_91[1:0]; _RAND_92 = {1{`RANDOM}}; - buf_ldfwdtag_2 = _RAND_92[1:0]; + buf_dualtag_1 = _RAND_92[1:0]; _RAND_93 = {1{`RANDOM}}; - buf_ldfwdtag_1 = _RAND_93[1:0]; + buf_dualtag_2 = _RAND_93[1:0]; _RAND_94 = {1{`RANDOM}}; - buf_dualtag_1 = _RAND_94[1:0]; + buf_dualtag_3 = _RAND_94[1:0]; _RAND_95 = {1{`RANDOM}}; - buf_dualtag_2 = _RAND_95[1:0]; + _T_4336 = _RAND_95[0:0]; _RAND_96 = {1{`RANDOM}}; - buf_dualtag_3 = _RAND_96[1:0]; + _T_4339 = _RAND_96[0:0]; _RAND_97 = {1{`RANDOM}}; - _T_4336 = _RAND_97[0:0]; + _T_4342 = _RAND_97[0:0]; _RAND_98 = {1{`RANDOM}}; - _T_4339 = _RAND_98[0:0]; + _T_4345 = _RAND_98[0:0]; _RAND_99 = {1{`RANDOM}}; - _T_4342 = _RAND_99[0:0]; + _T_4411 = _RAND_99[0:0]; _RAND_100 = {1{`RANDOM}}; - _T_4345 = _RAND_100[0:0]; + _T_4406 = _RAND_100[0:0]; _RAND_101 = {1{`RANDOM}}; - _T_4411 = _RAND_101[0:0]; + _T_4401 = _RAND_101[0:0]; _RAND_102 = {1{`RANDOM}}; - _T_4406 = _RAND_102[0:0]; + _T_4396 = _RAND_102[0:0]; _RAND_103 = {1{`RANDOM}}; - _T_4401 = _RAND_103[0:0]; + lsu_nonblock_load_valid_r = _RAND_103[0:0]; _RAND_104 = {1{`RANDOM}}; - _T_4396 = _RAND_104[0:0]; - _RAND_105 = {1{`RANDOM}}; - lsu_nonblock_load_valid_r = _RAND_105[0:0]; - _RAND_106 = {1{`RANDOM}}; - _T_4987 = _RAND_106[0:0]; + _T_4987 = _RAND_104[0:0]; `endif // RANDOMIZE_REG_INIT if (reset) begin buf_addr_0 = 32'h0; @@ -71204,9 +71176,6 @@ initial begin if (reset) begin ibuf_data = 32'h0; end - if (reset) begin - ibuf_timer = 3'h0; - end if (reset) begin ibuf_sideeffect = 1'h0; end @@ -71237,9 +71206,6 @@ initial begin if (reset) begin ibuf_sz = 2'h0; end - if (reset) begin - obuf_wr_timer = 3'h0; - end if (reset) begin buf_nomerge_0 = 1'h0; end @@ -71842,9 +71808,7 @@ end // initial if (reset) begin ibuf_byteen <= 4'h0; end else if (ibuf_wr_en) begin - if (_T_866) begin - ibuf_byteen <= _T_881; - end else if (io_ldst_dual_r) begin + if (io_ldst_dual_r) begin ibuf_byteen <= ldst_byteen_hi_r; end else begin ibuf_byteen <= ldst_byteen_lo_r; @@ -72008,16 +71972,7 @@ end // initial if (reset) begin ibuf_data <= 32'h0; end else begin - ibuf_data <= {_T_922,_T_893}; - end - end - always @(posedge io_lsu_free_c2_clk or posedge reset) begin - if (reset) begin - ibuf_timer <= 3'h0; - end else if (ibuf_wr_en) begin - ibuf_timer <= 3'h0; - end else if (_T_923) begin - ibuf_timer <= _T_926; + ibuf_data <= {_T_922,_T_892}; end end always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin @@ -72057,12 +72012,10 @@ end // initial if (reset) begin ibuf_tag <= 2'h0; end else if (ibuf_wr_en) begin - if (!(_T_866)) begin - if (io_ldst_dual_r) begin - ibuf_tag <= WrPtr1_r; - end else begin - ibuf_tag <= WrPtr0_r; - end + if (io_ldst_dual_r) begin + ibuf_tag <= WrPtr1_r; + end else begin + ibuf_tag <= WrPtr0_r; end end end @@ -72108,15 +72061,6 @@ end // initial ibuf_sz <= ibuf_sz_in; end end - always @(posedge io_lsu_busm_clk or posedge reset) begin - if (reset) begin - obuf_wr_timer <= 3'h0; - end else if (obuf_wr_en) begin - obuf_wr_timer <= 3'h0; - end else if (_T_1058) begin - obuf_wr_timer <= _T_1060; - end - end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_nomerge_0 <= 1'h0; @@ -72664,7 +72608,6 @@ module lsu_bus_intf( output io_tlu_busbuff_lsu_pmu_bus_error, output io_tlu_busbuff_lsu_pmu_bus_busy, input io_tlu_busbuff_dec_tlu_external_ldfwd_disable, - input io_tlu_busbuff_dec_tlu_wb_coalescing_disable, input io_tlu_busbuff_dec_tlu_sideeffect_posted_disable, output io_tlu_busbuff_lsu_imprecise_error_load_any, output io_tlu_busbuff_lsu_imprecise_error_store_any, @@ -72682,9 +72625,7 @@ module lsu_bus_intf( output io_axi_aw_valid, output [2:0] io_axi_aw_bits_id, output [31:0] io_axi_aw_bits_addr, - output [3:0] io_axi_aw_bits_region, output [2:0] io_axi_aw_bits_size, - output [3:0] io_axi_aw_bits_cache, input io_axi_w_ready, output io_axi_w_valid, output [63:0] io_axi_w_bits_data, @@ -72696,9 +72637,7 @@ module lsu_bus_intf( output io_axi_ar_valid, output [2:0] io_axi_ar_bits_id, output [31:0] io_axi_ar_bits_addr, - output [3:0] io_axi_ar_bits_region, output [2:0] io_axi_ar_bits_size, - output [3:0] io_axi_ar_bits_cache, input io_axi_r_valid, input [2:0] io_axi_r_bits_id, input [63:0] io_axi_r_bits_data, @@ -72759,7 +72698,6 @@ module lsu_bus_intf( wire bus_buffer_io_tlu_busbuff_lsu_pmu_bus_error; // @[lsu_bus_intf.scala 100:39] wire bus_buffer_io_tlu_busbuff_lsu_pmu_bus_busy; // @[lsu_bus_intf.scala 100:39] wire bus_buffer_io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[lsu_bus_intf.scala 100:39] - wire bus_buffer_io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_intf.scala 100:39] wire bus_buffer_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_intf.scala 100:39] wire bus_buffer_io_tlu_busbuff_lsu_imprecise_error_load_any; // @[lsu_bus_intf.scala 100:39] wire bus_buffer_io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_intf.scala 100:39] @@ -72809,9 +72747,7 @@ module lsu_bus_intf( wire bus_buffer_io_lsu_axi_aw_valid; // @[lsu_bus_intf.scala 100:39] wire [2:0] bus_buffer_io_lsu_axi_aw_bits_id; // @[lsu_bus_intf.scala 100:39] wire [31:0] bus_buffer_io_lsu_axi_aw_bits_addr; // @[lsu_bus_intf.scala 100:39] - wire [3:0] bus_buffer_io_lsu_axi_aw_bits_region; // @[lsu_bus_intf.scala 100:39] wire [2:0] bus_buffer_io_lsu_axi_aw_bits_size; // @[lsu_bus_intf.scala 100:39] - wire [3:0] bus_buffer_io_lsu_axi_aw_bits_cache; // @[lsu_bus_intf.scala 100:39] wire bus_buffer_io_lsu_axi_w_ready; // @[lsu_bus_intf.scala 100:39] wire bus_buffer_io_lsu_axi_w_valid; // @[lsu_bus_intf.scala 100:39] wire [63:0] bus_buffer_io_lsu_axi_w_bits_data; // @[lsu_bus_intf.scala 100:39] @@ -72824,9 +72760,7 @@ module lsu_bus_intf( wire bus_buffer_io_lsu_axi_ar_valid; // @[lsu_bus_intf.scala 100:39] wire [2:0] bus_buffer_io_lsu_axi_ar_bits_id; // @[lsu_bus_intf.scala 100:39] wire [31:0] bus_buffer_io_lsu_axi_ar_bits_addr; // @[lsu_bus_intf.scala 100:39] - wire [3:0] bus_buffer_io_lsu_axi_ar_bits_region; // @[lsu_bus_intf.scala 100:39] wire [2:0] bus_buffer_io_lsu_axi_ar_bits_size; // @[lsu_bus_intf.scala 100:39] - wire [3:0] bus_buffer_io_lsu_axi_ar_bits_cache; // @[lsu_bus_intf.scala 100:39] wire bus_buffer_io_lsu_axi_r_ready; // @[lsu_bus_intf.scala 100:39] wire bus_buffer_io_lsu_axi_r_valid; // @[lsu_bus_intf.scala 100:39] wire [2:0] bus_buffer_io_lsu_axi_r_bits_id; // @[lsu_bus_intf.scala 100:39] @@ -73032,7 +72966,6 @@ module lsu_bus_intf( .io_tlu_busbuff_lsu_pmu_bus_error(bus_buffer_io_tlu_busbuff_lsu_pmu_bus_error), .io_tlu_busbuff_lsu_pmu_bus_busy(bus_buffer_io_tlu_busbuff_lsu_pmu_bus_busy), .io_tlu_busbuff_dec_tlu_external_ldfwd_disable(bus_buffer_io_tlu_busbuff_dec_tlu_external_ldfwd_disable), - .io_tlu_busbuff_dec_tlu_wb_coalescing_disable(bus_buffer_io_tlu_busbuff_dec_tlu_wb_coalescing_disable), .io_tlu_busbuff_dec_tlu_sideeffect_posted_disable(bus_buffer_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable), .io_tlu_busbuff_lsu_imprecise_error_load_any(bus_buffer_io_tlu_busbuff_lsu_imprecise_error_load_any), .io_tlu_busbuff_lsu_imprecise_error_store_any(bus_buffer_io_tlu_busbuff_lsu_imprecise_error_store_any), @@ -73082,9 +73015,7 @@ module lsu_bus_intf( .io_lsu_axi_aw_valid(bus_buffer_io_lsu_axi_aw_valid), .io_lsu_axi_aw_bits_id(bus_buffer_io_lsu_axi_aw_bits_id), .io_lsu_axi_aw_bits_addr(bus_buffer_io_lsu_axi_aw_bits_addr), - .io_lsu_axi_aw_bits_region(bus_buffer_io_lsu_axi_aw_bits_region), .io_lsu_axi_aw_bits_size(bus_buffer_io_lsu_axi_aw_bits_size), - .io_lsu_axi_aw_bits_cache(bus_buffer_io_lsu_axi_aw_bits_cache), .io_lsu_axi_w_ready(bus_buffer_io_lsu_axi_w_ready), .io_lsu_axi_w_valid(bus_buffer_io_lsu_axi_w_valid), .io_lsu_axi_w_bits_data(bus_buffer_io_lsu_axi_w_bits_data), @@ -73097,9 +73028,7 @@ module lsu_bus_intf( .io_lsu_axi_ar_valid(bus_buffer_io_lsu_axi_ar_valid), .io_lsu_axi_ar_bits_id(bus_buffer_io_lsu_axi_ar_bits_id), .io_lsu_axi_ar_bits_addr(bus_buffer_io_lsu_axi_ar_bits_addr), - .io_lsu_axi_ar_bits_region(bus_buffer_io_lsu_axi_ar_bits_region), .io_lsu_axi_ar_bits_size(bus_buffer_io_lsu_axi_ar_bits_size), - .io_lsu_axi_ar_bits_cache(bus_buffer_io_lsu_axi_ar_bits_cache), .io_lsu_axi_r_ready(bus_buffer_io_lsu_axi_r_ready), .io_lsu_axi_r_valid(bus_buffer_io_lsu_axi_r_valid), .io_lsu_axi_r_bits_id(bus_buffer_io_lsu_axi_r_bits_id), @@ -73126,18 +73055,14 @@ module lsu_bus_intf( assign io_axi_aw_valid = bus_buffer_io_lsu_axi_aw_valid; // @[lsu_bus_intf.scala 129:43] assign io_axi_aw_bits_id = bus_buffer_io_lsu_axi_aw_bits_id; // @[lsu_bus_intf.scala 129:43] assign io_axi_aw_bits_addr = bus_buffer_io_lsu_axi_aw_bits_addr; // @[lsu_bus_intf.scala 129:43] - assign io_axi_aw_bits_region = bus_buffer_io_lsu_axi_aw_bits_region; // @[lsu_bus_intf.scala 129:43] assign io_axi_aw_bits_size = bus_buffer_io_lsu_axi_aw_bits_size; // @[lsu_bus_intf.scala 129:43] - assign io_axi_aw_bits_cache = bus_buffer_io_lsu_axi_aw_bits_cache; // @[lsu_bus_intf.scala 129:43] assign io_axi_w_valid = bus_buffer_io_lsu_axi_w_valid; // @[lsu_bus_intf.scala 129:43] assign io_axi_w_bits_data = bus_buffer_io_lsu_axi_w_bits_data; // @[lsu_bus_intf.scala 129:43] assign io_axi_w_bits_strb = bus_buffer_io_lsu_axi_w_bits_strb; // @[lsu_bus_intf.scala 129:43] assign io_axi_ar_valid = bus_buffer_io_lsu_axi_ar_valid; // @[lsu_bus_intf.scala 129:43] assign io_axi_ar_bits_id = bus_buffer_io_lsu_axi_ar_bits_id; // @[lsu_bus_intf.scala 129:43] assign io_axi_ar_bits_addr = bus_buffer_io_lsu_axi_ar_bits_addr; // @[lsu_bus_intf.scala 129:43] - assign io_axi_ar_bits_region = bus_buffer_io_lsu_axi_ar_bits_region; // @[lsu_bus_intf.scala 129:43] assign io_axi_ar_bits_size = bus_buffer_io_lsu_axi_ar_bits_size; // @[lsu_bus_intf.scala 129:43] - assign io_axi_ar_bits_cache = bus_buffer_io_lsu_axi_ar_bits_cache; // @[lsu_bus_intf.scala 129:43] assign io_lsu_busreq_r = bus_buffer_io_lsu_busreq_r; // @[lsu_bus_intf.scala 132:38] assign io_lsu_bus_buffer_pend_any = bus_buffer_io_lsu_bus_buffer_pend_any; // @[lsu_bus_intf.scala 133:38] assign io_lsu_bus_buffer_full_any = bus_buffer_io_lsu_bus_buffer_full_any; // @[lsu_bus_intf.scala 134:38] @@ -73155,7 +73080,6 @@ module lsu_bus_intf( assign bus_buffer_reset = reset; assign bus_buffer_io_scan_mode = io_scan_mode; // @[lsu_bus_intf.scala 102:29] assign bus_buffer_io_tlu_busbuff_dec_tlu_external_ldfwd_disable = io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[lsu_bus_intf.scala 103:18] - assign bus_buffer_io_tlu_busbuff_dec_tlu_wb_coalescing_disable = io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_intf.scala 103:18] assign bus_buffer_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable = io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_intf.scala 103:18] assign bus_buffer_io_dec_tlu_force_halt = io_dec_tlu_force_halt; // @[lsu_bus_intf.scala 105:51] assign bus_buffer_io_lsu_c2_r_clk = io_lsu_c2_r_clk; // @[lsu_bus_intf.scala 106:51] @@ -73336,7 +73260,6 @@ module lsu( output io_lsu_dec_tlu_busbuff_lsu_pmu_bus_error, output io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy, input io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable, - input io_lsu_dec_tlu_busbuff_dec_tlu_wb_coalescing_disable, input io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable, output io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any, output io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any, @@ -73365,9 +73288,7 @@ module lsu( output io_axi_aw_valid, output [2:0] io_axi_aw_bits_id, output [31:0] io_axi_aw_bits_addr, - output [3:0] io_axi_aw_bits_region, output [2:0] io_axi_aw_bits_size, - output [3:0] io_axi_aw_bits_cache, input io_axi_w_ready, output io_axi_w_valid, output [63:0] io_axi_w_bits_data, @@ -73379,9 +73300,7 @@ module lsu( output io_axi_ar_valid, output [2:0] io_axi_ar_bits_id, output [31:0] io_axi_ar_bits_addr, - output [3:0] io_axi_ar_bits_region, output [2:0] io_axi_ar_bits_size, - output [3:0] io_axi_ar_bits_cache, input io_axi_r_valid, input [2:0] io_axi_r_bits_id, input [63:0] io_axi_r_bits_data, @@ -73806,7 +73725,6 @@ module lsu( wire bus_intf_io_tlu_busbuff_lsu_pmu_bus_error; // @[lsu.scala 68:30] wire bus_intf_io_tlu_busbuff_lsu_pmu_bus_busy; // @[lsu.scala 68:30] wire bus_intf_io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[lsu.scala 68:30] - wire bus_intf_io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu.scala 68:30] wire bus_intf_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu.scala 68:30] wire bus_intf_io_tlu_busbuff_lsu_imprecise_error_load_any; // @[lsu.scala 68:30] wire bus_intf_io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu.scala 68:30] @@ -73824,9 +73742,7 @@ module lsu( wire bus_intf_io_axi_aw_valid; // @[lsu.scala 68:30] wire [2:0] bus_intf_io_axi_aw_bits_id; // @[lsu.scala 68:30] wire [31:0] bus_intf_io_axi_aw_bits_addr; // @[lsu.scala 68:30] - wire [3:0] bus_intf_io_axi_aw_bits_region; // @[lsu.scala 68:30] wire [2:0] bus_intf_io_axi_aw_bits_size; // @[lsu.scala 68:30] - wire [3:0] bus_intf_io_axi_aw_bits_cache; // @[lsu.scala 68:30] wire bus_intf_io_axi_w_ready; // @[lsu.scala 68:30] wire bus_intf_io_axi_w_valid; // @[lsu.scala 68:30] wire [63:0] bus_intf_io_axi_w_bits_data; // @[lsu.scala 68:30] @@ -73838,9 +73754,7 @@ module lsu( wire bus_intf_io_axi_ar_valid; // @[lsu.scala 68:30] wire [2:0] bus_intf_io_axi_ar_bits_id; // @[lsu.scala 68:30] wire [31:0] bus_intf_io_axi_ar_bits_addr; // @[lsu.scala 68:30] - wire [3:0] bus_intf_io_axi_ar_bits_region; // @[lsu.scala 68:30] wire [2:0] bus_intf_io_axi_ar_bits_size; // @[lsu.scala 68:30] - wire [3:0] bus_intf_io_axi_ar_bits_cache; // @[lsu.scala 68:30] wire bus_intf_io_axi_r_valid; // @[lsu.scala 68:30] wire [2:0] bus_intf_io_axi_r_bits_id; // @[lsu.scala 68:30] wire [63:0] bus_intf_io_axi_r_bits_data; // @[lsu.scala 68:30] @@ -74291,7 +74205,6 @@ module lsu( .io_tlu_busbuff_lsu_pmu_bus_error(bus_intf_io_tlu_busbuff_lsu_pmu_bus_error), .io_tlu_busbuff_lsu_pmu_bus_busy(bus_intf_io_tlu_busbuff_lsu_pmu_bus_busy), .io_tlu_busbuff_dec_tlu_external_ldfwd_disable(bus_intf_io_tlu_busbuff_dec_tlu_external_ldfwd_disable), - .io_tlu_busbuff_dec_tlu_wb_coalescing_disable(bus_intf_io_tlu_busbuff_dec_tlu_wb_coalescing_disable), .io_tlu_busbuff_dec_tlu_sideeffect_posted_disable(bus_intf_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable), .io_tlu_busbuff_lsu_imprecise_error_load_any(bus_intf_io_tlu_busbuff_lsu_imprecise_error_load_any), .io_tlu_busbuff_lsu_imprecise_error_store_any(bus_intf_io_tlu_busbuff_lsu_imprecise_error_store_any), @@ -74309,9 +74222,7 @@ module lsu( .io_axi_aw_valid(bus_intf_io_axi_aw_valid), .io_axi_aw_bits_id(bus_intf_io_axi_aw_bits_id), .io_axi_aw_bits_addr(bus_intf_io_axi_aw_bits_addr), - .io_axi_aw_bits_region(bus_intf_io_axi_aw_bits_region), .io_axi_aw_bits_size(bus_intf_io_axi_aw_bits_size), - .io_axi_aw_bits_cache(bus_intf_io_axi_aw_bits_cache), .io_axi_w_ready(bus_intf_io_axi_w_ready), .io_axi_w_valid(bus_intf_io_axi_w_valid), .io_axi_w_bits_data(bus_intf_io_axi_w_bits_data), @@ -74323,9 +74234,7 @@ module lsu( .io_axi_ar_valid(bus_intf_io_axi_ar_valid), .io_axi_ar_bits_id(bus_intf_io_axi_ar_bits_id), .io_axi_ar_bits_addr(bus_intf_io_axi_ar_bits_addr), - .io_axi_ar_bits_region(bus_intf_io_axi_ar_bits_region), .io_axi_ar_bits_size(bus_intf_io_axi_ar_bits_size), - .io_axi_ar_bits_cache(bus_intf_io_axi_ar_bits_cache), .io_axi_r_valid(bus_intf_io_axi_r_valid), .io_axi_r_bits_id(bus_intf_io_axi_r_bits_id), .io_axi_r_bits_data(bus_intf_io_axi_r_bits_data), @@ -74410,18 +74319,14 @@ module lsu( assign io_axi_aw_valid = bus_intf_io_axi_aw_valid; // @[lsu.scala 314:49] assign io_axi_aw_bits_id = bus_intf_io_axi_aw_bits_id; // @[lsu.scala 314:49] assign io_axi_aw_bits_addr = bus_intf_io_axi_aw_bits_addr; // @[lsu.scala 314:49] - assign io_axi_aw_bits_region = bus_intf_io_axi_aw_bits_region; // @[lsu.scala 314:49] assign io_axi_aw_bits_size = bus_intf_io_axi_aw_bits_size; // @[lsu.scala 314:49] - assign io_axi_aw_bits_cache = bus_intf_io_axi_aw_bits_cache; // @[lsu.scala 314:49] assign io_axi_w_valid = bus_intf_io_axi_w_valid; // @[lsu.scala 314:49] assign io_axi_w_bits_data = bus_intf_io_axi_w_bits_data; // @[lsu.scala 314:49] assign io_axi_w_bits_strb = bus_intf_io_axi_w_bits_strb; // @[lsu.scala 314:49] assign io_axi_ar_valid = bus_intf_io_axi_ar_valid; // @[lsu.scala 314:49] assign io_axi_ar_bits_id = bus_intf_io_axi_ar_bits_id; // @[lsu.scala 314:49] assign io_axi_ar_bits_addr = bus_intf_io_axi_ar_bits_addr; // @[lsu.scala 314:49] - assign io_axi_ar_bits_region = bus_intf_io_axi_ar_bits_region; // @[lsu.scala 314:49] assign io_axi_ar_bits_size = bus_intf_io_axi_ar_bits_size; // @[lsu.scala 314:49] - assign io_axi_ar_bits_cache = bus_intf_io_axi_ar_bits_cache; // @[lsu.scala 314:49] assign io_lsu_result_m = lsu_lsc_ctl_io_lsu_result_m; // @[lsu.scala 61:19] assign io_lsu_result_corr_r = lsu_lsc_ctl_io_lsu_result_corr_r; // @[lsu.scala 62:24] assign io_lsu_load_stall_any = bus_intf_io_lsu_bus_buffer_full_any | dccm_ctl_io_ld_single_ecc_error_r_ff; // @[lsu.scala 75:25] @@ -74656,7 +74561,6 @@ module lsu( assign bus_intf_reset = reset; assign bus_intf_io_scan_mode = io_scan_mode; // @[lsu.scala 285:49] assign bus_intf_io_tlu_busbuff_dec_tlu_external_ldfwd_disable = io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[lsu.scala 286:26] - assign bus_intf_io_tlu_busbuff_dec_tlu_wb_coalescing_disable = io_lsu_dec_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu.scala 286:26] assign bus_intf_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable = io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu.scala 286:26] assign bus_intf_io_lsu_c1_m_clk = clkdomain_io_lsu_c1_m_clk; // @[lsu.scala 287:49] assign bus_intf_io_lsu_c1_r_clk = clkdomain_io_lsu_c1_r_clk; // @[lsu.scala 288:49] @@ -78424,7 +78328,7 @@ module dma_ctrl( input io_dbg_dma_dbg_ib_dbg_cmd_write, input [1:0] io_dbg_dma_dbg_ib_dbg_cmd_type, input [31:0] io_dbg_dma_dbg_ib_dbg_cmd_addr, - input [1:0] io_dbg_dma_dbg_dctl_dbg_cmd_wrdata, + input [31:0] io_dbg_dma_dbg_dctl_dbg_cmd_wrdata, input io_dbg_dma_io_dbg_dma_bubble, output io_dbg_dma_io_dma_dbg_ready, output io_dec_dma_dctl_dma_dma_dccm_stall_any, @@ -78442,25 +78346,18 @@ module dma_ctrl( input io_iccm_ready, output io_dma_axi_aw_ready, input io_dma_axi_aw_valid, - input io_dma_axi_aw_bits_id, input [31:0] io_dma_axi_aw_bits_addr, input [2:0] io_dma_axi_aw_bits_size, output io_dma_axi_w_ready, input io_dma_axi_w_valid, input [63:0] io_dma_axi_w_bits_data, input [7:0] io_dma_axi_w_bits_strb, - input io_dma_axi_b_ready, output io_dma_axi_b_valid, - output [1:0] io_dma_axi_b_bits_resp, - output io_dma_axi_b_bits_id, output io_dma_axi_ar_ready, input io_dma_axi_ar_valid, - input io_dma_axi_ar_bits_id, input [31:0] io_dma_axi_ar_bits_addr, input [2:0] io_dma_axi_ar_bits_size, - input io_dma_axi_r_ready, output io_dma_axi_r_valid, - output io_dma_axi_r_bits_id, output [63:0] io_dma_axi_r_bits_data, output [1:0] io_dma_axi_r_bits_resp, output io_lsu_dma_dma_lsc_ctl_dma_dccm_req, @@ -78557,13 +78454,6 @@ module dma_ctrl( reg [63:0] _RAND_69; reg [31:0] _RAND_70; reg [31:0] _RAND_71; - reg [31:0] _RAND_72; - reg [31:0] _RAND_73; - reg [31:0] _RAND_74; - reg [31:0] _RAND_75; - reg [31:0] _RAND_76; - reg [31:0] _RAND_77; - reg [31:0] _RAND_78; `endif // RANDOMIZE_REG_INIT wire rvclkhdr_io_l1clk; // @[lib.scala 368:23] wire rvclkhdr_io_clk; // @[lib.scala 368:23] @@ -78605,419 +78495,432 @@ module dma_ctrl( wire rvclkhdr_9_io_clk; // @[lib.scala 368:23] wire rvclkhdr_9_io_en; // @[lib.scala 368:23] wire rvclkhdr_9_io_scan_mode; // @[lib.scala 368:23] - wire dma_buffer_c1cgc_io_l1clk; // @[dma_ctrl.scala 392:32] - wire dma_buffer_c1cgc_io_clk; // @[dma_ctrl.scala 392:32] - wire dma_buffer_c1cgc_io_en; // @[dma_ctrl.scala 392:32] - wire dma_buffer_c1cgc_io_scan_mode; // @[dma_ctrl.scala 392:32] - wire dma_free_cgc_io_l1clk; // @[dma_ctrl.scala 398:28] - wire dma_free_cgc_io_clk; // @[dma_ctrl.scala 398:28] - wire dma_free_cgc_io_en; // @[dma_ctrl.scala 398:28] - wire dma_free_cgc_io_scan_mode; // @[dma_ctrl.scala 398:28] - wire dma_bus_cgc_io_l1clk; // @[dma_ctrl.scala 404:27] - wire dma_bus_cgc_io_clk; // @[dma_ctrl.scala 404:27] - wire dma_bus_cgc_io_en; // @[dma_ctrl.scala 404:27] - wire dma_bus_cgc_io_scan_mode; // @[dma_ctrl.scala 404:27] - wire rvclkhdr_10_io_l1clk; // @[lib.scala 368:23] - wire rvclkhdr_10_io_clk; // @[lib.scala 368:23] - wire rvclkhdr_10_io_en; // @[lib.scala 368:23] - wire rvclkhdr_10_io_scan_mode; // @[lib.scala 368:23] - wire rvclkhdr_11_io_l1clk; // @[lib.scala 368:23] - wire rvclkhdr_11_io_clk; // @[lib.scala 368:23] - wire rvclkhdr_11_io_en; // @[lib.scala 368:23] - wire rvclkhdr_11_io_scan_mode; // @[lib.scala 368:23] - wire rvclkhdr_12_io_l1clk; // @[lib.scala 368:23] - wire rvclkhdr_12_io_clk; // @[lib.scala 368:23] - wire rvclkhdr_12_io_en; // @[lib.scala 368:23] - wire rvclkhdr_12_io_scan_mode; // @[lib.scala 368:23] - wire dma_free_clk = dma_free_cgc_io_l1clk; // @[dma_ctrl.scala 175:26 dma_ctrl.scala 402:29] + wire rvclkhdr_10_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_10_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_10_io_en; // @[lib.scala 343:22] + wire rvclkhdr_10_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_11_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_11_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_11_io_en; // @[lib.scala 343:22] + wire rvclkhdr_11_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_12_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_12_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_12_io_en; // @[lib.scala 343:22] + wire rvclkhdr_12_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_13_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_13_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_13_io_en; // @[lib.scala 368:23] + wire rvclkhdr_13_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_14_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_14_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_14_io_en; // @[lib.scala 368:23] + wire rvclkhdr_14_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_15_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_15_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_15_io_en; // @[lib.scala 368:23] + wire rvclkhdr_15_io_scan_mode; // @[lib.scala 368:23] + wire dma_free_clk = rvclkhdr_11_io_l1clk; // @[dma_ctrl.scala 168:26 dma_ctrl.scala 390:21] reg [2:0] RdPtr; // @[Reg.scala 27:20] reg [31:0] fifo_addr_4; // @[lib.scala 374:16] reg [31:0] fifo_addr_3; // @[lib.scala 374:16] reg [31:0] fifo_addr_2; // @[lib.scala 374:16] reg [31:0] fifo_addr_1; // @[lib.scala 374:16] reg [31:0] fifo_addr_0; // @[lib.scala 374:16] - wire [31:0] _GEN_60 = 3'h1 == RdPtr ? fifo_addr_1 : fifo_addr_0; // @[dma_ctrl.scala 358:20] - wire [31:0] _GEN_61 = 3'h2 == RdPtr ? fifo_addr_2 : _GEN_60; // @[dma_ctrl.scala 358:20] - wire [31:0] _GEN_62 = 3'h3 == RdPtr ? fifo_addr_3 : _GEN_61; // @[dma_ctrl.scala 358:20] - wire [31:0] dma_mem_addr_int = 3'h4 == RdPtr ? fifo_addr_4 : _GEN_62; // @[dma_ctrl.scala 358:20] + wire [31:0] _GEN_60 = 3'h1 == RdPtr ? fifo_addr_1 : fifo_addr_0; // @[dma_ctrl.scala 355:20] + wire [31:0] _GEN_61 = 3'h2 == RdPtr ? fifo_addr_2 : _GEN_60; // @[dma_ctrl.scala 355:20] + wire [31:0] _GEN_62 = 3'h3 == RdPtr ? fifo_addr_3 : _GEN_61; // @[dma_ctrl.scala 355:20] + wire [31:0] dma_mem_addr_int = 3'h4 == RdPtr ? fifo_addr_4 : _GEN_62; // @[dma_ctrl.scala 355:20] wire dma_mem_addr_in_dccm = dma_mem_addr_int[31:16] == 16'hf004; // @[lib.scala 361:39] wire dma_mem_addr_in_pic = dma_mem_addr_int[31:15] == 17'h1e018; // @[lib.scala 361:39] wire dma_mem_addr_in_iccm = dma_mem_addr_int[31:16] == 16'hee00; // @[lib.scala 361:39] - wire dma_bus_clk = dma_bus_cgc_io_l1clk; // @[dma_ctrl.scala 177:25 dma_ctrl.scala 408:28] - reg wrbuf_vld; // @[dma_ctrl.scala 418:59] - reg wrbuf_data_vld; // @[dma_ctrl.scala 420:59] - wire _T_1240 = wrbuf_vld & wrbuf_data_vld; // @[dma_ctrl.scala 476:43] - reg rdbuf_vld; // @[dma_ctrl.scala 444:47] - wire _T_1241 = _T_1240 & rdbuf_vld; // @[dma_ctrl.scala 476:60] + wire dma_bus_clk = rvclkhdr_12_io_l1clk; // @[dma_ctrl.scala 170:25 dma_ctrl.scala 391:21] + reg wrbuf_vld; // @[dma_ctrl.scala 402:59] + reg wrbuf_data_vld; // @[dma_ctrl.scala 404:59] + wire _T_1262 = wrbuf_vld & wrbuf_data_vld; // @[dma_ctrl.scala 460:43] + reg rdbuf_vld; // @[dma_ctrl.scala 428:47] + wire _T_1263 = _T_1262 & rdbuf_vld; // @[dma_ctrl.scala 460:60] reg axi_mstr_priority; // @[Reg.scala 27:20] - wire axi_mstr_sel = _T_1241 ? axi_mstr_priority : _T_1240; // @[dma_ctrl.scala 476:31] + wire axi_mstr_sel = _T_1263 ? axi_mstr_priority : _T_1262; // @[dma_ctrl.scala 460:31] reg [31:0] wrbuf_addr; // @[lib.scala 374:16] reg [31:0] rdbuf_addr; // @[lib.scala 374:16] - wire [31:0] bus_cmd_addr = axi_mstr_sel ? wrbuf_addr : rdbuf_addr; // @[dma_ctrl.scala 466:43] - wire [2:0] _GEN_90 = {{2'd0}, io_dbg_dma_dbg_ib_dbg_cmd_addr[2]}; // @[dma_ctrl.scala 202:91] - wire [3:0] _T_17 = 3'h4 * _GEN_90; // @[dma_ctrl.scala 202:91] - wire [18:0] _T_18 = 19'hf << _T_17; // @[dma_ctrl.scala 202:83] + wire [31:0] bus_cmd_addr = axi_mstr_sel ? wrbuf_addr : rdbuf_addr; // @[dma_ctrl.scala 450:43] + wire [2:0] _GEN_90 = {{2'd0}, io_dbg_dma_dbg_ib_dbg_cmd_addr[2]}; // @[dma_ctrl.scala 195:91] + wire [3:0] _T_17 = 3'h4 * _GEN_90; // @[dma_ctrl.scala 195:91] + wire [18:0] _T_18 = 19'hf << _T_17; // @[dma_ctrl.scala 195:83] reg [7:0] wrbuf_byteen; // @[Reg.scala 27:20] - wire [18:0] _T_20 = io_dbg_dma_dbg_ib_dbg_cmd_valid ? _T_18 : {{11'd0}, wrbuf_byteen}; // @[dma_ctrl.scala 202:34] + wire [18:0] _T_20 = io_dbg_dma_dbg_ib_dbg_cmd_valid ? _T_18 : {{11'd0}, wrbuf_byteen}; // @[dma_ctrl.scala 195:34] wire [2:0] _T_23 = {1'h0,io_dbg_cmd_size}; // @[Cat.scala 29:58] reg [2:0] wrbuf_sz; // @[Reg.scala 27:20] reg [2:0] rdbuf_sz; // @[Reg.scala 27:20] - wire [2:0] bus_cmd_sz = axi_mstr_sel ? wrbuf_sz : rdbuf_sz; // @[dma_ctrl.scala 467:45] - wire [2:0] fifo_sz_in = io_dbg_dma_dbg_ib_dbg_cmd_valid ? _T_23 : bus_cmd_sz; // @[dma_ctrl.scala 204:33] - wire fifo_write_in = io_dbg_dma_dbg_ib_dbg_cmd_valid ? io_dbg_dma_dbg_ib_dbg_cmd_write : axi_mstr_sel; // @[dma_ctrl.scala 206:33] - wire bus_cmd_valid = _T_1240 | rdbuf_vld; // @[dma_ctrl.scala 462:69] - reg fifo_full; // @[dma_ctrl.scala 376:12] - reg dbg_dma_bubble_bus; // @[dma_ctrl.scala 380:12] - wire _T_989 = fifo_full | dbg_dma_bubble_bus; // @[dma_ctrl.scala 306:39] - wire dma_fifo_ready = ~_T_989; // @[dma_ctrl.scala 306:27] - wire axi_mstr_prty_en = bus_cmd_valid & dma_fifo_ready; // @[dma_ctrl.scala 463:54] - wire _T_28 = axi_mstr_prty_en & io_dma_bus_clk_en; // @[dma_ctrl.scala 213:80] - wire _T_31 = io_dbg_dma_dbg_ib_dbg_cmd_valid & io_dbg_dma_dbg_ib_dbg_cmd_type[1]; // @[dma_ctrl.scala 213:136] - wire _T_32 = _T_28 | _T_31; // @[dma_ctrl.scala 213:101] + wire [2:0] bus_cmd_sz = axi_mstr_sel ? wrbuf_sz : rdbuf_sz; // @[dma_ctrl.scala 451:45] + wire [2:0] fifo_sz_in = io_dbg_dma_dbg_ib_dbg_cmd_valid ? _T_23 : bus_cmd_sz; // @[dma_ctrl.scala 197:33] + wire fifo_write_in = io_dbg_dma_dbg_ib_dbg_cmd_valid ? io_dbg_dma_dbg_ib_dbg_cmd_write : axi_mstr_sel; // @[dma_ctrl.scala 199:33] + wire bus_cmd_valid = _T_1262 | rdbuf_vld; // @[dma_ctrl.scala 446:69] + reg fifo_full; // @[dma_ctrl.scala 373:12] + reg dbg_dma_bubble_bus; // @[dma_ctrl.scala 377:12] + wire _T_989 = fifo_full | dbg_dma_bubble_bus; // @[dma_ctrl.scala 299:39] + wire dma_fifo_ready = ~_T_989; // @[dma_ctrl.scala 299:27] + wire axi_mstr_prty_en = bus_cmd_valid & dma_fifo_ready; // @[dma_ctrl.scala 447:54] + wire _T_28 = axi_mstr_prty_en & io_dma_bus_clk_en; // @[dma_ctrl.scala 206:80] + wire _T_31 = io_dbg_dma_dbg_ib_dbg_cmd_valid & io_dbg_dma_dbg_ib_dbg_cmd_type[1]; // @[dma_ctrl.scala 206:136] + wire _T_32 = _T_28 | _T_31; // @[dma_ctrl.scala 206:101] reg [2:0] WrPtr; // @[Reg.scala 27:20] - wire _T_33 = 3'h0 == WrPtr; // @[dma_ctrl.scala 213:188] - wire _T_34 = _T_32 & _T_33; // @[dma_ctrl.scala 213:181] - wire _T_41 = 3'h1 == WrPtr; // @[dma_ctrl.scala 213:188] - wire _T_42 = _T_32 & _T_41; // @[dma_ctrl.scala 213:181] - wire _T_49 = 3'h2 == WrPtr; // @[dma_ctrl.scala 213:188] - wire _T_50 = _T_32 & _T_49; // @[dma_ctrl.scala 213:181] - wire _T_57 = 3'h3 == WrPtr; // @[dma_ctrl.scala 213:188] - wire _T_58 = _T_32 & _T_57; // @[dma_ctrl.scala 213:181] - wire _T_65 = 3'h4 == WrPtr; // @[dma_ctrl.scala 213:188] - wire _T_66 = _T_32 & _T_65; // @[dma_ctrl.scala 213:181] + wire _T_33 = 3'h0 == WrPtr; // @[dma_ctrl.scala 206:188] + wire _T_34 = _T_32 & _T_33; // @[dma_ctrl.scala 206:181] + wire _T_41 = 3'h1 == WrPtr; // @[dma_ctrl.scala 206:188] + wire _T_42 = _T_32 & _T_41; // @[dma_ctrl.scala 206:181] + wire _T_49 = 3'h2 == WrPtr; // @[dma_ctrl.scala 206:188] + wire _T_50 = _T_32 & _T_49; // @[dma_ctrl.scala 206:181] + wire _T_57 = 3'h3 == WrPtr; // @[dma_ctrl.scala 206:188] + wire _T_58 = _T_32 & _T_57; // @[dma_ctrl.scala 206:181] + wire _T_65 = 3'h4 == WrPtr; // @[dma_ctrl.scala 206:188] + wire _T_66 = _T_32 & _T_65; // @[dma_ctrl.scala 206:181] wire [4:0] fifo_cmd_en = {_T_66,_T_58,_T_50,_T_42,_T_34}; // @[Cat.scala 29:58] - wire _T_71 = axi_mstr_prty_en & fifo_write_in; // @[dma_ctrl.scala 215:73] - wire _T_72 = _T_71 & io_dma_bus_clk_en; // @[dma_ctrl.scala 215:89] - wire _T_75 = _T_31 & io_dbg_dma_dbg_ib_dbg_cmd_write; // @[dma_ctrl.scala 215:181] - wire _T_76 = _T_72 | _T_75; // @[dma_ctrl.scala 215:110] - wire _T_78 = _T_76 & _T_33; // @[dma_ctrl.scala 215:217] - reg _T_598; // @[dma_ctrl.scala 233:82] - reg _T_591; // @[dma_ctrl.scala 233:82] - reg _T_584; // @[dma_ctrl.scala 233:82] - reg _T_577; // @[dma_ctrl.scala 233:82] - reg _T_570; // @[dma_ctrl.scala 233:82] + wire _T_71 = axi_mstr_prty_en & fifo_write_in; // @[dma_ctrl.scala 208:73] + wire _T_72 = _T_71 & io_dma_bus_clk_en; // @[dma_ctrl.scala 208:89] + wire _T_75 = _T_31 & io_dbg_dma_dbg_ib_dbg_cmd_write; // @[dma_ctrl.scala 208:181] + wire _T_76 = _T_72 | _T_75; // @[dma_ctrl.scala 208:110] + wire _T_78 = _T_76 & _T_33; // @[dma_ctrl.scala 208:217] + reg _T_598; // @[dma_ctrl.scala 226:82] + reg _T_591; // @[dma_ctrl.scala 226:82] + reg _T_584; // @[dma_ctrl.scala 226:82] + reg _T_577; // @[dma_ctrl.scala 226:82] + reg _T_570; // @[dma_ctrl.scala 226:82] wire [4:0] fifo_valid = {_T_598,_T_591,_T_584,_T_577,_T_570}; // @[Cat.scala 29:58] - wire [4:0] _T_990 = fifo_valid >> RdPtr; // @[dma_ctrl.scala 310:38] - reg _T_760; // @[dma_ctrl.scala 241:89] - reg _T_753; // @[dma_ctrl.scala 241:89] - reg _T_746; // @[dma_ctrl.scala 241:89] - reg _T_739; // @[dma_ctrl.scala 241:89] - reg _T_732; // @[dma_ctrl.scala 241:89] + wire [4:0] _T_990 = fifo_valid >> RdPtr; // @[dma_ctrl.scala 303:38] + reg _T_760; // @[dma_ctrl.scala 234:89] + reg _T_753; // @[dma_ctrl.scala 234:89] + reg _T_746; // @[dma_ctrl.scala 234:89] + reg _T_739; // @[dma_ctrl.scala 234:89] + reg _T_732; // @[dma_ctrl.scala 234:89] wire [4:0] fifo_done = {_T_760,_T_753,_T_746,_T_739,_T_732}; // @[Cat.scala 29:58] - wire [4:0] _T_992 = fifo_done >> RdPtr; // @[dma_ctrl.scala 310:58] - wire _T_994 = ~_T_992[0]; // @[dma_ctrl.scala 310:48] - wire _T_995 = _T_990[0] & _T_994; // @[dma_ctrl.scala 310:46] - wire dma_buffer_c1_clk = dma_buffer_c1cgc_io_l1clk; // @[dma_ctrl.scala 179:31 dma_ctrl.scala 396:33] + wire [4:0] _T_992 = fifo_done >> RdPtr; // @[dma_ctrl.scala 303:58] + wire _T_994 = ~_T_992[0]; // @[dma_ctrl.scala 303:48] + wire _T_995 = _T_990[0] & _T_994; // @[dma_ctrl.scala 303:46] + wire dma_buffer_c1_clk = rvclkhdr_10_io_l1clk; // @[dma_ctrl.scala 172:31 dma_ctrl.scala 389:21] reg _T_886; // @[Reg.scala 27:20] reg _T_884; // @[Reg.scala 27:20] reg _T_882; // @[Reg.scala 27:20] reg _T_880; // @[Reg.scala 27:20] reg _T_878; // @[Reg.scala 27:20] wire [4:0] fifo_dbg = {_T_886,_T_884,_T_882,_T_880,_T_878}; // @[Cat.scala 29:58] - wire [4:0] _T_996 = fifo_dbg >> RdPtr; // @[dma_ctrl.scala 310:77] - wire _T_998 = ~_T_996[0]; // @[dma_ctrl.scala 310:68] - wire _T_999 = _T_995 & _T_998; // @[dma_ctrl.scala 310:66] - wire _T_1000 = dma_mem_addr_in_dccm | dma_mem_addr_in_iccm; // @[dma_ctrl.scala 310:111] - wire _T_1001 = ~_T_1000; // @[dma_ctrl.scala 310:88] - wire dma_address_error = _T_999 & _T_1001; // @[dma_ctrl.scala 310:85] - wire _T_1009 = ~dma_address_error; // @[dma_ctrl.scala 311:68] - wire _T_1010 = _T_995 & _T_1009; // @[dma_ctrl.scala 311:66] + wire [4:0] _T_996 = fifo_dbg >> RdPtr; // @[dma_ctrl.scala 303:77] + wire _T_998 = ~_T_996[0]; // @[dma_ctrl.scala 303:68] + wire _T_999 = _T_995 & _T_998; // @[dma_ctrl.scala 303:66] + wire _T_1000 = dma_mem_addr_in_dccm | dma_mem_addr_in_iccm; // @[dma_ctrl.scala 303:111] + wire _T_1001 = ~_T_1000; // @[dma_ctrl.scala 303:88] + wire dma_address_error = _T_999 & _T_1001; // @[dma_ctrl.scala 303:85] + wire _T_1009 = ~dma_address_error; // @[dma_ctrl.scala 304:68] + wire _T_1010 = _T_995 & _T_1009; // @[dma_ctrl.scala 304:66] reg [2:0] fifo_sz_4; // @[Reg.scala 27:20] reg [2:0] fifo_sz_3; // @[Reg.scala 27:20] reg [2:0] fifo_sz_2; // @[Reg.scala 27:20] reg [2:0] fifo_sz_1; // @[Reg.scala 27:20] reg [2:0] fifo_sz_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_65 = 3'h1 == RdPtr ? fifo_sz_1 : fifo_sz_0; // @[dma_ctrl.scala 359:20] - wire [2:0] _GEN_66 = 3'h2 == RdPtr ? fifo_sz_2 : _GEN_65; // @[dma_ctrl.scala 359:20] - wire [2:0] _GEN_67 = 3'h3 == RdPtr ? fifo_sz_3 : _GEN_66; // @[dma_ctrl.scala 359:20] - wire [2:0] dma_mem_sz_int = 3'h4 == RdPtr ? fifo_sz_4 : _GEN_67; // @[dma_ctrl.scala 359:20] - wire _T_1012 = dma_mem_sz_int == 3'h1; // @[dma_ctrl.scala 312:28] - wire _T_1014 = _T_1012 & dma_mem_addr_int[0]; // @[dma_ctrl.scala 312:37] - wire _T_1016 = dma_mem_sz_int == 3'h2; // @[dma_ctrl.scala 313:29] - wire _T_1018 = |dma_mem_addr_int[1:0]; // @[dma_ctrl.scala 313:64] - wire _T_1019 = _T_1016 & _T_1018; // @[dma_ctrl.scala 313:38] - wire _T_1020 = _T_1014 | _T_1019; // @[dma_ctrl.scala 312:60] - wire _T_1022 = dma_mem_sz_int == 3'h3; // @[dma_ctrl.scala 314:29] - wire _T_1024 = |dma_mem_addr_int[2:0]; // @[dma_ctrl.scala 314:64] - wire _T_1025 = _T_1022 & _T_1024; // @[dma_ctrl.scala 314:38] - wire _T_1026 = _T_1020 | _T_1025; // @[dma_ctrl.scala 313:70] - wire _T_1028 = dma_mem_sz_int[1:0] == 2'h2; // @[dma_ctrl.scala 315:55] - wire _T_1030 = dma_mem_sz_int[1:0] == 2'h3; // @[dma_ctrl.scala 315:88] - wire _T_1031 = _T_1028 | _T_1030; // @[dma_ctrl.scala 315:64] - wire _T_1032 = ~_T_1031; // @[dma_ctrl.scala 315:31] - wire _T_1033 = dma_mem_addr_in_iccm & _T_1032; // @[dma_ctrl.scala 315:29] - wire _T_1034 = _T_1026 | _T_1033; // @[dma_ctrl.scala 314:70] - wire _T_1035 = dma_mem_addr_in_dccm & io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[dma_ctrl.scala 316:29] - wire _T_1042 = _T_1035 & _T_1032; // @[dma_ctrl.scala 316:68] - wire _T_1043 = _T_1034 | _T_1042; // @[dma_ctrl.scala 315:108] - wire _T_1046 = io_lsu_dma_dma_lsc_ctl_dma_mem_write & _T_1016; // @[dma_ctrl.scala 317:45] - wire _T_1048 = dma_mem_addr_int[2:0] == 3'h0; // @[dma_ctrl.scala 317:114] + wire [2:0] _GEN_65 = 3'h1 == RdPtr ? fifo_sz_1 : fifo_sz_0; // @[dma_ctrl.scala 356:20] + wire [2:0] _GEN_66 = 3'h2 == RdPtr ? fifo_sz_2 : _GEN_65; // @[dma_ctrl.scala 356:20] + wire [2:0] _GEN_67 = 3'h3 == RdPtr ? fifo_sz_3 : _GEN_66; // @[dma_ctrl.scala 356:20] + wire [2:0] dma_mem_sz_int = 3'h4 == RdPtr ? fifo_sz_4 : _GEN_67; // @[dma_ctrl.scala 356:20] + wire _T_1012 = dma_mem_sz_int == 3'h1; // @[dma_ctrl.scala 305:28] + wire _T_1014 = _T_1012 & dma_mem_addr_int[0]; // @[dma_ctrl.scala 305:37] + wire _T_1016 = dma_mem_sz_int == 3'h2; // @[dma_ctrl.scala 306:29] + wire _T_1018 = |dma_mem_addr_int[1:0]; // @[dma_ctrl.scala 306:64] + wire _T_1019 = _T_1016 & _T_1018; // @[dma_ctrl.scala 306:38] + wire _T_1020 = _T_1014 | _T_1019; // @[dma_ctrl.scala 305:60] + wire _T_1022 = dma_mem_sz_int == 3'h3; // @[dma_ctrl.scala 307:29] + wire _T_1024 = |dma_mem_addr_int[2:0]; // @[dma_ctrl.scala 307:64] + wire _T_1025 = _T_1022 & _T_1024; // @[dma_ctrl.scala 307:38] + wire _T_1026 = _T_1020 | _T_1025; // @[dma_ctrl.scala 306:70] + wire _T_1028 = dma_mem_sz_int[1:0] == 2'h2; // @[dma_ctrl.scala 308:55] + wire _T_1030 = dma_mem_sz_int[1:0] == 2'h3; // @[dma_ctrl.scala 308:88] + wire _T_1031 = _T_1028 | _T_1030; // @[dma_ctrl.scala 308:64] + wire _T_1032 = ~_T_1031; // @[dma_ctrl.scala 308:31] + wire _T_1033 = dma_mem_addr_in_iccm & _T_1032; // @[dma_ctrl.scala 308:29] + wire _T_1034 = _T_1026 | _T_1033; // @[dma_ctrl.scala 307:70] + wire _T_1035 = dma_mem_addr_in_dccm & io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[dma_ctrl.scala 309:29] + wire _T_1042 = _T_1035 & _T_1032; // @[dma_ctrl.scala 309:68] + wire _T_1043 = _T_1034 | _T_1042; // @[dma_ctrl.scala 308:108] + wire _T_1046 = io_lsu_dma_dma_lsc_ctl_dma_mem_write & _T_1016; // @[dma_ctrl.scala 310:45] + wire _T_1048 = dma_mem_addr_int[2:0] == 3'h0; // @[dma_ctrl.scala 310:114] reg [7:0] fifo_byteen_4; // @[Reg.scala 27:20] reg [7:0] fifo_byteen_3; // @[Reg.scala 27:20] reg [7:0] fifo_byteen_2; // @[Reg.scala 27:20] reg [7:0] fifo_byteen_1; // @[Reg.scala 27:20] reg [7:0] fifo_byteen_0; // @[Reg.scala 27:20] - wire [7:0] _GEN_70 = 3'h1 == RdPtr ? fifo_byteen_1 : fifo_byteen_0; // @[dma_ctrl.scala 362:20] - wire [7:0] _GEN_71 = 3'h2 == RdPtr ? fifo_byteen_2 : _GEN_70; // @[dma_ctrl.scala 362:20] - wire [7:0] _GEN_72 = 3'h3 == RdPtr ? fifo_byteen_3 : _GEN_71; // @[dma_ctrl.scala 362:20] - wire [7:0] dma_mem_byteen = 3'h4 == RdPtr ? fifo_byteen_4 : _GEN_72; // @[dma_ctrl.scala 362:20] - wire [3:0] _T_1059 = _T_1048 ? dma_mem_byteen[3:0] : 4'h0; // @[Mux.scala 27:72] - wire _T_1051 = dma_mem_addr_int[2:0] == 3'h1; // @[dma_ctrl.scala 318:32] - wire [3:0] _T_1060 = _T_1051 ? dma_mem_byteen[4:1] : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_1063 = _T_1059 | _T_1060; // @[Mux.scala 27:72] - wire _T_1054 = dma_mem_addr_int[2:0] == 3'h2; // @[dma_ctrl.scala 319:32] - wire [3:0] _T_1061 = _T_1054 ? dma_mem_byteen[5:2] : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_1064 = _T_1063 | _T_1061; // @[Mux.scala 27:72] - wire _T_1057 = dma_mem_addr_int[2:0] == 3'h3; // @[dma_ctrl.scala 320:32] - wire [3:0] _T_1062 = _T_1057 ? dma_mem_byteen[6:3] : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_1065 = _T_1064 | _T_1062; // @[Mux.scala 27:72] - wire _T_1067 = _T_1065 != 4'hf; // @[dma_ctrl.scala 320:68] - wire _T_1068 = _T_1046 & _T_1067; // @[dma_ctrl.scala 317:78] - wire _T_1069 = _T_1043 | _T_1068; // @[dma_ctrl.scala 316:145] - wire _T_1072 = io_lsu_dma_dma_lsc_ctl_dma_mem_write & _T_1022; // @[dma_ctrl.scala 321:45] - wire _T_1074 = dma_mem_byteen == 8'hf; // @[dma_ctrl.scala 321:103] - wire _T_1076 = dma_mem_byteen == 8'hf0; // @[dma_ctrl.scala 321:139] - wire _T_1077 = _T_1074 | _T_1076; // @[dma_ctrl.scala 321:116] - wire _T_1079 = dma_mem_byteen == 8'hff; // @[dma_ctrl.scala 321:175] - wire _T_1080 = _T_1077 | _T_1079; // @[dma_ctrl.scala 321:152] - wire _T_1081 = ~_T_1080; // @[dma_ctrl.scala 321:80] - wire _T_1082 = _T_1072 & _T_1081; // @[dma_ctrl.scala 321:78] - wire _T_1083 = _T_1069 | _T_1082; // @[dma_ctrl.scala 320:79] - wire dma_alignment_error = _T_1010 & _T_1083; // @[dma_ctrl.scala 311:87] - wire _T_79 = dma_address_error | dma_alignment_error; // @[dma_ctrl.scala 215:258] - wire _T_80 = 3'h0 == RdPtr; // @[dma_ctrl.scala 215:288] - wire _T_81 = _T_79 & _T_80; // @[dma_ctrl.scala 215:281] - wire _T_82 = _T_78 | _T_81; // @[dma_ctrl.scala 215:236] - wire _T_83 = 3'h0 == io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag; // @[dma_ctrl.scala 215:350] - wire _T_84 = io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid & _T_83; // @[dma_ctrl.scala 215:343] - wire _T_85 = _T_82 | _T_84; // @[dma_ctrl.scala 215:300] - wire _T_86 = 3'h0 == io_iccm_dma_rtag; // @[dma_ctrl.scala 215:423] - wire _T_87 = io_iccm_dma_rvalid & _T_86; // @[dma_ctrl.scala 215:416] - wire _T_88 = _T_85 | _T_87; // @[dma_ctrl.scala 215:394] - wire _T_96 = _T_76 & _T_41; // @[dma_ctrl.scala 215:217] - wire _T_98 = 3'h1 == RdPtr; // @[dma_ctrl.scala 215:288] - wire _T_99 = _T_79 & _T_98; // @[dma_ctrl.scala 215:281] - wire _T_100 = _T_96 | _T_99; // @[dma_ctrl.scala 215:236] - wire _T_101 = 3'h1 == io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag; // @[dma_ctrl.scala 215:350] - wire _T_102 = io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid & _T_101; // @[dma_ctrl.scala 215:343] - wire _T_103 = _T_100 | _T_102; // @[dma_ctrl.scala 215:300] - wire _T_104 = 3'h1 == io_iccm_dma_rtag; // @[dma_ctrl.scala 215:423] - wire _T_105 = io_iccm_dma_rvalid & _T_104; // @[dma_ctrl.scala 215:416] - wire _T_106 = _T_103 | _T_105; // @[dma_ctrl.scala 215:394] - wire _T_114 = _T_76 & _T_49; // @[dma_ctrl.scala 215:217] - wire _T_116 = 3'h2 == RdPtr; // @[dma_ctrl.scala 215:288] - wire _T_117 = _T_79 & _T_116; // @[dma_ctrl.scala 215:281] - wire _T_118 = _T_114 | _T_117; // @[dma_ctrl.scala 215:236] - wire _T_119 = 3'h2 == io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag; // @[dma_ctrl.scala 215:350] - wire _T_120 = io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid & _T_119; // @[dma_ctrl.scala 215:343] - wire _T_121 = _T_118 | _T_120; // @[dma_ctrl.scala 215:300] - wire _T_122 = 3'h2 == io_iccm_dma_rtag; // @[dma_ctrl.scala 215:423] - wire _T_123 = io_iccm_dma_rvalid & _T_122; // @[dma_ctrl.scala 215:416] - wire _T_124 = _T_121 | _T_123; // @[dma_ctrl.scala 215:394] - wire _T_132 = _T_76 & _T_57; // @[dma_ctrl.scala 215:217] - wire _T_134 = 3'h3 == RdPtr; // @[dma_ctrl.scala 215:288] - wire _T_135 = _T_79 & _T_134; // @[dma_ctrl.scala 215:281] - wire _T_136 = _T_132 | _T_135; // @[dma_ctrl.scala 215:236] - wire _T_137 = 3'h3 == io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag; // @[dma_ctrl.scala 215:350] - wire _T_138 = io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid & _T_137; // @[dma_ctrl.scala 215:343] - wire _T_139 = _T_136 | _T_138; // @[dma_ctrl.scala 215:300] - wire _T_140 = 3'h3 == io_iccm_dma_rtag; // @[dma_ctrl.scala 215:423] - wire _T_141 = io_iccm_dma_rvalid & _T_140; // @[dma_ctrl.scala 215:416] - wire _T_142 = _T_139 | _T_141; // @[dma_ctrl.scala 215:394] - wire _T_150 = _T_76 & _T_65; // @[dma_ctrl.scala 215:217] - wire _T_152 = 3'h4 == RdPtr; // @[dma_ctrl.scala 215:288] - wire _T_153 = _T_79 & _T_152; // @[dma_ctrl.scala 215:281] - wire _T_154 = _T_150 | _T_153; // @[dma_ctrl.scala 215:236] - wire _T_155 = 3'h4 == io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag; // @[dma_ctrl.scala 215:350] - wire _T_156 = io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid & _T_155; // @[dma_ctrl.scala 215:343] - wire _T_157 = _T_154 | _T_156; // @[dma_ctrl.scala 215:300] - wire _T_158 = 3'h4 == io_iccm_dma_rtag; // @[dma_ctrl.scala 215:423] - wire _T_159 = io_iccm_dma_rvalid & _T_158; // @[dma_ctrl.scala 215:416] - wire _T_160 = _T_157 | _T_159; // @[dma_ctrl.scala 215:394] + wire [7:0] _GEN_70 = 3'h1 == RdPtr ? fifo_byteen_1 : fifo_byteen_0; // @[dma_ctrl.scala 359:20] + wire [7:0] _GEN_71 = 3'h2 == RdPtr ? fifo_byteen_2 : _GEN_70; // @[dma_ctrl.scala 359:20] + wire [7:0] _GEN_72 = 3'h3 == RdPtr ? fifo_byteen_3 : _GEN_71; // @[dma_ctrl.scala 359:20] + wire [7:0] dma_mem_byteen = 3'h4 == RdPtr ? fifo_byteen_4 : _GEN_72; // @[dma_ctrl.scala 359:20] + wire [3:0] _T_1071 = _T_1048 ? dma_mem_byteen[3:0] : 4'h0; // @[Mux.scala 27:72] + wire _T_1051 = dma_mem_addr_int[2:0] == 3'h1; // @[dma_ctrl.scala 311:32] + wire [3:0] _T_1072 = _T_1051 ? dma_mem_byteen[4:1] : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1079 = _T_1071 | _T_1072; // @[Mux.scala 27:72] + wire _T_1054 = dma_mem_addr_int[2:0] == 3'h2; // @[dma_ctrl.scala 312:32] + wire [3:0] _T_1073 = _T_1054 ? dma_mem_byteen[5:2] : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1080 = _T_1079 | _T_1073; // @[Mux.scala 27:72] + wire _T_1057 = dma_mem_addr_int[2:0] == 3'h3; // @[dma_ctrl.scala 313:32] + wire [3:0] _T_1074 = _T_1057 ? dma_mem_byteen[6:3] : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1081 = _T_1080 | _T_1074; // @[Mux.scala 27:72] + wire _T_1060 = dma_mem_addr_int[2:0] == 3'h4; // @[dma_ctrl.scala 314:32] + wire [3:0] _T_1075 = _T_1060 ? dma_mem_byteen[7:4] : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1082 = _T_1081 | _T_1075; // @[Mux.scala 27:72] + wire _T_1063 = dma_mem_addr_int[2:0] == 3'h5; // @[dma_ctrl.scala 315:32] + wire [2:0] _T_1076 = _T_1063 ? dma_mem_byteen[7:5] : 3'h0; // @[Mux.scala 27:72] + wire [3:0] _GEN_91 = {{1'd0}, _T_1076}; // @[Mux.scala 27:72] + wire [3:0] _T_1083 = _T_1082 | _GEN_91; // @[Mux.scala 27:72] + wire _T_1066 = dma_mem_addr_int[2:0] == 3'h6; // @[dma_ctrl.scala 316:32] + wire [1:0] _T_1077 = _T_1066 ? dma_mem_byteen[7:6] : 2'h0; // @[Mux.scala 27:72] + wire [3:0] _GEN_92 = {{2'd0}, _T_1077}; // @[Mux.scala 27:72] + wire [3:0] _T_1084 = _T_1083 | _GEN_92; // @[Mux.scala 27:72] + wire _T_1069 = dma_mem_addr_int[2:0] == 3'h7; // @[dma_ctrl.scala 317:32] + wire _T_1078 = _T_1069 & dma_mem_byteen[7]; // @[Mux.scala 27:72] + wire [3:0] _GEN_93 = {{3'd0}, _T_1078}; // @[Mux.scala 27:72] + wire [3:0] _T_1085 = _T_1084 | _GEN_93; // @[Mux.scala 27:72] + wire _T_1087 = _T_1085 != 4'hf; // @[dma_ctrl.scala 317:66] + wire _T_1088 = _T_1046 & _T_1087; // @[dma_ctrl.scala 310:78] + wire _T_1089 = _T_1043 | _T_1088; // @[dma_ctrl.scala 309:145] + wire _T_1092 = io_lsu_dma_dma_lsc_ctl_dma_mem_write & _T_1022; // @[dma_ctrl.scala 318:45] + wire _T_1094 = dma_mem_byteen == 8'hf; // @[dma_ctrl.scala 318:103] + wire _T_1096 = dma_mem_byteen == 8'hf0; // @[dma_ctrl.scala 318:139] + wire _T_1097 = _T_1094 | _T_1096; // @[dma_ctrl.scala 318:116] + wire _T_1099 = dma_mem_byteen == 8'hff; // @[dma_ctrl.scala 318:175] + wire _T_1100 = _T_1097 | _T_1099; // @[dma_ctrl.scala 318:152] + wire _T_1101 = ~_T_1100; // @[dma_ctrl.scala 318:80] + wire _T_1102 = _T_1092 & _T_1101; // @[dma_ctrl.scala 318:78] + wire _T_1103 = _T_1089 | _T_1102; // @[dma_ctrl.scala 317:79] + wire dma_alignment_error = _T_1010 & _T_1103; // @[dma_ctrl.scala 304:87] + wire _T_79 = dma_address_error | dma_alignment_error; // @[dma_ctrl.scala 208:258] + wire _T_80 = 3'h0 == RdPtr; // @[dma_ctrl.scala 208:288] + wire _T_81 = _T_79 & _T_80; // @[dma_ctrl.scala 208:281] + wire _T_82 = _T_78 | _T_81; // @[dma_ctrl.scala 208:236] + wire _T_83 = 3'h0 == io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag; // @[dma_ctrl.scala 208:350] + wire _T_84 = io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid & _T_83; // @[dma_ctrl.scala 208:343] + wire _T_85 = _T_82 | _T_84; // @[dma_ctrl.scala 208:300] + wire _T_86 = 3'h0 == io_iccm_dma_rtag; // @[dma_ctrl.scala 208:423] + wire _T_87 = io_iccm_dma_rvalid & _T_86; // @[dma_ctrl.scala 208:416] + wire _T_88 = _T_85 | _T_87; // @[dma_ctrl.scala 208:394] + wire _T_96 = _T_76 & _T_41; // @[dma_ctrl.scala 208:217] + wire _T_98 = 3'h1 == RdPtr; // @[dma_ctrl.scala 208:288] + wire _T_99 = _T_79 & _T_98; // @[dma_ctrl.scala 208:281] + wire _T_100 = _T_96 | _T_99; // @[dma_ctrl.scala 208:236] + wire _T_101 = 3'h1 == io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag; // @[dma_ctrl.scala 208:350] + wire _T_102 = io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid & _T_101; // @[dma_ctrl.scala 208:343] + wire _T_103 = _T_100 | _T_102; // @[dma_ctrl.scala 208:300] + wire _T_104 = 3'h1 == io_iccm_dma_rtag; // @[dma_ctrl.scala 208:423] + wire _T_105 = io_iccm_dma_rvalid & _T_104; // @[dma_ctrl.scala 208:416] + wire _T_106 = _T_103 | _T_105; // @[dma_ctrl.scala 208:394] + wire _T_114 = _T_76 & _T_49; // @[dma_ctrl.scala 208:217] + wire _T_116 = 3'h2 == RdPtr; // @[dma_ctrl.scala 208:288] + wire _T_117 = _T_79 & _T_116; // @[dma_ctrl.scala 208:281] + wire _T_118 = _T_114 | _T_117; // @[dma_ctrl.scala 208:236] + wire _T_119 = 3'h2 == io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag; // @[dma_ctrl.scala 208:350] + wire _T_120 = io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid & _T_119; // @[dma_ctrl.scala 208:343] + wire _T_121 = _T_118 | _T_120; // @[dma_ctrl.scala 208:300] + wire _T_122 = 3'h2 == io_iccm_dma_rtag; // @[dma_ctrl.scala 208:423] + wire _T_123 = io_iccm_dma_rvalid & _T_122; // @[dma_ctrl.scala 208:416] + wire _T_124 = _T_121 | _T_123; // @[dma_ctrl.scala 208:394] + wire _T_132 = _T_76 & _T_57; // @[dma_ctrl.scala 208:217] + wire _T_134 = 3'h3 == RdPtr; // @[dma_ctrl.scala 208:288] + wire _T_135 = _T_79 & _T_134; // @[dma_ctrl.scala 208:281] + wire _T_136 = _T_132 | _T_135; // @[dma_ctrl.scala 208:236] + wire _T_137 = 3'h3 == io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag; // @[dma_ctrl.scala 208:350] + wire _T_138 = io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid & _T_137; // @[dma_ctrl.scala 208:343] + wire _T_139 = _T_136 | _T_138; // @[dma_ctrl.scala 208:300] + wire _T_140 = 3'h3 == io_iccm_dma_rtag; // @[dma_ctrl.scala 208:423] + wire _T_141 = io_iccm_dma_rvalid & _T_140; // @[dma_ctrl.scala 208:416] + wire _T_142 = _T_139 | _T_141; // @[dma_ctrl.scala 208:394] + wire _T_150 = _T_76 & _T_65; // @[dma_ctrl.scala 208:217] + wire _T_152 = 3'h4 == RdPtr; // @[dma_ctrl.scala 208:288] + wire _T_153 = _T_79 & _T_152; // @[dma_ctrl.scala 208:281] + wire _T_154 = _T_150 | _T_153; // @[dma_ctrl.scala 208:236] + wire _T_155 = 3'h4 == io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag; // @[dma_ctrl.scala 208:350] + wire _T_156 = io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid & _T_155; // @[dma_ctrl.scala 208:343] + wire _T_157 = _T_154 | _T_156; // @[dma_ctrl.scala 208:300] + wire _T_158 = 3'h4 == io_iccm_dma_rtag; // @[dma_ctrl.scala 208:423] + wire _T_159 = io_iccm_dma_rvalid & _T_158; // @[dma_ctrl.scala 208:416] + wire _T_160 = _T_157 | _T_159; // @[dma_ctrl.scala 208:394] wire [4:0] fifo_data_en = {_T_160,_T_142,_T_124,_T_106,_T_88}; // @[Cat.scala 29:58] - wire _T_165 = io_lsu_dma_dma_lsc_ctl_dma_dccm_req | io_ifu_dma_dma_mem_ctl_dma_iccm_req; // @[dma_ctrl.scala 217:95] - wire _T_166 = ~io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[dma_ctrl.scala 217:136] - wire _T_167 = _T_165 & _T_166; // @[dma_ctrl.scala 217:134] - wire _T_169 = _T_167 & _T_80; // @[dma_ctrl.scala 217:174] - wire _T_174 = _T_167 & _T_98; // @[dma_ctrl.scala 217:174] - wire _T_179 = _T_167 & _T_116; // @[dma_ctrl.scala 217:174] - wire _T_184 = _T_167 & _T_134; // @[dma_ctrl.scala 217:174] - wire _T_189 = _T_167 & _T_152; // @[dma_ctrl.scala 217:174] + wire _T_165 = io_lsu_dma_dma_lsc_ctl_dma_dccm_req | io_ifu_dma_dma_mem_ctl_dma_iccm_req; // @[dma_ctrl.scala 210:95] + wire _T_166 = ~io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[dma_ctrl.scala 210:136] + wire _T_167 = _T_165 & _T_166; // @[dma_ctrl.scala 210:134] + wire _T_169 = _T_167 & _T_80; // @[dma_ctrl.scala 210:174] + wire _T_174 = _T_167 & _T_98; // @[dma_ctrl.scala 210:174] + wire _T_179 = _T_167 & _T_116; // @[dma_ctrl.scala 210:174] + wire _T_184 = _T_167 & _T_134; // @[dma_ctrl.scala 210:174] + wire _T_189 = _T_167 & _T_152; // @[dma_ctrl.scala 210:174] wire [4:0] fifo_pend_en = {_T_189,_T_184,_T_179,_T_174,_T_169}; // @[Cat.scala 29:58] - wire _T_1107 = _T_995 & _T_996[0]; // @[dma_ctrl.scala 331:66] - wire _T_1109 = _T_1000 | dma_mem_addr_in_pic; // @[dma_ctrl.scala 331:134] - wire _T_1110 = ~_T_1109; // @[dma_ctrl.scala 331:88] - wire _T_1113 = dma_mem_sz_int[1:0] != 2'h2; // @[dma_ctrl.scala 331:191] - wire _T_1114 = _T_1110 | _T_1113; // @[dma_ctrl.scala 331:167] - wire dma_dbg_cmd_error = _T_1107 & _T_1114; // @[dma_ctrl.scala 331:84] - wire _T_197 = _T_79 | dma_dbg_cmd_error; // @[dma_ctrl.scala 219:114] - wire _T_199 = _T_197 & _T_80; // @[dma_ctrl.scala 219:135] - wire _T_200 = io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid & io_lsu_dma_dma_dccm_ctl_dccm_dma_ecc_error; // @[dma_ctrl.scala 219:198] - wire _T_202 = _T_200 & _T_83; // @[dma_ctrl.scala 219:244] - wire _T_203 = _T_199 | _T_202; // @[dma_ctrl.scala 219:154] - wire _T_204 = io_iccm_dma_rvalid & io_iccm_dma_ecc_error; // @[dma_ctrl.scala 219:318] - wire _T_206 = _T_204 & _T_86; // @[dma_ctrl.scala 219:343] - wire _T_207 = _T_203 | _T_206; // @[dma_ctrl.scala 219:295] - wire _T_213 = _T_197 & _T_98; // @[dma_ctrl.scala 219:135] - wire _T_216 = _T_200 & _T_101; // @[dma_ctrl.scala 219:244] - wire _T_217 = _T_213 | _T_216; // @[dma_ctrl.scala 219:154] - wire _T_220 = _T_204 & _T_104; // @[dma_ctrl.scala 219:343] - wire _T_221 = _T_217 | _T_220; // @[dma_ctrl.scala 219:295] - wire _T_227 = _T_197 & _T_116; // @[dma_ctrl.scala 219:135] - wire _T_230 = _T_200 & _T_119; // @[dma_ctrl.scala 219:244] - wire _T_231 = _T_227 | _T_230; // @[dma_ctrl.scala 219:154] - wire _T_234 = _T_204 & _T_122; // @[dma_ctrl.scala 219:343] - wire _T_235 = _T_231 | _T_234; // @[dma_ctrl.scala 219:295] - wire _T_241 = _T_197 & _T_134; // @[dma_ctrl.scala 219:135] - wire _T_244 = _T_200 & _T_137; // @[dma_ctrl.scala 219:244] - wire _T_245 = _T_241 | _T_244; // @[dma_ctrl.scala 219:154] - wire _T_248 = _T_204 & _T_140; // @[dma_ctrl.scala 219:343] - wire _T_249 = _T_245 | _T_248; // @[dma_ctrl.scala 219:295] - wire _T_255 = _T_197 & _T_152; // @[dma_ctrl.scala 219:135] - wire _T_258 = _T_200 & _T_155; // @[dma_ctrl.scala 219:244] - wire _T_259 = _T_255 | _T_258; // @[dma_ctrl.scala 219:154] - wire _T_262 = _T_204 & _T_158; // @[dma_ctrl.scala 219:343] - wire _T_263 = _T_259 | _T_262; // @[dma_ctrl.scala 219:295] + wire _T_1127 = _T_995 & _T_996[0]; // @[dma_ctrl.scala 328:66] + wire _T_1129 = _T_1000 | dma_mem_addr_in_pic; // @[dma_ctrl.scala 328:134] + wire _T_1130 = ~_T_1129; // @[dma_ctrl.scala 328:88] + wire _T_1133 = dma_mem_sz_int[1:0] != 2'h2; // @[dma_ctrl.scala 328:191] + wire _T_1134 = _T_1130 | _T_1133; // @[dma_ctrl.scala 328:167] + wire dma_dbg_cmd_error = _T_1127 & _T_1134; // @[dma_ctrl.scala 328:84] + wire _T_197 = _T_79 | dma_dbg_cmd_error; // @[dma_ctrl.scala 212:114] + wire _T_199 = _T_197 & _T_80; // @[dma_ctrl.scala 212:135] + wire _T_200 = io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid & io_lsu_dma_dma_dccm_ctl_dccm_dma_ecc_error; // @[dma_ctrl.scala 212:198] + wire _T_202 = _T_200 & _T_83; // @[dma_ctrl.scala 212:244] + wire _T_203 = _T_199 | _T_202; // @[dma_ctrl.scala 212:154] + wire _T_204 = io_iccm_dma_rvalid & io_iccm_dma_ecc_error; // @[dma_ctrl.scala 212:318] + wire _T_206 = _T_204 & _T_86; // @[dma_ctrl.scala 212:343] + wire _T_207 = _T_203 | _T_206; // @[dma_ctrl.scala 212:295] + wire _T_213 = _T_197 & _T_98; // @[dma_ctrl.scala 212:135] + wire _T_216 = _T_200 & _T_101; // @[dma_ctrl.scala 212:244] + wire _T_217 = _T_213 | _T_216; // @[dma_ctrl.scala 212:154] + wire _T_220 = _T_204 & _T_104; // @[dma_ctrl.scala 212:343] + wire _T_221 = _T_217 | _T_220; // @[dma_ctrl.scala 212:295] + wire _T_227 = _T_197 & _T_116; // @[dma_ctrl.scala 212:135] + wire _T_230 = _T_200 & _T_119; // @[dma_ctrl.scala 212:244] + wire _T_231 = _T_227 | _T_230; // @[dma_ctrl.scala 212:154] + wire _T_234 = _T_204 & _T_122; // @[dma_ctrl.scala 212:343] + wire _T_235 = _T_231 | _T_234; // @[dma_ctrl.scala 212:295] + wire _T_241 = _T_197 & _T_134; // @[dma_ctrl.scala 212:135] + wire _T_244 = _T_200 & _T_137; // @[dma_ctrl.scala 212:244] + wire _T_245 = _T_241 | _T_244; // @[dma_ctrl.scala 212:154] + wire _T_248 = _T_204 & _T_140; // @[dma_ctrl.scala 212:343] + wire _T_249 = _T_245 | _T_248; // @[dma_ctrl.scala 212:295] + wire _T_255 = _T_197 & _T_152; // @[dma_ctrl.scala 212:135] + wire _T_258 = _T_200 & _T_155; // @[dma_ctrl.scala 212:244] + wire _T_259 = _T_255 | _T_258; // @[dma_ctrl.scala 212:154] + wire _T_262 = _T_204 & _T_158; // @[dma_ctrl.scala 212:343] + wire _T_263 = _T_259 | _T_262; // @[dma_ctrl.scala 212:295] wire [4:0] fifo_error_en = {_T_263,_T_249,_T_235,_T_221,_T_207}; // @[Cat.scala 29:58] wire [1:0] _T_436 = {1'h0,io_lsu_dma_dma_dccm_ctl_dccm_dma_ecc_error}; // @[Cat.scala 29:58] wire [1:0] _T_439 = {1'h0,io_iccm_dma_ecc_error}; // @[Cat.scala 29:58] wire [1:0] _T_442 = {_T_197,dma_alignment_error}; // @[Cat.scala 29:58] - wire [1:0] _T_443 = _T_87 ? _T_439 : _T_442; // @[dma_ctrl.scala 229:209] - wire [1:0] fifo_error_in_0 = _T_84 ? _T_436 : _T_443; // @[dma_ctrl.scala 229:60] - wire _T_269 = |fifo_error_in_0; // @[dma_ctrl.scala 221:83] - reg [1:0] fifo_error_0; // @[dma_ctrl.scala 235:85] - wire _T_272 = |fifo_error_0; // @[dma_ctrl.scala 221:125] - wire [1:0] _T_454 = _T_105 ? _T_439 : _T_442; // @[dma_ctrl.scala 229:209] - wire [1:0] fifo_error_in_1 = _T_102 ? _T_436 : _T_454; // @[dma_ctrl.scala 229:60] - wire _T_276 = |fifo_error_in_1; // @[dma_ctrl.scala 221:83] - reg [1:0] fifo_error_1; // @[dma_ctrl.scala 235:85] - wire _T_279 = |fifo_error_1; // @[dma_ctrl.scala 221:125] - wire [1:0] _T_465 = _T_123 ? _T_439 : _T_442; // @[dma_ctrl.scala 229:209] - wire [1:0] fifo_error_in_2 = _T_120 ? _T_436 : _T_465; // @[dma_ctrl.scala 229:60] - wire _T_283 = |fifo_error_in_2; // @[dma_ctrl.scala 221:83] - reg [1:0] fifo_error_2; // @[dma_ctrl.scala 235:85] - wire _T_286 = |fifo_error_2; // @[dma_ctrl.scala 221:125] - wire [1:0] _T_476 = _T_141 ? _T_439 : _T_442; // @[dma_ctrl.scala 229:209] - wire [1:0] fifo_error_in_3 = _T_138 ? _T_436 : _T_476; // @[dma_ctrl.scala 229:60] - wire _T_290 = |fifo_error_in_3; // @[dma_ctrl.scala 221:83] - reg [1:0] fifo_error_3; // @[dma_ctrl.scala 235:85] - wire _T_293 = |fifo_error_3; // @[dma_ctrl.scala 221:125] - wire [1:0] _T_487 = _T_159 ? _T_439 : _T_442; // @[dma_ctrl.scala 229:209] - wire [1:0] fifo_error_in_4 = _T_156 ? _T_436 : _T_487; // @[dma_ctrl.scala 229:60] - wire _T_297 = |fifo_error_in_4; // @[dma_ctrl.scala 221:83] - reg [1:0] fifo_error_4; // @[dma_ctrl.scala 235:85] - wire _T_300 = |fifo_error_4; // @[dma_ctrl.scala 221:125] - wire _T_309 = _T_272 | fifo_error_en[0]; // @[dma_ctrl.scala 223:78] - wire _T_311 = _T_165 & io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[dma_ctrl.scala 223:176] - wire _T_312 = _T_309 | _T_311; // @[dma_ctrl.scala 223:97] - wire _T_314 = _T_312 & _T_80; // @[dma_ctrl.scala 223:217] - wire _T_317 = _T_314 | _T_84; // @[dma_ctrl.scala 223:236] - wire _T_320 = _T_317 | _T_87; // @[dma_ctrl.scala 223:330] - wire _T_323 = _T_279 | fifo_error_en[1]; // @[dma_ctrl.scala 223:78] - wire _T_326 = _T_323 | _T_311; // @[dma_ctrl.scala 223:97] - wire _T_328 = _T_326 & _T_98; // @[dma_ctrl.scala 223:217] - wire _T_331 = _T_328 | _T_102; // @[dma_ctrl.scala 223:236] - wire _T_334 = _T_331 | _T_105; // @[dma_ctrl.scala 223:330] - wire _T_337 = _T_286 | fifo_error_en[2]; // @[dma_ctrl.scala 223:78] - wire _T_340 = _T_337 | _T_311; // @[dma_ctrl.scala 223:97] - wire _T_342 = _T_340 & _T_116; // @[dma_ctrl.scala 223:217] - wire _T_345 = _T_342 | _T_120; // @[dma_ctrl.scala 223:236] - wire _T_348 = _T_345 | _T_123; // @[dma_ctrl.scala 223:330] - wire _T_351 = _T_293 | fifo_error_en[3]; // @[dma_ctrl.scala 223:78] - wire _T_354 = _T_351 | _T_311; // @[dma_ctrl.scala 223:97] - wire _T_356 = _T_354 & _T_134; // @[dma_ctrl.scala 223:217] - wire _T_359 = _T_356 | _T_138; // @[dma_ctrl.scala 223:236] - wire _T_362 = _T_359 | _T_141; // @[dma_ctrl.scala 223:330] - wire _T_365 = _T_300 | fifo_error_en[4]; // @[dma_ctrl.scala 223:78] - wire _T_368 = _T_365 | _T_311; // @[dma_ctrl.scala 223:97] - wire _T_370 = _T_368 & _T_152; // @[dma_ctrl.scala 223:217] - wire _T_373 = _T_370 | _T_156; // @[dma_ctrl.scala 223:236] - wire _T_376 = _T_373 | _T_159; // @[dma_ctrl.scala 223:330] + wire [1:0] _T_443 = _T_87 ? _T_439 : _T_442; // @[dma_ctrl.scala 222:209] + wire [1:0] fifo_error_in_0 = _T_84 ? _T_436 : _T_443; // @[dma_ctrl.scala 222:60] + wire _T_269 = |fifo_error_in_0; // @[dma_ctrl.scala 214:83] + reg [1:0] fifo_error_0; // @[dma_ctrl.scala 228:85] + wire _T_272 = |fifo_error_0; // @[dma_ctrl.scala 214:125] + wire [1:0] _T_454 = _T_105 ? _T_439 : _T_442; // @[dma_ctrl.scala 222:209] + wire [1:0] fifo_error_in_1 = _T_102 ? _T_436 : _T_454; // @[dma_ctrl.scala 222:60] + wire _T_276 = |fifo_error_in_1; // @[dma_ctrl.scala 214:83] + reg [1:0] fifo_error_1; // @[dma_ctrl.scala 228:85] + wire _T_279 = |fifo_error_1; // @[dma_ctrl.scala 214:125] + wire [1:0] _T_465 = _T_123 ? _T_439 : _T_442; // @[dma_ctrl.scala 222:209] + wire [1:0] fifo_error_in_2 = _T_120 ? _T_436 : _T_465; // @[dma_ctrl.scala 222:60] + wire _T_283 = |fifo_error_in_2; // @[dma_ctrl.scala 214:83] + reg [1:0] fifo_error_2; // @[dma_ctrl.scala 228:85] + wire _T_286 = |fifo_error_2; // @[dma_ctrl.scala 214:125] + wire [1:0] _T_476 = _T_141 ? _T_439 : _T_442; // @[dma_ctrl.scala 222:209] + wire [1:0] fifo_error_in_3 = _T_138 ? _T_436 : _T_476; // @[dma_ctrl.scala 222:60] + wire _T_290 = |fifo_error_in_3; // @[dma_ctrl.scala 214:83] + reg [1:0] fifo_error_3; // @[dma_ctrl.scala 228:85] + wire _T_293 = |fifo_error_3; // @[dma_ctrl.scala 214:125] + wire [1:0] _T_487 = _T_159 ? _T_439 : _T_442; // @[dma_ctrl.scala 222:209] + wire [1:0] fifo_error_in_4 = _T_156 ? _T_436 : _T_487; // @[dma_ctrl.scala 222:60] + wire _T_297 = |fifo_error_in_4; // @[dma_ctrl.scala 214:83] + reg [1:0] fifo_error_4; // @[dma_ctrl.scala 228:85] + wire _T_300 = |fifo_error_4; // @[dma_ctrl.scala 214:125] + wire _T_309 = _T_272 | fifo_error_en[0]; // @[dma_ctrl.scala 216:78] + wire _T_311 = _T_165 & io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[dma_ctrl.scala 216:176] + wire _T_312 = _T_309 | _T_311; // @[dma_ctrl.scala 216:97] + wire _T_314 = _T_312 & _T_80; // @[dma_ctrl.scala 216:217] + wire _T_317 = _T_314 | _T_84; // @[dma_ctrl.scala 216:236] + wire _T_320 = _T_317 | _T_87; // @[dma_ctrl.scala 216:330] + wire _T_323 = _T_279 | fifo_error_en[1]; // @[dma_ctrl.scala 216:78] + wire _T_326 = _T_323 | _T_311; // @[dma_ctrl.scala 216:97] + wire _T_328 = _T_326 & _T_98; // @[dma_ctrl.scala 216:217] + wire _T_331 = _T_328 | _T_102; // @[dma_ctrl.scala 216:236] + wire _T_334 = _T_331 | _T_105; // @[dma_ctrl.scala 216:330] + wire _T_337 = _T_286 | fifo_error_en[2]; // @[dma_ctrl.scala 216:78] + wire _T_340 = _T_337 | _T_311; // @[dma_ctrl.scala 216:97] + wire _T_342 = _T_340 & _T_116; // @[dma_ctrl.scala 216:217] + wire _T_345 = _T_342 | _T_120; // @[dma_ctrl.scala 216:236] + wire _T_348 = _T_345 | _T_123; // @[dma_ctrl.scala 216:330] + wire _T_351 = _T_293 | fifo_error_en[3]; // @[dma_ctrl.scala 216:78] + wire _T_354 = _T_351 | _T_311; // @[dma_ctrl.scala 216:97] + wire _T_356 = _T_354 & _T_134; // @[dma_ctrl.scala 216:217] + wire _T_359 = _T_356 | _T_138; // @[dma_ctrl.scala 216:236] + wire _T_362 = _T_359 | _T_141; // @[dma_ctrl.scala 216:330] + wire _T_365 = _T_300 | fifo_error_en[4]; // @[dma_ctrl.scala 216:78] + wire _T_368 = _T_365 | _T_311; // @[dma_ctrl.scala 216:97] + wire _T_370 = _T_368 & _T_152; // @[dma_ctrl.scala 216:217] + wire _T_373 = _T_370 | _T_156; // @[dma_ctrl.scala 216:236] + wire _T_376 = _T_373 | _T_159; // @[dma_ctrl.scala 216:330] wire [4:0] fifo_done_en = {_T_376,_T_362,_T_348,_T_334,_T_320}; // @[Cat.scala 29:58] - wire _T_383 = fifo_done_en[0] | fifo_done[0]; // @[dma_ctrl.scala 225:75] - wire _T_384 = _T_383 & io_dma_bus_clk_en; // @[dma_ctrl.scala 225:91] - wire _T_387 = fifo_done_en[1] | fifo_done[1]; // @[dma_ctrl.scala 225:75] - wire _T_388 = _T_387 & io_dma_bus_clk_en; // @[dma_ctrl.scala 225:91] - wire _T_391 = fifo_done_en[2] | fifo_done[2]; // @[dma_ctrl.scala 225:75] - wire _T_392 = _T_391 & io_dma_bus_clk_en; // @[dma_ctrl.scala 225:91] - wire _T_395 = fifo_done_en[3] | fifo_done[3]; // @[dma_ctrl.scala 225:75] - wire _T_396 = _T_395 & io_dma_bus_clk_en; // @[dma_ctrl.scala 225:91] - wire _T_399 = fifo_done_en[4] | fifo_done[4]; // @[dma_ctrl.scala 225:75] - wire _T_400 = _T_399 & io_dma_bus_clk_en; // @[dma_ctrl.scala 225:91] + wire _T_383 = fifo_done_en[0] | fifo_done[0]; // @[dma_ctrl.scala 218:75] + wire _T_384 = _T_383 & io_dma_bus_clk_en; // @[dma_ctrl.scala 218:91] + wire _T_387 = fifo_done_en[1] | fifo_done[1]; // @[dma_ctrl.scala 218:75] + wire _T_388 = _T_387 & io_dma_bus_clk_en; // @[dma_ctrl.scala 218:91] + wire _T_391 = fifo_done_en[2] | fifo_done[2]; // @[dma_ctrl.scala 218:75] + wire _T_392 = _T_391 & io_dma_bus_clk_en; // @[dma_ctrl.scala 218:91] + wire _T_395 = fifo_done_en[3] | fifo_done[3]; // @[dma_ctrl.scala 218:75] + wire _T_396 = _T_395 & io_dma_bus_clk_en; // @[dma_ctrl.scala 218:91] + wire _T_399 = fifo_done_en[4] | fifo_done[4]; // @[dma_ctrl.scala 218:75] + wire _T_400 = _T_399 & io_dma_bus_clk_en; // @[dma_ctrl.scala 218:91] wire [4:0] fifo_done_bus_en = {_T_400,_T_396,_T_392,_T_388,_T_384}; // @[Cat.scala 29:58] - wire _T_1265 = io_dma_axi_b_valid & io_dma_axi_b_ready; // @[dma_ctrl.scala 505:61] - wire _T_1266 = io_dma_axi_r_valid & io_dma_axi_r_ready; // @[dma_ctrl.scala 505:105] - wire bus_rsp_sent = _T_1265 | _T_1266; // @[dma_ctrl.scala 505:83] - wire _T_406 = bus_rsp_sent & io_dma_bus_clk_en; // @[dma_ctrl.scala 227:99] - wire _T_407 = _T_406 | io_dma_dbg_cmd_done; // @[dma_ctrl.scala 227:120] + wire bus_rsp_sent = io_dma_axi_b_valid | io_dma_axi_r_valid; // @[dma_ctrl.scala 489:83] + wire _T_406 = bus_rsp_sent & io_dma_bus_clk_en; // @[dma_ctrl.scala 220:99] + wire _T_407 = _T_406 | io_dma_dbg_cmd_done; // @[dma_ctrl.scala 220:120] reg [2:0] RspPtr; // @[Reg.scala 27:20] - wire _T_408 = 3'h0 == RspPtr; // @[dma_ctrl.scala 227:150] - wire _T_409 = _T_407 & _T_408; // @[dma_ctrl.scala 227:143] - wire _T_413 = 3'h1 == RspPtr; // @[dma_ctrl.scala 227:150] - wire _T_414 = _T_407 & _T_413; // @[dma_ctrl.scala 227:143] - wire _T_418 = 3'h2 == RspPtr; // @[dma_ctrl.scala 227:150] - wire _T_419 = _T_407 & _T_418; // @[dma_ctrl.scala 227:143] - wire _T_423 = 3'h3 == RspPtr; // @[dma_ctrl.scala 227:150] - wire _T_424 = _T_407 & _T_423; // @[dma_ctrl.scala 227:143] - wire _T_428 = 3'h4 == RspPtr; // @[dma_ctrl.scala 227:150] - wire _T_429 = _T_407 & _T_428; // @[dma_ctrl.scala 227:143] + wire _T_408 = 3'h0 == RspPtr; // @[dma_ctrl.scala 220:150] + wire _T_409 = _T_407 & _T_408; // @[dma_ctrl.scala 220:143] + wire _T_413 = 3'h1 == RspPtr; // @[dma_ctrl.scala 220:150] + wire _T_414 = _T_407 & _T_413; // @[dma_ctrl.scala 220:143] + wire _T_418 = 3'h2 == RspPtr; // @[dma_ctrl.scala 220:150] + wire _T_419 = _T_407 & _T_418; // @[dma_ctrl.scala 220:143] + wire _T_423 = 3'h3 == RspPtr; // @[dma_ctrl.scala 220:150] + wire _T_424 = _T_407 & _T_423; // @[dma_ctrl.scala 220:143] + wire _T_428 = 3'h4 == RspPtr; // @[dma_ctrl.scala 220:150] + wire _T_429 = _T_407 & _T_428; // @[dma_ctrl.scala 220:143] wire [4:0] fifo_reset = {_T_429,_T_424,_T_419,_T_414,_T_409}; // @[Cat.scala 29:58] - wire _T_491 = fifo_error_en[0] & _T_269; // @[dma_ctrl.scala 231:77] + wire _T_491 = fifo_error_en[0] & _T_269; // @[dma_ctrl.scala 224:77] wire [63:0] _T_493 = {32'h0,fifo_addr_0}; // @[Cat.scala 29:58] - wire [3:0] _T_498 = {io_dbg_dma_dbg_dctl_dbg_cmd_wrdata,io_dbg_dma_dbg_dctl_dbg_cmd_wrdata}; // @[Cat.scala 29:58] + wire [63:0] _T_498 = {io_dbg_dma_dbg_dctl_dbg_cmd_wrdata,io_dbg_dma_dbg_dctl_dbg_cmd_wrdata}; // @[Cat.scala 29:58] reg [63:0] wrbuf_data; // @[lib.scala 374:16] - wire [63:0] _T_500 = io_dbg_dma_dbg_ib_dbg_cmd_valid ? {{60'd0}, _T_498} : wrbuf_data; // @[dma_ctrl.scala 231:347] - wire _T_506 = fifo_error_en[1] & _T_276; // @[dma_ctrl.scala 231:77] + wire [63:0] _T_500 = io_dbg_dma_dbg_ib_dbg_cmd_valid ? _T_498 : wrbuf_data; // @[dma_ctrl.scala 224:347] + wire _T_506 = fifo_error_en[1] & _T_276; // @[dma_ctrl.scala 224:77] wire [63:0] _T_508 = {32'h0,fifo_addr_1}; // @[Cat.scala 29:58] - wire _T_521 = fifo_error_en[2] & _T_283; // @[dma_ctrl.scala 231:77] + wire _T_521 = fifo_error_en[2] & _T_283; // @[dma_ctrl.scala 224:77] wire [63:0] _T_523 = {32'h0,fifo_addr_2}; // @[Cat.scala 29:58] - wire _T_536 = fifo_error_en[3] & _T_290; // @[dma_ctrl.scala 231:77] + wire _T_536 = fifo_error_en[3] & _T_290; // @[dma_ctrl.scala 224:77] wire [63:0] _T_538 = {32'h0,fifo_addr_3}; // @[Cat.scala 29:58] - wire _T_551 = fifo_error_en[4] & _T_297; // @[dma_ctrl.scala 231:77] + wire _T_551 = fifo_error_en[4] & _T_297; // @[dma_ctrl.scala 224:77] wire [63:0] _T_553 = {32'h0,fifo_addr_4}; // @[Cat.scala 29:58] - wire _T_566 = fifo_cmd_en[0] | fifo_valid[0]; // @[dma_ctrl.scala 233:86] - wire _T_568 = ~fifo_reset[0]; // @[dma_ctrl.scala 233:125] - wire _T_573 = fifo_cmd_en[1] | fifo_valid[1]; // @[dma_ctrl.scala 233:86] - wire _T_575 = ~fifo_reset[1]; // @[dma_ctrl.scala 233:125] - wire _T_580 = fifo_cmd_en[2] | fifo_valid[2]; // @[dma_ctrl.scala 233:86] - wire _T_582 = ~fifo_reset[2]; // @[dma_ctrl.scala 233:125] - wire _T_587 = fifo_cmd_en[3] | fifo_valid[3]; // @[dma_ctrl.scala 233:86] - wire _T_589 = ~fifo_reset[3]; // @[dma_ctrl.scala 233:125] - wire _T_594 = fifo_cmd_en[4] | fifo_valid[4]; // @[dma_ctrl.scala 233:86] - wire _T_596 = ~fifo_reset[4]; // @[dma_ctrl.scala 233:125] - wire [1:0] _T_605 = fifo_error_en[0] ? fifo_error_in_0 : fifo_error_0; // @[dma_ctrl.scala 235:89] + wire _T_566 = fifo_cmd_en[0] | fifo_valid[0]; // @[dma_ctrl.scala 226:86] + wire _T_568 = ~fifo_reset[0]; // @[dma_ctrl.scala 226:125] + wire _T_573 = fifo_cmd_en[1] | fifo_valid[1]; // @[dma_ctrl.scala 226:86] + wire _T_575 = ~fifo_reset[1]; // @[dma_ctrl.scala 226:125] + wire _T_580 = fifo_cmd_en[2] | fifo_valid[2]; // @[dma_ctrl.scala 226:86] + wire _T_582 = ~fifo_reset[2]; // @[dma_ctrl.scala 226:125] + wire _T_587 = fifo_cmd_en[3] | fifo_valid[3]; // @[dma_ctrl.scala 226:86] + wire _T_589 = ~fifo_reset[3]; // @[dma_ctrl.scala 226:125] + wire _T_594 = fifo_cmd_en[4] | fifo_valid[4]; // @[dma_ctrl.scala 226:86] + wire _T_596 = ~fifo_reset[4]; // @[dma_ctrl.scala 226:125] + wire [1:0] _T_605 = fifo_error_en[0] ? fifo_error_in_0 : fifo_error_0; // @[dma_ctrl.scala 228:89] wire [1:0] _T_609 = _T_568 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_614 = fifo_error_en[1] ? fifo_error_in_1 : fifo_error_1; // @[dma_ctrl.scala 235:89] + wire [1:0] _T_614 = fifo_error_en[1] ? fifo_error_in_1 : fifo_error_1; // @[dma_ctrl.scala 228:89] wire [1:0] _T_618 = _T_575 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_623 = fifo_error_en[2] ? fifo_error_in_2 : fifo_error_2; // @[dma_ctrl.scala 235:89] + wire [1:0] _T_623 = fifo_error_en[2] ? fifo_error_in_2 : fifo_error_2; // @[dma_ctrl.scala 228:89] wire [1:0] _T_627 = _T_582 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_632 = fifo_error_en[3] ? fifo_error_in_3 : fifo_error_3; // @[dma_ctrl.scala 235:89] + wire [1:0] _T_632 = fifo_error_en[3] ? fifo_error_in_3 : fifo_error_3; // @[dma_ctrl.scala 228:89] wire [1:0] _T_636 = _T_589 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_641 = fifo_error_en[4] ? fifo_error_in_4 : fifo_error_4; // @[dma_ctrl.scala 235:89] + wire [1:0] _T_641 = fifo_error_en[4] ? fifo_error_in_4 : fifo_error_4; // @[dma_ctrl.scala 228:89] wire [1:0] _T_645 = _T_596 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - reg _T_721; // @[dma_ctrl.scala 239:89] - reg _T_714; // @[dma_ctrl.scala 239:89] - reg _T_707; // @[dma_ctrl.scala 239:89] - reg _T_700; // @[dma_ctrl.scala 239:89] - reg _T_693; // @[dma_ctrl.scala 239:89] + reg _T_721; // @[dma_ctrl.scala 232:89] + reg _T_714; // @[dma_ctrl.scala 232:89] + reg _T_707; // @[dma_ctrl.scala 232:89] + reg _T_700; // @[dma_ctrl.scala 232:89] + reg _T_693; // @[dma_ctrl.scala 232:89] wire [4:0] fifo_rpend = {_T_721,_T_714,_T_707,_T_700,_T_693}; // @[Cat.scala 29:58] - wire _T_689 = fifo_pend_en[0] | fifo_rpend[0]; // @[dma_ctrl.scala 239:93] - wire _T_696 = fifo_pend_en[1] | fifo_rpend[1]; // @[dma_ctrl.scala 239:93] - wire _T_703 = fifo_pend_en[2] | fifo_rpend[2]; // @[dma_ctrl.scala 239:93] - wire _T_710 = fifo_pend_en[3] | fifo_rpend[3]; // @[dma_ctrl.scala 239:93] - wire _T_717 = fifo_pend_en[4] | fifo_rpend[4]; // @[dma_ctrl.scala 239:93] - reg _T_799; // @[dma_ctrl.scala 243:89] - reg _T_792; // @[dma_ctrl.scala 243:89] - reg _T_785; // @[dma_ctrl.scala 243:89] - reg _T_778; // @[dma_ctrl.scala 243:89] - reg _T_771; // @[dma_ctrl.scala 243:89] + wire _T_689 = fifo_pend_en[0] | fifo_rpend[0]; // @[dma_ctrl.scala 232:93] + wire _T_696 = fifo_pend_en[1] | fifo_rpend[1]; // @[dma_ctrl.scala 232:93] + wire _T_703 = fifo_pend_en[2] | fifo_rpend[2]; // @[dma_ctrl.scala 232:93] + wire _T_710 = fifo_pend_en[3] | fifo_rpend[3]; // @[dma_ctrl.scala 232:93] + wire _T_717 = fifo_pend_en[4] | fifo_rpend[4]; // @[dma_ctrl.scala 232:93] + reg _T_799; // @[dma_ctrl.scala 236:89] + reg _T_792; // @[dma_ctrl.scala 236:89] + reg _T_785; // @[dma_ctrl.scala 236:89] + reg _T_778; // @[dma_ctrl.scala 236:89] + reg _T_771; // @[dma_ctrl.scala 236:89] wire [4:0] fifo_done_bus = {_T_799,_T_792,_T_785,_T_778,_T_771}; // @[Cat.scala 29:58] - wire _T_767 = fifo_done_bus_en[0] | fifo_done_bus[0]; // @[dma_ctrl.scala 243:93] - wire _T_774 = fifo_done_bus_en[1] | fifo_done_bus[1]; // @[dma_ctrl.scala 243:93] - wire _T_781 = fifo_done_bus_en[2] | fifo_done_bus[2]; // @[dma_ctrl.scala 243:93] - wire _T_788 = fifo_done_bus_en[3] | fifo_done_bus[3]; // @[dma_ctrl.scala 243:93] - wire _T_795 = fifo_done_bus_en[4] | fifo_done_bus[4]; // @[dma_ctrl.scala 243:93] - wire [7:0] fifo_byteen_in = _T_20[7:0]; // @[dma_ctrl.scala 202:28] + wire _T_767 = fifo_done_bus_en[0] | fifo_done_bus[0]; // @[dma_ctrl.scala 236:93] + wire _T_774 = fifo_done_bus_en[1] | fifo_done_bus[1]; // @[dma_ctrl.scala 236:93] + wire _T_781 = fifo_done_bus_en[2] | fifo_done_bus[2]; // @[dma_ctrl.scala 236:93] + wire _T_788 = fifo_done_bus_en[3] | fifo_done_bus[3]; // @[dma_ctrl.scala 236:93] + wire _T_795 = fifo_done_bus_en[4] | fifo_done_bus[4]; // @[dma_ctrl.scala 236:93] + wire [7:0] fifo_byteen_in = _T_20[7:0]; // @[dma_ctrl.scala 195:28] reg _T_850; // @[Reg.scala 27:20] reg _T_852; // @[Reg.scala 27:20] reg _T_854; // @[Reg.scala 27:20] @@ -79029,117 +78932,109 @@ module dma_ctrl( reg [63:0] fifo_data_2; // @[lib.scala 374:16] reg [63:0] fifo_data_3; // @[lib.scala 374:16] reg [63:0] fifo_data_4; // @[lib.scala 374:16] - reg fifo_tag_0; // @[Reg.scala 27:20] - reg wrbuf_tag; // @[Reg.scala 27:20] - reg rdbuf_tag; // @[Reg.scala 27:20] - wire bus_cmd_tag = axi_mstr_sel ? wrbuf_tag : rdbuf_tag; // @[dma_ctrl.scala 470:43] - reg fifo_tag_1; // @[Reg.scala 27:20] - reg fifo_tag_2; // @[Reg.scala 27:20] - reg fifo_tag_3; // @[Reg.scala 27:20] - reg fifo_tag_4; // @[Reg.scala 27:20] - wire _T_931 = WrPtr == 3'h4; // @[dma_ctrl.scala 267:30] - wire [2:0] _T_934 = WrPtr + 3'h1; // @[dma_ctrl.scala 267:76] - wire _T_936 = RdPtr == 3'h4; // @[dma_ctrl.scala 269:30] - wire [2:0] _T_939 = RdPtr + 3'h1; // @[dma_ctrl.scala 269:76] - wire _T_941 = RspPtr == 3'h4; // @[dma_ctrl.scala 271:31] - wire [2:0] _T_944 = RspPtr + 3'h1; // @[dma_ctrl.scala 271:78] - wire WrPtrEn = |fifo_cmd_en; // @[dma_ctrl.scala 273:30] - wire RdPtrEn = _T_165 | _T_197; // @[dma_ctrl.scala 275:93] - wire RspPtrEn = io_dma_dbg_cmd_done | _T_406; // @[dma_ctrl.scala 277:39] + wire _T_931 = WrPtr == 3'h4; // @[dma_ctrl.scala 260:30] + wire [2:0] _T_934 = WrPtr + 3'h1; // @[dma_ctrl.scala 260:76] + wire _T_936 = RdPtr == 3'h4; // @[dma_ctrl.scala 262:30] + wire [2:0] _T_939 = RdPtr + 3'h1; // @[dma_ctrl.scala 262:76] + wire _T_941 = RspPtr == 3'h4; // @[dma_ctrl.scala 264:31] + wire [2:0] _T_944 = RspPtr + 3'h1; // @[dma_ctrl.scala 264:78] + wire WrPtrEn = |fifo_cmd_en; // @[dma_ctrl.scala 266:30] + wire RdPtrEn = _T_165 | _T_197; // @[dma_ctrl.scala 268:93] + wire RspPtrEn = io_dma_dbg_cmd_done | _T_406; // @[dma_ctrl.scala 270:39] + wire [3:0] _T_959 = {3'h0,axi_mstr_prty_en}; // @[Cat.scala 29:58] + wire [3:0] _T_961 = {3'h0,bus_rsp_sent}; // @[Cat.scala 29:58] + wire [3:0] num_fifo_vld_tmp = _T_959 - _T_961; // @[dma_ctrl.scala 291:62] wire [3:0] _T_966 = {3'h0,fifo_valid[0]}; // @[Cat.scala 29:58] wire [3:0] _T_969 = {3'h0,fifo_valid[1]}; // @[Cat.scala 29:58] wire [3:0] _T_972 = {3'h0,fifo_valid[2]}; // @[Cat.scala 29:58] wire [3:0] _T_975 = {3'h0,fifo_valid[3]}; // @[Cat.scala 29:58] wire [3:0] _T_978 = {3'h0,fifo_valid[4]}; // @[Cat.scala 29:58] - wire [3:0] _T_980 = _T_966 + _T_969; // @[dma_ctrl.scala 300:102] - wire [3:0] _T_982 = _T_980 + _T_972; // @[dma_ctrl.scala 300:102] - wire [3:0] _T_984 = _T_982 + _T_975; // @[dma_ctrl.scala 300:102] - wire [3:0] num_fifo_vld_tmp2 = _T_984 + _T_978; // @[dma_ctrl.scala 300:102] - wire _T_1123 = |fifo_valid; // @[dma_ctrl.scala 341:30] - wire fifo_empty = ~_T_1123; // @[dma_ctrl.scala 341:17] - wire [4:0] _T_1086 = fifo_valid >> RspPtr; // @[dma_ctrl.scala 327:39] - wire [4:0] _T_1088 = fifo_dbg >> RspPtr; // @[dma_ctrl.scala 327:58] - wire _T_1090 = _T_1086[0] & _T_1088[0]; // @[dma_ctrl.scala 327:48] - wire [4:0] _T_1091 = fifo_done >> RspPtr; // @[dma_ctrl.scala 327:78] - wire [31:0] _GEN_44 = 3'h1 == RspPtr ? fifo_addr_1 : fifo_addr_0; // @[dma_ctrl.scala 328:49] - wire [31:0] _GEN_45 = 3'h2 == RspPtr ? fifo_addr_2 : _GEN_44; // @[dma_ctrl.scala 328:49] - wire [31:0] _GEN_46 = 3'h3 == RspPtr ? fifo_addr_3 : _GEN_45; // @[dma_ctrl.scala 328:49] - wire [31:0] _GEN_47 = 3'h4 == RspPtr ? fifo_addr_4 : _GEN_46; // @[dma_ctrl.scala 328:49] - wire [63:0] _GEN_49 = 3'h1 == RspPtr ? fifo_data_1 : fifo_data_0; // @[dma_ctrl.scala 328:71] - wire [63:0] _GEN_50 = 3'h2 == RspPtr ? fifo_data_2 : _GEN_49; // @[dma_ctrl.scala 328:71] - wire [63:0] _GEN_51 = 3'h3 == RspPtr ? fifo_data_3 : _GEN_50; // @[dma_ctrl.scala 328:71] - wire [63:0] _GEN_52 = 3'h4 == RspPtr ? fifo_data_4 : _GEN_51; // @[dma_ctrl.scala 328:71] - wire [1:0] _GEN_54 = 3'h1 == RspPtr ? fifo_error_1 : fifo_error_0; // @[dma_ctrl.scala 329:47] - wire [1:0] _GEN_55 = 3'h2 == RspPtr ? fifo_error_2 : _GEN_54; // @[dma_ctrl.scala 329:47] - wire [1:0] _GEN_56 = 3'h3 == RspPtr ? fifo_error_3 : _GEN_55; // @[dma_ctrl.scala 329:47] - wire [1:0] _GEN_57 = 3'h4 == RspPtr ? fifo_error_4 : _GEN_56; // @[dma_ctrl.scala 329:47] - wire _T_1116 = dma_mem_addr_in_dccm | dma_mem_addr_in_pic; // @[dma_ctrl.scala 335:80] - wire [4:0] _T_1145 = fifo_rpend >> RdPtr; // @[dma_ctrl.scala 354:54] - wire _T_1147 = ~_T_1145[0]; // @[dma_ctrl.scala 354:43] - wire _T_1148 = _T_990[0] & _T_1147; // @[dma_ctrl.scala 354:41] - wire _T_1152 = _T_1148 & _T_994; // @[dma_ctrl.scala 354:62] - wire _T_1155 = ~_T_197; // @[dma_ctrl.scala 354:84] - wire dma_mem_req = _T_1152 & _T_1155; // @[dma_ctrl.scala 354:82] - wire _T_1117 = dma_mem_req & _T_1116; // @[dma_ctrl.scala 335:56] + wire [3:0] _T_980 = _T_966 + _T_969; // @[dma_ctrl.scala 293:102] + wire [3:0] _T_982 = _T_980 + _T_972; // @[dma_ctrl.scala 293:102] + wire [3:0] _T_984 = _T_982 + _T_975; // @[dma_ctrl.scala 293:102] + wire [3:0] num_fifo_vld_tmp2 = _T_984 + _T_978; // @[dma_ctrl.scala 293:102] + wire [3:0] num_fifo_vld = num_fifo_vld_tmp + num_fifo_vld_tmp2; // @[dma_ctrl.scala 295:45] + wire _T_1143 = |fifo_valid; // @[dma_ctrl.scala 338:30] + wire fifo_empty = ~_T_1143; // @[dma_ctrl.scala 338:17] + wire [4:0] _T_1106 = fifo_valid >> RspPtr; // @[dma_ctrl.scala 324:39] + wire [4:0] _T_1108 = fifo_dbg >> RspPtr; // @[dma_ctrl.scala 324:58] + wire _T_1110 = _T_1106[0] & _T_1108[0]; // @[dma_ctrl.scala 324:48] + wire [4:0] _T_1111 = fifo_done >> RspPtr; // @[dma_ctrl.scala 324:78] + wire [31:0] _GEN_44 = 3'h1 == RspPtr ? fifo_addr_1 : fifo_addr_0; // @[dma_ctrl.scala 325:49] + wire [31:0] _GEN_45 = 3'h2 == RspPtr ? fifo_addr_2 : _GEN_44; // @[dma_ctrl.scala 325:49] + wire [31:0] _GEN_46 = 3'h3 == RspPtr ? fifo_addr_3 : _GEN_45; // @[dma_ctrl.scala 325:49] + wire [31:0] _GEN_47 = 3'h4 == RspPtr ? fifo_addr_4 : _GEN_46; // @[dma_ctrl.scala 325:49] + wire [63:0] _GEN_49 = 3'h1 == RspPtr ? fifo_data_1 : fifo_data_0; // @[dma_ctrl.scala 325:71] + wire [63:0] _GEN_50 = 3'h2 == RspPtr ? fifo_data_2 : _GEN_49; // @[dma_ctrl.scala 325:71] + wire [63:0] _GEN_51 = 3'h3 == RspPtr ? fifo_data_3 : _GEN_50; // @[dma_ctrl.scala 325:71] + wire [63:0] _GEN_52 = 3'h4 == RspPtr ? fifo_data_4 : _GEN_51; // @[dma_ctrl.scala 325:71] + wire [1:0] _GEN_54 = 3'h1 == RspPtr ? fifo_error_1 : fifo_error_0; // @[dma_ctrl.scala 326:47] + wire [1:0] _GEN_55 = 3'h2 == RspPtr ? fifo_error_2 : _GEN_54; // @[dma_ctrl.scala 326:47] + wire [1:0] _GEN_56 = 3'h3 == RspPtr ? fifo_error_3 : _GEN_55; // @[dma_ctrl.scala 326:47] + wire [1:0] _GEN_57 = 3'h4 == RspPtr ? fifo_error_4 : _GEN_56; // @[dma_ctrl.scala 326:47] + wire _T_1136 = dma_mem_addr_in_dccm | dma_mem_addr_in_pic; // @[dma_ctrl.scala 332:80] + wire [4:0] _T_1165 = fifo_rpend >> RdPtr; // @[dma_ctrl.scala 351:54] + wire _T_1167 = ~_T_1165[0]; // @[dma_ctrl.scala 351:43] + wire _T_1168 = _T_990[0] & _T_1167; // @[dma_ctrl.scala 351:41] + wire _T_1172 = _T_1168 & _T_994; // @[dma_ctrl.scala 351:62] + wire _T_1175 = ~_T_197; // @[dma_ctrl.scala 351:84] + wire dma_mem_req = _T_1172 & _T_1175; // @[dma_ctrl.scala 351:82] + wire _T_1137 = dma_mem_req & _T_1136; // @[dma_ctrl.scala 332:56] reg [2:0] dma_nack_count; // @[Reg.scala 27:20] - wire _T_1118 = dma_nack_count >= io_dec_dma_tlu_dma_dec_tlu_dma_qos_prty; // @[dma_ctrl.scala 335:121] - wire _T_1120 = dma_mem_req & dma_mem_addr_in_iccm; // @[dma_ctrl.scala 336:56] - wire _T_1127 = ~_T_165; // @[dma_ctrl.scala 346:77] - wire [2:0] _T_1129 = _T_1127 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_1131 = _T_1129 & dma_nack_count; // @[dma_ctrl.scala 346:155] - wire _T_1135 = dma_mem_req & _T_1127; // @[dma_ctrl.scala 346:203] - wire [2:0] _T_1138 = dma_nack_count + 3'h1; // @[dma_ctrl.scala 346:304] - wire _T_1164 = io_lsu_dma_dma_lsc_ctl_dma_mem_write & _T_1076; // @[dma_ctrl.scala 360:84] - wire [31:0] _T_1168 = {dma_mem_addr_int[31:3],1'h1,dma_mem_addr_int[1:0]}; // @[Cat.scala 29:58] - wire _T_1176 = io_lsu_dma_dma_lsc_ctl_dma_mem_write & _T_1077; // @[dma_ctrl.scala 361:84] - wire [4:0] _T_1179 = fifo_write >> RdPtr; // @[dma_ctrl.scala 363:53] - wire [63:0] _GEN_75 = 3'h1 == RdPtr ? fifo_data_1 : fifo_data_0; // @[dma_ctrl.scala 364:40] - wire [63:0] _GEN_76 = 3'h2 == RdPtr ? fifo_data_2 : _GEN_75; // @[dma_ctrl.scala 364:40] - wire [63:0] _GEN_77 = 3'h3 == RdPtr ? fifo_data_3 : _GEN_76; // @[dma_ctrl.scala 364:40] - reg dma_dbg_cmd_done_q; // @[dma_ctrl.scala 384:12] - wire _T_1192 = bus_cmd_valid & io_dma_bus_clk_en; // @[dma_ctrl.scala 389:44] - wire _T_1193 = _T_1192 | io_dbg_dma_dbg_ib_dbg_cmd_valid; // @[dma_ctrl.scala 389:65] - wire bus_rsp_valid = io_dma_axi_b_valid | io_dma_axi_r_valid; // @[dma_ctrl.scala 504:60] - wire _T_1194 = bus_cmd_valid | bus_rsp_valid; // @[dma_ctrl.scala 390:44] - wire _T_1195 = _T_1194 | io_dbg_dma_dbg_ib_dbg_cmd_valid; // @[dma_ctrl.scala 390:60] - wire _T_1196 = _T_1195 | io_dma_dbg_cmd_done; // @[dma_ctrl.scala 390:94] - wire _T_1197 = _T_1196 | dma_dbg_cmd_done_q; // @[dma_ctrl.scala 390:116] - wire _T_1199 = _T_1197 | _T_1123; // @[dma_ctrl.scala 390:137] - wire wrbuf_en = io_dma_axi_aw_valid & io_dma_axi_aw_ready; // @[dma_ctrl.scala 412:47] - wire wrbuf_data_en = io_dma_axi_w_valid & io_dma_axi_w_ready; // @[dma_ctrl.scala 413:46] - wire wrbuf_cmd_sent = axi_mstr_prty_en & axi_mstr_sel; // @[dma_ctrl.scala 414:40] - wire _T_1201 = ~wrbuf_en; // @[dma_ctrl.scala 415:51] - wire wrbuf_rst = wrbuf_cmd_sent & _T_1201; // @[dma_ctrl.scala 415:49] - wire _T_1203 = ~wrbuf_data_en; // @[dma_ctrl.scala 416:51] - wire wrbuf_data_rst = wrbuf_cmd_sent & _T_1203; // @[dma_ctrl.scala 416:49] - wire _T_1204 = wrbuf_en | wrbuf_vld; // @[dma_ctrl.scala 418:63] - wire _T_1205 = ~wrbuf_rst; // @[dma_ctrl.scala 418:92] - wire _T_1208 = wrbuf_data_en | wrbuf_data_vld; // @[dma_ctrl.scala 420:63] - wire _T_1209 = ~wrbuf_data_rst; // @[dma_ctrl.scala 420:102] - wire rdbuf_en = io_dma_axi_ar_valid & io_dma_axi_ar_ready; // @[dma_ctrl.scala 440:59] - wire _T_1214 = ~axi_mstr_sel; // @[dma_ctrl.scala 441:44] - wire rdbuf_cmd_sent = axi_mstr_prty_en & _T_1214; // @[dma_ctrl.scala 441:42] - wire _T_1216 = ~rdbuf_en; // @[dma_ctrl.scala 442:63] - wire rdbuf_rst = rdbuf_cmd_sent & _T_1216; // @[dma_ctrl.scala 442:61] - wire _T_1217 = rdbuf_en | rdbuf_vld; // @[dma_ctrl.scala 444:51] - wire _T_1218 = ~rdbuf_rst; // @[dma_ctrl.scala 444:80] - wire _T_1222 = ~wrbuf_cmd_sent; // @[dma_ctrl.scala 456:44] - wire _T_1223 = wrbuf_vld & _T_1222; // @[dma_ctrl.scala 456:42] - wire _T_1226 = wrbuf_data_vld & _T_1222; // @[dma_ctrl.scala 457:47] - wire _T_1228 = ~rdbuf_cmd_sent; // @[dma_ctrl.scala 458:44] - wire _T_1229 = rdbuf_vld & _T_1228; // @[dma_ctrl.scala 458:42] - wire axi_mstr_prty_in = ~axi_mstr_priority; // @[dma_ctrl.scala 477:27] - wire _T_1251 = ~_T_1088[0]; // @[dma_ctrl.scala 484:50] - wire _T_1252 = _T_1086[0] & _T_1251; // @[dma_ctrl.scala 484:48] - wire [4:0] _T_1253 = fifo_done_bus >> RspPtr; // @[dma_ctrl.scala 484:83] - wire axi_rsp_valid = _T_1252 & _T_1253[0]; // @[dma_ctrl.scala 484:68] - wire [4:0] _T_1255 = fifo_write >> RspPtr; // @[dma_ctrl.scala 486:39] - wire axi_rsp_write = _T_1255[0]; // @[dma_ctrl.scala 486:39] - wire [1:0] _T_1258 = _GEN_57[1] ? 2'h3 : 2'h0; // @[dma_ctrl.scala 487:64] - wire _GEN_86 = 3'h1 == RspPtr ? fifo_tag_1 : fifo_tag_0; // @[dma_ctrl.scala 495:33] - wire _GEN_87 = 3'h2 == RspPtr ? fifo_tag_2 : _GEN_86; // @[dma_ctrl.scala 495:33] - wire _GEN_88 = 3'h3 == RspPtr ? fifo_tag_3 : _GEN_87; // @[dma_ctrl.scala 495:33] - wire _T_1261 = ~axi_rsp_write; // @[dma_ctrl.scala 497:46] + wire _T_1138 = dma_nack_count >= io_dec_dma_tlu_dma_dec_tlu_dma_qos_prty; // @[dma_ctrl.scala 332:121] + wire _T_1140 = dma_mem_req & dma_mem_addr_in_iccm; // @[dma_ctrl.scala 333:56] + wire _T_1147 = ~_T_165; // @[dma_ctrl.scala 343:77] + wire [2:0] _T_1149 = _T_1147 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_1151 = _T_1149 & dma_nack_count; // @[dma_ctrl.scala 343:155] + wire _T_1155 = dma_mem_req & _T_1147; // @[dma_ctrl.scala 343:203] + wire [2:0] _T_1158 = dma_nack_count + 3'h1; // @[dma_ctrl.scala 343:304] + wire _T_1184 = io_lsu_dma_dma_lsc_ctl_dma_mem_write & _T_1096; // @[dma_ctrl.scala 357:84] + wire [31:0] _T_1188 = {dma_mem_addr_int[31:3],1'h1,dma_mem_addr_int[1:0]}; // @[Cat.scala 29:58] + wire _T_1196 = io_lsu_dma_dma_lsc_ctl_dma_mem_write & _T_1097; // @[dma_ctrl.scala 358:84] + wire [4:0] _T_1199 = fifo_write >> RdPtr; // @[dma_ctrl.scala 360:53] + wire [63:0] _GEN_75 = 3'h1 == RdPtr ? fifo_data_1 : fifo_data_0; // @[dma_ctrl.scala 361:40] + wire [63:0] _GEN_76 = 3'h2 == RdPtr ? fifo_data_2 : _GEN_75; // @[dma_ctrl.scala 361:40] + wire [63:0] _GEN_77 = 3'h3 == RdPtr ? fifo_data_3 : _GEN_76; // @[dma_ctrl.scala 361:40] + reg dma_dbg_cmd_done_q; // @[dma_ctrl.scala 381:12] + wire _T_1212 = bus_cmd_valid & io_dma_bus_clk_en; // @[dma_ctrl.scala 386:44] + wire _T_1213 = _T_1212 | io_dbg_dma_dbg_ib_dbg_cmd_valid; // @[dma_ctrl.scala 386:65] + wire _T_1214 = bus_cmd_valid | bus_rsp_sent; // @[dma_ctrl.scala 387:44] + wire _T_1215 = _T_1214 | io_dbg_dma_dbg_ib_dbg_cmd_valid; // @[dma_ctrl.scala 387:60] + wire _T_1216 = _T_1215 | io_dma_dbg_cmd_done; // @[dma_ctrl.scala 387:94] + wire _T_1217 = _T_1216 | dma_dbg_cmd_done_q; // @[dma_ctrl.scala 387:116] + wire _T_1219 = _T_1217 | _T_1143; // @[dma_ctrl.scala 387:137] + wire wrbuf_en = io_dma_axi_aw_valid & io_dma_axi_aw_ready; // @[dma_ctrl.scala 396:47] + wire wrbuf_data_en = io_dma_axi_w_valid & io_dma_axi_w_ready; // @[dma_ctrl.scala 397:46] + wire wrbuf_cmd_sent = axi_mstr_prty_en & axi_mstr_sel; // @[dma_ctrl.scala 398:40] + wire _T_1223 = ~wrbuf_en; // @[dma_ctrl.scala 399:51] + wire wrbuf_rst = wrbuf_cmd_sent & _T_1223; // @[dma_ctrl.scala 399:49] + wire _T_1225 = ~wrbuf_data_en; // @[dma_ctrl.scala 400:51] + wire wrbuf_data_rst = wrbuf_cmd_sent & _T_1225; // @[dma_ctrl.scala 400:49] + wire _T_1226 = wrbuf_en | wrbuf_vld; // @[dma_ctrl.scala 402:63] + wire _T_1227 = ~wrbuf_rst; // @[dma_ctrl.scala 402:92] + wire _T_1230 = wrbuf_data_en | wrbuf_data_vld; // @[dma_ctrl.scala 404:63] + wire _T_1231 = ~wrbuf_data_rst; // @[dma_ctrl.scala 404:102] + wire rdbuf_en = io_dma_axi_ar_valid & io_dma_axi_ar_ready; // @[dma_ctrl.scala 424:59] + wire _T_1236 = ~axi_mstr_sel; // @[dma_ctrl.scala 425:44] + wire rdbuf_cmd_sent = axi_mstr_prty_en & _T_1236; // @[dma_ctrl.scala 425:42] + wire _T_1238 = ~rdbuf_en; // @[dma_ctrl.scala 426:63] + wire rdbuf_rst = rdbuf_cmd_sent & _T_1238; // @[dma_ctrl.scala 426:61] + wire _T_1239 = rdbuf_en | rdbuf_vld; // @[dma_ctrl.scala 428:51] + wire _T_1240 = ~rdbuf_rst; // @[dma_ctrl.scala 428:80] + wire _T_1244 = ~wrbuf_cmd_sent; // @[dma_ctrl.scala 440:44] + wire _T_1245 = wrbuf_vld & _T_1244; // @[dma_ctrl.scala 440:42] + wire _T_1248 = wrbuf_data_vld & _T_1244; // @[dma_ctrl.scala 441:47] + wire _T_1250 = ~rdbuf_cmd_sent; // @[dma_ctrl.scala 442:44] + wire _T_1251 = rdbuf_vld & _T_1250; // @[dma_ctrl.scala 442:42] + wire axi_mstr_prty_in = ~axi_mstr_priority; // @[dma_ctrl.scala 461:27] + wire _T_1273 = ~_T_1108[0]; // @[dma_ctrl.scala 468:50] + wire _T_1274 = _T_1106[0] & _T_1273; // @[dma_ctrl.scala 468:48] + wire [4:0] _T_1275 = fifo_done_bus >> RspPtr; // @[dma_ctrl.scala 468:83] + wire axi_rsp_valid = _T_1274 & _T_1275[0]; // @[dma_ctrl.scala 468:68] + wire [4:0] _T_1277 = fifo_write >> RspPtr; // @[dma_ctrl.scala 470:39] + wire axi_rsp_write = _T_1277[0]; // @[dma_ctrl.scala 470:39] + wire [1:0] _T_1280 = _GEN_57[1] ? 2'h3 : 2'h0; // @[dma_ctrl.scala 471:64] + wire _T_1283 = ~axi_rsp_write; // @[dma_ctrl.scala 481:46] rvclkhdr rvclkhdr ( // @[lib.scala 368:23] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -79200,78 +79095,75 @@ module dma_ctrl( .io_en(rvclkhdr_9_io_en), .io_scan_mode(rvclkhdr_9_io_scan_mode) ); - rvclkhdr dma_buffer_c1cgc ( // @[dma_ctrl.scala 392:32] - .io_l1clk(dma_buffer_c1cgc_io_l1clk), - .io_clk(dma_buffer_c1cgc_io_clk), - .io_en(dma_buffer_c1cgc_io_en), - .io_scan_mode(dma_buffer_c1cgc_io_scan_mode) - ); - rvclkhdr dma_free_cgc ( // @[dma_ctrl.scala 398:28] - .io_l1clk(dma_free_cgc_io_l1clk), - .io_clk(dma_free_cgc_io_clk), - .io_en(dma_free_cgc_io_en), - .io_scan_mode(dma_free_cgc_io_scan_mode) - ); - rvclkhdr dma_bus_cgc ( // @[dma_ctrl.scala 404:27] - .io_l1clk(dma_bus_cgc_io_l1clk), - .io_clk(dma_bus_cgc_io_clk), - .io_en(dma_bus_cgc_io_en), - .io_scan_mode(dma_bus_cgc_io_scan_mode) - ); - rvclkhdr rvclkhdr_10 ( // @[lib.scala 368:23] + rvclkhdr rvclkhdr_10 ( // @[lib.scala 343:22] .io_l1clk(rvclkhdr_10_io_l1clk), .io_clk(rvclkhdr_10_io_clk), .io_en(rvclkhdr_10_io_en), .io_scan_mode(rvclkhdr_10_io_scan_mode) ); - rvclkhdr rvclkhdr_11 ( // @[lib.scala 368:23] + rvclkhdr rvclkhdr_11 ( // @[lib.scala 343:22] .io_l1clk(rvclkhdr_11_io_l1clk), .io_clk(rvclkhdr_11_io_clk), .io_en(rvclkhdr_11_io_en), .io_scan_mode(rvclkhdr_11_io_scan_mode) ); - rvclkhdr rvclkhdr_12 ( // @[lib.scala 368:23] + rvclkhdr rvclkhdr_12 ( // @[lib.scala 343:22] .io_l1clk(rvclkhdr_12_io_l1clk), .io_clk(rvclkhdr_12_io_clk), .io_en(rvclkhdr_12_io_en), .io_scan_mode(rvclkhdr_12_io_scan_mode) ); - assign io_dma_dbg_rddata = _GEN_47[2] ? _GEN_52[63:32] : _GEN_52[31:0]; // @[dma_ctrl.scala 328:25] - assign io_dma_dbg_cmd_done = _T_1090 & _T_1091[0]; // @[dma_ctrl.scala 327:25] - assign io_dma_dbg_cmd_fail = |_GEN_57; // @[dma_ctrl.scala 329:25] - assign io_dbg_dma_io_dma_dbg_ready = fifo_empty & dbg_dma_bubble_bus; // @[dma_ctrl.scala 326:36] - assign io_dec_dma_dctl_dma_dma_dccm_stall_any = io_dec_dma_tlu_dma_dma_dccm_stall_any; // @[dma_ctrl.scala 338:42] - assign io_dec_dma_tlu_dma_dma_pmu_dccm_read = io_lsu_dma_dma_lsc_ctl_dma_dccm_req & _T_166; // @[dma_ctrl.scala 368:42] - assign io_dec_dma_tlu_dma_dma_pmu_dccm_write = io_lsu_dma_dma_lsc_ctl_dma_dccm_req & io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[dma_ctrl.scala 369:42] - assign io_dec_dma_tlu_dma_dma_pmu_any_read = _T_165 & _T_166; // @[dma_ctrl.scala 370:42] - assign io_dec_dma_tlu_dma_dma_pmu_any_write = _T_165 & io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[dma_ctrl.scala 371:42] - assign io_dec_dma_tlu_dma_dma_dccm_stall_any = _T_1117 & _T_1118; // @[dma_ctrl.scala 335:41] - assign io_dec_dma_tlu_dma_dma_iccm_stall_any = io_ifu_dma_dma_ifc_dma_iccm_stall_any; // @[dma_ctrl.scala 337:41] - assign io_dma_axi_aw_ready = ~_T_1223; // @[dma_ctrl.scala 456:27] - assign io_dma_axi_w_ready = ~_T_1226; // @[dma_ctrl.scala 457:27] - assign io_dma_axi_b_valid = axi_rsp_valid & axi_rsp_write; // @[dma_ctrl.scala 493:27] - assign io_dma_axi_b_bits_resp = _GEN_57[0] ? 2'h2 : _T_1258; // @[dma_ctrl.scala 494:41] - assign io_dma_axi_b_bits_id = 3'h4 == RspPtr ? fifo_tag_4 : _GEN_88; // @[dma_ctrl.scala 495:33] - assign io_dma_axi_ar_ready = ~_T_1229; // @[dma_ctrl.scala 458:27] - assign io_dma_axi_r_valid = axi_rsp_valid & _T_1261; // @[dma_ctrl.scala 497:27] - assign io_dma_axi_r_bits_id = 3'h4 == RspPtr ? fifo_tag_4 : _GEN_88; // @[dma_ctrl.scala 501:37] - assign io_dma_axi_r_bits_data = 3'h4 == RspPtr ? fifo_data_4 : _GEN_51; // @[dma_ctrl.scala 499:43] - assign io_dma_axi_r_bits_resp = _GEN_57[0] ? 2'h2 : _T_1258; // @[dma_ctrl.scala 498:41] - assign io_lsu_dma_dma_lsc_ctl_dma_dccm_req = _T_1117 & io_lsu_dma_dccm_ready; // @[dma_ctrl.scala 355:40] - assign io_lsu_dma_dma_lsc_ctl_dma_mem_addr = _T_1164 ? _T_1168 : dma_mem_addr_int; // @[dma_ctrl.scala 360:40] - assign io_lsu_dma_dma_lsc_ctl_dma_mem_sz = _T_1176 ? 3'h2 : dma_mem_sz_int; // @[dma_ctrl.scala 361:40] - assign io_lsu_dma_dma_lsc_ctl_dma_mem_write = _T_1179[0]; // @[dma_ctrl.scala 363:40] - assign io_lsu_dma_dma_lsc_ctl_dma_mem_wdata = 3'h4 == RdPtr ? fifo_data_4 : _GEN_77; // @[dma_ctrl.scala 364:40] - assign io_lsu_dma_dma_dccm_ctl_dma_mem_addr = io_lsu_dma_dma_lsc_ctl_dma_mem_addr; // @[dma_ctrl.scala 506:40] - assign io_lsu_dma_dma_dccm_ctl_dma_mem_wdata = io_lsu_dma_dma_lsc_ctl_dma_mem_wdata; // @[dma_ctrl.scala 507:41] - assign io_lsu_dma_dma_mem_tag = RdPtr; // @[dma_ctrl.scala 357:28] - assign io_ifu_dma_dma_ifc_dma_iccm_stall_any = _T_1120 & _T_1118; // @[dma_ctrl.scala 336:41] - assign io_ifu_dma_dma_mem_ctl_dma_iccm_req = _T_1120 & io_iccm_ready; // @[dma_ctrl.scala 356:40] - assign io_ifu_dma_dma_mem_ctl_dma_mem_addr = io_lsu_dma_dma_lsc_ctl_dma_mem_addr; // @[dma_ctrl.scala 509:39] - assign io_ifu_dma_dma_mem_ctl_dma_mem_sz = io_lsu_dma_dma_lsc_ctl_dma_mem_sz; // @[dma_ctrl.scala 508:37] - assign io_ifu_dma_dma_mem_ctl_dma_mem_write = io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[dma_ctrl.scala 511:40] - assign io_ifu_dma_dma_mem_ctl_dma_mem_wdata = io_lsu_dma_dma_lsc_ctl_dma_mem_wdata; // @[dma_ctrl.scala 510:40] - assign io_ifu_dma_dma_mem_ctl_dma_mem_tag = io_lsu_dma_dma_mem_tag; // @[dma_ctrl.scala 512:38] + rvclkhdr rvclkhdr_13 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_13_io_l1clk), + .io_clk(rvclkhdr_13_io_clk), + .io_en(rvclkhdr_13_io_en), + .io_scan_mode(rvclkhdr_13_io_scan_mode) + ); + rvclkhdr rvclkhdr_14 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_14_io_l1clk), + .io_clk(rvclkhdr_14_io_clk), + .io_en(rvclkhdr_14_io_en), + .io_scan_mode(rvclkhdr_14_io_scan_mode) + ); + rvclkhdr rvclkhdr_15 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_15_io_l1clk), + .io_clk(rvclkhdr_15_io_clk), + .io_en(rvclkhdr_15_io_en), + .io_scan_mode(rvclkhdr_15_io_scan_mode) + ); + assign io_dma_dbg_rddata = _GEN_47[2] ? _GEN_52[63:32] : _GEN_52[31:0]; // @[dma_ctrl.scala 325:25] + assign io_dma_dbg_cmd_done = _T_1110 & _T_1111[0]; // @[dma_ctrl.scala 324:25] + assign io_dma_dbg_cmd_fail = |_GEN_57; // @[dma_ctrl.scala 326:25] + assign io_dbg_dma_io_dma_dbg_ready = fifo_empty & dbg_dma_bubble_bus; // @[dma_ctrl.scala 323:36] + assign io_dec_dma_dctl_dma_dma_dccm_stall_any = io_dec_dma_tlu_dma_dma_dccm_stall_any; // @[dma_ctrl.scala 335:42] + assign io_dec_dma_tlu_dma_dma_pmu_dccm_read = io_lsu_dma_dma_lsc_ctl_dma_dccm_req & _T_166; // @[dma_ctrl.scala 365:42] + assign io_dec_dma_tlu_dma_dma_pmu_dccm_write = io_lsu_dma_dma_lsc_ctl_dma_dccm_req & io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[dma_ctrl.scala 366:42] + assign io_dec_dma_tlu_dma_dma_pmu_any_read = _T_165 & _T_166; // @[dma_ctrl.scala 367:42] + assign io_dec_dma_tlu_dma_dma_pmu_any_write = _T_165 & io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[dma_ctrl.scala 368:42] + assign io_dec_dma_tlu_dma_dma_dccm_stall_any = _T_1137 & _T_1138; // @[dma_ctrl.scala 332:41] + assign io_dec_dma_tlu_dma_dma_iccm_stall_any = io_ifu_dma_dma_ifc_dma_iccm_stall_any; // @[dma_ctrl.scala 334:41] + assign io_dma_axi_aw_ready = ~_T_1245; // @[dma_ctrl.scala 440:27] + assign io_dma_axi_w_ready = ~_T_1248; // @[dma_ctrl.scala 441:27] + assign io_dma_axi_b_valid = axi_rsp_valid & axi_rsp_write; // @[dma_ctrl.scala 477:27] + assign io_dma_axi_ar_ready = ~_T_1251; // @[dma_ctrl.scala 442:27] + assign io_dma_axi_r_valid = axi_rsp_valid & _T_1283; // @[dma_ctrl.scala 481:27] + assign io_dma_axi_r_bits_data = 3'h4 == RspPtr ? fifo_data_4 : _GEN_51; // @[dma_ctrl.scala 483:43] + assign io_dma_axi_r_bits_resp = _GEN_57[0] ? 2'h2 : _T_1280; // @[dma_ctrl.scala 482:41] + assign io_lsu_dma_dma_lsc_ctl_dma_dccm_req = _T_1137 & io_lsu_dma_dccm_ready; // @[dma_ctrl.scala 352:40] + assign io_lsu_dma_dma_lsc_ctl_dma_mem_addr = _T_1184 ? _T_1188 : dma_mem_addr_int; // @[dma_ctrl.scala 357:40] + assign io_lsu_dma_dma_lsc_ctl_dma_mem_sz = _T_1196 ? 3'h2 : dma_mem_sz_int; // @[dma_ctrl.scala 358:40] + assign io_lsu_dma_dma_lsc_ctl_dma_mem_write = _T_1199[0]; // @[dma_ctrl.scala 360:40] + assign io_lsu_dma_dma_lsc_ctl_dma_mem_wdata = 3'h4 == RdPtr ? fifo_data_4 : _GEN_77; // @[dma_ctrl.scala 361:40] + assign io_lsu_dma_dma_dccm_ctl_dma_mem_addr = io_lsu_dma_dma_lsc_ctl_dma_mem_addr; // @[dma_ctrl.scala 490:40] + assign io_lsu_dma_dma_dccm_ctl_dma_mem_wdata = io_lsu_dma_dma_lsc_ctl_dma_mem_wdata; // @[dma_ctrl.scala 491:41] + assign io_lsu_dma_dma_mem_tag = RdPtr; // @[dma_ctrl.scala 354:28] + assign io_ifu_dma_dma_ifc_dma_iccm_stall_any = _T_1140 & _T_1138; // @[dma_ctrl.scala 333:41] + assign io_ifu_dma_dma_mem_ctl_dma_iccm_req = _T_1140 & io_iccm_ready; // @[dma_ctrl.scala 353:40] + assign io_ifu_dma_dma_mem_ctl_dma_mem_addr = io_lsu_dma_dma_lsc_ctl_dma_mem_addr; // @[dma_ctrl.scala 493:39] + assign io_ifu_dma_dma_mem_ctl_dma_mem_sz = io_lsu_dma_dma_lsc_ctl_dma_mem_sz; // @[dma_ctrl.scala 492:37] + assign io_ifu_dma_dma_mem_ctl_dma_mem_write = io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[dma_ctrl.scala 495:40] + assign io_ifu_dma_dma_mem_ctl_dma_mem_wdata = io_lsu_dma_dma_lsc_ctl_dma_mem_wdata; // @[dma_ctrl.scala 494:40] + assign io_ifu_dma_dma_mem_ctl_dma_mem_tag = io_lsu_dma_dma_mem_tag; // @[dma_ctrl.scala 496:38] assign rvclkhdr_io_clk = clock; // @[lib.scala 370:18] assign rvclkhdr_io_en = fifo_cmd_en[0]; // @[lib.scala 371:17] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] @@ -79302,24 +79194,24 @@ module dma_ctrl( assign rvclkhdr_9_io_clk = clock; // @[lib.scala 370:18] assign rvclkhdr_9_io_en = fifo_data_en[4]; // @[lib.scala 371:17] assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] - assign dma_buffer_c1cgc_io_clk = clock; // @[dma_ctrl.scala 395:33] - assign dma_buffer_c1cgc_io_en = _T_1193 | io_clk_override; // @[dma_ctrl.scala 393:33] - assign dma_buffer_c1cgc_io_scan_mode = io_scan_mode; // @[dma_ctrl.scala 394:33] - assign dma_free_cgc_io_clk = clock; // @[dma_ctrl.scala 401:29] - assign dma_free_cgc_io_en = _T_1199 | io_clk_override; // @[dma_ctrl.scala 399:29] - assign dma_free_cgc_io_scan_mode = io_scan_mode; // @[dma_ctrl.scala 400:29] - assign dma_bus_cgc_io_clk = clock; // @[dma_ctrl.scala 407:28] - assign dma_bus_cgc_io_en = io_dma_bus_clk_en; // @[dma_ctrl.scala 405:28] - assign dma_bus_cgc_io_scan_mode = io_scan_mode; // @[dma_ctrl.scala 406:28] - assign rvclkhdr_10_io_clk = clock; // @[lib.scala 370:18] - assign rvclkhdr_10_io_en = wrbuf_en & io_dma_bus_clk_en; // @[lib.scala 371:17] - assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] - assign rvclkhdr_11_io_clk = clock; // @[lib.scala 370:18] - assign rvclkhdr_11_io_en = wrbuf_data_en & io_dma_bus_clk_en; // @[lib.scala 371:17] - assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] - assign rvclkhdr_12_io_clk = clock; // @[lib.scala 370:18] - assign rvclkhdr_12_io_en = rdbuf_en & io_dma_bus_clk_en; // @[lib.scala 371:17] - assign rvclkhdr_12_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_10_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_10_io_en = _T_1213 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_11_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_11_io_en = _T_1219 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_12_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_12_io_en = io_dma_bus_clk_en; // @[lib.scala 345:16] + assign rvclkhdr_12_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_13_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_13_io_en = wrbuf_en & io_dma_bus_clk_en; // @[lib.scala 371:17] + assign rvclkhdr_13_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_14_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_14_io_en = wrbuf_data_en & io_dma_bus_clk_en; // @[lib.scala 371:17] + assign rvclkhdr_14_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_15_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_15_io_en = rdbuf_en & io_dma_bus_clk_en; // @[lib.scala 371:17] + assign rvclkhdr_15_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE `endif @@ -79496,23 +79388,9 @@ initial begin _RAND_69 = {2{`RANDOM}}; fifo_data_4 = _RAND_69[63:0]; _RAND_70 = {1{`RANDOM}}; - fifo_tag_0 = _RAND_70[0:0]; + dma_nack_count = _RAND_70[2:0]; _RAND_71 = {1{`RANDOM}}; - wrbuf_tag = _RAND_71[0:0]; - _RAND_72 = {1{`RANDOM}}; - rdbuf_tag = _RAND_72[0:0]; - _RAND_73 = {1{`RANDOM}}; - fifo_tag_1 = _RAND_73[0:0]; - _RAND_74 = {1{`RANDOM}}; - fifo_tag_2 = _RAND_74[0:0]; - _RAND_75 = {1{`RANDOM}}; - fifo_tag_3 = _RAND_75[0:0]; - _RAND_76 = {1{`RANDOM}}; - fifo_tag_4 = _RAND_76[0:0]; - _RAND_77 = {1{`RANDOM}}; - dma_nack_count = _RAND_77[2:0]; - _RAND_78 = {1{`RANDOM}}; - dma_dbg_cmd_done_q = _RAND_78[0:0]; + dma_dbg_cmd_done_q = _RAND_71[0:0]; `endif // RANDOMIZE_REG_INIT if (reset) begin RdPtr = 3'h0; @@ -79724,27 +79602,6 @@ initial begin if (reset) begin fifo_data_4 = 64'h0; end - if (reset) begin - fifo_tag_0 = 1'h0; - end - if (reset) begin - wrbuf_tag = 1'h0; - end - if (reset) begin - rdbuf_tag = 1'h0; - end - if (reset) begin - fifo_tag_1 = 1'h0; - end - if (reset) begin - fifo_tag_2 = 1'h0; - end - if (reset) begin - fifo_tag_3 = 1'h0; - end - if (reset) begin - fifo_tag_4 = 1'h0; - end if (reset) begin dma_nack_count = 3'h0; end @@ -79825,21 +79682,21 @@ end // initial if (reset) begin wrbuf_vld <= 1'h0; end else begin - wrbuf_vld <= _T_1204 & _T_1205; + wrbuf_vld <= _T_1226 & _T_1227; end end always @(posedge dma_bus_clk or posedge reset) begin if (reset) begin wrbuf_data_vld <= 1'h0; end else begin - wrbuf_data_vld <= _T_1208 & _T_1209; + wrbuf_data_vld <= _T_1230 & _T_1231; end end always @(posedge dma_bus_clk or posedge reset) begin if (reset) begin rdbuf_vld <= 1'h0; end else begin - rdbuf_vld <= _T_1217 & _T_1218; + rdbuf_vld <= _T_1239 & _T_1240; end end always @(posedge dma_bus_clk or posedge reset) begin @@ -79849,14 +79706,14 @@ end // initial axi_mstr_priority <= axi_mstr_prty_in; end end - always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_13_io_l1clk or posedge reset) begin if (reset) begin wrbuf_addr <= 32'h0; end else begin wrbuf_addr <= io_dma_axi_aw_bits_addr; end end - always @(posedge rvclkhdr_12_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_15_io_l1clk or posedge reset) begin if (reset) begin rdbuf_addr <= 32'h0; end else begin @@ -79888,7 +79745,7 @@ end // initial if (reset) begin fifo_full <= 1'h0; end else begin - fifo_full <= num_fifo_vld_tmp2 >= 4'h5; + fifo_full <= num_fifo_vld >= 4'h5; end end always @(posedge dma_bus_clk or posedge reset) begin @@ -80154,7 +80011,7 @@ end // initial end end end - always @(posedge rvclkhdr_11_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_14_io_l1clk or posedge reset) begin if (reset) begin wrbuf_data <= 64'h0; end else begin @@ -80237,10 +80094,10 @@ end // initial end else if (fifo_cmd_en[0]) begin if (io_dbg_dma_dbg_ib_dbg_cmd_valid) begin _T_850 <= io_dbg_dma_dbg_ib_dbg_cmd_write; - end else if (_T_1241) begin + end else if (_T_1263) begin _T_850 <= axi_mstr_priority; end else begin - _T_850 <= _T_1240; + _T_850 <= _T_1262; end end end @@ -80250,10 +80107,10 @@ end // initial end else if (fifo_cmd_en[1]) begin if (io_dbg_dma_dbg_ib_dbg_cmd_valid) begin _T_852 <= io_dbg_dma_dbg_ib_dbg_cmd_write; - end else if (_T_1241) begin + end else if (_T_1263) begin _T_852 <= axi_mstr_priority; end else begin - _T_852 <= _T_1240; + _T_852 <= _T_1262; end end end @@ -80263,10 +80120,10 @@ end // initial end else if (fifo_cmd_en[2]) begin if (io_dbg_dma_dbg_ib_dbg_cmd_valid) begin _T_854 <= io_dbg_dma_dbg_ib_dbg_cmd_write; - end else if (_T_1241) begin + end else if (_T_1263) begin _T_854 <= axi_mstr_priority; end else begin - _T_854 <= _T_1240; + _T_854 <= _T_1262; end end end @@ -80276,10 +80133,10 @@ end // initial end else if (fifo_cmd_en[3]) begin if (io_dbg_dma_dbg_ib_dbg_cmd_valid) begin _T_856 <= io_dbg_dma_dbg_ib_dbg_cmd_write; - end else if (_T_1241) begin + end else if (_T_1263) begin _T_856 <= axi_mstr_priority; end else begin - _T_856 <= _T_1240; + _T_856 <= _T_1262; end end end @@ -80300,7 +80157,7 @@ end // initial end else if (_T_87) begin fifo_data_0 <= io_iccm_dma_rdata; end else if (io_dbg_dma_dbg_ib_dbg_cmd_valid) begin - fifo_data_0 <= {{60'd0}, _T_498}; + fifo_data_0 <= _T_498; end else begin fifo_data_0 <= wrbuf_data; end @@ -80315,7 +80172,7 @@ end // initial end else if (_T_105) begin fifo_data_1 <= io_iccm_dma_rdata; end else if (io_dbg_dma_dbg_ib_dbg_cmd_valid) begin - fifo_data_1 <= {{60'd0}, _T_498}; + fifo_data_1 <= _T_498; end else begin fifo_data_1 <= wrbuf_data; end @@ -80330,7 +80187,7 @@ end // initial end else if (_T_123) begin fifo_data_2 <= io_iccm_dma_rdata; end else if (io_dbg_dma_dbg_ib_dbg_cmd_valid) begin - fifo_data_2 <= {{60'd0}, _T_498}; + fifo_data_2 <= _T_498; end else begin fifo_data_2 <= wrbuf_data; end @@ -80345,7 +80202,7 @@ end // initial end else if (_T_141) begin fifo_data_3 <= io_iccm_dma_rdata; end else if (io_dbg_dma_dbg_ib_dbg_cmd_valid) begin - fifo_data_3 <= {{60'd0}, _T_498}; + fifo_data_3 <= _T_498; end else begin fifo_data_3 <= wrbuf_data; end @@ -80363,79 +80220,14 @@ end // initial fifo_data_4 <= _T_500; end end - always @(posedge dma_buffer_c1_clk or posedge reset) begin - if (reset) begin - fifo_tag_0 <= 1'h0; - end else if (fifo_cmd_en[0]) begin - if (axi_mstr_sel) begin - fifo_tag_0 <= wrbuf_tag; - end else begin - fifo_tag_0 <= rdbuf_tag; - end - end - end - always @(posedge dma_bus_clk or posedge reset) begin - if (reset) begin - wrbuf_tag <= 1'h0; - end else if (wrbuf_en) begin - wrbuf_tag <= io_dma_axi_aw_bits_id; - end - end - always @(posedge dma_bus_clk or posedge reset) begin - if (reset) begin - rdbuf_tag <= 1'h0; - end else if (rdbuf_en) begin - rdbuf_tag <= io_dma_axi_ar_bits_id; - end - end - always @(posedge dma_buffer_c1_clk or posedge reset) begin - if (reset) begin - fifo_tag_1 <= 1'h0; - end else if (fifo_cmd_en[1]) begin - if (axi_mstr_sel) begin - fifo_tag_1 <= wrbuf_tag; - end else begin - fifo_tag_1 <= rdbuf_tag; - end - end - end - always @(posedge dma_buffer_c1_clk or posedge reset) begin - if (reset) begin - fifo_tag_2 <= 1'h0; - end else if (fifo_cmd_en[2]) begin - if (axi_mstr_sel) begin - fifo_tag_2 <= wrbuf_tag; - end else begin - fifo_tag_2 <= rdbuf_tag; - end - end - end - always @(posedge dma_buffer_c1_clk or posedge reset) begin - if (reset) begin - fifo_tag_3 <= 1'h0; - end else if (fifo_cmd_en[3]) begin - if (axi_mstr_sel) begin - fifo_tag_3 <= wrbuf_tag; - end else begin - fifo_tag_3 <= rdbuf_tag; - end - end - end - always @(posedge dma_buffer_c1_clk or posedge reset) begin - if (reset) begin - fifo_tag_4 <= 1'h0; - end else if (fifo_cmd_en[4]) begin - fifo_tag_4 <= bus_cmd_tag; - end - end always @(posedge dma_free_clk or posedge reset) begin if (reset) begin dma_nack_count <= 3'h0; end else if (dma_mem_req) begin - if (_T_1118) begin - dma_nack_count <= _T_1131; - end else if (_T_1135) begin - dma_nack_count <= _T_1138; + if (_T_1138) begin + dma_nack_count <= _T_1151; + end else if (_T_1155) begin + dma_nack_count <= _T_1158; end else begin dma_nack_count <= 3'h0; end @@ -80449,97 +80241,2688 @@ end // initial end end endmodule +module axi4_to_ahb( + input clock, + input reset, + input io_scan_mode, + input io_bus_clk_en, + input io_clk_override, + output io_axi_aw_ready, + input io_axi_aw_valid, + input [31:0] io_axi_aw_bits_addr, + input [2:0] io_axi_aw_bits_size, + output io_axi_w_ready, + input io_axi_w_valid, + input [63:0] io_axi_w_bits_data, + input [7:0] io_axi_w_bits_strb, + output io_axi_b_valid, + output [1:0] io_axi_b_bits_resp, + output io_axi_ar_ready, + input io_axi_ar_valid, + input [31:0] io_axi_ar_bits_addr, + input [2:0] io_axi_ar_bits_size, + output io_axi_r_valid, + output [63:0] io_axi_r_bits_data, + output [1:0] io_axi_r_bits_resp, + input [63:0] io_ahb_in_hrdata, + input io_ahb_in_hready, + input io_ahb_in_hresp, + output [31:0] io_ahb_out_haddr, + output [2:0] io_ahb_out_hsize, + output [1:0] io_ahb_out_htrans, + output io_ahb_out_hwrite, + output [63:0] io_ahb_out_hwdata +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; + reg [63:0] _RAND_11; + reg [31:0] _RAND_12; + reg [31:0] _RAND_13; + reg [31:0] _RAND_14; + reg [63:0] _RAND_15; + reg [63:0] _RAND_16; + reg [31:0] _RAND_17; + reg [31:0] _RAND_18; + reg [31:0] _RAND_19; + reg [31:0] _RAND_20; + reg [31:0] _RAND_21; + reg [31:0] _RAND_22; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_io_en; // @[lib.scala 343:22] + wire rvclkhdr_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_1_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_1_io_en; // @[lib.scala 343:22] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_en; // @[lib.scala 368:23] + wire rvclkhdr_2_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_en; // @[lib.scala 368:23] + wire rvclkhdr_3_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_4_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_en; // @[lib.scala 368:23] + wire rvclkhdr_4_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_5_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_en; // @[lib.scala 368:23] + wire rvclkhdr_5_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_6_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_6_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_6_io_en; // @[lib.scala 343:22] + wire rvclkhdr_6_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_7_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_7_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_7_io_en; // @[lib.scala 343:22] + wire rvclkhdr_7_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_8_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_8_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_8_io_en; // @[lib.scala 343:22] + wire rvclkhdr_8_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_9_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_9_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_9_io_en; // @[lib.scala 343:22] + wire rvclkhdr_9_io_scan_mode; // @[lib.scala 343:22] + wire ahbm_clk = rvclkhdr_7_io_l1clk; // @[axi4_to_ahb.scala 24:22 axi4_to_ahb.scala 333:12] + reg [2:0] buf_state; // @[axi4_to_ahb.scala 30:45] + wire _T_49 = 3'h0 == buf_state; // @[Conditional.scala 37:30] + wire bus_clk = rvclkhdr_io_l1clk; // @[axi4_to_ahb.scala 50:21 axi4_to_ahb.scala 162:11] + reg wrbuf_vld; // @[axi4_to_ahb.scala 301:51] + reg wrbuf_data_vld; // @[axi4_to_ahb.scala 302:51] + wire wr_cmd_vld = wrbuf_vld & wrbuf_data_vld; // @[axi4_to_ahb.scala 139:27] + wire master_valid = wr_cmd_vld | io_axi_ar_valid; // @[axi4_to_ahb.scala 140:30] + wire _T_101 = 3'h1 == buf_state; // @[Conditional.scala 37:30] + reg ahb_hready_q; // @[axi4_to_ahb.scala 321:52] + reg [1:0] ahb_htrans_q; // @[axi4_to_ahb.scala 322:52] + wire _T_108 = ahb_htrans_q != 2'h0; // @[axi4_to_ahb.scala 183:58] + wire _T_109 = ahb_hready_q & _T_108; // @[axi4_to_ahb.scala 183:36] + wire ahbm_addr_clk = rvclkhdr_8_io_l1clk; // @[axi4_to_ahb.scala 25:27 axi4_to_ahb.scala 334:17] + reg ahb_hwrite_q; // @[axi4_to_ahb.scala 323:57] + wire _T_110 = ~ahb_hwrite_q; // @[axi4_to_ahb.scala 183:72] + wire _T_111 = _T_109 & _T_110; // @[axi4_to_ahb.scala 183:70] + wire _T_136 = 3'h6 == buf_state; // @[Conditional.scala 37:30] + reg ahb_hresp_q; // @[axi4_to_ahb.scala 324:52] + wire _T_156 = ahb_hready_q | ahb_hresp_q; // @[axi4_to_ahb.scala 197:37] + wire _T_175 = 3'h7 == buf_state; // @[Conditional.scala 37:30] + wire _T_186 = 3'h3 == buf_state; // @[Conditional.scala 37:30] + wire _T_188 = 3'h2 == buf_state; // @[Conditional.scala 37:30] + wire _T_189 = ahb_hready_q & ahb_hwrite_q; // @[axi4_to_ahb.scala 229:33] + wire _T_192 = _T_189 & _T_108; // @[axi4_to_ahb.scala 229:48] + wire _T_281 = 3'h4 == buf_state; // @[Conditional.scala 37:30] + wire _GEN_15 = _T_281 & _T_192; // @[Conditional.scala 39:67] + wire _GEN_19 = _T_188 ? _T_192 : _GEN_15; // @[Conditional.scala 39:67] + wire _GEN_40 = _T_186 ? 1'h0 : _GEN_19; // @[Conditional.scala 39:67] + wire _GEN_59 = _T_175 ? 1'h0 : _GEN_40; // @[Conditional.scala 39:67] + wire _GEN_79 = _T_136 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] + wire _GEN_95 = _T_101 ? 1'h0 : _GEN_79; // @[Conditional.scala 39:67] + wire trxn_done = _T_49 ? 1'h0 : _GEN_95; // @[Conditional.scala 40:58] + reg cmd_doneQ; // @[axi4_to_ahb.scala 319:52] + wire _T_282 = cmd_doneQ & ahb_hready_q; // @[axi4_to_ahb.scala 239:34] + wire _T_283 = _T_282 | ahb_hresp_q; // @[axi4_to_ahb.scala 239:50] + wire _T_440 = 3'h5 == buf_state; // @[Conditional.scala 37:30] + wire _GEN_3 = _T_281 ? _T_283 : _T_440; // @[Conditional.scala 39:67] + wire _GEN_20 = _T_188 ? trxn_done : _GEN_3; // @[Conditional.scala 39:67] + wire _GEN_35 = _T_186 ? _T_156 : _GEN_20; // @[Conditional.scala 39:67] + wire _GEN_51 = _T_175 ? _T_111 : _GEN_35; // @[Conditional.scala 39:67] + wire _GEN_69 = _T_136 ? _T_156 : _GEN_51; // @[Conditional.scala 39:67] + wire _GEN_83 = _T_101 ? _T_111 : _GEN_69; // @[Conditional.scala 39:67] + wire buf_state_en = _T_49 ? master_valid : _GEN_83; // @[Conditional.scala 40:58] + wire [1:0] _T_14 = wr_cmd_vld ? 2'h3 : 2'h0; // @[axi4_to_ahb.scala 142:20] + wire [2:0] master_opc = {{1'd0}, _T_14}; // @[axi4_to_ahb.scala 142:14] + wire _T_51 = master_opc[2:1] == 2'h1; // @[axi4_to_ahb.scala 168:41] + wire _GEN_8 = _T_281 & _T_51; // @[Conditional.scala 39:67] + wire _GEN_29 = _T_188 ? 1'h0 : _GEN_8; // @[Conditional.scala 39:67] + wire _GEN_46 = _T_186 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] + wire _GEN_63 = _T_175 ? 1'h0 : _GEN_46; // @[Conditional.scala 39:67] + wire _GEN_81 = _T_136 ? 1'h0 : _GEN_63; // @[Conditional.scala 39:67] + wire _GEN_97 = _T_101 ? 1'h0 : _GEN_81; // @[Conditional.scala 39:67] + wire buf_write_in = _T_49 ? _T_51 : _GEN_97; // @[Conditional.scala 40:58] + wire [2:0] _T_53 = buf_write_in ? 3'h2 : 3'h1; // @[axi4_to_ahb.scala 169:26] + wire _T_103 = master_opc == 3'h0; // @[axi4_to_ahb.scala 182:61] + wire _T_104 = master_valid & _T_103; // @[axi4_to_ahb.scala 182:41] + wire [2:0] _T_106 = _T_104 ? 3'h6 : 3'h3; // @[axi4_to_ahb.scala 182:26] + wire _T_124 = _T_106 == 3'h6; // @[axi4_to_ahb.scala 186:174] + wire _T_125 = _T_111 & _T_124; // @[axi4_to_ahb.scala 186:88] + wire _T_137 = ~ahb_hresp_q; // @[axi4_to_ahb.scala 194:39] + wire _T_138 = ahb_hready_q & _T_137; // @[axi4_to_ahb.scala 194:37] + wire _T_141 = master_valid & _T_51; // @[axi4_to_ahb.scala 194:70] + wire _T_142 = ~_T_141; // @[axi4_to_ahb.scala 194:55] + wire _T_143 = _T_138 & _T_142; // @[axi4_to_ahb.scala 194:53] + wire _T_285 = buf_state_en & _T_137; // @[axi4_to_ahb.scala 240:36] + wire _GEN_4 = _T_281 & _T_285; // @[Conditional.scala 39:67] + wire _GEN_26 = _T_188 ? 1'h0 : _GEN_4; // @[Conditional.scala 39:67] + wire _GEN_45 = _T_186 ? 1'h0 : _GEN_26; // @[Conditional.scala 39:67] + wire _GEN_62 = _T_175 ? 1'h0 : _GEN_45; // @[Conditional.scala 39:67] + wire _GEN_66 = _T_136 ? _T_143 : _GEN_62; // @[Conditional.scala 39:67] + wire _GEN_86 = _T_101 ? _T_125 : _GEN_66; // @[Conditional.scala 39:67] + wire master_ready = _T_49 | _GEN_86; // @[Conditional.scala 40:58] + wire _T_149 = master_valid & master_ready; // @[axi4_to_ahb.scala 196:82] + wire _T_152 = _T_149 & _T_103; // @[axi4_to_ahb.scala 196:97] + wire [2:0] _T_154 = _T_152 ? 3'h6 : 3'h3; // @[axi4_to_ahb.scala 196:67] + wire [2:0] _T_155 = ahb_hresp_q ? 3'h7 : _T_154; // @[axi4_to_ahb.scala 196:26] + wire [2:0] _T_293 = _T_51 ? 3'h2 : 3'h1; // @[axi4_to_ahb.scala 241:99] + wire [2:0] _T_294 = master_valid ? _T_293 : 3'h0; // @[axi4_to_ahb.scala 241:65] + wire [2:0] _T_295 = ahb_hresp_q ? 3'h5 : _T_294; // @[axi4_to_ahb.scala 241:26] + wire [2:0] _GEN_5 = _T_281 ? _T_295 : 3'h0; // @[Conditional.scala 39:67] + wire [2:0] _GEN_18 = _T_188 ? 3'h4 : _GEN_5; // @[Conditional.scala 39:67] + wire [2:0] _GEN_34 = _T_186 ? 3'h5 : _GEN_18; // @[Conditional.scala 39:67] + wire [2:0] _GEN_50 = _T_175 ? 3'h3 : _GEN_34; // @[Conditional.scala 39:67] + wire [2:0] _GEN_68 = _T_136 ? _T_155 : _GEN_50; // @[Conditional.scala 39:67] + wire [2:0] _GEN_82 = _T_101 ? _T_106 : _GEN_68; // @[Conditional.scala 39:67] + wire [2:0] buf_nxtstate = _T_49 ? _T_53 : _GEN_82; // @[Conditional.scala 40:58] + reg [31:0] wrbuf_addr; // @[lib.scala 374:16] + wire [31:0] master_addr = wr_cmd_vld ? wrbuf_addr : io_axi_ar_bits_addr; // @[axi4_to_ahb.scala 143:21] + reg [2:0] wrbuf_size; // @[Reg.scala 27:20] + wire [2:0] master_size = wr_cmd_vld ? wrbuf_size : io_axi_ar_bits_size; // @[axi4_to_ahb.scala 144:21] + reg [7:0] wrbuf_byteen; // @[Reg.scala 27:20] + reg [63:0] wrbuf_data; // @[lib.scala 374:16] + wire _T_358 = buf_nxtstate != 3'h5; // @[axi4_to_ahb.scala 251:55] + wire _T_359 = buf_state_en & _T_358; // @[axi4_to_ahb.scala 251:39] + wire _GEN_14 = _T_281 ? _T_359 : _T_440; // @[Conditional.scala 39:67] + wire _GEN_33 = _T_188 ? 1'h0 : _GEN_14; // @[Conditional.scala 39:67] + wire _GEN_49 = _T_186 ? 1'h0 : _GEN_33; // @[Conditional.scala 39:67] + wire _GEN_52 = _T_175 ? buf_state_en : _GEN_49; // @[Conditional.scala 39:67] + wire _GEN_73 = _T_136 ? _T_285 : _GEN_52; // @[Conditional.scala 39:67] + wire _GEN_94 = _T_101 ? 1'h0 : _GEN_73; // @[Conditional.scala 39:67] + wire slave_valid_pre = _T_49 ? 1'h0 : _GEN_94; // @[Conditional.scala 40:58] + wire buf_clk = rvclkhdr_6_io_l1clk; // @[axi4_to_ahb.scala 118:21 axi4_to_ahb.scala 332:12] + reg slvbuf_write; // @[Reg.scala 27:20] + wire [1:0] _T_596 = slvbuf_write ? 2'h3 : 2'h0; // @[axi4_to_ahb.scala 285:23] + reg slvbuf_error; // @[Reg.scala 27:20] + wire [1:0] _T_598 = slvbuf_error ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_599 = _T_598 & 2'h2; // @[axi4_to_ahb.scala 285:88] + wire [3:0] slave_opc = {_T_596,_T_599}; // @[Cat.scala 29:58] + wire [1:0] _T_30 = slave_opc[1] ? 2'h3 : 2'h0; // @[axi4_to_ahb.scala 150:55] + wire _T_35 = slave_opc[3:2] == 2'h0; // @[axi4_to_ahb.scala 153:66] + reg [31:0] last_bus_addr; // @[Reg.scala 27:20] + wire [63:0] _T_603 = {last_bus_addr,last_bus_addr}; // @[Cat.scala 29:58] + wire _T_604 = buf_state == 3'h5; // @[axi4_to_ahb.scala 286:91] + reg [63:0] buf_data; // @[lib.scala 374:16] + wire ahbm_data_clk = rvclkhdr_9_io_l1clk; // @[axi4_to_ahb.scala 26:27 axi4_to_ahb.scala 335:17] + reg [63:0] ahb_hrdata_q; // @[axi4_to_ahb.scala 325:57] + wire [63:0] _T_607 = _T_604 ? buf_data : ahb_hrdata_q; // @[axi4_to_ahb.scala 286:79] + wire _T_44 = io_axi_aw_valid & io_axi_aw_ready; // @[axi4_to_ahb.scala 160:57] + wire _T_45 = io_axi_w_valid & io_axi_w_ready; // @[axi4_to_ahb.scala 160:94] + wire _T_46 = _T_44 | _T_45; // @[axi4_to_ahb.scala 160:76] + wire _T_55 = buf_nxtstate == 3'h2; // @[axi4_to_ahb.scala 172:54] + wire _T_56 = buf_state_en & _T_55; // @[axi4_to_ahb.scala 172:38] + wire [2:0] _T_87 = wrbuf_byteen[6] ? 3'h6 : 3'h7; // @[Mux.scala 98:16] + wire [2:0] _T_88 = wrbuf_byteen[5] ? 3'h5 : _T_87; // @[Mux.scala 98:16] + wire [2:0] _T_89 = wrbuf_byteen[4] ? 3'h4 : _T_88; // @[Mux.scala 98:16] + wire [2:0] _T_90 = wrbuf_byteen[3] ? 3'h3 : _T_89; // @[Mux.scala 98:16] + wire [2:0] _T_91 = wrbuf_byteen[2] ? 3'h2 : _T_90; // @[Mux.scala 98:16] + wire [2:0] _T_92 = wrbuf_byteen[1] ? 3'h1 : _T_91; // @[Mux.scala 98:16] + wire [2:0] _T_93 = wrbuf_byteen[0] ? 3'h0 : _T_92; // @[Mux.scala 98:16] + wire [2:0] _T_95 = buf_write_in ? _T_93 : master_addr[2:0]; // @[axi4_to_ahb.scala 175:30] + wire _T_96 = buf_nxtstate == 3'h1; // @[axi4_to_ahb.scala 177:51] + wire _T_126 = master_ready & master_valid; // @[axi4_to_ahb.scala 188:33] + wire _T_162 = buf_nxtstate == 3'h6; // @[axi4_to_ahb.scala 203:64] + wire _T_163 = _T_126 & _T_162; // @[axi4_to_ahb.scala 203:48] + wire _T_164 = _T_163 & buf_state_en; // @[axi4_to_ahb.scala 203:79] + wire _T_349 = buf_state_en & buf_write_in; // @[axi4_to_ahb.scala 249:33] + wire _T_351 = _T_349 & _T_55; // @[axi4_to_ahb.scala 249:48] + wire _GEN_12 = _T_281 & _T_351; // @[Conditional.scala 39:67] + wire _GEN_32 = _T_188 ? 1'h0 : _GEN_12; // @[Conditional.scala 39:67] + wire _GEN_48 = _T_186 ? 1'h0 : _GEN_32; // @[Conditional.scala 39:67] + wire _GEN_65 = _T_175 ? 1'h0 : _GEN_48; // @[Conditional.scala 39:67] + wire _GEN_75 = _T_136 ? _T_164 : _GEN_65; // @[Conditional.scala 39:67] + wire _GEN_88 = _T_101 ? _T_126 : _GEN_75; // @[Conditional.scala 39:67] + wire bypass_en = _T_49 ? buf_state_en : _GEN_88; // @[Conditional.scala 40:58] + wire [1:0] _T_99 = bypass_en ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_100 = _T_99 & 2'h2; // @[axi4_to_ahb.scala 178:49] + wire _T_112 = ~master_valid; // @[axi4_to_ahb.scala 184:34] + wire _T_113 = buf_state_en & _T_112; // @[axi4_to_ahb.scala 184:32] + reg [31:0] buf_addr; // @[lib.scala 374:16] + wire [2:0] _T_130 = bypass_en ? master_addr[2:0] : buf_addr[2:0]; // @[axi4_to_ahb.scala 189:30] + wire _T_131 = ~buf_state_en; // @[axi4_to_ahb.scala 190:48] + wire _T_132 = _T_131 | bypass_en; // @[axi4_to_ahb.scala 190:62] + wire [1:0] _T_134 = _T_132 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_135 = 2'h2 & _T_134; // @[axi4_to_ahb.scala 190:36] + wire _T_169 = buf_nxtstate != 3'h6; // @[axi4_to_ahb.scala 205:63] + wire _T_170 = _T_169 & buf_state_en; // @[axi4_to_ahb.scala 205:78] + wire _T_171 = ~_T_170; // @[axi4_to_ahb.scala 205:47] + wire [1:0] _T_173 = _T_171 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_174 = 2'h2 & _T_173; // @[axi4_to_ahb.scala 205:36] + wire [1:0] _T_184 = _T_131 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_185 = 2'h2 & _T_184; // @[axi4_to_ahb.scala 215:41] + reg [2:0] buf_cmd_byte_ptrQ; // @[Reg.scala 27:20] + reg [7:0] buf_byteen; // @[Reg.scala 27:20] + wire [2:0] _T_197 = buf_cmd_byte_ptrQ + 3'h1; // @[axi4_to_ahb.scala 135:52] + wire _T_200 = 3'h0 >= _T_197; // @[axi4_to_ahb.scala 136:62] + wire _T_201 = buf_byteen[0] & _T_200; // @[axi4_to_ahb.scala 136:48] + wire _T_203 = 3'h1 >= _T_197; // @[axi4_to_ahb.scala 136:62] + wire _T_204 = buf_byteen[1] & _T_203; // @[axi4_to_ahb.scala 136:48] + wire _T_206 = 3'h2 >= _T_197; // @[axi4_to_ahb.scala 136:62] + wire _T_207 = buf_byteen[2] & _T_206; // @[axi4_to_ahb.scala 136:48] + wire _T_209 = 3'h3 >= _T_197; // @[axi4_to_ahb.scala 136:62] + wire _T_210 = buf_byteen[3] & _T_209; // @[axi4_to_ahb.scala 136:48] + wire _T_212 = 3'h4 >= _T_197; // @[axi4_to_ahb.scala 136:62] + wire _T_213 = buf_byteen[4] & _T_212; // @[axi4_to_ahb.scala 136:48] + wire _T_215 = 3'h5 >= _T_197; // @[axi4_to_ahb.scala 136:62] + wire _T_216 = buf_byteen[5] & _T_215; // @[axi4_to_ahb.scala 136:48] + wire _T_218 = 3'h6 >= _T_197; // @[axi4_to_ahb.scala 136:62] + wire _T_219 = buf_byteen[6] & _T_218; // @[axi4_to_ahb.scala 136:48] + wire [2:0] _T_224 = _T_219 ? 3'h6 : 3'h7; // @[Mux.scala 98:16] + wire [2:0] _T_225 = _T_216 ? 3'h5 : _T_224; // @[Mux.scala 98:16] + wire [2:0] _T_226 = _T_213 ? 3'h4 : _T_225; // @[Mux.scala 98:16] + wire [2:0] _T_227 = _T_210 ? 3'h3 : _T_226; // @[Mux.scala 98:16] + wire [2:0] _T_228 = _T_207 ? 3'h2 : _T_227; // @[Mux.scala 98:16] + wire [2:0] _T_229 = _T_204 ? 3'h1 : _T_228; // @[Mux.scala 98:16] + wire [2:0] _T_230 = _T_201 ? 3'h0 : _T_229; // @[Mux.scala 98:16] + wire [2:0] _T_231 = trxn_done ? _T_230 : buf_cmd_byte_ptrQ; // @[axi4_to_ahb.scala 233:30] + wire _T_232 = buf_cmd_byte_ptrQ == 3'h7; // @[axi4_to_ahb.scala 234:65] + reg buf_aligned; // @[Reg.scala 27:20] + wire _T_233 = buf_aligned | _T_232; // @[axi4_to_ahb.scala 234:44] + wire [7:0] _T_271 = buf_byteen >> _T_230; // @[axi4_to_ahb.scala 234:92] + wire _T_273 = ~_T_271[0]; // @[axi4_to_ahb.scala 234:163] + wire _T_274 = _T_233 | _T_273; // @[axi4_to_ahb.scala 234:79] + wire _T_275 = trxn_done & _T_274; // @[axi4_to_ahb.scala 234:29] + wire _T_346 = _T_232 | _T_273; // @[axi4_to_ahb.scala 248:38] + wire _T_347 = _T_109 & _T_346; // @[axi4_to_ahb.scala 247:80] + wire _T_348 = ahb_hresp_q | _T_347; // @[axi4_to_ahb.scala 247:34] + wire _GEN_11 = _T_281 & _T_348; // @[Conditional.scala 39:67] + wire _GEN_24 = _T_188 ? _T_275 : _GEN_11; // @[Conditional.scala 39:67] + wire _GEN_43 = _T_186 ? 1'h0 : _GEN_24; // @[Conditional.scala 39:67] + wire _GEN_61 = _T_175 ? 1'h0 : _GEN_43; // @[Conditional.scala 39:67] + wire _GEN_74 = _T_136 ? _T_113 : _GEN_61; // @[Conditional.scala 39:67] + wire _GEN_84 = _T_101 ? _T_113 : _GEN_74; // @[Conditional.scala 39:67] + wire cmd_done = _T_49 ? 1'h0 : _GEN_84; // @[Conditional.scala 40:58] + wire _T_276 = cmd_done | cmd_doneQ; // @[axi4_to_ahb.scala 235:47] + wire _T_277 = ~_T_276; // @[axi4_to_ahb.scala 235:36] + wire [1:0] _T_279 = _T_277 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_280 = _T_279 & 2'h2; // @[axi4_to_ahb.scala 235:61] + wire _T_300 = _T_55 | _T_96; // @[axi4_to_ahb.scala 245:62] + wire _T_301 = buf_state_en & _T_300; // @[axi4_to_ahb.scala 245:33] + wire _T_354 = _T_277 | bypass_en; // @[axi4_to_ahb.scala 250:61] + wire [1:0] _T_356 = _T_354 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_357 = _T_356 & 2'h2; // @[axi4_to_ahb.scala 250:75] + wire _T_364 = trxn_done | bypass_en; // @[axi4_to_ahb.scala 253:40] + wire [2:0] _T_439 = bypass_en ? _T_93 : _T_231; // @[axi4_to_ahb.scala 254:30] + wire _GEN_6 = _T_281 & ahb_hresp_q; // @[Conditional.scala 39:67] + wire _GEN_7 = _T_281 ? buf_state_en : _T_440; // @[Conditional.scala 39:67] + wire _GEN_9 = _T_281 & _T_301; // @[Conditional.scala 39:67] + wire _GEN_30 = _T_188 ? 1'h0 : _GEN_9; // @[Conditional.scala 39:67] + wire _GEN_47 = _T_186 ? 1'h0 : _GEN_30; // @[Conditional.scala 39:67] + wire _GEN_64 = _T_175 ? 1'h0 : _GEN_47; // @[Conditional.scala 39:67] + wire _GEN_67 = _T_136 ? _T_152 : _GEN_64; // @[Conditional.scala 39:67] + wire _GEN_87 = _T_101 ? master_ready : _GEN_67; // @[Conditional.scala 39:67] + wire buf_wr_en = _T_49 ? buf_state_en : _GEN_87; // @[Conditional.scala 40:58] + wire _GEN_10 = _T_281 & buf_wr_en; // @[Conditional.scala 39:67] + wire [1:0] _GEN_13 = _T_281 ? _T_357 : 2'h0; // @[Conditional.scala 39:67] + wire _GEN_16 = _T_281 & _T_364; // @[Conditional.scala 39:67] + wire [2:0] _GEN_17 = _T_281 ? _T_439 : 3'h0; // @[Conditional.scala 39:67] + wire _GEN_21 = _T_188 ? buf_state_en : _GEN_16; // @[Conditional.scala 39:67] + wire _GEN_22 = _T_188 & buf_state_en; // @[Conditional.scala 39:67] + wire [2:0] _GEN_23 = _T_188 ? _T_231 : _GEN_17; // @[Conditional.scala 39:67] + wire [1:0] _GEN_25 = _T_188 ? _T_280 : _GEN_13; // @[Conditional.scala 39:67] + wire _GEN_28 = _T_188 ? 1'h0 : _GEN_7; // @[Conditional.scala 39:67] + wire _GEN_31 = _T_188 ? 1'h0 : _GEN_10; // @[Conditional.scala 39:67] + wire _GEN_36 = _T_186 ? buf_state_en : _GEN_31; // @[Conditional.scala 39:67] + wire _GEN_38 = _T_186 ? buf_state_en : _GEN_28; // @[Conditional.scala 39:67] + wire _GEN_39 = _T_186 ? buf_state_en : _GEN_22; // @[Conditional.scala 39:67] + wire _GEN_41 = _T_186 ? 1'h0 : _GEN_21; // @[Conditional.scala 39:67] + wire [2:0] _GEN_42 = _T_186 ? 3'h0 : _GEN_23; // @[Conditional.scala 39:67] + wire [1:0] _GEN_44 = _T_186 ? 2'h0 : _GEN_25; // @[Conditional.scala 39:67] + wire _GEN_53 = _T_175 ? buf_state_en : _GEN_39; // @[Conditional.scala 39:67] + wire [2:0] _GEN_54 = _T_175 ? buf_addr[2:0] : _GEN_42; // @[Conditional.scala 39:67] + wire [1:0] _GEN_55 = _T_175 ? _T_185 : _GEN_44; // @[Conditional.scala 39:67] + wire _GEN_56 = _T_175 ? 1'h0 : _GEN_36; // @[Conditional.scala 39:67] + wire _GEN_58 = _T_175 ? 1'h0 : _GEN_38; // @[Conditional.scala 39:67] + wire _GEN_60 = _T_175 ? 1'h0 : _GEN_41; // @[Conditional.scala 39:67] + wire _GEN_70 = _T_136 ? buf_state_en : _GEN_56; // @[Conditional.scala 39:67] + wire _GEN_72 = _T_136 ? buf_state_en : _GEN_58; // @[Conditional.scala 39:67] + wire [2:0] _GEN_76 = _T_136 ? _T_130 : _GEN_54; // @[Conditional.scala 39:67] + wire [1:0] _GEN_77 = _T_136 ? _T_174 : _GEN_55; // @[Conditional.scala 39:67] + wire _GEN_78 = _T_136 ? buf_wr_en : _GEN_53; // @[Conditional.scala 39:67] + wire _GEN_80 = _T_136 ? 1'h0 : _GEN_60; // @[Conditional.scala 39:67] + wire _GEN_85 = _T_101 ? buf_state_en : _GEN_78; // @[Conditional.scala 39:67] + wire [2:0] _GEN_89 = _T_101 ? _T_130 : _GEN_76; // @[Conditional.scala 39:67] + wire [1:0] _GEN_90 = _T_101 ? _T_135 : _GEN_77; // @[Conditional.scala 39:67] + wire _GEN_91 = _T_101 ? 1'h0 : _GEN_70; // @[Conditional.scala 39:67] + wire _GEN_93 = _T_101 ? 1'h0 : _GEN_72; // @[Conditional.scala 39:67] + wire _GEN_96 = _T_101 ? 1'h0 : _GEN_80; // @[Conditional.scala 39:67] + wire buf_data_wr_en = _T_49 ? _T_56 : _GEN_91; // @[Conditional.scala 40:58] + wire buf_cmd_byte_ptr_en = _T_49 ? buf_state_en : _GEN_96; // @[Conditional.scala 40:58] + wire [2:0] buf_cmd_byte_ptr = _T_49 ? _T_95 : _GEN_89; // @[Conditional.scala 40:58] + wire slvbuf_wr_en = _T_49 ? 1'h0 : _GEN_85; // @[Conditional.scala 40:58] + wire slvbuf_error_en = _T_49 ? 1'h0 : _GEN_93; // @[Conditional.scala 40:58] + wire _T_535 = master_size[1:0] == 2'h0; // @[axi4_to_ahb.scala 271:24] + wire _T_536 = _T_103 | _T_535; // @[axi4_to_ahb.scala 270:48] + wire _T_538 = master_size[1:0] == 2'h1; // @[axi4_to_ahb.scala 271:54] + wire _T_539 = _T_536 | _T_538; // @[axi4_to_ahb.scala 271:33] + wire _T_541 = master_size[1:0] == 2'h2; // @[axi4_to_ahb.scala 271:93] + wire _T_542 = _T_539 | _T_541; // @[axi4_to_ahb.scala 271:72] + wire _T_544 = master_size[1:0] == 2'h3; // @[axi4_to_ahb.scala 272:25] + wire _T_546 = wrbuf_byteen == 8'h3; // @[axi4_to_ahb.scala 272:62] + wire _T_548 = wrbuf_byteen == 8'hc; // @[axi4_to_ahb.scala 272:97] + wire _T_549 = _T_546 | _T_548; // @[axi4_to_ahb.scala 272:74] + wire _T_551 = wrbuf_byteen == 8'h30; // @[axi4_to_ahb.scala 272:132] + wire _T_552 = _T_549 | _T_551; // @[axi4_to_ahb.scala 272:109] + wire _T_554 = wrbuf_byteen == 8'hc0; // @[axi4_to_ahb.scala 272:168] + wire _T_555 = _T_552 | _T_554; // @[axi4_to_ahb.scala 272:145] + wire _T_557 = wrbuf_byteen == 8'hf; // @[axi4_to_ahb.scala 273:28] + wire _T_558 = _T_555 | _T_557; // @[axi4_to_ahb.scala 272:181] + wire _T_560 = wrbuf_byteen == 8'hf0; // @[axi4_to_ahb.scala 273:63] + wire _T_561 = _T_558 | _T_560; // @[axi4_to_ahb.scala 273:40] + wire _T_563 = wrbuf_byteen == 8'hff; // @[axi4_to_ahb.scala 273:99] + wire _T_564 = _T_561 | _T_563; // @[axi4_to_ahb.scala 273:76] + wire _T_565 = _T_544 & _T_564; // @[axi4_to_ahb.scala 272:38] + wire buf_aligned_in = _T_542 | _T_565; // @[axi4_to_ahb.scala 271:106] + wire _T_444 = buf_aligned_in & _T_51; // @[axi4_to_ahb.scala 265:60] + wire [2:0] _T_461 = _T_548 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_462 = 3'h2 & _T_461; // @[axi4_to_ahb.scala 128:15] + wire _T_468 = _T_560 | _T_546; // @[axi4_to_ahb.scala 129:56] + wire [2:0] _T_470 = _T_468 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_471 = 3'h4 & _T_470; // @[axi4_to_ahb.scala 129:15] + wire [2:0] _T_472 = _T_462 | _T_471; // @[axi4_to_ahb.scala 128:63] + wire [2:0] _T_476 = _T_554 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_477 = 3'h6 & _T_476; // @[axi4_to_ahb.scala 130:15] + wire [2:0] _T_478 = _T_472 | _T_477; // @[axi4_to_ahb.scala 129:96] + wire [2:0] _T_485 = _T_444 ? _T_478 : master_addr[2:0]; // @[axi4_to_ahb.scala 265:43] + wire _T_489 = buf_state == 3'h3; // @[axi4_to_ahb.scala 268:33] + wire _T_495 = buf_aligned_in & _T_544; // @[axi4_to_ahb.scala 269:38] + wire _T_498 = _T_495 & _T_51; // @[axi4_to_ahb.scala 269:71] + wire [1:0] _T_504 = _T_563 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire _T_510 = _T_560 | _T_557; // @[axi4_to_ahb.scala 122:55] + wire [1:0] _T_512 = _T_510 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_513 = 2'h2 & _T_512; // @[axi4_to_ahb.scala 122:16] + wire [1:0] _T_514 = _T_504 | _T_513; // @[axi4_to_ahb.scala 121:64] + wire _T_519 = _T_554 | _T_551; // @[axi4_to_ahb.scala 123:60] + wire _T_522 = _T_519 | _T_548; // @[axi4_to_ahb.scala 123:89] + wire _T_525 = _T_522 | _T_546; // @[axi4_to_ahb.scala 123:123] + wire [1:0] _T_527 = _T_525 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_528 = 2'h1 & _T_527; // @[axi4_to_ahb.scala 123:21] + wire [1:0] _T_529 = _T_514 | _T_528; // @[axi4_to_ahb.scala 122:93] + wire [1:0] _T_531 = _T_498 ? _T_529 : master_size[1:0]; // @[axi4_to_ahb.scala 269:21] + wire [31:0] _T_570 = {master_addr[31:3],buf_cmd_byte_ptr}; // @[Cat.scala 29:58] + wire [31:0] _T_573 = {buf_addr[31:3],buf_cmd_byte_ptr}; // @[Cat.scala 29:58] + wire [1:0] _T_577 = buf_aligned_in ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [2:0] buf_size_in = {{1'd0}, _T_531}; // @[axi4_to_ahb.scala 269:15] + wire [1:0] _T_579 = _T_577 & buf_size_in[1:0]; // @[axi4_to_ahb.scala 276:81] + wire [2:0] _T_580 = {1'h0,_T_579}; // @[Cat.scala 29:58] + wire [1:0] _T_582 = buf_aligned ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + reg [1:0] buf_size; // @[Reg.scala 27:20] + wire [1:0] _T_584 = _T_582 & buf_size; // @[axi4_to_ahb.scala 276:138] + wire [2:0] _T_585 = {1'h0,_T_584}; // @[Cat.scala 29:58] + reg buf_write; // @[Reg.scala 27:20] + wire _T_611 = io_ahb_out_htrans != 2'h0; // @[axi4_to_ahb.scala 289:44] + wire _T_612 = _T_611 & io_ahb_in_hready; // @[axi4_to_ahb.scala 289:56] + wire last_addr_en = _T_612 & io_ahb_out_hwrite; // @[axi4_to_ahb.scala 289:75] + wire wrbuf_en = _T_44 & master_ready; // @[axi4_to_ahb.scala 291:49] + wire wrbuf_data_en = _T_45 & master_ready; // @[axi4_to_ahb.scala 292:52] + wire wrbuf_cmd_sent = _T_149 & _T_51; // @[axi4_to_ahb.scala 293:49] + wire _T_622 = ~wrbuf_en; // @[axi4_to_ahb.scala 294:33] + wire wrbuf_rst = wrbuf_cmd_sent & _T_622; // @[axi4_to_ahb.scala 294:31] + wire _T_624 = ~wrbuf_cmd_sent; // @[axi4_to_ahb.scala 296:36] + wire _T_625 = wrbuf_vld & _T_624; // @[axi4_to_ahb.scala 296:34] + wire _T_626 = ~_T_625; // @[axi4_to_ahb.scala 296:22] + wire _T_629 = wrbuf_data_vld & _T_624; // @[axi4_to_ahb.scala 297:38] + wire _T_630 = ~_T_629; // @[axi4_to_ahb.scala 297:21] + wire _T_633 = ~wr_cmd_vld; // @[axi4_to_ahb.scala 298:22] + wire _T_636 = wrbuf_en | wrbuf_vld; // @[axi4_to_ahb.scala 301:55] + wire _T_637 = ~wrbuf_rst; // @[axi4_to_ahb.scala 301:91] + wire _T_641 = wrbuf_data_en | wrbuf_data_vld; // @[axi4_to_ahb.scala 302:55] + wire _T_691 = ~slave_valid_pre; // @[axi4_to_ahb.scala 319:92] + wire _T_704 = buf_wr_en | slvbuf_wr_en; // @[axi4_to_ahb.scala 327:43] + wire _T_705 = _T_704 | io_clk_override; // @[axi4_to_ahb.scala 327:58] + wire _T_708 = io_ahb_in_hready & io_ahb_out_htrans[1]; // @[axi4_to_ahb.scala 328:57] + wire _T_709 = _T_708 | io_clk_override; // @[axi4_to_ahb.scala 328:81] + wire _T_711 = buf_state != 3'h0; // @[axi4_to_ahb.scala 329:50] + wire _T_712 = _T_711 | io_clk_override; // @[axi4_to_ahb.scala 329:60] + rvclkhdr rvclkhdr ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + rvclkhdr rvclkhdr_4 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_4_io_l1clk), + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en), + .io_scan_mode(rvclkhdr_4_io_scan_mode) + ); + rvclkhdr rvclkhdr_5 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_5_io_l1clk), + .io_clk(rvclkhdr_5_io_clk), + .io_en(rvclkhdr_5_io_en), + .io_scan_mode(rvclkhdr_5_io_scan_mode) + ); + rvclkhdr rvclkhdr_6 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_6_io_l1clk), + .io_clk(rvclkhdr_6_io_clk), + .io_en(rvclkhdr_6_io_en), + .io_scan_mode(rvclkhdr_6_io_scan_mode) + ); + rvclkhdr rvclkhdr_7 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_7_io_l1clk), + .io_clk(rvclkhdr_7_io_clk), + .io_en(rvclkhdr_7_io_en), + .io_scan_mode(rvclkhdr_7_io_scan_mode) + ); + rvclkhdr rvclkhdr_8 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_8_io_l1clk), + .io_clk(rvclkhdr_8_io_clk), + .io_en(rvclkhdr_8_io_en), + .io_scan_mode(rvclkhdr_8_io_scan_mode) + ); + rvclkhdr rvclkhdr_9 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_9_io_l1clk), + .io_clk(rvclkhdr_9_io_clk), + .io_en(rvclkhdr_9_io_en), + .io_scan_mode(rvclkhdr_9_io_scan_mode) + ); + assign io_axi_aw_ready = _T_626 & master_ready; // @[axi4_to_ahb.scala 296:19] + assign io_axi_w_ready = _T_630 & master_ready; // @[axi4_to_ahb.scala 297:18] + assign io_axi_b_valid = slave_valid_pre & slave_opc[3]; // @[axi4_to_ahb.scala 149:18] + assign io_axi_b_bits_resp = slave_opc[0] ? 2'h2 : _T_30; // @[axi4_to_ahb.scala 150:22] + assign io_axi_ar_ready = _T_633 & master_ready; // @[axi4_to_ahb.scala 298:19] + assign io_axi_r_valid = slave_valid_pre & _T_35; // @[axi4_to_ahb.scala 153:18] + assign io_axi_r_bits_data = slvbuf_error ? _T_603 : _T_607; // @[axi4_to_ahb.scala 156:22] + assign io_axi_r_bits_resp = slave_opc[0] ? 2'h2 : _T_30; // @[axi4_to_ahb.scala 154:22] + assign io_ahb_out_haddr = bypass_en ? _T_570 : _T_573; // @[axi4_to_ahb.scala 275:20] + assign io_ahb_out_hsize = bypass_en ? _T_580 : _T_585; // @[axi4_to_ahb.scala 276:20] + assign io_ahb_out_htrans = _T_49 ? _T_100 : _GEN_90; // @[axi4_to_ahb.scala 22:21 axi4_to_ahb.scala 178:25 axi4_to_ahb.scala 190:25 axi4_to_ahb.scala 205:25 axi4_to_ahb.scala 215:25 axi4_to_ahb.scala 235:25 axi4_to_ahb.scala 250:25] + assign io_ahb_out_hwrite = bypass_en ? _T_51 : buf_write; // @[axi4_to_ahb.scala 281:21] + assign io_ahb_out_hwdata = buf_data; // @[axi4_to_ahb.scala 282:21] + assign rvclkhdr_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_io_en = io_bus_clk_en; // @[lib.scala 345:16] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_1_io_en = io_bus_clk_en & _T_46; // @[lib.scala 345:16] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_2_io_clk = rvclkhdr_io_l1clk; // @[lib.scala 370:18] + assign rvclkhdr_2_io_en = _T_44 & master_ready; // @[lib.scala 371:17] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_3_io_clk = rvclkhdr_io_l1clk; // @[lib.scala 370:18] + assign rvclkhdr_3_io_en = _T_45 & master_ready; // @[lib.scala 371:17] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_4_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_4_io_en = buf_wr_en & io_bus_clk_en; // @[lib.scala 371:17] + assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_5_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_5_io_en = buf_data_wr_en & io_bus_clk_en; // @[lib.scala 371:17] + assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_6_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_6_io_en = io_bus_clk_en & _T_705; // @[lib.scala 345:16] + assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_7_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_7_io_en = io_bus_clk_en; // @[lib.scala 345:16] + assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_8_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_8_io_en = io_bus_clk_en & _T_709; // @[lib.scala 345:16] + assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_9_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_9_io_en = io_bus_clk_en & _T_712; // @[lib.scala 345:16] + assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + buf_state = _RAND_0[2:0]; + _RAND_1 = {1{`RANDOM}}; + wrbuf_vld = _RAND_1[0:0]; + _RAND_2 = {1{`RANDOM}}; + wrbuf_data_vld = _RAND_2[0:0]; + _RAND_3 = {1{`RANDOM}}; + ahb_hready_q = _RAND_3[0:0]; + _RAND_4 = {1{`RANDOM}}; + ahb_htrans_q = _RAND_4[1:0]; + _RAND_5 = {1{`RANDOM}}; + ahb_hwrite_q = _RAND_5[0:0]; + _RAND_6 = {1{`RANDOM}}; + ahb_hresp_q = _RAND_6[0:0]; + _RAND_7 = {1{`RANDOM}}; + cmd_doneQ = _RAND_7[0:0]; + _RAND_8 = {1{`RANDOM}}; + wrbuf_addr = _RAND_8[31:0]; + _RAND_9 = {1{`RANDOM}}; + wrbuf_size = _RAND_9[2:0]; + _RAND_10 = {1{`RANDOM}}; + wrbuf_byteen = _RAND_10[7:0]; + _RAND_11 = {2{`RANDOM}}; + wrbuf_data = _RAND_11[63:0]; + _RAND_12 = {1{`RANDOM}}; + slvbuf_write = _RAND_12[0:0]; + _RAND_13 = {1{`RANDOM}}; + slvbuf_error = _RAND_13[0:0]; + _RAND_14 = {1{`RANDOM}}; + last_bus_addr = _RAND_14[31:0]; + _RAND_15 = {2{`RANDOM}}; + buf_data = _RAND_15[63:0]; + _RAND_16 = {2{`RANDOM}}; + ahb_hrdata_q = _RAND_16[63:0]; + _RAND_17 = {1{`RANDOM}}; + buf_addr = _RAND_17[31:0]; + _RAND_18 = {1{`RANDOM}}; + buf_cmd_byte_ptrQ = _RAND_18[2:0]; + _RAND_19 = {1{`RANDOM}}; + buf_byteen = _RAND_19[7:0]; + _RAND_20 = {1{`RANDOM}}; + buf_aligned = _RAND_20[0:0]; + _RAND_21 = {1{`RANDOM}}; + buf_size = _RAND_21[1:0]; + _RAND_22 = {1{`RANDOM}}; + buf_write = _RAND_22[0:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + buf_state = 3'h0; + end + if (reset) begin + wrbuf_vld = 1'h0; + end + if (reset) begin + wrbuf_data_vld = 1'h0; + end + if (reset) begin + ahb_hready_q = 1'h0; + end + if (reset) begin + ahb_htrans_q = 2'h0; + end + if (reset) begin + ahb_hwrite_q = 1'h0; + end + if (reset) begin + ahb_hresp_q = 1'h0; + end + if (reset) begin + cmd_doneQ = 1'h0; + end + if (reset) begin + wrbuf_addr = 32'h0; + end + if (reset) begin + wrbuf_size = 3'h0; + end + if (reset) begin + wrbuf_byteen = 8'h0; + end + if (reset) begin + wrbuf_data = 64'h0; + end + if (reset) begin + slvbuf_write = 1'h0; + end + if (reset) begin + slvbuf_error = 1'h0; + end + if (reset) begin + last_bus_addr = 32'h0; + end + if (reset) begin + buf_data = 64'h0; + end + if (reset) begin + ahb_hrdata_q = 64'h0; + end + if (reset) begin + buf_addr = 32'h0; + end + if (reset) begin + buf_cmd_byte_ptrQ = 3'h0; + end + if (reset) begin + buf_byteen = 8'h0; + end + if (reset) begin + buf_aligned = 1'h0; + end + if (reset) begin + buf_size = 2'h0; + end + if (reset) begin + buf_write = 1'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge ahbm_clk or posedge reset) begin + if (reset) begin + buf_state <= 3'h0; + end else if (buf_state_en) begin + if (_T_49) begin + if (buf_write_in) begin + buf_state <= 3'h2; + end else begin + buf_state <= 3'h1; + end + end else if (_T_101) begin + if (_T_104) begin + buf_state <= 3'h6; + end else begin + buf_state <= 3'h3; + end + end else if (_T_136) begin + if (ahb_hresp_q) begin + buf_state <= 3'h7; + end else if (_T_152) begin + buf_state <= 3'h6; + end else begin + buf_state <= 3'h3; + end + end else if (_T_175) begin + buf_state <= 3'h3; + end else if (_T_186) begin + buf_state <= 3'h5; + end else if (_T_188) begin + buf_state <= 3'h4; + end else if (_T_281) begin + if (ahb_hresp_q) begin + buf_state <= 3'h5; + end else if (master_valid) begin + if (_T_51) begin + buf_state <= 3'h2; + end else begin + buf_state <= 3'h1; + end + end else begin + buf_state <= 3'h0; + end + end else begin + buf_state <= 3'h0; + end + end + end + always @(posedge bus_clk or posedge reset) begin + if (reset) begin + wrbuf_vld <= 1'h0; + end else begin + wrbuf_vld <= _T_636 & _T_637; + end + end + always @(posedge bus_clk or posedge reset) begin + if (reset) begin + wrbuf_data_vld <= 1'h0; + end else begin + wrbuf_data_vld <= _T_641 & _T_637; + end + end + always @(posedge ahbm_clk or posedge reset) begin + if (reset) begin + ahb_hready_q <= 1'h0; + end else begin + ahb_hready_q <= io_ahb_in_hready; + end + end + always @(posedge ahbm_clk or posedge reset) begin + if (reset) begin + ahb_htrans_q <= 2'h0; + end else begin + ahb_htrans_q <= io_ahb_out_htrans; + end + end + always @(posedge ahbm_addr_clk or posedge reset) begin + if (reset) begin + ahb_hwrite_q <= 1'h0; + end else begin + ahb_hwrite_q <= io_ahb_out_hwrite; + end + end + always @(posedge ahbm_clk or posedge reset) begin + if (reset) begin + ahb_hresp_q <= 1'h0; + end else begin + ahb_hresp_q <= io_ahb_in_hresp; + end + end + always @(posedge ahbm_clk or posedge reset) begin + if (reset) begin + cmd_doneQ <= 1'h0; + end else begin + cmd_doneQ <= _T_276 & _T_691; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + wrbuf_addr <= 32'h0; + end else begin + wrbuf_addr <= io_axi_aw_bits_addr; + end + end + always @(posedge bus_clk or posedge reset) begin + if (reset) begin + wrbuf_size <= 3'h0; + end else if (wrbuf_en) begin + wrbuf_size <= io_axi_aw_bits_size; + end + end + always @(posedge bus_clk or posedge reset) begin + if (reset) begin + wrbuf_byteen <= 8'h0; + end else if (wrbuf_data_en) begin + wrbuf_byteen <= io_axi_w_bits_strb; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + wrbuf_data <= 64'h0; + end else begin + wrbuf_data <= io_axi_w_bits_data; + end + end + always @(posedge buf_clk or posedge reset) begin + if (reset) begin + slvbuf_write <= 1'h0; + end else if (slvbuf_wr_en) begin + slvbuf_write <= buf_write; + end + end + always @(posedge ahbm_clk or posedge reset) begin + if (reset) begin + slvbuf_error <= 1'h0; + end else if (slvbuf_error_en) begin + if (_T_49) begin + slvbuf_error <= 1'h0; + end else if (_T_101) begin + slvbuf_error <= 1'h0; + end else if (_T_136) begin + slvbuf_error <= ahb_hresp_q; + end else if (_T_175) begin + slvbuf_error <= 1'h0; + end else if (_T_186) begin + slvbuf_error <= ahb_hresp_q; + end else if (_T_188) begin + slvbuf_error <= 1'h0; + end else begin + slvbuf_error <= _GEN_6; + end + end + end + always @(posedge ahbm_clk or posedge reset) begin + if (reset) begin + last_bus_addr <= 32'h0; + end else if (last_addr_en) begin + last_bus_addr <= io_ahb_out_haddr; + end + end + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + if (reset) begin + buf_data <= 64'h0; + end else if (_T_489) begin + buf_data <= ahb_hrdata_q; + end else begin + buf_data <= wrbuf_data; + end + end + always @(posedge ahbm_data_clk or posedge reset) begin + if (reset) begin + ahb_hrdata_q <= 64'h0; + end else begin + ahb_hrdata_q <= io_ahb_in_hrdata; + end + end + always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + if (reset) begin + buf_addr <= 32'h0; + end else begin + buf_addr <= {master_addr[31:3],_T_485}; + end + end + always @(posedge ahbm_clk or posedge reset) begin + if (reset) begin + buf_cmd_byte_ptrQ <= 3'h0; + end else if (buf_cmd_byte_ptr_en) begin + if (_T_49) begin + if (buf_write_in) begin + if (wrbuf_byteen[0]) begin + buf_cmd_byte_ptrQ <= 3'h0; + end else if (wrbuf_byteen[1]) begin + buf_cmd_byte_ptrQ <= 3'h1; + end else if (wrbuf_byteen[2]) begin + buf_cmd_byte_ptrQ <= 3'h2; + end else if (wrbuf_byteen[3]) begin + buf_cmd_byte_ptrQ <= 3'h3; + end else if (wrbuf_byteen[4]) begin + buf_cmd_byte_ptrQ <= 3'h4; + end else if (wrbuf_byteen[5]) begin + buf_cmd_byte_ptrQ <= 3'h5; + end else if (wrbuf_byteen[6]) begin + buf_cmd_byte_ptrQ <= 3'h6; + end else begin + buf_cmd_byte_ptrQ <= 3'h7; + end + end else begin + buf_cmd_byte_ptrQ <= master_addr[2:0]; + end + end else if (_T_101) begin + if (bypass_en) begin + buf_cmd_byte_ptrQ <= master_addr[2:0]; + end else begin + buf_cmd_byte_ptrQ <= buf_addr[2:0]; + end + end else if (_T_136) begin + if (bypass_en) begin + buf_cmd_byte_ptrQ <= master_addr[2:0]; + end else begin + buf_cmd_byte_ptrQ <= buf_addr[2:0]; + end + end else if (_T_175) begin + buf_cmd_byte_ptrQ <= buf_addr[2:0]; + end else if (_T_186) begin + buf_cmd_byte_ptrQ <= 3'h0; + end else if (_T_188) begin + if (trxn_done) begin + if (_T_201) begin + buf_cmd_byte_ptrQ <= 3'h0; + end else if (_T_204) begin + buf_cmd_byte_ptrQ <= 3'h1; + end else if (_T_207) begin + buf_cmd_byte_ptrQ <= 3'h2; + end else if (_T_210) begin + buf_cmd_byte_ptrQ <= 3'h3; + end else if (_T_213) begin + buf_cmd_byte_ptrQ <= 3'h4; + end else if (_T_216) begin + buf_cmd_byte_ptrQ <= 3'h5; + end else if (_T_219) begin + buf_cmd_byte_ptrQ <= 3'h6; + end else begin + buf_cmd_byte_ptrQ <= 3'h7; + end + end + end else if (_T_281) begin + if (bypass_en) begin + if (wrbuf_byteen[0]) begin + buf_cmd_byte_ptrQ <= 3'h0; + end else if (wrbuf_byteen[1]) begin + buf_cmd_byte_ptrQ <= 3'h1; + end else if (wrbuf_byteen[2]) begin + buf_cmd_byte_ptrQ <= 3'h2; + end else if (wrbuf_byteen[3]) begin + buf_cmd_byte_ptrQ <= 3'h3; + end else if (wrbuf_byteen[4]) begin + buf_cmd_byte_ptrQ <= 3'h4; + end else if (wrbuf_byteen[5]) begin + buf_cmd_byte_ptrQ <= 3'h5; + end else if (wrbuf_byteen[6]) begin + buf_cmd_byte_ptrQ <= 3'h6; + end else begin + buf_cmd_byte_ptrQ <= 3'h7; + end + end else if (trxn_done) begin + if (_T_201) begin + buf_cmd_byte_ptrQ <= 3'h0; + end else if (_T_204) begin + buf_cmd_byte_ptrQ <= 3'h1; + end else if (_T_207) begin + buf_cmd_byte_ptrQ <= 3'h2; + end else if (_T_210) begin + buf_cmd_byte_ptrQ <= 3'h3; + end else if (_T_213) begin + buf_cmd_byte_ptrQ <= 3'h4; + end else if (_T_216) begin + buf_cmd_byte_ptrQ <= 3'h5; + end else if (_T_219) begin + buf_cmd_byte_ptrQ <= 3'h6; + end else begin + buf_cmd_byte_ptrQ <= 3'h7; + end + end + end else begin + buf_cmd_byte_ptrQ <= 3'h0; + end + end + end + always @(posedge buf_clk or posedge reset) begin + if (reset) begin + buf_byteen <= 8'h0; + end else if (buf_wr_en) begin + buf_byteen <= wrbuf_byteen; + end + end + always @(posedge buf_clk or posedge reset) begin + if (reset) begin + buf_aligned <= 1'h0; + end else if (buf_wr_en) begin + buf_aligned <= buf_aligned_in; + end + end + always @(posedge buf_clk or posedge reset) begin + if (reset) begin + buf_size <= 2'h0; + end else if (buf_wr_en) begin + buf_size <= buf_size_in[1:0]; + end + end + always @(posedge buf_clk or posedge reset) begin + if (reset) begin + buf_write <= 1'h0; + end else if (buf_wr_en) begin + if (_T_49) begin + buf_write <= _T_51; + end else if (_T_101) begin + buf_write <= 1'h0; + end else if (_T_136) begin + buf_write <= 1'h0; + end else if (_T_175) begin + buf_write <= 1'h0; + end else if (_T_186) begin + buf_write <= 1'h0; + end else if (_T_188) begin + buf_write <= 1'h0; + end else begin + buf_write <= _GEN_8; + end + end + end +endmodule +module axi4_to_ahb_1( + input clock, + input reset, + input io_scan_mode, + input io_bus_clk_en, + input io_clk_override, + output io_axi_aw_ready, + input io_axi_aw_valid, + input [2:0] io_axi_aw_bits_id, + input [31:0] io_axi_aw_bits_addr, + input [2:0] io_axi_aw_bits_size, + output io_axi_w_ready, + input io_axi_w_valid, + input [63:0] io_axi_w_bits_data, + input [7:0] io_axi_w_bits_strb, + output io_axi_b_valid, + output [1:0] io_axi_b_bits_resp, + output [2:0] io_axi_b_bits_id, + output io_axi_ar_ready, + input io_axi_ar_valid, + input [2:0] io_axi_ar_bits_id, + input [31:0] io_axi_ar_bits_addr, + input [2:0] io_axi_ar_bits_size, + output io_axi_r_valid, + output [2:0] io_axi_r_bits_id, + output [63:0] io_axi_r_bits_data, + output [1:0] io_axi_r_bits_resp, + input [63:0] io_ahb_in_hrdata, + input io_ahb_in_hready, + input io_ahb_in_hresp, + output [31:0] io_ahb_out_haddr, + output [2:0] io_ahb_out_hsize, + output [1:0] io_ahb_out_htrans, + output io_ahb_out_hwrite, + output [63:0] io_ahb_out_hwdata +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; + reg [31:0] _RAND_11; + reg [63:0] _RAND_12; + reg [31:0] _RAND_13; + reg [31:0] _RAND_14; + reg [31:0] _RAND_15; + reg [31:0] _RAND_16; + reg [63:0] _RAND_17; + reg [63:0] _RAND_18; + reg [31:0] _RAND_19; + reg [31:0] _RAND_20; + reg [31:0] _RAND_21; + reg [31:0] _RAND_22; + reg [31:0] _RAND_23; + reg [31:0] _RAND_24; + reg [31:0] _RAND_25; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_io_en; // @[lib.scala 343:22] + wire rvclkhdr_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_1_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_1_io_en; // @[lib.scala 343:22] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_en; // @[lib.scala 368:23] + wire rvclkhdr_2_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_en; // @[lib.scala 368:23] + wire rvclkhdr_3_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_4_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_en; // @[lib.scala 368:23] + wire rvclkhdr_4_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_5_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_en; // @[lib.scala 368:23] + wire rvclkhdr_5_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_6_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_6_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_6_io_en; // @[lib.scala 343:22] + wire rvclkhdr_6_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_7_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_7_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_7_io_en; // @[lib.scala 343:22] + wire rvclkhdr_7_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_8_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_8_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_8_io_en; // @[lib.scala 343:22] + wire rvclkhdr_8_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_9_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_9_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_9_io_en; // @[lib.scala 343:22] + wire rvclkhdr_9_io_scan_mode; // @[lib.scala 343:22] + wire ahbm_clk = rvclkhdr_7_io_l1clk; // @[axi4_to_ahb.scala 24:22 axi4_to_ahb.scala 333:12] + reg [2:0] buf_state; // @[axi4_to_ahb.scala 30:45] + wire _T_49 = 3'h0 == buf_state; // @[Conditional.scala 37:30] + wire bus_clk = rvclkhdr_io_l1clk; // @[axi4_to_ahb.scala 50:21 axi4_to_ahb.scala 162:11] + reg wrbuf_vld; // @[axi4_to_ahb.scala 301:51] + reg wrbuf_data_vld; // @[axi4_to_ahb.scala 302:51] + wire wr_cmd_vld = wrbuf_vld & wrbuf_data_vld; // @[axi4_to_ahb.scala 139:27] + wire master_valid = wr_cmd_vld | io_axi_ar_valid; // @[axi4_to_ahb.scala 140:30] + wire _T_101 = 3'h1 == buf_state; // @[Conditional.scala 37:30] + reg ahb_hready_q; // @[axi4_to_ahb.scala 321:52] + reg [1:0] ahb_htrans_q; // @[axi4_to_ahb.scala 322:52] + wire _T_108 = ahb_htrans_q != 2'h0; // @[axi4_to_ahb.scala 183:58] + wire _T_109 = ahb_hready_q & _T_108; // @[axi4_to_ahb.scala 183:36] + wire ahbm_addr_clk = rvclkhdr_8_io_l1clk; // @[axi4_to_ahb.scala 25:27 axi4_to_ahb.scala 334:17] + reg ahb_hwrite_q; // @[axi4_to_ahb.scala 323:57] + wire _T_110 = ~ahb_hwrite_q; // @[axi4_to_ahb.scala 183:72] + wire _T_111 = _T_109 & _T_110; // @[axi4_to_ahb.scala 183:70] + wire _T_136 = 3'h6 == buf_state; // @[Conditional.scala 37:30] + reg ahb_hresp_q; // @[axi4_to_ahb.scala 324:52] + wire _T_156 = ahb_hready_q | ahb_hresp_q; // @[axi4_to_ahb.scala 197:37] + wire _T_175 = 3'h7 == buf_state; // @[Conditional.scala 37:30] + wire _T_186 = 3'h3 == buf_state; // @[Conditional.scala 37:30] + wire _T_188 = 3'h2 == buf_state; // @[Conditional.scala 37:30] + wire _T_189 = ahb_hready_q & ahb_hwrite_q; // @[axi4_to_ahb.scala 229:33] + wire _T_192 = _T_189 & _T_108; // @[axi4_to_ahb.scala 229:48] + wire _T_281 = 3'h4 == buf_state; // @[Conditional.scala 37:30] + wire _GEN_15 = _T_281 & _T_192; // @[Conditional.scala 39:67] + wire _GEN_19 = _T_188 ? _T_192 : _GEN_15; // @[Conditional.scala 39:67] + wire _GEN_40 = _T_186 ? 1'h0 : _GEN_19; // @[Conditional.scala 39:67] + wire _GEN_59 = _T_175 ? 1'h0 : _GEN_40; // @[Conditional.scala 39:67] + wire _GEN_79 = _T_136 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] + wire _GEN_95 = _T_101 ? 1'h0 : _GEN_79; // @[Conditional.scala 39:67] + wire trxn_done = _T_49 ? 1'h0 : _GEN_95; // @[Conditional.scala 40:58] + reg cmd_doneQ; // @[axi4_to_ahb.scala 319:52] + wire _T_282 = cmd_doneQ & ahb_hready_q; // @[axi4_to_ahb.scala 239:34] + wire _T_283 = _T_282 | ahb_hresp_q; // @[axi4_to_ahb.scala 239:50] + wire _T_440 = 3'h5 == buf_state; // @[Conditional.scala 37:30] + wire _GEN_3 = _T_281 ? _T_283 : _T_440; // @[Conditional.scala 39:67] + wire _GEN_20 = _T_188 ? trxn_done : _GEN_3; // @[Conditional.scala 39:67] + wire _GEN_35 = _T_186 ? _T_156 : _GEN_20; // @[Conditional.scala 39:67] + wire _GEN_51 = _T_175 ? _T_111 : _GEN_35; // @[Conditional.scala 39:67] + wire _GEN_69 = _T_136 ? _T_156 : _GEN_51; // @[Conditional.scala 39:67] + wire _GEN_83 = _T_101 ? _T_111 : _GEN_69; // @[Conditional.scala 39:67] + wire buf_state_en = _T_49 ? master_valid : _GEN_83; // @[Conditional.scala 40:58] + wire [1:0] _T_14 = wr_cmd_vld ? 2'h3 : 2'h0; // @[axi4_to_ahb.scala 142:20] + wire [2:0] master_opc = {{1'd0}, _T_14}; // @[axi4_to_ahb.scala 142:14] + wire _T_51 = master_opc[2:1] == 2'h1; // @[axi4_to_ahb.scala 168:41] + wire _GEN_8 = _T_281 & _T_51; // @[Conditional.scala 39:67] + wire _GEN_29 = _T_188 ? 1'h0 : _GEN_8; // @[Conditional.scala 39:67] + wire _GEN_46 = _T_186 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] + wire _GEN_63 = _T_175 ? 1'h0 : _GEN_46; // @[Conditional.scala 39:67] + wire _GEN_81 = _T_136 ? 1'h0 : _GEN_63; // @[Conditional.scala 39:67] + wire _GEN_97 = _T_101 ? 1'h0 : _GEN_81; // @[Conditional.scala 39:67] + wire buf_write_in = _T_49 ? _T_51 : _GEN_97; // @[Conditional.scala 40:58] + wire [2:0] _T_53 = buf_write_in ? 3'h2 : 3'h1; // @[axi4_to_ahb.scala 169:26] + wire _T_103 = master_opc == 3'h0; // @[axi4_to_ahb.scala 182:61] + wire _T_104 = master_valid & _T_103; // @[axi4_to_ahb.scala 182:41] + wire [2:0] _T_106 = _T_104 ? 3'h6 : 3'h3; // @[axi4_to_ahb.scala 182:26] + wire _T_124 = _T_106 == 3'h6; // @[axi4_to_ahb.scala 186:174] + wire _T_125 = _T_111 & _T_124; // @[axi4_to_ahb.scala 186:88] + wire _T_137 = ~ahb_hresp_q; // @[axi4_to_ahb.scala 194:39] + wire _T_138 = ahb_hready_q & _T_137; // @[axi4_to_ahb.scala 194:37] + wire _T_141 = master_valid & _T_51; // @[axi4_to_ahb.scala 194:70] + wire _T_142 = ~_T_141; // @[axi4_to_ahb.scala 194:55] + wire _T_143 = _T_138 & _T_142; // @[axi4_to_ahb.scala 194:53] + wire _T_285 = buf_state_en & _T_137; // @[axi4_to_ahb.scala 240:36] + wire _GEN_4 = _T_281 & _T_285; // @[Conditional.scala 39:67] + wire _GEN_26 = _T_188 ? 1'h0 : _GEN_4; // @[Conditional.scala 39:67] + wire _GEN_45 = _T_186 ? 1'h0 : _GEN_26; // @[Conditional.scala 39:67] + wire _GEN_62 = _T_175 ? 1'h0 : _GEN_45; // @[Conditional.scala 39:67] + wire _GEN_66 = _T_136 ? _T_143 : _GEN_62; // @[Conditional.scala 39:67] + wire _GEN_86 = _T_101 ? _T_125 : _GEN_66; // @[Conditional.scala 39:67] + wire master_ready = _T_49 | _GEN_86; // @[Conditional.scala 40:58] + wire _T_149 = master_valid & master_ready; // @[axi4_to_ahb.scala 196:82] + wire _T_152 = _T_149 & _T_103; // @[axi4_to_ahb.scala 196:97] + wire [2:0] _T_154 = _T_152 ? 3'h6 : 3'h3; // @[axi4_to_ahb.scala 196:67] + wire [2:0] _T_155 = ahb_hresp_q ? 3'h7 : _T_154; // @[axi4_to_ahb.scala 196:26] + wire [2:0] _T_293 = _T_51 ? 3'h2 : 3'h1; // @[axi4_to_ahb.scala 241:99] + wire [2:0] _T_294 = master_valid ? _T_293 : 3'h0; // @[axi4_to_ahb.scala 241:65] + wire [2:0] _T_295 = ahb_hresp_q ? 3'h5 : _T_294; // @[axi4_to_ahb.scala 241:26] + wire [2:0] _GEN_5 = _T_281 ? _T_295 : 3'h0; // @[Conditional.scala 39:67] + wire [2:0] _GEN_18 = _T_188 ? 3'h4 : _GEN_5; // @[Conditional.scala 39:67] + wire [2:0] _GEN_34 = _T_186 ? 3'h5 : _GEN_18; // @[Conditional.scala 39:67] + wire [2:0] _GEN_50 = _T_175 ? 3'h3 : _GEN_34; // @[Conditional.scala 39:67] + wire [2:0] _GEN_68 = _T_136 ? _T_155 : _GEN_50; // @[Conditional.scala 39:67] + wire [2:0] _GEN_82 = _T_101 ? _T_106 : _GEN_68; // @[Conditional.scala 39:67] + wire [2:0] buf_nxtstate = _T_49 ? _T_53 : _GEN_82; // @[Conditional.scala 40:58] + reg [2:0] wrbuf_tag; // @[Reg.scala 27:20] + reg [31:0] wrbuf_addr; // @[lib.scala 374:16] + wire [31:0] master_addr = wr_cmd_vld ? wrbuf_addr : io_axi_ar_bits_addr; // @[axi4_to_ahb.scala 143:21] + reg [2:0] wrbuf_size; // @[Reg.scala 27:20] + wire [2:0] master_size = wr_cmd_vld ? wrbuf_size : io_axi_ar_bits_size; // @[axi4_to_ahb.scala 144:21] + reg [7:0] wrbuf_byteen; // @[Reg.scala 27:20] + reg [63:0] wrbuf_data; // @[lib.scala 374:16] + wire _T_358 = buf_nxtstate != 3'h5; // @[axi4_to_ahb.scala 251:55] + wire _T_359 = buf_state_en & _T_358; // @[axi4_to_ahb.scala 251:39] + wire _GEN_14 = _T_281 ? _T_359 : _T_440; // @[Conditional.scala 39:67] + wire _GEN_33 = _T_188 ? 1'h0 : _GEN_14; // @[Conditional.scala 39:67] + wire _GEN_49 = _T_186 ? 1'h0 : _GEN_33; // @[Conditional.scala 39:67] + wire _GEN_52 = _T_175 ? buf_state_en : _GEN_49; // @[Conditional.scala 39:67] + wire _GEN_73 = _T_136 ? _T_285 : _GEN_52; // @[Conditional.scala 39:67] + wire _GEN_94 = _T_101 ? 1'h0 : _GEN_73; // @[Conditional.scala 39:67] + wire slave_valid_pre = _T_49 ? 1'h0 : _GEN_94; // @[Conditional.scala 40:58] + wire buf_clk = rvclkhdr_6_io_l1clk; // @[axi4_to_ahb.scala 118:21 axi4_to_ahb.scala 332:12] + reg slvbuf_write; // @[Reg.scala 27:20] + wire [1:0] _T_596 = slvbuf_write ? 2'h3 : 2'h0; // @[axi4_to_ahb.scala 285:23] + reg slvbuf_error; // @[Reg.scala 27:20] + wire [1:0] _T_598 = slvbuf_error ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_599 = _T_598 & 2'h2; // @[axi4_to_ahb.scala 285:88] + wire [3:0] slave_opc = {_T_596,_T_599}; // @[Cat.scala 29:58] + wire [1:0] _T_30 = slave_opc[1] ? 2'h3 : 2'h0; // @[axi4_to_ahb.scala 150:55] + reg [2:0] slvbuf_tag; // @[Reg.scala 27:20] + wire _T_35 = slave_opc[3:2] == 2'h0; // @[axi4_to_ahb.scala 153:66] + reg [31:0] last_bus_addr; // @[Reg.scala 27:20] + wire [63:0] _T_603 = {last_bus_addr,last_bus_addr}; // @[Cat.scala 29:58] + wire _T_604 = buf_state == 3'h5; // @[axi4_to_ahb.scala 286:91] + reg [63:0] buf_data; // @[lib.scala 374:16] + wire ahbm_data_clk = rvclkhdr_9_io_l1clk; // @[axi4_to_ahb.scala 26:27 axi4_to_ahb.scala 335:17] + reg [63:0] ahb_hrdata_q; // @[axi4_to_ahb.scala 325:57] + wire [63:0] _T_607 = _T_604 ? buf_data : ahb_hrdata_q; // @[axi4_to_ahb.scala 286:79] + wire _T_44 = io_axi_aw_valid & io_axi_aw_ready; // @[axi4_to_ahb.scala 160:57] + wire _T_45 = io_axi_w_valid & io_axi_w_ready; // @[axi4_to_ahb.scala 160:94] + wire _T_46 = _T_44 | _T_45; // @[axi4_to_ahb.scala 160:76] + wire _T_55 = buf_nxtstate == 3'h2; // @[axi4_to_ahb.scala 172:54] + wire _T_56 = buf_state_en & _T_55; // @[axi4_to_ahb.scala 172:38] + wire [2:0] _T_87 = wrbuf_byteen[6] ? 3'h6 : 3'h7; // @[Mux.scala 98:16] + wire [2:0] _T_88 = wrbuf_byteen[5] ? 3'h5 : _T_87; // @[Mux.scala 98:16] + wire [2:0] _T_89 = wrbuf_byteen[4] ? 3'h4 : _T_88; // @[Mux.scala 98:16] + wire [2:0] _T_90 = wrbuf_byteen[3] ? 3'h3 : _T_89; // @[Mux.scala 98:16] + wire [2:0] _T_91 = wrbuf_byteen[2] ? 3'h2 : _T_90; // @[Mux.scala 98:16] + wire [2:0] _T_92 = wrbuf_byteen[1] ? 3'h1 : _T_91; // @[Mux.scala 98:16] + wire [2:0] _T_93 = wrbuf_byteen[0] ? 3'h0 : _T_92; // @[Mux.scala 98:16] + wire [2:0] _T_95 = buf_write_in ? _T_93 : master_addr[2:0]; // @[axi4_to_ahb.scala 175:30] + wire _T_96 = buf_nxtstate == 3'h1; // @[axi4_to_ahb.scala 177:51] + wire _T_126 = master_ready & master_valid; // @[axi4_to_ahb.scala 188:33] + wire _T_162 = buf_nxtstate == 3'h6; // @[axi4_to_ahb.scala 203:64] + wire _T_163 = _T_126 & _T_162; // @[axi4_to_ahb.scala 203:48] + wire _T_164 = _T_163 & buf_state_en; // @[axi4_to_ahb.scala 203:79] + wire _T_349 = buf_state_en & buf_write_in; // @[axi4_to_ahb.scala 249:33] + wire _T_351 = _T_349 & _T_55; // @[axi4_to_ahb.scala 249:48] + wire _GEN_12 = _T_281 & _T_351; // @[Conditional.scala 39:67] + wire _GEN_32 = _T_188 ? 1'h0 : _GEN_12; // @[Conditional.scala 39:67] + wire _GEN_48 = _T_186 ? 1'h0 : _GEN_32; // @[Conditional.scala 39:67] + wire _GEN_65 = _T_175 ? 1'h0 : _GEN_48; // @[Conditional.scala 39:67] + wire _GEN_75 = _T_136 ? _T_164 : _GEN_65; // @[Conditional.scala 39:67] + wire _GEN_88 = _T_101 ? _T_126 : _GEN_75; // @[Conditional.scala 39:67] + wire bypass_en = _T_49 ? buf_state_en : _GEN_88; // @[Conditional.scala 40:58] + wire [1:0] _T_99 = bypass_en ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_100 = _T_99 & 2'h2; // @[axi4_to_ahb.scala 178:49] + wire _T_112 = ~master_valid; // @[axi4_to_ahb.scala 184:34] + wire _T_113 = buf_state_en & _T_112; // @[axi4_to_ahb.scala 184:32] + reg [31:0] buf_addr; // @[lib.scala 374:16] + wire [2:0] _T_130 = bypass_en ? master_addr[2:0] : buf_addr[2:0]; // @[axi4_to_ahb.scala 189:30] + wire _T_131 = ~buf_state_en; // @[axi4_to_ahb.scala 190:48] + wire _T_132 = _T_131 | bypass_en; // @[axi4_to_ahb.scala 190:62] + wire [1:0] _T_134 = _T_132 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_135 = 2'h2 & _T_134; // @[axi4_to_ahb.scala 190:36] + wire _T_169 = buf_nxtstate != 3'h6; // @[axi4_to_ahb.scala 205:63] + wire _T_170 = _T_169 & buf_state_en; // @[axi4_to_ahb.scala 205:78] + wire _T_171 = ~_T_170; // @[axi4_to_ahb.scala 205:47] + wire [1:0] _T_173 = _T_171 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_174 = 2'h2 & _T_173; // @[axi4_to_ahb.scala 205:36] + wire [1:0] _T_184 = _T_131 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_185 = 2'h2 & _T_184; // @[axi4_to_ahb.scala 215:41] + reg [2:0] buf_cmd_byte_ptrQ; // @[Reg.scala 27:20] + reg [7:0] buf_byteen; // @[Reg.scala 27:20] + wire [2:0] _T_197 = buf_cmd_byte_ptrQ + 3'h1; // @[axi4_to_ahb.scala 135:52] + wire _T_200 = 3'h0 >= _T_197; // @[axi4_to_ahb.scala 136:62] + wire _T_201 = buf_byteen[0] & _T_200; // @[axi4_to_ahb.scala 136:48] + wire _T_203 = 3'h1 >= _T_197; // @[axi4_to_ahb.scala 136:62] + wire _T_204 = buf_byteen[1] & _T_203; // @[axi4_to_ahb.scala 136:48] + wire _T_206 = 3'h2 >= _T_197; // @[axi4_to_ahb.scala 136:62] + wire _T_207 = buf_byteen[2] & _T_206; // @[axi4_to_ahb.scala 136:48] + wire _T_209 = 3'h3 >= _T_197; // @[axi4_to_ahb.scala 136:62] + wire _T_210 = buf_byteen[3] & _T_209; // @[axi4_to_ahb.scala 136:48] + wire _T_212 = 3'h4 >= _T_197; // @[axi4_to_ahb.scala 136:62] + wire _T_213 = buf_byteen[4] & _T_212; // @[axi4_to_ahb.scala 136:48] + wire _T_215 = 3'h5 >= _T_197; // @[axi4_to_ahb.scala 136:62] + wire _T_216 = buf_byteen[5] & _T_215; // @[axi4_to_ahb.scala 136:48] + wire _T_218 = 3'h6 >= _T_197; // @[axi4_to_ahb.scala 136:62] + wire _T_219 = buf_byteen[6] & _T_218; // @[axi4_to_ahb.scala 136:48] + wire [2:0] _T_224 = _T_219 ? 3'h6 : 3'h7; // @[Mux.scala 98:16] + wire [2:0] _T_225 = _T_216 ? 3'h5 : _T_224; // @[Mux.scala 98:16] + wire [2:0] _T_226 = _T_213 ? 3'h4 : _T_225; // @[Mux.scala 98:16] + wire [2:0] _T_227 = _T_210 ? 3'h3 : _T_226; // @[Mux.scala 98:16] + wire [2:0] _T_228 = _T_207 ? 3'h2 : _T_227; // @[Mux.scala 98:16] + wire [2:0] _T_229 = _T_204 ? 3'h1 : _T_228; // @[Mux.scala 98:16] + wire [2:0] _T_230 = _T_201 ? 3'h0 : _T_229; // @[Mux.scala 98:16] + wire [2:0] _T_231 = trxn_done ? _T_230 : buf_cmd_byte_ptrQ; // @[axi4_to_ahb.scala 233:30] + wire _T_232 = buf_cmd_byte_ptrQ == 3'h7; // @[axi4_to_ahb.scala 234:65] + reg buf_aligned; // @[Reg.scala 27:20] + wire _T_233 = buf_aligned | _T_232; // @[axi4_to_ahb.scala 234:44] + wire [7:0] _T_271 = buf_byteen >> _T_230; // @[axi4_to_ahb.scala 234:92] + wire _T_273 = ~_T_271[0]; // @[axi4_to_ahb.scala 234:163] + wire _T_274 = _T_233 | _T_273; // @[axi4_to_ahb.scala 234:79] + wire _T_275 = trxn_done & _T_274; // @[axi4_to_ahb.scala 234:29] + wire _T_346 = _T_232 | _T_273; // @[axi4_to_ahb.scala 248:38] + wire _T_347 = _T_109 & _T_346; // @[axi4_to_ahb.scala 247:80] + wire _T_348 = ahb_hresp_q | _T_347; // @[axi4_to_ahb.scala 247:34] + wire _GEN_11 = _T_281 & _T_348; // @[Conditional.scala 39:67] + wire _GEN_24 = _T_188 ? _T_275 : _GEN_11; // @[Conditional.scala 39:67] + wire _GEN_43 = _T_186 ? 1'h0 : _GEN_24; // @[Conditional.scala 39:67] + wire _GEN_61 = _T_175 ? 1'h0 : _GEN_43; // @[Conditional.scala 39:67] + wire _GEN_74 = _T_136 ? _T_113 : _GEN_61; // @[Conditional.scala 39:67] + wire _GEN_84 = _T_101 ? _T_113 : _GEN_74; // @[Conditional.scala 39:67] + wire cmd_done = _T_49 ? 1'h0 : _GEN_84; // @[Conditional.scala 40:58] + wire _T_276 = cmd_done | cmd_doneQ; // @[axi4_to_ahb.scala 235:47] + wire _T_277 = ~_T_276; // @[axi4_to_ahb.scala 235:36] + wire [1:0] _T_279 = _T_277 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_280 = _T_279 & 2'h2; // @[axi4_to_ahb.scala 235:61] + wire _T_300 = _T_55 | _T_96; // @[axi4_to_ahb.scala 245:62] + wire _T_301 = buf_state_en & _T_300; // @[axi4_to_ahb.scala 245:33] + wire _T_354 = _T_277 | bypass_en; // @[axi4_to_ahb.scala 250:61] + wire [1:0] _T_356 = _T_354 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_357 = _T_356 & 2'h2; // @[axi4_to_ahb.scala 250:75] + wire _T_364 = trxn_done | bypass_en; // @[axi4_to_ahb.scala 253:40] + wire [2:0] _T_439 = bypass_en ? _T_93 : _T_231; // @[axi4_to_ahb.scala 254:30] + wire _GEN_6 = _T_281 & ahb_hresp_q; // @[Conditional.scala 39:67] + wire _GEN_7 = _T_281 ? buf_state_en : _T_440; // @[Conditional.scala 39:67] + wire _GEN_9 = _T_281 & _T_301; // @[Conditional.scala 39:67] + wire _GEN_30 = _T_188 ? 1'h0 : _GEN_9; // @[Conditional.scala 39:67] + wire _GEN_47 = _T_186 ? 1'h0 : _GEN_30; // @[Conditional.scala 39:67] + wire _GEN_64 = _T_175 ? 1'h0 : _GEN_47; // @[Conditional.scala 39:67] + wire _GEN_67 = _T_136 ? _T_152 : _GEN_64; // @[Conditional.scala 39:67] + wire _GEN_87 = _T_101 ? master_ready : _GEN_67; // @[Conditional.scala 39:67] + wire buf_wr_en = _T_49 ? buf_state_en : _GEN_87; // @[Conditional.scala 40:58] + wire _GEN_10 = _T_281 & buf_wr_en; // @[Conditional.scala 39:67] + wire [1:0] _GEN_13 = _T_281 ? _T_357 : 2'h0; // @[Conditional.scala 39:67] + wire _GEN_16 = _T_281 & _T_364; // @[Conditional.scala 39:67] + wire [2:0] _GEN_17 = _T_281 ? _T_439 : 3'h0; // @[Conditional.scala 39:67] + wire _GEN_21 = _T_188 ? buf_state_en : _GEN_16; // @[Conditional.scala 39:67] + wire _GEN_22 = _T_188 & buf_state_en; // @[Conditional.scala 39:67] + wire [2:0] _GEN_23 = _T_188 ? _T_231 : _GEN_17; // @[Conditional.scala 39:67] + wire [1:0] _GEN_25 = _T_188 ? _T_280 : _GEN_13; // @[Conditional.scala 39:67] + wire _GEN_28 = _T_188 ? 1'h0 : _GEN_7; // @[Conditional.scala 39:67] + wire _GEN_31 = _T_188 ? 1'h0 : _GEN_10; // @[Conditional.scala 39:67] + wire _GEN_36 = _T_186 ? buf_state_en : _GEN_31; // @[Conditional.scala 39:67] + wire _GEN_38 = _T_186 ? buf_state_en : _GEN_28; // @[Conditional.scala 39:67] + wire _GEN_39 = _T_186 ? buf_state_en : _GEN_22; // @[Conditional.scala 39:67] + wire _GEN_41 = _T_186 ? 1'h0 : _GEN_21; // @[Conditional.scala 39:67] + wire [2:0] _GEN_42 = _T_186 ? 3'h0 : _GEN_23; // @[Conditional.scala 39:67] + wire [1:0] _GEN_44 = _T_186 ? 2'h0 : _GEN_25; // @[Conditional.scala 39:67] + wire _GEN_53 = _T_175 ? buf_state_en : _GEN_39; // @[Conditional.scala 39:67] + wire [2:0] _GEN_54 = _T_175 ? buf_addr[2:0] : _GEN_42; // @[Conditional.scala 39:67] + wire [1:0] _GEN_55 = _T_175 ? _T_185 : _GEN_44; // @[Conditional.scala 39:67] + wire _GEN_56 = _T_175 ? 1'h0 : _GEN_36; // @[Conditional.scala 39:67] + wire _GEN_58 = _T_175 ? 1'h0 : _GEN_38; // @[Conditional.scala 39:67] + wire _GEN_60 = _T_175 ? 1'h0 : _GEN_41; // @[Conditional.scala 39:67] + wire _GEN_70 = _T_136 ? buf_state_en : _GEN_56; // @[Conditional.scala 39:67] + wire _GEN_72 = _T_136 ? buf_state_en : _GEN_58; // @[Conditional.scala 39:67] + wire [2:0] _GEN_76 = _T_136 ? _T_130 : _GEN_54; // @[Conditional.scala 39:67] + wire [1:0] _GEN_77 = _T_136 ? _T_174 : _GEN_55; // @[Conditional.scala 39:67] + wire _GEN_78 = _T_136 ? buf_wr_en : _GEN_53; // @[Conditional.scala 39:67] + wire _GEN_80 = _T_136 ? 1'h0 : _GEN_60; // @[Conditional.scala 39:67] + wire _GEN_85 = _T_101 ? buf_state_en : _GEN_78; // @[Conditional.scala 39:67] + wire [2:0] _GEN_89 = _T_101 ? _T_130 : _GEN_76; // @[Conditional.scala 39:67] + wire [1:0] _GEN_90 = _T_101 ? _T_135 : _GEN_77; // @[Conditional.scala 39:67] + wire _GEN_91 = _T_101 ? 1'h0 : _GEN_70; // @[Conditional.scala 39:67] + wire _GEN_93 = _T_101 ? 1'h0 : _GEN_72; // @[Conditional.scala 39:67] + wire _GEN_96 = _T_101 ? 1'h0 : _GEN_80; // @[Conditional.scala 39:67] + wire buf_data_wr_en = _T_49 ? _T_56 : _GEN_91; // @[Conditional.scala 40:58] + wire buf_cmd_byte_ptr_en = _T_49 ? buf_state_en : _GEN_96; // @[Conditional.scala 40:58] + wire [2:0] buf_cmd_byte_ptr = _T_49 ? _T_95 : _GEN_89; // @[Conditional.scala 40:58] + wire slvbuf_wr_en = _T_49 ? 1'h0 : _GEN_85; // @[Conditional.scala 40:58] + wire slvbuf_error_en = _T_49 ? 1'h0 : _GEN_93; // @[Conditional.scala 40:58] + wire _T_535 = master_size[1:0] == 2'h0; // @[axi4_to_ahb.scala 271:24] + wire _T_536 = _T_103 | _T_535; // @[axi4_to_ahb.scala 270:48] + wire _T_538 = master_size[1:0] == 2'h1; // @[axi4_to_ahb.scala 271:54] + wire _T_539 = _T_536 | _T_538; // @[axi4_to_ahb.scala 271:33] + wire _T_541 = master_size[1:0] == 2'h2; // @[axi4_to_ahb.scala 271:93] + wire _T_542 = _T_539 | _T_541; // @[axi4_to_ahb.scala 271:72] + wire _T_544 = master_size[1:0] == 2'h3; // @[axi4_to_ahb.scala 272:25] + wire _T_546 = wrbuf_byteen == 8'h3; // @[axi4_to_ahb.scala 272:62] + wire _T_548 = wrbuf_byteen == 8'hc; // @[axi4_to_ahb.scala 272:97] + wire _T_549 = _T_546 | _T_548; // @[axi4_to_ahb.scala 272:74] + wire _T_551 = wrbuf_byteen == 8'h30; // @[axi4_to_ahb.scala 272:132] + wire _T_552 = _T_549 | _T_551; // @[axi4_to_ahb.scala 272:109] + wire _T_554 = wrbuf_byteen == 8'hc0; // @[axi4_to_ahb.scala 272:168] + wire _T_555 = _T_552 | _T_554; // @[axi4_to_ahb.scala 272:145] + wire _T_557 = wrbuf_byteen == 8'hf; // @[axi4_to_ahb.scala 273:28] + wire _T_558 = _T_555 | _T_557; // @[axi4_to_ahb.scala 272:181] + wire _T_560 = wrbuf_byteen == 8'hf0; // @[axi4_to_ahb.scala 273:63] + wire _T_561 = _T_558 | _T_560; // @[axi4_to_ahb.scala 273:40] + wire _T_563 = wrbuf_byteen == 8'hff; // @[axi4_to_ahb.scala 273:99] + wire _T_564 = _T_561 | _T_563; // @[axi4_to_ahb.scala 273:76] + wire _T_565 = _T_544 & _T_564; // @[axi4_to_ahb.scala 272:38] + wire buf_aligned_in = _T_542 | _T_565; // @[axi4_to_ahb.scala 271:106] + wire _T_444 = buf_aligned_in & _T_51; // @[axi4_to_ahb.scala 265:60] + wire [2:0] _T_461 = _T_548 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_462 = 3'h2 & _T_461; // @[axi4_to_ahb.scala 128:15] + wire _T_468 = _T_560 | _T_546; // @[axi4_to_ahb.scala 129:56] + wire [2:0] _T_470 = _T_468 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_471 = 3'h4 & _T_470; // @[axi4_to_ahb.scala 129:15] + wire [2:0] _T_472 = _T_462 | _T_471; // @[axi4_to_ahb.scala 128:63] + wire [2:0] _T_476 = _T_554 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_477 = 3'h6 & _T_476; // @[axi4_to_ahb.scala 130:15] + wire [2:0] _T_478 = _T_472 | _T_477; // @[axi4_to_ahb.scala 129:96] + wire [2:0] _T_485 = _T_444 ? _T_478 : master_addr[2:0]; // @[axi4_to_ahb.scala 265:43] + wire _T_489 = buf_state == 3'h3; // @[axi4_to_ahb.scala 268:33] + wire _T_495 = buf_aligned_in & _T_544; // @[axi4_to_ahb.scala 269:38] + wire _T_498 = _T_495 & _T_51; // @[axi4_to_ahb.scala 269:71] + wire [1:0] _T_504 = _T_563 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire _T_510 = _T_560 | _T_557; // @[axi4_to_ahb.scala 122:55] + wire [1:0] _T_512 = _T_510 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_513 = 2'h2 & _T_512; // @[axi4_to_ahb.scala 122:16] + wire [1:0] _T_514 = _T_504 | _T_513; // @[axi4_to_ahb.scala 121:64] + wire _T_519 = _T_554 | _T_551; // @[axi4_to_ahb.scala 123:60] + wire _T_522 = _T_519 | _T_548; // @[axi4_to_ahb.scala 123:89] + wire _T_525 = _T_522 | _T_546; // @[axi4_to_ahb.scala 123:123] + wire [1:0] _T_527 = _T_525 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_528 = 2'h1 & _T_527; // @[axi4_to_ahb.scala 123:21] + wire [1:0] _T_529 = _T_514 | _T_528; // @[axi4_to_ahb.scala 122:93] + wire [1:0] _T_531 = _T_498 ? _T_529 : master_size[1:0]; // @[axi4_to_ahb.scala 269:21] + wire [31:0] _T_570 = {master_addr[31:3],buf_cmd_byte_ptr}; // @[Cat.scala 29:58] + wire [31:0] _T_573 = {buf_addr[31:3],buf_cmd_byte_ptr}; // @[Cat.scala 29:58] + wire [1:0] _T_577 = buf_aligned_in ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [2:0] buf_size_in = {{1'd0}, _T_531}; // @[axi4_to_ahb.scala 269:15] + wire [1:0] _T_579 = _T_577 & buf_size_in[1:0]; // @[axi4_to_ahb.scala 276:81] + wire [2:0] _T_580 = {1'h0,_T_579}; // @[Cat.scala 29:58] + wire [1:0] _T_582 = buf_aligned ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + reg [1:0] buf_size; // @[Reg.scala 27:20] + wire [1:0] _T_584 = _T_582 & buf_size; // @[axi4_to_ahb.scala 276:138] + wire [2:0] _T_585 = {1'h0,_T_584}; // @[Cat.scala 29:58] + reg buf_write; // @[Reg.scala 27:20] + wire _T_611 = io_ahb_out_htrans != 2'h0; // @[axi4_to_ahb.scala 289:44] + wire _T_612 = _T_611 & io_ahb_in_hready; // @[axi4_to_ahb.scala 289:56] + wire last_addr_en = _T_612 & io_ahb_out_hwrite; // @[axi4_to_ahb.scala 289:75] + wire wrbuf_en = _T_44 & master_ready; // @[axi4_to_ahb.scala 291:49] + wire wrbuf_data_en = _T_45 & master_ready; // @[axi4_to_ahb.scala 292:52] + wire wrbuf_cmd_sent = _T_149 & _T_51; // @[axi4_to_ahb.scala 293:49] + wire _T_622 = ~wrbuf_en; // @[axi4_to_ahb.scala 294:33] + wire wrbuf_rst = wrbuf_cmd_sent & _T_622; // @[axi4_to_ahb.scala 294:31] + wire _T_624 = ~wrbuf_cmd_sent; // @[axi4_to_ahb.scala 296:36] + wire _T_625 = wrbuf_vld & _T_624; // @[axi4_to_ahb.scala 296:34] + wire _T_626 = ~_T_625; // @[axi4_to_ahb.scala 296:22] + wire _T_629 = wrbuf_data_vld & _T_624; // @[axi4_to_ahb.scala 297:38] + wire _T_630 = ~_T_629; // @[axi4_to_ahb.scala 297:21] + wire _T_633 = ~wr_cmd_vld; // @[axi4_to_ahb.scala 298:22] + wire _T_636 = wrbuf_en | wrbuf_vld; // @[axi4_to_ahb.scala 301:55] + wire _T_637 = ~wrbuf_rst; // @[axi4_to_ahb.scala 301:91] + wire _T_641 = wrbuf_data_en | wrbuf_data_vld; // @[axi4_to_ahb.scala 302:55] + reg [2:0] buf_tag; // @[Reg.scala 27:20] + wire _T_691 = ~slave_valid_pre; // @[axi4_to_ahb.scala 319:92] + wire _T_704 = buf_wr_en | slvbuf_wr_en; // @[axi4_to_ahb.scala 327:43] + wire _T_705 = _T_704 | io_clk_override; // @[axi4_to_ahb.scala 327:58] + wire _T_708 = io_ahb_in_hready & io_ahb_out_htrans[1]; // @[axi4_to_ahb.scala 328:57] + wire _T_709 = _T_708 | io_clk_override; // @[axi4_to_ahb.scala 328:81] + wire _T_711 = buf_state != 3'h0; // @[axi4_to_ahb.scala 329:50] + wire _T_712 = _T_711 | io_clk_override; // @[axi4_to_ahb.scala 329:60] + rvclkhdr rvclkhdr ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + rvclkhdr rvclkhdr_4 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_4_io_l1clk), + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en), + .io_scan_mode(rvclkhdr_4_io_scan_mode) + ); + rvclkhdr rvclkhdr_5 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_5_io_l1clk), + .io_clk(rvclkhdr_5_io_clk), + .io_en(rvclkhdr_5_io_en), + .io_scan_mode(rvclkhdr_5_io_scan_mode) + ); + rvclkhdr rvclkhdr_6 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_6_io_l1clk), + .io_clk(rvclkhdr_6_io_clk), + .io_en(rvclkhdr_6_io_en), + .io_scan_mode(rvclkhdr_6_io_scan_mode) + ); + rvclkhdr rvclkhdr_7 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_7_io_l1clk), + .io_clk(rvclkhdr_7_io_clk), + .io_en(rvclkhdr_7_io_en), + .io_scan_mode(rvclkhdr_7_io_scan_mode) + ); + rvclkhdr rvclkhdr_8 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_8_io_l1clk), + .io_clk(rvclkhdr_8_io_clk), + .io_en(rvclkhdr_8_io_en), + .io_scan_mode(rvclkhdr_8_io_scan_mode) + ); + rvclkhdr rvclkhdr_9 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_9_io_l1clk), + .io_clk(rvclkhdr_9_io_clk), + .io_en(rvclkhdr_9_io_en), + .io_scan_mode(rvclkhdr_9_io_scan_mode) + ); + assign io_axi_aw_ready = _T_626 & master_ready; // @[axi4_to_ahb.scala 296:19] + assign io_axi_w_ready = _T_630 & master_ready; // @[axi4_to_ahb.scala 297:18] + assign io_axi_b_valid = slave_valid_pre & slave_opc[3]; // @[axi4_to_ahb.scala 149:18] + assign io_axi_b_bits_resp = slave_opc[0] ? 2'h2 : _T_30; // @[axi4_to_ahb.scala 150:22] + assign io_axi_b_bits_id = slvbuf_tag; // @[axi4_to_ahb.scala 151:20] + assign io_axi_ar_ready = _T_633 & master_ready; // @[axi4_to_ahb.scala 298:19] + assign io_axi_r_valid = slave_valid_pre & _T_35; // @[axi4_to_ahb.scala 153:18] + assign io_axi_r_bits_id = slvbuf_tag; // @[axi4_to_ahb.scala 155:20] + assign io_axi_r_bits_data = slvbuf_error ? _T_603 : _T_607; // @[axi4_to_ahb.scala 156:22] + assign io_axi_r_bits_resp = slave_opc[0] ? 2'h2 : _T_30; // @[axi4_to_ahb.scala 154:22] + assign io_ahb_out_haddr = bypass_en ? _T_570 : _T_573; // @[axi4_to_ahb.scala 275:20] + assign io_ahb_out_hsize = bypass_en ? _T_580 : _T_585; // @[axi4_to_ahb.scala 276:20] + assign io_ahb_out_htrans = _T_49 ? _T_100 : _GEN_90; // @[axi4_to_ahb.scala 22:21 axi4_to_ahb.scala 178:25 axi4_to_ahb.scala 190:25 axi4_to_ahb.scala 205:25 axi4_to_ahb.scala 215:25 axi4_to_ahb.scala 235:25 axi4_to_ahb.scala 250:25] + assign io_ahb_out_hwrite = bypass_en ? _T_51 : buf_write; // @[axi4_to_ahb.scala 281:21] + assign io_ahb_out_hwdata = buf_data; // @[axi4_to_ahb.scala 282:21] + assign rvclkhdr_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_io_en = io_bus_clk_en; // @[lib.scala 345:16] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_1_io_en = io_bus_clk_en & _T_46; // @[lib.scala 345:16] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_2_io_clk = rvclkhdr_io_l1clk; // @[lib.scala 370:18] + assign rvclkhdr_2_io_en = _T_44 & master_ready; // @[lib.scala 371:17] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_3_io_clk = rvclkhdr_io_l1clk; // @[lib.scala 370:18] + assign rvclkhdr_3_io_en = _T_45 & master_ready; // @[lib.scala 371:17] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_4_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_4_io_en = buf_wr_en & io_bus_clk_en; // @[lib.scala 371:17] + assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_5_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_5_io_en = buf_data_wr_en & io_bus_clk_en; // @[lib.scala 371:17] + assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_6_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_6_io_en = io_bus_clk_en & _T_705; // @[lib.scala 345:16] + assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_7_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_7_io_en = io_bus_clk_en; // @[lib.scala 345:16] + assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_8_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_8_io_en = io_bus_clk_en & _T_709; // @[lib.scala 345:16] + assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_9_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_9_io_en = io_bus_clk_en & _T_712; // @[lib.scala 345:16] + assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + buf_state = _RAND_0[2:0]; + _RAND_1 = {1{`RANDOM}}; + wrbuf_vld = _RAND_1[0:0]; + _RAND_2 = {1{`RANDOM}}; + wrbuf_data_vld = _RAND_2[0:0]; + _RAND_3 = {1{`RANDOM}}; + ahb_hready_q = _RAND_3[0:0]; + _RAND_4 = {1{`RANDOM}}; + ahb_htrans_q = _RAND_4[1:0]; + _RAND_5 = {1{`RANDOM}}; + ahb_hwrite_q = _RAND_5[0:0]; + _RAND_6 = {1{`RANDOM}}; + ahb_hresp_q = _RAND_6[0:0]; + _RAND_7 = {1{`RANDOM}}; + cmd_doneQ = _RAND_7[0:0]; + _RAND_8 = {1{`RANDOM}}; + wrbuf_tag = _RAND_8[2:0]; + _RAND_9 = {1{`RANDOM}}; + wrbuf_addr = _RAND_9[31:0]; + _RAND_10 = {1{`RANDOM}}; + wrbuf_size = _RAND_10[2:0]; + _RAND_11 = {1{`RANDOM}}; + wrbuf_byteen = _RAND_11[7:0]; + _RAND_12 = {2{`RANDOM}}; + wrbuf_data = _RAND_12[63:0]; + _RAND_13 = {1{`RANDOM}}; + slvbuf_write = _RAND_13[0:0]; + _RAND_14 = {1{`RANDOM}}; + slvbuf_error = _RAND_14[0:0]; + _RAND_15 = {1{`RANDOM}}; + slvbuf_tag = _RAND_15[2:0]; + _RAND_16 = {1{`RANDOM}}; + last_bus_addr = _RAND_16[31:0]; + _RAND_17 = {2{`RANDOM}}; + buf_data = _RAND_17[63:0]; + _RAND_18 = {2{`RANDOM}}; + ahb_hrdata_q = _RAND_18[63:0]; + _RAND_19 = {1{`RANDOM}}; + buf_addr = _RAND_19[31:0]; + _RAND_20 = {1{`RANDOM}}; + buf_cmd_byte_ptrQ = _RAND_20[2:0]; + _RAND_21 = {1{`RANDOM}}; + buf_byteen = _RAND_21[7:0]; + _RAND_22 = {1{`RANDOM}}; + buf_aligned = _RAND_22[0:0]; + _RAND_23 = {1{`RANDOM}}; + buf_size = _RAND_23[1:0]; + _RAND_24 = {1{`RANDOM}}; + buf_write = _RAND_24[0:0]; + _RAND_25 = {1{`RANDOM}}; + buf_tag = _RAND_25[2:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + buf_state = 3'h0; + end + if (reset) begin + wrbuf_vld = 1'h0; + end + if (reset) begin + wrbuf_data_vld = 1'h0; + end + if (reset) begin + ahb_hready_q = 1'h0; + end + if (reset) begin + ahb_htrans_q = 2'h0; + end + if (reset) begin + ahb_hwrite_q = 1'h0; + end + if (reset) begin + ahb_hresp_q = 1'h0; + end + if (reset) begin + cmd_doneQ = 1'h0; + end + if (reset) begin + wrbuf_tag = 3'h0; + end + if (reset) begin + wrbuf_addr = 32'h0; + end + if (reset) begin + wrbuf_size = 3'h0; + end + if (reset) begin + wrbuf_byteen = 8'h0; + end + if (reset) begin + wrbuf_data = 64'h0; + end + if (reset) begin + slvbuf_write = 1'h0; + end + if (reset) begin + slvbuf_error = 1'h0; + end + if (reset) begin + slvbuf_tag = 3'h0; + end + if (reset) begin + last_bus_addr = 32'h0; + end + if (reset) begin + buf_data = 64'h0; + end + if (reset) begin + ahb_hrdata_q = 64'h0; + end + if (reset) begin + buf_addr = 32'h0; + end + if (reset) begin + buf_cmd_byte_ptrQ = 3'h0; + end + if (reset) begin + buf_byteen = 8'h0; + end + if (reset) begin + buf_aligned = 1'h0; + end + if (reset) begin + buf_size = 2'h0; + end + if (reset) begin + buf_write = 1'h0; + end + if (reset) begin + buf_tag = 3'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge ahbm_clk or posedge reset) begin + if (reset) begin + buf_state <= 3'h0; + end else if (buf_state_en) begin + if (_T_49) begin + if (buf_write_in) begin + buf_state <= 3'h2; + end else begin + buf_state <= 3'h1; + end + end else if (_T_101) begin + if (_T_104) begin + buf_state <= 3'h6; + end else begin + buf_state <= 3'h3; + end + end else if (_T_136) begin + if (ahb_hresp_q) begin + buf_state <= 3'h7; + end else if (_T_152) begin + buf_state <= 3'h6; + end else begin + buf_state <= 3'h3; + end + end else if (_T_175) begin + buf_state <= 3'h3; + end else if (_T_186) begin + buf_state <= 3'h5; + end else if (_T_188) begin + buf_state <= 3'h4; + end else if (_T_281) begin + if (ahb_hresp_q) begin + buf_state <= 3'h5; + end else if (master_valid) begin + if (_T_51) begin + buf_state <= 3'h2; + end else begin + buf_state <= 3'h1; + end + end else begin + buf_state <= 3'h0; + end + end else begin + buf_state <= 3'h0; + end + end + end + always @(posedge bus_clk or posedge reset) begin + if (reset) begin + wrbuf_vld <= 1'h0; + end else begin + wrbuf_vld <= _T_636 & _T_637; + end + end + always @(posedge bus_clk or posedge reset) begin + if (reset) begin + wrbuf_data_vld <= 1'h0; + end else begin + wrbuf_data_vld <= _T_641 & _T_637; + end + end + always @(posedge ahbm_clk or posedge reset) begin + if (reset) begin + ahb_hready_q <= 1'h0; + end else begin + ahb_hready_q <= io_ahb_in_hready; + end + end + always @(posedge ahbm_clk or posedge reset) begin + if (reset) begin + ahb_htrans_q <= 2'h0; + end else begin + ahb_htrans_q <= io_ahb_out_htrans; + end + end + always @(posedge ahbm_addr_clk or posedge reset) begin + if (reset) begin + ahb_hwrite_q <= 1'h0; + end else begin + ahb_hwrite_q <= io_ahb_out_hwrite; + end + end + always @(posedge ahbm_clk or posedge reset) begin + if (reset) begin + ahb_hresp_q <= 1'h0; + end else begin + ahb_hresp_q <= io_ahb_in_hresp; + end + end + always @(posedge ahbm_clk or posedge reset) begin + if (reset) begin + cmd_doneQ <= 1'h0; + end else begin + cmd_doneQ <= _T_276 & _T_691; + end + end + always @(posedge bus_clk or posedge reset) begin + if (reset) begin + wrbuf_tag <= 3'h0; + end else if (wrbuf_en) begin + wrbuf_tag <= io_axi_aw_bits_id; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + wrbuf_addr <= 32'h0; + end else begin + wrbuf_addr <= io_axi_aw_bits_addr; + end + end + always @(posedge bus_clk or posedge reset) begin + if (reset) begin + wrbuf_size <= 3'h0; + end else if (wrbuf_en) begin + wrbuf_size <= io_axi_aw_bits_size; + end + end + always @(posedge bus_clk or posedge reset) begin + if (reset) begin + wrbuf_byteen <= 8'h0; + end else if (wrbuf_data_en) begin + wrbuf_byteen <= io_axi_w_bits_strb; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + wrbuf_data <= 64'h0; + end else begin + wrbuf_data <= io_axi_w_bits_data; + end + end + always @(posedge buf_clk or posedge reset) begin + if (reset) begin + slvbuf_write <= 1'h0; + end else if (slvbuf_wr_en) begin + slvbuf_write <= buf_write; + end + end + always @(posedge ahbm_clk or posedge reset) begin + if (reset) begin + slvbuf_error <= 1'h0; + end else if (slvbuf_error_en) begin + if (_T_49) begin + slvbuf_error <= 1'h0; + end else if (_T_101) begin + slvbuf_error <= 1'h0; + end else if (_T_136) begin + slvbuf_error <= ahb_hresp_q; + end else if (_T_175) begin + slvbuf_error <= 1'h0; + end else if (_T_186) begin + slvbuf_error <= ahb_hresp_q; + end else if (_T_188) begin + slvbuf_error <= 1'h0; + end else begin + slvbuf_error <= _GEN_6; + end + end + end + always @(posedge buf_clk or posedge reset) begin + if (reset) begin + slvbuf_tag <= 3'h0; + end else if (slvbuf_wr_en) begin + slvbuf_tag <= buf_tag; + end + end + always @(posedge ahbm_clk or posedge reset) begin + if (reset) begin + last_bus_addr <= 32'h0; + end else if (last_addr_en) begin + last_bus_addr <= io_ahb_out_haddr; + end + end + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + if (reset) begin + buf_data <= 64'h0; + end else if (_T_489) begin + buf_data <= ahb_hrdata_q; + end else begin + buf_data <= wrbuf_data; + end + end + always @(posedge ahbm_data_clk or posedge reset) begin + if (reset) begin + ahb_hrdata_q <= 64'h0; + end else begin + ahb_hrdata_q <= io_ahb_in_hrdata; + end + end + always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + if (reset) begin + buf_addr <= 32'h0; + end else begin + buf_addr <= {master_addr[31:3],_T_485}; + end + end + always @(posedge ahbm_clk or posedge reset) begin + if (reset) begin + buf_cmd_byte_ptrQ <= 3'h0; + end else if (buf_cmd_byte_ptr_en) begin + if (_T_49) begin + if (buf_write_in) begin + if (wrbuf_byteen[0]) begin + buf_cmd_byte_ptrQ <= 3'h0; + end else if (wrbuf_byteen[1]) begin + buf_cmd_byte_ptrQ <= 3'h1; + end else if (wrbuf_byteen[2]) begin + buf_cmd_byte_ptrQ <= 3'h2; + end else if (wrbuf_byteen[3]) begin + buf_cmd_byte_ptrQ <= 3'h3; + end else if (wrbuf_byteen[4]) begin + buf_cmd_byte_ptrQ <= 3'h4; + end else if (wrbuf_byteen[5]) begin + buf_cmd_byte_ptrQ <= 3'h5; + end else if (wrbuf_byteen[6]) begin + buf_cmd_byte_ptrQ <= 3'h6; + end else begin + buf_cmd_byte_ptrQ <= 3'h7; + end + end else begin + buf_cmd_byte_ptrQ <= master_addr[2:0]; + end + end else if (_T_101) begin + if (bypass_en) begin + buf_cmd_byte_ptrQ <= master_addr[2:0]; + end else begin + buf_cmd_byte_ptrQ <= buf_addr[2:0]; + end + end else if (_T_136) begin + if (bypass_en) begin + buf_cmd_byte_ptrQ <= master_addr[2:0]; + end else begin + buf_cmd_byte_ptrQ <= buf_addr[2:0]; + end + end else if (_T_175) begin + buf_cmd_byte_ptrQ <= buf_addr[2:0]; + end else if (_T_186) begin + buf_cmd_byte_ptrQ <= 3'h0; + end else if (_T_188) begin + if (trxn_done) begin + if (_T_201) begin + buf_cmd_byte_ptrQ <= 3'h0; + end else if (_T_204) begin + buf_cmd_byte_ptrQ <= 3'h1; + end else if (_T_207) begin + buf_cmd_byte_ptrQ <= 3'h2; + end else if (_T_210) begin + buf_cmd_byte_ptrQ <= 3'h3; + end else if (_T_213) begin + buf_cmd_byte_ptrQ <= 3'h4; + end else if (_T_216) begin + buf_cmd_byte_ptrQ <= 3'h5; + end else if (_T_219) begin + buf_cmd_byte_ptrQ <= 3'h6; + end else begin + buf_cmd_byte_ptrQ <= 3'h7; + end + end + end else if (_T_281) begin + if (bypass_en) begin + if (wrbuf_byteen[0]) begin + buf_cmd_byte_ptrQ <= 3'h0; + end else if (wrbuf_byteen[1]) begin + buf_cmd_byte_ptrQ <= 3'h1; + end else if (wrbuf_byteen[2]) begin + buf_cmd_byte_ptrQ <= 3'h2; + end else if (wrbuf_byteen[3]) begin + buf_cmd_byte_ptrQ <= 3'h3; + end else if (wrbuf_byteen[4]) begin + buf_cmd_byte_ptrQ <= 3'h4; + end else if (wrbuf_byteen[5]) begin + buf_cmd_byte_ptrQ <= 3'h5; + end else if (wrbuf_byteen[6]) begin + buf_cmd_byte_ptrQ <= 3'h6; + end else begin + buf_cmd_byte_ptrQ <= 3'h7; + end + end else if (trxn_done) begin + if (_T_201) begin + buf_cmd_byte_ptrQ <= 3'h0; + end else if (_T_204) begin + buf_cmd_byte_ptrQ <= 3'h1; + end else if (_T_207) begin + buf_cmd_byte_ptrQ <= 3'h2; + end else if (_T_210) begin + buf_cmd_byte_ptrQ <= 3'h3; + end else if (_T_213) begin + buf_cmd_byte_ptrQ <= 3'h4; + end else if (_T_216) begin + buf_cmd_byte_ptrQ <= 3'h5; + end else if (_T_219) begin + buf_cmd_byte_ptrQ <= 3'h6; + end else begin + buf_cmd_byte_ptrQ <= 3'h7; + end + end + end else begin + buf_cmd_byte_ptrQ <= 3'h0; + end + end + end + always @(posedge buf_clk or posedge reset) begin + if (reset) begin + buf_byteen <= 8'h0; + end else if (buf_wr_en) begin + buf_byteen <= wrbuf_byteen; + end + end + always @(posedge buf_clk or posedge reset) begin + if (reset) begin + buf_aligned <= 1'h0; + end else if (buf_wr_en) begin + buf_aligned <= buf_aligned_in; + end + end + always @(posedge buf_clk or posedge reset) begin + if (reset) begin + buf_size <= 2'h0; + end else if (buf_wr_en) begin + buf_size <= buf_size_in[1:0]; + end + end + always @(posedge buf_clk or posedge reset) begin + if (reset) begin + buf_write <= 1'h0; + end else if (buf_wr_en) begin + if (_T_49) begin + buf_write <= _T_51; + end else if (_T_101) begin + buf_write <= 1'h0; + end else if (_T_136) begin + buf_write <= 1'h0; + end else if (_T_175) begin + buf_write <= 1'h0; + end else if (_T_186) begin + buf_write <= 1'h0; + end else if (_T_188) begin + buf_write <= 1'h0; + end else begin + buf_write <= _GEN_8; + end + end + end + always @(posedge buf_clk or posedge reset) begin + if (reset) begin + buf_tag <= 3'h0; + end else if (buf_wr_en) begin + if (wr_cmd_vld) begin + buf_tag <= wrbuf_tag; + end else begin + buf_tag <= io_axi_ar_bits_id; + end + end + end +endmodule +module ahb_to_axi4( + input clock, + input reset, + input io_scan_mode, + input io_bus_clk_en, + input io_axi_aw_ready, + output io_axi_aw_valid, + output [31:0] io_axi_aw_bits_addr, + output [2:0] io_axi_aw_bits_size, + output io_axi_w_valid, + output [63:0] io_axi_w_bits_data, + output [7:0] io_axi_w_bits_strb, + input io_axi_ar_ready, + output io_axi_ar_valid, + output [31:0] io_axi_ar_bits_addr, + output [2:0] io_axi_ar_bits_size, + input io_axi_r_valid, + input [63:0] io_axi_r_bits_data, + input [1:0] io_axi_r_bits_resp, + output [63:0] io_ahb_sig_in_hrdata, + output io_ahb_sig_in_hready, + output io_ahb_sig_in_hresp, + input [31:0] io_ahb_sig_out_haddr, + input [2:0] io_ahb_sig_out_hsize, + input [1:0] io_ahb_sig_out_htrans, + input io_ahb_sig_out_hwrite, + input [63:0] io_ahb_sig_out_hwdata, + input io_ahb_hsel, + input io_ahb_hreadyin +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [63:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; + reg [31:0] _RAND_11; + reg [31:0] _RAND_12; + reg [31:0] _RAND_13; + reg [63:0] _RAND_14; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_io_en; // @[lib.scala 343:22] + wire rvclkhdr_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_1_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_1_io_en; // @[lib.scala 343:22] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_2_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_2_io_en; // @[lib.scala 343:22] + wire rvclkhdr_2_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_en; // @[lib.scala 368:23] + wire rvclkhdr_3_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_4_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_en; // @[lib.scala 368:23] + wire rvclkhdr_4_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_5_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_5_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_5_io_en; // @[lib.scala 343:22] + wire rvclkhdr_5_io_scan_mode; // @[lib.scala 343:22] + wire ahb_addr_clk = rvclkhdr_1_io_l1clk; // @[ahb_to_axi4.scala 44:33 ahb_to_axi4.scala 133:31] + reg [31:0] ahb_haddr_q; // @[ahb_to_axi4.scala 126:65] + wire ahb_addr_in_dccm = ahb_haddr_q[31:16] == 16'hf004; // @[lib.scala 87:29] + wire ahb_addr_in_iccm = ahb_haddr_q[31:16] == 16'hee00; // @[lib.scala 87:29] + wire ahb_clk = rvclkhdr_io_l1clk; // @[ahb_to_axi4.scala 43:33 ahb_to_axi4.scala 132:31] + reg [1:0] buf_state; // @[Reg.scala 27:20] + wire _T_7 = 2'h0 == buf_state; // @[Conditional.scala 37:30] + wire ahb_hready = io_ahb_sig_in_hready & io_ahb_hreadyin; // @[ahb_to_axi4.scala 104:55] + wire _T_10 = ahb_hready & io_ahb_sig_out_htrans[1]; // @[ahb_to_axi4.scala 76:34] + wire _T_11 = _T_10 & io_ahb_hsel; // @[ahb_to_axi4.scala 76:61] + wire _T_12 = 2'h1 == buf_state; // @[Conditional.scala 37:30] + wire _T_14 = io_ahb_sig_out_htrans == 2'h0; // @[ahb_to_axi4.scala 79:79] + wire _T_15 = io_ahb_sig_in_hresp | _T_14; // @[ahb_to_axi4.scala 79:48] + wire _T_16 = ~io_ahb_hsel; // @[ahb_to_axi4.scala 79:93] + wire _T_17 = _T_15 | _T_16; // @[ahb_to_axi4.scala 79:91] + wire bus_clk = rvclkhdr_5_io_l1clk; // @[ahb_to_axi4.scala 57:33 ahb_to_axi4.scala 180:27] + reg cmdbuf_vld; // @[ahb_to_axi4.scala 139:61] + wire _T_151 = io_axi_aw_valid & io_axi_aw_ready; // @[ahb_to_axi4.scala 137:67] + wire _T_152 = io_axi_ar_valid & io_axi_ar_ready; // @[ahb_to_axi4.scala 137:105] + wire _T_153 = _T_151 | _T_152; // @[ahb_to_axi4.scala 137:86] + wire _T_154 = ~_T_153; // @[ahb_to_axi4.scala 137:48] + wire cmdbuf_full = cmdbuf_vld & _T_154; // @[ahb_to_axi4.scala 137:46] + wire _T_21 = ~cmdbuf_full; // @[ahb_to_axi4.scala 80:24] + wire _T_22 = _T_21 | io_ahb_sig_in_hresp; // @[ahb_to_axi4.scala 80:37] + wire _T_25 = io_ahb_sig_out_htrans == 2'h1; // @[ahb_to_axi4.scala 81:92] + wire _T_26 = _T_25 & io_ahb_hsel; // @[ahb_to_axi4.scala 81:110] + wire _T_27 = io_ahb_sig_in_hresp | _T_26; // @[ahb_to_axi4.scala 81:60] + wire _T_28 = ~_T_27; // @[ahb_to_axi4.scala 81:38] + wire _T_29 = _T_21 & _T_28; // @[ahb_to_axi4.scala 81:36] + wire _T_30 = 2'h2 == buf_state; // @[Conditional.scala 37:30] + wire _T_34 = ~io_ahb_sig_in_hresp; // @[ahb_to_axi4.scala 86:23] + wire _T_36 = _T_34 & _T_21; // @[ahb_to_axi4.scala 86:44] + wire _T_37 = 2'h3 == buf_state; // @[Conditional.scala 37:30] + reg cmdbuf_write; // @[Reg.scala 27:20] + wire _T_38 = ~cmdbuf_write; // @[ahb_to_axi4.scala 90:40] + wire _T_39 = io_axi_r_valid & _T_38; // @[ahb_to_axi4.scala 90:38] + wire _T_41 = |io_axi_r_bits_resp; // @[ahb_to_axi4.scala 92:68] + wire _GEN_1 = _T_37 & _T_39; // @[Conditional.scala 39:67] + wire _GEN_5 = _T_30 ? _T_22 : _GEN_1; // @[Conditional.scala 39:67] + wire _GEN_10 = _T_12 ? _T_22 : _GEN_5; // @[Conditional.scala 39:67] + wire buf_state_en = _T_7 ? _T_11 : _GEN_10; // @[Conditional.scala 40:58] + wire _T_42 = buf_state_en & _T_41; // @[ahb_to_axi4.scala 92:41] + wire _GEN_2 = _T_37 & buf_state_en; // @[Conditional.scala 39:67] + wire _GEN_3 = _T_37 & _T_42; // @[Conditional.scala 39:67] + wire _GEN_6 = _T_30 & _T_36; // @[Conditional.scala 39:67] + wire _GEN_7 = _T_30 ? 1'h0 : _GEN_2; // @[Conditional.scala 39:67] + wire _GEN_11 = _T_12 ? _T_29 : _GEN_6; // @[Conditional.scala 39:67] + wire _GEN_12 = _T_12 ? 1'h0 : _GEN_7; // @[Conditional.scala 39:67] + wire cmdbuf_wr_en = _T_7 ? 1'h0 : _GEN_11; // @[Conditional.scala 40:58] + wire buf_rdata_en = _T_7 ? 1'h0 : _GEN_12; // @[Conditional.scala 40:58] + reg [2:0] ahb_hsize_q; // @[ahb_to_axi4.scala 124:65] + wire _T_46 = ahb_hsize_q == 3'h0; // @[ahb_to_axi4.scala 97:60] + wire [7:0] _T_48 = _T_46 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_50 = 8'h1 << ahb_haddr_q[2:0]; // @[ahb_to_axi4.scala 97:78] + wire [7:0] _T_51 = _T_48 & _T_50; // @[ahb_to_axi4.scala 97:70] + wire _T_53 = ahb_hsize_q == 3'h1; // @[ahb_to_axi4.scala 98:30] + wire [7:0] _T_55 = _T_53 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [8:0] _T_57 = 9'h3 << ahb_haddr_q[2:0]; // @[ahb_to_axi4.scala 98:48] + wire [8:0] _GEN_23 = {{1'd0}, _T_55}; // @[ahb_to_axi4.scala 98:40] + wire [8:0] _T_58 = _GEN_23 & _T_57; // @[ahb_to_axi4.scala 98:40] + wire [8:0] _GEN_24 = {{1'd0}, _T_51}; // @[ahb_to_axi4.scala 97:109] + wire [8:0] _T_59 = _GEN_24 | _T_58; // @[ahb_to_axi4.scala 97:109] + wire _T_61 = ahb_hsize_q == 3'h2; // @[ahb_to_axi4.scala 99:30] + wire [7:0] _T_63 = _T_61 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [10:0] _T_65 = 11'hf << ahb_haddr_q[2:0]; // @[ahb_to_axi4.scala 99:48] + wire [10:0] _GEN_25 = {{3'd0}, _T_63}; // @[ahb_to_axi4.scala 99:40] + wire [10:0] _T_66 = _GEN_25 & _T_65; // @[ahb_to_axi4.scala 99:40] + wire [10:0] _GEN_26 = {{2'd0}, _T_59}; // @[ahb_to_axi4.scala 98:79] + wire [10:0] _T_67 = _GEN_26 | _T_66; // @[ahb_to_axi4.scala 98:79] + wire _T_69 = ahb_hsize_q == 3'h3; // @[ahb_to_axi4.scala 100:30] + wire [7:0] _T_71 = _T_69 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [10:0] _GEN_27 = {{3'd0}, _T_71}; // @[ahb_to_axi4.scala 99:79] + wire [10:0] _T_73 = _T_67 | _GEN_27; // @[ahb_to_axi4.scala 99:79] + reg ahb_hready_q; // @[ahb_to_axi4.scala 122:60] + wire _T_74 = ~ahb_hready_q; // @[ahb_to_axi4.scala 103:80] + reg ahb_hresp_q; // @[ahb_to_axi4.scala 121:60] + wire _T_75 = ahb_hresp_q & _T_74; // @[ahb_to_axi4.scala 103:78] + wire _T_77 = buf_state == 2'h0; // @[ahb_to_axi4.scala 103:124] + wire _T_78 = _T_21 | _T_77; // @[ahb_to_axi4.scala 103:111] + wire _T_79 = buf_state == 2'h2; // @[ahb_to_axi4.scala 103:149] + wire _T_80 = buf_state == 2'h3; // @[ahb_to_axi4.scala 103:168] + wire _T_81 = _T_79 | _T_80; // @[ahb_to_axi4.scala 103:156] + wire _T_82 = ~_T_81; // @[ahb_to_axi4.scala 103:137] + wire _T_83 = _T_78 & _T_82; // @[ahb_to_axi4.scala 103:135] + reg buf_read_error; // @[ahb_to_axi4.scala 118:60] + wire _T_84 = ~buf_read_error; // @[ahb_to_axi4.scala 103:181] + wire _T_85 = _T_83 & _T_84; // @[ahb_to_axi4.scala 103:179] + wire [1:0] _T_89 = io_ahb_hsel ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire buf_rdata_clk = rvclkhdr_2_io_l1clk; // @[ahb_to_axi4.scala 45:33 ahb_to_axi4.scala 134:31] + reg [63:0] buf_rdata; // @[ahb_to_axi4.scala 117:66] + reg [1:0] ahb_htrans_q; // @[ahb_to_axi4.scala 123:60] + wire _T_94 = ahb_htrans_q != 2'h0; // @[ahb_to_axi4.scala 107:61] + wire _T_95 = buf_state != 2'h0; // @[ahb_to_axi4.scala 107:83] + wire _T_96 = _T_94 & _T_95; // @[ahb_to_axi4.scala 107:70] + wire _T_97 = ahb_addr_in_dccm | ahb_addr_in_iccm; // @[ahb_to_axi4.scala 108:26] + wire _T_98 = ~_T_97; // @[ahb_to_axi4.scala 108:7] + reg ahb_hwrite_q; // @[ahb_to_axi4.scala 125:65] + wire _T_99 = ahb_addr_in_dccm & ahb_hwrite_q; // @[ahb_to_axi4.scala 109:46] + wire _T_100 = ahb_addr_in_iccm | _T_99; // @[ahb_to_axi4.scala 109:26] + wire _T_102 = ahb_hsize_q[1:0] == 2'h2; // @[ahb_to_axi4.scala 109:86] + wire _T_104 = ahb_hsize_q[1:0] == 2'h3; // @[ahb_to_axi4.scala 109:115] + wire _T_105 = _T_102 | _T_104; // @[ahb_to_axi4.scala 109:95] + wire _T_106 = ~_T_105; // @[ahb_to_axi4.scala 109:66] + wire _T_107 = _T_100 & _T_106; // @[ahb_to_axi4.scala 109:64] + wire _T_108 = _T_98 | _T_107; // @[ahb_to_axi4.scala 108:47] + wire _T_112 = _T_53 & ahb_haddr_q[0]; // @[ahb_to_axi4.scala 110:35] + wire _T_113 = _T_108 | _T_112; // @[ahb_to_axi4.scala 109:126] + wire _T_117 = |ahb_haddr_q[1:0]; // @[ahb_to_axi4.scala 111:56] + wire _T_118 = _T_61 & _T_117; // @[ahb_to_axi4.scala 111:35] + wire _T_119 = _T_113 | _T_118; // @[ahb_to_axi4.scala 110:55] + wire _T_123 = |ahb_haddr_q[2:0]; // @[ahb_to_axi4.scala 112:56] + wire _T_124 = _T_69 & _T_123; // @[ahb_to_axi4.scala 112:35] + wire _T_125 = _T_119 | _T_124; // @[ahb_to_axi4.scala 111:61] + wire _T_126 = _T_96 & _T_125; // @[ahb_to_axi4.scala 107:94] + wire _T_127 = _T_126 | buf_read_error; // @[ahb_to_axi4.scala 112:63] + wire _T_146 = ~cmdbuf_wr_en; // @[ahb_to_axi4.scala 136:113] + wire _T_147 = _T_153 & _T_146; // @[ahb_to_axi4.scala 136:111] + wire _T_149 = io_ahb_sig_in_hresp & _T_38; // @[ahb_to_axi4.scala 136:151] + wire cmdbuf_rst = _T_147 | _T_149; // @[ahb_to_axi4.scala 136:128] + wire _T_157 = cmdbuf_wr_en | cmdbuf_vld; // @[ahb_to_axi4.scala 139:66] + wire _T_158 = ~cmdbuf_rst; // @[ahb_to_axi4.scala 139:110] + reg [2:0] _T_164; // @[Reg.scala 27:20] + reg [7:0] cmdbuf_wstrb; // @[Reg.scala 27:20] + wire [7:0] master_wstrb = _T_73[7:0]; // @[ahb_to_axi4.scala 97:31] + reg [31:0] cmdbuf_addr; // @[lib.scala 374:16] + reg [63:0] cmdbuf_wdata; // @[lib.scala 374:16] + wire [1:0] cmdbuf_size = _T_164[1:0]; // @[ahb_to_axi4.scala 145:31] + rvclkhdr rvclkhdr ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + rvclkhdr rvclkhdr_4 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_4_io_l1clk), + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en), + .io_scan_mode(rvclkhdr_4_io_scan_mode) + ); + rvclkhdr rvclkhdr_5 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_5_io_l1clk), + .io_clk(rvclkhdr_5_io_clk), + .io_en(rvclkhdr_5_io_en), + .io_scan_mode(rvclkhdr_5_io_scan_mode) + ); + assign io_axi_aw_valid = cmdbuf_vld & cmdbuf_write; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 156:28] + assign io_axi_aw_bits_addr = cmdbuf_addr; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 158:33] + assign io_axi_aw_bits_size = {1'h0,cmdbuf_size}; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 159:33] + assign io_axi_w_valid = cmdbuf_vld & cmdbuf_write; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 164:28] + assign io_axi_w_bits_data = cmdbuf_wdata; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 165:33] + assign io_axi_w_bits_strb = cmdbuf_wstrb; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 166:33] + assign io_axi_ar_valid = cmdbuf_vld & _T_38; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 171:28] + assign io_axi_ar_bits_addr = cmdbuf_addr; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 173:33] + assign io_axi_ar_bits_size = {1'h0,cmdbuf_size}; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 174:33] + assign io_ahb_sig_in_hrdata = buf_rdata; // @[ahb_to_axi4.scala 106:38] + assign io_ahb_sig_in_hready = io_ahb_sig_in_hresp ? _T_75 : _T_85; // @[ahb_to_axi4.scala 103:38] + assign io_ahb_sig_in_hresp = _T_127 | _T_75; // @[ahb_to_axi4.scala 107:38] + assign rvclkhdr_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_io_en = io_bus_clk_en; // @[lib.scala 345:16] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_1_io_en = io_bus_clk_en & _T_10; // @[lib.scala 345:16] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_2_io_en = io_bus_clk_en & buf_rdata_en; // @[lib.scala 345:16] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_3_io_clk = rvclkhdr_5_io_l1clk; // @[lib.scala 370:18] + assign rvclkhdr_3_io_en = _T_7 ? 1'h0 : _GEN_11; // @[lib.scala 371:17] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_4_io_clk = rvclkhdr_5_io_l1clk; // @[lib.scala 370:18] + assign rvclkhdr_4_io_en = _T_7 ? 1'h0 : _GEN_11; // @[lib.scala 371:17] + assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_5_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_5_io_en = io_bus_clk_en; // @[lib.scala 345:16] + assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + ahb_haddr_q = _RAND_0[31:0]; + _RAND_1 = {1{`RANDOM}}; + buf_state = _RAND_1[1:0]; + _RAND_2 = {1{`RANDOM}}; + cmdbuf_vld = _RAND_2[0:0]; + _RAND_3 = {1{`RANDOM}}; + cmdbuf_write = _RAND_3[0:0]; + _RAND_4 = {1{`RANDOM}}; + ahb_hsize_q = _RAND_4[2:0]; + _RAND_5 = {1{`RANDOM}}; + ahb_hready_q = _RAND_5[0:0]; + _RAND_6 = {1{`RANDOM}}; + ahb_hresp_q = _RAND_6[0:0]; + _RAND_7 = {1{`RANDOM}}; + buf_read_error = _RAND_7[0:0]; + _RAND_8 = {2{`RANDOM}}; + buf_rdata = _RAND_8[63:0]; + _RAND_9 = {1{`RANDOM}}; + ahb_htrans_q = _RAND_9[1:0]; + _RAND_10 = {1{`RANDOM}}; + ahb_hwrite_q = _RAND_10[0:0]; + _RAND_11 = {1{`RANDOM}}; + _T_164 = _RAND_11[2:0]; + _RAND_12 = {1{`RANDOM}}; + cmdbuf_wstrb = _RAND_12[7:0]; + _RAND_13 = {1{`RANDOM}}; + cmdbuf_addr = _RAND_13[31:0]; + _RAND_14 = {2{`RANDOM}}; + cmdbuf_wdata = _RAND_14[63:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + ahb_haddr_q = 32'h0; + end + if (reset) begin + buf_state = 2'h0; + end + if (reset) begin + cmdbuf_vld = 1'h0; + end + if (reset) begin + cmdbuf_write = 1'h0; + end + if (reset) begin + ahb_hsize_q = 3'h0; + end + if (reset) begin + ahb_hready_q = 1'h0; + end + if (reset) begin + ahb_hresp_q = 1'h0; + end + if (reset) begin + buf_read_error = 1'h0; + end + if (reset) begin + buf_rdata = 64'h0; + end + if (reset) begin + ahb_htrans_q = 2'h0; + end + if (reset) begin + ahb_hwrite_q = 1'h0; + end + if (reset) begin + _T_164 = 3'h0; + end + if (reset) begin + cmdbuf_wstrb = 8'h0; + end + if (reset) begin + cmdbuf_addr = 32'h0; + end + if (reset) begin + cmdbuf_wdata = 64'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge ahb_addr_clk or posedge reset) begin + if (reset) begin + ahb_haddr_q <= 32'h0; + end else begin + ahb_haddr_q <= io_ahb_sig_out_haddr; + end + end + always @(posedge ahb_clk or posedge reset) begin + if (reset) begin + buf_state <= 2'h0; + end else if (buf_state_en) begin + if (_T_7) begin + if (io_ahb_sig_out_hwrite) begin + buf_state <= 2'h1; + end else begin + buf_state <= 2'h2; + end + end else if (_T_12) begin + if (_T_17) begin + buf_state <= 2'h0; + end else if (io_ahb_sig_out_hwrite) begin + buf_state <= 2'h1; + end else begin + buf_state <= 2'h2; + end + end else if (_T_30) begin + if (io_ahb_sig_in_hresp) begin + buf_state <= 2'h0; + end else begin + buf_state <= 2'h3; + end + end else begin + buf_state <= 2'h0; + end + end + end + always @(posedge bus_clk or posedge reset) begin + if (reset) begin + cmdbuf_vld <= 1'h0; + end else begin + cmdbuf_vld <= _T_157 & _T_158; + end + end + always @(posedge bus_clk or posedge reset) begin + if (reset) begin + cmdbuf_write <= 1'h0; + end else if (cmdbuf_wr_en) begin + cmdbuf_write <= ahb_hwrite_q; + end + end + always @(posedge ahb_addr_clk or posedge reset) begin + if (reset) begin + ahb_hsize_q <= 3'h0; + end else begin + ahb_hsize_q <= io_ahb_sig_out_hsize; + end + end + always @(posedge ahb_clk or posedge reset) begin + if (reset) begin + ahb_hready_q <= 1'h0; + end else begin + ahb_hready_q <= io_ahb_sig_in_hready & io_ahb_hreadyin; + end + end + always @(posedge ahb_clk or posedge reset) begin + if (reset) begin + ahb_hresp_q <= 1'h0; + end else begin + ahb_hresp_q <= io_ahb_sig_in_hresp; + end + end + always @(posedge ahb_clk or posedge reset) begin + if (reset) begin + buf_read_error <= 1'h0; + end else if (_T_7) begin + buf_read_error <= 1'h0; + end else if (_T_12) begin + buf_read_error <= 1'h0; + end else if (_T_30) begin + buf_read_error <= 1'h0; + end else begin + buf_read_error <= _GEN_3; + end + end + always @(posedge buf_rdata_clk or posedge reset) begin + if (reset) begin + buf_rdata <= 64'h0; + end else begin + buf_rdata <= io_axi_r_bits_data; + end + end + always @(posedge ahb_clk or posedge reset) begin + if (reset) begin + ahb_htrans_q <= 2'h0; + end else begin + ahb_htrans_q <= _T_89 & io_ahb_sig_out_htrans; + end + end + always @(posedge ahb_addr_clk or posedge reset) begin + if (reset) begin + ahb_hwrite_q <= 1'h0; + end else begin + ahb_hwrite_q <= io_ahb_sig_out_hwrite; + end + end + always @(posedge bus_clk or posedge reset) begin + if (reset) begin + _T_164 <= 3'h0; + end else if (cmdbuf_wr_en) begin + _T_164 <= ahb_hsize_q; + end + end + always @(posedge bus_clk or posedge reset) begin + if (reset) begin + cmdbuf_wstrb <= 8'h0; + end else if (cmdbuf_wr_en) begin + cmdbuf_wstrb <= master_wstrb; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + cmdbuf_addr <= 32'h0; + end else begin + cmdbuf_addr <= ahb_haddr_q; + end + end + always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + if (reset) begin + cmdbuf_wdata <= 64'h0; + end else begin + cmdbuf_wdata <= io_ahb_sig_out_hwdata; + end + end +endmodule module quasar( input clock, input reset, - input io_lsu_axi_aw_ready, - output io_lsu_axi_aw_valid, - output [2:0] io_lsu_axi_aw_bits_id, - output [31:0] io_lsu_axi_aw_bits_addr, - output [3:0] io_lsu_axi_aw_bits_region, - output [2:0] io_lsu_axi_aw_bits_size, - output [3:0] io_lsu_axi_aw_bits_cache, - input io_lsu_axi_w_ready, - output io_lsu_axi_w_valid, - output [63:0] io_lsu_axi_w_bits_data, - output [7:0] io_lsu_axi_w_bits_strb, - input io_lsu_axi_b_valid, - input [1:0] io_lsu_axi_b_bits_resp, - input [2:0] io_lsu_axi_b_bits_id, - input io_lsu_axi_ar_ready, - output io_lsu_axi_ar_valid, - output [2:0] io_lsu_axi_ar_bits_id, - output [31:0] io_lsu_axi_ar_bits_addr, - output [3:0] io_lsu_axi_ar_bits_region, - output [2:0] io_lsu_axi_ar_bits_size, - output [3:0] io_lsu_axi_ar_bits_cache, - input io_lsu_axi_r_valid, - input [2:0] io_lsu_axi_r_bits_id, - input [63:0] io_lsu_axi_r_bits_data, - input [1:0] io_lsu_axi_r_bits_resp, - input io_ifu_axi_ar_ready, - output io_ifu_axi_ar_valid, - output [2:0] io_ifu_axi_ar_bits_id, - output [31:0] io_ifu_axi_ar_bits_addr, - output [3:0] io_ifu_axi_ar_bits_region, - input io_ifu_axi_r_valid, - input [2:0] io_ifu_axi_r_bits_id, - input [63:0] io_ifu_axi_r_bits_data, - input [1:0] io_ifu_axi_r_bits_resp, - input io_sb_axi_aw_ready, - output io_sb_axi_aw_valid, - output [31:0] io_sb_axi_aw_bits_addr, - output [3:0] io_sb_axi_aw_bits_region, - output [2:0] io_sb_axi_aw_bits_size, - input io_sb_axi_w_ready, - output io_sb_axi_w_valid, - output [63:0] io_sb_axi_w_bits_data, - output [7:0] io_sb_axi_w_bits_strb, - input io_sb_axi_b_valid, - input [1:0] io_sb_axi_b_bits_resp, - input io_sb_axi_ar_ready, - output io_sb_axi_ar_valid, - output [31:0] io_sb_axi_ar_bits_addr, - output [3:0] io_sb_axi_ar_bits_region, - output [2:0] io_sb_axi_ar_bits_size, - input io_sb_axi_r_valid, - input [63:0] io_sb_axi_r_bits_data, - input [1:0] io_sb_axi_r_bits_resp, - output io_dma_axi_aw_ready, - input io_dma_axi_aw_valid, - input io_dma_axi_aw_bits_id, - input [31:0] io_dma_axi_aw_bits_addr, - input [2:0] io_dma_axi_aw_bits_size, - output io_dma_axi_w_ready, - input io_dma_axi_w_valid, - input [63:0] io_dma_axi_w_bits_data, - input [7:0] io_dma_axi_w_bits_strb, - input io_dma_axi_b_ready, - output io_dma_axi_b_valid, - output [1:0] io_dma_axi_b_bits_resp, - output io_dma_axi_b_bits_id, - output io_dma_axi_ar_ready, - input io_dma_axi_ar_valid, - input io_dma_axi_ar_bits_id, - input [31:0] io_dma_axi_ar_bits_addr, - input [2:0] io_dma_axi_ar_bits_size, - input io_dma_axi_r_ready, - output io_dma_axi_r_valid, - output io_dma_axi_r_bits_id, - output [63:0] io_dma_axi_r_bits_data, - output [1:0] io_dma_axi_r_bits_resp, + input [63:0] io_lsu_ahb_in_hrdata, + input io_lsu_ahb_in_hready, + input io_lsu_ahb_in_hresp, + output [31:0] io_lsu_ahb_out_haddr, + output [2:0] io_lsu_ahb_out_hsize, + output [1:0] io_lsu_ahb_out_htrans, + output io_lsu_ahb_out_hwrite, + output [63:0] io_lsu_ahb_out_hwdata, + input [63:0] io_ifu_ahb_in_hrdata, + input io_ifu_ahb_in_hready, + input io_ifu_ahb_in_hresp, + output [31:0] io_ifu_ahb_out_haddr, + output [2:0] io_ifu_ahb_out_hsize, + output [1:0] io_ifu_ahb_out_htrans, + output io_ifu_ahb_out_hwrite, + output [63:0] io_ifu_ahb_out_hwdata, + input [63:0] io_sb_ahb_in_hrdata, + input io_sb_ahb_in_hready, + input io_sb_ahb_in_hresp, + output [31:0] io_sb_ahb_out_haddr, + output [2:0] io_sb_ahb_out_hsize, + output [1:0] io_sb_ahb_out_htrans, + output io_sb_ahb_out_hwrite, + output [63:0] io_sb_ahb_out_hwdata, + output [63:0] io_dma_ahb_sig_in_hrdata, + output io_dma_ahb_sig_in_hready, + output io_dma_ahb_sig_in_hresp, + input [31:0] io_dma_ahb_sig_out_haddr, + input [2:0] io_dma_ahb_sig_out_hsize, + input [1:0] io_dma_ahb_sig_out_htrans, + input io_dma_ahb_sig_out_hwrite, + input [63:0] io_dma_ahb_sig_out_hwdata, + input io_dma_ahb_hsel, + input io_dma_ahb_hreadyin, input io_dbg_rst_l, input [30:0] io_rst_vec, input io_nmi_int, input [30:0] io_nmi_vec, output io_core_rst_l, - output [1:0] io_trace_rv_i_valid_ip, - output [31:0] io_trace_rv_i_insn_ip, - output [31:0] io_trace_rv_i_address_ip, - output [1:0] io_trace_rv_i_exception_ip, - output [4:0] io_trace_rv_i_ecause_ip, - output [1:0] io_trace_rv_i_interrupt_ip, - output [31:0] io_trace_rv_i_tval_ip, + output [1:0] io_rv_trace_pkt_rv_i_valid_ip, + output [31:0] io_rv_trace_pkt_rv_i_insn_ip, + output [31:0] io_rv_trace_pkt_rv_i_address_ip, + output [1:0] io_rv_trace_pkt_rv_i_exception_ip, + output [4:0] io_rv_trace_pkt_rv_i_ecause_ip, + output [1:0] io_rv_trace_pkt_rv_i_interrupt_ip, + output [31:0] io_rv_trace_pkt_rv_i_tval_ip, output io_dccm_clk_override, output io_icm_clk_override, output io_dec_tlu_core_ecc_disable, @@ -80560,16 +82943,16 @@ module quasar( output io_dec_tlu_perfcnt1, output io_dec_tlu_perfcnt2, output io_dec_tlu_perfcnt3, - output io_swerv_mem_wren, - output io_swerv_mem_rden, - output [15:0] io_swerv_mem_wr_addr_lo, - output [15:0] io_swerv_mem_wr_addr_hi, - output [15:0] io_swerv_mem_rd_addr_lo, - output [15:0] io_swerv_mem_rd_addr_hi, - output [38:0] io_swerv_mem_wr_data_lo, - output [38:0] io_swerv_mem_wr_data_hi, - input [38:0] io_swerv_mem_rd_data_lo, - input [38:0] io_swerv_mem_rd_data_hi, + output io_dccm_wren, + output io_dccm_rden, + output [15:0] io_dccm_wr_addr_lo, + output [15:0] io_dccm_wr_addr_hi, + output [15:0] io_dccm_rd_addr_lo, + output [15:0] io_dccm_rd_addr_hi, + output [38:0] io_dccm_wr_data_lo, + output [38:0] io_dccm_wr_data_hi, + input [38:0] io_dccm_rd_data_lo, + input [38:0] io_dccm_rd_data_hi, output [30:0] io_ic_rw_addr, output [1:0] io_ic_tag_valid, output [1:0] io_ic_wr_en, @@ -80607,797 +82990,784 @@ module quasar( input [6:0] io_dmi_reg_addr, input io_dmi_reg_wr_en, input [31:0] io_dmi_reg_wdata, + output [31:0] io_dmi_reg_rdata, input [30:0] io_extintsrc_req, input io_timer_int, input io_soft_int, input io_scan_mode ); - wire ifu_clock; // @[quasar.scala 158:19] - wire ifu_reset; // @[quasar.scala 158:19] - wire ifu_io_exu_flush_final; // @[quasar.scala 158:19] - wire [30:0] ifu_io_exu_flush_path_final; // @[quasar.scala 158:19] - wire ifu_io_free_clk; // @[quasar.scala 158:19] - wire ifu_io_active_clk; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_aln_aln_dec_dec_i0_decode_d; // @[quasar.scala 158:19] - wire [15:0] ifu_io_ifu_dec_dec_aln_aln_dec_ifu_i0_cinst; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf; // @[quasar.scala 158:19] - wire [1:0] ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_type; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_f1; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc; // @[quasar.scala 158:19] - wire [7:0] ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_index; // @[quasar.scala 158:19] - wire [7:0] ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_fghr; // @[quasar.scala 158:19] - wire [4:0] ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_btag; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_valid; // @[quasar.scala 158:19] - wire [31:0] ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr; // @[quasar.scala 158:19] - wire [30:0] ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc4; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_valid; // @[quasar.scala 158:19] - wire [11:0] ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset; // @[quasar.scala 158:19] - wire [1:0] ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error; // @[quasar.scala 158:19] - wire [30:0] ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_prett; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_way; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_aln_ifu_pmu_instr_aligned; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_flush_err_wb; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_i0_commit_cmt; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_force_halt; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_fence_i_wb; // @[quasar.scala 158:19] - wire [70:0] ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wrdata; // @[quasar.scala 158:19] - wire [16:0] ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_dicawics; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_core_ecc_disable; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_miss; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_hit; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_error; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_busy; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_trxn; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_mem_ctrl_ifu_ic_error_start; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_mem_ctrl_ifu_iccm_rd_ecc_single_err; // @[quasar.scala 158:19] - wire [70:0] ifu_io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data_valid; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_mem_ctrl_ifu_miss_state_idle; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_ifc_dec_tlu_flush_noredir_wb; // @[quasar.scala 158:19] - wire [31:0] ifu_io_ifu_dec_dec_ifc_dec_tlu_mrac_ff; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_ifc_ifu_pmu_fetch_stall; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_valid; // @[quasar.scala 158:19] - wire [1:0] ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_hist; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_error; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_way; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_middle; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_bp_dec_tlu_flush_leak_one_wb; // @[quasar.scala 158:19] - wire ifu_io_ifu_dec_dec_bp_dec_tlu_bpred_disable; // @[quasar.scala 158:19] - wire [7:0] ifu_io_exu_ifu_exu_bp_exu_i0_br_index_r; // @[quasar.scala 158:19] - wire [7:0] ifu_io_exu_ifu_exu_bp_exu_i0_br_fghr_r; // @[quasar.scala 158:19] - wire ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_misp; // @[quasar.scala 158:19] - wire ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_ataken; // @[quasar.scala 158:19] - wire ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_boffset; // @[quasar.scala 158:19] - wire ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_pc4; // @[quasar.scala 158:19] - wire [1:0] ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_hist; // @[quasar.scala 158:19] - wire [11:0] ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_toffset; // @[quasar.scala 158:19] - wire ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_pcall; // @[quasar.scala 158:19] - wire ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_pret; // @[quasar.scala 158:19] - wire ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_pja; // @[quasar.scala 158:19] - wire ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_way; // @[quasar.scala 158:19] - wire [7:0] ifu_io_exu_ifu_exu_bp_exu_mp_eghr; // @[quasar.scala 158:19] - wire [7:0] ifu_io_exu_ifu_exu_bp_exu_mp_fghr; // @[quasar.scala 158:19] - wire [7:0] ifu_io_exu_ifu_exu_bp_exu_mp_index; // @[quasar.scala 158:19] - wire [4:0] ifu_io_exu_ifu_exu_bp_exu_mp_btag; // @[quasar.scala 158:19] - wire [14:0] ifu_io_iccm_rw_addr; // @[quasar.scala 158:19] - wire ifu_io_iccm_buf_correct_ecc; // @[quasar.scala 158:19] - wire ifu_io_iccm_correction_state; // @[quasar.scala 158:19] - wire ifu_io_iccm_wren; // @[quasar.scala 158:19] - wire ifu_io_iccm_rden; // @[quasar.scala 158:19] - wire [2:0] ifu_io_iccm_wr_size; // @[quasar.scala 158:19] - wire [77:0] ifu_io_iccm_wr_data; // @[quasar.scala 158:19] - wire [63:0] ifu_io_iccm_rd_data; // @[quasar.scala 158:19] - wire [77:0] ifu_io_iccm_rd_data_ecc; // @[quasar.scala 158:19] - wire [30:0] ifu_io_ic_rw_addr; // @[quasar.scala 158:19] - wire [1:0] ifu_io_ic_tag_valid; // @[quasar.scala 158:19] - wire [1:0] ifu_io_ic_wr_en; // @[quasar.scala 158:19] - wire ifu_io_ic_rd_en; // @[quasar.scala 158:19] - wire [70:0] ifu_io_ic_wr_data_0; // @[quasar.scala 158:19] - wire [70:0] ifu_io_ic_wr_data_1; // @[quasar.scala 158:19] - wire [70:0] ifu_io_ic_debug_wr_data; // @[quasar.scala 158:19] - wire [9:0] ifu_io_ic_debug_addr; // @[quasar.scala 158:19] - wire [63:0] ifu_io_ic_rd_data; // @[quasar.scala 158:19] - wire [70:0] ifu_io_ic_debug_rd_data; // @[quasar.scala 158:19] - wire [25:0] ifu_io_ic_tag_debug_rd_data; // @[quasar.scala 158:19] - wire [1:0] ifu_io_ic_eccerr; // @[quasar.scala 158:19] - wire [1:0] ifu_io_ic_rd_hit; // @[quasar.scala 158:19] - wire ifu_io_ic_tag_perr; // @[quasar.scala 158:19] - wire ifu_io_ic_debug_rd_en; // @[quasar.scala 158:19] - wire ifu_io_ic_debug_wr_en; // @[quasar.scala 158:19] - wire ifu_io_ic_debug_tag_array; // @[quasar.scala 158:19] - wire [1:0] ifu_io_ic_debug_way; // @[quasar.scala 158:19] - wire [63:0] ifu_io_ic_premux_data; // @[quasar.scala 158:19] - wire ifu_io_ic_sel_premux_data; // @[quasar.scala 158:19] - wire ifu_io_ifu_ar_ready; // @[quasar.scala 158:19] - wire ifu_io_ifu_ar_valid; // @[quasar.scala 158:19] - wire [2:0] ifu_io_ifu_ar_bits_id; // @[quasar.scala 158:19] - wire [31:0] ifu_io_ifu_ar_bits_addr; // @[quasar.scala 158:19] - wire [3:0] ifu_io_ifu_ar_bits_region; // @[quasar.scala 158:19] - wire ifu_io_ifu_r_valid; // @[quasar.scala 158:19] - wire [2:0] ifu_io_ifu_r_bits_id; // @[quasar.scala 158:19] - wire [63:0] ifu_io_ifu_r_bits_data; // @[quasar.scala 158:19] - wire [1:0] ifu_io_ifu_r_bits_resp; // @[quasar.scala 158:19] - wire ifu_io_ifu_bus_clk_en; // @[quasar.scala 158:19] - wire ifu_io_ifu_dma_dma_ifc_dma_iccm_stall_any; // @[quasar.scala 158:19] - wire ifu_io_ifu_dma_dma_mem_ctl_dma_iccm_req; // @[quasar.scala 158:19] - wire [31:0] ifu_io_ifu_dma_dma_mem_ctl_dma_mem_addr; // @[quasar.scala 158:19] - wire [2:0] ifu_io_ifu_dma_dma_mem_ctl_dma_mem_sz; // @[quasar.scala 158:19] - wire ifu_io_ifu_dma_dma_mem_ctl_dma_mem_write; // @[quasar.scala 158:19] - wire [63:0] ifu_io_ifu_dma_dma_mem_ctl_dma_mem_wdata; // @[quasar.scala 158:19] - wire [2:0] ifu_io_ifu_dma_dma_mem_ctl_dma_mem_tag; // @[quasar.scala 158:19] - wire ifu_io_iccm_dma_ecc_error; // @[quasar.scala 158:19] - wire ifu_io_iccm_dma_rvalid; // @[quasar.scala 158:19] - wire [63:0] ifu_io_iccm_dma_rdata; // @[quasar.scala 158:19] - wire [2:0] ifu_io_iccm_dma_rtag; // @[quasar.scala 158:19] - wire ifu_io_iccm_ready; // @[quasar.scala 158:19] - wire ifu_io_iccm_dma_sb_error; // @[quasar.scala 158:19] - wire ifu_io_dec_tlu_flush_lower_wb; // @[quasar.scala 158:19] - wire ifu_io_scan_mode; // @[quasar.scala 158:19] - wire dec_clock; // @[quasar.scala 159:19] - wire dec_reset; // @[quasar.scala 159:19] - wire dec_io_free_clk; // @[quasar.scala 159:19] - wire dec_io_active_clk; // @[quasar.scala 159:19] - wire dec_io_lsu_fastint_stall_any; // @[quasar.scala 159:19] - wire dec_io_dec_pause_state_cg; // @[quasar.scala 159:19] - wire [30:0] dec_io_rst_vec; // @[quasar.scala 159:19] - wire dec_io_nmi_int; // @[quasar.scala 159:19] - wire [30:0] dec_io_nmi_vec; // @[quasar.scala 159:19] - wire dec_io_i_cpu_halt_req; // @[quasar.scala 159:19] - wire dec_io_i_cpu_run_req; // @[quasar.scala 159:19] - wire dec_io_o_cpu_halt_status; // @[quasar.scala 159:19] - wire dec_io_o_cpu_halt_ack; // @[quasar.scala 159:19] - wire dec_io_o_cpu_run_ack; // @[quasar.scala 159:19] - wire dec_io_o_debug_mode_status; // @[quasar.scala 159:19] - wire [27:0] dec_io_core_id; // @[quasar.scala 159:19] - wire dec_io_mpc_debug_halt_req; // @[quasar.scala 159:19] - wire dec_io_mpc_debug_run_req; // @[quasar.scala 159:19] - wire dec_io_mpc_reset_run_req; // @[quasar.scala 159:19] - wire dec_io_mpc_debug_halt_ack; // @[quasar.scala 159:19] - wire dec_io_mpc_debug_run_ack; // @[quasar.scala 159:19] - wire dec_io_debug_brkpt_status; // @[quasar.scala 159:19] - wire dec_io_lsu_pmu_misaligned_m; // @[quasar.scala 159:19] - wire [30:0] dec_io_lsu_fir_addr; // @[quasar.scala 159:19] - wire [1:0] dec_io_lsu_fir_error; // @[quasar.scala 159:19] - wire [3:0] dec_io_lsu_trigger_match_m; // @[quasar.scala 159:19] - wire dec_io_lsu_idle_any; // @[quasar.scala 159:19] - wire dec_io_lsu_error_pkt_r_valid; // @[quasar.scala 159:19] - wire dec_io_lsu_error_pkt_r_bits_single_ecc_error; // @[quasar.scala 159:19] - wire dec_io_lsu_error_pkt_r_bits_inst_type; // @[quasar.scala 159:19] - wire dec_io_lsu_error_pkt_r_bits_exc_type; // @[quasar.scala 159:19] - wire [3:0] dec_io_lsu_error_pkt_r_bits_mscause; // @[quasar.scala 159:19] - wire [31:0] dec_io_lsu_error_pkt_r_bits_addr; // @[quasar.scala 159:19] - wire dec_io_lsu_single_ecc_error_incr; // @[quasar.scala 159:19] - wire [31:0] dec_io_exu_div_result; // @[quasar.scala 159:19] - wire dec_io_exu_div_wren; // @[quasar.scala 159:19] - wire [31:0] dec_io_lsu_result_m; // @[quasar.scala 159:19] - wire [31:0] dec_io_lsu_result_corr_r; // @[quasar.scala 159:19] - wire dec_io_lsu_load_stall_any; // @[quasar.scala 159:19] - wire dec_io_lsu_store_stall_any; // @[quasar.scala 159:19] - wire dec_io_iccm_dma_sb_error; // @[quasar.scala 159:19] - wire dec_io_exu_flush_final; // @[quasar.scala 159:19] - wire dec_io_timer_int; // @[quasar.scala 159:19] - wire dec_io_soft_int; // @[quasar.scala 159:19] - wire dec_io_dbg_halt_req; // @[quasar.scala 159:19] - wire dec_io_dbg_resume_req; // @[quasar.scala 159:19] - wire dec_io_dec_tlu_dbg_halted; // @[quasar.scala 159:19] - wire dec_io_dec_tlu_debug_mode; // @[quasar.scala 159:19] - wire dec_io_dec_tlu_resume_ack; // @[quasar.scala 159:19] - wire dec_io_dec_tlu_mpc_halted_only; // @[quasar.scala 159:19] - wire [31:0] dec_io_dec_dbg_rddata; // @[quasar.scala 159:19] - wire dec_io_dec_dbg_cmd_done; // @[quasar.scala 159:19] - wire dec_io_dec_dbg_cmd_fail; // @[quasar.scala 159:19] - wire dec_io_trigger_pkt_any_0_select; // @[quasar.scala 159:19] - wire dec_io_trigger_pkt_any_0_match_pkt; // @[quasar.scala 159:19] - wire dec_io_trigger_pkt_any_0_store; // @[quasar.scala 159:19] - wire dec_io_trigger_pkt_any_0_load; // @[quasar.scala 159:19] - wire [31:0] dec_io_trigger_pkt_any_0_tdata2; // @[quasar.scala 159:19] - wire dec_io_trigger_pkt_any_1_select; // @[quasar.scala 159:19] - wire dec_io_trigger_pkt_any_1_match_pkt; // @[quasar.scala 159:19] - wire dec_io_trigger_pkt_any_1_store; // @[quasar.scala 159:19] - wire dec_io_trigger_pkt_any_1_load; // @[quasar.scala 159:19] - wire [31:0] dec_io_trigger_pkt_any_1_tdata2; // @[quasar.scala 159:19] - wire dec_io_trigger_pkt_any_2_select; // @[quasar.scala 159:19] - wire dec_io_trigger_pkt_any_2_match_pkt; // @[quasar.scala 159:19] - wire dec_io_trigger_pkt_any_2_store; // @[quasar.scala 159:19] - wire dec_io_trigger_pkt_any_2_load; // @[quasar.scala 159:19] - wire [31:0] dec_io_trigger_pkt_any_2_tdata2; // @[quasar.scala 159:19] - wire dec_io_trigger_pkt_any_3_select; // @[quasar.scala 159:19] - wire dec_io_trigger_pkt_any_3_match_pkt; // @[quasar.scala 159:19] - wire dec_io_trigger_pkt_any_3_store; // @[quasar.scala 159:19] - wire dec_io_trigger_pkt_any_3_load; // @[quasar.scala 159:19] - wire [31:0] dec_io_trigger_pkt_any_3_tdata2; // @[quasar.scala 159:19] - wire dec_io_exu_i0_br_way_r; // @[quasar.scala 159:19] - wire dec_io_lsu_p_valid; // @[quasar.scala 159:19] - wire dec_io_lsu_p_bits_fast_int; // @[quasar.scala 159:19] - wire dec_io_lsu_p_bits_by; // @[quasar.scala 159:19] - wire dec_io_lsu_p_bits_half; // @[quasar.scala 159:19] - wire dec_io_lsu_p_bits_word; // @[quasar.scala 159:19] - wire dec_io_lsu_p_bits_load; // @[quasar.scala 159:19] - wire dec_io_lsu_p_bits_store; // @[quasar.scala 159:19] - wire dec_io_lsu_p_bits_unsign; // @[quasar.scala 159:19] - wire dec_io_lsu_p_bits_store_data_bypass_d; // @[quasar.scala 159:19] - wire dec_io_lsu_p_bits_load_ldst_bypass_d; // @[quasar.scala 159:19] - wire [11:0] dec_io_dec_lsu_offset_d; // @[quasar.scala 159:19] - wire dec_io_dec_tlu_i0_kill_writeb_r; // @[quasar.scala 159:19] - wire dec_io_dec_tlu_perfcnt0; // @[quasar.scala 159:19] - wire dec_io_dec_tlu_perfcnt1; // @[quasar.scala 159:19] - wire dec_io_dec_tlu_perfcnt2; // @[quasar.scala 159:19] - wire dec_io_dec_tlu_perfcnt3; // @[quasar.scala 159:19] - wire dec_io_dec_lsu_valid_raw_d; // @[quasar.scala 159:19] - wire [1:0] dec_io_rv_trace_pkt_rv_i_valid_ip; // @[quasar.scala 159:19] - wire [31:0] dec_io_rv_trace_pkt_rv_i_insn_ip; // @[quasar.scala 159:19] - wire [31:0] dec_io_rv_trace_pkt_rv_i_address_ip; // @[quasar.scala 159:19] - wire [1:0] dec_io_rv_trace_pkt_rv_i_exception_ip; // @[quasar.scala 159:19] - wire [4:0] dec_io_rv_trace_pkt_rv_i_ecause_ip; // @[quasar.scala 159:19] - wire [1:0] dec_io_rv_trace_pkt_rv_i_interrupt_ip; // @[quasar.scala 159:19] - wire [31:0] dec_io_rv_trace_pkt_rv_i_tval_ip; // @[quasar.scala 159:19] - wire dec_io_dec_tlu_misc_clk_override; // @[quasar.scala 159:19] - wire dec_io_dec_tlu_lsu_clk_override; // @[quasar.scala 159:19] - wire dec_io_dec_tlu_pic_clk_override; // @[quasar.scala 159:19] - wire dec_io_dec_tlu_dccm_clk_override; // @[quasar.scala 159:19] - wire dec_io_dec_tlu_icm_clk_override; // @[quasar.scala 159:19] - wire dec_io_scan_mode; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_aln_aln_dec_dec_i0_decode_d; // @[quasar.scala 159:19] - wire [15:0] dec_io_ifu_dec_dec_aln_aln_dec_ifu_i0_cinst; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf; // @[quasar.scala 159:19] - wire [1:0] dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_type; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_f1; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc; // @[quasar.scala 159:19] - wire [7:0] dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_index; // @[quasar.scala 159:19] - wire [7:0] dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_fghr; // @[quasar.scala 159:19] - wire [4:0] dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_btag; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_valid; // @[quasar.scala 159:19] - wire [31:0] dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr; // @[quasar.scala 159:19] - wire [30:0] dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc4; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_valid; // @[quasar.scala 159:19] - wire [11:0] dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset; // @[quasar.scala 159:19] - wire [1:0] dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error; // @[quasar.scala 159:19] - wire [30:0] dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_prett; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_way; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_aln_ifu_pmu_instr_aligned; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_flush_err_wb; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_i0_commit_cmt; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_force_halt; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_fence_i_wb; // @[quasar.scala 159:19] - wire [70:0] dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wrdata; // @[quasar.scala 159:19] - wire [16:0] dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_dicawics; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_core_ecc_disable; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_miss; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_hit; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_error; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_busy; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_trxn; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_mem_ctrl_ifu_ic_error_start; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_mem_ctrl_ifu_iccm_rd_ecc_single_err; // @[quasar.scala 159:19] - wire [70:0] dec_io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data_valid; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_mem_ctrl_ifu_miss_state_idle; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_ifc_dec_tlu_flush_noredir_wb; // @[quasar.scala 159:19] - wire [31:0] dec_io_ifu_dec_dec_ifc_dec_tlu_mrac_ff; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_ifc_ifu_pmu_fetch_stall; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_valid; // @[quasar.scala 159:19] - wire [1:0] dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_hist; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_error; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_way; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_middle; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_bp_dec_tlu_flush_leak_one_wb; // @[quasar.scala 159:19] - wire dec_io_ifu_dec_dec_bp_dec_tlu_bpred_disable; // @[quasar.scala 159:19] - wire dec_io_dec_exu_dec_alu_dec_i0_alu_decode_d; // @[quasar.scala 159:19] - wire dec_io_dec_exu_dec_alu_dec_csr_ren_d; // @[quasar.scala 159:19] - wire [11:0] dec_io_dec_exu_dec_alu_dec_i0_br_immed_d; // @[quasar.scala 159:19] - wire [30:0] dec_io_dec_exu_dec_alu_exu_i0_pc_x; // @[quasar.scala 159:19] - wire dec_io_dec_exu_dec_div_div_p_valid; // @[quasar.scala 159:19] - wire dec_io_dec_exu_dec_div_div_p_bits_unsign; // @[quasar.scala 159:19] - wire dec_io_dec_exu_dec_div_div_p_bits_rem; // @[quasar.scala 159:19] - wire dec_io_dec_exu_dec_div_dec_div_cancel; // @[quasar.scala 159:19] - wire [1:0] dec_io_dec_exu_decode_exu_dec_data_en; // @[quasar.scala 159:19] - wire [1:0] dec_io_dec_exu_decode_exu_dec_ctl_en; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_i0_ap_land; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_i0_ap_lor; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_i0_ap_lxor; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_i0_ap_sll; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_i0_ap_srl; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_i0_ap_sra; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_i0_ap_beq; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_i0_ap_bne; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_i0_ap_blt; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_i0_ap_bge; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_i0_ap_add; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_i0_ap_sub; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_i0_ap_slt; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_i0_ap_unsign; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_i0_ap_jal; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_i0_ap_predict_t; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_i0_ap_predict_nt; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_i0_ap_csr_write; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_i0_ap_csr_imm; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_valid; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pc4; // @[quasar.scala 159:19] - wire [1:0] dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_hist; // @[quasar.scala 159:19] - wire [11:0] dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_toffset; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_error; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_start_error; // @[quasar.scala 159:19] - wire [30:0] dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_prett; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pcall; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pret; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pja; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_way; // @[quasar.scala 159:19] - wire [7:0] dec_io_dec_exu_decode_exu_i0_predict_fghr_d; // @[quasar.scala 159:19] - wire [7:0] dec_io_dec_exu_decode_exu_i0_predict_index_d; // @[quasar.scala 159:19] - wire [4:0] dec_io_dec_exu_decode_exu_i0_predict_btag_d; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_dec_i0_rs1_en_d; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_dec_i0_rs2_en_d; // @[quasar.scala 159:19] - wire [31:0] dec_io_dec_exu_decode_exu_dec_i0_immed_d; // @[quasar.scala 159:19] - wire [31:0] dec_io_dec_exu_decode_exu_dec_i0_rs1_bypass_data_d; // @[quasar.scala 159:19] - wire [31:0] dec_io_dec_exu_decode_exu_dec_i0_rs2_bypass_data_d; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_dec_i0_select_pc_d; // @[quasar.scala 159:19] - wire [1:0] dec_io_dec_exu_decode_exu_dec_i0_rs1_bypass_en_d; // @[quasar.scala 159:19] - wire [1:0] dec_io_dec_exu_decode_exu_dec_i0_rs2_bypass_en_d; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_mul_p_valid; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_mul_p_bits_rs1_sign; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_mul_p_bits_rs2_sign; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_mul_p_bits_low; // @[quasar.scala 159:19] - wire [30:0] dec_io_dec_exu_decode_exu_pred_correct_npc_x; // @[quasar.scala 159:19] - wire dec_io_dec_exu_decode_exu_dec_extint_stall; // @[quasar.scala 159:19] - wire [31:0] dec_io_dec_exu_decode_exu_exu_i0_result_x; // @[quasar.scala 159:19] - wire [31:0] dec_io_dec_exu_decode_exu_exu_csr_rs1_x; // @[quasar.scala 159:19] - wire [29:0] dec_io_dec_exu_tlu_exu_dec_tlu_meihap; // @[quasar.scala 159:19] - wire dec_io_dec_exu_tlu_exu_dec_tlu_flush_lower_r; // @[quasar.scala 159:19] - wire [30:0] dec_io_dec_exu_tlu_exu_dec_tlu_flush_path_r; // @[quasar.scala 159:19] - wire [1:0] dec_io_dec_exu_tlu_exu_exu_i0_br_hist_r; // @[quasar.scala 159:19] - wire dec_io_dec_exu_tlu_exu_exu_i0_br_error_r; // @[quasar.scala 159:19] - wire dec_io_dec_exu_tlu_exu_exu_i0_br_start_error_r; // @[quasar.scala 159:19] - wire dec_io_dec_exu_tlu_exu_exu_i0_br_valid_r; // @[quasar.scala 159:19] - wire dec_io_dec_exu_tlu_exu_exu_i0_br_mp_r; // @[quasar.scala 159:19] - wire dec_io_dec_exu_tlu_exu_exu_i0_br_middle_r; // @[quasar.scala 159:19] - wire dec_io_dec_exu_tlu_exu_exu_pmu_i0_br_misp; // @[quasar.scala 159:19] - wire dec_io_dec_exu_tlu_exu_exu_pmu_i0_br_ataken; // @[quasar.scala 159:19] - wire dec_io_dec_exu_tlu_exu_exu_pmu_i0_pc4; // @[quasar.scala 159:19] - wire [30:0] dec_io_dec_exu_tlu_exu_exu_npc_r; // @[quasar.scala 159:19] - wire [30:0] dec_io_dec_exu_ib_exu_dec_i0_pc_d; // @[quasar.scala 159:19] - wire dec_io_dec_exu_ib_exu_dec_debug_wdata_rs1_d; // @[quasar.scala 159:19] - wire [31:0] dec_io_dec_exu_gpr_exu_gpr_i0_rs1_d; // @[quasar.scala 159:19] - wire [31:0] dec_io_dec_exu_gpr_exu_gpr_i0_rs2_d; // @[quasar.scala 159:19] - wire dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_trxn; // @[quasar.scala 159:19] - wire dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_misaligned; // @[quasar.scala 159:19] - wire dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_error; // @[quasar.scala 159:19] - wire dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy; // @[quasar.scala 159:19] - wire dec_io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[quasar.scala 159:19] - wire dec_io_lsu_dec_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[quasar.scala 159:19] - wire dec_io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[quasar.scala 159:19] - wire dec_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any; // @[quasar.scala 159:19] - wire dec_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any; // @[quasar.scala 159:19] - wire [31:0] dec_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_addr_any; // @[quasar.scala 159:19] - wire dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_valid_m; // @[quasar.scala 159:19] - wire [1:0] dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_tag_m; // @[quasar.scala 159:19] - wire dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_r; // @[quasar.scala 159:19] - wire [1:0] dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_tag_r; // @[quasar.scala 159:19] - wire dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_valid; // @[quasar.scala 159:19] - wire dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_error; // @[quasar.scala 159:19] - wire [1:0] dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag; // @[quasar.scala 159:19] - wire [31:0] dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data; // @[quasar.scala 159:19] - wire dec_io_lsu_tlu_lsu_pmu_load_external_m; // @[quasar.scala 159:19] - wire dec_io_lsu_tlu_lsu_pmu_store_external_m; // @[quasar.scala 159:19] - wire dec_io_dec_dbg_dbg_ib_dbg_cmd_valid; // @[quasar.scala 159:19] - wire dec_io_dec_dbg_dbg_ib_dbg_cmd_write; // @[quasar.scala 159:19] - wire [1:0] dec_io_dec_dbg_dbg_ib_dbg_cmd_type; // @[quasar.scala 159:19] - wire [31:0] dec_io_dec_dbg_dbg_ib_dbg_cmd_addr; // @[quasar.scala 159:19] - wire [1:0] dec_io_dec_dbg_dbg_dctl_dbg_cmd_wrdata; // @[quasar.scala 159:19] - wire dec_io_dec_dma_dctl_dma_dma_dccm_stall_any; // @[quasar.scala 159:19] - wire dec_io_dec_dma_tlu_dma_dma_pmu_dccm_read; // @[quasar.scala 159:19] - wire dec_io_dec_dma_tlu_dma_dma_pmu_dccm_write; // @[quasar.scala 159:19] - wire dec_io_dec_dma_tlu_dma_dma_pmu_any_read; // @[quasar.scala 159:19] - wire dec_io_dec_dma_tlu_dma_dma_pmu_any_write; // @[quasar.scala 159:19] - wire [2:0] dec_io_dec_dma_tlu_dma_dec_tlu_dma_qos_prty; // @[quasar.scala 159:19] - wire dec_io_dec_dma_tlu_dma_dma_dccm_stall_any; // @[quasar.scala 159:19] - wire dec_io_dec_dma_tlu_dma_dma_iccm_stall_any; // @[quasar.scala 159:19] - wire [7:0] dec_io_dec_pic_pic_claimid; // @[quasar.scala 159:19] - wire [3:0] dec_io_dec_pic_pic_pl; // @[quasar.scala 159:19] - wire dec_io_dec_pic_mhwakeup; // @[quasar.scala 159:19] - wire [3:0] dec_io_dec_pic_dec_tlu_meicurpl; // @[quasar.scala 159:19] - wire [3:0] dec_io_dec_pic_dec_tlu_meipt; // @[quasar.scala 159:19] - wire dec_io_dec_pic_mexintpend; // @[quasar.scala 159:19] - wire dbg_clock; // @[quasar.scala 160:19] - wire dbg_reset; // @[quasar.scala 160:19] - wire [1:0] dbg_io_dbg_cmd_size; // @[quasar.scala 160:19] - wire dbg_io_dbg_core_rst_l; // @[quasar.scala 160:19] - wire [31:0] dbg_io_core_dbg_rddata; // @[quasar.scala 160:19] - wire dbg_io_core_dbg_cmd_done; // @[quasar.scala 160:19] - wire dbg_io_core_dbg_cmd_fail; // @[quasar.scala 160:19] - wire dbg_io_dbg_halt_req; // @[quasar.scala 160:19] - wire dbg_io_dbg_resume_req; // @[quasar.scala 160:19] - wire dbg_io_dec_tlu_debug_mode; // @[quasar.scala 160:19] - wire dbg_io_dec_tlu_dbg_halted; // @[quasar.scala 160:19] - wire dbg_io_dec_tlu_mpc_halted_only; // @[quasar.scala 160:19] - wire dbg_io_dec_tlu_resume_ack; // @[quasar.scala 160:19] - wire dbg_io_dmi_reg_en; // @[quasar.scala 160:19] - wire [6:0] dbg_io_dmi_reg_addr; // @[quasar.scala 160:19] - wire dbg_io_dmi_reg_wr_en; // @[quasar.scala 160:19] - wire [31:0] dbg_io_dmi_reg_wdata; // @[quasar.scala 160:19] - wire dbg_io_sb_axi_aw_ready; // @[quasar.scala 160:19] - wire dbg_io_sb_axi_aw_valid; // @[quasar.scala 160:19] - wire [31:0] dbg_io_sb_axi_aw_bits_addr; // @[quasar.scala 160:19] - wire [3:0] dbg_io_sb_axi_aw_bits_region; // @[quasar.scala 160:19] - wire [2:0] dbg_io_sb_axi_aw_bits_size; // @[quasar.scala 160:19] - wire dbg_io_sb_axi_w_ready; // @[quasar.scala 160:19] - wire dbg_io_sb_axi_w_valid; // @[quasar.scala 160:19] - wire [63:0] dbg_io_sb_axi_w_bits_data; // @[quasar.scala 160:19] - wire [7:0] dbg_io_sb_axi_w_bits_strb; // @[quasar.scala 160:19] - wire dbg_io_sb_axi_b_ready; // @[quasar.scala 160:19] - wire dbg_io_sb_axi_b_valid; // @[quasar.scala 160:19] - wire [1:0] dbg_io_sb_axi_b_bits_resp; // @[quasar.scala 160:19] - wire dbg_io_sb_axi_ar_ready; // @[quasar.scala 160:19] - wire dbg_io_sb_axi_ar_valid; // @[quasar.scala 160:19] - wire [31:0] dbg_io_sb_axi_ar_bits_addr; // @[quasar.scala 160:19] - wire [3:0] dbg_io_sb_axi_ar_bits_region; // @[quasar.scala 160:19] - wire [2:0] dbg_io_sb_axi_ar_bits_size; // @[quasar.scala 160:19] - wire dbg_io_sb_axi_r_ready; // @[quasar.scala 160:19] - wire dbg_io_sb_axi_r_valid; // @[quasar.scala 160:19] - wire [63:0] dbg_io_sb_axi_r_bits_data; // @[quasar.scala 160:19] - wire [1:0] dbg_io_sb_axi_r_bits_resp; // @[quasar.scala 160:19] - wire dbg_io_dbg_dec_dbg_ib_dbg_cmd_valid; // @[quasar.scala 160:19] - wire dbg_io_dbg_dec_dbg_ib_dbg_cmd_write; // @[quasar.scala 160:19] - wire [1:0] dbg_io_dbg_dec_dbg_ib_dbg_cmd_type; // @[quasar.scala 160:19] - wire [31:0] dbg_io_dbg_dec_dbg_ib_dbg_cmd_addr; // @[quasar.scala 160:19] - wire [1:0] dbg_io_dbg_dec_dbg_dctl_dbg_cmd_wrdata; // @[quasar.scala 160:19] - wire dbg_io_dbg_dma_dbg_ib_dbg_cmd_valid; // @[quasar.scala 160:19] - wire dbg_io_dbg_dma_dbg_ib_dbg_cmd_write; // @[quasar.scala 160:19] - wire [1:0] dbg_io_dbg_dma_dbg_ib_dbg_cmd_type; // @[quasar.scala 160:19] - wire [31:0] dbg_io_dbg_dma_dbg_ib_dbg_cmd_addr; // @[quasar.scala 160:19] - wire [1:0] dbg_io_dbg_dma_dbg_dctl_dbg_cmd_wrdata; // @[quasar.scala 160:19] - wire dbg_io_dbg_dma_io_dbg_dma_bubble; // @[quasar.scala 160:19] - wire dbg_io_dbg_dma_io_dma_dbg_ready; // @[quasar.scala 160:19] - wire dbg_io_dbg_bus_clk_en; // @[quasar.scala 160:19] - wire dbg_io_dbg_rst_l; // @[quasar.scala 160:19] - wire dbg_io_clk_override; // @[quasar.scala 160:19] - wire dbg_io_scan_mode; // @[quasar.scala 160:19] - wire exu_clock; // @[quasar.scala 161:19] - wire exu_reset; // @[quasar.scala 161:19] - wire exu_io_scan_mode; // @[quasar.scala 161:19] - wire exu_io_dec_exu_dec_alu_dec_i0_alu_decode_d; // @[quasar.scala 161:19] - wire exu_io_dec_exu_dec_alu_dec_csr_ren_d; // @[quasar.scala 161:19] - wire [11:0] exu_io_dec_exu_dec_alu_dec_i0_br_immed_d; // @[quasar.scala 161:19] - wire [30:0] exu_io_dec_exu_dec_alu_exu_i0_pc_x; // @[quasar.scala 161:19] - wire exu_io_dec_exu_dec_div_div_p_valid; // @[quasar.scala 161:19] - wire exu_io_dec_exu_dec_div_div_p_bits_unsign; // @[quasar.scala 161:19] - wire exu_io_dec_exu_dec_div_div_p_bits_rem; // @[quasar.scala 161:19] - wire exu_io_dec_exu_dec_div_dec_div_cancel; // @[quasar.scala 161:19] - wire [1:0] exu_io_dec_exu_decode_exu_dec_data_en; // @[quasar.scala 161:19] - wire [1:0] exu_io_dec_exu_decode_exu_dec_ctl_en; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_i0_ap_land; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_i0_ap_lor; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_i0_ap_lxor; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_i0_ap_sll; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_i0_ap_srl; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_i0_ap_sra; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_i0_ap_beq; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_i0_ap_bne; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_i0_ap_blt; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_i0_ap_bge; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_i0_ap_add; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_i0_ap_sub; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_i0_ap_slt; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_i0_ap_unsign; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_i0_ap_jal; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_i0_ap_predict_t; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_i0_ap_predict_nt; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_i0_ap_csr_write; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_i0_ap_csr_imm; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_valid; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pc4; // @[quasar.scala 161:19] - wire [1:0] exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_hist; // @[quasar.scala 161:19] - wire [11:0] exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_toffset; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_error; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_start_error; // @[quasar.scala 161:19] - wire [30:0] exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_prett; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pcall; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pret; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pja; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_way; // @[quasar.scala 161:19] - wire [7:0] exu_io_dec_exu_decode_exu_i0_predict_fghr_d; // @[quasar.scala 161:19] - wire [7:0] exu_io_dec_exu_decode_exu_i0_predict_index_d; // @[quasar.scala 161:19] - wire [4:0] exu_io_dec_exu_decode_exu_i0_predict_btag_d; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_dec_i0_rs1_en_d; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_dec_i0_rs2_en_d; // @[quasar.scala 161:19] - wire [31:0] exu_io_dec_exu_decode_exu_dec_i0_immed_d; // @[quasar.scala 161:19] - wire [31:0] exu_io_dec_exu_decode_exu_dec_i0_rs1_bypass_data_d; // @[quasar.scala 161:19] - wire [31:0] exu_io_dec_exu_decode_exu_dec_i0_rs2_bypass_data_d; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_dec_i0_select_pc_d; // @[quasar.scala 161:19] - wire [1:0] exu_io_dec_exu_decode_exu_dec_i0_rs1_bypass_en_d; // @[quasar.scala 161:19] - wire [1:0] exu_io_dec_exu_decode_exu_dec_i0_rs2_bypass_en_d; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_mul_p_valid; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_mul_p_bits_rs1_sign; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_mul_p_bits_rs2_sign; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_mul_p_bits_low; // @[quasar.scala 161:19] - wire [30:0] exu_io_dec_exu_decode_exu_pred_correct_npc_x; // @[quasar.scala 161:19] - wire exu_io_dec_exu_decode_exu_dec_extint_stall; // @[quasar.scala 161:19] - wire [31:0] exu_io_dec_exu_decode_exu_exu_i0_result_x; // @[quasar.scala 161:19] - wire [31:0] exu_io_dec_exu_decode_exu_exu_csr_rs1_x; // @[quasar.scala 161:19] - wire [29:0] exu_io_dec_exu_tlu_exu_dec_tlu_meihap; // @[quasar.scala 161:19] - wire exu_io_dec_exu_tlu_exu_dec_tlu_flush_lower_r; // @[quasar.scala 161:19] - wire [30:0] exu_io_dec_exu_tlu_exu_dec_tlu_flush_path_r; // @[quasar.scala 161:19] - wire [1:0] exu_io_dec_exu_tlu_exu_exu_i0_br_hist_r; // @[quasar.scala 161:19] - wire exu_io_dec_exu_tlu_exu_exu_i0_br_error_r; // @[quasar.scala 161:19] - wire exu_io_dec_exu_tlu_exu_exu_i0_br_start_error_r; // @[quasar.scala 161:19] - wire [7:0] exu_io_dec_exu_tlu_exu_exu_i0_br_index_r; // @[quasar.scala 161:19] - wire exu_io_dec_exu_tlu_exu_exu_i0_br_valid_r; // @[quasar.scala 161:19] - wire exu_io_dec_exu_tlu_exu_exu_i0_br_mp_r; // @[quasar.scala 161:19] - wire exu_io_dec_exu_tlu_exu_exu_i0_br_middle_r; // @[quasar.scala 161:19] - wire exu_io_dec_exu_tlu_exu_exu_pmu_i0_br_misp; // @[quasar.scala 161:19] - wire exu_io_dec_exu_tlu_exu_exu_pmu_i0_br_ataken; // @[quasar.scala 161:19] - wire exu_io_dec_exu_tlu_exu_exu_pmu_i0_pc4; // @[quasar.scala 161:19] - wire [30:0] exu_io_dec_exu_tlu_exu_exu_npc_r; // @[quasar.scala 161:19] - wire [30:0] exu_io_dec_exu_ib_exu_dec_i0_pc_d; // @[quasar.scala 161:19] - wire exu_io_dec_exu_ib_exu_dec_debug_wdata_rs1_d; // @[quasar.scala 161:19] - wire [31:0] exu_io_dec_exu_gpr_exu_gpr_i0_rs1_d; // @[quasar.scala 161:19] - wire [31:0] exu_io_dec_exu_gpr_exu_gpr_i0_rs2_d; // @[quasar.scala 161:19] - wire [7:0] exu_io_exu_bp_exu_i0_br_fghr_r; // @[quasar.scala 161:19] - wire exu_io_exu_bp_exu_i0_br_way_r; // @[quasar.scala 161:19] - wire exu_io_exu_bp_exu_mp_pkt_bits_misp; // @[quasar.scala 161:19] - wire exu_io_exu_bp_exu_mp_pkt_bits_ataken; // @[quasar.scala 161:19] - wire exu_io_exu_bp_exu_mp_pkt_bits_boffset; // @[quasar.scala 161:19] - wire exu_io_exu_bp_exu_mp_pkt_bits_pc4; // @[quasar.scala 161:19] - wire [1:0] exu_io_exu_bp_exu_mp_pkt_bits_hist; // @[quasar.scala 161:19] - wire [11:0] exu_io_exu_bp_exu_mp_pkt_bits_toffset; // @[quasar.scala 161:19] - wire exu_io_exu_bp_exu_mp_pkt_bits_pcall; // @[quasar.scala 161:19] - wire exu_io_exu_bp_exu_mp_pkt_bits_pret; // @[quasar.scala 161:19] - wire exu_io_exu_bp_exu_mp_pkt_bits_pja; // @[quasar.scala 161:19] - wire exu_io_exu_bp_exu_mp_pkt_bits_way; // @[quasar.scala 161:19] - wire [7:0] exu_io_exu_bp_exu_mp_eghr; // @[quasar.scala 161:19] - wire [7:0] exu_io_exu_bp_exu_mp_fghr; // @[quasar.scala 161:19] - wire [7:0] exu_io_exu_bp_exu_mp_index; // @[quasar.scala 161:19] - wire [4:0] exu_io_exu_bp_exu_mp_btag; // @[quasar.scala 161:19] - wire exu_io_exu_flush_final; // @[quasar.scala 161:19] - wire [31:0] exu_io_exu_div_result; // @[quasar.scala 161:19] - wire exu_io_exu_div_wren; // @[quasar.scala 161:19] - wire [31:0] exu_io_dbg_cmd_wrdata; // @[quasar.scala 161:19] - wire [31:0] exu_io_lsu_exu_exu_lsu_rs1_d; // @[quasar.scala 161:19] - wire [31:0] exu_io_lsu_exu_exu_lsu_rs2_d; // @[quasar.scala 161:19] - wire [30:0] exu_io_exu_flush_path_final; // @[quasar.scala 161:19] - wire lsu_clock; // @[quasar.scala 162:19] - wire lsu_reset; // @[quasar.scala 162:19] - wire lsu_io_clk_override; // @[quasar.scala 162:19] - wire lsu_io_lsu_dma_dma_lsc_ctl_dma_dccm_req; // @[quasar.scala 162:19] - wire [31:0] lsu_io_lsu_dma_dma_lsc_ctl_dma_mem_addr; // @[quasar.scala 162:19] - wire [2:0] lsu_io_lsu_dma_dma_lsc_ctl_dma_mem_sz; // @[quasar.scala 162:19] - wire lsu_io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[quasar.scala 162:19] - wire [63:0] lsu_io_lsu_dma_dma_lsc_ctl_dma_mem_wdata; // @[quasar.scala 162:19] - wire [31:0] lsu_io_lsu_dma_dma_dccm_ctl_dma_mem_addr; // @[quasar.scala 162:19] - wire [63:0] lsu_io_lsu_dma_dma_dccm_ctl_dma_mem_wdata; // @[quasar.scala 162:19] - wire lsu_io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid; // @[quasar.scala 162:19] - wire lsu_io_lsu_dma_dma_dccm_ctl_dccm_dma_ecc_error; // @[quasar.scala 162:19] - wire [2:0] lsu_io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag; // @[quasar.scala 162:19] - wire [63:0] lsu_io_lsu_dma_dma_dccm_ctl_dccm_dma_rdata; // @[quasar.scala 162:19] - wire lsu_io_lsu_dma_dccm_ready; // @[quasar.scala 162:19] - wire [2:0] lsu_io_lsu_dma_dma_mem_tag; // @[quasar.scala 162:19] - wire lsu_io_lsu_pic_picm_wren; // @[quasar.scala 162:19] - wire lsu_io_lsu_pic_picm_rden; // @[quasar.scala 162:19] - wire lsu_io_lsu_pic_picm_mken; // @[quasar.scala 162:19] - wire [31:0] lsu_io_lsu_pic_picm_rdaddr; // @[quasar.scala 162:19] - wire [31:0] lsu_io_lsu_pic_picm_wraddr; // @[quasar.scala 162:19] - wire [31:0] lsu_io_lsu_pic_picm_wr_data; // @[quasar.scala 162:19] - wire [31:0] lsu_io_lsu_pic_picm_rd_data; // @[quasar.scala 162:19] - wire [31:0] lsu_io_lsu_exu_exu_lsu_rs1_d; // @[quasar.scala 162:19] - wire [31:0] lsu_io_lsu_exu_exu_lsu_rs2_d; // @[quasar.scala 162:19] - wire lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_trxn; // @[quasar.scala 162:19] - wire lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_misaligned; // @[quasar.scala 162:19] - wire lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_error; // @[quasar.scala 162:19] - wire lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy; // @[quasar.scala 162:19] - wire lsu_io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[quasar.scala 162:19] - wire lsu_io_lsu_dec_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[quasar.scala 162:19] - wire lsu_io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[quasar.scala 162:19] - wire lsu_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any; // @[quasar.scala 162:19] - wire lsu_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any; // @[quasar.scala 162:19] - wire [31:0] lsu_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_addr_any; // @[quasar.scala 162:19] - wire lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_valid_m; // @[quasar.scala 162:19] - wire [1:0] lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_tag_m; // @[quasar.scala 162:19] - wire lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_r; // @[quasar.scala 162:19] - wire [1:0] lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_tag_r; // @[quasar.scala 162:19] - wire lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_valid; // @[quasar.scala 162:19] - wire lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_error; // @[quasar.scala 162:19] - wire [1:0] lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag; // @[quasar.scala 162:19] - wire [31:0] lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data; // @[quasar.scala 162:19] - wire lsu_io_dccm_wren; // @[quasar.scala 162:19] - wire lsu_io_dccm_rden; // @[quasar.scala 162:19] - wire [15:0] lsu_io_dccm_wr_addr_lo; // @[quasar.scala 162:19] - wire [15:0] lsu_io_dccm_wr_addr_hi; // @[quasar.scala 162:19] - wire [15:0] lsu_io_dccm_rd_addr_lo; // @[quasar.scala 162:19] - wire [15:0] lsu_io_dccm_rd_addr_hi; // @[quasar.scala 162:19] - wire [38:0] lsu_io_dccm_wr_data_lo; // @[quasar.scala 162:19] - wire [38:0] lsu_io_dccm_wr_data_hi; // @[quasar.scala 162:19] - wire [38:0] lsu_io_dccm_rd_data_lo; // @[quasar.scala 162:19] - wire [38:0] lsu_io_dccm_rd_data_hi; // @[quasar.scala 162:19] - wire lsu_io_lsu_tlu_lsu_pmu_load_external_m; // @[quasar.scala 162:19] - wire lsu_io_lsu_tlu_lsu_pmu_store_external_m; // @[quasar.scala 162:19] - wire lsu_io_axi_aw_ready; // @[quasar.scala 162:19] - wire lsu_io_axi_aw_valid; // @[quasar.scala 162:19] - wire [2:0] lsu_io_axi_aw_bits_id; // @[quasar.scala 162:19] - wire [31:0] lsu_io_axi_aw_bits_addr; // @[quasar.scala 162:19] - wire [3:0] lsu_io_axi_aw_bits_region; // @[quasar.scala 162:19] - wire [2:0] lsu_io_axi_aw_bits_size; // @[quasar.scala 162:19] - wire [3:0] lsu_io_axi_aw_bits_cache; // @[quasar.scala 162:19] - wire lsu_io_axi_w_ready; // @[quasar.scala 162:19] - wire lsu_io_axi_w_valid; // @[quasar.scala 162:19] - wire [63:0] lsu_io_axi_w_bits_data; // @[quasar.scala 162:19] - wire [7:0] lsu_io_axi_w_bits_strb; // @[quasar.scala 162:19] - wire lsu_io_axi_b_valid; // @[quasar.scala 162:19] - wire [1:0] lsu_io_axi_b_bits_resp; // @[quasar.scala 162:19] - wire [2:0] lsu_io_axi_b_bits_id; // @[quasar.scala 162:19] - wire lsu_io_axi_ar_ready; // @[quasar.scala 162:19] - wire lsu_io_axi_ar_valid; // @[quasar.scala 162:19] - wire [2:0] lsu_io_axi_ar_bits_id; // @[quasar.scala 162:19] - wire [31:0] lsu_io_axi_ar_bits_addr; // @[quasar.scala 162:19] - wire [3:0] lsu_io_axi_ar_bits_region; // @[quasar.scala 162:19] - wire [2:0] lsu_io_axi_ar_bits_size; // @[quasar.scala 162:19] - wire [3:0] lsu_io_axi_ar_bits_cache; // @[quasar.scala 162:19] - wire lsu_io_axi_r_valid; // @[quasar.scala 162:19] - wire [2:0] lsu_io_axi_r_bits_id; // @[quasar.scala 162:19] - wire [63:0] lsu_io_axi_r_bits_data; // @[quasar.scala 162:19] - wire [1:0] lsu_io_axi_r_bits_resp; // @[quasar.scala 162:19] - wire lsu_io_dec_tlu_flush_lower_r; // @[quasar.scala 162:19] - wire lsu_io_dec_tlu_i0_kill_writeb_r; // @[quasar.scala 162:19] - wire lsu_io_dec_tlu_force_halt; // @[quasar.scala 162:19] - wire lsu_io_dec_tlu_core_ecc_disable; // @[quasar.scala 162:19] - wire [11:0] lsu_io_dec_lsu_offset_d; // @[quasar.scala 162:19] - wire lsu_io_lsu_p_valid; // @[quasar.scala 162:19] - wire lsu_io_lsu_p_bits_fast_int; // @[quasar.scala 162:19] - wire lsu_io_lsu_p_bits_by; // @[quasar.scala 162:19] - wire lsu_io_lsu_p_bits_half; // @[quasar.scala 162:19] - wire lsu_io_lsu_p_bits_word; // @[quasar.scala 162:19] - wire lsu_io_lsu_p_bits_load; // @[quasar.scala 162:19] - wire lsu_io_lsu_p_bits_store; // @[quasar.scala 162:19] - wire lsu_io_lsu_p_bits_unsign; // @[quasar.scala 162:19] - wire lsu_io_lsu_p_bits_store_data_bypass_d; // @[quasar.scala 162:19] - wire lsu_io_lsu_p_bits_load_ldst_bypass_d; // @[quasar.scala 162:19] - wire lsu_io_trigger_pkt_any_0_select; // @[quasar.scala 162:19] - wire lsu_io_trigger_pkt_any_0_match_pkt; // @[quasar.scala 162:19] - wire lsu_io_trigger_pkt_any_0_store; // @[quasar.scala 162:19] - wire lsu_io_trigger_pkt_any_0_load; // @[quasar.scala 162:19] - wire [31:0] lsu_io_trigger_pkt_any_0_tdata2; // @[quasar.scala 162:19] - wire lsu_io_trigger_pkt_any_1_select; // @[quasar.scala 162:19] - wire lsu_io_trigger_pkt_any_1_match_pkt; // @[quasar.scala 162:19] - wire lsu_io_trigger_pkt_any_1_store; // @[quasar.scala 162:19] - wire lsu_io_trigger_pkt_any_1_load; // @[quasar.scala 162:19] - wire [31:0] lsu_io_trigger_pkt_any_1_tdata2; // @[quasar.scala 162:19] - wire lsu_io_trigger_pkt_any_2_select; // @[quasar.scala 162:19] - wire lsu_io_trigger_pkt_any_2_match_pkt; // @[quasar.scala 162:19] - wire lsu_io_trigger_pkt_any_2_store; // @[quasar.scala 162:19] - wire lsu_io_trigger_pkt_any_2_load; // @[quasar.scala 162:19] - wire [31:0] lsu_io_trigger_pkt_any_2_tdata2; // @[quasar.scala 162:19] - wire lsu_io_trigger_pkt_any_3_select; // @[quasar.scala 162:19] - wire lsu_io_trigger_pkt_any_3_match_pkt; // @[quasar.scala 162:19] - wire lsu_io_trigger_pkt_any_3_store; // @[quasar.scala 162:19] - wire lsu_io_trigger_pkt_any_3_load; // @[quasar.scala 162:19] - wire [31:0] lsu_io_trigger_pkt_any_3_tdata2; // @[quasar.scala 162:19] - wire lsu_io_dec_lsu_valid_raw_d; // @[quasar.scala 162:19] - wire [31:0] lsu_io_dec_tlu_mrac_ff; // @[quasar.scala 162:19] - wire [31:0] lsu_io_lsu_result_m; // @[quasar.scala 162:19] - wire [31:0] lsu_io_lsu_result_corr_r; // @[quasar.scala 162:19] - wire lsu_io_lsu_load_stall_any; // @[quasar.scala 162:19] - wire lsu_io_lsu_store_stall_any; // @[quasar.scala 162:19] - wire lsu_io_lsu_fastint_stall_any; // @[quasar.scala 162:19] - wire lsu_io_lsu_idle_any; // @[quasar.scala 162:19] - wire [30:0] lsu_io_lsu_fir_addr; // @[quasar.scala 162:19] - wire [1:0] lsu_io_lsu_fir_error; // @[quasar.scala 162:19] - wire lsu_io_lsu_single_ecc_error_incr; // @[quasar.scala 162:19] - wire lsu_io_lsu_error_pkt_r_valid; // @[quasar.scala 162:19] - wire lsu_io_lsu_error_pkt_r_bits_single_ecc_error; // @[quasar.scala 162:19] - wire lsu_io_lsu_error_pkt_r_bits_inst_type; // @[quasar.scala 162:19] - wire lsu_io_lsu_error_pkt_r_bits_exc_type; // @[quasar.scala 162:19] - wire [3:0] lsu_io_lsu_error_pkt_r_bits_mscause; // @[quasar.scala 162:19] - wire [31:0] lsu_io_lsu_error_pkt_r_bits_addr; // @[quasar.scala 162:19] - wire lsu_io_lsu_pmu_misaligned_m; // @[quasar.scala 162:19] - wire [3:0] lsu_io_lsu_trigger_match_m; // @[quasar.scala 162:19] - wire lsu_io_lsu_bus_clk_en; // @[quasar.scala 162:19] - wire lsu_io_scan_mode; // @[quasar.scala 162:19] - wire lsu_io_free_clk; // @[quasar.scala 162:19] - wire pic_ctrl_inst_clock; // @[quasar.scala 163:29] - wire pic_ctrl_inst_reset; // @[quasar.scala 163:29] - wire pic_ctrl_inst_io_scan_mode; // @[quasar.scala 163:29] - wire pic_ctrl_inst_io_free_clk; // @[quasar.scala 163:29] - wire pic_ctrl_inst_io_active_clk; // @[quasar.scala 163:29] - wire pic_ctrl_inst_io_clk_override; // @[quasar.scala 163:29] - wire [31:0] pic_ctrl_inst_io_extintsrc_req; // @[quasar.scala 163:29] - wire pic_ctrl_inst_io_lsu_pic_picm_wren; // @[quasar.scala 163:29] - wire pic_ctrl_inst_io_lsu_pic_picm_rden; // @[quasar.scala 163:29] - wire pic_ctrl_inst_io_lsu_pic_picm_mken; // @[quasar.scala 163:29] - wire [31:0] pic_ctrl_inst_io_lsu_pic_picm_rdaddr; // @[quasar.scala 163:29] - wire [31:0] pic_ctrl_inst_io_lsu_pic_picm_wraddr; // @[quasar.scala 163:29] - wire [31:0] pic_ctrl_inst_io_lsu_pic_picm_wr_data; // @[quasar.scala 163:29] - wire [31:0] pic_ctrl_inst_io_lsu_pic_picm_rd_data; // @[quasar.scala 163:29] - wire [7:0] pic_ctrl_inst_io_dec_pic_pic_claimid; // @[quasar.scala 163:29] - wire [3:0] pic_ctrl_inst_io_dec_pic_pic_pl; // @[quasar.scala 163:29] - wire pic_ctrl_inst_io_dec_pic_mhwakeup; // @[quasar.scala 163:29] - wire [3:0] pic_ctrl_inst_io_dec_pic_dec_tlu_meicurpl; // @[quasar.scala 163:29] - wire [3:0] pic_ctrl_inst_io_dec_pic_dec_tlu_meipt; // @[quasar.scala 163:29] - wire pic_ctrl_inst_io_dec_pic_mexintpend; // @[quasar.scala 163:29] - wire dma_ctrl_clock; // @[quasar.scala 164:24] - wire dma_ctrl_reset; // @[quasar.scala 164:24] - wire dma_ctrl_io_free_clk; // @[quasar.scala 164:24] - wire dma_ctrl_io_dma_bus_clk_en; // @[quasar.scala 164:24] - wire dma_ctrl_io_clk_override; // @[quasar.scala 164:24] - wire dma_ctrl_io_scan_mode; // @[quasar.scala 164:24] - wire [1:0] dma_ctrl_io_dbg_cmd_size; // @[quasar.scala 164:24] - wire [31:0] dma_ctrl_io_dma_dbg_rddata; // @[quasar.scala 164:24] - wire dma_ctrl_io_dma_dbg_cmd_done; // @[quasar.scala 164:24] - wire dma_ctrl_io_dma_dbg_cmd_fail; // @[quasar.scala 164:24] - wire dma_ctrl_io_dbg_dma_dbg_ib_dbg_cmd_valid; // @[quasar.scala 164:24] - wire dma_ctrl_io_dbg_dma_dbg_ib_dbg_cmd_write; // @[quasar.scala 164:24] - wire [1:0] dma_ctrl_io_dbg_dma_dbg_ib_dbg_cmd_type; // @[quasar.scala 164:24] - wire [31:0] dma_ctrl_io_dbg_dma_dbg_ib_dbg_cmd_addr; // @[quasar.scala 164:24] - wire [1:0] dma_ctrl_io_dbg_dma_dbg_dctl_dbg_cmd_wrdata; // @[quasar.scala 164:24] - wire dma_ctrl_io_dbg_dma_io_dbg_dma_bubble; // @[quasar.scala 164:24] - wire dma_ctrl_io_dbg_dma_io_dma_dbg_ready; // @[quasar.scala 164:24] - wire dma_ctrl_io_dec_dma_dctl_dma_dma_dccm_stall_any; // @[quasar.scala 164:24] - wire dma_ctrl_io_dec_dma_tlu_dma_dma_pmu_dccm_read; // @[quasar.scala 164:24] - wire dma_ctrl_io_dec_dma_tlu_dma_dma_pmu_dccm_write; // @[quasar.scala 164:24] - wire dma_ctrl_io_dec_dma_tlu_dma_dma_pmu_any_read; // @[quasar.scala 164:24] - wire dma_ctrl_io_dec_dma_tlu_dma_dma_pmu_any_write; // @[quasar.scala 164:24] - wire [2:0] dma_ctrl_io_dec_dma_tlu_dma_dec_tlu_dma_qos_prty; // @[quasar.scala 164:24] - wire dma_ctrl_io_dec_dma_tlu_dma_dma_dccm_stall_any; // @[quasar.scala 164:24] - wire dma_ctrl_io_dec_dma_tlu_dma_dma_iccm_stall_any; // @[quasar.scala 164:24] - wire dma_ctrl_io_iccm_dma_rvalid; // @[quasar.scala 164:24] - wire dma_ctrl_io_iccm_dma_ecc_error; // @[quasar.scala 164:24] - wire [2:0] dma_ctrl_io_iccm_dma_rtag; // @[quasar.scala 164:24] - wire [63:0] dma_ctrl_io_iccm_dma_rdata; // @[quasar.scala 164:24] - wire dma_ctrl_io_iccm_ready; // @[quasar.scala 164:24] - wire dma_ctrl_io_dma_axi_aw_ready; // @[quasar.scala 164:24] - wire dma_ctrl_io_dma_axi_aw_valid; // @[quasar.scala 164:24] - wire dma_ctrl_io_dma_axi_aw_bits_id; // @[quasar.scala 164:24] - wire [31:0] dma_ctrl_io_dma_axi_aw_bits_addr; // @[quasar.scala 164:24] - wire [2:0] dma_ctrl_io_dma_axi_aw_bits_size; // @[quasar.scala 164:24] - wire dma_ctrl_io_dma_axi_w_ready; // @[quasar.scala 164:24] - wire dma_ctrl_io_dma_axi_w_valid; // @[quasar.scala 164:24] - wire [63:0] dma_ctrl_io_dma_axi_w_bits_data; // @[quasar.scala 164:24] - wire [7:0] dma_ctrl_io_dma_axi_w_bits_strb; // @[quasar.scala 164:24] - wire dma_ctrl_io_dma_axi_b_ready; // @[quasar.scala 164:24] - wire dma_ctrl_io_dma_axi_b_valid; // @[quasar.scala 164:24] - wire [1:0] dma_ctrl_io_dma_axi_b_bits_resp; // @[quasar.scala 164:24] - wire dma_ctrl_io_dma_axi_b_bits_id; // @[quasar.scala 164:24] - wire dma_ctrl_io_dma_axi_ar_ready; // @[quasar.scala 164:24] - wire dma_ctrl_io_dma_axi_ar_valid; // @[quasar.scala 164:24] - wire dma_ctrl_io_dma_axi_ar_bits_id; // @[quasar.scala 164:24] - wire [31:0] dma_ctrl_io_dma_axi_ar_bits_addr; // @[quasar.scala 164:24] - wire [2:0] dma_ctrl_io_dma_axi_ar_bits_size; // @[quasar.scala 164:24] - wire dma_ctrl_io_dma_axi_r_ready; // @[quasar.scala 164:24] - wire dma_ctrl_io_dma_axi_r_valid; // @[quasar.scala 164:24] - wire dma_ctrl_io_dma_axi_r_bits_id; // @[quasar.scala 164:24] - wire [63:0] dma_ctrl_io_dma_axi_r_bits_data; // @[quasar.scala 164:24] - wire [1:0] dma_ctrl_io_dma_axi_r_bits_resp; // @[quasar.scala 164:24] - wire dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_dccm_req; // @[quasar.scala 164:24] - wire [31:0] dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_mem_addr; // @[quasar.scala 164:24] - wire [2:0] dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_mem_sz; // @[quasar.scala 164:24] - wire dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[quasar.scala 164:24] - wire [63:0] dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_mem_wdata; // @[quasar.scala 164:24] - wire [31:0] dma_ctrl_io_lsu_dma_dma_dccm_ctl_dma_mem_addr; // @[quasar.scala 164:24] - wire [63:0] dma_ctrl_io_lsu_dma_dma_dccm_ctl_dma_mem_wdata; // @[quasar.scala 164:24] - wire dma_ctrl_io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid; // @[quasar.scala 164:24] - wire dma_ctrl_io_lsu_dma_dma_dccm_ctl_dccm_dma_ecc_error; // @[quasar.scala 164:24] - wire [2:0] dma_ctrl_io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag; // @[quasar.scala 164:24] - wire [63:0] dma_ctrl_io_lsu_dma_dma_dccm_ctl_dccm_dma_rdata; // @[quasar.scala 164:24] - wire dma_ctrl_io_lsu_dma_dccm_ready; // @[quasar.scala 164:24] - wire [2:0] dma_ctrl_io_lsu_dma_dma_mem_tag; // @[quasar.scala 164:24] - wire dma_ctrl_io_ifu_dma_dma_ifc_dma_iccm_stall_any; // @[quasar.scala 164:24] - wire dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_iccm_req; // @[quasar.scala 164:24] - wire [31:0] dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_addr; // @[quasar.scala 164:24] - wire [2:0] dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_sz; // @[quasar.scala 164:24] - wire dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_write; // @[quasar.scala 164:24] - wire [63:0] dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_wdata; // @[quasar.scala 164:24] - wire [2:0] dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_tag; // @[quasar.scala 164:24] + wire ifu_clock; // @[quasar.scala 74:19] + wire ifu_reset; // @[quasar.scala 74:19] + wire ifu_io_exu_flush_final; // @[quasar.scala 74:19] + wire [30:0] ifu_io_exu_flush_path_final; // @[quasar.scala 74:19] + wire ifu_io_free_clk; // @[quasar.scala 74:19] + wire ifu_io_active_clk; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_aln_aln_dec_dec_i0_decode_d; // @[quasar.scala 74:19] + wire [15:0] ifu_io_ifu_dec_dec_aln_aln_dec_ifu_i0_cinst; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf; // @[quasar.scala 74:19] + wire [1:0] ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_type; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_f1; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc; // @[quasar.scala 74:19] + wire [7:0] ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_index; // @[quasar.scala 74:19] + wire [7:0] ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_fghr; // @[quasar.scala 74:19] + wire [4:0] ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_btag; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_valid; // @[quasar.scala 74:19] + wire [31:0] ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr; // @[quasar.scala 74:19] + wire [30:0] ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc4; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_valid; // @[quasar.scala 74:19] + wire [11:0] ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset; // @[quasar.scala 74:19] + wire [1:0] ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error; // @[quasar.scala 74:19] + wire [30:0] ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_prett; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_way; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_aln_ifu_pmu_instr_aligned; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_flush_err_wb; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_i0_commit_cmt; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_force_halt; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_fence_i_wb; // @[quasar.scala 74:19] + wire [70:0] ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wrdata; // @[quasar.scala 74:19] + wire [16:0] ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_dicawics; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_core_ecc_disable; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_miss; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_hit; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_error; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_busy; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_trxn; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_mem_ctrl_ifu_ic_error_start; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_mem_ctrl_ifu_iccm_rd_ecc_single_err; // @[quasar.scala 74:19] + wire [70:0] ifu_io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data_valid; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_mem_ctrl_ifu_miss_state_idle; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_ifc_dec_tlu_flush_noredir_wb; // @[quasar.scala 74:19] + wire [31:0] ifu_io_ifu_dec_dec_ifc_dec_tlu_mrac_ff; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_ifc_ifu_pmu_fetch_stall; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_valid; // @[quasar.scala 74:19] + wire [1:0] ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_hist; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_error; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_way; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_middle; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_bp_dec_tlu_flush_leak_one_wb; // @[quasar.scala 74:19] + wire ifu_io_ifu_dec_dec_bp_dec_tlu_bpred_disable; // @[quasar.scala 74:19] + wire [7:0] ifu_io_exu_ifu_exu_bp_exu_i0_br_index_r; // @[quasar.scala 74:19] + wire [7:0] ifu_io_exu_ifu_exu_bp_exu_i0_br_fghr_r; // @[quasar.scala 74:19] + wire ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_misp; // @[quasar.scala 74:19] + wire ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_ataken; // @[quasar.scala 74:19] + wire ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_boffset; // @[quasar.scala 74:19] + wire ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_pc4; // @[quasar.scala 74:19] + wire [1:0] ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_hist; // @[quasar.scala 74:19] + wire [11:0] ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_toffset; // @[quasar.scala 74:19] + wire ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_pcall; // @[quasar.scala 74:19] + wire ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_pret; // @[quasar.scala 74:19] + wire ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_pja; // @[quasar.scala 74:19] + wire ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_way; // @[quasar.scala 74:19] + wire [7:0] ifu_io_exu_ifu_exu_bp_exu_mp_eghr; // @[quasar.scala 74:19] + wire [7:0] ifu_io_exu_ifu_exu_bp_exu_mp_fghr; // @[quasar.scala 74:19] + wire [7:0] ifu_io_exu_ifu_exu_bp_exu_mp_index; // @[quasar.scala 74:19] + wire [4:0] ifu_io_exu_ifu_exu_bp_exu_mp_btag; // @[quasar.scala 74:19] + wire [14:0] ifu_io_iccm_rw_addr; // @[quasar.scala 74:19] + wire ifu_io_iccm_buf_correct_ecc; // @[quasar.scala 74:19] + wire ifu_io_iccm_correction_state; // @[quasar.scala 74:19] + wire ifu_io_iccm_wren; // @[quasar.scala 74:19] + wire ifu_io_iccm_rden; // @[quasar.scala 74:19] + wire [2:0] ifu_io_iccm_wr_size; // @[quasar.scala 74:19] + wire [77:0] ifu_io_iccm_wr_data; // @[quasar.scala 74:19] + wire [63:0] ifu_io_iccm_rd_data; // @[quasar.scala 74:19] + wire [77:0] ifu_io_iccm_rd_data_ecc; // @[quasar.scala 74:19] + wire [30:0] ifu_io_ic_rw_addr; // @[quasar.scala 74:19] + wire [1:0] ifu_io_ic_tag_valid; // @[quasar.scala 74:19] + wire [1:0] ifu_io_ic_wr_en; // @[quasar.scala 74:19] + wire ifu_io_ic_rd_en; // @[quasar.scala 74:19] + wire [70:0] ifu_io_ic_wr_data_0; // @[quasar.scala 74:19] + wire [70:0] ifu_io_ic_wr_data_1; // @[quasar.scala 74:19] + wire [70:0] ifu_io_ic_debug_wr_data; // @[quasar.scala 74:19] + wire [9:0] ifu_io_ic_debug_addr; // @[quasar.scala 74:19] + wire [63:0] ifu_io_ic_rd_data; // @[quasar.scala 74:19] + wire [70:0] ifu_io_ic_debug_rd_data; // @[quasar.scala 74:19] + wire [25:0] ifu_io_ic_tag_debug_rd_data; // @[quasar.scala 74:19] + wire [1:0] ifu_io_ic_eccerr; // @[quasar.scala 74:19] + wire [1:0] ifu_io_ic_rd_hit; // @[quasar.scala 74:19] + wire ifu_io_ic_tag_perr; // @[quasar.scala 74:19] + wire ifu_io_ic_debug_rd_en; // @[quasar.scala 74:19] + wire ifu_io_ic_debug_wr_en; // @[quasar.scala 74:19] + wire ifu_io_ic_debug_tag_array; // @[quasar.scala 74:19] + wire [1:0] ifu_io_ic_debug_way; // @[quasar.scala 74:19] + wire [63:0] ifu_io_ic_premux_data; // @[quasar.scala 74:19] + wire ifu_io_ic_sel_premux_data; // @[quasar.scala 74:19] + wire ifu_io_ifu_ar_ready; // @[quasar.scala 74:19] + wire ifu_io_ifu_ar_valid; // @[quasar.scala 74:19] + wire [2:0] ifu_io_ifu_ar_bits_id; // @[quasar.scala 74:19] + wire [31:0] ifu_io_ifu_ar_bits_addr; // @[quasar.scala 74:19] + wire ifu_io_ifu_r_valid; // @[quasar.scala 74:19] + wire [2:0] ifu_io_ifu_r_bits_id; // @[quasar.scala 74:19] + wire [63:0] ifu_io_ifu_r_bits_data; // @[quasar.scala 74:19] + wire [1:0] ifu_io_ifu_r_bits_resp; // @[quasar.scala 74:19] + wire ifu_io_ifu_bus_clk_en; // @[quasar.scala 74:19] + wire ifu_io_ifu_dma_dma_ifc_dma_iccm_stall_any; // @[quasar.scala 74:19] + wire ifu_io_ifu_dma_dma_mem_ctl_dma_iccm_req; // @[quasar.scala 74:19] + wire [31:0] ifu_io_ifu_dma_dma_mem_ctl_dma_mem_addr; // @[quasar.scala 74:19] + wire [2:0] ifu_io_ifu_dma_dma_mem_ctl_dma_mem_sz; // @[quasar.scala 74:19] + wire ifu_io_ifu_dma_dma_mem_ctl_dma_mem_write; // @[quasar.scala 74:19] + wire [63:0] ifu_io_ifu_dma_dma_mem_ctl_dma_mem_wdata; // @[quasar.scala 74:19] + wire [2:0] ifu_io_ifu_dma_dma_mem_ctl_dma_mem_tag; // @[quasar.scala 74:19] + wire ifu_io_iccm_dma_ecc_error; // @[quasar.scala 74:19] + wire ifu_io_iccm_dma_rvalid; // @[quasar.scala 74:19] + wire [63:0] ifu_io_iccm_dma_rdata; // @[quasar.scala 74:19] + wire [2:0] ifu_io_iccm_dma_rtag; // @[quasar.scala 74:19] + wire ifu_io_iccm_ready; // @[quasar.scala 74:19] + wire ifu_io_iccm_dma_sb_error; // @[quasar.scala 74:19] + wire ifu_io_dec_tlu_flush_lower_wb; // @[quasar.scala 74:19] + wire ifu_io_scan_mode; // @[quasar.scala 74:19] + wire dec_clock; // @[quasar.scala 75:19] + wire dec_reset; // @[quasar.scala 75:19] + wire dec_io_free_clk; // @[quasar.scala 75:19] + wire dec_io_active_clk; // @[quasar.scala 75:19] + wire dec_io_lsu_fastint_stall_any; // @[quasar.scala 75:19] + wire dec_io_dec_pause_state_cg; // @[quasar.scala 75:19] + wire [30:0] dec_io_rst_vec; // @[quasar.scala 75:19] + wire dec_io_nmi_int; // @[quasar.scala 75:19] + wire [30:0] dec_io_nmi_vec; // @[quasar.scala 75:19] + wire dec_io_i_cpu_halt_req; // @[quasar.scala 75:19] + wire dec_io_i_cpu_run_req; // @[quasar.scala 75:19] + wire dec_io_o_cpu_halt_status; // @[quasar.scala 75:19] + wire dec_io_o_cpu_halt_ack; // @[quasar.scala 75:19] + wire dec_io_o_cpu_run_ack; // @[quasar.scala 75:19] + wire dec_io_o_debug_mode_status; // @[quasar.scala 75:19] + wire [27:0] dec_io_core_id; // @[quasar.scala 75:19] + wire dec_io_mpc_debug_halt_req; // @[quasar.scala 75:19] + wire dec_io_mpc_debug_run_req; // @[quasar.scala 75:19] + wire dec_io_mpc_reset_run_req; // @[quasar.scala 75:19] + wire dec_io_mpc_debug_halt_ack; // @[quasar.scala 75:19] + wire dec_io_mpc_debug_run_ack; // @[quasar.scala 75:19] + wire dec_io_debug_brkpt_status; // @[quasar.scala 75:19] + wire dec_io_lsu_pmu_misaligned_m; // @[quasar.scala 75:19] + wire [30:0] dec_io_lsu_fir_addr; // @[quasar.scala 75:19] + wire [1:0] dec_io_lsu_fir_error; // @[quasar.scala 75:19] + wire [3:0] dec_io_lsu_trigger_match_m; // @[quasar.scala 75:19] + wire dec_io_lsu_idle_any; // @[quasar.scala 75:19] + wire dec_io_lsu_error_pkt_r_valid; // @[quasar.scala 75:19] + wire dec_io_lsu_error_pkt_r_bits_single_ecc_error; // @[quasar.scala 75:19] + wire dec_io_lsu_error_pkt_r_bits_inst_type; // @[quasar.scala 75:19] + wire dec_io_lsu_error_pkt_r_bits_exc_type; // @[quasar.scala 75:19] + wire [3:0] dec_io_lsu_error_pkt_r_bits_mscause; // @[quasar.scala 75:19] + wire [31:0] dec_io_lsu_error_pkt_r_bits_addr; // @[quasar.scala 75:19] + wire dec_io_lsu_single_ecc_error_incr; // @[quasar.scala 75:19] + wire [31:0] dec_io_exu_div_result; // @[quasar.scala 75:19] + wire dec_io_exu_div_wren; // @[quasar.scala 75:19] + wire [31:0] dec_io_lsu_result_m; // @[quasar.scala 75:19] + wire [31:0] dec_io_lsu_result_corr_r; // @[quasar.scala 75:19] + wire dec_io_lsu_load_stall_any; // @[quasar.scala 75:19] + wire dec_io_lsu_store_stall_any; // @[quasar.scala 75:19] + wire dec_io_iccm_dma_sb_error; // @[quasar.scala 75:19] + wire dec_io_exu_flush_final; // @[quasar.scala 75:19] + wire dec_io_timer_int; // @[quasar.scala 75:19] + wire dec_io_soft_int; // @[quasar.scala 75:19] + wire dec_io_dbg_halt_req; // @[quasar.scala 75:19] + wire dec_io_dbg_resume_req; // @[quasar.scala 75:19] + wire dec_io_dec_tlu_dbg_halted; // @[quasar.scala 75:19] + wire dec_io_dec_tlu_debug_mode; // @[quasar.scala 75:19] + wire dec_io_dec_tlu_resume_ack; // @[quasar.scala 75:19] + wire dec_io_dec_tlu_mpc_halted_only; // @[quasar.scala 75:19] + wire [31:0] dec_io_dec_dbg_rddata; // @[quasar.scala 75:19] + wire dec_io_dec_dbg_cmd_done; // @[quasar.scala 75:19] + wire dec_io_dec_dbg_cmd_fail; // @[quasar.scala 75:19] + wire dec_io_trigger_pkt_any_0_select; // @[quasar.scala 75:19] + wire dec_io_trigger_pkt_any_0_match_pkt; // @[quasar.scala 75:19] + wire dec_io_trigger_pkt_any_0_store; // @[quasar.scala 75:19] + wire dec_io_trigger_pkt_any_0_load; // @[quasar.scala 75:19] + wire [31:0] dec_io_trigger_pkt_any_0_tdata2; // @[quasar.scala 75:19] + wire dec_io_trigger_pkt_any_1_select; // @[quasar.scala 75:19] + wire dec_io_trigger_pkt_any_1_match_pkt; // @[quasar.scala 75:19] + wire dec_io_trigger_pkt_any_1_store; // @[quasar.scala 75:19] + wire dec_io_trigger_pkt_any_1_load; // @[quasar.scala 75:19] + wire [31:0] dec_io_trigger_pkt_any_1_tdata2; // @[quasar.scala 75:19] + wire dec_io_trigger_pkt_any_2_select; // @[quasar.scala 75:19] + wire dec_io_trigger_pkt_any_2_match_pkt; // @[quasar.scala 75:19] + wire dec_io_trigger_pkt_any_2_store; // @[quasar.scala 75:19] + wire dec_io_trigger_pkt_any_2_load; // @[quasar.scala 75:19] + wire [31:0] dec_io_trigger_pkt_any_2_tdata2; // @[quasar.scala 75:19] + wire dec_io_trigger_pkt_any_3_select; // @[quasar.scala 75:19] + wire dec_io_trigger_pkt_any_3_match_pkt; // @[quasar.scala 75:19] + wire dec_io_trigger_pkt_any_3_store; // @[quasar.scala 75:19] + wire dec_io_trigger_pkt_any_3_load; // @[quasar.scala 75:19] + wire [31:0] dec_io_trigger_pkt_any_3_tdata2; // @[quasar.scala 75:19] + wire dec_io_exu_i0_br_way_r; // @[quasar.scala 75:19] + wire dec_io_lsu_p_valid; // @[quasar.scala 75:19] + wire dec_io_lsu_p_bits_fast_int; // @[quasar.scala 75:19] + wire dec_io_lsu_p_bits_by; // @[quasar.scala 75:19] + wire dec_io_lsu_p_bits_half; // @[quasar.scala 75:19] + wire dec_io_lsu_p_bits_word; // @[quasar.scala 75:19] + wire dec_io_lsu_p_bits_load; // @[quasar.scala 75:19] + wire dec_io_lsu_p_bits_store; // @[quasar.scala 75:19] + wire dec_io_lsu_p_bits_unsign; // @[quasar.scala 75:19] + wire dec_io_lsu_p_bits_store_data_bypass_d; // @[quasar.scala 75:19] + wire dec_io_lsu_p_bits_load_ldst_bypass_d; // @[quasar.scala 75:19] + wire [11:0] dec_io_dec_lsu_offset_d; // @[quasar.scala 75:19] + wire dec_io_dec_tlu_i0_kill_writeb_r; // @[quasar.scala 75:19] + wire dec_io_dec_tlu_perfcnt0; // @[quasar.scala 75:19] + wire dec_io_dec_tlu_perfcnt1; // @[quasar.scala 75:19] + wire dec_io_dec_tlu_perfcnt2; // @[quasar.scala 75:19] + wire dec_io_dec_tlu_perfcnt3; // @[quasar.scala 75:19] + wire dec_io_dec_lsu_valid_raw_d; // @[quasar.scala 75:19] + wire [1:0] dec_io_rv_trace_pkt_rv_i_valid_ip; // @[quasar.scala 75:19] + wire [31:0] dec_io_rv_trace_pkt_rv_i_insn_ip; // @[quasar.scala 75:19] + wire [31:0] dec_io_rv_trace_pkt_rv_i_address_ip; // @[quasar.scala 75:19] + wire [1:0] dec_io_rv_trace_pkt_rv_i_exception_ip; // @[quasar.scala 75:19] + wire [4:0] dec_io_rv_trace_pkt_rv_i_ecause_ip; // @[quasar.scala 75:19] + wire [1:0] dec_io_rv_trace_pkt_rv_i_interrupt_ip; // @[quasar.scala 75:19] + wire [31:0] dec_io_rv_trace_pkt_rv_i_tval_ip; // @[quasar.scala 75:19] + wire dec_io_dec_tlu_misc_clk_override; // @[quasar.scala 75:19] + wire dec_io_dec_tlu_lsu_clk_override; // @[quasar.scala 75:19] + wire dec_io_dec_tlu_bus_clk_override; // @[quasar.scala 75:19] + wire dec_io_dec_tlu_pic_clk_override; // @[quasar.scala 75:19] + wire dec_io_dec_tlu_dccm_clk_override; // @[quasar.scala 75:19] + wire dec_io_dec_tlu_icm_clk_override; // @[quasar.scala 75:19] + wire dec_io_scan_mode; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_aln_aln_dec_dec_i0_decode_d; // @[quasar.scala 75:19] + wire [15:0] dec_io_ifu_dec_dec_aln_aln_dec_ifu_i0_cinst; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf; // @[quasar.scala 75:19] + wire [1:0] dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_type; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_f1; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc; // @[quasar.scala 75:19] + wire [7:0] dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_index; // @[quasar.scala 75:19] + wire [7:0] dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_fghr; // @[quasar.scala 75:19] + wire [4:0] dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_btag; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_valid; // @[quasar.scala 75:19] + wire [31:0] dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr; // @[quasar.scala 75:19] + wire [30:0] dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc4; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_valid; // @[quasar.scala 75:19] + wire [11:0] dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset; // @[quasar.scala 75:19] + wire [1:0] dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error; // @[quasar.scala 75:19] + wire [30:0] dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_prett; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_way; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_aln_ifu_pmu_instr_aligned; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_flush_err_wb; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_i0_commit_cmt; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_force_halt; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_fence_i_wb; // @[quasar.scala 75:19] + wire [70:0] dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wrdata; // @[quasar.scala 75:19] + wire [16:0] dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_dicawics; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_core_ecc_disable; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_miss; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_hit; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_error; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_busy; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_trxn; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_mem_ctrl_ifu_ic_error_start; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_mem_ctrl_ifu_iccm_rd_ecc_single_err; // @[quasar.scala 75:19] + wire [70:0] dec_io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data_valid; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_mem_ctrl_ifu_miss_state_idle; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_ifc_dec_tlu_flush_noredir_wb; // @[quasar.scala 75:19] + wire [31:0] dec_io_ifu_dec_dec_ifc_dec_tlu_mrac_ff; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_ifc_ifu_pmu_fetch_stall; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_valid; // @[quasar.scala 75:19] + wire [1:0] dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_hist; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_error; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_way; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_middle; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_bp_dec_tlu_flush_leak_one_wb; // @[quasar.scala 75:19] + wire dec_io_ifu_dec_dec_bp_dec_tlu_bpred_disable; // @[quasar.scala 75:19] + wire dec_io_dec_exu_dec_alu_dec_i0_alu_decode_d; // @[quasar.scala 75:19] + wire dec_io_dec_exu_dec_alu_dec_csr_ren_d; // @[quasar.scala 75:19] + wire [11:0] dec_io_dec_exu_dec_alu_dec_i0_br_immed_d; // @[quasar.scala 75:19] + wire [30:0] dec_io_dec_exu_dec_alu_exu_i0_pc_x; // @[quasar.scala 75:19] + wire dec_io_dec_exu_dec_div_div_p_valid; // @[quasar.scala 75:19] + wire dec_io_dec_exu_dec_div_div_p_bits_unsign; // @[quasar.scala 75:19] + wire dec_io_dec_exu_dec_div_div_p_bits_rem; // @[quasar.scala 75:19] + wire dec_io_dec_exu_dec_div_dec_div_cancel; // @[quasar.scala 75:19] + wire [1:0] dec_io_dec_exu_decode_exu_dec_data_en; // @[quasar.scala 75:19] + wire [1:0] dec_io_dec_exu_decode_exu_dec_ctl_en; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_i0_ap_land; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_i0_ap_lor; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_i0_ap_lxor; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_i0_ap_sll; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_i0_ap_srl; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_i0_ap_sra; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_i0_ap_beq; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_i0_ap_bne; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_i0_ap_blt; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_i0_ap_bge; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_i0_ap_add; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_i0_ap_sub; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_i0_ap_slt; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_i0_ap_unsign; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_i0_ap_jal; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_i0_ap_predict_t; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_i0_ap_predict_nt; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_i0_ap_csr_write; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_i0_ap_csr_imm; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_valid; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pc4; // @[quasar.scala 75:19] + wire [1:0] dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_hist; // @[quasar.scala 75:19] + wire [11:0] dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_toffset; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_error; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_start_error; // @[quasar.scala 75:19] + wire [30:0] dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_prett; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pcall; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pret; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pja; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_way; // @[quasar.scala 75:19] + wire [7:0] dec_io_dec_exu_decode_exu_i0_predict_fghr_d; // @[quasar.scala 75:19] + wire [7:0] dec_io_dec_exu_decode_exu_i0_predict_index_d; // @[quasar.scala 75:19] + wire [4:0] dec_io_dec_exu_decode_exu_i0_predict_btag_d; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_dec_i0_rs1_en_d; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_dec_i0_rs2_en_d; // @[quasar.scala 75:19] + wire [31:0] dec_io_dec_exu_decode_exu_dec_i0_immed_d; // @[quasar.scala 75:19] + wire [31:0] dec_io_dec_exu_decode_exu_dec_i0_rs1_bypass_data_d; // @[quasar.scala 75:19] + wire [31:0] dec_io_dec_exu_decode_exu_dec_i0_rs2_bypass_data_d; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_dec_i0_select_pc_d; // @[quasar.scala 75:19] + wire [1:0] dec_io_dec_exu_decode_exu_dec_i0_rs1_bypass_en_d; // @[quasar.scala 75:19] + wire [1:0] dec_io_dec_exu_decode_exu_dec_i0_rs2_bypass_en_d; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_mul_p_valid; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_mul_p_bits_rs1_sign; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_mul_p_bits_rs2_sign; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_mul_p_bits_low; // @[quasar.scala 75:19] + wire [30:0] dec_io_dec_exu_decode_exu_pred_correct_npc_x; // @[quasar.scala 75:19] + wire dec_io_dec_exu_decode_exu_dec_extint_stall; // @[quasar.scala 75:19] + wire [31:0] dec_io_dec_exu_decode_exu_exu_i0_result_x; // @[quasar.scala 75:19] + wire [31:0] dec_io_dec_exu_decode_exu_exu_csr_rs1_x; // @[quasar.scala 75:19] + wire [29:0] dec_io_dec_exu_tlu_exu_dec_tlu_meihap; // @[quasar.scala 75:19] + wire dec_io_dec_exu_tlu_exu_dec_tlu_flush_lower_r; // @[quasar.scala 75:19] + wire [30:0] dec_io_dec_exu_tlu_exu_dec_tlu_flush_path_r; // @[quasar.scala 75:19] + wire [1:0] dec_io_dec_exu_tlu_exu_exu_i0_br_hist_r; // @[quasar.scala 75:19] + wire dec_io_dec_exu_tlu_exu_exu_i0_br_error_r; // @[quasar.scala 75:19] + wire dec_io_dec_exu_tlu_exu_exu_i0_br_start_error_r; // @[quasar.scala 75:19] + wire dec_io_dec_exu_tlu_exu_exu_i0_br_valid_r; // @[quasar.scala 75:19] + wire dec_io_dec_exu_tlu_exu_exu_i0_br_mp_r; // @[quasar.scala 75:19] + wire dec_io_dec_exu_tlu_exu_exu_i0_br_middle_r; // @[quasar.scala 75:19] + wire dec_io_dec_exu_tlu_exu_exu_pmu_i0_br_misp; // @[quasar.scala 75:19] + wire dec_io_dec_exu_tlu_exu_exu_pmu_i0_br_ataken; // @[quasar.scala 75:19] + wire dec_io_dec_exu_tlu_exu_exu_pmu_i0_pc4; // @[quasar.scala 75:19] + wire [30:0] dec_io_dec_exu_tlu_exu_exu_npc_r; // @[quasar.scala 75:19] + wire [30:0] dec_io_dec_exu_ib_exu_dec_i0_pc_d; // @[quasar.scala 75:19] + wire dec_io_dec_exu_ib_exu_dec_debug_wdata_rs1_d; // @[quasar.scala 75:19] + wire [31:0] dec_io_dec_exu_gpr_exu_gpr_i0_rs1_d; // @[quasar.scala 75:19] + wire [31:0] dec_io_dec_exu_gpr_exu_gpr_i0_rs2_d; // @[quasar.scala 75:19] + wire dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_trxn; // @[quasar.scala 75:19] + wire dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_misaligned; // @[quasar.scala 75:19] + wire dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_error; // @[quasar.scala 75:19] + wire dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy; // @[quasar.scala 75:19] + wire dec_io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[quasar.scala 75:19] + wire dec_io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[quasar.scala 75:19] + wire dec_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any; // @[quasar.scala 75:19] + wire dec_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any; // @[quasar.scala 75:19] + wire [31:0] dec_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_addr_any; // @[quasar.scala 75:19] + wire dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_valid_m; // @[quasar.scala 75:19] + wire [1:0] dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_tag_m; // @[quasar.scala 75:19] + wire dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_r; // @[quasar.scala 75:19] + wire [1:0] dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_tag_r; // @[quasar.scala 75:19] + wire dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_valid; // @[quasar.scala 75:19] + wire dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_error; // @[quasar.scala 75:19] + wire [1:0] dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag; // @[quasar.scala 75:19] + wire [31:0] dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data; // @[quasar.scala 75:19] + wire dec_io_lsu_tlu_lsu_pmu_load_external_m; // @[quasar.scala 75:19] + wire dec_io_lsu_tlu_lsu_pmu_store_external_m; // @[quasar.scala 75:19] + wire dec_io_dec_dbg_dbg_ib_dbg_cmd_valid; // @[quasar.scala 75:19] + wire dec_io_dec_dbg_dbg_ib_dbg_cmd_write; // @[quasar.scala 75:19] + wire [1:0] dec_io_dec_dbg_dbg_ib_dbg_cmd_type; // @[quasar.scala 75:19] + wire [31:0] dec_io_dec_dbg_dbg_ib_dbg_cmd_addr; // @[quasar.scala 75:19] + wire [31:0] dec_io_dec_dbg_dbg_dctl_dbg_cmd_wrdata; // @[quasar.scala 75:19] + wire dec_io_dec_dma_dctl_dma_dma_dccm_stall_any; // @[quasar.scala 75:19] + wire dec_io_dec_dma_tlu_dma_dma_pmu_dccm_read; // @[quasar.scala 75:19] + wire dec_io_dec_dma_tlu_dma_dma_pmu_dccm_write; // @[quasar.scala 75:19] + wire dec_io_dec_dma_tlu_dma_dma_pmu_any_read; // @[quasar.scala 75:19] + wire dec_io_dec_dma_tlu_dma_dma_pmu_any_write; // @[quasar.scala 75:19] + wire [2:0] dec_io_dec_dma_tlu_dma_dec_tlu_dma_qos_prty; // @[quasar.scala 75:19] + wire dec_io_dec_dma_tlu_dma_dma_dccm_stall_any; // @[quasar.scala 75:19] + wire dec_io_dec_dma_tlu_dma_dma_iccm_stall_any; // @[quasar.scala 75:19] + wire [7:0] dec_io_dec_pic_pic_claimid; // @[quasar.scala 75:19] + wire [3:0] dec_io_dec_pic_pic_pl; // @[quasar.scala 75:19] + wire dec_io_dec_pic_mhwakeup; // @[quasar.scala 75:19] + wire [3:0] dec_io_dec_pic_dec_tlu_meicurpl; // @[quasar.scala 75:19] + wire [3:0] dec_io_dec_pic_dec_tlu_meipt; // @[quasar.scala 75:19] + wire dec_io_dec_pic_mexintpend; // @[quasar.scala 75:19] + wire dbg_clock; // @[quasar.scala 76:19] + wire dbg_reset; // @[quasar.scala 76:19] + wire [1:0] dbg_io_dbg_cmd_size; // @[quasar.scala 76:19] + wire dbg_io_dbg_core_rst_l; // @[quasar.scala 76:19] + wire [31:0] dbg_io_core_dbg_rddata; // @[quasar.scala 76:19] + wire dbg_io_core_dbg_cmd_done; // @[quasar.scala 76:19] + wire dbg_io_core_dbg_cmd_fail; // @[quasar.scala 76:19] + wire dbg_io_dbg_halt_req; // @[quasar.scala 76:19] + wire dbg_io_dbg_resume_req; // @[quasar.scala 76:19] + wire dbg_io_dec_tlu_debug_mode; // @[quasar.scala 76:19] + wire dbg_io_dec_tlu_dbg_halted; // @[quasar.scala 76:19] + wire dbg_io_dec_tlu_mpc_halted_only; // @[quasar.scala 76:19] + wire dbg_io_dec_tlu_resume_ack; // @[quasar.scala 76:19] + wire dbg_io_dmi_reg_en; // @[quasar.scala 76:19] + wire [6:0] dbg_io_dmi_reg_addr; // @[quasar.scala 76:19] + wire dbg_io_dmi_reg_wr_en; // @[quasar.scala 76:19] + wire [31:0] dbg_io_dmi_reg_wdata; // @[quasar.scala 76:19] + wire [31:0] dbg_io_dmi_reg_rdata; // @[quasar.scala 76:19] + wire dbg_io_sb_axi_aw_ready; // @[quasar.scala 76:19] + wire dbg_io_sb_axi_aw_valid; // @[quasar.scala 76:19] + wire [31:0] dbg_io_sb_axi_aw_bits_addr; // @[quasar.scala 76:19] + wire [2:0] dbg_io_sb_axi_aw_bits_size; // @[quasar.scala 76:19] + wire dbg_io_sb_axi_w_ready; // @[quasar.scala 76:19] + wire dbg_io_sb_axi_w_valid; // @[quasar.scala 76:19] + wire [63:0] dbg_io_sb_axi_w_bits_data; // @[quasar.scala 76:19] + wire [7:0] dbg_io_sb_axi_w_bits_strb; // @[quasar.scala 76:19] + wire dbg_io_sb_axi_b_ready; // @[quasar.scala 76:19] + wire dbg_io_sb_axi_b_valid; // @[quasar.scala 76:19] + wire [1:0] dbg_io_sb_axi_b_bits_resp; // @[quasar.scala 76:19] + wire dbg_io_sb_axi_ar_ready; // @[quasar.scala 76:19] + wire dbg_io_sb_axi_ar_valid; // @[quasar.scala 76:19] + wire [31:0] dbg_io_sb_axi_ar_bits_addr; // @[quasar.scala 76:19] + wire [2:0] dbg_io_sb_axi_ar_bits_size; // @[quasar.scala 76:19] + wire dbg_io_sb_axi_r_ready; // @[quasar.scala 76:19] + wire dbg_io_sb_axi_r_valid; // @[quasar.scala 76:19] + wire [63:0] dbg_io_sb_axi_r_bits_data; // @[quasar.scala 76:19] + wire [1:0] dbg_io_sb_axi_r_bits_resp; // @[quasar.scala 76:19] + wire dbg_io_dbg_dec_dbg_ib_dbg_cmd_valid; // @[quasar.scala 76:19] + wire dbg_io_dbg_dec_dbg_ib_dbg_cmd_write; // @[quasar.scala 76:19] + wire [1:0] dbg_io_dbg_dec_dbg_ib_dbg_cmd_type; // @[quasar.scala 76:19] + wire [31:0] dbg_io_dbg_dec_dbg_ib_dbg_cmd_addr; // @[quasar.scala 76:19] + wire [31:0] dbg_io_dbg_dec_dbg_dctl_dbg_cmd_wrdata; // @[quasar.scala 76:19] + wire dbg_io_dbg_dma_dbg_ib_dbg_cmd_valid; // @[quasar.scala 76:19] + wire dbg_io_dbg_dma_dbg_ib_dbg_cmd_write; // @[quasar.scala 76:19] + wire [1:0] dbg_io_dbg_dma_dbg_ib_dbg_cmd_type; // @[quasar.scala 76:19] + wire [31:0] dbg_io_dbg_dma_dbg_ib_dbg_cmd_addr; // @[quasar.scala 76:19] + wire [31:0] dbg_io_dbg_dma_dbg_dctl_dbg_cmd_wrdata; // @[quasar.scala 76:19] + wire dbg_io_dbg_dma_io_dbg_dma_bubble; // @[quasar.scala 76:19] + wire dbg_io_dbg_dma_io_dma_dbg_ready; // @[quasar.scala 76:19] + wire dbg_io_dbg_bus_clk_en; // @[quasar.scala 76:19] + wire dbg_io_dbg_rst_l; // @[quasar.scala 76:19] + wire dbg_io_clk_override; // @[quasar.scala 76:19] + wire dbg_io_scan_mode; // @[quasar.scala 76:19] + wire exu_clock; // @[quasar.scala 77:19] + wire exu_reset; // @[quasar.scala 77:19] + wire exu_io_scan_mode; // @[quasar.scala 77:19] + wire exu_io_dec_exu_dec_alu_dec_i0_alu_decode_d; // @[quasar.scala 77:19] + wire exu_io_dec_exu_dec_alu_dec_csr_ren_d; // @[quasar.scala 77:19] + wire [11:0] exu_io_dec_exu_dec_alu_dec_i0_br_immed_d; // @[quasar.scala 77:19] + wire [30:0] exu_io_dec_exu_dec_alu_exu_i0_pc_x; // @[quasar.scala 77:19] + wire exu_io_dec_exu_dec_div_div_p_valid; // @[quasar.scala 77:19] + wire exu_io_dec_exu_dec_div_div_p_bits_unsign; // @[quasar.scala 77:19] + wire exu_io_dec_exu_dec_div_div_p_bits_rem; // @[quasar.scala 77:19] + wire exu_io_dec_exu_dec_div_dec_div_cancel; // @[quasar.scala 77:19] + wire [1:0] exu_io_dec_exu_decode_exu_dec_data_en; // @[quasar.scala 77:19] + wire [1:0] exu_io_dec_exu_decode_exu_dec_ctl_en; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_i0_ap_land; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_i0_ap_lor; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_i0_ap_lxor; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_i0_ap_sll; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_i0_ap_srl; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_i0_ap_sra; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_i0_ap_beq; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_i0_ap_bne; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_i0_ap_blt; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_i0_ap_bge; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_i0_ap_add; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_i0_ap_sub; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_i0_ap_slt; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_i0_ap_unsign; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_i0_ap_jal; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_i0_ap_predict_t; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_i0_ap_predict_nt; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_i0_ap_csr_write; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_i0_ap_csr_imm; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_valid; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pc4; // @[quasar.scala 77:19] + wire [1:0] exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_hist; // @[quasar.scala 77:19] + wire [11:0] exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_toffset; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_error; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_start_error; // @[quasar.scala 77:19] + wire [30:0] exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_prett; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pcall; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pret; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pja; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_way; // @[quasar.scala 77:19] + wire [7:0] exu_io_dec_exu_decode_exu_i0_predict_fghr_d; // @[quasar.scala 77:19] + wire [7:0] exu_io_dec_exu_decode_exu_i0_predict_index_d; // @[quasar.scala 77:19] + wire [4:0] exu_io_dec_exu_decode_exu_i0_predict_btag_d; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_dec_i0_rs1_en_d; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_dec_i0_rs2_en_d; // @[quasar.scala 77:19] + wire [31:0] exu_io_dec_exu_decode_exu_dec_i0_immed_d; // @[quasar.scala 77:19] + wire [31:0] exu_io_dec_exu_decode_exu_dec_i0_rs1_bypass_data_d; // @[quasar.scala 77:19] + wire [31:0] exu_io_dec_exu_decode_exu_dec_i0_rs2_bypass_data_d; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_dec_i0_select_pc_d; // @[quasar.scala 77:19] + wire [1:0] exu_io_dec_exu_decode_exu_dec_i0_rs1_bypass_en_d; // @[quasar.scala 77:19] + wire [1:0] exu_io_dec_exu_decode_exu_dec_i0_rs2_bypass_en_d; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_mul_p_valid; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_mul_p_bits_rs1_sign; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_mul_p_bits_rs2_sign; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_mul_p_bits_low; // @[quasar.scala 77:19] + wire [30:0] exu_io_dec_exu_decode_exu_pred_correct_npc_x; // @[quasar.scala 77:19] + wire exu_io_dec_exu_decode_exu_dec_extint_stall; // @[quasar.scala 77:19] + wire [31:0] exu_io_dec_exu_decode_exu_exu_i0_result_x; // @[quasar.scala 77:19] + wire [31:0] exu_io_dec_exu_decode_exu_exu_csr_rs1_x; // @[quasar.scala 77:19] + wire [29:0] exu_io_dec_exu_tlu_exu_dec_tlu_meihap; // @[quasar.scala 77:19] + wire exu_io_dec_exu_tlu_exu_dec_tlu_flush_lower_r; // @[quasar.scala 77:19] + wire [30:0] exu_io_dec_exu_tlu_exu_dec_tlu_flush_path_r; // @[quasar.scala 77:19] + wire [1:0] exu_io_dec_exu_tlu_exu_exu_i0_br_hist_r; // @[quasar.scala 77:19] + wire exu_io_dec_exu_tlu_exu_exu_i0_br_error_r; // @[quasar.scala 77:19] + wire exu_io_dec_exu_tlu_exu_exu_i0_br_start_error_r; // @[quasar.scala 77:19] + wire [7:0] exu_io_dec_exu_tlu_exu_exu_i0_br_index_r; // @[quasar.scala 77:19] + wire exu_io_dec_exu_tlu_exu_exu_i0_br_valid_r; // @[quasar.scala 77:19] + wire exu_io_dec_exu_tlu_exu_exu_i0_br_mp_r; // @[quasar.scala 77:19] + wire exu_io_dec_exu_tlu_exu_exu_i0_br_middle_r; // @[quasar.scala 77:19] + wire exu_io_dec_exu_tlu_exu_exu_pmu_i0_br_misp; // @[quasar.scala 77:19] + wire exu_io_dec_exu_tlu_exu_exu_pmu_i0_br_ataken; // @[quasar.scala 77:19] + wire exu_io_dec_exu_tlu_exu_exu_pmu_i0_pc4; // @[quasar.scala 77:19] + wire [30:0] exu_io_dec_exu_tlu_exu_exu_npc_r; // @[quasar.scala 77:19] + wire [30:0] exu_io_dec_exu_ib_exu_dec_i0_pc_d; // @[quasar.scala 77:19] + wire exu_io_dec_exu_ib_exu_dec_debug_wdata_rs1_d; // @[quasar.scala 77:19] + wire [31:0] exu_io_dec_exu_gpr_exu_gpr_i0_rs1_d; // @[quasar.scala 77:19] + wire [31:0] exu_io_dec_exu_gpr_exu_gpr_i0_rs2_d; // @[quasar.scala 77:19] + wire [7:0] exu_io_exu_bp_exu_i0_br_fghr_r; // @[quasar.scala 77:19] + wire exu_io_exu_bp_exu_i0_br_way_r; // @[quasar.scala 77:19] + wire exu_io_exu_bp_exu_mp_pkt_bits_misp; // @[quasar.scala 77:19] + wire exu_io_exu_bp_exu_mp_pkt_bits_ataken; // @[quasar.scala 77:19] + wire exu_io_exu_bp_exu_mp_pkt_bits_boffset; // @[quasar.scala 77:19] + wire exu_io_exu_bp_exu_mp_pkt_bits_pc4; // @[quasar.scala 77:19] + wire [1:0] exu_io_exu_bp_exu_mp_pkt_bits_hist; // @[quasar.scala 77:19] + wire [11:0] exu_io_exu_bp_exu_mp_pkt_bits_toffset; // @[quasar.scala 77:19] + wire exu_io_exu_bp_exu_mp_pkt_bits_pcall; // @[quasar.scala 77:19] + wire exu_io_exu_bp_exu_mp_pkt_bits_pret; // @[quasar.scala 77:19] + wire exu_io_exu_bp_exu_mp_pkt_bits_pja; // @[quasar.scala 77:19] + wire exu_io_exu_bp_exu_mp_pkt_bits_way; // @[quasar.scala 77:19] + wire [7:0] exu_io_exu_bp_exu_mp_eghr; // @[quasar.scala 77:19] + wire [7:0] exu_io_exu_bp_exu_mp_fghr; // @[quasar.scala 77:19] + wire [7:0] exu_io_exu_bp_exu_mp_index; // @[quasar.scala 77:19] + wire [4:0] exu_io_exu_bp_exu_mp_btag; // @[quasar.scala 77:19] + wire exu_io_exu_flush_final; // @[quasar.scala 77:19] + wire [31:0] exu_io_exu_div_result; // @[quasar.scala 77:19] + wire exu_io_exu_div_wren; // @[quasar.scala 77:19] + wire [31:0] exu_io_dbg_cmd_wrdata; // @[quasar.scala 77:19] + wire [31:0] exu_io_lsu_exu_exu_lsu_rs1_d; // @[quasar.scala 77:19] + wire [31:0] exu_io_lsu_exu_exu_lsu_rs2_d; // @[quasar.scala 77:19] + wire [30:0] exu_io_exu_flush_path_final; // @[quasar.scala 77:19] + wire lsu_clock; // @[quasar.scala 78:19] + wire lsu_reset; // @[quasar.scala 78:19] + wire lsu_io_clk_override; // @[quasar.scala 78:19] + wire lsu_io_lsu_dma_dma_lsc_ctl_dma_dccm_req; // @[quasar.scala 78:19] + wire [31:0] lsu_io_lsu_dma_dma_lsc_ctl_dma_mem_addr; // @[quasar.scala 78:19] + wire [2:0] lsu_io_lsu_dma_dma_lsc_ctl_dma_mem_sz; // @[quasar.scala 78:19] + wire lsu_io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[quasar.scala 78:19] + wire [63:0] lsu_io_lsu_dma_dma_lsc_ctl_dma_mem_wdata; // @[quasar.scala 78:19] + wire [31:0] lsu_io_lsu_dma_dma_dccm_ctl_dma_mem_addr; // @[quasar.scala 78:19] + wire [63:0] lsu_io_lsu_dma_dma_dccm_ctl_dma_mem_wdata; // @[quasar.scala 78:19] + wire lsu_io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid; // @[quasar.scala 78:19] + wire lsu_io_lsu_dma_dma_dccm_ctl_dccm_dma_ecc_error; // @[quasar.scala 78:19] + wire [2:0] lsu_io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag; // @[quasar.scala 78:19] + wire [63:0] lsu_io_lsu_dma_dma_dccm_ctl_dccm_dma_rdata; // @[quasar.scala 78:19] + wire lsu_io_lsu_dma_dccm_ready; // @[quasar.scala 78:19] + wire [2:0] lsu_io_lsu_dma_dma_mem_tag; // @[quasar.scala 78:19] + wire lsu_io_lsu_pic_picm_wren; // @[quasar.scala 78:19] + wire lsu_io_lsu_pic_picm_rden; // @[quasar.scala 78:19] + wire lsu_io_lsu_pic_picm_mken; // @[quasar.scala 78:19] + wire [31:0] lsu_io_lsu_pic_picm_rdaddr; // @[quasar.scala 78:19] + wire [31:0] lsu_io_lsu_pic_picm_wraddr; // @[quasar.scala 78:19] + wire [31:0] lsu_io_lsu_pic_picm_wr_data; // @[quasar.scala 78:19] + wire [31:0] lsu_io_lsu_pic_picm_rd_data; // @[quasar.scala 78:19] + wire [31:0] lsu_io_lsu_exu_exu_lsu_rs1_d; // @[quasar.scala 78:19] + wire [31:0] lsu_io_lsu_exu_exu_lsu_rs2_d; // @[quasar.scala 78:19] + wire lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_trxn; // @[quasar.scala 78:19] + wire lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_misaligned; // @[quasar.scala 78:19] + wire lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_error; // @[quasar.scala 78:19] + wire lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy; // @[quasar.scala 78:19] + wire lsu_io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[quasar.scala 78:19] + wire lsu_io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[quasar.scala 78:19] + wire lsu_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any; // @[quasar.scala 78:19] + wire lsu_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any; // @[quasar.scala 78:19] + wire [31:0] lsu_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_addr_any; // @[quasar.scala 78:19] + wire lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_valid_m; // @[quasar.scala 78:19] + wire [1:0] lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_tag_m; // @[quasar.scala 78:19] + wire lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_r; // @[quasar.scala 78:19] + wire [1:0] lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_tag_r; // @[quasar.scala 78:19] + wire lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_valid; // @[quasar.scala 78:19] + wire lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_error; // @[quasar.scala 78:19] + wire [1:0] lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag; // @[quasar.scala 78:19] + wire [31:0] lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data; // @[quasar.scala 78:19] + wire lsu_io_dccm_wren; // @[quasar.scala 78:19] + wire lsu_io_dccm_rden; // @[quasar.scala 78:19] + wire [15:0] lsu_io_dccm_wr_addr_lo; // @[quasar.scala 78:19] + wire [15:0] lsu_io_dccm_wr_addr_hi; // @[quasar.scala 78:19] + wire [15:0] lsu_io_dccm_rd_addr_lo; // @[quasar.scala 78:19] + wire [15:0] lsu_io_dccm_rd_addr_hi; // @[quasar.scala 78:19] + wire [38:0] lsu_io_dccm_wr_data_lo; // @[quasar.scala 78:19] + wire [38:0] lsu_io_dccm_wr_data_hi; // @[quasar.scala 78:19] + wire [38:0] lsu_io_dccm_rd_data_lo; // @[quasar.scala 78:19] + wire [38:0] lsu_io_dccm_rd_data_hi; // @[quasar.scala 78:19] + wire lsu_io_lsu_tlu_lsu_pmu_load_external_m; // @[quasar.scala 78:19] + wire lsu_io_lsu_tlu_lsu_pmu_store_external_m; // @[quasar.scala 78:19] + wire lsu_io_axi_aw_ready; // @[quasar.scala 78:19] + wire lsu_io_axi_aw_valid; // @[quasar.scala 78:19] + wire [2:0] lsu_io_axi_aw_bits_id; // @[quasar.scala 78:19] + wire [31:0] lsu_io_axi_aw_bits_addr; // @[quasar.scala 78:19] + wire [2:0] lsu_io_axi_aw_bits_size; // @[quasar.scala 78:19] + wire lsu_io_axi_w_ready; // @[quasar.scala 78:19] + wire lsu_io_axi_w_valid; // @[quasar.scala 78:19] + wire [63:0] lsu_io_axi_w_bits_data; // @[quasar.scala 78:19] + wire [7:0] lsu_io_axi_w_bits_strb; // @[quasar.scala 78:19] + wire lsu_io_axi_b_valid; // @[quasar.scala 78:19] + wire [1:0] lsu_io_axi_b_bits_resp; // @[quasar.scala 78:19] + wire [2:0] lsu_io_axi_b_bits_id; // @[quasar.scala 78:19] + wire lsu_io_axi_ar_ready; // @[quasar.scala 78:19] + wire lsu_io_axi_ar_valid; // @[quasar.scala 78:19] + wire [2:0] lsu_io_axi_ar_bits_id; // @[quasar.scala 78:19] + wire [31:0] lsu_io_axi_ar_bits_addr; // @[quasar.scala 78:19] + wire [2:0] lsu_io_axi_ar_bits_size; // @[quasar.scala 78:19] + wire lsu_io_axi_r_valid; // @[quasar.scala 78:19] + wire [2:0] lsu_io_axi_r_bits_id; // @[quasar.scala 78:19] + wire [63:0] lsu_io_axi_r_bits_data; // @[quasar.scala 78:19] + wire [1:0] lsu_io_axi_r_bits_resp; // @[quasar.scala 78:19] + wire lsu_io_dec_tlu_flush_lower_r; // @[quasar.scala 78:19] + wire lsu_io_dec_tlu_i0_kill_writeb_r; // @[quasar.scala 78:19] + wire lsu_io_dec_tlu_force_halt; // @[quasar.scala 78:19] + wire lsu_io_dec_tlu_core_ecc_disable; // @[quasar.scala 78:19] + wire [11:0] lsu_io_dec_lsu_offset_d; // @[quasar.scala 78:19] + wire lsu_io_lsu_p_valid; // @[quasar.scala 78:19] + wire lsu_io_lsu_p_bits_fast_int; // @[quasar.scala 78:19] + wire lsu_io_lsu_p_bits_by; // @[quasar.scala 78:19] + wire lsu_io_lsu_p_bits_half; // @[quasar.scala 78:19] + wire lsu_io_lsu_p_bits_word; // @[quasar.scala 78:19] + wire lsu_io_lsu_p_bits_load; // @[quasar.scala 78:19] + wire lsu_io_lsu_p_bits_store; // @[quasar.scala 78:19] + wire lsu_io_lsu_p_bits_unsign; // @[quasar.scala 78:19] + wire lsu_io_lsu_p_bits_store_data_bypass_d; // @[quasar.scala 78:19] + wire lsu_io_lsu_p_bits_load_ldst_bypass_d; // @[quasar.scala 78:19] + wire lsu_io_trigger_pkt_any_0_select; // @[quasar.scala 78:19] + wire lsu_io_trigger_pkt_any_0_match_pkt; // @[quasar.scala 78:19] + wire lsu_io_trigger_pkt_any_0_store; // @[quasar.scala 78:19] + wire lsu_io_trigger_pkt_any_0_load; // @[quasar.scala 78:19] + wire [31:0] lsu_io_trigger_pkt_any_0_tdata2; // @[quasar.scala 78:19] + wire lsu_io_trigger_pkt_any_1_select; // @[quasar.scala 78:19] + wire lsu_io_trigger_pkt_any_1_match_pkt; // @[quasar.scala 78:19] + wire lsu_io_trigger_pkt_any_1_store; // @[quasar.scala 78:19] + wire lsu_io_trigger_pkt_any_1_load; // @[quasar.scala 78:19] + wire [31:0] lsu_io_trigger_pkt_any_1_tdata2; // @[quasar.scala 78:19] + wire lsu_io_trigger_pkt_any_2_select; // @[quasar.scala 78:19] + wire lsu_io_trigger_pkt_any_2_match_pkt; // @[quasar.scala 78:19] + wire lsu_io_trigger_pkt_any_2_store; // @[quasar.scala 78:19] + wire lsu_io_trigger_pkt_any_2_load; // @[quasar.scala 78:19] + wire [31:0] lsu_io_trigger_pkt_any_2_tdata2; // @[quasar.scala 78:19] + wire lsu_io_trigger_pkt_any_3_select; // @[quasar.scala 78:19] + wire lsu_io_trigger_pkt_any_3_match_pkt; // @[quasar.scala 78:19] + wire lsu_io_trigger_pkt_any_3_store; // @[quasar.scala 78:19] + wire lsu_io_trigger_pkt_any_3_load; // @[quasar.scala 78:19] + wire [31:0] lsu_io_trigger_pkt_any_3_tdata2; // @[quasar.scala 78:19] + wire lsu_io_dec_lsu_valid_raw_d; // @[quasar.scala 78:19] + wire [31:0] lsu_io_dec_tlu_mrac_ff; // @[quasar.scala 78:19] + wire [31:0] lsu_io_lsu_result_m; // @[quasar.scala 78:19] + wire [31:0] lsu_io_lsu_result_corr_r; // @[quasar.scala 78:19] + wire lsu_io_lsu_load_stall_any; // @[quasar.scala 78:19] + wire lsu_io_lsu_store_stall_any; // @[quasar.scala 78:19] + wire lsu_io_lsu_fastint_stall_any; // @[quasar.scala 78:19] + wire lsu_io_lsu_idle_any; // @[quasar.scala 78:19] + wire [30:0] lsu_io_lsu_fir_addr; // @[quasar.scala 78:19] + wire [1:0] lsu_io_lsu_fir_error; // @[quasar.scala 78:19] + wire lsu_io_lsu_single_ecc_error_incr; // @[quasar.scala 78:19] + wire lsu_io_lsu_error_pkt_r_valid; // @[quasar.scala 78:19] + wire lsu_io_lsu_error_pkt_r_bits_single_ecc_error; // @[quasar.scala 78:19] + wire lsu_io_lsu_error_pkt_r_bits_inst_type; // @[quasar.scala 78:19] + wire lsu_io_lsu_error_pkt_r_bits_exc_type; // @[quasar.scala 78:19] + wire [3:0] lsu_io_lsu_error_pkt_r_bits_mscause; // @[quasar.scala 78:19] + wire [31:0] lsu_io_lsu_error_pkt_r_bits_addr; // @[quasar.scala 78:19] + wire lsu_io_lsu_pmu_misaligned_m; // @[quasar.scala 78:19] + wire [3:0] lsu_io_lsu_trigger_match_m; // @[quasar.scala 78:19] + wire lsu_io_lsu_bus_clk_en; // @[quasar.scala 78:19] + wire lsu_io_scan_mode; // @[quasar.scala 78:19] + wire lsu_io_free_clk; // @[quasar.scala 78:19] + wire pic_ctrl_inst_clock; // @[quasar.scala 79:29] + wire pic_ctrl_inst_reset; // @[quasar.scala 79:29] + wire pic_ctrl_inst_io_scan_mode; // @[quasar.scala 79:29] + wire pic_ctrl_inst_io_free_clk; // @[quasar.scala 79:29] + wire pic_ctrl_inst_io_active_clk; // @[quasar.scala 79:29] + wire pic_ctrl_inst_io_clk_override; // @[quasar.scala 79:29] + wire [31:0] pic_ctrl_inst_io_extintsrc_req; // @[quasar.scala 79:29] + wire pic_ctrl_inst_io_lsu_pic_picm_wren; // @[quasar.scala 79:29] + wire pic_ctrl_inst_io_lsu_pic_picm_rden; // @[quasar.scala 79:29] + wire pic_ctrl_inst_io_lsu_pic_picm_mken; // @[quasar.scala 79:29] + wire [31:0] pic_ctrl_inst_io_lsu_pic_picm_rdaddr; // @[quasar.scala 79:29] + wire [31:0] pic_ctrl_inst_io_lsu_pic_picm_wraddr; // @[quasar.scala 79:29] + wire [31:0] pic_ctrl_inst_io_lsu_pic_picm_wr_data; // @[quasar.scala 79:29] + wire [31:0] pic_ctrl_inst_io_lsu_pic_picm_rd_data; // @[quasar.scala 79:29] + wire [7:0] pic_ctrl_inst_io_dec_pic_pic_claimid; // @[quasar.scala 79:29] + wire [3:0] pic_ctrl_inst_io_dec_pic_pic_pl; // @[quasar.scala 79:29] + wire pic_ctrl_inst_io_dec_pic_mhwakeup; // @[quasar.scala 79:29] + wire [3:0] pic_ctrl_inst_io_dec_pic_dec_tlu_meicurpl; // @[quasar.scala 79:29] + wire [3:0] pic_ctrl_inst_io_dec_pic_dec_tlu_meipt; // @[quasar.scala 79:29] + wire pic_ctrl_inst_io_dec_pic_mexintpend; // @[quasar.scala 79:29] + wire dma_ctrl_clock; // @[quasar.scala 80:24] + wire dma_ctrl_reset; // @[quasar.scala 80:24] + wire dma_ctrl_io_free_clk; // @[quasar.scala 80:24] + wire dma_ctrl_io_dma_bus_clk_en; // @[quasar.scala 80:24] + wire dma_ctrl_io_clk_override; // @[quasar.scala 80:24] + wire dma_ctrl_io_scan_mode; // @[quasar.scala 80:24] + wire [1:0] dma_ctrl_io_dbg_cmd_size; // @[quasar.scala 80:24] + wire [31:0] dma_ctrl_io_dma_dbg_rddata; // @[quasar.scala 80:24] + wire dma_ctrl_io_dma_dbg_cmd_done; // @[quasar.scala 80:24] + wire dma_ctrl_io_dma_dbg_cmd_fail; // @[quasar.scala 80:24] + wire dma_ctrl_io_dbg_dma_dbg_ib_dbg_cmd_valid; // @[quasar.scala 80:24] + wire dma_ctrl_io_dbg_dma_dbg_ib_dbg_cmd_write; // @[quasar.scala 80:24] + wire [1:0] dma_ctrl_io_dbg_dma_dbg_ib_dbg_cmd_type; // @[quasar.scala 80:24] + wire [31:0] dma_ctrl_io_dbg_dma_dbg_ib_dbg_cmd_addr; // @[quasar.scala 80:24] + wire [31:0] dma_ctrl_io_dbg_dma_dbg_dctl_dbg_cmd_wrdata; // @[quasar.scala 80:24] + wire dma_ctrl_io_dbg_dma_io_dbg_dma_bubble; // @[quasar.scala 80:24] + wire dma_ctrl_io_dbg_dma_io_dma_dbg_ready; // @[quasar.scala 80:24] + wire dma_ctrl_io_dec_dma_dctl_dma_dma_dccm_stall_any; // @[quasar.scala 80:24] + wire dma_ctrl_io_dec_dma_tlu_dma_dma_pmu_dccm_read; // @[quasar.scala 80:24] + wire dma_ctrl_io_dec_dma_tlu_dma_dma_pmu_dccm_write; // @[quasar.scala 80:24] + wire dma_ctrl_io_dec_dma_tlu_dma_dma_pmu_any_read; // @[quasar.scala 80:24] + wire dma_ctrl_io_dec_dma_tlu_dma_dma_pmu_any_write; // @[quasar.scala 80:24] + wire [2:0] dma_ctrl_io_dec_dma_tlu_dma_dec_tlu_dma_qos_prty; // @[quasar.scala 80:24] + wire dma_ctrl_io_dec_dma_tlu_dma_dma_dccm_stall_any; // @[quasar.scala 80:24] + wire dma_ctrl_io_dec_dma_tlu_dma_dma_iccm_stall_any; // @[quasar.scala 80:24] + wire dma_ctrl_io_iccm_dma_rvalid; // @[quasar.scala 80:24] + wire dma_ctrl_io_iccm_dma_ecc_error; // @[quasar.scala 80:24] + wire [2:0] dma_ctrl_io_iccm_dma_rtag; // @[quasar.scala 80:24] + wire [63:0] dma_ctrl_io_iccm_dma_rdata; // @[quasar.scala 80:24] + wire dma_ctrl_io_iccm_ready; // @[quasar.scala 80:24] + wire dma_ctrl_io_dma_axi_aw_ready; // @[quasar.scala 80:24] + wire dma_ctrl_io_dma_axi_aw_valid; // @[quasar.scala 80:24] + wire [31:0] dma_ctrl_io_dma_axi_aw_bits_addr; // @[quasar.scala 80:24] + wire [2:0] dma_ctrl_io_dma_axi_aw_bits_size; // @[quasar.scala 80:24] + wire dma_ctrl_io_dma_axi_w_ready; // @[quasar.scala 80:24] + wire dma_ctrl_io_dma_axi_w_valid; // @[quasar.scala 80:24] + wire [63:0] dma_ctrl_io_dma_axi_w_bits_data; // @[quasar.scala 80:24] + wire [7:0] dma_ctrl_io_dma_axi_w_bits_strb; // @[quasar.scala 80:24] + wire dma_ctrl_io_dma_axi_b_valid; // @[quasar.scala 80:24] + wire dma_ctrl_io_dma_axi_ar_ready; // @[quasar.scala 80:24] + wire dma_ctrl_io_dma_axi_ar_valid; // @[quasar.scala 80:24] + wire [31:0] dma_ctrl_io_dma_axi_ar_bits_addr; // @[quasar.scala 80:24] + wire [2:0] dma_ctrl_io_dma_axi_ar_bits_size; // @[quasar.scala 80:24] + wire dma_ctrl_io_dma_axi_r_valid; // @[quasar.scala 80:24] + wire [63:0] dma_ctrl_io_dma_axi_r_bits_data; // @[quasar.scala 80:24] + wire [1:0] dma_ctrl_io_dma_axi_r_bits_resp; // @[quasar.scala 80:24] + wire dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_dccm_req; // @[quasar.scala 80:24] + wire [31:0] dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_mem_addr; // @[quasar.scala 80:24] + wire [2:0] dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_mem_sz; // @[quasar.scala 80:24] + wire dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[quasar.scala 80:24] + wire [63:0] dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_mem_wdata; // @[quasar.scala 80:24] + wire [31:0] dma_ctrl_io_lsu_dma_dma_dccm_ctl_dma_mem_addr; // @[quasar.scala 80:24] + wire [63:0] dma_ctrl_io_lsu_dma_dma_dccm_ctl_dma_mem_wdata; // @[quasar.scala 80:24] + wire dma_ctrl_io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid; // @[quasar.scala 80:24] + wire dma_ctrl_io_lsu_dma_dma_dccm_ctl_dccm_dma_ecc_error; // @[quasar.scala 80:24] + wire [2:0] dma_ctrl_io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag; // @[quasar.scala 80:24] + wire [63:0] dma_ctrl_io_lsu_dma_dma_dccm_ctl_dccm_dma_rdata; // @[quasar.scala 80:24] + wire dma_ctrl_io_lsu_dma_dccm_ready; // @[quasar.scala 80:24] + wire [2:0] dma_ctrl_io_lsu_dma_dma_mem_tag; // @[quasar.scala 80:24] + wire dma_ctrl_io_ifu_dma_dma_ifc_dma_iccm_stall_any; // @[quasar.scala 80:24] + wire dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_iccm_req; // @[quasar.scala 80:24] + wire [31:0] dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_addr; // @[quasar.scala 80:24] + wire [2:0] dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_sz; // @[quasar.scala 80:24] + wire dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_write; // @[quasar.scala 80:24] + wire [63:0] dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_wdata; // @[quasar.scala 80:24] + wire [2:0] dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_tag; // @[quasar.scala 80:24] wire rvclkhdr_io_l1clk; // @[lib.scala 343:22] wire rvclkhdr_io_clk; // @[lib.scala 343:22] wire rvclkhdr_io_en; // @[lib.scala 343:22] @@ -81406,11 +83776,137 @@ module quasar( wire rvclkhdr_1_io_clk; // @[lib.scala 343:22] wire rvclkhdr_1_io_en; // @[lib.scala 343:22] wire rvclkhdr_1_io_scan_mode; // @[lib.scala 343:22] - wire _T_1 = dbg_io_dbg_core_rst_l; // @[quasar.scala 166:67] - wire _T_2 = _T_1 | io_scan_mode; // @[quasar.scala 166:70] - wire _T_5 = ~dec_io_dec_pause_state_cg; // @[quasar.scala 167:23] - wire _T_6 = _T_5 | dec_io_dec_exu_tlu_exu_dec_tlu_flush_lower_r; // @[quasar.scala 167:50] - ifu ifu ( // @[quasar.scala 158:19] + wire axi4_to_ahb_clock; // @[quasar.scala 241:32] + wire axi4_to_ahb_reset; // @[quasar.scala 241:32] + wire axi4_to_ahb_io_scan_mode; // @[quasar.scala 241:32] + wire axi4_to_ahb_io_bus_clk_en; // @[quasar.scala 241:32] + wire axi4_to_ahb_io_clk_override; // @[quasar.scala 241:32] + wire axi4_to_ahb_io_axi_aw_ready; // @[quasar.scala 241:32] + wire axi4_to_ahb_io_axi_aw_valid; // @[quasar.scala 241:32] + wire [31:0] axi4_to_ahb_io_axi_aw_bits_addr; // @[quasar.scala 241:32] + wire [2:0] axi4_to_ahb_io_axi_aw_bits_size; // @[quasar.scala 241:32] + wire axi4_to_ahb_io_axi_w_ready; // @[quasar.scala 241:32] + wire axi4_to_ahb_io_axi_w_valid; // @[quasar.scala 241:32] + wire [63:0] axi4_to_ahb_io_axi_w_bits_data; // @[quasar.scala 241:32] + wire [7:0] axi4_to_ahb_io_axi_w_bits_strb; // @[quasar.scala 241:32] + wire axi4_to_ahb_io_axi_b_valid; // @[quasar.scala 241:32] + wire [1:0] axi4_to_ahb_io_axi_b_bits_resp; // @[quasar.scala 241:32] + wire axi4_to_ahb_io_axi_ar_ready; // @[quasar.scala 241:32] + wire axi4_to_ahb_io_axi_ar_valid; // @[quasar.scala 241:32] + wire [31:0] axi4_to_ahb_io_axi_ar_bits_addr; // @[quasar.scala 241:32] + wire [2:0] axi4_to_ahb_io_axi_ar_bits_size; // @[quasar.scala 241:32] + wire axi4_to_ahb_io_axi_r_valid; // @[quasar.scala 241:32] + wire [63:0] axi4_to_ahb_io_axi_r_bits_data; // @[quasar.scala 241:32] + wire [1:0] axi4_to_ahb_io_axi_r_bits_resp; // @[quasar.scala 241:32] + wire [63:0] axi4_to_ahb_io_ahb_in_hrdata; // @[quasar.scala 241:32] + wire axi4_to_ahb_io_ahb_in_hready; // @[quasar.scala 241:32] + wire axi4_to_ahb_io_ahb_in_hresp; // @[quasar.scala 241:32] + wire [31:0] axi4_to_ahb_io_ahb_out_haddr; // @[quasar.scala 241:32] + wire [2:0] axi4_to_ahb_io_ahb_out_hsize; // @[quasar.scala 241:32] + wire [1:0] axi4_to_ahb_io_ahb_out_htrans; // @[quasar.scala 241:32] + wire axi4_to_ahb_io_ahb_out_hwrite; // @[quasar.scala 241:32] + wire [63:0] axi4_to_ahb_io_ahb_out_hwdata; // @[quasar.scala 241:32] + wire axi4_to_ahb_1_clock; // @[quasar.scala 242:33] + wire axi4_to_ahb_1_reset; // @[quasar.scala 242:33] + wire axi4_to_ahb_1_io_scan_mode; // @[quasar.scala 242:33] + wire axi4_to_ahb_1_io_bus_clk_en; // @[quasar.scala 242:33] + wire axi4_to_ahb_1_io_clk_override; // @[quasar.scala 242:33] + wire axi4_to_ahb_1_io_axi_aw_ready; // @[quasar.scala 242:33] + wire axi4_to_ahb_1_io_axi_aw_valid; // @[quasar.scala 242:33] + wire [2:0] axi4_to_ahb_1_io_axi_aw_bits_id; // @[quasar.scala 242:33] + wire [31:0] axi4_to_ahb_1_io_axi_aw_bits_addr; // @[quasar.scala 242:33] + wire [2:0] axi4_to_ahb_1_io_axi_aw_bits_size; // @[quasar.scala 242:33] + wire axi4_to_ahb_1_io_axi_w_ready; // @[quasar.scala 242:33] + wire axi4_to_ahb_1_io_axi_w_valid; // @[quasar.scala 242:33] + wire [63:0] axi4_to_ahb_1_io_axi_w_bits_data; // @[quasar.scala 242:33] + wire [7:0] axi4_to_ahb_1_io_axi_w_bits_strb; // @[quasar.scala 242:33] + wire axi4_to_ahb_1_io_axi_b_valid; // @[quasar.scala 242:33] + wire [1:0] axi4_to_ahb_1_io_axi_b_bits_resp; // @[quasar.scala 242:33] + wire [2:0] axi4_to_ahb_1_io_axi_b_bits_id; // @[quasar.scala 242:33] + wire axi4_to_ahb_1_io_axi_ar_ready; // @[quasar.scala 242:33] + wire axi4_to_ahb_1_io_axi_ar_valid; // @[quasar.scala 242:33] + wire [2:0] axi4_to_ahb_1_io_axi_ar_bits_id; // @[quasar.scala 242:33] + wire [31:0] axi4_to_ahb_1_io_axi_ar_bits_addr; // @[quasar.scala 242:33] + wire [2:0] axi4_to_ahb_1_io_axi_ar_bits_size; // @[quasar.scala 242:33] + wire axi4_to_ahb_1_io_axi_r_valid; // @[quasar.scala 242:33] + wire [2:0] axi4_to_ahb_1_io_axi_r_bits_id; // @[quasar.scala 242:33] + wire [63:0] axi4_to_ahb_1_io_axi_r_bits_data; // @[quasar.scala 242:33] + wire [1:0] axi4_to_ahb_1_io_axi_r_bits_resp; // @[quasar.scala 242:33] + wire [63:0] axi4_to_ahb_1_io_ahb_in_hrdata; // @[quasar.scala 242:33] + wire axi4_to_ahb_1_io_ahb_in_hready; // @[quasar.scala 242:33] + wire axi4_to_ahb_1_io_ahb_in_hresp; // @[quasar.scala 242:33] + wire [31:0] axi4_to_ahb_1_io_ahb_out_haddr; // @[quasar.scala 242:33] + wire [2:0] axi4_to_ahb_1_io_ahb_out_hsize; // @[quasar.scala 242:33] + wire [1:0] axi4_to_ahb_1_io_ahb_out_htrans; // @[quasar.scala 242:33] + wire axi4_to_ahb_1_io_ahb_out_hwrite; // @[quasar.scala 242:33] + wire [63:0] axi4_to_ahb_1_io_ahb_out_hwdata; // @[quasar.scala 242:33] + wire axi4_to_ahb_2_clock; // @[quasar.scala 243:33] + wire axi4_to_ahb_2_reset; // @[quasar.scala 243:33] + wire axi4_to_ahb_2_io_scan_mode; // @[quasar.scala 243:33] + wire axi4_to_ahb_2_io_bus_clk_en; // @[quasar.scala 243:33] + wire axi4_to_ahb_2_io_clk_override; // @[quasar.scala 243:33] + wire axi4_to_ahb_2_io_axi_aw_ready; // @[quasar.scala 243:33] + wire axi4_to_ahb_2_io_axi_aw_valid; // @[quasar.scala 243:33] + wire [2:0] axi4_to_ahb_2_io_axi_aw_bits_id; // @[quasar.scala 243:33] + wire [31:0] axi4_to_ahb_2_io_axi_aw_bits_addr; // @[quasar.scala 243:33] + wire [2:0] axi4_to_ahb_2_io_axi_aw_bits_size; // @[quasar.scala 243:33] + wire axi4_to_ahb_2_io_axi_w_ready; // @[quasar.scala 243:33] + wire axi4_to_ahb_2_io_axi_w_valid; // @[quasar.scala 243:33] + wire [63:0] axi4_to_ahb_2_io_axi_w_bits_data; // @[quasar.scala 243:33] + wire [7:0] axi4_to_ahb_2_io_axi_w_bits_strb; // @[quasar.scala 243:33] + wire axi4_to_ahb_2_io_axi_b_valid; // @[quasar.scala 243:33] + wire [1:0] axi4_to_ahb_2_io_axi_b_bits_resp; // @[quasar.scala 243:33] + wire [2:0] axi4_to_ahb_2_io_axi_b_bits_id; // @[quasar.scala 243:33] + wire axi4_to_ahb_2_io_axi_ar_ready; // @[quasar.scala 243:33] + wire axi4_to_ahb_2_io_axi_ar_valid; // @[quasar.scala 243:33] + wire [2:0] axi4_to_ahb_2_io_axi_ar_bits_id; // @[quasar.scala 243:33] + wire [31:0] axi4_to_ahb_2_io_axi_ar_bits_addr; // @[quasar.scala 243:33] + wire [2:0] axi4_to_ahb_2_io_axi_ar_bits_size; // @[quasar.scala 243:33] + wire axi4_to_ahb_2_io_axi_r_valid; // @[quasar.scala 243:33] + wire [2:0] axi4_to_ahb_2_io_axi_r_bits_id; // @[quasar.scala 243:33] + wire [63:0] axi4_to_ahb_2_io_axi_r_bits_data; // @[quasar.scala 243:33] + wire [1:0] axi4_to_ahb_2_io_axi_r_bits_resp; // @[quasar.scala 243:33] + wire [63:0] axi4_to_ahb_2_io_ahb_in_hrdata; // @[quasar.scala 243:33] + wire axi4_to_ahb_2_io_ahb_in_hready; // @[quasar.scala 243:33] + wire axi4_to_ahb_2_io_ahb_in_hresp; // @[quasar.scala 243:33] + wire [31:0] axi4_to_ahb_2_io_ahb_out_haddr; // @[quasar.scala 243:33] + wire [2:0] axi4_to_ahb_2_io_ahb_out_hsize; // @[quasar.scala 243:33] + wire [1:0] axi4_to_ahb_2_io_ahb_out_htrans; // @[quasar.scala 243:33] + wire axi4_to_ahb_2_io_ahb_out_hwrite; // @[quasar.scala 243:33] + wire [63:0] axi4_to_ahb_2_io_ahb_out_hwdata; // @[quasar.scala 243:33] + wire ahb_to_axi4_clock; // @[quasar.scala 244:33] + wire ahb_to_axi4_reset; // @[quasar.scala 244:33] + wire ahb_to_axi4_io_scan_mode; // @[quasar.scala 244:33] + wire ahb_to_axi4_io_bus_clk_en; // @[quasar.scala 244:33] + wire ahb_to_axi4_io_axi_aw_ready; // @[quasar.scala 244:33] + wire ahb_to_axi4_io_axi_aw_valid; // @[quasar.scala 244:33] + wire [31:0] ahb_to_axi4_io_axi_aw_bits_addr; // @[quasar.scala 244:33] + wire [2:0] ahb_to_axi4_io_axi_aw_bits_size; // @[quasar.scala 244:33] + wire ahb_to_axi4_io_axi_w_valid; // @[quasar.scala 244:33] + wire [63:0] ahb_to_axi4_io_axi_w_bits_data; // @[quasar.scala 244:33] + wire [7:0] ahb_to_axi4_io_axi_w_bits_strb; // @[quasar.scala 244:33] + wire ahb_to_axi4_io_axi_ar_ready; // @[quasar.scala 244:33] + wire ahb_to_axi4_io_axi_ar_valid; // @[quasar.scala 244:33] + wire [31:0] ahb_to_axi4_io_axi_ar_bits_addr; // @[quasar.scala 244:33] + wire [2:0] ahb_to_axi4_io_axi_ar_bits_size; // @[quasar.scala 244:33] + wire ahb_to_axi4_io_axi_r_valid; // @[quasar.scala 244:33] + wire [63:0] ahb_to_axi4_io_axi_r_bits_data; // @[quasar.scala 244:33] + wire [1:0] ahb_to_axi4_io_axi_r_bits_resp; // @[quasar.scala 244:33] + wire [63:0] ahb_to_axi4_io_ahb_sig_in_hrdata; // @[quasar.scala 244:33] + wire ahb_to_axi4_io_ahb_sig_in_hready; // @[quasar.scala 244:33] + wire ahb_to_axi4_io_ahb_sig_in_hresp; // @[quasar.scala 244:33] + wire [31:0] ahb_to_axi4_io_ahb_sig_out_haddr; // @[quasar.scala 244:33] + wire [2:0] ahb_to_axi4_io_ahb_sig_out_hsize; // @[quasar.scala 244:33] + wire [1:0] ahb_to_axi4_io_ahb_sig_out_htrans; // @[quasar.scala 244:33] + wire ahb_to_axi4_io_ahb_sig_out_hwrite; // @[quasar.scala 244:33] + wire [63:0] ahb_to_axi4_io_ahb_sig_out_hwdata; // @[quasar.scala 244:33] + wire ahb_to_axi4_io_ahb_hsel; // @[quasar.scala 244:33] + wire ahb_to_axi4_io_ahb_hreadyin; // @[quasar.scala 244:33] + wire _T_1 = dbg_io_dbg_core_rst_l; // @[quasar.scala 82:67] + wire _T_2 = _T_1 | io_scan_mode; // @[quasar.scala 82:70] + wire _T_5 = ~dec_io_dec_pause_state_cg; // @[quasar.scala 83:23] + wire _T_6 = _T_5 | dec_io_dec_exu_tlu_exu_dec_tlu_flush_lower_r; // @[quasar.scala 83:50] + ifu ifu ( // @[quasar.scala 74:19] .clock(ifu_clock), .reset(ifu_reset), .io_exu_flush_final(ifu_io_exu_flush_final), @@ -81518,7 +84014,6 @@ module quasar( .io_ifu_ar_valid(ifu_io_ifu_ar_valid), .io_ifu_ar_bits_id(ifu_io_ifu_ar_bits_id), .io_ifu_ar_bits_addr(ifu_io_ifu_ar_bits_addr), - .io_ifu_ar_bits_region(ifu_io_ifu_ar_bits_region), .io_ifu_r_valid(ifu_io_ifu_r_valid), .io_ifu_r_bits_id(ifu_io_ifu_r_bits_id), .io_ifu_r_bits_data(ifu_io_ifu_r_bits_data), @@ -81540,7 +84035,7 @@ module quasar( .io_dec_tlu_flush_lower_wb(ifu_io_dec_tlu_flush_lower_wb), .io_scan_mode(ifu_io_scan_mode) ); - dec dec ( // @[quasar.scala 159:19] + dec dec ( // @[quasar.scala 75:19] .clock(dec_clock), .reset(dec_reset), .io_free_clk(dec_io_free_clk), @@ -81641,6 +84136,7 @@ module quasar( .io_rv_trace_pkt_rv_i_tval_ip(dec_io_rv_trace_pkt_rv_i_tval_ip), .io_dec_tlu_misc_clk_override(dec_io_dec_tlu_misc_clk_override), .io_dec_tlu_lsu_clk_override(dec_io_dec_tlu_lsu_clk_override), + .io_dec_tlu_bus_clk_override(dec_io_dec_tlu_bus_clk_override), .io_dec_tlu_pic_clk_override(dec_io_dec_tlu_pic_clk_override), .io_dec_tlu_dccm_clk_override(dec_io_dec_tlu_dccm_clk_override), .io_dec_tlu_icm_clk_override(dec_io_dec_tlu_icm_clk_override), @@ -81778,7 +84274,6 @@ module quasar( .io_lsu_dec_tlu_busbuff_lsu_pmu_bus_error(dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_error), .io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy(dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy), .io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable(dec_io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable), - .io_lsu_dec_tlu_busbuff_dec_tlu_wb_coalescing_disable(dec_io_lsu_dec_tlu_busbuff_dec_tlu_wb_coalescing_disable), .io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable(dec_io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable), .io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any(dec_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any), .io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any(dec_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any), @@ -81813,7 +84308,7 @@ module quasar( .io_dec_pic_dec_tlu_meipt(dec_io_dec_pic_dec_tlu_meipt), .io_dec_pic_mexintpend(dec_io_dec_pic_mexintpend) ); - dbg dbg ( // @[quasar.scala 160:19] + dbg dbg ( // @[quasar.scala 76:19] .clock(dbg_clock), .reset(dbg_reset), .io_dbg_cmd_size(dbg_io_dbg_cmd_size), @@ -81831,10 +84326,10 @@ module quasar( .io_dmi_reg_addr(dbg_io_dmi_reg_addr), .io_dmi_reg_wr_en(dbg_io_dmi_reg_wr_en), .io_dmi_reg_wdata(dbg_io_dmi_reg_wdata), + .io_dmi_reg_rdata(dbg_io_dmi_reg_rdata), .io_sb_axi_aw_ready(dbg_io_sb_axi_aw_ready), .io_sb_axi_aw_valid(dbg_io_sb_axi_aw_valid), .io_sb_axi_aw_bits_addr(dbg_io_sb_axi_aw_bits_addr), - .io_sb_axi_aw_bits_region(dbg_io_sb_axi_aw_bits_region), .io_sb_axi_aw_bits_size(dbg_io_sb_axi_aw_bits_size), .io_sb_axi_w_ready(dbg_io_sb_axi_w_ready), .io_sb_axi_w_valid(dbg_io_sb_axi_w_valid), @@ -81846,7 +84341,6 @@ module quasar( .io_sb_axi_ar_ready(dbg_io_sb_axi_ar_ready), .io_sb_axi_ar_valid(dbg_io_sb_axi_ar_valid), .io_sb_axi_ar_bits_addr(dbg_io_sb_axi_ar_bits_addr), - .io_sb_axi_ar_bits_region(dbg_io_sb_axi_ar_bits_region), .io_sb_axi_ar_bits_size(dbg_io_sb_axi_ar_bits_size), .io_sb_axi_r_ready(dbg_io_sb_axi_r_ready), .io_sb_axi_r_valid(dbg_io_sb_axi_r_valid), @@ -81869,7 +84363,7 @@ module quasar( .io_clk_override(dbg_io_clk_override), .io_scan_mode(dbg_io_scan_mode) ); - exu exu ( // @[quasar.scala 161:19] + exu exu ( // @[quasar.scala 77:19] .clock(exu_clock), .reset(exu_reset), .io_scan_mode(exu_io_scan_mode), @@ -81974,7 +84468,7 @@ module quasar( .io_lsu_exu_exu_lsu_rs2_d(exu_io_lsu_exu_exu_lsu_rs2_d), .io_exu_flush_path_final(exu_io_exu_flush_path_final) ); - lsu lsu ( // @[quasar.scala 162:19] + lsu lsu ( // @[quasar.scala 78:19] .clock(lsu_clock), .reset(lsu_reset), .io_clk_override(lsu_io_clk_override), @@ -82005,7 +84499,6 @@ module quasar( .io_lsu_dec_tlu_busbuff_lsu_pmu_bus_error(lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_error), .io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy(lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy), .io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable(lsu_io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable), - .io_lsu_dec_tlu_busbuff_dec_tlu_wb_coalescing_disable(lsu_io_lsu_dec_tlu_busbuff_dec_tlu_wb_coalescing_disable), .io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable(lsu_io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable), .io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any(lsu_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any), .io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any(lsu_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any), @@ -82034,9 +84527,7 @@ module quasar( .io_axi_aw_valid(lsu_io_axi_aw_valid), .io_axi_aw_bits_id(lsu_io_axi_aw_bits_id), .io_axi_aw_bits_addr(lsu_io_axi_aw_bits_addr), - .io_axi_aw_bits_region(lsu_io_axi_aw_bits_region), .io_axi_aw_bits_size(lsu_io_axi_aw_bits_size), - .io_axi_aw_bits_cache(lsu_io_axi_aw_bits_cache), .io_axi_w_ready(lsu_io_axi_w_ready), .io_axi_w_valid(lsu_io_axi_w_valid), .io_axi_w_bits_data(lsu_io_axi_w_bits_data), @@ -82048,9 +84539,7 @@ module quasar( .io_axi_ar_valid(lsu_io_axi_ar_valid), .io_axi_ar_bits_id(lsu_io_axi_ar_bits_id), .io_axi_ar_bits_addr(lsu_io_axi_ar_bits_addr), - .io_axi_ar_bits_region(lsu_io_axi_ar_bits_region), .io_axi_ar_bits_size(lsu_io_axi_ar_bits_size), - .io_axi_ar_bits_cache(lsu_io_axi_ar_bits_cache), .io_axi_r_valid(lsu_io_axi_r_valid), .io_axi_r_bits_id(lsu_io_axi_r_bits_id), .io_axi_r_bits_data(lsu_io_axi_r_bits_data), @@ -82113,7 +84602,7 @@ module quasar( .io_scan_mode(lsu_io_scan_mode), .io_free_clk(lsu_io_free_clk) ); - pic_ctrl pic_ctrl_inst ( // @[quasar.scala 163:29] + pic_ctrl pic_ctrl_inst ( // @[quasar.scala 79:29] .clock(pic_ctrl_inst_clock), .reset(pic_ctrl_inst_reset), .io_scan_mode(pic_ctrl_inst_io_scan_mode), @@ -82135,7 +84624,7 @@ module quasar( .io_dec_pic_dec_tlu_meipt(pic_ctrl_inst_io_dec_pic_dec_tlu_meipt), .io_dec_pic_mexintpend(pic_ctrl_inst_io_dec_pic_mexintpend) ); - dma_ctrl dma_ctrl ( // @[quasar.scala 164:24] + dma_ctrl dma_ctrl ( // @[quasar.scala 80:24] .clock(dma_ctrl_clock), .reset(dma_ctrl_reset), .io_free_clk(dma_ctrl_io_free_clk), @@ -82168,25 +84657,18 @@ module quasar( .io_iccm_ready(dma_ctrl_io_iccm_ready), .io_dma_axi_aw_ready(dma_ctrl_io_dma_axi_aw_ready), .io_dma_axi_aw_valid(dma_ctrl_io_dma_axi_aw_valid), - .io_dma_axi_aw_bits_id(dma_ctrl_io_dma_axi_aw_bits_id), .io_dma_axi_aw_bits_addr(dma_ctrl_io_dma_axi_aw_bits_addr), .io_dma_axi_aw_bits_size(dma_ctrl_io_dma_axi_aw_bits_size), .io_dma_axi_w_ready(dma_ctrl_io_dma_axi_w_ready), .io_dma_axi_w_valid(dma_ctrl_io_dma_axi_w_valid), .io_dma_axi_w_bits_data(dma_ctrl_io_dma_axi_w_bits_data), .io_dma_axi_w_bits_strb(dma_ctrl_io_dma_axi_w_bits_strb), - .io_dma_axi_b_ready(dma_ctrl_io_dma_axi_b_ready), .io_dma_axi_b_valid(dma_ctrl_io_dma_axi_b_valid), - .io_dma_axi_b_bits_resp(dma_ctrl_io_dma_axi_b_bits_resp), - .io_dma_axi_b_bits_id(dma_ctrl_io_dma_axi_b_bits_id), .io_dma_axi_ar_ready(dma_ctrl_io_dma_axi_ar_ready), .io_dma_axi_ar_valid(dma_ctrl_io_dma_axi_ar_valid), - .io_dma_axi_ar_bits_id(dma_ctrl_io_dma_axi_ar_bits_id), .io_dma_axi_ar_bits_addr(dma_ctrl_io_dma_axi_ar_bits_addr), .io_dma_axi_ar_bits_size(dma_ctrl_io_dma_axi_ar_bits_size), - .io_dma_axi_r_ready(dma_ctrl_io_dma_axi_r_ready), .io_dma_axi_r_valid(dma_ctrl_io_dma_axi_r_valid), - .io_dma_axi_r_bits_id(dma_ctrl_io_dma_axi_r_bits_id), .io_dma_axi_r_bits_data(dma_ctrl_io_dma_axi_r_bits_data), .io_dma_axi_r_bits_resp(dma_ctrl_io_dma_axi_r_bits_resp), .io_lsu_dma_dma_lsc_ctl_dma_dccm_req(dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_dccm_req), @@ -82222,500 +84704,679 @@ module quasar( .io_en(rvclkhdr_1_io_en), .io_scan_mode(rvclkhdr_1_io_scan_mode) ); - assign io_lsu_axi_aw_valid = lsu_io_axi_aw_valid; // @[quasar.scala 381:14] - assign io_lsu_axi_aw_bits_id = lsu_io_axi_aw_bits_id; // @[quasar.scala 381:14] - assign io_lsu_axi_aw_bits_addr = lsu_io_axi_aw_bits_addr; // @[quasar.scala 381:14] - assign io_lsu_axi_aw_bits_region = lsu_io_axi_aw_bits_region; // @[quasar.scala 381:14] - assign io_lsu_axi_aw_bits_size = lsu_io_axi_aw_bits_size; // @[quasar.scala 381:14] - assign io_lsu_axi_aw_bits_cache = lsu_io_axi_aw_bits_cache; // @[quasar.scala 381:14] - assign io_lsu_axi_w_valid = lsu_io_axi_w_valid; // @[quasar.scala 381:14] - assign io_lsu_axi_w_bits_data = lsu_io_axi_w_bits_data; // @[quasar.scala 381:14] - assign io_lsu_axi_w_bits_strb = lsu_io_axi_w_bits_strb; // @[quasar.scala 381:14] - assign io_lsu_axi_ar_valid = lsu_io_axi_ar_valid; // @[quasar.scala 381:14] - assign io_lsu_axi_ar_bits_id = lsu_io_axi_ar_bits_id; // @[quasar.scala 381:14] - assign io_lsu_axi_ar_bits_addr = lsu_io_axi_ar_bits_addr; // @[quasar.scala 381:14] - assign io_lsu_axi_ar_bits_region = lsu_io_axi_ar_bits_region; // @[quasar.scala 381:14] - assign io_lsu_axi_ar_bits_size = lsu_io_axi_ar_bits_size; // @[quasar.scala 381:14] - assign io_lsu_axi_ar_bits_cache = lsu_io_axi_ar_bits_cache; // @[quasar.scala 381:14] - assign io_ifu_axi_ar_valid = ifu_io_ifu_ar_valid; // @[quasar.scala 384:14] - assign io_ifu_axi_ar_bits_id = ifu_io_ifu_ar_bits_id; // @[quasar.scala 384:14] - assign io_ifu_axi_ar_bits_addr = ifu_io_ifu_ar_bits_addr; // @[quasar.scala 384:14] - assign io_ifu_axi_ar_bits_region = ifu_io_ifu_ar_bits_region; // @[quasar.scala 384:14] - assign io_sb_axi_aw_valid = dbg_io_sb_axi_aw_valid; // @[quasar.scala 301:17] - assign io_sb_axi_aw_bits_addr = dbg_io_sb_axi_aw_bits_addr; // @[quasar.scala 301:17] - assign io_sb_axi_aw_bits_region = dbg_io_sb_axi_aw_bits_region; // @[quasar.scala 301:17] - assign io_sb_axi_aw_bits_size = dbg_io_sb_axi_aw_bits_size; // @[quasar.scala 301:17] - assign io_sb_axi_w_valid = dbg_io_sb_axi_w_valid; // @[quasar.scala 301:17] - assign io_sb_axi_w_bits_data = dbg_io_sb_axi_w_bits_data; // @[quasar.scala 301:17] - assign io_sb_axi_w_bits_strb = dbg_io_sb_axi_w_bits_strb; // @[quasar.scala 301:17] - assign io_sb_axi_ar_valid = dbg_io_sb_axi_ar_valid; // @[quasar.scala 301:17] - assign io_sb_axi_ar_bits_addr = dbg_io_sb_axi_ar_bits_addr; // @[quasar.scala 301:17] - assign io_sb_axi_ar_bits_region = dbg_io_sb_axi_ar_bits_region; // @[quasar.scala 301:17] - assign io_sb_axi_ar_bits_size = dbg_io_sb_axi_ar_bits_size; // @[quasar.scala 301:17] - assign io_dma_axi_aw_ready = dma_ctrl_io_dma_axi_aw_ready; // @[quasar.scala 385:14] - assign io_dma_axi_w_ready = dma_ctrl_io_dma_axi_w_ready; // @[quasar.scala 385:14] - assign io_dma_axi_b_valid = dma_ctrl_io_dma_axi_b_valid; // @[quasar.scala 385:14] - assign io_dma_axi_b_bits_resp = dma_ctrl_io_dma_axi_b_bits_resp; // @[quasar.scala 385:14] - assign io_dma_axi_b_bits_id = dma_ctrl_io_dma_axi_b_bits_id; // @[quasar.scala 385:14] - assign io_dma_axi_ar_ready = dma_ctrl_io_dma_axi_ar_ready; // @[quasar.scala 385:14] - assign io_dma_axi_r_valid = dma_ctrl_io_dma_axi_r_valid; // @[quasar.scala 385:14] - assign io_dma_axi_r_bits_id = dma_ctrl_io_dma_axi_r_bits_id; // @[quasar.scala 385:14] - assign io_dma_axi_r_bits_data = dma_ctrl_io_dma_axi_r_bits_data; // @[quasar.scala 385:14] - assign io_dma_axi_r_bits_resp = dma_ctrl_io_dma_axi_r_bits_resp; // @[quasar.scala 385:14] - assign io_core_rst_l = reset & _T_2; // @[quasar.scala 166:17] - assign io_trace_rv_i_valid_ip = dec_io_rv_trace_pkt_rv_i_valid_ip; // @[quasar.scala 332:12] - assign io_trace_rv_i_insn_ip = dec_io_rv_trace_pkt_rv_i_insn_ip; // @[quasar.scala 332:12] - assign io_trace_rv_i_address_ip = dec_io_rv_trace_pkt_rv_i_address_ip; // @[quasar.scala 332:12] - assign io_trace_rv_i_exception_ip = dec_io_rv_trace_pkt_rv_i_exception_ip; // @[quasar.scala 332:12] - assign io_trace_rv_i_ecause_ip = dec_io_rv_trace_pkt_rv_i_ecause_ip; // @[quasar.scala 332:12] - assign io_trace_rv_i_interrupt_ip = dec_io_rv_trace_pkt_rv_i_interrupt_ip; // @[quasar.scala 332:12] - assign io_trace_rv_i_tval_ip = dec_io_rv_trace_pkt_rv_i_tval_ip; // @[quasar.scala 332:12] - assign io_dccm_clk_override = dec_io_dec_tlu_dccm_clk_override; // @[quasar.scala 342:24] - assign io_icm_clk_override = dec_io_dec_tlu_icm_clk_override; // @[quasar.scala 343:23] - assign io_dec_tlu_core_ecc_disable = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_core_ecc_disable; // @[quasar.scala 344:31] - assign io_o_cpu_halt_ack = dec_io_o_cpu_halt_ack; // @[quasar.scala 345:21] - assign io_o_cpu_halt_status = dec_io_o_cpu_halt_status; // @[quasar.scala 346:24] - assign io_o_cpu_run_ack = dec_io_o_cpu_run_ack; // @[quasar.scala 347:20] - assign io_o_debug_mode_status = dec_io_o_debug_mode_status; // @[quasar.scala 348:26] - assign io_mpc_debug_halt_ack = dec_io_mpc_debug_halt_ack; // @[quasar.scala 349:25] - assign io_mpc_debug_run_ack = dec_io_mpc_debug_run_ack; // @[quasar.scala 350:24] - assign io_debug_brkpt_status = dec_io_debug_brkpt_status; // @[quasar.scala 351:25] - assign io_dec_tlu_perfcnt0 = dec_io_dec_tlu_perfcnt0; // @[quasar.scala 352:23] - assign io_dec_tlu_perfcnt1 = dec_io_dec_tlu_perfcnt1; // @[quasar.scala 353:23] - assign io_dec_tlu_perfcnt2 = dec_io_dec_tlu_perfcnt2; // @[quasar.scala 354:23] - assign io_dec_tlu_perfcnt3 = dec_io_dec_tlu_perfcnt3; // @[quasar.scala 355:23] - assign io_swerv_mem_wren = lsu_io_dccm_wren; // @[quasar.scala 357:16] - assign io_swerv_mem_rden = lsu_io_dccm_rden; // @[quasar.scala 357:16] - assign io_swerv_mem_wr_addr_lo = lsu_io_dccm_wr_addr_lo; // @[quasar.scala 357:16] - assign io_swerv_mem_wr_addr_hi = lsu_io_dccm_wr_addr_hi; // @[quasar.scala 357:16] - assign io_swerv_mem_rd_addr_lo = lsu_io_dccm_rd_addr_lo; // @[quasar.scala 357:16] - assign io_swerv_mem_rd_addr_hi = lsu_io_dccm_rd_addr_hi; // @[quasar.scala 357:16] - assign io_swerv_mem_wr_data_lo = lsu_io_dccm_wr_data_lo; // @[quasar.scala 357:16] - assign io_swerv_mem_wr_data_hi = lsu_io_dccm_wr_data_hi; // @[quasar.scala 357:16] - assign io_ic_rw_addr = ifu_io_ic_rw_addr; // @[quasar.scala 191:13] - assign io_ic_tag_valid = ifu_io_ic_tag_valid; // @[quasar.scala 191:13] - assign io_ic_wr_en = ifu_io_ic_wr_en; // @[quasar.scala 191:13] - assign io_ic_rd_en = ifu_io_ic_rd_en; // @[quasar.scala 191:13] - assign io_ic_wr_data_0 = ifu_io_ic_wr_data_0; // @[quasar.scala 191:13] - assign io_ic_wr_data_1 = ifu_io_ic_wr_data_1; // @[quasar.scala 191:13] - assign io_ic_debug_wr_data = ifu_io_ic_debug_wr_data; // @[quasar.scala 191:13] - assign io_ic_debug_addr = ifu_io_ic_debug_addr; // @[quasar.scala 191:13] - assign io_ic_debug_rd_en = ifu_io_ic_debug_rd_en; // @[quasar.scala 191:13] - assign io_ic_debug_wr_en = ifu_io_ic_debug_wr_en; // @[quasar.scala 191:13] - assign io_ic_debug_tag_array = ifu_io_ic_debug_tag_array; // @[quasar.scala 191:13] - assign io_ic_debug_way = ifu_io_ic_debug_way; // @[quasar.scala 191:13] - assign io_ic_premux_data = ifu_io_ic_premux_data; // @[quasar.scala 191:13] - assign io_ic_sel_premux_data = ifu_io_ic_sel_premux_data; // @[quasar.scala 191:13] - assign io_iccm_rw_addr = ifu_io_iccm_rw_addr; // @[quasar.scala 192:15] - assign io_iccm_buf_correct_ecc = ifu_io_iccm_buf_correct_ecc; // @[quasar.scala 192:15] - assign io_iccm_correction_state = ifu_io_iccm_correction_state; // @[quasar.scala 192:15] - assign io_iccm_wren = ifu_io_iccm_wren; // @[quasar.scala 192:15] - assign io_iccm_rden = ifu_io_iccm_rden; // @[quasar.scala 192:15] - assign io_iccm_wr_size = ifu_io_iccm_wr_size; // @[quasar.scala 192:15] - assign io_iccm_wr_data = ifu_io_iccm_wr_data; // @[quasar.scala 192:15] + axi4_to_ahb axi4_to_ahb ( // @[quasar.scala 241:32] + .clock(axi4_to_ahb_clock), + .reset(axi4_to_ahb_reset), + .io_scan_mode(axi4_to_ahb_io_scan_mode), + .io_bus_clk_en(axi4_to_ahb_io_bus_clk_en), + .io_clk_override(axi4_to_ahb_io_clk_override), + .io_axi_aw_ready(axi4_to_ahb_io_axi_aw_ready), + .io_axi_aw_valid(axi4_to_ahb_io_axi_aw_valid), + .io_axi_aw_bits_addr(axi4_to_ahb_io_axi_aw_bits_addr), + .io_axi_aw_bits_size(axi4_to_ahb_io_axi_aw_bits_size), + .io_axi_w_ready(axi4_to_ahb_io_axi_w_ready), + .io_axi_w_valid(axi4_to_ahb_io_axi_w_valid), + .io_axi_w_bits_data(axi4_to_ahb_io_axi_w_bits_data), + .io_axi_w_bits_strb(axi4_to_ahb_io_axi_w_bits_strb), + .io_axi_b_valid(axi4_to_ahb_io_axi_b_valid), + .io_axi_b_bits_resp(axi4_to_ahb_io_axi_b_bits_resp), + .io_axi_ar_ready(axi4_to_ahb_io_axi_ar_ready), + .io_axi_ar_valid(axi4_to_ahb_io_axi_ar_valid), + .io_axi_ar_bits_addr(axi4_to_ahb_io_axi_ar_bits_addr), + .io_axi_ar_bits_size(axi4_to_ahb_io_axi_ar_bits_size), + .io_axi_r_valid(axi4_to_ahb_io_axi_r_valid), + .io_axi_r_bits_data(axi4_to_ahb_io_axi_r_bits_data), + .io_axi_r_bits_resp(axi4_to_ahb_io_axi_r_bits_resp), + .io_ahb_in_hrdata(axi4_to_ahb_io_ahb_in_hrdata), + .io_ahb_in_hready(axi4_to_ahb_io_ahb_in_hready), + .io_ahb_in_hresp(axi4_to_ahb_io_ahb_in_hresp), + .io_ahb_out_haddr(axi4_to_ahb_io_ahb_out_haddr), + .io_ahb_out_hsize(axi4_to_ahb_io_ahb_out_hsize), + .io_ahb_out_htrans(axi4_to_ahb_io_ahb_out_htrans), + .io_ahb_out_hwrite(axi4_to_ahb_io_ahb_out_hwrite), + .io_ahb_out_hwdata(axi4_to_ahb_io_ahb_out_hwdata) + ); + axi4_to_ahb_1 axi4_to_ahb_1 ( // @[quasar.scala 242:33] + .clock(axi4_to_ahb_1_clock), + .reset(axi4_to_ahb_1_reset), + .io_scan_mode(axi4_to_ahb_1_io_scan_mode), + .io_bus_clk_en(axi4_to_ahb_1_io_bus_clk_en), + .io_clk_override(axi4_to_ahb_1_io_clk_override), + .io_axi_aw_ready(axi4_to_ahb_1_io_axi_aw_ready), + .io_axi_aw_valid(axi4_to_ahb_1_io_axi_aw_valid), + .io_axi_aw_bits_id(axi4_to_ahb_1_io_axi_aw_bits_id), + .io_axi_aw_bits_addr(axi4_to_ahb_1_io_axi_aw_bits_addr), + .io_axi_aw_bits_size(axi4_to_ahb_1_io_axi_aw_bits_size), + .io_axi_w_ready(axi4_to_ahb_1_io_axi_w_ready), + .io_axi_w_valid(axi4_to_ahb_1_io_axi_w_valid), + .io_axi_w_bits_data(axi4_to_ahb_1_io_axi_w_bits_data), + .io_axi_w_bits_strb(axi4_to_ahb_1_io_axi_w_bits_strb), + .io_axi_b_valid(axi4_to_ahb_1_io_axi_b_valid), + .io_axi_b_bits_resp(axi4_to_ahb_1_io_axi_b_bits_resp), + .io_axi_b_bits_id(axi4_to_ahb_1_io_axi_b_bits_id), + .io_axi_ar_ready(axi4_to_ahb_1_io_axi_ar_ready), + .io_axi_ar_valid(axi4_to_ahb_1_io_axi_ar_valid), + .io_axi_ar_bits_id(axi4_to_ahb_1_io_axi_ar_bits_id), + .io_axi_ar_bits_addr(axi4_to_ahb_1_io_axi_ar_bits_addr), + .io_axi_ar_bits_size(axi4_to_ahb_1_io_axi_ar_bits_size), + .io_axi_r_valid(axi4_to_ahb_1_io_axi_r_valid), + .io_axi_r_bits_id(axi4_to_ahb_1_io_axi_r_bits_id), + .io_axi_r_bits_data(axi4_to_ahb_1_io_axi_r_bits_data), + .io_axi_r_bits_resp(axi4_to_ahb_1_io_axi_r_bits_resp), + .io_ahb_in_hrdata(axi4_to_ahb_1_io_ahb_in_hrdata), + .io_ahb_in_hready(axi4_to_ahb_1_io_ahb_in_hready), + .io_ahb_in_hresp(axi4_to_ahb_1_io_ahb_in_hresp), + .io_ahb_out_haddr(axi4_to_ahb_1_io_ahb_out_haddr), + .io_ahb_out_hsize(axi4_to_ahb_1_io_ahb_out_hsize), + .io_ahb_out_htrans(axi4_to_ahb_1_io_ahb_out_htrans), + .io_ahb_out_hwrite(axi4_to_ahb_1_io_ahb_out_hwrite), + .io_ahb_out_hwdata(axi4_to_ahb_1_io_ahb_out_hwdata) + ); + axi4_to_ahb_1 axi4_to_ahb_2 ( // @[quasar.scala 243:33] + .clock(axi4_to_ahb_2_clock), + .reset(axi4_to_ahb_2_reset), + .io_scan_mode(axi4_to_ahb_2_io_scan_mode), + .io_bus_clk_en(axi4_to_ahb_2_io_bus_clk_en), + .io_clk_override(axi4_to_ahb_2_io_clk_override), + .io_axi_aw_ready(axi4_to_ahb_2_io_axi_aw_ready), + .io_axi_aw_valid(axi4_to_ahb_2_io_axi_aw_valid), + .io_axi_aw_bits_id(axi4_to_ahb_2_io_axi_aw_bits_id), + .io_axi_aw_bits_addr(axi4_to_ahb_2_io_axi_aw_bits_addr), + .io_axi_aw_bits_size(axi4_to_ahb_2_io_axi_aw_bits_size), + .io_axi_w_ready(axi4_to_ahb_2_io_axi_w_ready), + .io_axi_w_valid(axi4_to_ahb_2_io_axi_w_valid), + .io_axi_w_bits_data(axi4_to_ahb_2_io_axi_w_bits_data), + .io_axi_w_bits_strb(axi4_to_ahb_2_io_axi_w_bits_strb), + .io_axi_b_valid(axi4_to_ahb_2_io_axi_b_valid), + .io_axi_b_bits_resp(axi4_to_ahb_2_io_axi_b_bits_resp), + .io_axi_b_bits_id(axi4_to_ahb_2_io_axi_b_bits_id), + .io_axi_ar_ready(axi4_to_ahb_2_io_axi_ar_ready), + .io_axi_ar_valid(axi4_to_ahb_2_io_axi_ar_valid), + .io_axi_ar_bits_id(axi4_to_ahb_2_io_axi_ar_bits_id), + .io_axi_ar_bits_addr(axi4_to_ahb_2_io_axi_ar_bits_addr), + .io_axi_ar_bits_size(axi4_to_ahb_2_io_axi_ar_bits_size), + .io_axi_r_valid(axi4_to_ahb_2_io_axi_r_valid), + .io_axi_r_bits_id(axi4_to_ahb_2_io_axi_r_bits_id), + .io_axi_r_bits_data(axi4_to_ahb_2_io_axi_r_bits_data), + .io_axi_r_bits_resp(axi4_to_ahb_2_io_axi_r_bits_resp), + .io_ahb_in_hrdata(axi4_to_ahb_2_io_ahb_in_hrdata), + .io_ahb_in_hready(axi4_to_ahb_2_io_ahb_in_hready), + .io_ahb_in_hresp(axi4_to_ahb_2_io_ahb_in_hresp), + .io_ahb_out_haddr(axi4_to_ahb_2_io_ahb_out_haddr), + .io_ahb_out_hsize(axi4_to_ahb_2_io_ahb_out_hsize), + .io_ahb_out_htrans(axi4_to_ahb_2_io_ahb_out_htrans), + .io_ahb_out_hwrite(axi4_to_ahb_2_io_ahb_out_hwrite), + .io_ahb_out_hwdata(axi4_to_ahb_2_io_ahb_out_hwdata) + ); + ahb_to_axi4 ahb_to_axi4 ( // @[quasar.scala 244:33] + .clock(ahb_to_axi4_clock), + .reset(ahb_to_axi4_reset), + .io_scan_mode(ahb_to_axi4_io_scan_mode), + .io_bus_clk_en(ahb_to_axi4_io_bus_clk_en), + .io_axi_aw_ready(ahb_to_axi4_io_axi_aw_ready), + .io_axi_aw_valid(ahb_to_axi4_io_axi_aw_valid), + .io_axi_aw_bits_addr(ahb_to_axi4_io_axi_aw_bits_addr), + .io_axi_aw_bits_size(ahb_to_axi4_io_axi_aw_bits_size), + .io_axi_w_valid(ahb_to_axi4_io_axi_w_valid), + .io_axi_w_bits_data(ahb_to_axi4_io_axi_w_bits_data), + .io_axi_w_bits_strb(ahb_to_axi4_io_axi_w_bits_strb), + .io_axi_ar_ready(ahb_to_axi4_io_axi_ar_ready), + .io_axi_ar_valid(ahb_to_axi4_io_axi_ar_valid), + .io_axi_ar_bits_addr(ahb_to_axi4_io_axi_ar_bits_addr), + .io_axi_ar_bits_size(ahb_to_axi4_io_axi_ar_bits_size), + .io_axi_r_valid(ahb_to_axi4_io_axi_r_valid), + .io_axi_r_bits_data(ahb_to_axi4_io_axi_r_bits_data), + .io_axi_r_bits_resp(ahb_to_axi4_io_axi_r_bits_resp), + .io_ahb_sig_in_hrdata(ahb_to_axi4_io_ahb_sig_in_hrdata), + .io_ahb_sig_in_hready(ahb_to_axi4_io_ahb_sig_in_hready), + .io_ahb_sig_in_hresp(ahb_to_axi4_io_ahb_sig_in_hresp), + .io_ahb_sig_out_haddr(ahb_to_axi4_io_ahb_sig_out_haddr), + .io_ahb_sig_out_hsize(ahb_to_axi4_io_ahb_sig_out_hsize), + .io_ahb_sig_out_htrans(ahb_to_axi4_io_ahb_sig_out_htrans), + .io_ahb_sig_out_hwrite(ahb_to_axi4_io_ahb_sig_out_hwrite), + .io_ahb_sig_out_hwdata(ahb_to_axi4_io_ahb_sig_out_hwdata), + .io_ahb_hsel(ahb_to_axi4_io_ahb_hsel), + .io_ahb_hreadyin(ahb_to_axi4_io_ahb_hreadyin) + ); + assign io_lsu_ahb_out_haddr = axi4_to_ahb_2_io_ahb_out_haddr; // @[quasar.scala 250:28] + assign io_lsu_ahb_out_hsize = axi4_to_ahb_2_io_ahb_out_hsize; // @[quasar.scala 250:28] + assign io_lsu_ahb_out_htrans = axi4_to_ahb_2_io_ahb_out_htrans; // @[quasar.scala 250:28] + assign io_lsu_ahb_out_hwrite = axi4_to_ahb_2_io_ahb_out_hwrite; // @[quasar.scala 250:28] + assign io_lsu_ahb_out_hwdata = axi4_to_ahb_2_io_ahb_out_hwdata; // @[quasar.scala 250:28] + assign io_ifu_ahb_out_haddr = axi4_to_ahb_1_io_ahb_out_haddr; // @[quasar.scala 256:28] + assign io_ifu_ahb_out_hsize = axi4_to_ahb_1_io_ahb_out_hsize; // @[quasar.scala 256:28] + assign io_ifu_ahb_out_htrans = axi4_to_ahb_1_io_ahb_out_htrans; // @[quasar.scala 256:28] + assign io_ifu_ahb_out_hwrite = axi4_to_ahb_1_io_ahb_out_hwrite; // @[quasar.scala 256:28] + assign io_ifu_ahb_out_hwdata = axi4_to_ahb_1_io_ahb_out_hwdata; // @[quasar.scala 256:28] + assign io_sb_ahb_out_haddr = axi4_to_ahb_io_ahb_out_haddr; // @[quasar.scala 263:27] + assign io_sb_ahb_out_hsize = axi4_to_ahb_io_ahb_out_hsize; // @[quasar.scala 263:27] + assign io_sb_ahb_out_htrans = axi4_to_ahb_io_ahb_out_htrans; // @[quasar.scala 263:27] + assign io_sb_ahb_out_hwrite = axi4_to_ahb_io_ahb_out_hwrite; // @[quasar.scala 263:27] + assign io_sb_ahb_out_hwdata = axi4_to_ahb_io_ahb_out_hwdata; // @[quasar.scala 263:27] + assign io_dma_ahb_sig_in_hrdata = ahb_to_axi4_io_ahb_sig_in_hrdata; // @[quasar.scala 269:28] + assign io_dma_ahb_sig_in_hready = ahb_to_axi4_io_ahb_sig_in_hready; // @[quasar.scala 269:28] + assign io_dma_ahb_sig_in_hresp = ahb_to_axi4_io_ahb_sig_in_hresp; // @[quasar.scala 269:28] + assign io_core_rst_l = reset & _T_2; // @[quasar.scala 82:17] + assign io_rv_trace_pkt_rv_i_valid_ip = dec_io_rv_trace_pkt_rv_i_valid_ip; // @[quasar.scala 218:19] + assign io_rv_trace_pkt_rv_i_insn_ip = dec_io_rv_trace_pkt_rv_i_insn_ip; // @[quasar.scala 218:19] + assign io_rv_trace_pkt_rv_i_address_ip = dec_io_rv_trace_pkt_rv_i_address_ip; // @[quasar.scala 218:19] + assign io_rv_trace_pkt_rv_i_exception_ip = dec_io_rv_trace_pkt_rv_i_exception_ip; // @[quasar.scala 218:19] + assign io_rv_trace_pkt_rv_i_ecause_ip = dec_io_rv_trace_pkt_rv_i_ecause_ip; // @[quasar.scala 218:19] + assign io_rv_trace_pkt_rv_i_interrupt_ip = dec_io_rv_trace_pkt_rv_i_interrupt_ip; // @[quasar.scala 218:19] + assign io_rv_trace_pkt_rv_i_tval_ip = dec_io_rv_trace_pkt_rv_i_tval_ip; // @[quasar.scala 218:19] + assign io_dccm_clk_override = dec_io_dec_tlu_dccm_clk_override; // @[quasar.scala 221:24] + assign io_icm_clk_override = dec_io_dec_tlu_icm_clk_override; // @[quasar.scala 222:23] + assign io_dec_tlu_core_ecc_disable = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_core_ecc_disable; // @[quasar.scala 223:31] + assign io_o_cpu_halt_ack = dec_io_o_cpu_halt_ack; // @[quasar.scala 224:21] + assign io_o_cpu_halt_status = dec_io_o_cpu_halt_status; // @[quasar.scala 225:24] + assign io_o_cpu_run_ack = dec_io_o_cpu_run_ack; // @[quasar.scala 226:20] + assign io_o_debug_mode_status = dec_io_o_debug_mode_status; // @[quasar.scala 227:26] + assign io_mpc_debug_halt_ack = dec_io_mpc_debug_halt_ack; // @[quasar.scala 228:25] + assign io_mpc_debug_run_ack = dec_io_mpc_debug_run_ack; // @[quasar.scala 229:24] + assign io_debug_brkpt_status = dec_io_debug_brkpt_status; // @[quasar.scala 230:25] + assign io_dec_tlu_perfcnt0 = dec_io_dec_tlu_perfcnt0; // @[quasar.scala 231:23] + assign io_dec_tlu_perfcnt1 = dec_io_dec_tlu_perfcnt1; // @[quasar.scala 232:23] + assign io_dec_tlu_perfcnt2 = dec_io_dec_tlu_perfcnt2; // @[quasar.scala 233:23] + assign io_dec_tlu_perfcnt3 = dec_io_dec_tlu_perfcnt3; // @[quasar.scala 234:23] + assign io_dccm_wren = lsu_io_dccm_wren; // @[quasar.scala 238:11] + assign io_dccm_rden = lsu_io_dccm_rden; // @[quasar.scala 238:11] + assign io_dccm_wr_addr_lo = lsu_io_dccm_wr_addr_lo; // @[quasar.scala 238:11] + assign io_dccm_wr_addr_hi = lsu_io_dccm_wr_addr_hi; // @[quasar.scala 238:11] + assign io_dccm_rd_addr_lo = lsu_io_dccm_rd_addr_lo; // @[quasar.scala 238:11] + assign io_dccm_rd_addr_hi = lsu_io_dccm_rd_addr_hi; // @[quasar.scala 238:11] + assign io_dccm_wr_data_lo = lsu_io_dccm_wr_data_lo; // @[quasar.scala 238:11] + assign io_dccm_wr_data_hi = lsu_io_dccm_wr_data_hi; // @[quasar.scala 238:11] + assign io_ic_rw_addr = ifu_io_ic_rw_addr; // @[quasar.scala 100:13] + assign io_ic_tag_valid = ifu_io_ic_tag_valid; // @[quasar.scala 100:13] + assign io_ic_wr_en = ifu_io_ic_wr_en; // @[quasar.scala 100:13] + assign io_ic_rd_en = ifu_io_ic_rd_en; // @[quasar.scala 100:13] + assign io_ic_wr_data_0 = ifu_io_ic_wr_data_0; // @[quasar.scala 100:13] + assign io_ic_wr_data_1 = ifu_io_ic_wr_data_1; // @[quasar.scala 100:13] + assign io_ic_debug_wr_data = ifu_io_ic_debug_wr_data; // @[quasar.scala 100:13] + assign io_ic_debug_addr = ifu_io_ic_debug_addr; // @[quasar.scala 100:13] + assign io_ic_debug_rd_en = ifu_io_ic_debug_rd_en; // @[quasar.scala 100:13] + assign io_ic_debug_wr_en = ifu_io_ic_debug_wr_en; // @[quasar.scala 100:13] + assign io_ic_debug_tag_array = ifu_io_ic_debug_tag_array; // @[quasar.scala 100:13] + assign io_ic_debug_way = ifu_io_ic_debug_way; // @[quasar.scala 100:13] + assign io_ic_premux_data = ifu_io_ic_premux_data; // @[quasar.scala 100:13] + assign io_ic_sel_premux_data = ifu_io_ic_sel_premux_data; // @[quasar.scala 100:13] + assign io_iccm_rw_addr = ifu_io_iccm_rw_addr; // @[quasar.scala 101:15] + assign io_iccm_buf_correct_ecc = ifu_io_iccm_buf_correct_ecc; // @[quasar.scala 101:15] + assign io_iccm_correction_state = ifu_io_iccm_correction_state; // @[quasar.scala 101:15] + assign io_iccm_wren = ifu_io_iccm_wren; // @[quasar.scala 101:15] + assign io_iccm_rden = ifu_io_iccm_rden; // @[quasar.scala 101:15] + assign io_iccm_wr_size = ifu_io_iccm_wr_size; // @[quasar.scala 101:15] + assign io_iccm_wr_data = ifu_io_iccm_wr_data; // @[quasar.scala 101:15] + assign io_dmi_reg_rdata = dbg_io_dmi_reg_rdata; // @[quasar.scala 235:20] assign ifu_clock = clock; - assign ifu_reset = io_core_rst_l; // @[quasar.scala 177:13] - assign ifu_io_exu_flush_final = dec_io_exu_flush_final; // @[quasar.scala 182:26] - assign ifu_io_exu_flush_path_final = exu_io_exu_flush_path_final; // @[quasar.scala 183:31] - assign ifu_io_free_clk = rvclkhdr_io_l1clk; // @[quasar.scala 179:19] - assign ifu_io_active_clk = rvclkhdr_1_io_l1clk; // @[quasar.scala 180:21] - assign ifu_io_ifu_dec_dec_aln_aln_dec_dec_i0_decode_d = dec_io_ifu_dec_dec_aln_aln_dec_dec_i0_decode_d; // @[quasar.scala 175:18] - assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_flush_err_wb = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_flush_err_wb; // @[quasar.scala 175:18] - assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_i0_commit_cmt = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_i0_commit_cmt; // @[quasar.scala 175:18] - assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_force_halt = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_force_halt; // @[quasar.scala 175:18] - assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_fence_i_wb = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_fence_i_wb; // @[quasar.scala 175:18] - assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wrdata = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wrdata; // @[quasar.scala 175:18 quasar.scala 206:51] - assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_dicawics = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_dicawics; // @[quasar.scala 175:18 quasar.scala 206:51] - assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_rd_valid = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[quasar.scala 175:18 quasar.scala 206:51] - assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wr_valid = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[quasar.scala 175:18 quasar.scala 206:51] - assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_core_ecc_disable = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_core_ecc_disable; // @[quasar.scala 175:18] - assign ifu_io_ifu_dec_dec_ifc_dec_tlu_flush_noredir_wb = dec_io_ifu_dec_dec_ifc_dec_tlu_flush_noredir_wb; // @[quasar.scala 175:18] - assign ifu_io_ifu_dec_dec_ifc_dec_tlu_mrac_ff = dec_io_ifu_dec_dec_ifc_dec_tlu_mrac_ff; // @[quasar.scala 175:18] - assign ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_valid = dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_valid; // @[quasar.scala 175:18] - assign ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_hist = dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_hist; // @[quasar.scala 175:18] - assign ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_error = dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_error; // @[quasar.scala 175:18] - assign ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error = dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error; // @[quasar.scala 175:18] - assign ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_way = dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_way; // @[quasar.scala 175:18] - assign ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_middle = dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_middle; // @[quasar.scala 175:18] - assign ifu_io_ifu_dec_dec_bp_dec_tlu_flush_leak_one_wb = dec_io_ifu_dec_dec_bp_dec_tlu_flush_leak_one_wb; // @[quasar.scala 175:18] - assign ifu_io_ifu_dec_dec_bp_dec_tlu_bpred_disable = dec_io_ifu_dec_dec_bp_dec_tlu_bpred_disable; // @[quasar.scala 175:18] - assign ifu_io_exu_ifu_exu_bp_exu_i0_br_index_r = exu_io_dec_exu_tlu_exu_exu_i0_br_index_r; // @[quasar.scala 201:25 quasar.scala 203:43] - assign ifu_io_exu_ifu_exu_bp_exu_i0_br_fghr_r = exu_io_exu_bp_exu_i0_br_fghr_r; // @[quasar.scala 201:25 quasar.scala 202:42] - assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_misp = exu_io_exu_bp_exu_mp_pkt_bits_misp; // @[quasar.scala 201:25] - assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_ataken = exu_io_exu_bp_exu_mp_pkt_bits_ataken; // @[quasar.scala 201:25] - assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_boffset = exu_io_exu_bp_exu_mp_pkt_bits_boffset; // @[quasar.scala 201:25] - assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_pc4 = exu_io_exu_bp_exu_mp_pkt_bits_pc4; // @[quasar.scala 201:25] - assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_hist = exu_io_exu_bp_exu_mp_pkt_bits_hist; // @[quasar.scala 201:25] - assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_toffset = exu_io_exu_bp_exu_mp_pkt_bits_toffset; // @[quasar.scala 201:25] - assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_pcall = exu_io_exu_bp_exu_mp_pkt_bits_pcall; // @[quasar.scala 201:25] - assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_pret = exu_io_exu_bp_exu_mp_pkt_bits_pret; // @[quasar.scala 201:25] - assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_pja = exu_io_exu_bp_exu_mp_pkt_bits_pja; // @[quasar.scala 201:25] - assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_way = exu_io_exu_bp_exu_mp_pkt_bits_way; // @[quasar.scala 201:25] - assign ifu_io_exu_ifu_exu_bp_exu_mp_eghr = exu_io_exu_bp_exu_mp_eghr; // @[quasar.scala 201:25] - assign ifu_io_exu_ifu_exu_bp_exu_mp_fghr = exu_io_exu_bp_exu_mp_fghr; // @[quasar.scala 201:25] - assign ifu_io_exu_ifu_exu_bp_exu_mp_index = exu_io_exu_bp_exu_mp_index; // @[quasar.scala 201:25] - assign ifu_io_exu_ifu_exu_bp_exu_mp_btag = exu_io_exu_bp_exu_mp_btag; // @[quasar.scala 201:25] - assign ifu_io_iccm_rd_data = io_iccm_rd_data; // @[quasar.scala 192:15] - assign ifu_io_iccm_rd_data_ecc = io_iccm_rd_data_ecc; // @[quasar.scala 192:15] - assign ifu_io_ic_rd_data = io_ic_rd_data; // @[quasar.scala 191:13] - assign ifu_io_ic_debug_rd_data = io_ic_debug_rd_data; // @[quasar.scala 191:13] - assign ifu_io_ic_tag_debug_rd_data = io_ic_tag_debug_rd_data; // @[quasar.scala 191:13] - assign ifu_io_ic_eccerr = io_ic_eccerr; // @[quasar.scala 191:13] - assign ifu_io_ic_rd_hit = io_ic_rd_hit; // @[quasar.scala 191:13] - assign ifu_io_ic_tag_perr = io_ic_tag_perr; // @[quasar.scala 191:13] - assign ifu_io_ifu_ar_ready = io_ifu_axi_ar_ready; // @[quasar.scala 184:23 quasar.scala 384:14] - assign ifu_io_ifu_r_valid = io_ifu_axi_r_valid; // @[quasar.scala 185:22 quasar.scala 384:14] - assign ifu_io_ifu_r_bits_id = io_ifu_axi_r_bits_id; // @[quasar.scala 186:24 quasar.scala 384:14] - assign ifu_io_ifu_r_bits_data = io_ifu_axi_r_bits_data; // @[quasar.scala 187:26 quasar.scala 384:14] - assign ifu_io_ifu_r_bits_resp = io_ifu_axi_r_bits_resp; // @[quasar.scala 188:26 quasar.scala 384:14] - assign ifu_io_ifu_bus_clk_en = io_ifu_bus_clk_en; // @[quasar.scala 189:25] - assign ifu_io_ifu_dma_dma_ifc_dma_iccm_stall_any = dma_ctrl_io_ifu_dma_dma_ifc_dma_iccm_stall_any; // @[quasar.scala 190:18] - assign ifu_io_ifu_dma_dma_mem_ctl_dma_iccm_req = dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_iccm_req; // @[quasar.scala 190:18] - assign ifu_io_ifu_dma_dma_mem_ctl_dma_mem_addr = dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_addr; // @[quasar.scala 190:18] - assign ifu_io_ifu_dma_dma_mem_ctl_dma_mem_sz = dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_sz; // @[quasar.scala 190:18] - assign ifu_io_ifu_dma_dma_mem_ctl_dma_mem_write = dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_write; // @[quasar.scala 190:18] - assign ifu_io_ifu_dma_dma_mem_ctl_dma_mem_wdata = dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_wdata; // @[quasar.scala 190:18] - assign ifu_io_ifu_dma_dma_mem_ctl_dma_mem_tag = dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_tag; // @[quasar.scala 190:18] - assign ifu_io_dec_tlu_flush_lower_wb = dec_io_dec_exu_tlu_exu_dec_tlu_flush_lower_r; // @[quasar.scala 204:33] - assign ifu_io_scan_mode = io_scan_mode; // @[quasar.scala 178:20] + assign ifu_reset = io_core_rst_l; // @[quasar.scala 90:13] + assign ifu_io_exu_flush_final = dec_io_exu_flush_final; // @[quasar.scala 95:26] + assign ifu_io_exu_flush_path_final = exu_io_exu_flush_path_final; // @[quasar.scala 96:31] + assign ifu_io_free_clk = rvclkhdr_io_l1clk; // @[quasar.scala 92:19] + assign ifu_io_active_clk = rvclkhdr_1_io_l1clk; // @[quasar.scala 93:21] + assign ifu_io_ifu_dec_dec_aln_aln_dec_dec_i0_decode_d = dec_io_ifu_dec_dec_aln_aln_dec_dec_i0_decode_d; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_flush_err_wb = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_flush_err_wb; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_i0_commit_cmt = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_i0_commit_cmt; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_force_halt = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_force_halt; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_fence_i_wb = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_fence_i_wb; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wrdata = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wrdata; // @[quasar.scala 88:18 quasar.scala 106:51] + assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_dicawics = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_dicawics; // @[quasar.scala 88:18 quasar.scala 106:51] + assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_rd_valid = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[quasar.scala 88:18 quasar.scala 106:51] + assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wr_valid = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[quasar.scala 88:18 quasar.scala 106:51] + assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_core_ecc_disable = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_core_ecc_disable; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_ifc_dec_tlu_flush_noredir_wb = dec_io_ifu_dec_dec_ifc_dec_tlu_flush_noredir_wb; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_ifc_dec_tlu_mrac_ff = dec_io_ifu_dec_dec_ifc_dec_tlu_mrac_ff; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_valid = dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_valid; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_hist = dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_hist; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_error = dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_error; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error = dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_way = dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_way; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_middle = dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_middle; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_bp_dec_tlu_flush_leak_one_wb = dec_io_ifu_dec_dec_bp_dec_tlu_flush_leak_one_wb; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_bp_dec_tlu_bpred_disable = dec_io_ifu_dec_dec_bp_dec_tlu_bpred_disable; // @[quasar.scala 88:18] + assign ifu_io_exu_ifu_exu_bp_exu_i0_br_index_r = exu_io_dec_exu_tlu_exu_exu_i0_br_index_r; // @[quasar.scala 102:25 quasar.scala 104:43] + assign ifu_io_exu_ifu_exu_bp_exu_i0_br_fghr_r = exu_io_exu_bp_exu_i0_br_fghr_r; // @[quasar.scala 102:25 quasar.scala 103:42] + assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_misp = exu_io_exu_bp_exu_mp_pkt_bits_misp; // @[quasar.scala 102:25] + assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_ataken = exu_io_exu_bp_exu_mp_pkt_bits_ataken; // @[quasar.scala 102:25] + assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_boffset = exu_io_exu_bp_exu_mp_pkt_bits_boffset; // @[quasar.scala 102:25] + assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_pc4 = exu_io_exu_bp_exu_mp_pkt_bits_pc4; // @[quasar.scala 102:25] + assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_hist = exu_io_exu_bp_exu_mp_pkt_bits_hist; // @[quasar.scala 102:25] + assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_toffset = exu_io_exu_bp_exu_mp_pkt_bits_toffset; // @[quasar.scala 102:25] + assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_pcall = exu_io_exu_bp_exu_mp_pkt_bits_pcall; // @[quasar.scala 102:25] + assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_pret = exu_io_exu_bp_exu_mp_pkt_bits_pret; // @[quasar.scala 102:25] + assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_pja = exu_io_exu_bp_exu_mp_pkt_bits_pja; // @[quasar.scala 102:25] + assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_way = exu_io_exu_bp_exu_mp_pkt_bits_way; // @[quasar.scala 102:25] + assign ifu_io_exu_ifu_exu_bp_exu_mp_eghr = exu_io_exu_bp_exu_mp_eghr; // @[quasar.scala 102:25] + assign ifu_io_exu_ifu_exu_bp_exu_mp_fghr = exu_io_exu_bp_exu_mp_fghr; // @[quasar.scala 102:25] + assign ifu_io_exu_ifu_exu_bp_exu_mp_index = exu_io_exu_bp_exu_mp_index; // @[quasar.scala 102:25] + assign ifu_io_exu_ifu_exu_bp_exu_mp_btag = exu_io_exu_bp_exu_mp_btag; // @[quasar.scala 102:25] + assign ifu_io_iccm_rd_data = io_iccm_rd_data; // @[quasar.scala 101:15] + assign ifu_io_iccm_rd_data_ecc = io_iccm_rd_data_ecc; // @[quasar.scala 101:15] + assign ifu_io_ic_rd_data = io_ic_rd_data; // @[quasar.scala 100:13] + assign ifu_io_ic_debug_rd_data = io_ic_debug_rd_data; // @[quasar.scala 100:13] + assign ifu_io_ic_tag_debug_rd_data = io_ic_tag_debug_rd_data; // @[quasar.scala 100:13] + assign ifu_io_ic_eccerr = io_ic_eccerr; // @[quasar.scala 100:13] + assign ifu_io_ic_rd_hit = io_ic_rd_hit; // @[quasar.scala 100:13] + assign ifu_io_ic_tag_perr = io_ic_tag_perr; // @[quasar.scala 100:13] + assign ifu_io_ifu_ar_ready = axi4_to_ahb_1_io_axi_ar_ready; // @[quasar.scala 255:28] + assign ifu_io_ifu_r_valid = axi4_to_ahb_1_io_axi_r_valid; // @[quasar.scala 255:28] + assign ifu_io_ifu_r_bits_id = axi4_to_ahb_1_io_axi_r_bits_id; // @[quasar.scala 255:28] + assign ifu_io_ifu_r_bits_data = axi4_to_ahb_1_io_axi_r_bits_data; // @[quasar.scala 255:28] + assign ifu_io_ifu_r_bits_resp = axi4_to_ahb_1_io_axi_r_bits_resp; // @[quasar.scala 255:28] + assign ifu_io_ifu_bus_clk_en = io_ifu_bus_clk_en; // @[quasar.scala 98:25] + assign ifu_io_ifu_dma_dma_ifc_dma_iccm_stall_any = dma_ctrl_io_ifu_dma_dma_ifc_dma_iccm_stall_any; // @[quasar.scala 99:18] + assign ifu_io_ifu_dma_dma_mem_ctl_dma_iccm_req = dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_iccm_req; // @[quasar.scala 99:18] + assign ifu_io_ifu_dma_dma_mem_ctl_dma_mem_addr = dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_addr; // @[quasar.scala 99:18] + assign ifu_io_ifu_dma_dma_mem_ctl_dma_mem_sz = dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_sz; // @[quasar.scala 99:18] + assign ifu_io_ifu_dma_dma_mem_ctl_dma_mem_write = dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_write; // @[quasar.scala 99:18] + assign ifu_io_ifu_dma_dma_mem_ctl_dma_mem_wdata = dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_wdata; // @[quasar.scala 99:18] + assign ifu_io_ifu_dma_dma_mem_ctl_dma_mem_tag = dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_tag; // @[quasar.scala 99:18] + assign ifu_io_dec_tlu_flush_lower_wb = dec_io_dec_exu_tlu_exu_dec_tlu_flush_lower_r; // @[quasar.scala 105:33] + assign ifu_io_scan_mode = io_scan_mode; // @[quasar.scala 91:20] assign dec_clock = clock; - assign dec_reset = io_core_rst_l; // @[quasar.scala 209:13] - assign dec_io_free_clk = rvclkhdr_io_l1clk; // @[quasar.scala 210:19] - assign dec_io_active_clk = rvclkhdr_1_io_l1clk; // @[quasar.scala 211:21] - assign dec_io_lsu_fastint_stall_any = lsu_io_lsu_fastint_stall_any; // @[quasar.scala 212:32] - assign dec_io_rst_vec = io_rst_vec; // @[quasar.scala 213:18] - assign dec_io_nmi_int = io_nmi_int; // @[quasar.scala 214:18] - assign dec_io_nmi_vec = io_nmi_vec; // @[quasar.scala 215:18] - assign dec_io_i_cpu_halt_req = io_i_cpu_halt_req; // @[quasar.scala 216:25] - assign dec_io_i_cpu_run_req = io_i_cpu_run_req; // @[quasar.scala 217:24] - assign dec_io_core_id = io_core_id; // @[quasar.scala 218:18] - assign dec_io_mpc_debug_halt_req = io_mpc_debug_halt_req; // @[quasar.scala 219:29] - assign dec_io_mpc_debug_run_req = io_mpc_debug_run_req; // @[quasar.scala 220:28] - assign dec_io_mpc_reset_run_req = io_mpc_reset_run_req; // @[quasar.scala 221:28] - assign dec_io_lsu_pmu_misaligned_m = lsu_io_lsu_pmu_misaligned_m; // @[quasar.scala 226:31] - assign dec_io_lsu_fir_addr = lsu_io_lsu_fir_addr; // @[quasar.scala 227:23] - assign dec_io_lsu_fir_error = lsu_io_lsu_fir_error; // @[quasar.scala 228:24] - assign dec_io_lsu_trigger_match_m = lsu_io_lsu_trigger_match_m; // @[quasar.scala 229:30] - assign dec_io_lsu_idle_any = lsu_io_lsu_idle_any; // @[quasar.scala 231:23] - assign dec_io_lsu_error_pkt_r_valid = lsu_io_lsu_error_pkt_r_valid; // @[quasar.scala 232:26] - assign dec_io_lsu_error_pkt_r_bits_single_ecc_error = lsu_io_lsu_error_pkt_r_bits_single_ecc_error; // @[quasar.scala 232:26] - assign dec_io_lsu_error_pkt_r_bits_inst_type = lsu_io_lsu_error_pkt_r_bits_inst_type; // @[quasar.scala 232:26] - assign dec_io_lsu_error_pkt_r_bits_exc_type = lsu_io_lsu_error_pkt_r_bits_exc_type; // @[quasar.scala 232:26] - assign dec_io_lsu_error_pkt_r_bits_mscause = lsu_io_lsu_error_pkt_r_bits_mscause; // @[quasar.scala 232:26] - assign dec_io_lsu_error_pkt_r_bits_addr = lsu_io_lsu_error_pkt_r_bits_addr; // @[quasar.scala 232:26] - assign dec_io_lsu_single_ecc_error_incr = lsu_io_lsu_single_ecc_error_incr; // @[quasar.scala 233:36] - assign dec_io_exu_div_result = exu_io_exu_div_result; // @[quasar.scala 234:25] - assign dec_io_exu_div_wren = exu_io_exu_div_wren; // @[quasar.scala 235:23] - assign dec_io_lsu_result_m = lsu_io_lsu_result_m; // @[quasar.scala 236:23] - assign dec_io_lsu_result_corr_r = lsu_io_lsu_result_corr_r; // @[quasar.scala 237:28] - assign dec_io_lsu_load_stall_any = lsu_io_lsu_load_stall_any; // @[quasar.scala 238:29] - assign dec_io_lsu_store_stall_any = lsu_io_lsu_store_stall_any; // @[quasar.scala 239:30] - assign dec_io_iccm_dma_sb_error = ifu_io_iccm_dma_sb_error; // @[quasar.scala 240:28] - assign dec_io_exu_flush_final = exu_io_exu_flush_final; // @[quasar.scala 241:26] - assign dec_io_timer_int = io_timer_int; // @[quasar.scala 250:20] - assign dec_io_soft_int = io_soft_int; // @[quasar.scala 243:19] - assign dec_io_dbg_halt_req = dbg_io_dbg_halt_req; // @[quasar.scala 247:23] - assign dec_io_dbg_resume_req = dbg_io_dbg_resume_req; // @[quasar.scala 248:25] - assign dec_io_exu_i0_br_way_r = exu_io_exu_bp_exu_i0_br_way_r; // @[quasar.scala 249:26] - assign dec_io_scan_mode = io_scan_mode; // @[quasar.scala 251:20] - assign dec_io_ifu_dec_dec_aln_aln_dec_ifu_i0_cinst = ifu_io_ifu_dec_dec_aln_aln_dec_ifu_i0_cinst; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_type = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_type; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_f1 = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_f1; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_index = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_index; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_fghr = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_fghr; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_btag = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_btag; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_valid = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_valid; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc4 = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc4; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_valid = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_valid; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_prett = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_prett; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_way = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_way; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_aln_ifu_pmu_instr_aligned = ifu_io_ifu_dec_dec_aln_ifu_pmu_instr_aligned; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_miss = ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_miss; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_hit = ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_hit; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_error = ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_error; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_busy = ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_busy; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_trxn = ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_trxn; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_mem_ctrl_ifu_ic_error_start = ifu_io_ifu_dec_dec_mem_ctrl_ifu_ic_error_start; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_mem_ctrl_ifu_iccm_rd_ecc_single_err = ifu_io_ifu_dec_dec_mem_ctrl_ifu_iccm_rd_ecc_single_err; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data = ifu_io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data_valid = ifu_io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data_valid; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_mem_ctrl_ifu_miss_state_idle = ifu_io_ifu_dec_dec_mem_ctrl_ifu_miss_state_idle; // @[quasar.scala 175:18] - assign dec_io_ifu_dec_dec_ifc_ifu_pmu_fetch_stall = ifu_io_ifu_dec_dec_ifc_ifu_pmu_fetch_stall; // @[quasar.scala 175:18] - assign dec_io_dec_exu_dec_alu_exu_i0_pc_x = exu_io_dec_exu_dec_alu_exu_i0_pc_x; // @[quasar.scala 254:18] - assign dec_io_dec_exu_decode_exu_exu_i0_result_x = exu_io_dec_exu_decode_exu_exu_i0_result_x; // @[quasar.scala 254:18] - assign dec_io_dec_exu_decode_exu_exu_csr_rs1_x = exu_io_dec_exu_decode_exu_exu_csr_rs1_x; // @[quasar.scala 254:18] - assign dec_io_dec_exu_tlu_exu_exu_i0_br_hist_r = exu_io_dec_exu_tlu_exu_exu_i0_br_hist_r; // @[quasar.scala 254:18] - assign dec_io_dec_exu_tlu_exu_exu_i0_br_error_r = exu_io_dec_exu_tlu_exu_exu_i0_br_error_r; // @[quasar.scala 254:18] - assign dec_io_dec_exu_tlu_exu_exu_i0_br_start_error_r = exu_io_dec_exu_tlu_exu_exu_i0_br_start_error_r; // @[quasar.scala 254:18] - assign dec_io_dec_exu_tlu_exu_exu_i0_br_valid_r = exu_io_dec_exu_tlu_exu_exu_i0_br_valid_r; // @[quasar.scala 254:18] - assign dec_io_dec_exu_tlu_exu_exu_i0_br_mp_r = exu_io_dec_exu_tlu_exu_exu_i0_br_mp_r; // @[quasar.scala 254:18] - assign dec_io_dec_exu_tlu_exu_exu_i0_br_middle_r = exu_io_dec_exu_tlu_exu_exu_i0_br_middle_r; // @[quasar.scala 254:18] - assign dec_io_dec_exu_tlu_exu_exu_pmu_i0_br_misp = exu_io_dec_exu_tlu_exu_exu_pmu_i0_br_misp; // @[quasar.scala 254:18] - assign dec_io_dec_exu_tlu_exu_exu_pmu_i0_br_ataken = exu_io_dec_exu_tlu_exu_exu_pmu_i0_br_ataken; // @[quasar.scala 254:18] - assign dec_io_dec_exu_tlu_exu_exu_pmu_i0_pc4 = exu_io_dec_exu_tlu_exu_exu_pmu_i0_pc4; // @[quasar.scala 254:18] - assign dec_io_dec_exu_tlu_exu_exu_npc_r = exu_io_dec_exu_tlu_exu_exu_npc_r; // @[quasar.scala 254:18] - assign dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_trxn = lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_trxn; // @[quasar.scala 222:18] - assign dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_misaligned = lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_misaligned; // @[quasar.scala 222:18] - assign dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_error = lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_error; // @[quasar.scala 222:18] - assign dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy = lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy; // @[quasar.scala 222:18] - assign dec_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any = lsu_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any; // @[quasar.scala 222:18] - assign dec_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any = lsu_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any; // @[quasar.scala 222:18] - assign dec_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_addr_any = lsu_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_addr_any; // @[quasar.scala 222:18] - assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_valid_m = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_valid_m; // @[quasar.scala 222:18] - assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_tag_m = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_tag_m; // @[quasar.scala 222:18] - assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_r = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_r; // @[quasar.scala 222:18] - assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_tag_r = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_tag_r; // @[quasar.scala 222:18] - assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_valid = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_valid; // @[quasar.scala 222:18] - assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_error = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_error; // @[quasar.scala 222:18] - assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag; // @[quasar.scala 222:18] - assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data; // @[quasar.scala 222:18] - assign dec_io_lsu_tlu_lsu_pmu_load_external_m = lsu_io_lsu_tlu_lsu_pmu_load_external_m; // @[quasar.scala 223:18] - assign dec_io_lsu_tlu_lsu_pmu_store_external_m = lsu_io_lsu_tlu_lsu_pmu_store_external_m; // @[quasar.scala 223:18] - assign dec_io_dec_dbg_dbg_ib_dbg_cmd_valid = dbg_io_dbg_dec_dbg_ib_dbg_cmd_valid; // @[quasar.scala 230:18] - assign dec_io_dec_dbg_dbg_ib_dbg_cmd_write = dbg_io_dbg_dec_dbg_ib_dbg_cmd_write; // @[quasar.scala 230:18] - assign dec_io_dec_dbg_dbg_ib_dbg_cmd_type = dbg_io_dbg_dec_dbg_ib_dbg_cmd_type; // @[quasar.scala 230:18] - assign dec_io_dec_dbg_dbg_ib_dbg_cmd_addr = dbg_io_dbg_dec_dbg_ib_dbg_cmd_addr; // @[quasar.scala 230:18] - assign dec_io_dec_dbg_dbg_dctl_dbg_cmd_wrdata = dbg_io_dbg_dec_dbg_dctl_dbg_cmd_wrdata; // @[quasar.scala 230:18] - assign dec_io_dec_dma_dctl_dma_dma_dccm_stall_any = dma_ctrl_io_dec_dma_dctl_dma_dma_dccm_stall_any; // @[quasar.scala 224:18] - assign dec_io_dec_dma_tlu_dma_dma_pmu_dccm_read = dma_ctrl_io_dec_dma_tlu_dma_dma_pmu_dccm_read; // @[quasar.scala 224:18] - assign dec_io_dec_dma_tlu_dma_dma_pmu_dccm_write = dma_ctrl_io_dec_dma_tlu_dma_dma_pmu_dccm_write; // @[quasar.scala 224:18] - assign dec_io_dec_dma_tlu_dma_dma_pmu_any_read = dma_ctrl_io_dec_dma_tlu_dma_dma_pmu_any_read; // @[quasar.scala 224:18] - assign dec_io_dec_dma_tlu_dma_dma_pmu_any_write = dma_ctrl_io_dec_dma_tlu_dma_dma_pmu_any_write; // @[quasar.scala 224:18] - assign dec_io_dec_dma_tlu_dma_dma_dccm_stall_any = dma_ctrl_io_dec_dma_tlu_dma_dma_dccm_stall_any; // @[quasar.scala 224:18] - assign dec_io_dec_dma_tlu_dma_dma_iccm_stall_any = dma_ctrl_io_dec_dma_tlu_dma_dma_iccm_stall_any; // @[quasar.scala 224:18] - assign dec_io_dec_pic_pic_claimid = pic_ctrl_inst_io_dec_pic_pic_claimid; // @[quasar.scala 225:18] - assign dec_io_dec_pic_pic_pl = pic_ctrl_inst_io_dec_pic_pic_pl; // @[quasar.scala 225:18] - assign dec_io_dec_pic_mhwakeup = pic_ctrl_inst_io_dec_pic_mhwakeup; // @[quasar.scala 225:18] - assign dec_io_dec_pic_mexintpend = pic_ctrl_inst_io_dec_pic_mexintpend; // @[quasar.scala 225:18] + assign dec_reset = io_core_rst_l; // @[quasar.scala 109:13] + assign dec_io_free_clk = rvclkhdr_io_l1clk; // @[quasar.scala 110:19] + assign dec_io_active_clk = rvclkhdr_1_io_l1clk; // @[quasar.scala 111:21] + assign dec_io_lsu_fastint_stall_any = lsu_io_lsu_fastint_stall_any; // @[quasar.scala 112:32] + assign dec_io_rst_vec = io_rst_vec; // @[quasar.scala 113:18] + assign dec_io_nmi_int = io_nmi_int; // @[quasar.scala 114:18] + assign dec_io_nmi_vec = io_nmi_vec; // @[quasar.scala 115:18] + assign dec_io_i_cpu_halt_req = io_i_cpu_halt_req; // @[quasar.scala 116:25] + assign dec_io_i_cpu_run_req = io_i_cpu_run_req; // @[quasar.scala 117:24] + assign dec_io_core_id = io_core_id; // @[quasar.scala 118:18] + assign dec_io_mpc_debug_halt_req = io_mpc_debug_halt_req; // @[quasar.scala 119:29] + assign dec_io_mpc_debug_run_req = io_mpc_debug_run_req; // @[quasar.scala 120:28] + assign dec_io_mpc_reset_run_req = io_mpc_reset_run_req; // @[quasar.scala 121:28] + assign dec_io_lsu_pmu_misaligned_m = lsu_io_lsu_pmu_misaligned_m; // @[quasar.scala 124:31] + assign dec_io_lsu_fir_addr = lsu_io_lsu_fir_addr; // @[quasar.scala 127:23] + assign dec_io_lsu_fir_error = lsu_io_lsu_fir_error; // @[quasar.scala 128:24] + assign dec_io_lsu_trigger_match_m = lsu_io_lsu_trigger_match_m; // @[quasar.scala 129:30] + assign dec_io_lsu_idle_any = lsu_io_lsu_idle_any; // @[quasar.scala 131:23] + assign dec_io_lsu_error_pkt_r_valid = lsu_io_lsu_error_pkt_r_valid; // @[quasar.scala 132:26] + assign dec_io_lsu_error_pkt_r_bits_single_ecc_error = lsu_io_lsu_error_pkt_r_bits_single_ecc_error; // @[quasar.scala 132:26] + assign dec_io_lsu_error_pkt_r_bits_inst_type = lsu_io_lsu_error_pkt_r_bits_inst_type; // @[quasar.scala 132:26] + assign dec_io_lsu_error_pkt_r_bits_exc_type = lsu_io_lsu_error_pkt_r_bits_exc_type; // @[quasar.scala 132:26] + assign dec_io_lsu_error_pkt_r_bits_mscause = lsu_io_lsu_error_pkt_r_bits_mscause; // @[quasar.scala 132:26] + assign dec_io_lsu_error_pkt_r_bits_addr = lsu_io_lsu_error_pkt_r_bits_addr; // @[quasar.scala 132:26] + assign dec_io_lsu_single_ecc_error_incr = lsu_io_lsu_single_ecc_error_incr; // @[quasar.scala 133:36] + assign dec_io_exu_div_result = exu_io_exu_div_result; // @[quasar.scala 134:25] + assign dec_io_exu_div_wren = exu_io_exu_div_wren; // @[quasar.scala 135:23] + assign dec_io_lsu_result_m = lsu_io_lsu_result_m; // @[quasar.scala 136:23] + assign dec_io_lsu_result_corr_r = lsu_io_lsu_result_corr_r; // @[quasar.scala 137:28] + assign dec_io_lsu_load_stall_any = lsu_io_lsu_load_stall_any; // @[quasar.scala 138:29] + assign dec_io_lsu_store_stall_any = lsu_io_lsu_store_stall_any; // @[quasar.scala 139:30] + assign dec_io_iccm_dma_sb_error = ifu_io_iccm_dma_sb_error; // @[quasar.scala 140:28] + assign dec_io_exu_flush_final = exu_io_exu_flush_final; // @[quasar.scala 141:26] + assign dec_io_timer_int = io_timer_int; // @[quasar.scala 147:20] + assign dec_io_soft_int = io_soft_int; // @[quasar.scala 143:19] + assign dec_io_dbg_halt_req = dbg_io_dbg_halt_req; // @[quasar.scala 144:23] + assign dec_io_dbg_resume_req = dbg_io_dbg_resume_req; // @[quasar.scala 145:25] + assign dec_io_exu_i0_br_way_r = exu_io_exu_bp_exu_i0_br_way_r; // @[quasar.scala 146:26] + assign dec_io_scan_mode = io_scan_mode; // @[quasar.scala 148:20] + assign dec_io_ifu_dec_dec_aln_aln_dec_ifu_i0_cinst = ifu_io_ifu_dec_dec_aln_aln_dec_ifu_i0_cinst; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_type = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_type; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_f1 = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_f1; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_index = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_index; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_fghr = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_fghr; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_btag = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_btag; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_valid = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_valid; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc4 = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc4; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_valid = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_valid; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_prett = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_prett; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_way = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_way; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_ifu_pmu_instr_aligned = ifu_io_ifu_dec_dec_aln_ifu_pmu_instr_aligned; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_miss = ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_miss; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_hit = ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_hit; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_error = ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_error; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_busy = ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_busy; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_trxn = ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_trxn; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_mem_ctrl_ifu_ic_error_start = ifu_io_ifu_dec_dec_mem_ctrl_ifu_ic_error_start; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_mem_ctrl_ifu_iccm_rd_ecc_single_err = ifu_io_ifu_dec_dec_mem_ctrl_ifu_iccm_rd_ecc_single_err; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data = ifu_io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data_valid = ifu_io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data_valid; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_mem_ctrl_ifu_miss_state_idle = ifu_io_ifu_dec_dec_mem_ctrl_ifu_miss_state_idle; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_ifc_ifu_pmu_fetch_stall = ifu_io_ifu_dec_dec_ifc_ifu_pmu_fetch_stall; // @[quasar.scala 88:18] + assign dec_io_dec_exu_dec_alu_exu_i0_pc_x = exu_io_dec_exu_dec_alu_exu_i0_pc_x; // @[quasar.scala 151:18] + assign dec_io_dec_exu_decode_exu_exu_i0_result_x = exu_io_dec_exu_decode_exu_exu_i0_result_x; // @[quasar.scala 151:18] + assign dec_io_dec_exu_decode_exu_exu_csr_rs1_x = exu_io_dec_exu_decode_exu_exu_csr_rs1_x; // @[quasar.scala 151:18] + assign dec_io_dec_exu_tlu_exu_exu_i0_br_hist_r = exu_io_dec_exu_tlu_exu_exu_i0_br_hist_r; // @[quasar.scala 151:18] + assign dec_io_dec_exu_tlu_exu_exu_i0_br_error_r = exu_io_dec_exu_tlu_exu_exu_i0_br_error_r; // @[quasar.scala 151:18] + assign dec_io_dec_exu_tlu_exu_exu_i0_br_start_error_r = exu_io_dec_exu_tlu_exu_exu_i0_br_start_error_r; // @[quasar.scala 151:18] + assign dec_io_dec_exu_tlu_exu_exu_i0_br_valid_r = exu_io_dec_exu_tlu_exu_exu_i0_br_valid_r; // @[quasar.scala 151:18] + assign dec_io_dec_exu_tlu_exu_exu_i0_br_mp_r = exu_io_dec_exu_tlu_exu_exu_i0_br_mp_r; // @[quasar.scala 151:18] + assign dec_io_dec_exu_tlu_exu_exu_i0_br_middle_r = exu_io_dec_exu_tlu_exu_exu_i0_br_middle_r; // @[quasar.scala 151:18] + assign dec_io_dec_exu_tlu_exu_exu_pmu_i0_br_misp = exu_io_dec_exu_tlu_exu_exu_pmu_i0_br_misp; // @[quasar.scala 151:18] + assign dec_io_dec_exu_tlu_exu_exu_pmu_i0_br_ataken = exu_io_dec_exu_tlu_exu_exu_pmu_i0_br_ataken; // @[quasar.scala 151:18] + assign dec_io_dec_exu_tlu_exu_exu_pmu_i0_pc4 = exu_io_dec_exu_tlu_exu_exu_pmu_i0_pc4; // @[quasar.scala 151:18] + assign dec_io_dec_exu_tlu_exu_exu_npc_r = exu_io_dec_exu_tlu_exu_exu_npc_r; // @[quasar.scala 151:18] + assign dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_trxn = lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_trxn; // @[quasar.scala 122:18] + assign dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_misaligned = lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_misaligned; // @[quasar.scala 122:18] + assign dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_error = lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_error; // @[quasar.scala 122:18] + assign dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy = lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy; // @[quasar.scala 122:18] + assign dec_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any = lsu_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any; // @[quasar.scala 122:18] + assign dec_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any = lsu_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any; // @[quasar.scala 122:18] + assign dec_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_addr_any = lsu_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_addr_any; // @[quasar.scala 122:18] + assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_valid_m = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_valid_m; // @[quasar.scala 122:18] + assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_tag_m = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_tag_m; // @[quasar.scala 122:18] + assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_r = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_r; // @[quasar.scala 122:18] + assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_tag_r = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_tag_r; // @[quasar.scala 122:18] + assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_valid = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_valid; // @[quasar.scala 122:18] + assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_error = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_error; // @[quasar.scala 122:18] + assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag; // @[quasar.scala 122:18] + assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data; // @[quasar.scala 122:18] + assign dec_io_lsu_tlu_lsu_pmu_load_external_m = lsu_io_lsu_tlu_lsu_pmu_load_external_m; // @[quasar.scala 123:18] + assign dec_io_lsu_tlu_lsu_pmu_store_external_m = lsu_io_lsu_tlu_lsu_pmu_store_external_m; // @[quasar.scala 123:18] + assign dec_io_dec_dbg_dbg_ib_dbg_cmd_valid = dbg_io_dbg_dec_dbg_ib_dbg_cmd_valid; // @[quasar.scala 130:18] + assign dec_io_dec_dbg_dbg_ib_dbg_cmd_write = dbg_io_dbg_dec_dbg_ib_dbg_cmd_write; // @[quasar.scala 130:18] + assign dec_io_dec_dbg_dbg_ib_dbg_cmd_type = dbg_io_dbg_dec_dbg_ib_dbg_cmd_type; // @[quasar.scala 130:18] + assign dec_io_dec_dbg_dbg_ib_dbg_cmd_addr = dbg_io_dbg_dec_dbg_ib_dbg_cmd_addr; // @[quasar.scala 130:18] + assign dec_io_dec_dbg_dbg_dctl_dbg_cmd_wrdata = dbg_io_dbg_dec_dbg_dctl_dbg_cmd_wrdata; // @[quasar.scala 130:18] + assign dec_io_dec_dma_dctl_dma_dma_dccm_stall_any = dma_ctrl_io_dec_dma_dctl_dma_dma_dccm_stall_any; // @[quasar.scala 125:18] + assign dec_io_dec_dma_tlu_dma_dma_pmu_dccm_read = dma_ctrl_io_dec_dma_tlu_dma_dma_pmu_dccm_read; // @[quasar.scala 125:18] + assign dec_io_dec_dma_tlu_dma_dma_pmu_dccm_write = dma_ctrl_io_dec_dma_tlu_dma_dma_pmu_dccm_write; // @[quasar.scala 125:18] + assign dec_io_dec_dma_tlu_dma_dma_pmu_any_read = dma_ctrl_io_dec_dma_tlu_dma_dma_pmu_any_read; // @[quasar.scala 125:18] + assign dec_io_dec_dma_tlu_dma_dma_pmu_any_write = dma_ctrl_io_dec_dma_tlu_dma_dma_pmu_any_write; // @[quasar.scala 125:18] + assign dec_io_dec_dma_tlu_dma_dma_dccm_stall_any = dma_ctrl_io_dec_dma_tlu_dma_dma_dccm_stall_any; // @[quasar.scala 125:18] + assign dec_io_dec_dma_tlu_dma_dma_iccm_stall_any = dma_ctrl_io_dec_dma_tlu_dma_dma_iccm_stall_any; // @[quasar.scala 125:18] + assign dec_io_dec_pic_pic_claimid = pic_ctrl_inst_io_dec_pic_pic_claimid; // @[quasar.scala 216:28] + assign dec_io_dec_pic_pic_pl = pic_ctrl_inst_io_dec_pic_pic_pl; // @[quasar.scala 216:28] + assign dec_io_dec_pic_mhwakeup = pic_ctrl_inst_io_dec_pic_mhwakeup; // @[quasar.scala 216:28] + assign dec_io_dec_pic_mexintpend = pic_ctrl_inst_io_dec_pic_mexintpend; // @[quasar.scala 216:28] assign dbg_clock = clock; - assign dbg_reset = io_core_rst_l; // @[quasar.scala 289:13] - assign dbg_io_core_dbg_rddata = dma_ctrl_io_dma_dbg_cmd_done ? dma_ctrl_io_dma_dbg_rddata : dec_io_dec_dbg_rddata; // @[quasar.scala 290:26] - assign dbg_io_core_dbg_cmd_done = dma_ctrl_io_dma_dbg_cmd_done | dec_io_dec_dbg_cmd_done; // @[quasar.scala 291:28] - assign dbg_io_core_dbg_cmd_fail = dma_ctrl_io_dma_dbg_cmd_fail | dec_io_dec_dbg_cmd_fail; // @[quasar.scala 292:28] - assign dbg_io_dec_tlu_debug_mode = dec_io_dec_tlu_debug_mode; // @[quasar.scala 293:29] - assign dbg_io_dec_tlu_dbg_halted = dec_io_dec_tlu_dbg_halted; // @[quasar.scala 294:29] - assign dbg_io_dec_tlu_mpc_halted_only = dec_io_dec_tlu_mpc_halted_only; // @[quasar.scala 295:34] - assign dbg_io_dec_tlu_resume_ack = dec_io_dec_tlu_resume_ack; // @[quasar.scala 296:29] - assign dbg_io_dmi_reg_en = io_dmi_reg_en; // @[quasar.scala 297:21] - assign dbg_io_dmi_reg_addr = io_dmi_reg_addr; // @[quasar.scala 298:23] - assign dbg_io_dmi_reg_wr_en = io_dmi_reg_wr_en; // @[quasar.scala 299:24] - assign dbg_io_dmi_reg_wdata = io_dmi_reg_wdata; // @[quasar.scala 300:24] - assign dbg_io_sb_axi_aw_ready = io_sb_axi_aw_ready; // @[quasar.scala 301:17] - assign dbg_io_sb_axi_w_ready = io_sb_axi_w_ready; // @[quasar.scala 301:17] - assign dbg_io_sb_axi_b_valid = io_sb_axi_b_valid; // @[quasar.scala 301:17] - assign dbg_io_sb_axi_b_bits_resp = io_sb_axi_b_bits_resp; // @[quasar.scala 301:17] - assign dbg_io_sb_axi_ar_ready = io_sb_axi_ar_ready; // @[quasar.scala 301:17] - assign dbg_io_sb_axi_r_valid = io_sb_axi_r_valid; // @[quasar.scala 301:17] - assign dbg_io_sb_axi_r_bits_data = io_sb_axi_r_bits_data; // @[quasar.scala 301:17] - assign dbg_io_sb_axi_r_bits_resp = io_sb_axi_r_bits_resp; // @[quasar.scala 301:17] - assign dbg_io_dbg_dma_io_dma_dbg_ready = dma_ctrl_io_dbg_dma_io_dma_dbg_ready; // @[quasar.scala 315:26] - assign dbg_io_dbg_bus_clk_en = io_dbg_bus_clk_en; // @[quasar.scala 302:25] - assign dbg_io_dbg_rst_l = io_dbg_rst_l; // @[quasar.scala 303:20] - assign dbg_io_clk_override = dec_io_dec_tlu_misc_clk_override; // @[quasar.scala 304:23] - assign dbg_io_scan_mode = io_scan_mode; // @[quasar.scala 305:20] + assign dbg_reset = reset; + assign dbg_io_core_dbg_rddata = dma_ctrl_io_dma_dbg_cmd_done ? dma_ctrl_io_dma_dbg_rddata : dec_io_dec_dbg_rddata; // @[quasar.scala 176:26] + assign dbg_io_core_dbg_cmd_done = dma_ctrl_io_dma_dbg_cmd_done | dec_io_dec_dbg_cmd_done; // @[quasar.scala 177:28] + assign dbg_io_core_dbg_cmd_fail = dma_ctrl_io_dma_dbg_cmd_fail | dec_io_dec_dbg_cmd_fail; // @[quasar.scala 178:28] + assign dbg_io_dec_tlu_debug_mode = dec_io_dec_tlu_debug_mode; // @[quasar.scala 179:29] + assign dbg_io_dec_tlu_dbg_halted = dec_io_dec_tlu_dbg_halted; // @[quasar.scala 180:29] + assign dbg_io_dec_tlu_mpc_halted_only = dec_io_dec_tlu_mpc_halted_only; // @[quasar.scala 181:34] + assign dbg_io_dec_tlu_resume_ack = dec_io_dec_tlu_resume_ack; // @[quasar.scala 182:29] + assign dbg_io_dmi_reg_en = io_dmi_reg_en; // @[quasar.scala 183:21] + assign dbg_io_dmi_reg_addr = io_dmi_reg_addr; // @[quasar.scala 184:23] + assign dbg_io_dmi_reg_wr_en = io_dmi_reg_wr_en; // @[quasar.scala 185:24] + assign dbg_io_dmi_reg_wdata = io_dmi_reg_wdata; // @[quasar.scala 186:24] + assign dbg_io_sb_axi_aw_ready = axi4_to_ahb_io_axi_aw_ready; // @[quasar.scala 262:27] + assign dbg_io_sb_axi_w_ready = axi4_to_ahb_io_axi_w_ready; // @[quasar.scala 262:27] + assign dbg_io_sb_axi_b_valid = axi4_to_ahb_io_axi_b_valid; // @[quasar.scala 262:27] + assign dbg_io_sb_axi_b_bits_resp = axi4_to_ahb_io_axi_b_bits_resp; // @[quasar.scala 262:27] + assign dbg_io_sb_axi_ar_ready = axi4_to_ahb_io_axi_ar_ready; // @[quasar.scala 262:27] + assign dbg_io_sb_axi_r_valid = axi4_to_ahb_io_axi_r_valid; // @[quasar.scala 262:27] + assign dbg_io_sb_axi_r_bits_data = axi4_to_ahb_io_axi_r_bits_data; // @[quasar.scala 262:27] + assign dbg_io_sb_axi_r_bits_resp = axi4_to_ahb_io_axi_r_bits_resp; // @[quasar.scala 262:27] + assign dbg_io_dbg_dma_io_dma_dbg_ready = dma_ctrl_io_dbg_dma_io_dma_dbg_ready; // @[quasar.scala 200:26] + assign dbg_io_dbg_bus_clk_en = io_dbg_bus_clk_en; // @[quasar.scala 187:25] + assign dbg_io_dbg_rst_l = io_dbg_rst_l; // @[quasar.scala 188:20] + assign dbg_io_clk_override = dec_io_dec_tlu_misc_clk_override; // @[quasar.scala 189:23] + assign dbg_io_scan_mode = io_scan_mode; // @[quasar.scala 190:20] assign exu_clock = clock; - assign exu_reset = io_core_rst_l; // @[quasar.scala 255:13] - assign exu_io_scan_mode = io_scan_mode; // @[quasar.scala 256:20] - assign exu_io_dec_exu_dec_alu_dec_i0_alu_decode_d = dec_io_dec_exu_dec_alu_dec_i0_alu_decode_d; // @[quasar.scala 254:18] - assign exu_io_dec_exu_dec_alu_dec_csr_ren_d = dec_io_dec_exu_dec_alu_dec_csr_ren_d; // @[quasar.scala 254:18] - assign exu_io_dec_exu_dec_alu_dec_i0_br_immed_d = dec_io_dec_exu_dec_alu_dec_i0_br_immed_d; // @[quasar.scala 254:18] - assign exu_io_dec_exu_dec_div_div_p_valid = dec_io_dec_exu_dec_div_div_p_valid; // @[quasar.scala 254:18] - assign exu_io_dec_exu_dec_div_div_p_bits_unsign = dec_io_dec_exu_dec_div_div_p_bits_unsign; // @[quasar.scala 254:18] - assign exu_io_dec_exu_dec_div_div_p_bits_rem = dec_io_dec_exu_dec_div_div_p_bits_rem; // @[quasar.scala 254:18] - assign exu_io_dec_exu_dec_div_dec_div_cancel = dec_io_dec_exu_dec_div_dec_div_cancel; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_data_en = dec_io_dec_exu_decode_exu_dec_data_en; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_ctl_en = dec_io_dec_exu_decode_exu_dec_ctl_en; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_ap_land = dec_io_dec_exu_decode_exu_i0_ap_land; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_ap_lor = dec_io_dec_exu_decode_exu_i0_ap_lor; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_ap_lxor = dec_io_dec_exu_decode_exu_i0_ap_lxor; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_ap_sll = dec_io_dec_exu_decode_exu_i0_ap_sll; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_ap_srl = dec_io_dec_exu_decode_exu_i0_ap_srl; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_ap_sra = dec_io_dec_exu_decode_exu_i0_ap_sra; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_ap_beq = dec_io_dec_exu_decode_exu_i0_ap_beq; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_ap_bne = dec_io_dec_exu_decode_exu_i0_ap_bne; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_ap_blt = dec_io_dec_exu_decode_exu_i0_ap_blt; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_ap_bge = dec_io_dec_exu_decode_exu_i0_ap_bge; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_ap_add = dec_io_dec_exu_decode_exu_i0_ap_add; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_ap_sub = dec_io_dec_exu_decode_exu_i0_ap_sub; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_ap_slt = dec_io_dec_exu_decode_exu_i0_ap_slt; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_ap_unsign = dec_io_dec_exu_decode_exu_i0_ap_unsign; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_ap_jal = dec_io_dec_exu_decode_exu_i0_ap_jal; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_ap_predict_t = dec_io_dec_exu_decode_exu_i0_ap_predict_t; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_ap_predict_nt = dec_io_dec_exu_decode_exu_i0_ap_predict_nt; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_ap_csr_write = dec_io_dec_exu_decode_exu_i0_ap_csr_write; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_ap_csr_imm = dec_io_dec_exu_decode_exu_i0_ap_csr_imm; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_valid = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_valid; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pc4 = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pc4; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_hist = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_hist; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_toffset = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_toffset; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_error = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_error; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_start_error = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_start_error; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_prett = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_prett; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pcall = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pcall; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pret = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pret; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pja = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pja; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_way = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_way; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_predict_fghr_d = dec_io_dec_exu_decode_exu_i0_predict_fghr_d; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_predict_index_d = dec_io_dec_exu_decode_exu_i0_predict_index_d; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_i0_predict_btag_d = dec_io_dec_exu_decode_exu_i0_predict_btag_d; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_i0_rs1_en_d = dec_io_dec_exu_decode_exu_dec_i0_rs1_en_d; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_i0_rs2_en_d = dec_io_dec_exu_decode_exu_dec_i0_rs2_en_d; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_i0_immed_d = dec_io_dec_exu_decode_exu_dec_i0_immed_d; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_i0_rs1_bypass_data_d = dec_io_dec_exu_decode_exu_dec_i0_rs1_bypass_data_d; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_i0_rs2_bypass_data_d = dec_io_dec_exu_decode_exu_dec_i0_rs2_bypass_data_d; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_i0_select_pc_d = dec_io_dec_exu_decode_exu_dec_i0_select_pc_d; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_i0_rs1_bypass_en_d = dec_io_dec_exu_decode_exu_dec_i0_rs1_bypass_en_d; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_i0_rs2_bypass_en_d = dec_io_dec_exu_decode_exu_dec_i0_rs2_bypass_en_d; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_mul_p_valid = dec_io_dec_exu_decode_exu_mul_p_valid; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_mul_p_bits_rs1_sign = dec_io_dec_exu_decode_exu_mul_p_bits_rs1_sign; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_mul_p_bits_rs2_sign = dec_io_dec_exu_decode_exu_mul_p_bits_rs2_sign; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_mul_p_bits_low = dec_io_dec_exu_decode_exu_mul_p_bits_low; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_pred_correct_npc_x = dec_io_dec_exu_decode_exu_pred_correct_npc_x; // @[quasar.scala 254:18] - assign exu_io_dec_exu_decode_exu_dec_extint_stall = dec_io_dec_exu_decode_exu_dec_extint_stall; // @[quasar.scala 254:18] - assign exu_io_dec_exu_tlu_exu_dec_tlu_meihap = dec_io_dec_exu_tlu_exu_dec_tlu_meihap; // @[quasar.scala 254:18] - assign exu_io_dec_exu_tlu_exu_dec_tlu_flush_lower_r = dec_io_dec_exu_tlu_exu_dec_tlu_flush_lower_r; // @[quasar.scala 254:18] - assign exu_io_dec_exu_tlu_exu_dec_tlu_flush_path_r = dec_io_dec_exu_tlu_exu_dec_tlu_flush_path_r; // @[quasar.scala 254:18] - assign exu_io_dec_exu_ib_exu_dec_i0_pc_d = dec_io_dec_exu_ib_exu_dec_i0_pc_d; // @[quasar.scala 254:18] - assign exu_io_dec_exu_ib_exu_dec_debug_wdata_rs1_d = dec_io_dec_exu_ib_exu_dec_debug_wdata_rs1_d; // @[quasar.scala 254:18] - assign exu_io_dec_exu_gpr_exu_gpr_i0_rs1_d = dec_io_dec_exu_gpr_exu_gpr_i0_rs1_d; // @[quasar.scala 254:18] - assign exu_io_dec_exu_gpr_exu_gpr_i0_rs2_d = dec_io_dec_exu_gpr_exu_gpr_i0_rs2_d; // @[quasar.scala 254:18] - assign exu_io_dbg_cmd_wrdata = {{30'd0}, dbg_io_dbg_dec_dbg_dctl_dbg_cmd_wrdata}; // @[quasar.scala 257:25] + assign exu_reset = io_core_rst_l; // @[quasar.scala 152:13] + assign exu_io_scan_mode = io_scan_mode; // @[quasar.scala 153:20] + assign exu_io_dec_exu_dec_alu_dec_i0_alu_decode_d = dec_io_dec_exu_dec_alu_dec_i0_alu_decode_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_dec_alu_dec_csr_ren_d = dec_io_dec_exu_dec_alu_dec_csr_ren_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_dec_alu_dec_i0_br_immed_d = dec_io_dec_exu_dec_alu_dec_i0_br_immed_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_dec_div_div_p_valid = dec_io_dec_exu_dec_div_div_p_valid; // @[quasar.scala 151:18] + assign exu_io_dec_exu_dec_div_div_p_bits_unsign = dec_io_dec_exu_dec_div_div_p_bits_unsign; // @[quasar.scala 151:18] + assign exu_io_dec_exu_dec_div_div_p_bits_rem = dec_io_dec_exu_dec_div_div_p_bits_rem; // @[quasar.scala 151:18] + assign exu_io_dec_exu_dec_div_dec_div_cancel = dec_io_dec_exu_dec_div_dec_div_cancel; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_data_en = dec_io_dec_exu_decode_exu_dec_data_en; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_ctl_en = dec_io_dec_exu_decode_exu_dec_ctl_en; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_land = dec_io_dec_exu_decode_exu_i0_ap_land; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_lor = dec_io_dec_exu_decode_exu_i0_ap_lor; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_lxor = dec_io_dec_exu_decode_exu_i0_ap_lxor; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_sll = dec_io_dec_exu_decode_exu_i0_ap_sll; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_srl = dec_io_dec_exu_decode_exu_i0_ap_srl; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_sra = dec_io_dec_exu_decode_exu_i0_ap_sra; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_beq = dec_io_dec_exu_decode_exu_i0_ap_beq; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_bne = dec_io_dec_exu_decode_exu_i0_ap_bne; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_blt = dec_io_dec_exu_decode_exu_i0_ap_blt; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_bge = dec_io_dec_exu_decode_exu_i0_ap_bge; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_add = dec_io_dec_exu_decode_exu_i0_ap_add; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_sub = dec_io_dec_exu_decode_exu_i0_ap_sub; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_slt = dec_io_dec_exu_decode_exu_i0_ap_slt; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_unsign = dec_io_dec_exu_decode_exu_i0_ap_unsign; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_jal = dec_io_dec_exu_decode_exu_i0_ap_jal; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_predict_t = dec_io_dec_exu_decode_exu_i0_ap_predict_t; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_predict_nt = dec_io_dec_exu_decode_exu_i0_ap_predict_nt; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_csr_write = dec_io_dec_exu_decode_exu_i0_ap_csr_write; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_csr_imm = dec_io_dec_exu_decode_exu_i0_ap_csr_imm; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_valid = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_valid; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pc4 = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pc4; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_hist = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_hist; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_toffset = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_toffset; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_error = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_error; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_start_error = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_start_error; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_prett = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_prett; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pcall = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pcall; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pret = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pret; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pja = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pja; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_way = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_way; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_predict_fghr_d = dec_io_dec_exu_decode_exu_i0_predict_fghr_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_predict_index_d = dec_io_dec_exu_decode_exu_i0_predict_index_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_predict_btag_d = dec_io_dec_exu_decode_exu_i0_predict_btag_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_rs1_en_d = dec_io_dec_exu_decode_exu_dec_i0_rs1_en_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_rs2_en_d = dec_io_dec_exu_decode_exu_dec_i0_rs2_en_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_immed_d = dec_io_dec_exu_decode_exu_dec_i0_immed_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_rs1_bypass_data_d = dec_io_dec_exu_decode_exu_dec_i0_rs1_bypass_data_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_rs2_bypass_data_d = dec_io_dec_exu_decode_exu_dec_i0_rs2_bypass_data_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_select_pc_d = dec_io_dec_exu_decode_exu_dec_i0_select_pc_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_rs1_bypass_en_d = dec_io_dec_exu_decode_exu_dec_i0_rs1_bypass_en_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_rs2_bypass_en_d = dec_io_dec_exu_decode_exu_dec_i0_rs2_bypass_en_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_mul_p_valid = dec_io_dec_exu_decode_exu_mul_p_valid; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_mul_p_bits_rs1_sign = dec_io_dec_exu_decode_exu_mul_p_bits_rs1_sign; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_mul_p_bits_rs2_sign = dec_io_dec_exu_decode_exu_mul_p_bits_rs2_sign; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_mul_p_bits_low = dec_io_dec_exu_decode_exu_mul_p_bits_low; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_pred_correct_npc_x = dec_io_dec_exu_decode_exu_pred_correct_npc_x; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_extint_stall = dec_io_dec_exu_decode_exu_dec_extint_stall; // @[quasar.scala 151:18] + assign exu_io_dec_exu_tlu_exu_dec_tlu_meihap = dec_io_dec_exu_tlu_exu_dec_tlu_meihap; // @[quasar.scala 151:18] + assign exu_io_dec_exu_tlu_exu_dec_tlu_flush_lower_r = dec_io_dec_exu_tlu_exu_dec_tlu_flush_lower_r; // @[quasar.scala 151:18] + assign exu_io_dec_exu_tlu_exu_dec_tlu_flush_path_r = dec_io_dec_exu_tlu_exu_dec_tlu_flush_path_r; // @[quasar.scala 151:18] + assign exu_io_dec_exu_ib_exu_dec_i0_pc_d = dec_io_dec_exu_ib_exu_dec_i0_pc_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_ib_exu_dec_debug_wdata_rs1_d = dec_io_dec_exu_ib_exu_dec_debug_wdata_rs1_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_gpr_exu_gpr_i0_rs1_d = dec_io_dec_exu_gpr_exu_gpr_i0_rs1_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_gpr_exu_gpr_i0_rs2_d = dec_io_dec_exu_gpr_exu_gpr_i0_rs2_d; // @[quasar.scala 151:18] + assign exu_io_dbg_cmd_wrdata = dbg_io_dbg_dec_dbg_dctl_dbg_cmd_wrdata; // @[quasar.scala 154:25] assign lsu_clock = clock; - assign lsu_reset = io_core_rst_l; // @[quasar.scala 260:13] - assign lsu_io_clk_override = dec_io_dec_tlu_lsu_clk_override; // @[quasar.scala 261:23] - assign lsu_io_lsu_dma_dma_lsc_ctl_dma_dccm_req = dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_dccm_req; // @[quasar.scala 284:18] - assign lsu_io_lsu_dma_dma_lsc_ctl_dma_mem_addr = dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_mem_addr; // @[quasar.scala 284:18] - assign lsu_io_lsu_dma_dma_lsc_ctl_dma_mem_sz = dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_mem_sz; // @[quasar.scala 284:18] - assign lsu_io_lsu_dma_dma_lsc_ctl_dma_mem_write = dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[quasar.scala 284:18] - assign lsu_io_lsu_dma_dma_lsc_ctl_dma_mem_wdata = dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_mem_wdata; // @[quasar.scala 284:18] - assign lsu_io_lsu_dma_dma_dccm_ctl_dma_mem_addr = dma_ctrl_io_lsu_dma_dma_dccm_ctl_dma_mem_addr; // @[quasar.scala 284:18] - assign lsu_io_lsu_dma_dma_dccm_ctl_dma_mem_wdata = dma_ctrl_io_lsu_dma_dma_dccm_ctl_dma_mem_wdata; // @[quasar.scala 284:18] - assign lsu_io_lsu_dma_dma_mem_tag = dma_ctrl_io_lsu_dma_dma_mem_tag; // @[quasar.scala 284:18] - assign lsu_io_lsu_pic_picm_rd_data = pic_ctrl_inst_io_lsu_pic_picm_rd_data; // @[quasar.scala 330:28] - assign lsu_io_lsu_exu_exu_lsu_rs1_d = exu_io_lsu_exu_exu_lsu_rs1_d; // @[quasar.scala 266:18] - assign lsu_io_lsu_exu_exu_lsu_rs2_d = exu_io_lsu_exu_exu_lsu_rs2_d; // @[quasar.scala 266:18] - assign lsu_io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable = dec_io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[quasar.scala 222:18] - assign lsu_io_lsu_dec_tlu_busbuff_dec_tlu_wb_coalescing_disable = dec_io_lsu_dec_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[quasar.scala 222:18] - assign lsu_io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable = dec_io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[quasar.scala 222:18] - assign lsu_io_dccm_rd_data_lo = io_swerv_mem_rd_data_lo; // @[quasar.scala 357:16] - assign lsu_io_dccm_rd_data_hi = io_swerv_mem_rd_data_hi; // @[quasar.scala 357:16] - assign lsu_io_axi_aw_ready = io_lsu_axi_aw_ready; // @[quasar.scala 272:23 quasar.scala 381:14] - assign lsu_io_axi_w_ready = io_lsu_axi_w_ready; // @[quasar.scala 273:22 quasar.scala 381:14] - assign lsu_io_axi_b_valid = io_lsu_axi_b_valid; // @[quasar.scala 274:22 quasar.scala 381:14] - assign lsu_io_axi_b_bits_resp = io_lsu_axi_b_bits_resp; // @[quasar.scala 275:26 quasar.scala 381:14] - assign lsu_io_axi_b_bits_id = io_lsu_axi_b_bits_id; // @[quasar.scala 276:24 quasar.scala 381:14] - assign lsu_io_axi_ar_ready = io_lsu_axi_ar_ready; // @[quasar.scala 277:23 quasar.scala 381:14] - assign lsu_io_axi_r_valid = io_lsu_axi_r_valid; // @[quasar.scala 278:22 quasar.scala 381:14] - assign lsu_io_axi_r_bits_id = io_lsu_axi_r_bits_id; // @[quasar.scala 279:24 quasar.scala 381:14] - assign lsu_io_axi_r_bits_data = io_lsu_axi_r_bits_data; // @[quasar.scala 280:26 quasar.scala 381:14] - assign lsu_io_axi_r_bits_resp = io_lsu_axi_r_bits_resp; // @[quasar.scala 281:26 quasar.scala 381:14] - assign lsu_io_dec_tlu_flush_lower_r = dec_io_dec_exu_tlu_exu_dec_tlu_flush_lower_r; // @[quasar.scala 262:32] - assign lsu_io_dec_tlu_i0_kill_writeb_r = dec_io_dec_tlu_i0_kill_writeb_r; // @[quasar.scala 263:35] - assign lsu_io_dec_tlu_force_halt = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_force_halt; // @[quasar.scala 264:29] - assign lsu_io_dec_tlu_core_ecc_disable = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_core_ecc_disable; // @[quasar.scala 265:35] - assign lsu_io_dec_lsu_offset_d = dec_io_dec_lsu_offset_d; // @[quasar.scala 267:27] - assign lsu_io_lsu_p_valid = dec_io_lsu_p_valid; // @[quasar.scala 268:16] - assign lsu_io_lsu_p_bits_fast_int = dec_io_lsu_p_bits_fast_int; // @[quasar.scala 268:16] - assign lsu_io_lsu_p_bits_by = dec_io_lsu_p_bits_by; // @[quasar.scala 268:16] - assign lsu_io_lsu_p_bits_half = dec_io_lsu_p_bits_half; // @[quasar.scala 268:16] - assign lsu_io_lsu_p_bits_word = dec_io_lsu_p_bits_word; // @[quasar.scala 268:16] - assign lsu_io_lsu_p_bits_load = dec_io_lsu_p_bits_load; // @[quasar.scala 268:16] - assign lsu_io_lsu_p_bits_store = dec_io_lsu_p_bits_store; // @[quasar.scala 268:16] - assign lsu_io_lsu_p_bits_unsign = dec_io_lsu_p_bits_unsign; // @[quasar.scala 268:16] - assign lsu_io_lsu_p_bits_store_data_bypass_d = dec_io_lsu_p_bits_store_data_bypass_d; // @[quasar.scala 268:16] - assign lsu_io_lsu_p_bits_load_ldst_bypass_d = dec_io_lsu_p_bits_load_ldst_bypass_d; // @[quasar.scala 268:16] - assign lsu_io_trigger_pkt_any_0_select = dec_io_trigger_pkt_any_0_select; // @[quasar.scala 271:26] - assign lsu_io_trigger_pkt_any_0_match_pkt = dec_io_trigger_pkt_any_0_match_pkt; // @[quasar.scala 271:26] - assign lsu_io_trigger_pkt_any_0_store = dec_io_trigger_pkt_any_0_store; // @[quasar.scala 271:26] - assign lsu_io_trigger_pkt_any_0_load = dec_io_trigger_pkt_any_0_load; // @[quasar.scala 271:26] - assign lsu_io_trigger_pkt_any_0_tdata2 = dec_io_trigger_pkt_any_0_tdata2; // @[quasar.scala 271:26] - assign lsu_io_trigger_pkt_any_1_select = dec_io_trigger_pkt_any_1_select; // @[quasar.scala 271:26] - assign lsu_io_trigger_pkt_any_1_match_pkt = dec_io_trigger_pkt_any_1_match_pkt; // @[quasar.scala 271:26] - assign lsu_io_trigger_pkt_any_1_store = dec_io_trigger_pkt_any_1_store; // @[quasar.scala 271:26] - assign lsu_io_trigger_pkt_any_1_load = dec_io_trigger_pkt_any_1_load; // @[quasar.scala 271:26] - assign lsu_io_trigger_pkt_any_1_tdata2 = dec_io_trigger_pkt_any_1_tdata2; // @[quasar.scala 271:26] - assign lsu_io_trigger_pkt_any_2_select = dec_io_trigger_pkt_any_2_select; // @[quasar.scala 271:26] - assign lsu_io_trigger_pkt_any_2_match_pkt = dec_io_trigger_pkt_any_2_match_pkt; // @[quasar.scala 271:26] - assign lsu_io_trigger_pkt_any_2_store = dec_io_trigger_pkt_any_2_store; // @[quasar.scala 271:26] - assign lsu_io_trigger_pkt_any_2_load = dec_io_trigger_pkt_any_2_load; // @[quasar.scala 271:26] - assign lsu_io_trigger_pkt_any_2_tdata2 = dec_io_trigger_pkt_any_2_tdata2; // @[quasar.scala 271:26] - assign lsu_io_trigger_pkt_any_3_select = dec_io_trigger_pkt_any_3_select; // @[quasar.scala 271:26] - assign lsu_io_trigger_pkt_any_3_match_pkt = dec_io_trigger_pkt_any_3_match_pkt; // @[quasar.scala 271:26] - assign lsu_io_trigger_pkt_any_3_store = dec_io_trigger_pkt_any_3_store; // @[quasar.scala 271:26] - assign lsu_io_trigger_pkt_any_3_load = dec_io_trigger_pkt_any_3_load; // @[quasar.scala 271:26] - assign lsu_io_trigger_pkt_any_3_tdata2 = dec_io_trigger_pkt_any_3_tdata2; // @[quasar.scala 271:26] - assign lsu_io_dec_lsu_valid_raw_d = dec_io_dec_lsu_valid_raw_d; // @[quasar.scala 269:30] - assign lsu_io_dec_tlu_mrac_ff = dec_io_ifu_dec_dec_ifc_dec_tlu_mrac_ff; // @[quasar.scala 270:26] - assign lsu_io_lsu_bus_clk_en = io_lsu_bus_clk_en; // @[quasar.scala 283:25] - assign lsu_io_scan_mode = io_scan_mode; // @[quasar.scala 285:20] - assign lsu_io_free_clk = rvclkhdr_io_l1clk; // @[quasar.scala 286:19] + assign lsu_reset = io_core_rst_l; // @[quasar.scala 157:13] + assign lsu_io_clk_override = dec_io_dec_tlu_lsu_clk_override; // @[quasar.scala 158:23] + assign lsu_io_lsu_dma_dma_lsc_ctl_dma_dccm_req = dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_dccm_req; // @[quasar.scala 171:18] + assign lsu_io_lsu_dma_dma_lsc_ctl_dma_mem_addr = dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_mem_addr; // @[quasar.scala 171:18] + assign lsu_io_lsu_dma_dma_lsc_ctl_dma_mem_sz = dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_mem_sz; // @[quasar.scala 171:18] + assign lsu_io_lsu_dma_dma_lsc_ctl_dma_mem_write = dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[quasar.scala 171:18] + assign lsu_io_lsu_dma_dma_lsc_ctl_dma_mem_wdata = dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_mem_wdata; // @[quasar.scala 171:18] + assign lsu_io_lsu_dma_dma_dccm_ctl_dma_mem_addr = dma_ctrl_io_lsu_dma_dma_dccm_ctl_dma_mem_addr; // @[quasar.scala 171:18] + assign lsu_io_lsu_dma_dma_dccm_ctl_dma_mem_wdata = dma_ctrl_io_lsu_dma_dma_dccm_ctl_dma_mem_wdata; // @[quasar.scala 171:18] + assign lsu_io_lsu_dma_dma_mem_tag = dma_ctrl_io_lsu_dma_dma_mem_tag; // @[quasar.scala 171:18] + assign lsu_io_lsu_pic_picm_rd_data = pic_ctrl_inst_io_lsu_pic_picm_rd_data; // @[quasar.scala 215:28] + assign lsu_io_lsu_exu_exu_lsu_rs1_d = exu_io_lsu_exu_exu_lsu_rs1_d; // @[quasar.scala 163:18] + assign lsu_io_lsu_exu_exu_lsu_rs2_d = exu_io_lsu_exu_exu_lsu_rs2_d; // @[quasar.scala 163:18] + assign lsu_io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable = dec_io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[quasar.scala 122:18] + assign lsu_io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable = dec_io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[quasar.scala 122:18] + assign lsu_io_dccm_rd_data_lo = io_dccm_rd_data_lo; // @[quasar.scala 238:11] + assign lsu_io_dccm_rd_data_hi = io_dccm_rd_data_hi; // @[quasar.scala 238:11] + assign lsu_io_axi_aw_ready = axi4_to_ahb_2_io_axi_aw_ready; // @[quasar.scala 249:28] + assign lsu_io_axi_w_ready = axi4_to_ahb_2_io_axi_w_ready; // @[quasar.scala 249:28] + assign lsu_io_axi_b_valid = axi4_to_ahb_2_io_axi_b_valid; // @[quasar.scala 249:28] + assign lsu_io_axi_b_bits_resp = axi4_to_ahb_2_io_axi_b_bits_resp; // @[quasar.scala 249:28] + assign lsu_io_axi_b_bits_id = axi4_to_ahb_2_io_axi_b_bits_id; // @[quasar.scala 249:28] + assign lsu_io_axi_ar_ready = axi4_to_ahb_2_io_axi_ar_ready; // @[quasar.scala 249:28] + assign lsu_io_axi_r_valid = axi4_to_ahb_2_io_axi_r_valid; // @[quasar.scala 249:28] + assign lsu_io_axi_r_bits_id = axi4_to_ahb_2_io_axi_r_bits_id; // @[quasar.scala 249:28] + assign lsu_io_axi_r_bits_data = axi4_to_ahb_2_io_axi_r_bits_data; // @[quasar.scala 249:28] + assign lsu_io_axi_r_bits_resp = axi4_to_ahb_2_io_axi_r_bits_resp; // @[quasar.scala 249:28] + assign lsu_io_dec_tlu_flush_lower_r = dec_io_dec_exu_tlu_exu_dec_tlu_flush_lower_r; // @[quasar.scala 159:32] + assign lsu_io_dec_tlu_i0_kill_writeb_r = dec_io_dec_tlu_i0_kill_writeb_r; // @[quasar.scala 160:35] + assign lsu_io_dec_tlu_force_halt = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_force_halt; // @[quasar.scala 161:29] + assign lsu_io_dec_tlu_core_ecc_disable = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_core_ecc_disable; // @[quasar.scala 162:35] + assign lsu_io_dec_lsu_offset_d = dec_io_dec_lsu_offset_d; // @[quasar.scala 164:27] + assign lsu_io_lsu_p_valid = dec_io_lsu_p_valid; // @[quasar.scala 165:16] + assign lsu_io_lsu_p_bits_fast_int = dec_io_lsu_p_bits_fast_int; // @[quasar.scala 165:16] + assign lsu_io_lsu_p_bits_by = dec_io_lsu_p_bits_by; // @[quasar.scala 165:16] + assign lsu_io_lsu_p_bits_half = dec_io_lsu_p_bits_half; // @[quasar.scala 165:16] + assign lsu_io_lsu_p_bits_word = dec_io_lsu_p_bits_word; // @[quasar.scala 165:16] + assign lsu_io_lsu_p_bits_load = dec_io_lsu_p_bits_load; // @[quasar.scala 165:16] + assign lsu_io_lsu_p_bits_store = dec_io_lsu_p_bits_store; // @[quasar.scala 165:16] + assign lsu_io_lsu_p_bits_unsign = dec_io_lsu_p_bits_unsign; // @[quasar.scala 165:16] + assign lsu_io_lsu_p_bits_store_data_bypass_d = dec_io_lsu_p_bits_store_data_bypass_d; // @[quasar.scala 165:16] + assign lsu_io_lsu_p_bits_load_ldst_bypass_d = dec_io_lsu_p_bits_load_ldst_bypass_d; // @[quasar.scala 165:16] + assign lsu_io_trigger_pkt_any_0_select = dec_io_trigger_pkt_any_0_select; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_0_match_pkt = dec_io_trigger_pkt_any_0_match_pkt; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_0_store = dec_io_trigger_pkt_any_0_store; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_0_load = dec_io_trigger_pkt_any_0_load; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_0_tdata2 = dec_io_trigger_pkt_any_0_tdata2; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_1_select = dec_io_trigger_pkt_any_1_select; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_1_match_pkt = dec_io_trigger_pkt_any_1_match_pkt; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_1_store = dec_io_trigger_pkt_any_1_store; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_1_load = dec_io_trigger_pkt_any_1_load; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_1_tdata2 = dec_io_trigger_pkt_any_1_tdata2; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_2_select = dec_io_trigger_pkt_any_2_select; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_2_match_pkt = dec_io_trigger_pkt_any_2_match_pkt; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_2_store = dec_io_trigger_pkt_any_2_store; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_2_load = dec_io_trigger_pkt_any_2_load; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_2_tdata2 = dec_io_trigger_pkt_any_2_tdata2; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_3_select = dec_io_trigger_pkt_any_3_select; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_3_match_pkt = dec_io_trigger_pkt_any_3_match_pkt; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_3_store = dec_io_trigger_pkt_any_3_store; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_3_load = dec_io_trigger_pkt_any_3_load; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_3_tdata2 = dec_io_trigger_pkt_any_3_tdata2; // @[quasar.scala 168:26] + assign lsu_io_dec_lsu_valid_raw_d = dec_io_dec_lsu_valid_raw_d; // @[quasar.scala 166:30] + assign lsu_io_dec_tlu_mrac_ff = dec_io_ifu_dec_dec_ifc_dec_tlu_mrac_ff; // @[quasar.scala 167:26] + assign lsu_io_lsu_bus_clk_en = io_lsu_bus_clk_en; // @[quasar.scala 170:25] + assign lsu_io_scan_mode = io_scan_mode; // @[quasar.scala 172:20] + assign lsu_io_free_clk = rvclkhdr_io_l1clk; // @[quasar.scala 173:19] assign pic_ctrl_inst_clock = clock; - assign pic_ctrl_inst_reset = io_core_rst_l; // @[quasar.scala 325:23] - assign pic_ctrl_inst_io_scan_mode = io_scan_mode; // @[quasar.scala 324:30] - assign pic_ctrl_inst_io_free_clk = rvclkhdr_io_l1clk; // @[quasar.scala 326:29] - assign pic_ctrl_inst_io_active_clk = rvclkhdr_1_io_l1clk; // @[quasar.scala 327:31] - assign pic_ctrl_inst_io_clk_override = dec_io_dec_tlu_pic_clk_override; // @[quasar.scala 328:33] - assign pic_ctrl_inst_io_extintsrc_req = {{1'd0}, io_extintsrc_req}; // @[quasar.scala 329:34] - assign pic_ctrl_inst_io_lsu_pic_picm_wren = lsu_io_lsu_pic_picm_wren; // @[quasar.scala 330:28] - assign pic_ctrl_inst_io_lsu_pic_picm_rden = lsu_io_lsu_pic_picm_rden; // @[quasar.scala 330:28] - assign pic_ctrl_inst_io_lsu_pic_picm_mken = lsu_io_lsu_pic_picm_mken; // @[quasar.scala 330:28] - assign pic_ctrl_inst_io_lsu_pic_picm_rdaddr = lsu_io_lsu_pic_picm_rdaddr; // @[quasar.scala 330:28] - assign pic_ctrl_inst_io_lsu_pic_picm_wraddr = lsu_io_lsu_pic_picm_wraddr; // @[quasar.scala 330:28] - assign pic_ctrl_inst_io_lsu_pic_picm_wr_data = lsu_io_lsu_pic_picm_wr_data; // @[quasar.scala 330:28] - assign pic_ctrl_inst_io_dec_pic_dec_tlu_meicurpl = dec_io_dec_pic_dec_tlu_meicurpl; // @[quasar.scala 225:18] - assign pic_ctrl_inst_io_dec_pic_dec_tlu_meipt = dec_io_dec_pic_dec_tlu_meipt; // @[quasar.scala 225:18] + assign pic_ctrl_inst_reset = io_core_rst_l; // @[quasar.scala 210:23] + assign pic_ctrl_inst_io_scan_mode = io_scan_mode; // @[quasar.scala 209:30] + assign pic_ctrl_inst_io_free_clk = rvclkhdr_io_l1clk; // @[quasar.scala 211:29] + assign pic_ctrl_inst_io_active_clk = rvclkhdr_1_io_l1clk; // @[quasar.scala 212:31] + assign pic_ctrl_inst_io_clk_override = dec_io_dec_tlu_pic_clk_override; // @[quasar.scala 213:33] + assign pic_ctrl_inst_io_extintsrc_req = {{1'd0}, io_extintsrc_req}; // @[quasar.scala 214:34] + assign pic_ctrl_inst_io_lsu_pic_picm_wren = lsu_io_lsu_pic_picm_wren; // @[quasar.scala 215:28] + assign pic_ctrl_inst_io_lsu_pic_picm_rden = lsu_io_lsu_pic_picm_rden; // @[quasar.scala 215:28] + assign pic_ctrl_inst_io_lsu_pic_picm_mken = lsu_io_lsu_pic_picm_mken; // @[quasar.scala 215:28] + assign pic_ctrl_inst_io_lsu_pic_picm_rdaddr = lsu_io_lsu_pic_picm_rdaddr; // @[quasar.scala 215:28] + assign pic_ctrl_inst_io_lsu_pic_picm_wraddr = lsu_io_lsu_pic_picm_wraddr; // @[quasar.scala 215:28] + assign pic_ctrl_inst_io_lsu_pic_picm_wr_data = lsu_io_lsu_pic_picm_wr_data; // @[quasar.scala 215:28] + assign pic_ctrl_inst_io_dec_pic_dec_tlu_meicurpl = dec_io_dec_pic_dec_tlu_meicurpl; // @[quasar.scala 216:28] + assign pic_ctrl_inst_io_dec_pic_dec_tlu_meipt = dec_io_dec_pic_dec_tlu_meipt; // @[quasar.scala 216:28] assign dma_ctrl_clock = clock; - assign dma_ctrl_reset = io_core_rst_l; // @[quasar.scala 309:18] - assign dma_ctrl_io_free_clk = rvclkhdr_io_l1clk; // @[quasar.scala 310:24] - assign dma_ctrl_io_dma_bus_clk_en = io_dma_bus_clk_en; // @[quasar.scala 311:30] - assign dma_ctrl_io_clk_override = dec_io_dec_tlu_misc_clk_override; // @[quasar.scala 312:28] - assign dma_ctrl_io_scan_mode = io_scan_mode; // @[quasar.scala 313:25] - assign dma_ctrl_io_dbg_cmd_size = dbg_io_dbg_cmd_size; // @[quasar.scala 316:28] - assign dma_ctrl_io_dbg_dma_dbg_ib_dbg_cmd_valid = dbg_io_dbg_dma_dbg_ib_dbg_cmd_valid; // @[quasar.scala 314:23] - assign dma_ctrl_io_dbg_dma_dbg_ib_dbg_cmd_write = dbg_io_dbg_dma_dbg_ib_dbg_cmd_write; // @[quasar.scala 314:23] - assign dma_ctrl_io_dbg_dma_dbg_ib_dbg_cmd_type = dbg_io_dbg_dma_dbg_ib_dbg_cmd_type; // @[quasar.scala 314:23] - assign dma_ctrl_io_dbg_dma_dbg_ib_dbg_cmd_addr = dbg_io_dbg_dma_dbg_ib_dbg_cmd_addr; // @[quasar.scala 314:23] - assign dma_ctrl_io_dbg_dma_dbg_dctl_dbg_cmd_wrdata = dbg_io_dbg_dma_dbg_dctl_dbg_cmd_wrdata; // @[quasar.scala 314:23] - assign dma_ctrl_io_dbg_dma_io_dbg_dma_bubble = dbg_io_dbg_dma_io_dbg_dma_bubble; // @[quasar.scala 315:26] - assign dma_ctrl_io_dec_dma_tlu_dma_dec_tlu_dma_qos_prty = dec_io_dec_dma_tlu_dma_dec_tlu_dma_qos_prty; // @[quasar.scala 224:18] - assign dma_ctrl_io_iccm_dma_rvalid = ifu_io_iccm_dma_rvalid; // @[quasar.scala 317:31] - assign dma_ctrl_io_iccm_dma_ecc_error = ifu_io_iccm_dma_ecc_error; // @[quasar.scala 321:34] - assign dma_ctrl_io_iccm_dma_rtag = ifu_io_iccm_dma_rtag; // @[quasar.scala 318:29] - assign dma_ctrl_io_iccm_dma_rdata = ifu_io_iccm_dma_rdata; // @[quasar.scala 319:30] - assign dma_ctrl_io_iccm_ready = ifu_io_iccm_ready; // @[quasar.scala 320:26] - assign dma_ctrl_io_dma_axi_aw_valid = io_dma_axi_aw_valid; // @[quasar.scala 385:14] - assign dma_ctrl_io_dma_axi_aw_bits_id = io_dma_axi_aw_bits_id; // @[quasar.scala 385:14] - assign dma_ctrl_io_dma_axi_aw_bits_addr = io_dma_axi_aw_bits_addr; // @[quasar.scala 385:14] - assign dma_ctrl_io_dma_axi_aw_bits_size = io_dma_axi_aw_bits_size; // @[quasar.scala 385:14] - assign dma_ctrl_io_dma_axi_w_valid = io_dma_axi_w_valid; // @[quasar.scala 385:14] - assign dma_ctrl_io_dma_axi_w_bits_data = io_dma_axi_w_bits_data; // @[quasar.scala 385:14] - assign dma_ctrl_io_dma_axi_w_bits_strb = io_dma_axi_w_bits_strb; // @[quasar.scala 385:14] - assign dma_ctrl_io_dma_axi_b_ready = io_dma_axi_b_ready; // @[quasar.scala 385:14] - assign dma_ctrl_io_dma_axi_ar_valid = io_dma_axi_ar_valid; // @[quasar.scala 385:14] - assign dma_ctrl_io_dma_axi_ar_bits_id = io_dma_axi_ar_bits_id; // @[quasar.scala 385:14] - assign dma_ctrl_io_dma_axi_ar_bits_addr = io_dma_axi_ar_bits_addr; // @[quasar.scala 385:14] - assign dma_ctrl_io_dma_axi_ar_bits_size = io_dma_axi_ar_bits_size; // @[quasar.scala 385:14] - assign dma_ctrl_io_dma_axi_r_ready = io_dma_axi_r_ready; // @[quasar.scala 385:14] - assign dma_ctrl_io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid = lsu_io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid; // @[quasar.scala 284:18] - assign dma_ctrl_io_lsu_dma_dma_dccm_ctl_dccm_dma_ecc_error = lsu_io_lsu_dma_dma_dccm_ctl_dccm_dma_ecc_error; // @[quasar.scala 284:18] - assign dma_ctrl_io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag = lsu_io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag; // @[quasar.scala 284:18] - assign dma_ctrl_io_lsu_dma_dma_dccm_ctl_dccm_dma_rdata = lsu_io_lsu_dma_dma_dccm_ctl_dccm_dma_rdata; // @[quasar.scala 284:18] - assign dma_ctrl_io_lsu_dma_dccm_ready = lsu_io_lsu_dma_dccm_ready; // @[quasar.scala 284:18] + assign dma_ctrl_reset = io_core_rst_l; // @[quasar.scala 194:18] + assign dma_ctrl_io_free_clk = rvclkhdr_io_l1clk; // @[quasar.scala 195:24] + assign dma_ctrl_io_dma_bus_clk_en = io_dma_bus_clk_en; // @[quasar.scala 196:30] + assign dma_ctrl_io_clk_override = dec_io_dec_tlu_misc_clk_override; // @[quasar.scala 197:28] + assign dma_ctrl_io_scan_mode = io_scan_mode; // @[quasar.scala 198:25] + assign dma_ctrl_io_dbg_cmd_size = dbg_io_dbg_cmd_size; // @[quasar.scala 201:28] + assign dma_ctrl_io_dbg_dma_dbg_ib_dbg_cmd_valid = dbg_io_dbg_dma_dbg_ib_dbg_cmd_valid; // @[quasar.scala 199:23] + assign dma_ctrl_io_dbg_dma_dbg_ib_dbg_cmd_write = dbg_io_dbg_dma_dbg_ib_dbg_cmd_write; // @[quasar.scala 199:23] + assign dma_ctrl_io_dbg_dma_dbg_ib_dbg_cmd_type = dbg_io_dbg_dma_dbg_ib_dbg_cmd_type; // @[quasar.scala 199:23] + assign dma_ctrl_io_dbg_dma_dbg_ib_dbg_cmd_addr = dbg_io_dbg_dma_dbg_ib_dbg_cmd_addr; // @[quasar.scala 199:23] + assign dma_ctrl_io_dbg_dma_dbg_dctl_dbg_cmd_wrdata = dbg_io_dbg_dma_dbg_dctl_dbg_cmd_wrdata; // @[quasar.scala 199:23] + assign dma_ctrl_io_dbg_dma_io_dbg_dma_bubble = dbg_io_dbg_dma_io_dbg_dma_bubble; // @[quasar.scala 200:26] + assign dma_ctrl_io_dec_dma_tlu_dma_dec_tlu_dma_qos_prty = dec_io_dec_dma_tlu_dma_dec_tlu_dma_qos_prty; // @[quasar.scala 125:18] + assign dma_ctrl_io_iccm_dma_rvalid = ifu_io_iccm_dma_rvalid; // @[quasar.scala 202:31] + assign dma_ctrl_io_iccm_dma_ecc_error = ifu_io_iccm_dma_ecc_error; // @[quasar.scala 206:34] + assign dma_ctrl_io_iccm_dma_rtag = ifu_io_iccm_dma_rtag; // @[quasar.scala 203:29] + assign dma_ctrl_io_iccm_dma_rdata = ifu_io_iccm_dma_rdata; // @[quasar.scala 204:30] + assign dma_ctrl_io_iccm_ready = ifu_io_iccm_ready; // @[quasar.scala 205:26] + assign dma_ctrl_io_dma_axi_aw_valid = ahb_to_axi4_io_axi_aw_valid; // @[quasar.scala 268:28] + assign dma_ctrl_io_dma_axi_aw_bits_addr = ahb_to_axi4_io_axi_aw_bits_addr; // @[quasar.scala 268:28] + assign dma_ctrl_io_dma_axi_aw_bits_size = ahb_to_axi4_io_axi_aw_bits_size; // @[quasar.scala 268:28] + assign dma_ctrl_io_dma_axi_w_valid = ahb_to_axi4_io_axi_w_valid; // @[quasar.scala 268:28] + assign dma_ctrl_io_dma_axi_w_bits_data = ahb_to_axi4_io_axi_w_bits_data; // @[quasar.scala 268:28] + assign dma_ctrl_io_dma_axi_w_bits_strb = ahb_to_axi4_io_axi_w_bits_strb; // @[quasar.scala 268:28] + assign dma_ctrl_io_dma_axi_ar_valid = ahb_to_axi4_io_axi_ar_valid; // @[quasar.scala 268:28] + assign dma_ctrl_io_dma_axi_ar_bits_addr = ahb_to_axi4_io_axi_ar_bits_addr; // @[quasar.scala 268:28] + assign dma_ctrl_io_dma_axi_ar_bits_size = ahb_to_axi4_io_axi_ar_bits_size; // @[quasar.scala 268:28] + assign dma_ctrl_io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid = lsu_io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid; // @[quasar.scala 171:18] + assign dma_ctrl_io_lsu_dma_dma_dccm_ctl_dccm_dma_ecc_error = lsu_io_lsu_dma_dma_dccm_ctl_dccm_dma_ecc_error; // @[quasar.scala 171:18] + assign dma_ctrl_io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag = lsu_io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag; // @[quasar.scala 171:18] + assign dma_ctrl_io_lsu_dma_dma_dccm_ctl_dccm_dma_rdata = lsu_io_lsu_dma_dma_dccm_ctl_dccm_dma_rdata; // @[quasar.scala 171:18] + assign dma_ctrl_io_lsu_dma_dccm_ready = lsu_io_lsu_dma_dccm_ready; // @[quasar.scala 171:18] assign rvclkhdr_io_clk = clock; // @[lib.scala 344:17] assign rvclkhdr_io_en = 1'h1; // @[lib.scala 345:16] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] assign rvclkhdr_1_io_clk = clock; // @[lib.scala 344:17] assign rvclkhdr_1_io_en = _T_6 | dec_io_dec_tlu_misc_clk_override; // @[lib.scala 345:16] assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign axi4_to_ahb_clock = clock; + assign axi4_to_ahb_reset = reset; + assign axi4_to_ahb_io_scan_mode = io_scan_mode; // @[quasar.scala 259:33] + assign axi4_to_ahb_io_bus_clk_en = io_dbg_bus_clk_en; // @[quasar.scala 260:34] + assign axi4_to_ahb_io_clk_override = dec_io_dec_tlu_bus_clk_override; // @[quasar.scala 261:36] + assign axi4_to_ahb_io_axi_aw_valid = dbg_io_sb_axi_aw_valid; // @[quasar.scala 262:27] + assign axi4_to_ahb_io_axi_aw_bits_addr = dbg_io_sb_axi_aw_bits_addr; // @[quasar.scala 262:27] + assign axi4_to_ahb_io_axi_aw_bits_size = dbg_io_sb_axi_aw_bits_size; // @[quasar.scala 262:27] + assign axi4_to_ahb_io_axi_w_valid = dbg_io_sb_axi_w_valid; // @[quasar.scala 262:27] + assign axi4_to_ahb_io_axi_w_bits_data = dbg_io_sb_axi_w_bits_data; // @[quasar.scala 262:27] + assign axi4_to_ahb_io_axi_w_bits_strb = dbg_io_sb_axi_w_bits_strb; // @[quasar.scala 262:27] + assign axi4_to_ahb_io_axi_ar_valid = dbg_io_sb_axi_ar_valid; // @[quasar.scala 262:27] + assign axi4_to_ahb_io_axi_ar_bits_addr = dbg_io_sb_axi_ar_bits_addr; // @[quasar.scala 262:27] + assign axi4_to_ahb_io_axi_ar_bits_size = dbg_io_sb_axi_ar_bits_size; // @[quasar.scala 262:27] + assign axi4_to_ahb_io_ahb_in_hrdata = io_sb_ahb_in_hrdata; // @[quasar.scala 263:27] + assign axi4_to_ahb_io_ahb_in_hready = io_sb_ahb_in_hready; // @[quasar.scala 263:27] + assign axi4_to_ahb_io_ahb_in_hresp = io_sb_ahb_in_hresp; // @[quasar.scala 263:27] + assign axi4_to_ahb_1_clock = clock; + assign axi4_to_ahb_1_reset = reset; + assign axi4_to_ahb_1_io_scan_mode = io_scan_mode; // @[quasar.scala 252:34] + assign axi4_to_ahb_1_io_bus_clk_en = io_ifu_bus_clk_en; // @[quasar.scala 253:35] + assign axi4_to_ahb_1_io_clk_override = dec_io_dec_tlu_bus_clk_override; // @[quasar.scala 254:37] + assign axi4_to_ahb_1_io_axi_aw_valid = 1'h0; // @[quasar.scala 255:28] + assign axi4_to_ahb_1_io_axi_aw_bits_id = 3'h0; // @[quasar.scala 255:28] + assign axi4_to_ahb_1_io_axi_aw_bits_addr = 32'h0; // @[quasar.scala 255:28] + assign axi4_to_ahb_1_io_axi_aw_bits_size = 3'h0; // @[quasar.scala 255:28] + assign axi4_to_ahb_1_io_axi_w_valid = 1'h0; // @[quasar.scala 255:28] + assign axi4_to_ahb_1_io_axi_w_bits_data = 64'h0; // @[quasar.scala 255:28] + assign axi4_to_ahb_1_io_axi_w_bits_strb = 8'h0; // @[quasar.scala 255:28] + assign axi4_to_ahb_1_io_axi_ar_valid = ifu_io_ifu_ar_valid; // @[quasar.scala 255:28] + assign axi4_to_ahb_1_io_axi_ar_bits_id = ifu_io_ifu_ar_bits_id; // @[quasar.scala 255:28] + assign axi4_to_ahb_1_io_axi_ar_bits_addr = ifu_io_ifu_ar_bits_addr; // @[quasar.scala 255:28] + assign axi4_to_ahb_1_io_axi_ar_bits_size = 3'h3; // @[quasar.scala 255:28] + assign axi4_to_ahb_1_io_ahb_in_hrdata = io_ifu_ahb_in_hrdata; // @[quasar.scala 256:28] + assign axi4_to_ahb_1_io_ahb_in_hready = io_ifu_ahb_in_hready; // @[quasar.scala 256:28] + assign axi4_to_ahb_1_io_ahb_in_hresp = io_ifu_ahb_in_hresp; // @[quasar.scala 256:28] + assign axi4_to_ahb_2_clock = clock; + assign axi4_to_ahb_2_reset = reset; + assign axi4_to_ahb_2_io_scan_mode = io_scan_mode; // @[quasar.scala 246:34] + assign axi4_to_ahb_2_io_bus_clk_en = io_lsu_bus_clk_en; // @[quasar.scala 247:35] + assign axi4_to_ahb_2_io_clk_override = dec_io_dec_tlu_bus_clk_override; // @[quasar.scala 248:37] + assign axi4_to_ahb_2_io_axi_aw_valid = lsu_io_axi_aw_valid; // @[quasar.scala 249:28] + assign axi4_to_ahb_2_io_axi_aw_bits_id = lsu_io_axi_aw_bits_id; // @[quasar.scala 249:28] + assign axi4_to_ahb_2_io_axi_aw_bits_addr = lsu_io_axi_aw_bits_addr; // @[quasar.scala 249:28] + assign axi4_to_ahb_2_io_axi_aw_bits_size = lsu_io_axi_aw_bits_size; // @[quasar.scala 249:28] + assign axi4_to_ahb_2_io_axi_w_valid = lsu_io_axi_w_valid; // @[quasar.scala 249:28] + assign axi4_to_ahb_2_io_axi_w_bits_data = lsu_io_axi_w_bits_data; // @[quasar.scala 249:28] + assign axi4_to_ahb_2_io_axi_w_bits_strb = lsu_io_axi_w_bits_strb; // @[quasar.scala 249:28] + assign axi4_to_ahb_2_io_axi_ar_valid = lsu_io_axi_ar_valid; // @[quasar.scala 249:28] + assign axi4_to_ahb_2_io_axi_ar_bits_id = lsu_io_axi_ar_bits_id; // @[quasar.scala 249:28] + assign axi4_to_ahb_2_io_axi_ar_bits_addr = lsu_io_axi_ar_bits_addr; // @[quasar.scala 249:28] + assign axi4_to_ahb_2_io_axi_ar_bits_size = lsu_io_axi_ar_bits_size; // @[quasar.scala 249:28] + assign axi4_to_ahb_2_io_ahb_in_hrdata = io_lsu_ahb_in_hrdata; // @[quasar.scala 250:28] + assign axi4_to_ahb_2_io_ahb_in_hready = io_lsu_ahb_in_hready; // @[quasar.scala 250:28] + assign axi4_to_ahb_2_io_ahb_in_hresp = io_lsu_ahb_in_hresp; // @[quasar.scala 250:28] + assign ahb_to_axi4_clock = clock; + assign ahb_to_axi4_reset = reset; + assign ahb_to_axi4_io_scan_mode = io_scan_mode; // @[quasar.scala 265:34] + assign ahb_to_axi4_io_bus_clk_en = io_dma_bus_clk_en; // @[quasar.scala 266:35] + assign ahb_to_axi4_io_axi_aw_ready = dma_ctrl_io_dma_axi_aw_ready; // @[quasar.scala 268:28] + assign ahb_to_axi4_io_axi_ar_ready = dma_ctrl_io_dma_axi_ar_ready; // @[quasar.scala 268:28] + assign ahb_to_axi4_io_axi_r_valid = dma_ctrl_io_dma_axi_r_valid; // @[quasar.scala 268:28] + assign ahb_to_axi4_io_axi_r_bits_data = dma_ctrl_io_dma_axi_r_bits_data; // @[quasar.scala 268:28] + assign ahb_to_axi4_io_axi_r_bits_resp = dma_ctrl_io_dma_axi_r_bits_resp; // @[quasar.scala 268:28] + assign ahb_to_axi4_io_ahb_sig_out_haddr = io_dma_ahb_sig_out_haddr; // @[quasar.scala 269:28] + assign ahb_to_axi4_io_ahb_sig_out_hsize = io_dma_ahb_sig_out_hsize; // @[quasar.scala 269:28] + assign ahb_to_axi4_io_ahb_sig_out_htrans = io_dma_ahb_sig_out_htrans; // @[quasar.scala 269:28] + assign ahb_to_axi4_io_ahb_sig_out_hwrite = io_dma_ahb_sig_out_hwrite; // @[quasar.scala 269:28] + assign ahb_to_axi4_io_ahb_sig_out_hwdata = io_dma_ahb_sig_out_hwdata; // @[quasar.scala 269:28] + assign ahb_to_axi4_io_ahb_hsel = io_dma_ahb_hsel; // @[quasar.scala 269:28] + assign ahb_to_axi4_io_ahb_hreadyin = io_dma_ahb_hreadyin; // @[quasar.scala 269:28] endmodule module quasar_wrapper( input clock, @@ -82725,182 +85386,52 @@ module quasar_wrapper( input io_nmi_int, input [30:0] io_nmi_vec, input [30:0] io_jtag_id, - output [1:0] io_trace_rv_i_valid_ip, - output [31:0] io_trace_rv_i_insn_ip, - output [31:0] io_trace_rv_i_address_ip, - output [1:0] io_trace_rv_i_exception_ip, - output [4:0] io_trace_rv_i_ecause_ip, - output [1:0] io_trace_rv_i_interrupt_ip, - output [31:0] io_trace_rv_i_tval_ip, - input io_lsu_axi_aw_ready, - output io_lsu_axi_aw_valid, - output [2:0] io_lsu_axi_aw_bits_id, - output [31:0] io_lsu_axi_aw_bits_addr, - output [3:0] io_lsu_axi_aw_bits_region, - output [7:0] io_lsu_axi_aw_bits_len, - output [2:0] io_lsu_axi_aw_bits_size, - output [1:0] io_lsu_axi_aw_bits_burst, - output io_lsu_axi_aw_bits_lock, - output [3:0] io_lsu_axi_aw_bits_cache, - output [2:0] io_lsu_axi_aw_bits_prot, - output [3:0] io_lsu_axi_aw_bits_qos, - input io_lsu_axi_w_ready, - output io_lsu_axi_w_valid, - output [63:0] io_lsu_axi_w_bits_data, - output [7:0] io_lsu_axi_w_bits_strb, - output io_lsu_axi_w_bits_last, - output io_lsu_axi_b_ready, - input io_lsu_axi_b_valid, - input [1:0] io_lsu_axi_b_bits_resp, - input [2:0] io_lsu_axi_b_bits_id, - input io_lsu_axi_ar_ready, - output io_lsu_axi_ar_valid, - output [2:0] io_lsu_axi_ar_bits_id, - output [31:0] io_lsu_axi_ar_bits_addr, - output [3:0] io_lsu_axi_ar_bits_region, - output [7:0] io_lsu_axi_ar_bits_len, - output [2:0] io_lsu_axi_ar_bits_size, - output [1:0] io_lsu_axi_ar_bits_burst, - output io_lsu_axi_ar_bits_lock, - output [3:0] io_lsu_axi_ar_bits_cache, - output [2:0] io_lsu_axi_ar_bits_prot, - output [3:0] io_lsu_axi_ar_bits_qos, - output io_lsu_axi_r_ready, - input io_lsu_axi_r_valid, - input [2:0] io_lsu_axi_r_bits_id, - input [63:0] io_lsu_axi_r_bits_data, - input [1:0] io_lsu_axi_r_bits_resp, - input io_lsu_axi_r_bits_last, - input io_ifu_axi_aw_ready, - output io_ifu_axi_aw_valid, - output [2:0] io_ifu_axi_aw_bits_id, - output [31:0] io_ifu_axi_aw_bits_addr, - output [3:0] io_ifu_axi_aw_bits_region, - output [7:0] io_ifu_axi_aw_bits_len, - output [2:0] io_ifu_axi_aw_bits_size, - output [1:0] io_ifu_axi_aw_bits_burst, - output io_ifu_axi_aw_bits_lock, - output [3:0] io_ifu_axi_aw_bits_cache, - output [2:0] io_ifu_axi_aw_bits_prot, - output [3:0] io_ifu_axi_aw_bits_qos, - input io_ifu_axi_w_ready, - output io_ifu_axi_w_valid, - output [63:0] io_ifu_axi_w_bits_data, - output [7:0] io_ifu_axi_w_bits_strb, - output io_ifu_axi_w_bits_last, - output io_ifu_axi_b_ready, - input io_ifu_axi_b_valid, - input [1:0] io_ifu_axi_b_bits_resp, - input [2:0] io_ifu_axi_b_bits_id, - input io_ifu_axi_ar_ready, - output io_ifu_axi_ar_valid, - output [2:0] io_ifu_axi_ar_bits_id, - output [31:0] io_ifu_axi_ar_bits_addr, - output [3:0] io_ifu_axi_ar_bits_region, - output [7:0] io_ifu_axi_ar_bits_len, - output [2:0] io_ifu_axi_ar_bits_size, - output [1:0] io_ifu_axi_ar_bits_burst, - output io_ifu_axi_ar_bits_lock, - output [3:0] io_ifu_axi_ar_bits_cache, - output [2:0] io_ifu_axi_ar_bits_prot, - output [3:0] io_ifu_axi_ar_bits_qos, - output io_ifu_axi_r_ready, - input io_ifu_axi_r_valid, - input [2:0] io_ifu_axi_r_bits_id, - input [63:0] io_ifu_axi_r_bits_data, - input [1:0] io_ifu_axi_r_bits_resp, - input io_ifu_axi_r_bits_last, - input io_sb_axi_aw_ready, - output io_sb_axi_aw_valid, - output io_sb_axi_aw_bits_id, - output [31:0] io_sb_axi_aw_bits_addr, - output [3:0] io_sb_axi_aw_bits_region, - output [7:0] io_sb_axi_aw_bits_len, - output [2:0] io_sb_axi_aw_bits_size, - output [1:0] io_sb_axi_aw_bits_burst, - output io_sb_axi_aw_bits_lock, - output [3:0] io_sb_axi_aw_bits_cache, - output [2:0] io_sb_axi_aw_bits_prot, - output [3:0] io_sb_axi_aw_bits_qos, - input io_sb_axi_w_ready, - output io_sb_axi_w_valid, - output [63:0] io_sb_axi_w_bits_data, - output [7:0] io_sb_axi_w_bits_strb, - output io_sb_axi_w_bits_last, - output io_sb_axi_b_ready, - input io_sb_axi_b_valid, - input [1:0] io_sb_axi_b_bits_resp, - input io_sb_axi_b_bits_id, - input io_sb_axi_ar_ready, - output io_sb_axi_ar_valid, - output io_sb_axi_ar_bits_id, - output [31:0] io_sb_axi_ar_bits_addr, - output [3:0] io_sb_axi_ar_bits_region, - output [7:0] io_sb_axi_ar_bits_len, - output [2:0] io_sb_axi_ar_bits_size, - output [1:0] io_sb_axi_ar_bits_burst, - output io_sb_axi_ar_bits_lock, - output [3:0] io_sb_axi_ar_bits_cache, - output [2:0] io_sb_axi_ar_bits_prot, - output [3:0] io_sb_axi_ar_bits_qos, - output io_sb_axi_r_ready, - input io_sb_axi_r_valid, - input io_sb_axi_r_bits_id, - input [63:0] io_sb_axi_r_bits_data, - input [1:0] io_sb_axi_r_bits_resp, - input io_sb_axi_r_bits_last, - output io_dma_axi_aw_ready, - input io_dma_axi_aw_valid, - input io_dma_axi_aw_bits_id, - input [31:0] io_dma_axi_aw_bits_addr, - input [3:0] io_dma_axi_aw_bits_region, - input [7:0] io_dma_axi_aw_bits_len, - input [2:0] io_dma_axi_aw_bits_size, - input [1:0] io_dma_axi_aw_bits_burst, - input io_dma_axi_aw_bits_lock, - input [3:0] io_dma_axi_aw_bits_cache, - input [2:0] io_dma_axi_aw_bits_prot, - input [3:0] io_dma_axi_aw_bits_qos, - output io_dma_axi_w_ready, - input io_dma_axi_w_valid, - input [63:0] io_dma_axi_w_bits_data, - input [7:0] io_dma_axi_w_bits_strb, - input io_dma_axi_w_bits_last, - input io_dma_axi_b_ready, - output io_dma_axi_b_valid, - output [1:0] io_dma_axi_b_bits_resp, - output io_dma_axi_b_bits_id, - output io_dma_axi_ar_ready, - input io_dma_axi_ar_valid, - input io_dma_axi_ar_bits_id, - input [31:0] io_dma_axi_ar_bits_addr, - input [3:0] io_dma_axi_ar_bits_region, - input [7:0] io_dma_axi_ar_bits_len, - input [2:0] io_dma_axi_ar_bits_size, - input [1:0] io_dma_axi_ar_bits_burst, - input io_dma_axi_ar_bits_lock, - input [3:0] io_dma_axi_ar_bits_cache, - input [2:0] io_dma_axi_ar_bits_prot, - input [3:0] io_dma_axi_ar_bits_qos, - input io_dma_axi_r_ready, - output io_dma_axi_r_valid, - output io_dma_axi_r_bits_id, - output [63:0] io_dma_axi_r_bits_data, - output [1:0] io_dma_axi_r_bits_resp, - output io_dma_axi_r_bits_last, - input io_dma_hsel, - input [31:0] io_dma_haddr, - input [2:0] io_dma_hburst, - input io_dma_hmastlock, - input [3:0] io_dma_hprot, - input [2:0] io_dma_hsize, - input [1:0] io_dma_htrans, - input io_dma_hwrite, - input [63:0] io_dma_hwdata, - input io_dma_hreadyin, - output [63:0] io_dma_hrdata, - output io_dma_hreadyout, - output io_dma_hresp, + input [63:0] io_lsu_brg_in_hrdata, + input io_lsu_brg_in_hready, + input io_lsu_brg_in_hresp, + output [31:0] io_lsu_brg_out_haddr, + output [2:0] io_lsu_brg_out_hburst, + output io_lsu_brg_out_hmastlock, + output [3:0] io_lsu_brg_out_hprot, + output [2:0] io_lsu_brg_out_hsize, + output [1:0] io_lsu_brg_out_htrans, + output io_lsu_brg_out_hwrite, + output [63:0] io_lsu_brg_out_hwdata, + input [63:0] io_ifu_brg_in_hrdata, + input io_ifu_brg_in_hready, + input io_ifu_brg_in_hresp, + output [31:0] io_ifu_brg_out_haddr, + output [2:0] io_ifu_brg_out_hburst, + output io_ifu_brg_out_hmastlock, + output [3:0] io_ifu_brg_out_hprot, + output [2:0] io_ifu_brg_out_hsize, + output [1:0] io_ifu_brg_out_htrans, + output io_ifu_brg_out_hwrite, + output [63:0] io_ifu_brg_out_hwdata, + input [63:0] io_sb_brg_in_hrdata, + input io_sb_brg_in_hready, + input io_sb_brg_in_hresp, + output [31:0] io_sb_brg_out_haddr, + output [2:0] io_sb_brg_out_hburst, + output io_sb_brg_out_hmastlock, + output [3:0] io_sb_brg_out_hprot, + output [2:0] io_sb_brg_out_hsize, + output [1:0] io_sb_brg_out_htrans, + output io_sb_brg_out_hwrite, + output [63:0] io_sb_brg_out_hwdata, + output [63:0] io_dma_brg_sig_in_hrdata, + output io_dma_brg_sig_in_hready, + output io_dma_brg_sig_in_hresp, + input [31:0] io_dma_brg_sig_out_haddr, + input [2:0] io_dma_brg_sig_out_hburst, + input io_dma_brg_sig_out_hmastlock, + input [3:0] io_dma_brg_sig_out_hprot, + input [2:0] io_dma_brg_sig_out_hsize, + input [1:0] io_dma_brg_sig_out_htrans, + input io_dma_brg_sig_out_hwrite, + input [63:0] io_dma_brg_sig_out_hwdata, + input io_dma_brg_hsel, + input io_dma_brg_hreadyin, input io_lsu_bus_clk_en, input io_ifu_bus_clk_en, input io_dbg_bus_clk_en, @@ -82931,231 +85462,197 @@ module quasar_wrapper( output io_o_debug_mode_status, output io_o_cpu_run_ack, input io_mbist_mode, + output [1:0] io_rv_trace_pkt_rv_i_valid_ip, + output [31:0] io_rv_trace_pkt_rv_i_insn_ip, + output [31:0] io_rv_trace_pkt_rv_i_address_ip, + output [1:0] io_rv_trace_pkt_rv_i_exception_ip, + output [4:0] io_rv_trace_pkt_rv_i_ecause_ip, + output [1:0] io_rv_trace_pkt_rv_i_interrupt_ip, + output [31:0] io_rv_trace_pkt_rv_i_tval_ip, input io_scan_mode ); - wire mem_clk; // @[quasar_wrapper.scala 86:19] - wire mem_rst_l; // @[quasar_wrapper.scala 86:19] - wire mem_dccm_clk_override; // @[quasar_wrapper.scala 86:19] - wire mem_icm_clk_override; // @[quasar_wrapper.scala 86:19] - wire mem_dec_tlu_core_ecc_disable; // @[quasar_wrapper.scala 86:19] - wire mem_dccm_wren; // @[quasar_wrapper.scala 86:19] - wire mem_dccm_rden; // @[quasar_wrapper.scala 86:19] - wire [15:0] mem_dccm_wr_addr_lo; // @[quasar_wrapper.scala 86:19] - wire [15:0] mem_dccm_wr_addr_hi; // @[quasar_wrapper.scala 86:19] - wire [15:0] mem_dccm_rd_addr_lo; // @[quasar_wrapper.scala 86:19] - wire [15:0] mem_dccm_rd_addr_hi; // @[quasar_wrapper.scala 86:19] - wire [38:0] mem_dccm_wr_data_lo; // @[quasar_wrapper.scala 86:19] - wire [38:0] mem_dccm_wr_data_hi; // @[quasar_wrapper.scala 86:19] - wire [38:0] mem_dccm_rd_data_lo; // @[quasar_wrapper.scala 86:19] - wire [38:0] mem_dccm_rd_data_hi; // @[quasar_wrapper.scala 86:19] - wire [14:0] mem_iccm_rw_addr; // @[quasar_wrapper.scala 86:19] - wire mem_iccm_buf_correct_ecc; // @[quasar_wrapper.scala 86:19] - wire mem_iccm_correction_state; // @[quasar_wrapper.scala 86:19] - wire mem_iccm_wren; // @[quasar_wrapper.scala 86:19] - wire mem_iccm_rden; // @[quasar_wrapper.scala 86:19] - wire [2:0] mem_iccm_wr_size; // @[quasar_wrapper.scala 86:19] - wire [77:0] mem_iccm_wr_data; // @[quasar_wrapper.scala 86:19] - wire [63:0] mem_iccm_rd_data; // @[quasar_wrapper.scala 86:19] - wire [77:0] mem_iccm_rd_data_ecc; // @[quasar_wrapper.scala 86:19] - wire [30:0] mem_ic_rw_addr; // @[quasar_wrapper.scala 86:19] - wire [1:0] mem_ic_tag_valid; // @[quasar_wrapper.scala 86:19] - wire [1:0] mem_ic_wr_en; // @[quasar_wrapper.scala 86:19] - wire mem_ic_rd_en; // @[quasar_wrapper.scala 86:19] - wire [70:0] mem_ic_wr_data_0; // @[quasar_wrapper.scala 86:19] - wire [70:0] mem_ic_wr_data_1; // @[quasar_wrapper.scala 86:19] - wire [70:0] mem_ic_debug_wr_data; // @[quasar_wrapper.scala 86:19] - wire [9:0] mem_ic_debug_addr; // @[quasar_wrapper.scala 86:19] - wire [63:0] mem_ic_rd_data; // @[quasar_wrapper.scala 86:19] - wire [70:0] mem_ic_debug_rd_data; // @[quasar_wrapper.scala 86:19] - wire [25:0] mem_ic_tag_debug_rd_data; // @[quasar_wrapper.scala 86:19] - wire [1:0] mem_ic_eccerr; // @[quasar_wrapper.scala 86:19] - wire [1:0] mem_ic_parerr; // @[quasar_wrapper.scala 86:19] - wire [1:0] mem_ic_rd_hit; // @[quasar_wrapper.scala 86:19] - wire mem_ic_tag_perr; // @[quasar_wrapper.scala 86:19] - wire mem_ic_debug_rd_en; // @[quasar_wrapper.scala 86:19] - wire mem_ic_debug_wr_en; // @[quasar_wrapper.scala 86:19] - wire mem_ic_debug_tag_array; // @[quasar_wrapper.scala 86:19] - wire [1:0] mem_ic_debug_way; // @[quasar_wrapper.scala 86:19] - wire [63:0] mem_ic_premux_data; // @[quasar_wrapper.scala 86:19] - wire mem_ic_sel_premux_data; // @[quasar_wrapper.scala 86:19] - wire mem_scan_mode; // @[quasar_wrapper.scala 86:19] - wire dmi_wrapper_trst_n; // @[quasar_wrapper.scala 87:27] - wire dmi_wrapper_tck; // @[quasar_wrapper.scala 87:27] - wire dmi_wrapper_tms; // @[quasar_wrapper.scala 87:27] - wire dmi_wrapper_tdi; // @[quasar_wrapper.scala 87:27] - wire dmi_wrapper_tdo; // @[quasar_wrapper.scala 87:27] - wire dmi_wrapper_tdoEnable; // @[quasar_wrapper.scala 87:27] - wire dmi_wrapper_core_rst_n; // @[quasar_wrapper.scala 87:27] - wire dmi_wrapper_core_clk; // @[quasar_wrapper.scala 87:27] - wire [30:0] dmi_wrapper_jtag_id; // @[quasar_wrapper.scala 87:27] - wire [31:0] dmi_wrapper_rd_data; // @[quasar_wrapper.scala 87:27] - wire [31:0] dmi_wrapper_reg_wr_data; // @[quasar_wrapper.scala 87:27] - wire [6:0] dmi_wrapper_reg_wr_addr; // @[quasar_wrapper.scala 87:27] - wire dmi_wrapper_reg_en; // @[quasar_wrapper.scala 87:27] - wire dmi_wrapper_reg_wr_en; // @[quasar_wrapper.scala 87:27] - wire dmi_wrapper_dmi_hard_reset; // @[quasar_wrapper.scala 87:27] - wire core_clock; // @[quasar_wrapper.scala 88:20] - wire core_reset; // @[quasar_wrapper.scala 88:20] - wire core_io_lsu_axi_aw_ready; // @[quasar_wrapper.scala 88:20] - wire core_io_lsu_axi_aw_valid; // @[quasar_wrapper.scala 88:20] - wire [2:0] core_io_lsu_axi_aw_bits_id; // @[quasar_wrapper.scala 88:20] - wire [31:0] core_io_lsu_axi_aw_bits_addr; // @[quasar_wrapper.scala 88:20] - wire [3:0] core_io_lsu_axi_aw_bits_region; // @[quasar_wrapper.scala 88:20] - wire [2:0] core_io_lsu_axi_aw_bits_size; // @[quasar_wrapper.scala 88:20] - wire [3:0] core_io_lsu_axi_aw_bits_cache; // @[quasar_wrapper.scala 88:20] - wire core_io_lsu_axi_w_ready; // @[quasar_wrapper.scala 88:20] - wire core_io_lsu_axi_w_valid; // @[quasar_wrapper.scala 88:20] - wire [63:0] core_io_lsu_axi_w_bits_data; // @[quasar_wrapper.scala 88:20] - wire [7:0] core_io_lsu_axi_w_bits_strb; // @[quasar_wrapper.scala 88:20] - wire core_io_lsu_axi_b_valid; // @[quasar_wrapper.scala 88:20] - wire [1:0] core_io_lsu_axi_b_bits_resp; // @[quasar_wrapper.scala 88:20] - wire [2:0] core_io_lsu_axi_b_bits_id; // @[quasar_wrapper.scala 88:20] - wire core_io_lsu_axi_ar_ready; // @[quasar_wrapper.scala 88:20] - wire core_io_lsu_axi_ar_valid; // @[quasar_wrapper.scala 88:20] - wire [2:0] core_io_lsu_axi_ar_bits_id; // @[quasar_wrapper.scala 88:20] - wire [31:0] core_io_lsu_axi_ar_bits_addr; // @[quasar_wrapper.scala 88:20] - wire [3:0] core_io_lsu_axi_ar_bits_region; // @[quasar_wrapper.scala 88:20] - wire [2:0] core_io_lsu_axi_ar_bits_size; // @[quasar_wrapper.scala 88:20] - wire [3:0] core_io_lsu_axi_ar_bits_cache; // @[quasar_wrapper.scala 88:20] - wire core_io_lsu_axi_r_valid; // @[quasar_wrapper.scala 88:20] - wire [2:0] core_io_lsu_axi_r_bits_id; // @[quasar_wrapper.scala 88:20] - wire [63:0] core_io_lsu_axi_r_bits_data; // @[quasar_wrapper.scala 88:20] - wire [1:0] core_io_lsu_axi_r_bits_resp; // @[quasar_wrapper.scala 88:20] - wire core_io_ifu_axi_ar_ready; // @[quasar_wrapper.scala 88:20] - wire core_io_ifu_axi_ar_valid; // @[quasar_wrapper.scala 88:20] - wire [2:0] core_io_ifu_axi_ar_bits_id; // @[quasar_wrapper.scala 88:20] - wire [31:0] core_io_ifu_axi_ar_bits_addr; // @[quasar_wrapper.scala 88:20] - wire [3:0] core_io_ifu_axi_ar_bits_region; // @[quasar_wrapper.scala 88:20] - wire core_io_ifu_axi_r_valid; // @[quasar_wrapper.scala 88:20] - wire [2:0] core_io_ifu_axi_r_bits_id; // @[quasar_wrapper.scala 88:20] - wire [63:0] core_io_ifu_axi_r_bits_data; // @[quasar_wrapper.scala 88:20] - wire [1:0] core_io_ifu_axi_r_bits_resp; // @[quasar_wrapper.scala 88:20] - wire core_io_sb_axi_aw_ready; // @[quasar_wrapper.scala 88:20] - wire core_io_sb_axi_aw_valid; // @[quasar_wrapper.scala 88:20] - wire [31:0] core_io_sb_axi_aw_bits_addr; // @[quasar_wrapper.scala 88:20] - wire [3:0] core_io_sb_axi_aw_bits_region; // @[quasar_wrapper.scala 88:20] - wire [2:0] core_io_sb_axi_aw_bits_size; // @[quasar_wrapper.scala 88:20] - wire core_io_sb_axi_w_ready; // @[quasar_wrapper.scala 88:20] - wire core_io_sb_axi_w_valid; // @[quasar_wrapper.scala 88:20] - wire [63:0] core_io_sb_axi_w_bits_data; // @[quasar_wrapper.scala 88:20] - wire [7:0] core_io_sb_axi_w_bits_strb; // @[quasar_wrapper.scala 88:20] - wire core_io_sb_axi_b_valid; // @[quasar_wrapper.scala 88:20] - wire [1:0] core_io_sb_axi_b_bits_resp; // @[quasar_wrapper.scala 88:20] - wire core_io_sb_axi_ar_ready; // @[quasar_wrapper.scala 88:20] - wire core_io_sb_axi_ar_valid; // @[quasar_wrapper.scala 88:20] - wire [31:0] core_io_sb_axi_ar_bits_addr; // @[quasar_wrapper.scala 88:20] - wire [3:0] core_io_sb_axi_ar_bits_region; // @[quasar_wrapper.scala 88:20] - wire [2:0] core_io_sb_axi_ar_bits_size; // @[quasar_wrapper.scala 88:20] - wire core_io_sb_axi_r_valid; // @[quasar_wrapper.scala 88:20] - wire [63:0] core_io_sb_axi_r_bits_data; // @[quasar_wrapper.scala 88:20] - wire [1:0] core_io_sb_axi_r_bits_resp; // @[quasar_wrapper.scala 88:20] - wire core_io_dma_axi_aw_ready; // @[quasar_wrapper.scala 88:20] - wire core_io_dma_axi_aw_valid; // @[quasar_wrapper.scala 88:20] - wire core_io_dma_axi_aw_bits_id; // @[quasar_wrapper.scala 88:20] - wire [31:0] core_io_dma_axi_aw_bits_addr; // @[quasar_wrapper.scala 88:20] - wire [2:0] core_io_dma_axi_aw_bits_size; // @[quasar_wrapper.scala 88:20] - wire core_io_dma_axi_w_ready; // @[quasar_wrapper.scala 88:20] - wire core_io_dma_axi_w_valid; // @[quasar_wrapper.scala 88:20] - wire [63:0] core_io_dma_axi_w_bits_data; // @[quasar_wrapper.scala 88:20] - wire [7:0] core_io_dma_axi_w_bits_strb; // @[quasar_wrapper.scala 88:20] - wire core_io_dma_axi_b_ready; // @[quasar_wrapper.scala 88:20] - wire core_io_dma_axi_b_valid; // @[quasar_wrapper.scala 88:20] - wire [1:0] core_io_dma_axi_b_bits_resp; // @[quasar_wrapper.scala 88:20] - wire core_io_dma_axi_b_bits_id; // @[quasar_wrapper.scala 88:20] - wire core_io_dma_axi_ar_ready; // @[quasar_wrapper.scala 88:20] - wire core_io_dma_axi_ar_valid; // @[quasar_wrapper.scala 88:20] - wire core_io_dma_axi_ar_bits_id; // @[quasar_wrapper.scala 88:20] - wire [31:0] core_io_dma_axi_ar_bits_addr; // @[quasar_wrapper.scala 88:20] - wire [2:0] core_io_dma_axi_ar_bits_size; // @[quasar_wrapper.scala 88:20] - wire core_io_dma_axi_r_ready; // @[quasar_wrapper.scala 88:20] - wire core_io_dma_axi_r_valid; // @[quasar_wrapper.scala 88:20] - wire core_io_dma_axi_r_bits_id; // @[quasar_wrapper.scala 88:20] - wire [63:0] core_io_dma_axi_r_bits_data; // @[quasar_wrapper.scala 88:20] - wire [1:0] core_io_dma_axi_r_bits_resp; // @[quasar_wrapper.scala 88:20] - wire core_io_dbg_rst_l; // @[quasar_wrapper.scala 88:20] - wire [30:0] core_io_rst_vec; // @[quasar_wrapper.scala 88:20] - wire core_io_nmi_int; // @[quasar_wrapper.scala 88:20] - wire [30:0] core_io_nmi_vec; // @[quasar_wrapper.scala 88:20] - wire core_io_core_rst_l; // @[quasar_wrapper.scala 88:20] - wire [1:0] core_io_trace_rv_i_valid_ip; // @[quasar_wrapper.scala 88:20] - wire [31:0] core_io_trace_rv_i_insn_ip; // @[quasar_wrapper.scala 88:20] - wire [31:0] core_io_trace_rv_i_address_ip; // @[quasar_wrapper.scala 88:20] - wire [1:0] core_io_trace_rv_i_exception_ip; // @[quasar_wrapper.scala 88:20] - wire [4:0] core_io_trace_rv_i_ecause_ip; // @[quasar_wrapper.scala 88:20] - wire [1:0] core_io_trace_rv_i_interrupt_ip; // @[quasar_wrapper.scala 88:20] - wire [31:0] core_io_trace_rv_i_tval_ip; // @[quasar_wrapper.scala 88:20] - wire core_io_dccm_clk_override; // @[quasar_wrapper.scala 88:20] - wire core_io_icm_clk_override; // @[quasar_wrapper.scala 88:20] - wire core_io_dec_tlu_core_ecc_disable; // @[quasar_wrapper.scala 88:20] - wire core_io_i_cpu_halt_req; // @[quasar_wrapper.scala 88:20] - wire core_io_i_cpu_run_req; // @[quasar_wrapper.scala 88:20] - wire core_io_o_cpu_halt_ack; // @[quasar_wrapper.scala 88:20] - wire core_io_o_cpu_halt_status; // @[quasar_wrapper.scala 88:20] - wire core_io_o_cpu_run_ack; // @[quasar_wrapper.scala 88:20] - wire core_io_o_debug_mode_status; // @[quasar_wrapper.scala 88:20] - wire [27:0] core_io_core_id; // @[quasar_wrapper.scala 88:20] - wire core_io_mpc_debug_halt_req; // @[quasar_wrapper.scala 88:20] - wire core_io_mpc_debug_run_req; // @[quasar_wrapper.scala 88:20] - wire core_io_mpc_reset_run_req; // @[quasar_wrapper.scala 88:20] - wire core_io_mpc_debug_halt_ack; // @[quasar_wrapper.scala 88:20] - wire core_io_mpc_debug_run_ack; // @[quasar_wrapper.scala 88:20] - wire core_io_debug_brkpt_status; // @[quasar_wrapper.scala 88:20] - wire core_io_dec_tlu_perfcnt0; // @[quasar_wrapper.scala 88:20] - wire core_io_dec_tlu_perfcnt1; // @[quasar_wrapper.scala 88:20] - wire core_io_dec_tlu_perfcnt2; // @[quasar_wrapper.scala 88:20] - wire core_io_dec_tlu_perfcnt3; // @[quasar_wrapper.scala 88:20] - wire core_io_swerv_mem_wren; // @[quasar_wrapper.scala 88:20] - wire core_io_swerv_mem_rden; // @[quasar_wrapper.scala 88:20] - wire [15:0] core_io_swerv_mem_wr_addr_lo; // @[quasar_wrapper.scala 88:20] - wire [15:0] core_io_swerv_mem_wr_addr_hi; // @[quasar_wrapper.scala 88:20] - wire [15:0] core_io_swerv_mem_rd_addr_lo; // @[quasar_wrapper.scala 88:20] - wire [15:0] core_io_swerv_mem_rd_addr_hi; // @[quasar_wrapper.scala 88:20] - wire [38:0] core_io_swerv_mem_wr_data_lo; // @[quasar_wrapper.scala 88:20] - wire [38:0] core_io_swerv_mem_wr_data_hi; // @[quasar_wrapper.scala 88:20] - wire [38:0] core_io_swerv_mem_rd_data_lo; // @[quasar_wrapper.scala 88:20] - wire [38:0] core_io_swerv_mem_rd_data_hi; // @[quasar_wrapper.scala 88:20] - wire [30:0] core_io_ic_rw_addr; // @[quasar_wrapper.scala 88:20] - wire [1:0] core_io_ic_tag_valid; // @[quasar_wrapper.scala 88:20] - wire [1:0] core_io_ic_wr_en; // @[quasar_wrapper.scala 88:20] - wire core_io_ic_rd_en; // @[quasar_wrapper.scala 88:20] - wire [70:0] core_io_ic_wr_data_0; // @[quasar_wrapper.scala 88:20] - wire [70:0] core_io_ic_wr_data_1; // @[quasar_wrapper.scala 88:20] - wire [70:0] core_io_ic_debug_wr_data; // @[quasar_wrapper.scala 88:20] - wire [9:0] core_io_ic_debug_addr; // @[quasar_wrapper.scala 88:20] - wire [63:0] core_io_ic_rd_data; // @[quasar_wrapper.scala 88:20] - wire [70:0] core_io_ic_debug_rd_data; // @[quasar_wrapper.scala 88:20] - wire [25:0] core_io_ic_tag_debug_rd_data; // @[quasar_wrapper.scala 88:20] - wire [1:0] core_io_ic_eccerr; // @[quasar_wrapper.scala 88:20] - wire [1:0] core_io_ic_rd_hit; // @[quasar_wrapper.scala 88:20] - wire core_io_ic_tag_perr; // @[quasar_wrapper.scala 88:20] - wire core_io_ic_debug_rd_en; // @[quasar_wrapper.scala 88:20] - wire core_io_ic_debug_wr_en; // @[quasar_wrapper.scala 88:20] - wire core_io_ic_debug_tag_array; // @[quasar_wrapper.scala 88:20] - wire [1:0] core_io_ic_debug_way; // @[quasar_wrapper.scala 88:20] - wire [63:0] core_io_ic_premux_data; // @[quasar_wrapper.scala 88:20] - wire core_io_ic_sel_premux_data; // @[quasar_wrapper.scala 88:20] - wire [14:0] core_io_iccm_rw_addr; // @[quasar_wrapper.scala 88:20] - wire core_io_iccm_buf_correct_ecc; // @[quasar_wrapper.scala 88:20] - wire core_io_iccm_correction_state; // @[quasar_wrapper.scala 88:20] - wire core_io_iccm_wren; // @[quasar_wrapper.scala 88:20] - wire core_io_iccm_rden; // @[quasar_wrapper.scala 88:20] - wire [2:0] core_io_iccm_wr_size; // @[quasar_wrapper.scala 88:20] - wire [77:0] core_io_iccm_wr_data; // @[quasar_wrapper.scala 88:20] - wire [63:0] core_io_iccm_rd_data; // @[quasar_wrapper.scala 88:20] - wire [77:0] core_io_iccm_rd_data_ecc; // @[quasar_wrapper.scala 88:20] - wire core_io_lsu_bus_clk_en; // @[quasar_wrapper.scala 88:20] - wire core_io_ifu_bus_clk_en; // @[quasar_wrapper.scala 88:20] - wire core_io_dbg_bus_clk_en; // @[quasar_wrapper.scala 88:20] - wire core_io_dma_bus_clk_en; // @[quasar_wrapper.scala 88:20] - wire core_io_dmi_reg_en; // @[quasar_wrapper.scala 88:20] - wire [6:0] core_io_dmi_reg_addr; // @[quasar_wrapper.scala 88:20] - wire core_io_dmi_reg_wr_en; // @[quasar_wrapper.scala 88:20] - wire [31:0] core_io_dmi_reg_wdata; // @[quasar_wrapper.scala 88:20] - wire [30:0] core_io_extintsrc_req; // @[quasar_wrapper.scala 88:20] - wire core_io_timer_int; // @[quasar_wrapper.scala 88:20] - wire core_io_soft_int; // @[quasar_wrapper.scala 88:20] - wire core_io_scan_mode; // @[quasar_wrapper.scala 88:20] - mem #(.ICACHE_BEAT_BITS(3), .ICCM_BITS(16), .ICACHE_BANKS_WAY(2), .ICACHE_NUM_WAYS(2), .DCCM_BYTE_WIDTH(4), .ICCM_BANK_INDEX_LO(4), .ICACHE_BANK_BITS(1), .DCCM_BITS(16), .ICACHE_BEAT_ADDR_HI(5), .ICCM_INDEX_BITS(12), .ICCM_BANK_HI(3), .ICACHE_INDEX_HI(12), .DCCM_NUM_BANKS(4), .ICACHE_BANK_LO(3), .DCCM_ENABLE(1), .ICACHE_TAG_LO(13), .ICACHE_DATA_INDEX_LO(4), .ICCM_NUM_BANKS(4), .ICACHE_ECC(1), .ICACHE_ENABLE(1), .DCCM_BANK_BITS(2), .ICCM_ENABLE(1), .ICCM_BANK_BITS(2), .ICACHE_TAG_DEPTH(128), .ICACHE_WAYPACK(0), .DCCM_SIZE(64), .ICACHE_BANK_HI(3), .DCCM_FDATA_WIDTH(39), .ICACHE_TAG_INDEX_LO(6), .ICACHE_DATA_DEPTH(512)) mem ( // @[quasar_wrapper.scala 86:19] + wire mem_clk; // @[quasar_wrapper.scala 63:19] + wire mem_rst_l; // @[quasar_wrapper.scala 63:19] + wire mem_dccm_clk_override; // @[quasar_wrapper.scala 63:19] + wire mem_icm_clk_override; // @[quasar_wrapper.scala 63:19] + wire mem_dec_tlu_core_ecc_disable; // @[quasar_wrapper.scala 63:19] + wire mem_dccm_wren; // @[quasar_wrapper.scala 63:19] + wire mem_dccm_rden; // @[quasar_wrapper.scala 63:19] + wire [15:0] mem_dccm_wr_addr_lo; // @[quasar_wrapper.scala 63:19] + wire [15:0] mem_dccm_wr_addr_hi; // @[quasar_wrapper.scala 63:19] + wire [15:0] mem_dccm_rd_addr_lo; // @[quasar_wrapper.scala 63:19] + wire [15:0] mem_dccm_rd_addr_hi; // @[quasar_wrapper.scala 63:19] + wire [38:0] mem_dccm_wr_data_lo; // @[quasar_wrapper.scala 63:19] + wire [38:0] mem_dccm_wr_data_hi; // @[quasar_wrapper.scala 63:19] + wire [38:0] mem_dccm_rd_data_lo; // @[quasar_wrapper.scala 63:19] + wire [38:0] mem_dccm_rd_data_hi; // @[quasar_wrapper.scala 63:19] + wire [14:0] mem_iccm_rw_addr; // @[quasar_wrapper.scala 63:19] + wire mem_iccm_buf_correct_ecc; // @[quasar_wrapper.scala 63:19] + wire mem_iccm_correction_state; // @[quasar_wrapper.scala 63:19] + wire mem_iccm_wren; // @[quasar_wrapper.scala 63:19] + wire mem_iccm_rden; // @[quasar_wrapper.scala 63:19] + wire [2:0] mem_iccm_wr_size; // @[quasar_wrapper.scala 63:19] + wire [77:0] mem_iccm_wr_data; // @[quasar_wrapper.scala 63:19] + wire [63:0] mem_iccm_rd_data; // @[quasar_wrapper.scala 63:19] + wire [77:0] mem_iccm_rd_data_ecc; // @[quasar_wrapper.scala 63:19] + wire [30:0] mem_ic_rw_addr; // @[quasar_wrapper.scala 63:19] + wire [1:0] mem_ic_tag_valid; // @[quasar_wrapper.scala 63:19] + wire [1:0] mem_ic_wr_en; // @[quasar_wrapper.scala 63:19] + wire mem_ic_rd_en; // @[quasar_wrapper.scala 63:19] + wire [70:0] mem_ic_wr_data_0; // @[quasar_wrapper.scala 63:19] + wire [70:0] mem_ic_wr_data_1; // @[quasar_wrapper.scala 63:19] + wire [70:0] mem_ic_debug_wr_data; // @[quasar_wrapper.scala 63:19] + wire [9:0] mem_ic_debug_addr; // @[quasar_wrapper.scala 63:19] + wire [63:0] mem_ic_rd_data; // @[quasar_wrapper.scala 63:19] + wire [70:0] mem_ic_debug_rd_data; // @[quasar_wrapper.scala 63:19] + wire [25:0] mem_ic_tag_debug_rd_data; // @[quasar_wrapper.scala 63:19] + wire [1:0] mem_ic_eccerr; // @[quasar_wrapper.scala 63:19] + wire [1:0] mem_ic_parerr; // @[quasar_wrapper.scala 63:19] + wire [1:0] mem_ic_rd_hit; // @[quasar_wrapper.scala 63:19] + wire mem_ic_tag_perr; // @[quasar_wrapper.scala 63:19] + wire mem_ic_debug_rd_en; // @[quasar_wrapper.scala 63:19] + wire mem_ic_debug_wr_en; // @[quasar_wrapper.scala 63:19] + wire mem_ic_debug_tag_array; // @[quasar_wrapper.scala 63:19] + wire [1:0] mem_ic_debug_way; // @[quasar_wrapper.scala 63:19] + wire [63:0] mem_ic_premux_data; // @[quasar_wrapper.scala 63:19] + wire mem_ic_sel_premux_data; // @[quasar_wrapper.scala 63:19] + wire mem_scan_mode; // @[quasar_wrapper.scala 63:19] + wire dmi_wrapper_trst_n; // @[quasar_wrapper.scala 64:27] + wire dmi_wrapper_tck; // @[quasar_wrapper.scala 64:27] + wire dmi_wrapper_tms; // @[quasar_wrapper.scala 64:27] + wire dmi_wrapper_tdi; // @[quasar_wrapper.scala 64:27] + wire dmi_wrapper_tdo; // @[quasar_wrapper.scala 64:27] + wire dmi_wrapper_tdoEnable; // @[quasar_wrapper.scala 64:27] + wire dmi_wrapper_core_rst_n; // @[quasar_wrapper.scala 64:27] + wire dmi_wrapper_core_clk; // @[quasar_wrapper.scala 64:27] + wire [30:0] dmi_wrapper_jtag_id; // @[quasar_wrapper.scala 64:27] + wire [31:0] dmi_wrapper_rd_data; // @[quasar_wrapper.scala 64:27] + wire [31:0] dmi_wrapper_reg_wr_data; // @[quasar_wrapper.scala 64:27] + wire [6:0] dmi_wrapper_reg_wr_addr; // @[quasar_wrapper.scala 64:27] + wire dmi_wrapper_reg_en; // @[quasar_wrapper.scala 64:27] + wire dmi_wrapper_reg_wr_en; // @[quasar_wrapper.scala 64:27] + wire dmi_wrapper_dmi_hard_reset; // @[quasar_wrapper.scala 64:27] + wire core_clock; // @[quasar_wrapper.scala 65:20] + wire core_reset; // @[quasar_wrapper.scala 65:20] + wire [63:0] core_io_lsu_ahb_in_hrdata; // @[quasar_wrapper.scala 65:20] + wire core_io_lsu_ahb_in_hready; // @[quasar_wrapper.scala 65:20] + wire core_io_lsu_ahb_in_hresp; // @[quasar_wrapper.scala 65:20] + wire [31:0] core_io_lsu_ahb_out_haddr; // @[quasar_wrapper.scala 65:20] + wire [2:0] core_io_lsu_ahb_out_hsize; // @[quasar_wrapper.scala 65:20] + wire [1:0] core_io_lsu_ahb_out_htrans; // @[quasar_wrapper.scala 65:20] + wire core_io_lsu_ahb_out_hwrite; // @[quasar_wrapper.scala 65:20] + wire [63:0] core_io_lsu_ahb_out_hwdata; // @[quasar_wrapper.scala 65:20] + wire [63:0] core_io_ifu_ahb_in_hrdata; // @[quasar_wrapper.scala 65:20] + wire core_io_ifu_ahb_in_hready; // @[quasar_wrapper.scala 65:20] + wire core_io_ifu_ahb_in_hresp; // @[quasar_wrapper.scala 65:20] + wire [31:0] core_io_ifu_ahb_out_haddr; // @[quasar_wrapper.scala 65:20] + wire [2:0] core_io_ifu_ahb_out_hsize; // @[quasar_wrapper.scala 65:20] + wire [1:0] core_io_ifu_ahb_out_htrans; // @[quasar_wrapper.scala 65:20] + wire core_io_ifu_ahb_out_hwrite; // @[quasar_wrapper.scala 65:20] + wire [63:0] core_io_ifu_ahb_out_hwdata; // @[quasar_wrapper.scala 65:20] + wire [63:0] core_io_sb_ahb_in_hrdata; // @[quasar_wrapper.scala 65:20] + wire core_io_sb_ahb_in_hready; // @[quasar_wrapper.scala 65:20] + wire core_io_sb_ahb_in_hresp; // @[quasar_wrapper.scala 65:20] + wire [31:0] core_io_sb_ahb_out_haddr; // @[quasar_wrapper.scala 65:20] + wire [2:0] core_io_sb_ahb_out_hsize; // @[quasar_wrapper.scala 65:20] + wire [1:0] core_io_sb_ahb_out_htrans; // @[quasar_wrapper.scala 65:20] + wire core_io_sb_ahb_out_hwrite; // @[quasar_wrapper.scala 65:20] + wire [63:0] core_io_sb_ahb_out_hwdata; // @[quasar_wrapper.scala 65:20] + wire [63:0] core_io_dma_ahb_sig_in_hrdata; // @[quasar_wrapper.scala 65:20] + wire core_io_dma_ahb_sig_in_hready; // @[quasar_wrapper.scala 65:20] + wire core_io_dma_ahb_sig_in_hresp; // @[quasar_wrapper.scala 65:20] + wire [31:0] core_io_dma_ahb_sig_out_haddr; // @[quasar_wrapper.scala 65:20] + wire [2:0] core_io_dma_ahb_sig_out_hsize; // @[quasar_wrapper.scala 65:20] + wire [1:0] core_io_dma_ahb_sig_out_htrans; // @[quasar_wrapper.scala 65:20] + wire core_io_dma_ahb_sig_out_hwrite; // @[quasar_wrapper.scala 65:20] + wire [63:0] core_io_dma_ahb_sig_out_hwdata; // @[quasar_wrapper.scala 65:20] + wire core_io_dma_ahb_hsel; // @[quasar_wrapper.scala 65:20] + wire core_io_dma_ahb_hreadyin; // @[quasar_wrapper.scala 65:20] + wire core_io_dbg_rst_l; // @[quasar_wrapper.scala 65:20] + wire [30:0] core_io_rst_vec; // @[quasar_wrapper.scala 65:20] + wire core_io_nmi_int; // @[quasar_wrapper.scala 65:20] + wire [30:0] core_io_nmi_vec; // @[quasar_wrapper.scala 65:20] + wire core_io_core_rst_l; // @[quasar_wrapper.scala 65:20] + wire [1:0] core_io_rv_trace_pkt_rv_i_valid_ip; // @[quasar_wrapper.scala 65:20] + wire [31:0] core_io_rv_trace_pkt_rv_i_insn_ip; // @[quasar_wrapper.scala 65:20] + wire [31:0] core_io_rv_trace_pkt_rv_i_address_ip; // @[quasar_wrapper.scala 65:20] + wire [1:0] core_io_rv_trace_pkt_rv_i_exception_ip; // @[quasar_wrapper.scala 65:20] + wire [4:0] core_io_rv_trace_pkt_rv_i_ecause_ip; // @[quasar_wrapper.scala 65:20] + wire [1:0] core_io_rv_trace_pkt_rv_i_interrupt_ip; // @[quasar_wrapper.scala 65:20] + wire [31:0] core_io_rv_trace_pkt_rv_i_tval_ip; // @[quasar_wrapper.scala 65:20] + wire core_io_dccm_clk_override; // @[quasar_wrapper.scala 65:20] + wire core_io_icm_clk_override; // @[quasar_wrapper.scala 65:20] + wire core_io_dec_tlu_core_ecc_disable; // @[quasar_wrapper.scala 65:20] + wire core_io_i_cpu_halt_req; // @[quasar_wrapper.scala 65:20] + wire core_io_i_cpu_run_req; // @[quasar_wrapper.scala 65:20] + wire core_io_o_cpu_halt_ack; // @[quasar_wrapper.scala 65:20] + wire core_io_o_cpu_halt_status; // @[quasar_wrapper.scala 65:20] + wire core_io_o_cpu_run_ack; // @[quasar_wrapper.scala 65:20] + wire core_io_o_debug_mode_status; // @[quasar_wrapper.scala 65:20] + wire [27:0] core_io_core_id; // @[quasar_wrapper.scala 65:20] + wire core_io_mpc_debug_halt_req; // @[quasar_wrapper.scala 65:20] + wire core_io_mpc_debug_run_req; // @[quasar_wrapper.scala 65:20] + wire core_io_mpc_reset_run_req; // @[quasar_wrapper.scala 65:20] + wire core_io_mpc_debug_halt_ack; // @[quasar_wrapper.scala 65:20] + wire core_io_mpc_debug_run_ack; // @[quasar_wrapper.scala 65:20] + wire core_io_debug_brkpt_status; // @[quasar_wrapper.scala 65:20] + wire core_io_dec_tlu_perfcnt0; // @[quasar_wrapper.scala 65:20] + wire core_io_dec_tlu_perfcnt1; // @[quasar_wrapper.scala 65:20] + wire core_io_dec_tlu_perfcnt2; // @[quasar_wrapper.scala 65:20] + wire core_io_dec_tlu_perfcnt3; // @[quasar_wrapper.scala 65:20] + wire core_io_dccm_wren; // @[quasar_wrapper.scala 65:20] + wire core_io_dccm_rden; // @[quasar_wrapper.scala 65:20] + wire [15:0] core_io_dccm_wr_addr_lo; // @[quasar_wrapper.scala 65:20] + wire [15:0] core_io_dccm_wr_addr_hi; // @[quasar_wrapper.scala 65:20] + wire [15:0] core_io_dccm_rd_addr_lo; // @[quasar_wrapper.scala 65:20] + wire [15:0] core_io_dccm_rd_addr_hi; // @[quasar_wrapper.scala 65:20] + wire [38:0] core_io_dccm_wr_data_lo; // @[quasar_wrapper.scala 65:20] + wire [38:0] core_io_dccm_wr_data_hi; // @[quasar_wrapper.scala 65:20] + wire [38:0] core_io_dccm_rd_data_lo; // @[quasar_wrapper.scala 65:20] + wire [38:0] core_io_dccm_rd_data_hi; // @[quasar_wrapper.scala 65:20] + wire [30:0] core_io_ic_rw_addr; // @[quasar_wrapper.scala 65:20] + wire [1:0] core_io_ic_tag_valid; // @[quasar_wrapper.scala 65:20] + wire [1:0] core_io_ic_wr_en; // @[quasar_wrapper.scala 65:20] + wire core_io_ic_rd_en; // @[quasar_wrapper.scala 65:20] + wire [70:0] core_io_ic_wr_data_0; // @[quasar_wrapper.scala 65:20] + wire [70:0] core_io_ic_wr_data_1; // @[quasar_wrapper.scala 65:20] + wire [70:0] core_io_ic_debug_wr_data; // @[quasar_wrapper.scala 65:20] + wire [9:0] core_io_ic_debug_addr; // @[quasar_wrapper.scala 65:20] + wire [63:0] core_io_ic_rd_data; // @[quasar_wrapper.scala 65:20] + wire [70:0] core_io_ic_debug_rd_data; // @[quasar_wrapper.scala 65:20] + wire [25:0] core_io_ic_tag_debug_rd_data; // @[quasar_wrapper.scala 65:20] + wire [1:0] core_io_ic_eccerr; // @[quasar_wrapper.scala 65:20] + wire [1:0] core_io_ic_rd_hit; // @[quasar_wrapper.scala 65:20] + wire core_io_ic_tag_perr; // @[quasar_wrapper.scala 65:20] + wire core_io_ic_debug_rd_en; // @[quasar_wrapper.scala 65:20] + wire core_io_ic_debug_wr_en; // @[quasar_wrapper.scala 65:20] + wire core_io_ic_debug_tag_array; // @[quasar_wrapper.scala 65:20] + wire [1:0] core_io_ic_debug_way; // @[quasar_wrapper.scala 65:20] + wire [63:0] core_io_ic_premux_data; // @[quasar_wrapper.scala 65:20] + wire core_io_ic_sel_premux_data; // @[quasar_wrapper.scala 65:20] + wire [14:0] core_io_iccm_rw_addr; // @[quasar_wrapper.scala 65:20] + wire core_io_iccm_buf_correct_ecc; // @[quasar_wrapper.scala 65:20] + wire core_io_iccm_correction_state; // @[quasar_wrapper.scala 65:20] + wire core_io_iccm_wren; // @[quasar_wrapper.scala 65:20] + wire core_io_iccm_rden; // @[quasar_wrapper.scala 65:20] + wire [2:0] core_io_iccm_wr_size; // @[quasar_wrapper.scala 65:20] + wire [77:0] core_io_iccm_wr_data; // @[quasar_wrapper.scala 65:20] + wire [63:0] core_io_iccm_rd_data; // @[quasar_wrapper.scala 65:20] + wire [77:0] core_io_iccm_rd_data_ecc; // @[quasar_wrapper.scala 65:20] + wire core_io_lsu_bus_clk_en; // @[quasar_wrapper.scala 65:20] + wire core_io_ifu_bus_clk_en; // @[quasar_wrapper.scala 65:20] + wire core_io_dbg_bus_clk_en; // @[quasar_wrapper.scala 65:20] + wire core_io_dma_bus_clk_en; // @[quasar_wrapper.scala 65:20] + wire core_io_dmi_reg_en; // @[quasar_wrapper.scala 65:20] + wire [6:0] core_io_dmi_reg_addr; // @[quasar_wrapper.scala 65:20] + wire core_io_dmi_reg_wr_en; // @[quasar_wrapper.scala 65:20] + wire [31:0] core_io_dmi_reg_wdata; // @[quasar_wrapper.scala 65:20] + wire [31:0] core_io_dmi_reg_rdata; // @[quasar_wrapper.scala 65:20] + wire [30:0] core_io_extintsrc_req; // @[quasar_wrapper.scala 65:20] + wire core_io_timer_int; // @[quasar_wrapper.scala 65:20] + wire core_io_soft_int; // @[quasar_wrapper.scala 65:20] + wire core_io_scan_mode; // @[quasar_wrapper.scala 65:20] + mem #(.ICACHE_BEAT_BITS(3), .ICCM_BITS(16), .ICACHE_BANKS_WAY(2), .ICACHE_NUM_WAYS(2), .DCCM_BYTE_WIDTH(4), .ICCM_BANK_INDEX_LO(4), .ICACHE_BANK_BITS(1), .DCCM_BITS(16), .ICACHE_BEAT_ADDR_HI(5), .ICCM_INDEX_BITS(12), .ICCM_BANK_HI(3), .ICACHE_INDEX_HI(12), .DCCM_NUM_BANKS(4), .ICACHE_BANK_LO(3), .DCCM_ENABLE(1), .ICACHE_TAG_LO(13), .ICACHE_DATA_INDEX_LO(4), .ICCM_NUM_BANKS(4), .ICACHE_ECC(1), .ICACHE_ENABLE(1), .DCCM_BANK_BITS(2), .ICCM_ENABLE(1), .ICCM_BANK_BITS(2), .ICACHE_TAG_DEPTH(128), .ICACHE_WAYPACK(0), .DCCM_SIZE(64), .ICACHE_BANK_HI(3), .DCCM_FDATA_WIDTH(39), .ICACHE_TAG_INDEX_LO(6), .ICACHE_DATA_DEPTH(512)) mem ( // @[quasar_wrapper.scala 63:19] .clk(mem_clk), .rst_l(mem_rst_l), .dccm_clk_override(mem_dccm_clk_override), @@ -83203,7 +85700,7 @@ module quasar_wrapper( .ic_sel_premux_data(mem_ic_sel_premux_data), .scan_mode(mem_scan_mode) ); - dmi_wrapper dmi_wrapper ( // @[quasar_wrapper.scala 87:27] + dmi_wrapper dmi_wrapper ( // @[quasar_wrapper.scala 64:27] .trst_n(dmi_wrapper_trst_n), .tck(dmi_wrapper_tck), .tms(dmi_wrapper_tms), @@ -83220,97 +85717,55 @@ module quasar_wrapper( .reg_wr_en(dmi_wrapper_reg_wr_en), .dmi_hard_reset(dmi_wrapper_dmi_hard_reset) ); - quasar core ( // @[quasar_wrapper.scala 88:20] + quasar core ( // @[quasar_wrapper.scala 65:20] .clock(core_clock), .reset(core_reset), - .io_lsu_axi_aw_ready(core_io_lsu_axi_aw_ready), - .io_lsu_axi_aw_valid(core_io_lsu_axi_aw_valid), - .io_lsu_axi_aw_bits_id(core_io_lsu_axi_aw_bits_id), - .io_lsu_axi_aw_bits_addr(core_io_lsu_axi_aw_bits_addr), - .io_lsu_axi_aw_bits_region(core_io_lsu_axi_aw_bits_region), - .io_lsu_axi_aw_bits_size(core_io_lsu_axi_aw_bits_size), - .io_lsu_axi_aw_bits_cache(core_io_lsu_axi_aw_bits_cache), - .io_lsu_axi_w_ready(core_io_lsu_axi_w_ready), - .io_lsu_axi_w_valid(core_io_lsu_axi_w_valid), - .io_lsu_axi_w_bits_data(core_io_lsu_axi_w_bits_data), - .io_lsu_axi_w_bits_strb(core_io_lsu_axi_w_bits_strb), - .io_lsu_axi_b_valid(core_io_lsu_axi_b_valid), - .io_lsu_axi_b_bits_resp(core_io_lsu_axi_b_bits_resp), - .io_lsu_axi_b_bits_id(core_io_lsu_axi_b_bits_id), - .io_lsu_axi_ar_ready(core_io_lsu_axi_ar_ready), - .io_lsu_axi_ar_valid(core_io_lsu_axi_ar_valid), - .io_lsu_axi_ar_bits_id(core_io_lsu_axi_ar_bits_id), - .io_lsu_axi_ar_bits_addr(core_io_lsu_axi_ar_bits_addr), - .io_lsu_axi_ar_bits_region(core_io_lsu_axi_ar_bits_region), - .io_lsu_axi_ar_bits_size(core_io_lsu_axi_ar_bits_size), - .io_lsu_axi_ar_bits_cache(core_io_lsu_axi_ar_bits_cache), - .io_lsu_axi_r_valid(core_io_lsu_axi_r_valid), - .io_lsu_axi_r_bits_id(core_io_lsu_axi_r_bits_id), - .io_lsu_axi_r_bits_data(core_io_lsu_axi_r_bits_data), - .io_lsu_axi_r_bits_resp(core_io_lsu_axi_r_bits_resp), - .io_ifu_axi_ar_ready(core_io_ifu_axi_ar_ready), - .io_ifu_axi_ar_valid(core_io_ifu_axi_ar_valid), - .io_ifu_axi_ar_bits_id(core_io_ifu_axi_ar_bits_id), - .io_ifu_axi_ar_bits_addr(core_io_ifu_axi_ar_bits_addr), - .io_ifu_axi_ar_bits_region(core_io_ifu_axi_ar_bits_region), - .io_ifu_axi_r_valid(core_io_ifu_axi_r_valid), - .io_ifu_axi_r_bits_id(core_io_ifu_axi_r_bits_id), - .io_ifu_axi_r_bits_data(core_io_ifu_axi_r_bits_data), - .io_ifu_axi_r_bits_resp(core_io_ifu_axi_r_bits_resp), - .io_sb_axi_aw_ready(core_io_sb_axi_aw_ready), - .io_sb_axi_aw_valid(core_io_sb_axi_aw_valid), - .io_sb_axi_aw_bits_addr(core_io_sb_axi_aw_bits_addr), - .io_sb_axi_aw_bits_region(core_io_sb_axi_aw_bits_region), - .io_sb_axi_aw_bits_size(core_io_sb_axi_aw_bits_size), - .io_sb_axi_w_ready(core_io_sb_axi_w_ready), - .io_sb_axi_w_valid(core_io_sb_axi_w_valid), - .io_sb_axi_w_bits_data(core_io_sb_axi_w_bits_data), - .io_sb_axi_w_bits_strb(core_io_sb_axi_w_bits_strb), - .io_sb_axi_b_valid(core_io_sb_axi_b_valid), - .io_sb_axi_b_bits_resp(core_io_sb_axi_b_bits_resp), - .io_sb_axi_ar_ready(core_io_sb_axi_ar_ready), - .io_sb_axi_ar_valid(core_io_sb_axi_ar_valid), - .io_sb_axi_ar_bits_addr(core_io_sb_axi_ar_bits_addr), - .io_sb_axi_ar_bits_region(core_io_sb_axi_ar_bits_region), - .io_sb_axi_ar_bits_size(core_io_sb_axi_ar_bits_size), - .io_sb_axi_r_valid(core_io_sb_axi_r_valid), - .io_sb_axi_r_bits_data(core_io_sb_axi_r_bits_data), - .io_sb_axi_r_bits_resp(core_io_sb_axi_r_bits_resp), - .io_dma_axi_aw_ready(core_io_dma_axi_aw_ready), - .io_dma_axi_aw_valid(core_io_dma_axi_aw_valid), - .io_dma_axi_aw_bits_id(core_io_dma_axi_aw_bits_id), - .io_dma_axi_aw_bits_addr(core_io_dma_axi_aw_bits_addr), - .io_dma_axi_aw_bits_size(core_io_dma_axi_aw_bits_size), - .io_dma_axi_w_ready(core_io_dma_axi_w_ready), - .io_dma_axi_w_valid(core_io_dma_axi_w_valid), - .io_dma_axi_w_bits_data(core_io_dma_axi_w_bits_data), - .io_dma_axi_w_bits_strb(core_io_dma_axi_w_bits_strb), - .io_dma_axi_b_ready(core_io_dma_axi_b_ready), - .io_dma_axi_b_valid(core_io_dma_axi_b_valid), - .io_dma_axi_b_bits_resp(core_io_dma_axi_b_bits_resp), - .io_dma_axi_b_bits_id(core_io_dma_axi_b_bits_id), - .io_dma_axi_ar_ready(core_io_dma_axi_ar_ready), - .io_dma_axi_ar_valid(core_io_dma_axi_ar_valid), - .io_dma_axi_ar_bits_id(core_io_dma_axi_ar_bits_id), - .io_dma_axi_ar_bits_addr(core_io_dma_axi_ar_bits_addr), - .io_dma_axi_ar_bits_size(core_io_dma_axi_ar_bits_size), - .io_dma_axi_r_ready(core_io_dma_axi_r_ready), - .io_dma_axi_r_valid(core_io_dma_axi_r_valid), - .io_dma_axi_r_bits_id(core_io_dma_axi_r_bits_id), - .io_dma_axi_r_bits_data(core_io_dma_axi_r_bits_data), - .io_dma_axi_r_bits_resp(core_io_dma_axi_r_bits_resp), + .io_lsu_ahb_in_hrdata(core_io_lsu_ahb_in_hrdata), + .io_lsu_ahb_in_hready(core_io_lsu_ahb_in_hready), + .io_lsu_ahb_in_hresp(core_io_lsu_ahb_in_hresp), + .io_lsu_ahb_out_haddr(core_io_lsu_ahb_out_haddr), + .io_lsu_ahb_out_hsize(core_io_lsu_ahb_out_hsize), + .io_lsu_ahb_out_htrans(core_io_lsu_ahb_out_htrans), + .io_lsu_ahb_out_hwrite(core_io_lsu_ahb_out_hwrite), + .io_lsu_ahb_out_hwdata(core_io_lsu_ahb_out_hwdata), + .io_ifu_ahb_in_hrdata(core_io_ifu_ahb_in_hrdata), + .io_ifu_ahb_in_hready(core_io_ifu_ahb_in_hready), + .io_ifu_ahb_in_hresp(core_io_ifu_ahb_in_hresp), + .io_ifu_ahb_out_haddr(core_io_ifu_ahb_out_haddr), + .io_ifu_ahb_out_hsize(core_io_ifu_ahb_out_hsize), + .io_ifu_ahb_out_htrans(core_io_ifu_ahb_out_htrans), + .io_ifu_ahb_out_hwrite(core_io_ifu_ahb_out_hwrite), + .io_ifu_ahb_out_hwdata(core_io_ifu_ahb_out_hwdata), + .io_sb_ahb_in_hrdata(core_io_sb_ahb_in_hrdata), + .io_sb_ahb_in_hready(core_io_sb_ahb_in_hready), + .io_sb_ahb_in_hresp(core_io_sb_ahb_in_hresp), + .io_sb_ahb_out_haddr(core_io_sb_ahb_out_haddr), + .io_sb_ahb_out_hsize(core_io_sb_ahb_out_hsize), + .io_sb_ahb_out_htrans(core_io_sb_ahb_out_htrans), + .io_sb_ahb_out_hwrite(core_io_sb_ahb_out_hwrite), + .io_sb_ahb_out_hwdata(core_io_sb_ahb_out_hwdata), + .io_dma_ahb_sig_in_hrdata(core_io_dma_ahb_sig_in_hrdata), + .io_dma_ahb_sig_in_hready(core_io_dma_ahb_sig_in_hready), + .io_dma_ahb_sig_in_hresp(core_io_dma_ahb_sig_in_hresp), + .io_dma_ahb_sig_out_haddr(core_io_dma_ahb_sig_out_haddr), + .io_dma_ahb_sig_out_hsize(core_io_dma_ahb_sig_out_hsize), + .io_dma_ahb_sig_out_htrans(core_io_dma_ahb_sig_out_htrans), + .io_dma_ahb_sig_out_hwrite(core_io_dma_ahb_sig_out_hwrite), + .io_dma_ahb_sig_out_hwdata(core_io_dma_ahb_sig_out_hwdata), + .io_dma_ahb_hsel(core_io_dma_ahb_hsel), + .io_dma_ahb_hreadyin(core_io_dma_ahb_hreadyin), .io_dbg_rst_l(core_io_dbg_rst_l), .io_rst_vec(core_io_rst_vec), .io_nmi_int(core_io_nmi_int), .io_nmi_vec(core_io_nmi_vec), .io_core_rst_l(core_io_core_rst_l), - .io_trace_rv_i_valid_ip(core_io_trace_rv_i_valid_ip), - .io_trace_rv_i_insn_ip(core_io_trace_rv_i_insn_ip), - .io_trace_rv_i_address_ip(core_io_trace_rv_i_address_ip), - .io_trace_rv_i_exception_ip(core_io_trace_rv_i_exception_ip), - .io_trace_rv_i_ecause_ip(core_io_trace_rv_i_ecause_ip), - .io_trace_rv_i_interrupt_ip(core_io_trace_rv_i_interrupt_ip), - .io_trace_rv_i_tval_ip(core_io_trace_rv_i_tval_ip), + .io_rv_trace_pkt_rv_i_valid_ip(core_io_rv_trace_pkt_rv_i_valid_ip), + .io_rv_trace_pkt_rv_i_insn_ip(core_io_rv_trace_pkt_rv_i_insn_ip), + .io_rv_trace_pkt_rv_i_address_ip(core_io_rv_trace_pkt_rv_i_address_ip), + .io_rv_trace_pkt_rv_i_exception_ip(core_io_rv_trace_pkt_rv_i_exception_ip), + .io_rv_trace_pkt_rv_i_ecause_ip(core_io_rv_trace_pkt_rv_i_ecause_ip), + .io_rv_trace_pkt_rv_i_interrupt_ip(core_io_rv_trace_pkt_rv_i_interrupt_ip), + .io_rv_trace_pkt_rv_i_tval_ip(core_io_rv_trace_pkt_rv_i_tval_ip), .io_dccm_clk_override(core_io_dccm_clk_override), .io_icm_clk_override(core_io_icm_clk_override), .io_dec_tlu_core_ecc_disable(core_io_dec_tlu_core_ecc_disable), @@ -83331,16 +85786,16 @@ module quasar_wrapper( .io_dec_tlu_perfcnt1(core_io_dec_tlu_perfcnt1), .io_dec_tlu_perfcnt2(core_io_dec_tlu_perfcnt2), .io_dec_tlu_perfcnt3(core_io_dec_tlu_perfcnt3), - .io_swerv_mem_wren(core_io_swerv_mem_wren), - .io_swerv_mem_rden(core_io_swerv_mem_rden), - .io_swerv_mem_wr_addr_lo(core_io_swerv_mem_wr_addr_lo), - .io_swerv_mem_wr_addr_hi(core_io_swerv_mem_wr_addr_hi), - .io_swerv_mem_rd_addr_lo(core_io_swerv_mem_rd_addr_lo), - .io_swerv_mem_rd_addr_hi(core_io_swerv_mem_rd_addr_hi), - .io_swerv_mem_wr_data_lo(core_io_swerv_mem_wr_data_lo), - .io_swerv_mem_wr_data_hi(core_io_swerv_mem_wr_data_hi), - .io_swerv_mem_rd_data_lo(core_io_swerv_mem_rd_data_lo), - .io_swerv_mem_rd_data_hi(core_io_swerv_mem_rd_data_hi), + .io_dccm_wren(core_io_dccm_wren), + .io_dccm_rden(core_io_dccm_rden), + .io_dccm_wr_addr_lo(core_io_dccm_wr_addr_lo), + .io_dccm_wr_addr_hi(core_io_dccm_wr_addr_hi), + .io_dccm_rd_addr_lo(core_io_dccm_rd_addr_lo), + .io_dccm_rd_addr_hi(core_io_dccm_rd_addr_hi), + .io_dccm_wr_data_lo(core_io_dccm_wr_data_lo), + .io_dccm_wr_data_hi(core_io_dccm_wr_data_hi), + .io_dccm_rd_data_lo(core_io_dccm_rd_data_lo), + .io_dccm_rd_data_hi(core_io_dccm_rd_data_hi), .io_ic_rw_addr(core_io_ic_rw_addr), .io_ic_tag_valid(core_io_ic_tag_valid), .io_ic_wr_en(core_io_ic_wr_en), @@ -83378,239 +85833,149 @@ module quasar_wrapper( .io_dmi_reg_addr(core_io_dmi_reg_addr), .io_dmi_reg_wr_en(core_io_dmi_reg_wr_en), .io_dmi_reg_wdata(core_io_dmi_reg_wdata), + .io_dmi_reg_rdata(core_io_dmi_reg_rdata), .io_extintsrc_req(core_io_extintsrc_req), .io_timer_int(core_io_timer_int), .io_soft_int(core_io_soft_int), .io_scan_mode(core_io_scan_mode) ); - assign io_trace_rv_i_valid_ip = core_io_trace_rv_i_valid_ip; // @[quasar_wrapper.scala 230:12] - assign io_trace_rv_i_insn_ip = core_io_trace_rv_i_insn_ip; // @[quasar_wrapper.scala 230:12] - assign io_trace_rv_i_address_ip = core_io_trace_rv_i_address_ip; // @[quasar_wrapper.scala 230:12] - assign io_trace_rv_i_exception_ip = core_io_trace_rv_i_exception_ip; // @[quasar_wrapper.scala 230:12] - assign io_trace_rv_i_ecause_ip = core_io_trace_rv_i_ecause_ip; // @[quasar_wrapper.scala 230:12] - assign io_trace_rv_i_interrupt_ip = core_io_trace_rv_i_interrupt_ip; // @[quasar_wrapper.scala 230:12] - assign io_trace_rv_i_tval_ip = core_io_trace_rv_i_tval_ip; // @[quasar_wrapper.scala 230:12] - assign io_lsu_axi_aw_valid = core_io_lsu_axi_aw_valid; // @[quasar_wrapper.scala 179:19] - assign io_lsu_axi_aw_bits_id = core_io_lsu_axi_aw_bits_id; // @[quasar_wrapper.scala 179:19] - assign io_lsu_axi_aw_bits_addr = core_io_lsu_axi_aw_bits_addr; // @[quasar_wrapper.scala 179:19] - assign io_lsu_axi_aw_bits_region = core_io_lsu_axi_aw_bits_region; // @[quasar_wrapper.scala 179:19] - assign io_lsu_axi_aw_bits_len = 8'h0; // @[quasar_wrapper.scala 179:19] - assign io_lsu_axi_aw_bits_size = core_io_lsu_axi_aw_bits_size; // @[quasar_wrapper.scala 179:19] - assign io_lsu_axi_aw_bits_burst = 2'h1; // @[quasar_wrapper.scala 179:19] - assign io_lsu_axi_aw_bits_lock = 1'h0; // @[quasar_wrapper.scala 179:19] - assign io_lsu_axi_aw_bits_cache = core_io_lsu_axi_aw_bits_cache; // @[quasar_wrapper.scala 179:19] - assign io_lsu_axi_aw_bits_prot = 3'h0; // @[quasar_wrapper.scala 179:19] - assign io_lsu_axi_aw_bits_qos = 4'h0; // @[quasar_wrapper.scala 179:19] - assign io_lsu_axi_w_valid = core_io_lsu_axi_w_valid; // @[quasar_wrapper.scala 179:19] - assign io_lsu_axi_w_bits_data = core_io_lsu_axi_w_bits_data; // @[quasar_wrapper.scala 179:19] - assign io_lsu_axi_w_bits_strb = core_io_lsu_axi_w_bits_strb; // @[quasar_wrapper.scala 179:19] - assign io_lsu_axi_w_bits_last = 1'h1; // @[quasar_wrapper.scala 179:19] - assign io_lsu_axi_b_ready = 1'h1; // @[quasar_wrapper.scala 179:19] - assign io_lsu_axi_ar_valid = core_io_lsu_axi_ar_valid; // @[quasar_wrapper.scala 179:19] - assign io_lsu_axi_ar_bits_id = core_io_lsu_axi_ar_bits_id; // @[quasar_wrapper.scala 179:19] - assign io_lsu_axi_ar_bits_addr = core_io_lsu_axi_ar_bits_addr; // @[quasar_wrapper.scala 179:19] - assign io_lsu_axi_ar_bits_region = core_io_lsu_axi_ar_bits_region; // @[quasar_wrapper.scala 179:19] - assign io_lsu_axi_ar_bits_len = 8'h0; // @[quasar_wrapper.scala 179:19] - assign io_lsu_axi_ar_bits_size = core_io_lsu_axi_ar_bits_size; // @[quasar_wrapper.scala 179:19] - assign io_lsu_axi_ar_bits_burst = 2'h1; // @[quasar_wrapper.scala 179:19] - assign io_lsu_axi_ar_bits_lock = 1'h0; // @[quasar_wrapper.scala 179:19] - assign io_lsu_axi_ar_bits_cache = core_io_lsu_axi_ar_bits_cache; // @[quasar_wrapper.scala 179:19] - assign io_lsu_axi_ar_bits_prot = 3'h0; // @[quasar_wrapper.scala 179:19] - assign io_lsu_axi_ar_bits_qos = 4'h0; // @[quasar_wrapper.scala 179:19] - assign io_lsu_axi_r_ready = 1'h1; // @[quasar_wrapper.scala 179:19] - assign io_ifu_axi_aw_valid = 1'h0; // @[quasar_wrapper.scala 182:19] - assign io_ifu_axi_aw_bits_id = 3'h0; // @[quasar_wrapper.scala 182:19] - assign io_ifu_axi_aw_bits_addr = 32'h0; // @[quasar_wrapper.scala 182:19] - assign io_ifu_axi_aw_bits_region = 4'h0; // @[quasar_wrapper.scala 182:19] - assign io_ifu_axi_aw_bits_len = 8'h0; // @[quasar_wrapper.scala 182:19] - assign io_ifu_axi_aw_bits_size = 3'h0; // @[quasar_wrapper.scala 182:19] - assign io_ifu_axi_aw_bits_burst = 2'h0; // @[quasar_wrapper.scala 182:19] - assign io_ifu_axi_aw_bits_lock = 1'h0; // @[quasar_wrapper.scala 182:19] - assign io_ifu_axi_aw_bits_cache = 4'h0; // @[quasar_wrapper.scala 182:19] - assign io_ifu_axi_aw_bits_prot = 3'h0; // @[quasar_wrapper.scala 182:19] - assign io_ifu_axi_aw_bits_qos = 4'h0; // @[quasar_wrapper.scala 182:19] - assign io_ifu_axi_w_valid = 1'h0; // @[quasar_wrapper.scala 182:19] - assign io_ifu_axi_w_bits_data = 64'h0; // @[quasar_wrapper.scala 182:19] - assign io_ifu_axi_w_bits_strb = 8'h0; // @[quasar_wrapper.scala 182:19] - assign io_ifu_axi_w_bits_last = 1'h0; // @[quasar_wrapper.scala 182:19] - assign io_ifu_axi_b_ready = 1'h0; // @[quasar_wrapper.scala 182:19] - assign io_ifu_axi_ar_valid = core_io_ifu_axi_ar_valid; // @[quasar_wrapper.scala 182:19] - assign io_ifu_axi_ar_bits_id = core_io_ifu_axi_ar_bits_id; // @[quasar_wrapper.scala 182:19] - assign io_ifu_axi_ar_bits_addr = core_io_ifu_axi_ar_bits_addr; // @[quasar_wrapper.scala 182:19] - assign io_ifu_axi_ar_bits_region = core_io_ifu_axi_ar_bits_region; // @[quasar_wrapper.scala 182:19] - assign io_ifu_axi_ar_bits_len = 8'h0; // @[quasar_wrapper.scala 182:19] - assign io_ifu_axi_ar_bits_size = 3'h3; // @[quasar_wrapper.scala 182:19] - assign io_ifu_axi_ar_bits_burst = 2'h1; // @[quasar_wrapper.scala 182:19] - assign io_ifu_axi_ar_bits_lock = 1'h0; // @[quasar_wrapper.scala 182:19] - assign io_ifu_axi_ar_bits_cache = 4'hf; // @[quasar_wrapper.scala 182:19] - assign io_ifu_axi_ar_bits_prot = 3'h0; // @[quasar_wrapper.scala 182:19] - assign io_ifu_axi_ar_bits_qos = 4'h0; // @[quasar_wrapper.scala 182:19] - assign io_ifu_axi_r_ready = 1'h1; // @[quasar_wrapper.scala 182:19] - assign io_sb_axi_aw_valid = core_io_sb_axi_aw_valid; // @[quasar_wrapper.scala 185:18] - assign io_sb_axi_aw_bits_id = 1'h0; // @[quasar_wrapper.scala 185:18] - assign io_sb_axi_aw_bits_addr = core_io_sb_axi_aw_bits_addr; // @[quasar_wrapper.scala 185:18] - assign io_sb_axi_aw_bits_region = core_io_sb_axi_aw_bits_region; // @[quasar_wrapper.scala 185:18] - assign io_sb_axi_aw_bits_len = 8'h0; // @[quasar_wrapper.scala 185:18] - assign io_sb_axi_aw_bits_size = core_io_sb_axi_aw_bits_size; // @[quasar_wrapper.scala 185:18] - assign io_sb_axi_aw_bits_burst = 2'h1; // @[quasar_wrapper.scala 185:18] - assign io_sb_axi_aw_bits_lock = 1'h0; // @[quasar_wrapper.scala 185:18] - assign io_sb_axi_aw_bits_cache = 4'hf; // @[quasar_wrapper.scala 185:18] - assign io_sb_axi_aw_bits_prot = 3'h0; // @[quasar_wrapper.scala 185:18] - assign io_sb_axi_aw_bits_qos = 4'h0; // @[quasar_wrapper.scala 185:18] - assign io_sb_axi_w_valid = core_io_sb_axi_w_valid; // @[quasar_wrapper.scala 185:18] - assign io_sb_axi_w_bits_data = core_io_sb_axi_w_bits_data; // @[quasar_wrapper.scala 185:18] - assign io_sb_axi_w_bits_strb = core_io_sb_axi_w_bits_strb; // @[quasar_wrapper.scala 185:18] - assign io_sb_axi_w_bits_last = 1'h1; // @[quasar_wrapper.scala 185:18] - assign io_sb_axi_b_ready = 1'h1; // @[quasar_wrapper.scala 185:18] - assign io_sb_axi_ar_valid = core_io_sb_axi_ar_valid; // @[quasar_wrapper.scala 185:18] - assign io_sb_axi_ar_bits_id = 1'h0; // @[quasar_wrapper.scala 185:18] - assign io_sb_axi_ar_bits_addr = core_io_sb_axi_ar_bits_addr; // @[quasar_wrapper.scala 185:18] - assign io_sb_axi_ar_bits_region = core_io_sb_axi_ar_bits_region; // @[quasar_wrapper.scala 185:18] - assign io_sb_axi_ar_bits_len = 8'h0; // @[quasar_wrapper.scala 185:18] - assign io_sb_axi_ar_bits_size = core_io_sb_axi_ar_bits_size; // @[quasar_wrapper.scala 185:18] - assign io_sb_axi_ar_bits_burst = 2'h1; // @[quasar_wrapper.scala 185:18] - assign io_sb_axi_ar_bits_lock = 1'h0; // @[quasar_wrapper.scala 185:18] - assign io_sb_axi_ar_bits_cache = 4'h0; // @[quasar_wrapper.scala 185:18] - assign io_sb_axi_ar_bits_prot = 3'h0; // @[quasar_wrapper.scala 185:18] - assign io_sb_axi_ar_bits_qos = 4'h0; // @[quasar_wrapper.scala 185:18] - assign io_sb_axi_r_ready = 1'h1; // @[quasar_wrapper.scala 185:18] - assign io_dma_axi_aw_ready = core_io_dma_axi_aw_ready; // @[quasar_wrapper.scala 189:19] - assign io_dma_axi_w_ready = core_io_dma_axi_w_ready; // @[quasar_wrapper.scala 189:19] - assign io_dma_axi_b_valid = core_io_dma_axi_b_valid; // @[quasar_wrapper.scala 189:19] - assign io_dma_axi_b_bits_resp = core_io_dma_axi_b_bits_resp; // @[quasar_wrapper.scala 189:19] - assign io_dma_axi_b_bits_id = core_io_dma_axi_b_bits_id; // @[quasar_wrapper.scala 189:19] - assign io_dma_axi_ar_ready = core_io_dma_axi_ar_ready; // @[quasar_wrapper.scala 189:19] - assign io_dma_axi_r_valid = core_io_dma_axi_r_valid; // @[quasar_wrapper.scala 189:19] - assign io_dma_axi_r_bits_id = core_io_dma_axi_r_bits_id; // @[quasar_wrapper.scala 189:19] - assign io_dma_axi_r_bits_data = core_io_dma_axi_r_bits_data; // @[quasar_wrapper.scala 189:19] - assign io_dma_axi_r_bits_resp = core_io_dma_axi_r_bits_resp; // @[quasar_wrapper.scala 189:19] - assign io_dma_axi_r_bits_last = 1'h1; // @[quasar_wrapper.scala 189:19] - assign io_dma_hrdata = 64'h0; // @[quasar_wrapper.scala 259:17] - assign io_dma_hreadyout = 1'h0; // @[quasar_wrapper.scala 260:20] - assign io_dma_hresp = 1'h0; // @[quasar_wrapper.scala 261:16] - assign io_dec_tlu_perfcnt0 = core_io_dec_tlu_perfcnt0; // @[quasar_wrapper.scala 249:23] - assign io_dec_tlu_perfcnt1 = core_io_dec_tlu_perfcnt1; // @[quasar_wrapper.scala 250:23] - assign io_dec_tlu_perfcnt2 = core_io_dec_tlu_perfcnt2; // @[quasar_wrapper.scala 251:23] - assign io_dec_tlu_perfcnt3 = core_io_dec_tlu_perfcnt3; // @[quasar_wrapper.scala 252:23] - assign io_jtag_tdo = dmi_wrapper_tdo; // @[quasar_wrapper.scala 104:15] - assign io_mpc_debug_halt_ack = core_io_mpc_debug_halt_ack; // @[quasar_wrapper.scala 245:25] - assign io_mpc_debug_run_ack = core_io_mpc_debug_run_ack; // @[quasar_wrapper.scala 246:24] - assign io_debug_brkpt_status = core_io_debug_brkpt_status; // @[quasar_wrapper.scala 247:25] - assign io_o_cpu_halt_ack = core_io_o_cpu_halt_ack; // @[quasar_wrapper.scala 240:21] - assign io_o_cpu_halt_status = core_io_o_cpu_halt_status; // @[quasar_wrapper.scala 241:24] - assign io_o_debug_mode_status = core_io_o_debug_mode_status; // @[quasar_wrapper.scala 243:26] - assign io_o_cpu_run_ack = core_io_o_cpu_run_ack; // @[quasar_wrapper.scala 242:20] - assign mem_clk = clock; // @[quasar_wrapper.scala 135:14] - assign mem_rst_l = reset; // @[quasar_wrapper.scala 134:16] - assign mem_dccm_clk_override = core_io_dccm_clk_override; // @[quasar_wrapper.scala 107:28] - assign mem_icm_clk_override = core_io_icm_clk_override; // @[quasar_wrapper.scala 108:27] - assign mem_dec_tlu_core_ecc_disable = core_io_dec_tlu_core_ecc_disable; // @[quasar_wrapper.scala 109:35] - assign mem_dccm_wren = core_io_swerv_mem_wren; // @[quasar_wrapper.scala 110:15] - assign mem_dccm_rden = core_io_swerv_mem_rden; // @[quasar_wrapper.scala 110:15] - assign mem_dccm_wr_addr_lo = core_io_swerv_mem_wr_addr_lo; // @[quasar_wrapper.scala 110:15] - assign mem_dccm_wr_addr_hi = core_io_swerv_mem_wr_addr_hi; // @[quasar_wrapper.scala 110:15] - assign mem_dccm_rd_addr_lo = core_io_swerv_mem_rd_addr_lo; // @[quasar_wrapper.scala 110:15] - assign mem_dccm_rd_addr_hi = core_io_swerv_mem_rd_addr_hi; // @[quasar_wrapper.scala 110:15] - assign mem_dccm_wr_data_lo = core_io_swerv_mem_wr_data_lo; // @[quasar_wrapper.scala 110:15] - assign mem_dccm_wr_data_hi = core_io_swerv_mem_wr_data_hi; // @[quasar_wrapper.scala 110:15] - assign mem_iccm_rw_addr = core_io_iccm_rw_addr; // @[quasar_wrapper.scala 140:16] - assign mem_iccm_buf_correct_ecc = core_io_iccm_buf_correct_ecc; // @[quasar_wrapper.scala 140:16] - assign mem_iccm_correction_state = core_io_iccm_correction_state; // @[quasar_wrapper.scala 140:16] - assign mem_iccm_wren = core_io_iccm_wren; // @[quasar_wrapper.scala 140:16] - assign mem_iccm_rden = core_io_iccm_rden; // @[quasar_wrapper.scala 140:16] - assign mem_iccm_wr_size = core_io_iccm_wr_size; // @[quasar_wrapper.scala 140:16] - assign mem_iccm_wr_data = core_io_iccm_wr_data; // @[quasar_wrapper.scala 140:16] - assign mem_ic_rw_addr = core_io_ic_rw_addr; // @[quasar_wrapper.scala 139:14] - assign mem_ic_tag_valid = core_io_ic_tag_valid; // @[quasar_wrapper.scala 139:14] - assign mem_ic_wr_en = core_io_ic_wr_en; // @[quasar_wrapper.scala 139:14] - assign mem_ic_rd_en = core_io_ic_rd_en; // @[quasar_wrapper.scala 139:14] - assign mem_ic_wr_data_0 = core_io_ic_wr_data_0; // @[quasar_wrapper.scala 139:14] - assign mem_ic_wr_data_1 = core_io_ic_wr_data_1; // @[quasar_wrapper.scala 139:14] - assign mem_ic_debug_wr_data = core_io_ic_debug_wr_data; // @[quasar_wrapper.scala 139:14] - assign mem_ic_debug_addr = core_io_ic_debug_addr; // @[quasar_wrapper.scala 139:14] - assign mem_ic_debug_rd_en = core_io_ic_debug_rd_en; // @[quasar_wrapper.scala 139:14] - assign mem_ic_debug_wr_en = core_io_ic_debug_wr_en; // @[quasar_wrapper.scala 139:14] - assign mem_ic_debug_tag_array = core_io_ic_debug_tag_array; // @[quasar_wrapper.scala 139:14] - assign mem_ic_debug_way = core_io_ic_debug_way; // @[quasar_wrapper.scala 139:14] - assign mem_ic_premux_data = core_io_ic_premux_data; // @[quasar_wrapper.scala 139:14] - assign mem_ic_sel_premux_data = core_io_ic_sel_premux_data; // @[quasar_wrapper.scala 139:14] - assign mem_scan_mode = io_scan_mode; // @[quasar_wrapper.scala 136:20] - assign dmi_wrapper_trst_n = io_jtag_trst_n; // @[quasar_wrapper.scala 89:25] - assign dmi_wrapper_tck = io_jtag_tck; // @[quasar_wrapper.scala 90:22] - assign dmi_wrapper_tms = io_jtag_tms; // @[quasar_wrapper.scala 91:22] - assign dmi_wrapper_tdi = io_jtag_tdi; // @[quasar_wrapper.scala 92:22] - assign dmi_wrapper_core_rst_n = io_dbg_rst_l; // @[quasar_wrapper.scala 98:29] - assign dmi_wrapper_core_clk = clock; // @[quasar_wrapper.scala 93:27] - assign dmi_wrapper_jtag_id = io_jtag_id; // @[quasar_wrapper.scala 94:26] - assign dmi_wrapper_rd_data = 32'h0; // @[quasar_wrapper.scala 95:26] + assign io_lsu_brg_out_haddr = core_io_lsu_ahb_out_haddr; // @[quasar_wrapper.scala 109:21] + assign io_lsu_brg_out_hburst = 3'h0; // @[quasar_wrapper.scala 109:21] + assign io_lsu_brg_out_hmastlock = 1'h0; // @[quasar_wrapper.scala 109:21] + assign io_lsu_brg_out_hprot = 4'h3; // @[quasar_wrapper.scala 109:21] + assign io_lsu_brg_out_hsize = core_io_lsu_ahb_out_hsize; // @[quasar_wrapper.scala 109:21] + assign io_lsu_brg_out_htrans = core_io_lsu_ahb_out_htrans; // @[quasar_wrapper.scala 109:21] + assign io_lsu_brg_out_hwrite = core_io_lsu_ahb_out_hwrite; // @[quasar_wrapper.scala 109:21] + assign io_lsu_brg_out_hwdata = core_io_lsu_ahb_out_hwdata; // @[quasar_wrapper.scala 109:21] + assign io_ifu_brg_out_haddr = core_io_ifu_ahb_out_haddr; // @[quasar_wrapper.scala 108:21] + assign io_ifu_brg_out_hburst = 3'h0; // @[quasar_wrapper.scala 108:21] + assign io_ifu_brg_out_hmastlock = 1'h0; // @[quasar_wrapper.scala 108:21] + assign io_ifu_brg_out_hprot = 4'h3; // @[quasar_wrapper.scala 108:21] + assign io_ifu_brg_out_hsize = core_io_ifu_ahb_out_hsize; // @[quasar_wrapper.scala 108:21] + assign io_ifu_brg_out_htrans = core_io_ifu_ahb_out_htrans; // @[quasar_wrapper.scala 108:21] + assign io_ifu_brg_out_hwrite = core_io_ifu_ahb_out_hwrite; // @[quasar_wrapper.scala 108:21] + assign io_ifu_brg_out_hwdata = core_io_ifu_ahb_out_hwdata; // @[quasar_wrapper.scala 108:21] + assign io_sb_brg_out_haddr = core_io_sb_ahb_out_haddr; // @[quasar_wrapper.scala 110:20] + assign io_sb_brg_out_hburst = 3'h0; // @[quasar_wrapper.scala 110:20] + assign io_sb_brg_out_hmastlock = 1'h0; // @[quasar_wrapper.scala 110:20] + assign io_sb_brg_out_hprot = 4'h3; // @[quasar_wrapper.scala 110:20] + assign io_sb_brg_out_hsize = core_io_sb_ahb_out_hsize; // @[quasar_wrapper.scala 110:20] + assign io_sb_brg_out_htrans = core_io_sb_ahb_out_htrans; // @[quasar_wrapper.scala 110:20] + assign io_sb_brg_out_hwrite = core_io_sb_ahb_out_hwrite; // @[quasar_wrapper.scala 110:20] + assign io_sb_brg_out_hwdata = core_io_sb_ahb_out_hwdata; // @[quasar_wrapper.scala 110:20] + assign io_dma_brg_sig_in_hrdata = core_io_dma_ahb_sig_in_hrdata; // @[quasar_wrapper.scala 111:21] + assign io_dma_brg_sig_in_hready = core_io_dma_ahb_sig_in_hready; // @[quasar_wrapper.scala 111:21] + assign io_dma_brg_sig_in_hresp = core_io_dma_ahb_sig_in_hresp; // @[quasar_wrapper.scala 111:21] + assign io_dec_tlu_perfcnt0 = core_io_dec_tlu_perfcnt0; // @[quasar_wrapper.scala 157:23] + assign io_dec_tlu_perfcnt1 = core_io_dec_tlu_perfcnt1; // @[quasar_wrapper.scala 158:23] + assign io_dec_tlu_perfcnt2 = core_io_dec_tlu_perfcnt2; // @[quasar_wrapper.scala 159:23] + assign io_dec_tlu_perfcnt3 = core_io_dec_tlu_perfcnt3; // @[quasar_wrapper.scala 160:23] + assign io_jtag_tdo = dmi_wrapper_tdo; // @[quasar_wrapper.scala 80:15] + assign io_mpc_debug_halt_ack = core_io_mpc_debug_halt_ack; // @[quasar_wrapper.scala 153:25] + assign io_mpc_debug_run_ack = core_io_mpc_debug_run_ack; // @[quasar_wrapper.scala 154:24] + assign io_debug_brkpt_status = core_io_debug_brkpt_status; // @[quasar_wrapper.scala 155:25] + assign io_o_cpu_halt_ack = core_io_o_cpu_halt_ack; // @[quasar_wrapper.scala 148:21] + assign io_o_cpu_halt_status = core_io_o_cpu_halt_status; // @[quasar_wrapper.scala 149:24] + assign io_o_debug_mode_status = core_io_o_debug_mode_status; // @[quasar_wrapper.scala 151:26] + assign io_o_cpu_run_ack = core_io_o_cpu_run_ack; // @[quasar_wrapper.scala 150:20] + assign io_rv_trace_pkt_rv_i_valid_ip = core_io_rv_trace_pkt_rv_i_valid_ip; // @[quasar_wrapper.scala 145:19] + assign io_rv_trace_pkt_rv_i_insn_ip = core_io_rv_trace_pkt_rv_i_insn_ip; // @[quasar_wrapper.scala 145:19] + assign io_rv_trace_pkt_rv_i_address_ip = core_io_rv_trace_pkt_rv_i_address_ip; // @[quasar_wrapper.scala 145:19] + assign io_rv_trace_pkt_rv_i_exception_ip = core_io_rv_trace_pkt_rv_i_exception_ip; // @[quasar_wrapper.scala 145:19] + assign io_rv_trace_pkt_rv_i_ecause_ip = core_io_rv_trace_pkt_rv_i_ecause_ip; // @[quasar_wrapper.scala 145:19] + assign io_rv_trace_pkt_rv_i_interrupt_ip = core_io_rv_trace_pkt_rv_i_interrupt_ip; // @[quasar_wrapper.scala 145:19] + assign io_rv_trace_pkt_rv_i_tval_ip = core_io_rv_trace_pkt_rv_i_tval_ip; // @[quasar_wrapper.scala 145:19] + assign mem_clk = clock; // @[quasar_wrapper.scala 88:14] + assign mem_rst_l = reset; // @[quasar_wrapper.scala 87:16] + assign mem_dccm_clk_override = core_io_dccm_clk_override; // @[quasar_wrapper.scala 83:28] + assign mem_icm_clk_override = core_io_icm_clk_override; // @[quasar_wrapper.scala 84:27] + assign mem_dec_tlu_core_ecc_disable = core_io_dec_tlu_core_ecc_disable; // @[quasar_wrapper.scala 85:35] + assign mem_dccm_wren = core_io_dccm_wren; // @[quasar_wrapper.scala 86:15] + assign mem_dccm_rden = core_io_dccm_rden; // @[quasar_wrapper.scala 86:15] + assign mem_dccm_wr_addr_lo = core_io_dccm_wr_addr_lo; // @[quasar_wrapper.scala 86:15] + assign mem_dccm_wr_addr_hi = core_io_dccm_wr_addr_hi; // @[quasar_wrapper.scala 86:15] + assign mem_dccm_rd_addr_lo = core_io_dccm_rd_addr_lo; // @[quasar_wrapper.scala 86:15] + assign mem_dccm_rd_addr_hi = core_io_dccm_rd_addr_hi; // @[quasar_wrapper.scala 86:15] + assign mem_dccm_wr_data_lo = core_io_dccm_wr_data_lo; // @[quasar_wrapper.scala 86:15] + assign mem_dccm_wr_data_hi = core_io_dccm_wr_data_hi; // @[quasar_wrapper.scala 86:15] + assign mem_iccm_rw_addr = core_io_iccm_rw_addr; // @[quasar_wrapper.scala 93:16] + assign mem_iccm_buf_correct_ecc = core_io_iccm_buf_correct_ecc; // @[quasar_wrapper.scala 93:16] + assign mem_iccm_correction_state = core_io_iccm_correction_state; // @[quasar_wrapper.scala 93:16] + assign mem_iccm_wren = core_io_iccm_wren; // @[quasar_wrapper.scala 93:16] + assign mem_iccm_rden = core_io_iccm_rden; // @[quasar_wrapper.scala 93:16] + assign mem_iccm_wr_size = core_io_iccm_wr_size; // @[quasar_wrapper.scala 93:16] + assign mem_iccm_wr_data = core_io_iccm_wr_data; // @[quasar_wrapper.scala 93:16] + assign mem_ic_rw_addr = core_io_ic_rw_addr; // @[quasar_wrapper.scala 92:14] + assign mem_ic_tag_valid = core_io_ic_tag_valid; // @[quasar_wrapper.scala 92:14] + assign mem_ic_wr_en = core_io_ic_wr_en; // @[quasar_wrapper.scala 92:14] + assign mem_ic_rd_en = core_io_ic_rd_en; // @[quasar_wrapper.scala 92:14] + assign mem_ic_wr_data_0 = core_io_ic_wr_data_0; // @[quasar_wrapper.scala 92:14] + assign mem_ic_wr_data_1 = core_io_ic_wr_data_1; // @[quasar_wrapper.scala 92:14] + assign mem_ic_debug_wr_data = core_io_ic_debug_wr_data; // @[quasar_wrapper.scala 92:14] + assign mem_ic_debug_addr = core_io_ic_debug_addr; // @[quasar_wrapper.scala 92:14] + assign mem_ic_debug_rd_en = core_io_ic_debug_rd_en; // @[quasar_wrapper.scala 92:14] + assign mem_ic_debug_wr_en = core_io_ic_debug_wr_en; // @[quasar_wrapper.scala 92:14] + assign mem_ic_debug_tag_array = core_io_ic_debug_tag_array; // @[quasar_wrapper.scala 92:14] + assign mem_ic_debug_way = core_io_ic_debug_way; // @[quasar_wrapper.scala 92:14] + assign mem_ic_premux_data = core_io_ic_premux_data; // @[quasar_wrapper.scala 92:14] + assign mem_ic_sel_premux_data = core_io_ic_sel_premux_data; // @[quasar_wrapper.scala 92:14] + assign mem_scan_mode = io_scan_mode; // @[quasar_wrapper.scala 89:20] + assign dmi_wrapper_trst_n = io_jtag_trst_n; // @[quasar_wrapper.scala 67:25] + assign dmi_wrapper_tck = io_jtag_tck; // @[quasar_wrapper.scala 68:22] + assign dmi_wrapper_tms = io_jtag_tms; // @[quasar_wrapper.scala 69:22] + assign dmi_wrapper_tdi = io_jtag_tdi; // @[quasar_wrapper.scala 70:22] + assign dmi_wrapper_core_rst_n = io_dbg_rst_l; // @[quasar_wrapper.scala 74:29] + assign dmi_wrapper_core_clk = clock; // @[quasar_wrapper.scala 71:27] + assign dmi_wrapper_jtag_id = io_jtag_id; // @[quasar_wrapper.scala 72:26] + assign dmi_wrapper_rd_data = core_io_dmi_reg_rdata; // @[quasar_wrapper.scala 73:26] assign core_clock = clock; assign core_reset = reset; - assign core_io_lsu_axi_aw_ready = io_lsu_axi_aw_ready; // @[quasar_wrapper.scala 179:19] - assign core_io_lsu_axi_w_ready = io_lsu_axi_w_ready; // @[quasar_wrapper.scala 179:19] - assign core_io_lsu_axi_b_valid = io_lsu_axi_b_valid; // @[quasar_wrapper.scala 179:19] - assign core_io_lsu_axi_b_bits_resp = io_lsu_axi_b_bits_resp; // @[quasar_wrapper.scala 179:19] - assign core_io_lsu_axi_b_bits_id = io_lsu_axi_b_bits_id; // @[quasar_wrapper.scala 179:19] - assign core_io_lsu_axi_ar_ready = io_lsu_axi_ar_ready; // @[quasar_wrapper.scala 179:19] - assign core_io_lsu_axi_r_valid = io_lsu_axi_r_valid; // @[quasar_wrapper.scala 179:19] - assign core_io_lsu_axi_r_bits_id = io_lsu_axi_r_bits_id; // @[quasar_wrapper.scala 179:19] - assign core_io_lsu_axi_r_bits_data = io_lsu_axi_r_bits_data; // @[quasar_wrapper.scala 179:19] - assign core_io_lsu_axi_r_bits_resp = io_lsu_axi_r_bits_resp; // @[quasar_wrapper.scala 179:19] - assign core_io_ifu_axi_ar_ready = io_ifu_axi_ar_ready; // @[quasar_wrapper.scala 182:19] - assign core_io_ifu_axi_r_valid = io_ifu_axi_r_valid; // @[quasar_wrapper.scala 182:19] - assign core_io_ifu_axi_r_bits_id = io_ifu_axi_r_bits_id; // @[quasar_wrapper.scala 182:19] - assign core_io_ifu_axi_r_bits_data = io_ifu_axi_r_bits_data; // @[quasar_wrapper.scala 182:19] - assign core_io_ifu_axi_r_bits_resp = io_ifu_axi_r_bits_resp; // @[quasar_wrapper.scala 182:19] - assign core_io_sb_axi_aw_ready = io_sb_axi_aw_ready; // @[quasar_wrapper.scala 185:18] - assign core_io_sb_axi_w_ready = io_sb_axi_w_ready; // @[quasar_wrapper.scala 185:18] - assign core_io_sb_axi_b_valid = io_sb_axi_b_valid; // @[quasar_wrapper.scala 185:18] - assign core_io_sb_axi_b_bits_resp = io_sb_axi_b_bits_resp; // @[quasar_wrapper.scala 185:18] - assign core_io_sb_axi_ar_ready = io_sb_axi_ar_ready; // @[quasar_wrapper.scala 185:18] - assign core_io_sb_axi_r_valid = io_sb_axi_r_valid; // @[quasar_wrapper.scala 185:18] - assign core_io_sb_axi_r_bits_data = io_sb_axi_r_bits_data; // @[quasar_wrapper.scala 185:18] - assign core_io_sb_axi_r_bits_resp = io_sb_axi_r_bits_resp; // @[quasar_wrapper.scala 185:18] - assign core_io_dma_axi_aw_valid = io_dma_axi_aw_valid; // @[quasar_wrapper.scala 189:19] - assign core_io_dma_axi_aw_bits_id = io_dma_axi_aw_bits_id; // @[quasar_wrapper.scala 189:19] - assign core_io_dma_axi_aw_bits_addr = io_dma_axi_aw_bits_addr; // @[quasar_wrapper.scala 189:19] - assign core_io_dma_axi_aw_bits_size = io_dma_axi_aw_bits_size; // @[quasar_wrapper.scala 189:19] - assign core_io_dma_axi_w_valid = io_dma_axi_w_valid; // @[quasar_wrapper.scala 189:19] - assign core_io_dma_axi_w_bits_data = io_dma_axi_w_bits_data; // @[quasar_wrapper.scala 189:19] - assign core_io_dma_axi_w_bits_strb = io_dma_axi_w_bits_strb; // @[quasar_wrapper.scala 189:19] - assign core_io_dma_axi_b_ready = io_dma_axi_b_ready; // @[quasar_wrapper.scala 189:19] - assign core_io_dma_axi_ar_valid = io_dma_axi_ar_valid; // @[quasar_wrapper.scala 189:19] - assign core_io_dma_axi_ar_bits_id = io_dma_axi_ar_bits_id; // @[quasar_wrapper.scala 189:19] - assign core_io_dma_axi_ar_bits_addr = io_dma_axi_ar_bits_addr; // @[quasar_wrapper.scala 189:19] - assign core_io_dma_axi_ar_bits_size = io_dma_axi_ar_bits_size; // @[quasar_wrapper.scala 189:19] - assign core_io_dma_axi_r_ready = io_dma_axi_r_ready; // @[quasar_wrapper.scala 189:19] - assign core_io_dbg_rst_l = io_dbg_rst_l; // @[quasar_wrapper.scala 138:21 quasar_wrapper.scala 162:21] - assign core_io_rst_vec = io_rst_vec; // @[quasar_wrapper.scala 163:19] - assign core_io_nmi_int = io_nmi_int; // @[quasar_wrapper.scala 164:19] - assign core_io_nmi_vec = io_nmi_vec; // @[quasar_wrapper.scala 165:19] - assign core_io_i_cpu_halt_req = io_i_cpu_halt_req; // @[quasar_wrapper.scala 168:26] - assign core_io_i_cpu_run_req = io_i_cpu_run_req; // @[quasar_wrapper.scala 169:25] - assign core_io_core_id = io_core_id; // @[quasar_wrapper.scala 170:19] - assign core_io_mpc_debug_halt_req = io_mpc_debug_halt_req; // @[quasar_wrapper.scala 173:30] - assign core_io_mpc_debug_run_req = io_mpc_debug_run_req; // @[quasar_wrapper.scala 174:29] - assign core_io_mpc_reset_run_req = io_mpc_reset_run_req; // @[quasar_wrapper.scala 175:29] - assign core_io_swerv_mem_rd_data_lo = mem_dccm_rd_data_lo; // @[quasar_wrapper.scala 110:15] - assign core_io_swerv_mem_rd_data_hi = mem_dccm_rd_data_hi; // @[quasar_wrapper.scala 110:15] - assign core_io_ic_rd_data = mem_ic_rd_data; // @[quasar_wrapper.scala 139:14] - assign core_io_ic_debug_rd_data = mem_ic_debug_rd_data; // @[quasar_wrapper.scala 139:14] - assign core_io_ic_tag_debug_rd_data = mem_ic_tag_debug_rd_data; // @[quasar_wrapper.scala 139:14] - assign core_io_ic_eccerr = mem_ic_eccerr; // @[quasar_wrapper.scala 139:14] - assign core_io_ic_rd_hit = mem_ic_rd_hit; // @[quasar_wrapper.scala 139:14] - assign core_io_ic_tag_perr = mem_ic_tag_perr; // @[quasar_wrapper.scala 139:14] - assign core_io_iccm_rd_data = mem_iccm_rd_data; // @[quasar_wrapper.scala 140:16] - assign core_io_iccm_rd_data_ecc = mem_iccm_rd_data_ecc; // @[quasar_wrapper.scala 140:16] - assign core_io_lsu_bus_clk_en = io_lsu_bus_clk_en; // @[quasar_wrapper.scala 219:26] - assign core_io_ifu_bus_clk_en = io_ifu_bus_clk_en; // @[quasar_wrapper.scala 220:26] - assign core_io_dbg_bus_clk_en = io_dbg_bus_clk_en; // @[quasar_wrapper.scala 221:26] - assign core_io_dma_bus_clk_en = io_dma_bus_clk_en; // @[quasar_wrapper.scala 222:26] - assign core_io_dmi_reg_en = dmi_wrapper_reg_en; // @[quasar_wrapper.scala 101:22] - assign core_io_dmi_reg_addr = dmi_wrapper_reg_wr_addr; // @[quasar_wrapper.scala 100:24] - assign core_io_dmi_reg_wr_en = dmi_wrapper_reg_wr_en; // @[quasar_wrapper.scala 102:25] - assign core_io_dmi_reg_wdata = dmi_wrapper_reg_wr_data; // @[quasar_wrapper.scala 99:25] - assign core_io_extintsrc_req = io_extintsrc_req; // @[quasar_wrapper.scala 226:25] - assign core_io_timer_int = io_timer_int; // @[quasar_wrapper.scala 224:21] - assign core_io_soft_int = io_soft_int; // @[quasar_wrapper.scala 225:20] - assign core_io_scan_mode = io_scan_mode; // @[quasar_wrapper.scala 160:21] + assign core_io_lsu_ahb_in_hrdata = io_lsu_brg_in_hrdata; // @[quasar_wrapper.scala 109:21] + assign core_io_lsu_ahb_in_hready = io_lsu_brg_in_hready; // @[quasar_wrapper.scala 109:21] + assign core_io_lsu_ahb_in_hresp = io_lsu_brg_in_hresp; // @[quasar_wrapper.scala 109:21] + assign core_io_ifu_ahb_in_hrdata = io_ifu_brg_in_hrdata; // @[quasar_wrapper.scala 108:21] + assign core_io_ifu_ahb_in_hready = io_ifu_brg_in_hready; // @[quasar_wrapper.scala 108:21] + assign core_io_ifu_ahb_in_hresp = io_ifu_brg_in_hresp; // @[quasar_wrapper.scala 108:21] + assign core_io_sb_ahb_in_hrdata = io_sb_brg_in_hrdata; // @[quasar_wrapper.scala 110:20] + assign core_io_sb_ahb_in_hready = io_sb_brg_in_hready; // @[quasar_wrapper.scala 110:20] + assign core_io_sb_ahb_in_hresp = io_sb_brg_in_hresp; // @[quasar_wrapper.scala 110:20] + assign core_io_dma_ahb_sig_out_haddr = io_dma_brg_sig_out_haddr; // @[quasar_wrapper.scala 111:21] + assign core_io_dma_ahb_sig_out_hsize = io_dma_brg_sig_out_hsize; // @[quasar_wrapper.scala 111:21] + assign core_io_dma_ahb_sig_out_htrans = io_dma_brg_sig_out_htrans; // @[quasar_wrapper.scala 111:21] + assign core_io_dma_ahb_sig_out_hwrite = io_dma_brg_sig_out_hwrite; // @[quasar_wrapper.scala 111:21] + assign core_io_dma_ahb_sig_out_hwdata = io_dma_brg_sig_out_hwdata; // @[quasar_wrapper.scala 111:21] + assign core_io_dma_ahb_hsel = io_dma_brg_hsel; // @[quasar_wrapper.scala 111:21] + assign core_io_dma_ahb_hreadyin = io_dma_brg_hreadyin; // @[quasar_wrapper.scala 111:21] + assign core_io_dbg_rst_l = io_dbg_rst_l; // @[quasar_wrapper.scala 91:21 quasar_wrapper.scala 119:21] + assign core_io_rst_vec = io_rst_vec; // @[quasar_wrapper.scala 120:19] + assign core_io_nmi_int = io_nmi_int; // @[quasar_wrapper.scala 121:19] + assign core_io_nmi_vec = io_nmi_vec; // @[quasar_wrapper.scala 122:19] + assign core_io_i_cpu_halt_req = io_i_cpu_halt_req; // @[quasar_wrapper.scala 125:26] + assign core_io_i_cpu_run_req = io_i_cpu_run_req; // @[quasar_wrapper.scala 126:25] + assign core_io_core_id = io_core_id; // @[quasar_wrapper.scala 127:19] + assign core_io_mpc_debug_halt_req = io_mpc_debug_halt_req; // @[quasar_wrapper.scala 130:30] + assign core_io_mpc_debug_run_req = io_mpc_debug_run_req; // @[quasar_wrapper.scala 131:29] + assign core_io_mpc_reset_run_req = io_mpc_reset_run_req; // @[quasar_wrapper.scala 132:29] + assign core_io_dccm_rd_data_lo = mem_dccm_rd_data_lo; // @[quasar_wrapper.scala 86:15] + assign core_io_dccm_rd_data_hi = mem_dccm_rd_data_hi; // @[quasar_wrapper.scala 86:15] + assign core_io_ic_rd_data = mem_ic_rd_data; // @[quasar_wrapper.scala 92:14] + assign core_io_ic_debug_rd_data = mem_ic_debug_rd_data; // @[quasar_wrapper.scala 92:14] + assign core_io_ic_tag_debug_rd_data = mem_ic_tag_debug_rd_data; // @[quasar_wrapper.scala 92:14] + assign core_io_ic_eccerr = mem_ic_eccerr; // @[quasar_wrapper.scala 92:14] + assign core_io_ic_rd_hit = mem_ic_rd_hit; // @[quasar_wrapper.scala 92:14] + assign core_io_ic_tag_perr = mem_ic_tag_perr; // @[quasar_wrapper.scala 92:14] + assign core_io_iccm_rd_data = mem_iccm_rd_data; // @[quasar_wrapper.scala 93:16] + assign core_io_iccm_rd_data_ecc = mem_iccm_rd_data_ecc; // @[quasar_wrapper.scala 93:16] + assign core_io_lsu_bus_clk_en = io_lsu_bus_clk_en; // @[quasar_wrapper.scala 134:26] + assign core_io_ifu_bus_clk_en = io_ifu_bus_clk_en; // @[quasar_wrapper.scala 135:26] + assign core_io_dbg_bus_clk_en = io_dbg_bus_clk_en; // @[quasar_wrapper.scala 136:26] + assign core_io_dma_bus_clk_en = io_dma_bus_clk_en; // @[quasar_wrapper.scala 137:26] + assign core_io_dmi_reg_en = dmi_wrapper_reg_en; // @[quasar_wrapper.scala 77:22] + assign core_io_dmi_reg_addr = dmi_wrapper_reg_wr_addr; // @[quasar_wrapper.scala 76:24] + assign core_io_dmi_reg_wr_en = dmi_wrapper_reg_wr_en; // @[quasar_wrapper.scala 78:25] + assign core_io_dmi_reg_wdata = dmi_wrapper_reg_wr_data; // @[quasar_wrapper.scala 75:25] + assign core_io_extintsrc_req = io_extintsrc_req; // @[quasar_wrapper.scala 141:25] + assign core_io_timer_int = io_timer_int; // @[quasar_wrapper.scala 139:21] + assign core_io_soft_int = io_soft_int; // @[quasar_wrapper.scala 140:20] + assign core_io_scan_mode = io_scan_mode; // @[quasar_wrapper.scala 66:21] endmodule diff --git a/src/main/resources/vsrc/gated_latch.v b/src/main/resources/vsrc/gated_latch.v new file mode 100644 index 00000000..51b96c9d --- /dev/null +++ b/src/main/resources/vsrc/gated_latch.v @@ -0,0 +1,14 @@ +module gated_latch + ( + input logic SE, EN, CK, + output Q + ); + logic en_ff; + logic enable; + assign enable = EN | SE; + always @(CK, enable) begin + if(!CK) + en_ff = enable; + end + assign Q = CK & en_ff; +endmodule diff --git a/src/main/scala/dbg/dbg.scala b/src/main/scala/dbg/dbg.scala index 174f4a84..f57c8aff 100644 --- a/src/main/scala/dbg/dbg.scala +++ b/src/main/scala/dbg/dbg.scala @@ -456,6 +456,6 @@ class dbg extends Module with lib with RequireAsyncReset { io.dbg_dma.dbg_ib.dbg_cmd_type := io.dbg_dec.dbg_ib.dbg_cmd_type } -//object dbg_main extends App { -// println((new chisel3.stage.ChiselStage).emitVerilog(new dbg())) -//} +object dbg_main extends App { + println((new chisel3.stage.ChiselStage).emitVerilog(new dbg())) +} diff --git a/src/main/scala/dec/dec.scala b/src/main/scala/dec/dec.scala index e674d84b..6ac2dba8 100644 --- a/src/main/scala/dec/dec.scala +++ b/src/main/scala/dec/dec.scala @@ -162,7 +162,7 @@ class dec extends Module with param with RequireAsyncReset{ decode.io.lsu_store_stall_any := io.lsu_store_stall_any decode.io.exu_div_wren := io.exu_div_wren decode.io.dec_tlu_i0_kill_writeb_wb := tlu.io.dec_tlu_i0_kill_writeb_wb - decode.io.dec_tlu_flush_lower_wb := tlu.io.tlu_bp.dec_tlu_flush_lower_wb + decode.io.dec_tlu_flush_lower_wb := tlu.io.dec_tlu_flush_lower_wb decode.io.dec_tlu_i0_kill_writeb_r := tlu.io.dec_tlu_i0_kill_writeb_r decode.io.dec_tlu_flush_lower_r := tlu.io.tlu_exu.dec_tlu_flush_lower_r decode.io.dec_tlu_flush_pause_r := tlu.io.dec_tlu_flush_pause_r @@ -245,10 +245,6 @@ class dec extends Module with param with RequireAsyncReset{ tlu.io.dbg_resume_req := io.dbg_resume_req tlu.io.lsu_idle_any := io.lsu_idle_any tlu.io.dec_div_active := decode.io.dec_div_active -// tlu.io.pic_claimid := io.dec_pic.pic_claimid -// tlu.io.pic_pl := io.dec_pic.pic_pl -// tlu.io.mhwakeup := io.dec_pic.mhwakeup -// tlu.io.mexintpend := io.mexintpend tlu.io.timer_int := io.timer_int tlu.io.soft_int := io.soft_int tlu.io.core_id := io.core_id @@ -269,8 +265,6 @@ class dec extends Module with param with RequireAsyncReset{ io.mpc_debug_halt_ack := tlu.io.mpc_debug_halt_ack io.mpc_debug_run_ack := tlu.io.mpc_debug_run_ack io.debug_brkpt_status := tlu.io.debug_brkpt_status -// io.dec_pic.dec_tlu_meicurpl := tlu.io.dec_tlu_meicurpl -// io.dec_pic.dec_tlu_meipt := tlu.io.dec_tlu_meipt io.dec_tlu_i0_kill_writeb_r := tlu.io.dec_tlu_i0_kill_writeb_r io.dec_tlu_perfcnt0 := tlu.io.dec_tlu_perfcnt0 io.dec_tlu_perfcnt1 := tlu.io.dec_tlu_perfcnt1 @@ -302,4 +296,8 @@ class dec extends Module with param with RequireAsyncReset{ // debug command read data io.dec_dbg_rddata := decode.io.dec_i0_wdata_r -} \ No newline at end of file +} + +object dec_main extends App { + println((new chisel3.stage.ChiselStage).emitVerilog(new dec())) +} diff --git a/src/main/scala/dec/dec_decode_ctl.scala b/src/main/scala/dec/dec_decode_ctl.scala index b4ec20b6..526f1c24 100644 --- a/src/main/scala/dec/dec_decode_ctl.scala +++ b/src/main/scala/dec/dec_decode_ctl.scala @@ -10,263 +10,257 @@ import lsu._ class dec_decode_ctl extends Module with lib with RequireAsyncReset{ val io = IO(new Bundle{ - val decode_exu = Flipped(new decode_exu) - val dec_alu = Flipped(new dec_alu) - val dec_div = Flipped(new dec_div) - val dctl_busbuff = Flipped(new dctl_busbuff()) - val dctl_dma = new dctl_dma - val dec_tlu_flush_extint = Input(Bool()) - val dec_tlu_force_halt = Input(Bool()) // invalidate nonblock load cam on a force halt event - val dec_i0_inst_wb1 = Output(UInt(32.W)) // 32b instruction at wb+1 for trace encoder - val dec_i0_pc_wb1 = Output(UInt(31.W)) // 31b pc at wb+1 for trace encoder - val dec_i0_trigger_match_d = Input(UInt(4.W)) // i0 decode trigger matches - val dec_tlu_wr_pause_r = Input(Bool()) // pause instruction at r - val dec_tlu_pipelining_disable = Input(Bool()) // pipeline disable - presync, i0 decode only - val lsu_trigger_match_m = Input(UInt(4.W)) // lsu trigger matches - val lsu_pmu_misaligned_m = Input(Bool()) // perf mon: load/store misalign - val dec_tlu_debug_stall = Input(Bool()) // debug stall decode - val dec_tlu_flush_leak_one_r = Input(Bool()) // leak1 instruction - val dec_debug_fence_d = Input(Bool()) // debug fence instruction - val dec_i0_icaf_d = Input(Bool()) // icache access fault - val dec_i0_icaf_f1_d = Input(Bool()) // i0 instruction access fault at decode for f1 fetch group - val dec_i0_icaf_type_d = Input(UInt(2.W)) // i0 instruction access fault type - val dec_i0_dbecc_d = Input(Bool()) // icache/iccm double-bit error - val dec_i0_brp = Flipped(Valid(new br_pkt_t)) // branch packet - val dec_i0_bp_index = Input(UInt(((BTB_ADDR_HI-BTB_ADDR_LO)+1).W)) // i0 branch index - val dec_i0_bp_fghr = Input(UInt(BHT_GHR_SIZE.W)) // BP FGHR - val dec_i0_bp_btag = Input(UInt(BTB_BTAG_SIZE.W)) // BP tag - val dec_i0_pc_d = Input(UInt(31.W)) // pc - val lsu_idle_any = Input(Bool()) // lsu idle: if fence instr & !!!!!!!!!!!!!!!!!!!!!!!!!lsu_idle then stall decode - val lsu_load_stall_any = Input(Bool()) // stall any load at decode - val lsu_store_stall_any = Input(Bool()) // stall any store at decode6 - val exu_div_wren = Input(Bool()) // nonblocking divide write enable to GPR. - val dec_tlu_i0_kill_writeb_wb = Input(Bool()) // I0 is flushed, don't writeback any results to arch state - val dec_tlu_flush_lower_wb = Input(Bool()) // trap lower flush - val dec_tlu_i0_kill_writeb_r = Input(Bool()) // I0 is flushed, don't writeback any results to arch state - val dec_tlu_flush_lower_r = Input(Bool()) // trap lower flush - val dec_tlu_flush_pause_r = Input(Bool()) // don't clear pause state on initial lower flush - val dec_tlu_presync_d = Input(Bool()) // CSR read needs to be presync'd - val dec_tlu_postsync_d = Input(Bool()) // CSR ops that need to be postsync'd - val dec_i0_pc4_d = Input(Bool()) // inst is 4B inst else 2B - val dec_csr_rddata_d = Input(UInt(32.W)) // csr read data at wb - val dec_csr_legal_d = Input(Bool()) // csr indicates legal operation - val lsu_result_m = Input(UInt(32.W)) // load result - val lsu_result_corr_r = Input(UInt(32.W)) // load result - corrected data for writing gpr's, not for bypassing - val exu_flush_final = Input(Bool()) // lower flush or i0 flush at X or D - val dec_i0_instr_d = Input(UInt(32.W)) // inst at decode - val dec_ib0_valid_d = Input(Bool()) // inst valid at decode - val free_clk = Input(Clock()) - val active_clk = Input(Clock()) // clk except for halt / pause - val clk_override = Input(Bool()) // test stuff - val dec_i0_rs1_d = Output(UInt(5.W)) // rs1 logical source - val dec_i0_rs2_d = Output(UInt(5.W)) - val dec_i0_waddr_r = Output(UInt(5.W)) // i0 logical source to write to gpr's - val dec_i0_wen_r = Output(Bool()) // i0 write enable - val dec_i0_wdata_r = Output(UInt(32.W)) // i0 write data - val lsu_p = Valid(new lsu_pkt_t) // load/store packet - val div_waddr_wb = Output(UInt(5.W)) // DIV write address to GPR - val dec_lsu_valid_raw_d = Output(Bool()) - val dec_lsu_offset_d = Output(UInt(12.W)) - val dec_csr_wen_unq_d = Output(Bool()) // valid csr with write - for csr legal - val dec_csr_any_unq_d = Output(Bool()) // valid csr - for csr legal - val dec_csr_rdaddr_d = Output(UInt(12.W)) // read address for csr - val dec_csr_wen_r = Output(Bool()) // csr write enable at r - val dec_csr_wraddr_r = Output(UInt(12.W)) // write address for csr - val dec_csr_wrdata_r = Output(UInt(32.W)) // csr write data at r - val dec_csr_stall_int_ff = Output(Bool()) // csr is mie/mstatus - val dec_tlu_i0_valid_r = Output(Bool()) // i0 valid inst at c - val dec_tlu_packet_r = Output(new trap_pkt_t) // trap packet - val dec_tlu_i0_pc_r = Output(UInt(31.W)) // i0 trap pc - val dec_illegal_inst = Output(UInt(32.W)) // illegal inst - val dec_pmu_instr_decoded = Output(Bool()) // number of instructions decode this cycle encoded - val dec_pmu_decode_stall = Output(Bool()) // decode is stalled - val dec_pmu_presync_stall = Output(Bool()) // decode has presync stall - val dec_pmu_postsync_stall = Output(Bool()) // decode has postsync stall - val dec_nonblock_load_wen = Output(Bool()) // write enable for nonblock load - val dec_nonblock_load_waddr = Output(UInt(5.W)) // logical write addr for nonblock load - val dec_pause_state = Output(Bool()) // core in pause state - val dec_pause_state_cg = Output(Bool()) // pause state for clock-gating - val dec_div_active = Output(Bool()) // non-block divide is active - val scan_mode = Input(Bool()) - - val dec_aln = Flipped(new aln_dec) - val dbg_dctl = new dbg_dctl() + val decode_exu = Flipped(new decode_exu) //connection with exu top + val dec_alu = Flipped(new dec_alu) //connection with alu + val dec_div = Flipped(new dec_div) //connection with divider + val dctl_busbuff = Flipped(new dctl_busbuff()) //connection with bus buffer + val dctl_dma = new dctl_dma //connection with dma + val dec_aln = Flipped(new aln_dec) //connection with aligner + val dbg_dctl = new dbg_dctl() //connection with dbg + val dec_tlu_flush_extint = Input(Bool()) + val dec_tlu_force_halt = Input(Bool()) // invalidate nonblock load cam on a force halt event + val dec_i0_inst_wb1 = Output(UInt(32.W)) // 32b instruction at wb+1 for trace encoder + val dec_i0_pc_wb1 = Output(UInt(31.W)) // 31b pc at wb+1 for trace encoder + val dec_i0_trigger_match_d = Input(UInt(4.W)) // i0 decode trigger matches + val dec_tlu_wr_pause_r = Input(Bool()) // pause instruction at r + val dec_tlu_pipelining_disable = Input(Bool()) // pipeline disable - presync, i0 decode only + val lsu_trigger_match_m = Input(UInt(4.W)) // lsu trigger matches + val lsu_pmu_misaligned_m = Input(Bool()) // perf mon: load/store misalign + val dec_tlu_debug_stall = Input(Bool()) // debug stall decode + val dec_tlu_flush_leak_one_r = Input(Bool()) // leak1 instruction + val dec_debug_fence_d = Input(Bool()) // debug fence instruction + val dec_i0_icaf_d = Input(Bool()) // icache access fault + val dec_i0_icaf_f1_d = Input(Bool()) // i0 instruction access fault at decode for f1 fetch group + val dec_i0_icaf_type_d = Input(UInt(2.W)) // i0 instruction access fault type + val dec_i0_dbecc_d = Input(Bool()) // icache/iccm double-bit error + val dec_i0_brp = Flipped(Valid(new br_pkt_t)) // branch packet + val dec_i0_bp_index = Input(UInt(((BTB_ADDR_HI-BTB_ADDR_LO)+1).W)) // i0 branch index + val dec_i0_bp_fghr = Input(UInt(BHT_GHR_SIZE.W)) // BP FGHR + val dec_i0_bp_btag = Input(UInt(BTB_BTAG_SIZE.W)) // BP tag + val dec_i0_pc_d = Input(UInt(31.W)) // pc + val lsu_idle_any = Input(Bool()) // lsu idle: if fence instr & !!!!!!!!!!!!!!!!!!!!!!!!!lsu_idle then stall decode + val lsu_load_stall_any = Input(Bool()) // stall any load at decode + val lsu_store_stall_any = Input(Bool()) // stall any store at decode6 + val exu_div_wren = Input(Bool()) // nonblocking divide write enable to GPR. + val dec_tlu_i0_kill_writeb_wb = Input(Bool()) // I0 is flushed, don't writeback any results to arch state + val dec_tlu_flush_lower_wb = Input(Bool()) // trap lower flush + val dec_tlu_i0_kill_writeb_r = Input(Bool()) // I0 is flushed, don't writeback any results to arch state + val dec_tlu_flush_lower_r = Input(Bool()) // trap lower flush + val dec_tlu_flush_pause_r = Input(Bool()) // don't clear pause state on initial lower flush + val dec_tlu_presync_d = Input(Bool()) // CSR read needs to be presync'd + val dec_tlu_postsync_d = Input(Bool()) // CSR ops that need to be postsync'd + val dec_i0_pc4_d = Input(Bool()) // inst is 4B inst else 2B + val dec_csr_rddata_d = Input(UInt(32.W)) // csr read data at wb + val dec_csr_legal_d = Input(Bool()) // csr indicates legal operation + val lsu_result_m = Input(UInt(32.W)) // load result + val lsu_result_corr_r = Input(UInt(32.W)) // load result - corrected data for writing gpr's, not for bypassing + val exu_flush_final = Input(Bool()) // lower flush or i0 flush at X or D + val dec_i0_instr_d = Input(UInt(32.W)) // inst at decode + val dec_ib0_valid_d = Input(Bool()) // inst valid at decode + val free_clk = Input(Clock()) + val active_clk = Input(Clock()) // clk except for halt / pause + val clk_override = Input(Bool()) // test stuff + val dec_i0_rs1_d = Output(UInt(5.W)) // rs1 logical source + val dec_i0_rs2_d = Output(UInt(5.W)) + val dec_i0_waddr_r = Output(UInt(5.W)) // i0 logical source to write to gpr's + val dec_i0_wen_r = Output(Bool()) // i0 write enable + val dec_i0_wdata_r = Output(UInt(32.W)) // i0 write data + val lsu_p = Valid(new lsu_pkt_t) // load/store packet + val div_waddr_wb = Output(UInt(5.W)) // DIV write address to GPR + val dec_lsu_valid_raw_d = Output(Bool()) + val dec_lsu_offset_d = Output(UInt(12.W)) + val dec_csr_wen_unq_d = Output(Bool()) // valid csr with write - for csr legal + val dec_csr_any_unq_d = Output(Bool()) // valid csr - for csr legal + val dec_csr_rdaddr_d = Output(UInt(12.W)) // read address for csr + val dec_csr_wen_r = Output(Bool()) // csr write enable at r + val dec_csr_wraddr_r = Output(UInt(12.W)) // write address for csr + val dec_csr_wrdata_r = Output(UInt(32.W)) // csr write data at r + val dec_csr_stall_int_ff = Output(Bool()) // csr is mie/mstatus + val dec_tlu_i0_valid_r = Output(Bool()) // i0 valid inst at c + val dec_tlu_packet_r = Output(new trap_pkt_t) // trap packet + val dec_tlu_i0_pc_r = Output(UInt(31.W)) // i0 trap pc + val dec_illegal_inst = Output(UInt(32.W)) // illegal inst + val dec_pmu_instr_decoded = Output(Bool()) // number of instructions decode this cycle encoded + val dec_pmu_decode_stall = Output(Bool()) // decode is stalled + val dec_pmu_presync_stall = Output(Bool()) // decode has presync stall + val dec_pmu_postsync_stall = Output(Bool()) // decode has postsync stall + val dec_nonblock_load_wen = Output(Bool()) // write enable for nonblock load + val dec_nonblock_load_waddr = Output(UInt(5.W)) // logical write addr for nonblock load + val dec_pause_state = Output(Bool()) // core in pause state + val dec_pause_state_cg = Output(Bool()) // pause state for clock-gating + val dec_div_active = Output(Bool()) // non-block divide is active + val scan_mode = Input(Bool()) }) - ///////////////////////////////////////////////////////////////////////////////////////// -// //packets zero initialization - io.decode_exu.mul_p := 0.U.asTypeOf(io.decode_exu.mul_p) + //packets zero initialization + io.decode_exu.mul_p := 0.U.asTypeOf(io.decode_exu.mul_p) // Vals defined - val leak1_i1_stall_in = WireInit(UInt(1.W), 0.U) - val leak1_i0_stall_in = WireInit(UInt(1.W), 0.U) - val i0r = Wire(new reg_pkt_t) - val d_t = Wire(new trap_pkt_t) - val x_t = Wire(new trap_pkt_t) - val x_t_in = Wire(new trap_pkt_t) - val r_t = Wire(new trap_pkt_t) - val r_t_in = Wire(new trap_pkt_t) - val d_d = Wire(Valid(new dest_pkt_t)) - val x_d = Wire(Valid(new dest_pkt_t)) - val r_d = Wire(Valid(new dest_pkt_t)) - val r_d_in = Wire(Valid(new dest_pkt_t)) - val wbd = Wire(Valid(new dest_pkt_t)) - val i0_d_c = Wire(new class_pkt_t) - val i0_rs1_class_d = Wire(new class_pkt_t) - val i0_rs2_class_d = Wire(new class_pkt_t) - val i0_rs1_depth_d = WireInit(UInt(2.W),0.U) - val i0_rs2_depth_d = WireInit(UInt(2.W),0.U) - val cam_wen=WireInit(UInt(LSU_NUM_NBLOAD.W), 0.U) - val cam = Wire(Vec(LSU_NUM_NBLOAD,Valid(new load_cam_pkt_t))) - val cam_write=WireInit(UInt(1.W), 0.U) - val cam_inv_reset_val=Wire(Vec(LSU_NUM_NBLOAD,UInt(1.W))) - val cam_data_reset_val=Wire(Vec(LSU_NUM_NBLOAD,UInt(1.W))) - val nonblock_load_write=Wire(Vec(LSU_NUM_NBLOAD,UInt(1.W))) - val cam_raw =Wire(Vec(LSU_NUM_NBLOAD,Valid(new load_cam_pkt_t))) - val cam_in =Wire(Vec(LSU_NUM_NBLOAD,Valid(new load_cam_pkt_t))) - //val i0_temp = Wire(new inst_pkt_t) - val i0_dp= Wire(new dec_pkt_t) - val i0_dp_raw= Wire(new dec_pkt_t) - val i0_rs1bypass = WireInit(UInt(3.W), 0.U) - val i0_rs2bypass = WireInit(UInt(3.W), 0.U) - val illegal_lockout = WireInit(UInt(1.W), 0.U) - val postsync_stall = WireInit(UInt(1.W), 0.U) - val ps_stall_in = WireInit(UInt(1.W), 0.U) - val i0_pipe_en = WireInit(UInt(4.W), 0.U) - val i0_load_block_d = WireInit(UInt(1.W), 0.U) - val load_ldst_bypass_d = WireInit(UInt(1.W), 0.U) - val store_data_bypass_d = WireInit(UInt(1.W), 0.U) - val store_data_bypass_m = WireInit(UInt(1.W), 0.U) - val tlu_wr_pause_r1 = WireInit(UInt(1.W), 0.U) - val tlu_wr_pause_r2 = WireInit(UInt(1.W), 0.U) - val leak1_i1_stall = WireInit(UInt(1.W), 0.U) - val leak1_i0_stall = WireInit(UInt(1.W), 0.U) - val pause_state = WireInit(Bool(), 0.B) - val flush_final_r = WireInit(UInt(1.W), 0.U) - val illegal_lockout_in = WireInit(UInt(1.W), 0.U) - val lsu_idle = WireInit(Bool(), 0.B) - val pause_state_in = WireInit(Bool(), 0.B) - val leak1_mode = WireInit(UInt(1.W), 0.U) - val i0_pcall = WireInit(UInt(1.W), 0.U) - val i0_pja = WireInit(UInt(1.W), 0.U) - val i0_pret = WireInit(UInt(1.W), 0.U) - val i0_legal_decode_d = WireInit(UInt(1.W), 0.U) - val i0_pcall_raw = WireInit(UInt(1.W), 0.U) - val i0_pja_raw = WireInit(UInt(1.W), 0.U) - val i0_pret_raw = WireInit(UInt(1.W), 0.U) - val i0_br_offset = WireInit(UInt(12.W), 0.U) - val i0_csr_write_only_d = WireInit(UInt(1.W), 0.U) - val i0_jal = WireInit(UInt(1.W), 0.U) - val i0_wen_r = WireInit(UInt(1.W), 0.U) - val i0_x_ctl_en = WireInit(UInt(1.W), 0.U) - val i0_r_ctl_en = WireInit(UInt(1.W), 0.U) - val i0_wb_ctl_en = WireInit(UInt(1.W), 0.U) - val i0_x_data_en = WireInit(UInt(1.W), 0.U) - val i0_r_data_en = WireInit(UInt(1.W), 0.U) - val i0_wb_data_en = WireInit(UInt(1.W), 0.U) - val i0_wb1_data_en = WireInit(UInt(1.W), 0.U) - val i0_nonblock_load_stall = WireInit(UInt(1.W), 0.U) - val csr_ren_qual_d = WireInit(Bool(), 0.B) - val lsu_decode_d = WireInit(UInt(1.W), 0.U) - val mul_decode_d = WireInit(UInt(1.W), 0.U) - val div_decode_d = WireInit(UInt(1.W), 0.U) - val write_csr_data = WireInit(UInt(32.W),0.U) - val i0_result_corr_r = WireInit(UInt(32.W),0.U) - val presync_stall = WireInit(UInt(1.W), 0.U) - val i0_nonblock_div_stall = WireInit(UInt(1.W), 0.U) - val debug_fence = WireInit(Bool(), 0.B) - val i0_immed_d = WireInit(UInt(32.W), 0.U) - val i0_result_x = WireInit(UInt(32.W), 0.U) - val i0_result_r = WireInit(UInt(32.W), 0.U) + val leak1_i1_stall_in = WireInit(UInt(1.W), 0.U) + val leak1_i0_stall_in = WireInit(UInt(1.W), 0.U) + val i0r = Wire(new reg_pkt_t) + val d_t = Wire(new trap_pkt_t) + val x_t = Wire(new trap_pkt_t) + val x_t_in = Wire(new trap_pkt_t) + val r_t = Wire(new trap_pkt_t) + val r_t_in = Wire(new trap_pkt_t) + val d_d = Wire(Valid(new dest_pkt_t)) + val x_d = Wire(Valid(new dest_pkt_t)) + val r_d = Wire(Valid(new dest_pkt_t)) + val r_d_in = Wire(Valid(new dest_pkt_t)) + val wbd = Wire(Valid(new dest_pkt_t)) + val i0_d_c = Wire(new class_pkt_t) + val i0_rs1_class_d = Wire(new class_pkt_t) + val i0_rs2_class_d = Wire(new class_pkt_t) + val i0_rs1_depth_d = WireInit(UInt(2.W),0.U) + val i0_rs2_depth_d = WireInit(UInt(2.W),0.U) + val cam_wen = WireInit(UInt(LSU_NUM_NBLOAD.W), 0.U) + val cam = Wire(Vec(LSU_NUM_NBLOAD,Valid(new load_cam_pkt_t))) + val cam_write = WireInit(UInt(1.W), 0.U) + val cam_inv_reset_val = Wire(Vec(LSU_NUM_NBLOAD,UInt(1.W))) + val cam_data_reset_val = Wire(Vec(LSU_NUM_NBLOAD,UInt(1.W))) + val nonblock_load_write = Wire(Vec(LSU_NUM_NBLOAD,UInt(1.W))) + val cam_raw = Wire(Vec(LSU_NUM_NBLOAD,Valid(new load_cam_pkt_t))) + val cam_in = Wire(Vec(LSU_NUM_NBLOAD,Valid(new load_cam_pkt_t))) + val i0_dp = Wire(new dec_pkt_t) + val i0_dp_raw = Wire(new dec_pkt_t) + val i0_rs1bypass = WireInit(UInt(3.W), 0.U) + val i0_rs2bypass = WireInit(UInt(3.W), 0.U) + val illegal_lockout = WireInit(UInt(1.W), 0.U) + val postsync_stall = WireInit(UInt(1.W), 0.U) + val ps_stall_in = WireInit(UInt(1.W), 0.U) + val i0_pipe_en = WireInit(UInt(4.W), 0.U) + val i0_load_block_d = WireInit(UInt(1.W), 0.U) + val load_ldst_bypass_d = WireInit(UInt(1.W), 0.U) + val store_data_bypass_d = WireInit(UInt(1.W), 0.U) + val store_data_bypass_m = WireInit(UInt(1.W), 0.U) + val tlu_wr_pause_r1 = WireInit(UInt(1.W), 0.U) + val tlu_wr_pause_r2 = WireInit(UInt(1.W), 0.U) + val leak1_i1_stall = WireInit(UInt(1.W), 0.U) + val leak1_i0_stall = WireInit(UInt(1.W), 0.U) + val pause_state = WireInit(Bool(), 0.B) + val flush_final_r = WireInit(UInt(1.W), 0.U) + val illegal_lockout_in = WireInit(UInt(1.W), 0.U) + val lsu_idle = WireInit(Bool(), 0.B) + val pause_state_in = WireInit(Bool(), 0.B) + val leak1_mode = WireInit(UInt(1.W), 0.U) + val i0_pcall = WireInit(UInt(1.W), 0.U) + val i0_pja = WireInit(UInt(1.W), 0.U) + val i0_pret = WireInit(UInt(1.W), 0.U) + val i0_legal_decode_d = WireInit(UInt(1.W), 0.U) + val i0_pcall_raw = WireInit(UInt(1.W), 0.U) + val i0_pja_raw = WireInit(UInt(1.W), 0.U) + val i0_pret_raw = WireInit(UInt(1.W), 0.U) + val i0_br_offset = WireInit(UInt(12.W), 0.U) + val i0_csr_write_only_d = WireInit(UInt(1.W), 0.U) + val i0_jal = WireInit(UInt(1.W), 0.U) + val i0_wen_r = WireInit(UInt(1.W), 0.U) + val i0_x_ctl_en = WireInit(UInt(1.W), 0.U) + val i0_r_ctl_en = WireInit(UInt(1.W), 0.U) + val i0_wb_ctl_en = WireInit(UInt(1.W), 0.U) + val i0_x_data_en = WireInit(UInt(1.W), 0.U) + val i0_r_data_en = WireInit(UInt(1.W), 0.U) + val i0_wb_data_en = WireInit(UInt(1.W), 0.U) + val i0_wb1_data_en = WireInit(UInt(1.W), 0.U) + val i0_nonblock_load_stall = WireInit(UInt(1.W), 0.U) + val csr_ren_qual_d = WireInit(Bool(), 0.B) + val lsu_decode_d = WireInit(UInt(1.W), 0.U) + val mul_decode_d = WireInit(UInt(1.W), 0.U) + val div_decode_d = WireInit(UInt(1.W), 0.U) + val write_csr_data = WireInit(UInt(32.W),0.U) + val i0_result_corr_r = WireInit(UInt(32.W),0.U) + val presync_stall = WireInit(UInt(1.W), 0.U) + val i0_nonblock_div_stall = WireInit(UInt(1.W), 0.U) + val debug_fence = WireInit(Bool(), 0.B) + val i0_immed_d = WireInit(UInt(32.W), 0.U) + val i0_result_x = WireInit(UInt(32.W), 0.U) + val i0_result_r = WireInit(UInt(32.W), 0.U) ////////////////////////////////////////////////////////////////////// // Start - Data gating {{ - val data_gate_en = (io.dec_tlu_wr_pause_r ^ tlu_wr_pause_r1 ) | // replaces free_clk - (tlu_wr_pause_r1 ^ tlu_wr_pause_r2 ) | // replaces free_clk - (io.dec_tlu_flush_extint ^ io.decode_exu.dec_extint_stall) | - (leak1_i1_stall_in ^ leak1_i1_stall ) | // replaces free_clk - (leak1_i0_stall_in ^ leak1_i0_stall ) | // replaces free_clk - (pause_state_in ^ pause_state ) | // replaces free_clk - (ps_stall_in ^ postsync_stall ) | // replaces free_clk - (io.exu_flush_final ^ flush_final_r ) | // replaces free_clk - (illegal_lockout_in ^ illegal_lockout ) // replaces active_clk + val data_gate_en = (io.dec_tlu_wr_pause_r ^ tlu_wr_pause_r1 ) | // replaces free_clk + (tlu_wr_pause_r1 ^ tlu_wr_pause_r2 ) | // replaces free_clk + (io.dec_tlu_flush_extint ^ io.decode_exu.dec_extint_stall) | + (leak1_i1_stall_in ^ leak1_i1_stall ) | // replaces free_clk + (leak1_i0_stall_in ^ leak1_i0_stall ) | // replaces free_clk + (pause_state_in ^ pause_state ) | // replaces free_clk + (ps_stall_in ^ postsync_stall ) | // replaces free_clk + (io.exu_flush_final ^ flush_final_r ) | // replaces free_clk + (illegal_lockout_in ^ illegal_lockout ) // replaces active_clk - val data_gate_clk= rvclkhdr(clock,data_gate_en.asBool(),io.scan_mode) + val data_gate_clk = rvclkhdr(clock,data_gate_en.asBool(),io.scan_mode) + // End - Data gating - // End - Data gating }} - - val i0_brp_valid = io.dec_i0_brp.valid & !leak1_mode - io.decode_exu.dec_i0_predict_p_d.bits.misp :=0.U - io.decode_exu.dec_i0_predict_p_d.bits.ataken :=0.U - io.decode_exu.dec_i0_predict_p_d.bits.boffset :=0.U - io.decode_exu.dec_i0_predict_p_d.bits.pcall := i0_pcall // don't mark as pcall if branch error - io.decode_exu.dec_i0_predict_p_d.bits.pja := i0_pja - io.decode_exu.dec_i0_predict_p_d.bits.pret := i0_pret - io.decode_exu.dec_i0_predict_p_d.bits.prett := io.dec_i0_brp.bits.prett - io.decode_exu.dec_i0_predict_p_d.bits.pc4 := io.dec_i0_pc4_d - io.decode_exu.dec_i0_predict_p_d.bits.hist := io.dec_i0_brp.bits.hist - io.decode_exu.dec_i0_predict_p_d.valid := i0_brp_valid & i0_legal_decode_d - val i0_notbr_error = i0_brp_valid & !(i0_dp_raw.condbr | i0_pcall_raw | i0_pja_raw | i0_pret_raw) + val i0_brp_valid = io.dec_i0_brp.valid & !leak1_mode + io.decode_exu.dec_i0_predict_p_d.bits.misp := 0.U + io.decode_exu.dec_i0_predict_p_d.bits.ataken := 0.U + io.decode_exu.dec_i0_predict_p_d.bits.boffset := 0.U + io.decode_exu.dec_i0_predict_p_d.bits.pcall := i0_pcall // don't mark as pcall if branch error + io.decode_exu.dec_i0_predict_p_d.bits.pja := i0_pja + io.decode_exu.dec_i0_predict_p_d.bits.pret := i0_pret + io.decode_exu.dec_i0_predict_p_d.bits.prett := io.dec_i0_brp.bits.prett + io.decode_exu.dec_i0_predict_p_d.bits.pc4 := io.dec_i0_pc4_d + io.decode_exu.dec_i0_predict_p_d.bits.hist := io.dec_i0_brp.bits.hist + io.decode_exu.dec_i0_predict_p_d.valid := i0_brp_valid & i0_legal_decode_d + val i0_notbr_error = i0_brp_valid & !(i0_dp_raw.condbr | i0_pcall_raw | i0_pja_raw | i0_pret_raw) // no toffset error for a pret - val i0_br_toffset_error = i0_brp_valid & io.dec_i0_brp.bits.hist(1) & (io.dec_i0_brp.bits.toffset =/= i0_br_offset) & !i0_pret_raw - val i0_ret_error = i0_brp_valid & io.dec_i0_brp.bits.ret & !i0_pret_raw; - val i0_br_error = io.dec_i0_brp.bits.br_error | i0_notbr_error | i0_br_toffset_error | i0_ret_error - io.decode_exu.dec_i0_predict_p_d.bits.br_error := i0_br_error & i0_legal_decode_d & !leak1_mode - io.decode_exu.dec_i0_predict_p_d.bits.br_start_error := io.dec_i0_brp.bits.br_start_error & i0_legal_decode_d & !leak1_mode - io.decode_exu.i0_predict_index_d := io.dec_i0_bp_index - io.decode_exu.i0_predict_btag_d := io.dec_i0_bp_btag - val i0_br_error_all = (i0_br_error | io.dec_i0_brp.bits.br_start_error) & !leak1_mode - io.decode_exu.dec_i0_predict_p_d.bits.toffset := i0_br_offset - io.decode_exu.i0_predict_fghr_d := io.dec_i0_bp_fghr - io.decode_exu.dec_i0_predict_p_d.bits.way := io.dec_i0_brp.bits.way + val i0_br_toffset_error = i0_brp_valid & io.dec_i0_brp.bits.hist(1) & (io.dec_i0_brp.bits.toffset =/= i0_br_offset) & !i0_pret_raw + val i0_ret_error = i0_brp_valid & io.dec_i0_brp.bits.ret & !i0_pret_raw; + val i0_br_error = io.dec_i0_brp.bits.br_error | i0_notbr_error | i0_br_toffset_error | i0_ret_error + io.decode_exu.dec_i0_predict_p_d.bits.br_error := i0_br_error & i0_legal_decode_d & !leak1_mode + io.decode_exu.dec_i0_predict_p_d.bits.br_start_error := io.dec_i0_brp.bits.br_start_error & i0_legal_decode_d & !leak1_mode + io.decode_exu.i0_predict_index_d := io.dec_i0_bp_index + io.decode_exu.i0_predict_btag_d := io.dec_i0_bp_btag + val i0_br_error_all = (i0_br_error | io.dec_i0_brp.bits.br_start_error) & !leak1_mode + io.decode_exu.dec_i0_predict_p_d.bits.toffset := i0_br_offset + io.decode_exu.i0_predict_fghr_d := io.dec_i0_bp_fghr + io.decode_exu.dec_i0_predict_p_d.bits.way := io.dec_i0_brp.bits.way // end // on br error turn anything into a nop // on i0 instruction fetch access fault turn anything into a nop // nop => alu rs1 imm12 rd lor - val i0_icaf_d = io.dec_i0_icaf_d | io.dec_i0_dbecc_d - - val i0_instr_error = i0_icaf_d; - i0_dp := i0_dp_raw + val i0_icaf_d = io.dec_i0_icaf_d | io.dec_i0_dbecc_d + val i0_instr_error = i0_icaf_d; + i0_dp := i0_dp_raw when((i0_br_error_all | i0_instr_error).asBool){ - i0_dp := 0.U.asTypeOf(i0_dp) - i0_dp.alu := 1.B - i0_dp.rs1 := 1.B - i0_dp.rs2 := 1.B - i0_dp.lor := 1.B - i0_dp.legal := 1.B - i0_dp.postsync := 1.B + i0_dp := 0.U.asTypeOf(i0_dp) + i0_dp.alu := 1.B + i0_dp.rs1 := 1.B + i0_dp.rs2 := 1.B + i0_dp.lor := 1.B + i0_dp.legal := 1.B + i0_dp.postsync := 1.B } - val i0 = io.dec_i0_instr_d + val i0 = io.dec_i0_instr_d io.decode_exu.dec_i0_select_pc_d := i0_dp.pc // branches that can be predicted - val i0_predict_br = i0_dp.condbr | i0_pcall | i0_pja | i0_pret; - - val i0_predict_nt = !(io.dec_i0_brp.bits.hist(1) & i0_brp_valid) & i0_predict_br - val i0_predict_t = (io.dec_i0_brp.bits.hist(1) & i0_brp_valid) & i0_predict_br - val i0_ap_pc2 = !io.dec_i0_pc4_d - val i0_ap_pc4 = io.dec_i0_pc4_d + val i0_predict_br = i0_dp.condbr | i0_pcall | i0_pja | i0_pret; + val i0_predict_nt = !(io.dec_i0_brp.bits.hist(1) & i0_brp_valid) & i0_predict_br + val i0_predict_t = (io.dec_i0_brp.bits.hist(1) & i0_brp_valid) & i0_predict_br + val i0_ap_pc2 = !io.dec_i0_pc4_d + val i0_ap_pc4 = io.dec_i0_pc4_d io.decode_exu.i0_ap.predict_nt := i0_predict_nt io.decode_exu.i0_ap.predict_t := i0_predict_t - io.decode_exu.i0_ap.add := i0_dp.add - io.decode_exu.i0_ap.sub := i0_dp.sub - io.decode_exu.i0_ap.land := i0_dp.land - io.decode_exu.i0_ap.lor := i0_dp.lor - io.decode_exu.i0_ap.lxor := i0_dp.lxor - io.decode_exu.i0_ap.sll := i0_dp.sll - io.decode_exu.i0_ap.srl := i0_dp.srl - io.decode_exu.i0_ap.sra := i0_dp.sra - io.decode_exu.i0_ap.slt := i0_dp.slt - io.decode_exu.i0_ap.unsign := i0_dp.unsign - io.decode_exu.i0_ap.beq := i0_dp.beq - io.decode_exu.i0_ap.bne := i0_dp.bne - io.decode_exu.i0_ap.blt := i0_dp.blt - io.decode_exu.i0_ap.bge := i0_dp.bge - io.decode_exu.i0_ap.csr_write := i0_csr_write_only_d - io.decode_exu.i0_ap.csr_imm := i0_dp.csr_imm - io.decode_exu.i0_ap.jal := i0_jal + io.decode_exu.i0_ap.add := i0_dp.add + io.decode_exu.i0_ap.sub := i0_dp.sub + io.decode_exu.i0_ap.land := i0_dp.land + io.decode_exu.i0_ap.lor := i0_dp.lor + io.decode_exu.i0_ap.lxor := i0_dp.lxor + io.decode_exu.i0_ap.sll := i0_dp.sll + io.decode_exu.i0_ap.srl := i0_dp.srl + io.decode_exu.i0_ap.sra := i0_dp.sra + io.decode_exu.i0_ap.slt := i0_dp.slt + io.decode_exu.i0_ap.unsign := i0_dp.unsign + io.decode_exu.i0_ap.beq := i0_dp.beq + io.decode_exu.i0_ap.bne := i0_dp.bne + io.decode_exu.i0_ap.blt := i0_dp.blt + io.decode_exu.i0_ap.bge := i0_dp.bge + io.decode_exu.i0_ap.csr_write := i0_csr_write_only_d + io.decode_exu.i0_ap.csr_imm := i0_dp.csr_imm + io.decode_exu.i0_ap.jal := i0_jal // non block load cam logic // val found=Wire(UInt(1.W)) @@ -617,8 +611,8 @@ class dec_decode_ctl extends Module with lib with RequireAsyncReset{ i0_d_c.load := i0_dp.load & i0_legal_decode_d i0_d_c.alu := i0_dp.alu & i0_legal_decode_d - val i0_x_c = withClock(io.active_clk){RegEnable(i0_d_c, i0_x_ctl_en.asBool)} - val i0_r_c = withClock(io.active_clk){RegEnable(i0_x_c, i0_r_ctl_en.asBool)} + val i0_x_c = withClock(io.active_clk){RegEnable(i0_d_c,0.U.asTypeOf(i0_d_c), i0_x_ctl_en.asBool)} + val i0_r_c = withClock(io.active_clk){RegEnable(i0_x_c,0.U.asTypeOf(i0_x_c), i0_r_ctl_en.asBool)} i0_pipe_en := Cat(io.dec_aln.dec_i0_decode_d,withClock(io.active_clk){RegNext(i0_pipe_en(3,1), init=0.U)}) i0_x_ctl_en := (i0_pipe_en(3,2).orR | io.clk_override) @@ -667,7 +661,7 @@ class dec_decode_ctl extends Module with lib with RequireAsyncReset{ io.dec_i0_wdata_r := i0_result_corr_r val i0_result_r_raw = rvdffe(i0_result_x,i0_r_data_en.asBool,clock,io.scan_mode) - if ( LOAD_TO_USE_PLUS1 == 1 ) { + if ( LOAD_TO_USE_PLUS1) { i0_result_x := io.decode_exu.exu_i0_result_x i0_result_r := Mux((r_d.bits.i0v & r_d.bits.i0load).asBool,io.lsu_result_m, i0_result_r_raw) } @@ -747,7 +741,7 @@ class dec_decode_ctl extends Module with lib with RequireAsyncReset{ i0_rs2_depth_d := Mux(i0_rs2_depend_i0_x.asBool,1.U(2.W),Mux(i0_rs2_depend_i0_r.asBool, 2.U(2.W), 0.U)) // stores will bypass load data in the lsu pipe - if (LOAD_TO_USE_PLUS1 == 1) { + if (LOAD_TO_USE_PLUS1) { i0_load_block_d := (i0_rs1_class_d.load & i0_rs1_depth_d) | (i0_rs2_class_d.load & i0_rs2_depth_d(0) & !i0_dp.store) load_ldst_bypass_d := (i0_dp.load | i0_dp.store) & i0_rs1_depth_d(1) & i0_rs1_class_d.load store_data_bypass_d := i0_dp.store & (i0_rs2_depth_d(1) & i0_rs2_class_d.load) diff --git a/src/main/scala/dec/dec_tlu_ctl.scala b/src/main/scala/dec/dec_tlu_ctl.scala index ec66a128..445472f6 100644 --- a/src/main/scala/dec/dec_tlu_ctl.scala +++ b/src/main/scala/dec/dec_tlu_ctl.scala @@ -48,18 +48,18 @@ class dec_tlu_ctl_IO extends Bundle with lib { val active_clk = Input(Clock()) val free_clk = Input(Clock()) val scan_mode = Input(Bool()) - val rst_vec = Input(UInt(31.W)) // reset vector, from core pins - val nmi_int = Input(UInt(1.W)) // nmi pin - val nmi_vec = Input(UInt(31.W)) // nmi vector - val i_cpu_halt_req = Input(UInt(1.W)) // Asynchronous Halt request to CPU - val i_cpu_run_req = Input(UInt(1.W)) // Asynchronous Restart request to CPU + val rst_vec = Input(UInt(31.W)) // reset vector, from core pins + val nmi_int = Input(UInt(1.W)) // nmi pin + val nmi_vec = Input(UInt(31.W)) // nmi vector + val i_cpu_halt_req = Input(UInt(1.W)) // Asynchronous Halt request to CPU + val i_cpu_run_req = Input(UInt(1.W)) // Asynchronous Restart request to CPU val lsu_fastint_stall_any = Input(UInt(1.W)) // needed by lsu for 2nd pass of dma with ecc correction, stall next cycle - val lsu_idle_any = Input(UInt(1.W)) // lsu is idle + val lsu_idle_any = Input(UInt(1.W)) // lsu is idle // perf counter inputs - val dec_pmu_instr_decoded = Input(UInt(1.W))// decoded instructions - val dec_pmu_decode_stall = Input(UInt(1.W))// decode stall - val dec_pmu_presync_stall = Input(UInt(1.W))// decode stall due to presync'd inst - val dec_pmu_postsync_stall = Input(UInt(1.W))// decode stall due to postsync'd inst + val dec_pmu_instr_decoded = Input(UInt(1.W))// decoded instructions + val dec_pmu_decode_stall = Input(UInt(1.W))// decode stall + val dec_pmu_presync_stall = Input(UInt(1.W))// decode stall due to presync'd inst + val dec_pmu_postsync_stall = Input(UInt(1.W))// decode stall due to postsync'd inst val lsu_store_stall_any = Input(UInt(1.W))// SB or WB is full, stall decode val lsu_fir_addr = Input(UInt(31.W)) // Fast int address val lsu_fir_error = Input(UInt(2.W)) // Fast int lookup error @@ -141,6 +141,7 @@ class dec_tlu_ctl_IO extends Bundle with lib { val dec_tlu_pic_clk_override = Output(UInt(1.W)) // override PIC clock domain gating val dec_tlu_dccm_clk_override = Output(UInt(1.W)) // override DCCM clock domain gating val dec_tlu_icm_clk_override = Output(UInt(1.W)) // override ICCM clock domain gating + val dec_tlu_flush_lower_wb = Output(Bool()) val ifu_pmu_instr_aligned = Input(UInt(1.W)) val tlu_bp = Flipped(new dec_bp) val tlu_ifc = Flipped(new dec_ifc) @@ -152,106 +153,106 @@ class dec_tlu_ctl_IO extends Bundle with lib { class dec_tlu_ctl extends Module with lib with RequireAsyncReset with CSR_VAL{ val io = IO(new dec_tlu_ctl_IO) - val mtdata1_t = Wire(Vec(4,UInt(10.W))) - val pause_expired_wb =WireInit(UInt(1.W), 0.U) - val take_nmi_r_d1 =WireInit(UInt(1.W),0.U) - val exc_or_int_valid_r_d1 =WireInit(UInt(1.W),0.U) - val interrupt_valid_r_d1 =WireInit(UInt(1.W),0.U) - val tlu_flush_lower_r =WireInit(UInt(1.W),0.U) - val synchronous_flush_r =WireInit(UInt(1.W),0.U) - val interrupt_valid_r =WireInit(UInt(1.W),0.U) - val take_nmi =WireInit(UInt(1.W),0.U) - val take_reset =WireInit(UInt(1.W),0.U) - val take_int_timer1_int =WireInit(UInt(1.W),0.U) - val take_int_timer0_int =WireInit(UInt(1.W),0.U) - val take_timer_int =WireInit(UInt(1.W),0.U) - val take_soft_int =WireInit(UInt(1.W),0.U) - val take_ce_int =WireInit(UInt(1.W),0.U) - val take_ext_int_start =WireInit(UInt(1.W),0.U) - val ext_int_freeze =WireInit(UInt(1.W),0.U) - val ext_int_freeze_d1 =WireInit(UInt(1.W),0.U) - val take_ext_int_start_d1 =WireInit(UInt(1.W),0.U) - val take_ext_int_start_d2 =WireInit(UInt(1.W),0.U) - val take_ext_int_start_d3 =WireInit(UInt(1.W),0.U) - val fast_int_meicpct =WireInit(UInt(1.W),0.U) - val ignore_ext_int_due_to_lsu_stall =WireInit(UInt(1.W),0.U) - val take_ext_int =WireInit(UInt(1.W),0.U) - val internal_dbg_halt_timers =WireInit(UInt(1.W),0.U) - val int_timer1_int_hold =WireInit(UInt(1.W),0.U) - val int_timer0_int_hold =WireInit(UInt(1.W),0.U) - val mhwakeup_ready =WireInit(UInt(1.W),0.U) - val ext_int_ready =WireInit(UInt(1.W),0.U) - val ce_int_ready =WireInit(UInt(1.W),0.U) - val soft_int_ready =WireInit(UInt(1.W),0.U) - val timer_int_ready =WireInit(UInt(1.W),0.U) - val ebreak_to_debug_mode_r_d1 =WireInit(UInt(1.W),0.U) - val ebreak_to_debug_mode_r =WireInit(UInt(1.W),0.U) - val inst_acc_r =WireInit(UInt(1.W),0.U) - val inst_acc_r_raw =WireInit(UInt(1.W),0.U) - val iccm_sbecc_r =WireInit(UInt(1.W),0.U) - val ic_perr_r =WireInit(UInt(1.W),0.U) - val fence_i_r =WireInit(UInt(1.W),0.U) - val ebreak_r =WireInit(UInt(1.W),0.U) - val ecall_r =WireInit(UInt(1.W),0.U) - val illegal_r =WireInit(UInt(1.W),0.U) - val mret_r =WireInit(UInt(1.W),0.U) - val iccm_repair_state_ns =WireInit(UInt(1.W),0.U) - val rfpc_i0_r =WireInit(UInt(1.W),0.U) - val tlu_i0_kill_writeb_r =WireInit(UInt(1.W),0.U) - val lsu_exc_valid_r_d1 =WireInit(UInt(1.W),0.U) - val lsu_i0_exc_r_raw =WireInit(UInt(1.W),0.U) - val mdseac_locked_f =WireInit(UInt(1.W),0.U) - val i_cpu_run_req_d1 =WireInit(UInt(1.W),0.U) - val cpu_run_ack =WireInit(UInt(1.W),0.U) - val cpu_halt_status =WireInit(UInt(1.W),0.U) - val cpu_halt_ack =WireInit(UInt(1.W),0.U) - val pmu_fw_tlu_halted =WireInit(UInt(1.W),0.U) - val internal_pmu_fw_halt_mode =WireInit(UInt(1.W),0.U) - val pmu_fw_halt_req_ns =WireInit(UInt(1.W),0.U) - val pmu_fw_halt_req_f =WireInit(UInt(1.W),0.U) - val pmu_fw_tlu_halted_f =WireInit(UInt(1.W),0.U) - val int_timer0_int_hold_f =WireInit(UInt(1.W),0.U) - val int_timer1_int_hold_f =WireInit(UInt(1.W),0.U) - val trigger_hit_dmode_r =WireInit(UInt(1.W),0.U) - val i0_trigger_hit_r =WireInit(UInt(1.W),0.U) - val pause_expired_r =WireInit(UInt(1.W),0.U) - val dec_tlu_pmu_fw_halted =WireInit(UInt(1.W),0.U) - val dec_tlu_flush_noredir_r_d1 =WireInit(UInt(1.W),0.U) - val halt_taken_f =WireInit(UInt(1.W),0.U) - val lsu_idle_any_f =WireInit(UInt(1.W),0.U) - val ifu_miss_state_idle_f =WireInit(UInt(1.W),0.U) - val dbg_tlu_halted_f =WireInit(UInt(1.W),0.U) - val debug_halt_req_f =WireInit(UInt(1.W),0.U) - val debug_resume_req_f =WireInit(UInt(1.W),0.U) - val trigger_hit_dmode_r_d1 =WireInit(UInt(1.W),0.U) - val dcsr_single_step_done_f =WireInit(UInt(1.W),0.U) - val debug_halt_req_d1 =WireInit(UInt(1.W),0.U) - val request_debug_mode_r_d1 =WireInit(UInt(1.W),0.U) - val request_debug_mode_done_f =WireInit(UInt(1.W),0.U) - val dcsr_single_step_running_f =WireInit(UInt(1.W),0.U) - val dec_tlu_flush_pause_r_d1 =WireInit(UInt(1.W),0.U) - val dbg_halt_req_held =WireInit(UInt(1.W),0.U) - val debug_halt_req_ns =WireInit(UInt(1.W),0.U) - val internal_dbg_halt_mode =WireInit(UInt(1.W),0.U) - val core_empty =WireInit(UInt(1.W),0.U) - val dbg_halt_req_final =WireInit(UInt(1.W),0.U) - val debug_brkpt_status_ns =WireInit(UInt(1.W),0.U) - val mpc_debug_halt_ack_ns =WireInit(UInt(1.W),0.U) - val mpc_debug_run_ack_ns =WireInit(UInt(1.W),0.U) - val mpc_halt_state_ns =WireInit(UInt(1.W),0.U) - val mpc_run_state_ns =WireInit(UInt(1.W),0.U) - val dbg_halt_state_ns =WireInit(UInt(1.W),0.U) - val dbg_run_state_ns =WireInit(UInt(1.W),0.U) - val dbg_halt_state_f =WireInit(UInt(1.W),0.U) - val mpc_halt_state_f =WireInit(UInt(1.W),0.U) - val nmi_int_detected =WireInit(UInt(1.W),0.U) - val nmi_lsu_load_type =WireInit(UInt(1.W),0.U) - val nmi_lsu_store_type =WireInit(UInt(1.W),0.U) - val reset_delayed =WireInit(UInt(1.W),0.U) - val internal_dbg_halt_mode_f =WireInit(UInt(1.W),0.U) - val e5_valid =WireInit(UInt(1.W),0.U) - val ic_perr_r_d1 =WireInit(UInt(1.W),0.U) - val iccm_sbecc_r_d1 =WireInit(UInt(1.W),0.U) + val mtdata1_t = Wire(Vec(4,UInt(10.W))) + val pause_expired_wb = WireInit(UInt(1.W), 0.U) + val take_nmi_r_d1 = WireInit(UInt(1.W),0.U) + val exc_or_int_valid_r_d1 = WireInit(UInt(1.W),0.U) + val interrupt_valid_r_d1 = WireInit(UInt(1.W),0.U) + val tlu_flush_lower_r = WireInit(UInt(1.W),0.U) + val synchronous_flush_r = WireInit(UInt(1.W),0.U) + val interrupt_valid_r = WireInit(UInt(1.W),0.U) + val take_nmi = WireInit(UInt(1.W),0.U) + val take_reset = WireInit(UInt(1.W),0.U) + val take_int_timer1_int = WireInit(UInt(1.W),0.U) + val take_int_timer0_int = WireInit(UInt(1.W),0.U) + val take_timer_int = WireInit(UInt(1.W),0.U) + val take_soft_int = WireInit(UInt(1.W),0.U) + val take_ce_int = WireInit(UInt(1.W),0.U) + val take_ext_int_start = WireInit(UInt(1.W),0.U) + val ext_int_freeze = WireInit(UInt(1.W),0.U) + val ext_int_freeze_d1 = WireInit(UInt(1.W),0.U) + val take_ext_int_start_d1 = WireInit(UInt(1.W),0.U) + val take_ext_int_start_d2 = WireInit(UInt(1.W),0.U) + val take_ext_int_start_d3 = WireInit(UInt(1.W),0.U) + val fast_int_meicpct = WireInit(UInt(1.W),0.U) + val ignore_ext_int_due_to_lsu_stall = WireInit(UInt(1.W),0.U) + val take_ext_int = WireInit(UInt(1.W),0.U) + val internal_dbg_halt_timers = WireInit(UInt(1.W),0.U) + val int_timer1_int_hold = WireInit(UInt(1.W),0.U) + val int_timer0_int_hold = WireInit(UInt(1.W),0.U) + val mhwakeup_ready = WireInit(UInt(1.W),0.U) + val ext_int_ready = WireInit(UInt(1.W),0.U) + val ce_int_ready = WireInit(UInt(1.W),0.U) + val soft_int_ready = WireInit(UInt(1.W),0.U) + val timer_int_ready = WireInit(UInt(1.W),0.U) + val ebreak_to_debug_mode_r_d1 = WireInit(UInt(1.W),0.U) + val ebreak_to_debug_mode_r = WireInit(UInt(1.W),0.U) + val inst_acc_r = WireInit(UInt(1.W),0.U) + val inst_acc_r_raw = WireInit(UInt(1.W),0.U) + val iccm_sbecc_r = WireInit(UInt(1.W),0.U) + val ic_perr_r = WireInit(UInt(1.W),0.U) + val fence_i_r = WireInit(UInt(1.W),0.U) + val ebreak_r = WireInit(UInt(1.W),0.U) + val ecall_r = WireInit(UInt(1.W),0.U) + val illegal_r = WireInit(UInt(1.W),0.U) + val mret_r = WireInit(UInt(1.W),0.U) + val iccm_repair_state_ns = WireInit(UInt(1.W),0.U) + val rfpc_i0_r = WireInit(UInt(1.W),0.U) + val tlu_i0_kill_writeb_r = WireInit(UInt(1.W),0.U) + val lsu_exc_valid_r_d1 = WireInit(UInt(1.W),0.U) + val lsu_i0_exc_r_raw = WireInit(UInt(1.W),0.U) + val mdseac_locked_f = WireInit(UInt(1.W),0.U) + val i_cpu_run_req_d1 = WireInit(UInt(1.W),0.U) + val cpu_run_ack = WireInit(UInt(1.W),0.U) + val cpu_halt_status = WireInit(UInt(1.W),0.U) + val cpu_halt_ack = WireInit(UInt(1.W),0.U) + val pmu_fw_tlu_halted = WireInit(UInt(1.W),0.U) + val internal_pmu_fw_halt_mode = WireInit(UInt(1.W),0.U) + val pmu_fw_halt_req_ns = WireInit(UInt(1.W),0.U) + val pmu_fw_halt_req_f = WireInit(UInt(1.W),0.U) + val pmu_fw_tlu_halted_f = WireInit(UInt(1.W),0.U) + val int_timer0_int_hold_f = WireInit(UInt(1.W),0.U) + val int_timer1_int_hold_f = WireInit(UInt(1.W),0.U) + val trigger_hit_dmode_r = WireInit(UInt(1.W),0.U) + val i0_trigger_hit_r = WireInit(UInt(1.W),0.U) + val pause_expired_r = WireInit(UInt(1.W),0.U) + val dec_tlu_pmu_fw_halted = WireInit(UInt(1.W),0.U) + val dec_tlu_flush_noredir_r_d1= WireInit(UInt(1.W),0.U) + val halt_taken_f = WireInit(UInt(1.W),0.U) + val lsu_idle_any_f = WireInit(UInt(1.W),0.U) + val ifu_miss_state_idle_f = WireInit(UInt(1.W),0.U) + val dbg_tlu_halted_f = WireInit(UInt(1.W),0.U) + val debug_halt_req_f = WireInit(UInt(1.W),0.U) + val debug_resume_req_f = WireInit(UInt(1.W),0.U) + val trigger_hit_dmode_r_d1 = WireInit(UInt(1.W),0.U) + val dcsr_single_step_done_f = WireInit(UInt(1.W),0.U) + val debug_halt_req_d1 = WireInit(UInt(1.W),0.U) + val request_debug_mode_r_d1 = WireInit(UInt(1.W),0.U) + val request_debug_mode_done_f = WireInit(UInt(1.W),0.U) + val dcsr_single_step_running_f = WireInit(UInt(1.W),0.U) + val dec_tlu_flush_pause_r_d1 = WireInit(UInt(1.W),0.U) + val dbg_halt_req_held = WireInit(UInt(1.W),0.U) + val debug_halt_req_ns = WireInit(UInt(1.W),0.U) + val internal_dbg_halt_mode = WireInit(UInt(1.W),0.U) + val core_empty = WireInit(UInt(1.W),0.U) + val dbg_halt_req_final = WireInit(UInt(1.W),0.U) + val debug_brkpt_status_ns = WireInit(UInt(1.W),0.U) + val mpc_debug_halt_ack_ns = WireInit(UInt(1.W),0.U) + val mpc_debug_run_ack_ns = WireInit(UInt(1.W),0.U) + val mpc_halt_state_ns = WireInit(UInt(1.W),0.U) + val mpc_run_state_ns = WireInit(UInt(1.W),0.U) + val dbg_halt_state_ns = WireInit(UInt(1.W),0.U) + val dbg_run_state_ns = WireInit(UInt(1.W),0.U) + val dbg_halt_state_f = WireInit(UInt(1.W),0.U) + val mpc_halt_state_f = WireInit(UInt(1.W),0.U) + val nmi_int_detected = WireInit(UInt(1.W),0.U) + val nmi_lsu_load_type = WireInit(UInt(1.W),0.U) + val nmi_lsu_store_type = WireInit(UInt(1.W),0.U) + val reset_delayed = WireInit(UInt(1.W),0.U) + val internal_dbg_halt_mode_f = WireInit(UInt(1.W),0.U) + val e5_valid = WireInit(UInt(1.W),0.U) + val ic_perr_r_d1 = WireInit(UInt(1.W),0.U) + val iccm_sbecc_r_d1 = WireInit(UInt(1.W),0.U) val npc_r = WireInit(UInt(31.W),0.U) val npc_r_d1 = WireInit(UInt(31.W),0.U) @@ -271,75 +272,73 @@ class dec_tlu_ctl extends Module with lib with RequireAsyncReset with CSR_VAL{ val dec_tlu_mpc_halted_only_ns = WireInit(UInt(1.W),0.U) // tell dbg we are only MPC halted dec_tlu_mpc_halted_only_ns := ~dbg_halt_state_f & mpc_halt_state_f - val int_timers=Module(new dec_timer_ctl) - int_timers.io.free_clk :=io.free_clk - int_timers.io.scan_mode :=io.scan_mode - int_timers.io.dec_csr_wen_r_mod :=dec_csr_wen_r_mod - int_timers.io.dec_csr_rdaddr_d :=io.dec_csr_rdaddr_d - int_timers.io.dec_csr_wraddr_r :=io.dec_csr_wraddr_r - int_timers.io.dec_csr_wrdata_r :=io.dec_csr_wrdata_r - int_timers.io.csr_mitctl0 :=csr_pkt.csr_mitctl0 - int_timers.io.csr_mitctl1 :=csr_pkt.csr_mitctl1 - int_timers.io.csr_mitb0 :=csr_pkt.csr_mitb0 - int_timers.io.csr_mitb1 :=csr_pkt.csr_mitb1 - int_timers.io.csr_mitcnt0 :=csr_pkt.csr_mitcnt0 - int_timers.io.csr_mitcnt1 :=csr_pkt.csr_mitcnt1 - int_timers.io.dec_pause_state :=io.dec_pause_state - int_timers.io.dec_tlu_pmu_fw_halted :=dec_tlu_pmu_fw_halted - int_timers.io.internal_dbg_halt_timers:=internal_dbg_halt_timers + val int_timers = Module(new dec_timer_ctl) + int_timers.io.free_clk := io.free_clk + int_timers.io.scan_mode := io.scan_mode + int_timers.io.dec_csr_wen_r_mod := dec_csr_wen_r_mod + int_timers.io.dec_csr_rdaddr_d := io.dec_csr_rdaddr_d + int_timers.io.dec_csr_wraddr_r := io.dec_csr_wraddr_r + int_timers.io.dec_csr_wrdata_r := io.dec_csr_wrdata_r + int_timers.io.csr_mitctl0 := csr_pkt.csr_mitctl0 + int_timers.io.csr_mitctl1 := csr_pkt.csr_mitctl1 + int_timers.io.csr_mitb0 := csr_pkt.csr_mitb0 + int_timers.io.csr_mitb1 := csr_pkt.csr_mitb1 + int_timers.io.csr_mitcnt0 := csr_pkt.csr_mitcnt0 + int_timers.io.csr_mitcnt1 := csr_pkt.csr_mitcnt1 + int_timers.io.dec_pause_state := io.dec_pause_state + int_timers.io.dec_tlu_pmu_fw_halted := dec_tlu_pmu_fw_halted + int_timers.io.internal_dbg_halt_timers := internal_dbg_halt_timers - val dec_timer_rddata_d =int_timers.io.dec_timer_rddata_d - val dec_timer_read_d =int_timers.io.dec_timer_read_d - val dec_timer_t0_pulse =int_timers.io.dec_timer_t0_pulse - val dec_timer_t1_pulse =int_timers.io.dec_timer_t1_pulse + val dec_timer_rddata_d = int_timers.io.dec_timer_rddata_d + val dec_timer_read_d = int_timers.io.dec_timer_read_d + val dec_timer_t0_pulse = int_timers.io.dec_timer_t0_pulse + val dec_timer_t1_pulse = int_timers.io.dec_timer_t1_pulse val clk_override = io.dec_tlu_dec_clk_override // Async inputs to the core have to be sync'd to the core clock. - val syncro_ff=rvsyncss(Cat(io.nmi_int, io.timer_int, io.soft_int, io.i_cpu_halt_req, io.i_cpu_run_req, io.mpc_debug_halt_req, io.mpc_debug_run_req),io.free_clk) - val nmi_int_sync =syncro_ff(6) - val timer_int_sync =syncro_ff(5) - val soft_int_sync =syncro_ff(4) - val i_cpu_halt_req_sync =syncro_ff(3) - val i_cpu_run_req_sync =syncro_ff(2) - val mpc_debug_halt_req_sync_raw =syncro_ff(1) - val mpc_debug_run_req_sync =syncro_ff(0) + val syncro_ff = rvsyncss(Cat(io.nmi_int, io.timer_int, io.soft_int, io.i_cpu_halt_req, io.i_cpu_run_req, io.mpc_debug_halt_req, io.mpc_debug_run_req),io.free_clk) + val nmi_int_sync = syncro_ff(6) + val timer_int_sync = syncro_ff(5) + val soft_int_sync = syncro_ff(4) + val i_cpu_halt_req_sync = syncro_ff(3) + val i_cpu_run_req_sync = syncro_ff(2) + val mpc_debug_halt_req_sync_raw = syncro_ff(1) + val mpc_debug_run_req_sync = syncro_ff(0) // for CSRs that have inpipe writes only - val csr_wr_clk=rvclkhdr(clock,(dec_csr_wen_r_mod | clk_override).asBool,io.scan_mode) - val lsu_r_wb_clk=rvclkhdr(clock,(io.lsu_error_pkt_r.valid | lsu_exc_valid_r_d1 | clk_override).asBool,io.scan_mode) + val csr_wr_clk = rvclkhdr(clock,(dec_csr_wen_r_mod | clk_override).asBool,io.scan_mode) + val lsu_r_wb_clk = rvclkhdr(clock,(io.lsu_error_pkt_r.valid | lsu_exc_valid_r_d1 | clk_override).asBool,io.scan_mode) - val e4_valid = io.dec_tlu_i0_valid_r - val e4e5_valid = e4_valid | e5_valid - val flush_clkvalid = internal_dbg_halt_mode_f | i_cpu_run_req_d1 | interrupt_valid_r | interrupt_valid_r_d1 | reset_delayed | pause_expired_r | pause_expired_wb | ic_perr_r | ic_perr_r_d1 | iccm_sbecc_r | iccm_sbecc_r_d1 | clk_override + val e4_valid = io.dec_tlu_i0_valid_r + val e4e5_valid = e4_valid | e5_valid + val flush_clkvalid = internal_dbg_halt_mode_f | i_cpu_run_req_d1 | interrupt_valid_r | interrupt_valid_r_d1 | reset_delayed | pause_expired_r | pause_expired_wb | ic_perr_r | ic_perr_r_d1 | iccm_sbecc_r | iccm_sbecc_r_d1 | clk_override - val e4e5_clk=rvclkhdr(clock,(e4e5_valid | clk_override).asBool,io.scan_mode) - val e4e5_int_clk=rvclkhdr(clock,(e4e5_valid | flush_clkvalid).asBool,io.scan_mode) + val e4e5_clk = rvclkhdr(clock,(e4e5_valid | clk_override).asBool,io.scan_mode) + val e4e5_int_clk = rvclkhdr(clock,(e4e5_valid | flush_clkvalid).asBool,io.scan_mode) - val iccm_repair_state_d1 =withClock(io.free_clk){RegNext(iccm_repair_state_ns,0.U)} - ic_perr_r_d1 :=withClock(io.free_clk){RegNext(ic_perr_r,0.U)} - iccm_sbecc_r_d1 :=withClock(io.free_clk){RegNext(iccm_sbecc_r,0.U)} - e5_valid :=withClock(io.free_clk){RegNext(e4_valid,0.U)} - internal_dbg_halt_mode_f :=withClock(io.free_clk){RegNext(internal_dbg_halt_mode,0.U)} - val lsu_pmu_load_external_r =withClock(io.free_clk){RegNext(io.lsu_tlu.lsu_pmu_load_external_m,0.U)} - val lsu_pmu_store_external_r =withClock(io.free_clk){RegNext(io.lsu_tlu.lsu_pmu_store_external_m,0.U)} - val tlu_flush_lower_r_d1 =withClock(io.free_clk){RegNext(tlu_flush_lower_r,0.U)} - io.dec_tlu_i0_kill_writeb_wb :=withClock(io.free_clk){RegNext(tlu_i0_kill_writeb_r,0.U)} - val internal_dbg_halt_mode_f2 =withClock(io.free_clk){RegNext(internal_dbg_halt_mode_f,0.U)} - io.tlu_mem.dec_tlu_force_halt :=withClock(io.free_clk){RegNext(force_halt,0.U)} + val iccm_repair_state_d1 = withClock(io.free_clk){RegNext(iccm_repair_state_ns,0.U)} + ic_perr_r_d1 := withClock(io.free_clk){RegNext(ic_perr_r,0.U)} + iccm_sbecc_r_d1 := withClock(io.free_clk){RegNext(iccm_sbecc_r,0.U)} + e5_valid := withClock(io.free_clk){RegNext(e4_valid,0.U)} + internal_dbg_halt_mode_f := withClock(io.free_clk){RegNext(internal_dbg_halt_mode,0.U)} + val lsu_pmu_load_external_r = withClock(io.free_clk){RegNext(io.lsu_tlu.lsu_pmu_load_external_m,0.U)} + val lsu_pmu_store_external_r = withClock(io.free_clk){RegNext(io.lsu_tlu.lsu_pmu_store_external_m,0.U)} + val tlu_flush_lower_r_d1 = withClock(io.free_clk){RegNext(tlu_flush_lower_r,0.U)} + io.dec_tlu_i0_kill_writeb_wb := withClock(io.free_clk){RegNext(tlu_i0_kill_writeb_r,0.U)} + val internal_dbg_halt_mode_f2 = withClock(io.free_clk){RegNext(internal_dbg_halt_mode_f,0.U)} + io.tlu_mem.dec_tlu_force_halt := withClock(io.free_clk){RegNext(force_halt,0.U)} + + io.dec_tlu_i0_kill_writeb_r := tlu_i0_kill_writeb_r + val reset_detect = withClock(io.free_clk){RegNext(1.U(1.W),0.U)} + val reset_detected = withClock(io.free_clk){RegNext(reset_detect,0.U)} + reset_delayed := reset_detect ^ reset_detected - - - io.dec_tlu_i0_kill_writeb_r :=tlu_i0_kill_writeb_r - val reset_detect =withClock(io.free_clk){RegNext(1.U(1.W),0.U)} - val reset_detected =withClock(io.free_clk){RegNext(reset_detect,0.U)} - reset_delayed :=reset_detect ^ reset_detected - - val nmi_int_delayed =withClock(io.free_clk){RegNext(nmi_int_sync,0.U)} - val nmi_int_detected_f =withClock(io.free_clk){RegNext(nmi_int_detected,0.U)} - val nmi_lsu_load_type_f =withClock(io.free_clk){RegNext(nmi_lsu_load_type,0.U)} - val nmi_lsu_store_type_f =withClock(io.free_clk){RegNext(nmi_lsu_store_type,0.U)} + val nmi_int_delayed = withClock(io.free_clk){RegNext(nmi_int_sync,0.U)} + val nmi_int_detected_f = withClock(io.free_clk){RegNext(nmi_int_detected,0.U)} + val nmi_lsu_load_type_f = withClock(io.free_clk){RegNext(nmi_lsu_load_type,0.U)} + val nmi_lsu_store_type_f = withClock(io.free_clk){RegNext(nmi_lsu_store_type,0.U)} // Filter subsequent bus errors after the first, until the lock on MDSEAC is cleared @@ -355,17 +354,17 @@ class dec_tlu_ctl extends Module with lib with RequireAsyncReset with CSR_VAL{ // - can interact with debugger halt and v-v // fast ints in progress have priority - val mpc_debug_halt_req_sync = mpc_debug_halt_req_sync_raw & ~ext_int_freeze_d1 - val mpc_debug_halt_req_sync_f =withClock(io.free_clk){RegNext(mpc_debug_halt_req_sync,0.U)} - val mpc_debug_run_req_sync_f =withClock(io.free_clk){RegNext(mpc_debug_run_req_sync,0.U)} - mpc_halt_state_f :=withClock(io.free_clk){RegNext(mpc_halt_state_ns,0.U)} - val mpc_run_state_f =withClock(io.free_clk){RegNext(mpc_run_state_ns,0.U)} - val debug_brkpt_status_f =withClock(io.free_clk){RegNext(debug_brkpt_status_ns,0.U)} - val mpc_debug_halt_ack_f =withClock(io.free_clk){RegNext(mpc_debug_halt_ack_ns,0.U)} - val mpc_debug_run_ack_f =withClock(io.free_clk){RegNext(mpc_debug_run_ack_ns,0.U)} - dbg_halt_state_f :=withClock(io.free_clk){RegNext(dbg_halt_state_ns,0.U)} - val dbg_run_state_f =withClock(io.free_clk){RegNext(dbg_run_state_ns,0.U)} - io.dec_tlu_mpc_halted_only :=withClock(io.free_clk){RegNext(dec_tlu_mpc_halted_only_ns,0.U)} + val mpc_debug_halt_req_sync = mpc_debug_halt_req_sync_raw & ~ext_int_freeze_d1 + val mpc_debug_halt_req_sync_f = withClock(io.free_clk){RegNext(mpc_debug_halt_req_sync,0.U)} + val mpc_debug_run_req_sync_f = withClock(io.free_clk){RegNext(mpc_debug_run_req_sync,0.U)} + mpc_halt_state_f := withClock(io.free_clk){RegNext(mpc_halt_state_ns,0.U)} + val mpc_run_state_f = withClock(io.free_clk){RegNext(mpc_run_state_ns,0.U)} + val debug_brkpt_status_f = withClock(io.free_clk){RegNext(debug_brkpt_status_ns,0.U)} + val mpc_debug_halt_ack_f = withClock(io.free_clk){RegNext(mpc_debug_halt_ack_ns,0.U)} + val mpc_debug_run_ack_f = withClock(io.free_clk){RegNext(mpc_debug_run_ack_ns,0.U)} + dbg_halt_state_f := withClock(io.free_clk){RegNext(dbg_halt_state_ns,0.U)} + val dbg_run_state_f = withClock(io.free_clk){RegNext(dbg_run_state_ns,0.U)} + io.dec_tlu_mpc_halted_only := withClock(io.free_clk){RegNext(dec_tlu_mpc_halted_only_ns,0.U)} // turn level sensitive requests into pulses @@ -440,29 +439,29 @@ class dec_tlu_ctl extends Module with lib with RequireAsyncReset with CSR_VAL{ val dbg_cmd_done_ns = io.dec_tlu_i0_valid_r & io.dec_tlu_dbg_halted // used to hold off commits after an in-pipe debug mode request (triggers, DCSR) - val request_debug_mode_r = (trigger_hit_dmode_r | ebreak_to_debug_mode_r) | (request_debug_mode_r_d1 & ~io.tlu_bp.dec_tlu_flush_lower_wb) + val request_debug_mode_r = (trigger_hit_dmode_r | ebreak_to_debug_mode_r) | (request_debug_mode_r_d1 & ~io.dec_tlu_flush_lower_wb) val request_debug_mode_done = (request_debug_mode_r_d1 | request_debug_mode_done_f) & ~dbg_tlu_halted_f - dec_tlu_flush_noredir_r_d1 :=withClock(io.free_clk){RegNext(io.tlu_ifc.dec_tlu_flush_noredir_wb,0.U)} - halt_taken_f :=withClock(io.free_clk){RegNext(halt_taken,0.U)} - lsu_idle_any_f :=withClock(io.free_clk){RegNext(io.lsu_idle_any,0.U)} - ifu_miss_state_idle_f :=withClock(io.free_clk){RegNext(io.tlu_mem.ifu_miss_state_idle,0.U)} - dbg_tlu_halted_f :=withClock(io.free_clk){RegNext(dbg_tlu_halted,0.U)} - io.dec_tlu_resume_ack :=withClock(io.free_clk){RegNext(resume_ack_ns,0.U)} - debug_halt_req_f :=withClock(io.free_clk){RegNext(debug_halt_req_ns,0.U)} - debug_resume_req_f :=withClock(io.free_clk){RegNext(debug_resume_req,0.U)} - trigger_hit_dmode_r_d1 :=withClock(io.free_clk){RegNext(trigger_hit_dmode_r,0.U)} - dcsr_single_step_done_f :=withClock(io.free_clk){RegNext(dcsr_single_step_done,0.U)} - debug_halt_req_d1 :=withClock(io.free_clk){RegNext(debug_halt_req,0.U)} - val dec_tlu_wr_pause_r_d1 =withClock(io.free_clk){RegNext(io.dec_tlu_wr_pause_r,0.U)} - val dec_pause_state_f =withClock(io.free_clk){RegNext(io.dec_pause_state,0.U)} - request_debug_mode_r_d1 :=withClock(io.free_clk){RegNext(request_debug_mode_r,0.U)} - request_debug_mode_done_f :=withClock(io.free_clk){RegNext(request_debug_mode_done,0.U)} - dcsr_single_step_running_f :=withClock(io.free_clk){RegNext(dcsr_single_step_running,0.U)} - dec_tlu_flush_pause_r_d1 :=withClock(io.free_clk){RegNext(io.dec_tlu_flush_pause_r,0.U)} - dbg_halt_req_held :=withClock(io.free_clk){RegNext(dbg_halt_req_held_ns,0.U)} + dec_tlu_flush_noredir_r_d1 := withClock(io.free_clk){RegNext(io.tlu_ifc.dec_tlu_flush_noredir_wb,0.U)} + halt_taken_f := withClock(io.free_clk){RegNext(halt_taken,0.U)} + lsu_idle_any_f := withClock(io.free_clk){RegNext(io.lsu_idle_any,0.U)} + ifu_miss_state_idle_f := withClock(io.free_clk){RegNext(io.tlu_mem.ifu_miss_state_idle,0.U)} + dbg_tlu_halted_f := withClock(io.free_clk){RegNext(dbg_tlu_halted,0.U)} + io.dec_tlu_resume_ack := withClock(io.free_clk){RegNext(resume_ack_ns,0.U)} + debug_halt_req_f := withClock(io.free_clk){RegNext(debug_halt_req_ns,0.U)} + debug_resume_req_f := withClock(io.free_clk){RegNext(debug_resume_req,0.U)} + trigger_hit_dmode_r_d1 := withClock(io.free_clk){RegNext(trigger_hit_dmode_r,0.U)} + dcsr_single_step_done_f := withClock(io.free_clk){RegNext(dcsr_single_step_done,0.U)} + debug_halt_req_d1 := withClock(io.free_clk){RegNext(debug_halt_req,0.U)} + val dec_tlu_wr_pause_r_d1 = withClock(io.free_clk){RegNext(io.dec_tlu_wr_pause_r,0.U)} + val dec_pause_state_f = withClock(io.free_clk){RegNext(io.dec_pause_state,0.U)} + request_debug_mode_r_d1 := withClock(io.free_clk){RegNext(request_debug_mode_r,0.U)} + request_debug_mode_done_f := withClock(io.free_clk){RegNext(request_debug_mode_done,0.U)} + dcsr_single_step_running_f := withClock(io.free_clk){RegNext(dcsr_single_step_running,0.U)} + dec_tlu_flush_pause_r_d1 := withClock(io.free_clk){RegNext(io.dec_tlu_flush_pause_r,0.U)} + dbg_halt_req_held := withClock(io.free_clk){RegNext(dbg_halt_req_held_ns,0.U)} io.dec_tlu_debug_stall := debug_halt_req_f @@ -516,7 +515,7 @@ class dec_tlu_ctl extends Module with lib with RequireAsyncReset with CSR_VAL{ val i0trigger_qual_r = Fill(4,i0_trigger_eval_r) & io.dec_tlu_packet_r.i0trigger(3,0) & i0_iside_trigger_has_pri_r & i0_lsu_trigger_has_pri_r & trigger_enabled // Qual trigger hits - val i0_trigger_r = ~(Fill(4,io.tlu_bp.dec_tlu_flush_lower_wb | io.dec_tlu_dbg_halted)) & i0trigger_qual_r + val i0_trigger_r = ~(Fill(4,io.dec_tlu_flush_lower_wb | io.dec_tlu_dbg_halted)) & i0trigger_qual_r // chaining can mask raw trigger info val i0_trigger_chain_masked_r = Cat(i0_trigger_r(3) & (~mtdata1_t(2)(MTDATA1_CHAIN) | i0_trigger_r(2)), i0_trigger_r(2) & (~mtdata1_t(2)(MTDATA1_CHAIN) | i0_trigger_r(3)), i0_trigger_r(1) & (~mtdata1_t(0)(MTDATA1_CHAIN) | i0_trigger_r(0)), i0_trigger_r(0) & (~mtdata1_t(0)(MTDATA1_CHAIN) | i0_trigger_r(1))) @@ -561,23 +560,21 @@ class dec_tlu_ctl extends Module with lib with RequireAsyncReset with CSR_VAL{ // o_cpu_halt_status _______________|---------------------|_________ // i_cpu_run_req ______|----------|____ // o_cpu_run_ack ____________|------|________ - // - // debug mode has priority, ignore PMU/FW halt/run while in debug mode val i_cpu_halt_req_sync_qual = i_cpu_halt_req_sync & ~io.dec_tlu_debug_mode & ~ext_int_freeze_d1 val i_cpu_run_req_sync_qual = i_cpu_run_req_sync & ~io.dec_tlu_debug_mode & pmu_fw_tlu_halted_f & ~ext_int_freeze_d1 - val i_cpu_halt_req_d1 =withClock(io.free_clk){RegNext(i_cpu_halt_req_sync_qual,0.U)} - val i_cpu_run_req_d1_raw =withClock(io.free_clk){RegNext(i_cpu_run_req_sync_qual,0.U)} - io.o_cpu_halt_status :=withClock(io.free_clk){RegNext(cpu_halt_status,0.U)} - io.o_cpu_halt_ack :=withClock(io.free_clk){RegNext(cpu_halt_ack,0.U)} - io.o_cpu_run_ack :=withClock(io.free_clk){RegNext(cpu_run_ack,0.U)} - val internal_pmu_fw_halt_mode_f=withClock(io.free_clk){RegNext(internal_pmu_fw_halt_mode,0.U)} - pmu_fw_halt_req_f :=withClock(io.free_clk){RegNext(pmu_fw_halt_req_ns,0.U)} - pmu_fw_tlu_halted_f :=withClock(io.free_clk){RegNext(pmu_fw_tlu_halted,0.U)} - int_timer0_int_hold_f :=withClock(io.free_clk){RegNext(int_timer0_int_hold,0.U)} - int_timer1_int_hold_f :=withClock(io.free_clk){RegNext(int_timer1_int_hold,0.U)} + val i_cpu_halt_req_d1 = withClock(io.free_clk){RegNext(i_cpu_halt_req_sync_qual,0.U)} + val i_cpu_run_req_d1_raw = withClock(io.free_clk){RegNext(i_cpu_run_req_sync_qual,0.U)} + io.o_cpu_halt_status := withClock(io.free_clk){RegNext(cpu_halt_status,0.U)} + io.o_cpu_halt_ack := withClock(io.free_clk){RegNext(cpu_halt_ack,0.U)} + io.o_cpu_run_ack := withClock(io.free_clk){RegNext(cpu_run_ack,0.U)} + val internal_pmu_fw_halt_mode_f = withClock(io.free_clk){RegNext(internal_pmu_fw_halt_mode,0.U)} + pmu_fw_halt_req_f := withClock(io.free_clk){RegNext(pmu_fw_halt_req_ns,0.U)} + pmu_fw_tlu_halted_f := withClock(io.free_clk){RegNext(pmu_fw_tlu_halted,0.U)} + int_timer0_int_hold_f := withClock(io.free_clk){RegNext(int_timer0_int_hold,0.U)} + int_timer1_int_hold_f := withClock(io.free_clk){RegNext(int_timer1_int_hold,0.U)} // only happens if we aren't in dgb_halt @@ -605,7 +602,7 @@ class dec_tlu_ctl extends Module with lib with RequireAsyncReset with CSR_VAL{ mdseac_locked_f :=withClock(io.free_clk){RegNext(mdseac_locked_ns,0.U)} val lsu_single_ecc_error_r_d1 =withClock(io.free_clk){RegNext(lsu_single_ecc_error_r,0.U)} val lsu_error_pkt_addr_r =io.lsu_error_pkt_r.bits.addr - val lsu_exc_valid_r_raw = io.lsu_error_pkt_r.valid & ~io.tlu_bp.dec_tlu_flush_lower_wb + val lsu_exc_valid_r_raw = io.lsu_error_pkt_r.valid & ~io.dec_tlu_flush_lower_wb lsu_i0_exc_r_raw := io.lsu_error_pkt_r.valid val lsu_i0_exc_r = lsu_i0_exc_r_raw & lsu_exc_valid_r_raw & ~i0_trigger_hit_r & ~rfpc_i0_r val lsu_exc_valid_r = lsu_i0_exc_r @@ -654,10 +651,10 @@ class dec_tlu_ctl extends Module with lib with RequireAsyncReset with CSR_VAL{ io.tlu_bp.dec_tlu_br0_r_pkt.bits.middle := io.tlu_exu.exu_i0_br_middle_r - ebreak_r := (io.dec_tlu_packet_r.pmu_i0_itype === EBREAK) & io.dec_tlu_i0_valid_r & ~i0_trigger_hit_r & ~dcsr(DCSR_EBREAKM) & ~rfpc_i0_r - ecall_r := (io.dec_tlu_packet_r.pmu_i0_itype === ECALL) & io.dec_tlu_i0_valid_r & ~i0_trigger_hit_r & ~rfpc_i0_r - illegal_r := ~io.dec_tlu_packet_r.legal & io.dec_tlu_i0_valid_r & ~i0_trigger_hit_r & ~rfpc_i0_r - mret_r := (io.dec_tlu_packet_r.pmu_i0_itype === MRET) & io.dec_tlu_i0_valid_r & ~i0_trigger_hit_r & ~rfpc_i0_r + ebreak_r := (io.dec_tlu_packet_r.pmu_i0_itype === EBREAK) & io.dec_tlu_i0_valid_r & ~i0_trigger_hit_r & ~dcsr(DCSR_EBREAKM) & ~rfpc_i0_r + ecall_r := (io.dec_tlu_packet_r.pmu_i0_itype === ECALL) & io.dec_tlu_i0_valid_r & ~i0_trigger_hit_r & ~rfpc_i0_r + illegal_r := ~io.dec_tlu_packet_r.legal & io.dec_tlu_i0_valid_r & ~i0_trigger_hit_r & ~rfpc_i0_r + mret_r := (io.dec_tlu_packet_r.pmu_i0_itype === MRET) & io.dec_tlu_i0_valid_r & ~i0_trigger_hit_r & ~rfpc_i0_r // fence_i includes debug only fence_i's fence_i_r := (io.dec_tlu_packet_r.fence_i & io.dec_tlu_i0_valid_r & ~i0_trigger_hit_r) & ~rfpc_i0_r ic_perr_r := io.tlu_mem.ifu_ic_error_start & ~ext_int_freeze_d1 & (~internal_dbg_halt_mode_f | dcsr_single_step_running) & ~internal_pmu_fw_halt_mode_f @@ -691,16 +688,16 @@ class dec_tlu_ctl extends Module with lib with RequireAsyncReset with CSR_VAL{ // 0xb : Environment call M-mode val exc_cause_r = Mux1H(Seq( - (take_ext_int & ~take_nmi).asBool -> 0x0b.U(5.W), - (take_timer_int & ~take_nmi).asBool -> 0x07.U(5.W), - (take_soft_int & ~take_nmi).asBool -> 0x03.U(5.W), - (take_int_timer0_int & ~take_nmi).asBool -> 0x1d.U(5.W), - (take_int_timer1_int & ~take_nmi).asBool -> 0x1c.U(5.W), - (take_ce_int & ~take_nmi).asBool -> 0x1e.U(5.W), - (illegal_r & ~take_nmi).asBool -> 0x02.U(5.W), - (ecall_r & ~take_nmi).asBool -> 0x0b.U(5.W), - (inst_acc_r & ~take_nmi).asBool -> 0x01.U(5.W), - ((ebreak_r | i0_trigger_hit_r) & ~take_nmi).asBool -> 0x03.U(5.W), + (take_ext_int & ~take_nmi).asBool -> 0x0b.U(5.W), + (take_timer_int & ~take_nmi).asBool -> 0x07.U(5.W), + (take_soft_int & ~take_nmi).asBool -> 0x03.U(5.W), + (take_int_timer0_int & ~take_nmi).asBool -> 0x1d.U(5.W), + (take_int_timer1_int & ~take_nmi).asBool -> 0x1c.U(5.W), + (take_ce_int & ~take_nmi).asBool -> 0x1e.U(5.W), + (illegal_r & ~take_nmi).asBool -> 0x02.U(5.W), + (ecall_r & ~take_nmi).asBool -> 0x0b.U(5.W), + (inst_acc_r & ~take_nmi).asBool -> 0x01.U(5.W), + ((ebreak_r | i0_trigger_hit_r) & ~take_nmi).asBool -> 0x03.U(5.W), (lsu_exc_ma_r & ~lsu_exc_st_r & ~take_nmi).asBool -> 0x04.U(5.W), (lsu_exc_acc_r & ~lsu_exc_st_r & ~take_nmi).asBool -> 0x05.U(5.W), (lsu_exc_ma_r & lsu_exc_st_r & ~take_nmi).asBool -> 0x06.U(5.W), @@ -715,7 +712,7 @@ class dec_tlu_ctl extends Module with lib with RequireAsyncReset with CSR_VAL{ // -in priority order, highest to lowest // -single cycle window where a csr write to MIE/MSTATUS is at E4 when the other conditions for externals are met. // Hold off externals for a cycle to make sure we are consistent with what was just written - mhwakeup_ready := ~io.dec_csr_stall_int_ff & mstatus_mie_ns & mip(MIP_MEIP) & mie_ns(MIE_MEIE) + mhwakeup_ready := ~io.dec_csr_stall_int_ff & mstatus_mie_ns & mip(MIP_MEIP) & mie_ns(MIE_MEIE) ext_int_ready := ~io.dec_csr_stall_int_ff & mstatus_mie_ns & mip(MIP_MEIP) & mie_ns(MIE_MEIE) & ~ignore_ext_int_due_to_lsu_stall ce_int_ready := ~io.dec_csr_stall_int_ff & mstatus_mie_ns & mip(MIP_MCEIP) & mie_ns(MIE_MCEIE) soft_int_ready := ~io.dec_csr_stall_int_ff & mstatus_mie_ns & mip(MIP_MSIP) & mie_ns(MIE_MSIE) @@ -739,12 +736,12 @@ class dec_tlu_ctl extends Module with lib with RequireAsyncReset with CSR_VAL{ val block_interrupts = ((internal_dbg_halt_mode & (~dcsr_single_step_running | io.dec_tlu_i0_valid_r)) | internal_pmu_fw_halt_mode | i_cpu_halt_req_d1 | take_nmi | ebreak_to_debug_mode_r | synchronous_flush_r | exc_or_int_valid_r_d1 | mret_r | ext_int_freeze_d1) - if(FAST_INTERRUPT_REDIRECT==1) { - take_ext_int_start_d1:=withClock(io.free_clk){RegNext(take_ext_int_start,0.U)} - take_ext_int_start_d2:=withClock(io.free_clk){RegNext(take_ext_int_start_d1,0.U)} - take_ext_int_start_d3:=withClock(io.free_clk){RegNext(take_ext_int_start_d2,0.U)} - ext_int_freeze_d1 :=withClock(io.free_clk){RegNext(ext_int_freeze,0.U)} - take_ext_int_start := ext_int_ready & ~block_interrupts; + if(FAST_INTERRUPT_REDIRECT) { + take_ext_int_start_d1 := withClock(io.free_clk){RegNext(take_ext_int_start,0.U)} + take_ext_int_start_d2 := withClock(io.free_clk){RegNext(take_ext_int_start_d1,0.U)} + take_ext_int_start_d3 := withClock(io.free_clk){RegNext(take_ext_int_start_d2,0.U)} + ext_int_freeze_d1 := withClock(io.free_clk){RegNext(ext_int_freeze,0.U)} + take_ext_int_start := ext_int_ready & ~block_interrupts; ext_int_freeze := take_ext_int_start | take_ext_int_start_d1 | take_ext_int_start_d2 | take_ext_int_start_d3 take_ext_int := take_ext_int_start_d3 & ~io.lsu_fir_error.orR @@ -785,34 +782,33 @@ class dec_tlu_ctl extends Module with lib with RequireAsyncReset with CSR_VAL{ tlu_flush_lower_r := interrupt_valid_r | mret_r | synchronous_flush_r | take_halt | take_reset | take_ext_int_start ///After Combining Code revisit this val tlu_flush_path_r = Mux(take_reset.asBool, io.rst_vec,Mux1H(Seq( - (sel_fir_addr).asBool -> io.lsu_fir_addr, + (sel_fir_addr).asBool -> io.lsu_fir_addr, (take_nmi===0.U & sel_npc_r===1.U) -> npc_r, (take_nmi===0.U & rfpc_i0_r===1.U & io.dec_tlu_i0_valid_r===1.U & sel_npc_r===0.U) -> io.dec_tlu_i0_pc_r, (interrupt_valid_r===1.U & sel_fir_addr===0.U) -> interrupt_path, ((i0_exception_valid_r | lsu_exc_valid_r | (i0_trigger_hit_r & ~trigger_hit_dmode_r)) & ~interrupt_valid_r & ~sel_fir_addr).asBool -> Cat(mtvec(30,1),0.U(1.W)), - (~take_nmi & mret_r).asBool -> mepc, + (~take_nmi & mret_r).asBool -> mepc, (~take_nmi & debug_resume_req_f).asBool -> dpc, - (~take_nmi & sel_npc_resume).asBool -> npc_r_d1 + (~take_nmi & sel_npc_resume).asBool -> npc_r_d1 ))) val tlu_flush_path_r_d1=withClock(e4e5_int_clk){RegNext(tlu_flush_path_r,0.U)} ///After Combining Code revisit this - io.tlu_bp.dec_tlu_flush_lower_wb := tlu_flush_lower_r_d1 - io.tlu_mem.dec_tlu_flush_lower_wb := io.tlu_bp.dec_tlu_flush_lower_wb + io.dec_tlu_flush_lower_wb := tlu_flush_lower_r_d1 io.tlu_exu.dec_tlu_flush_lower_r := tlu_flush_lower_r io.tlu_exu.dec_tlu_flush_path_r := tlu_flush_path_r ///After Combining Code revisit this // this is used to capture mepc, etc. val exc_or_int_valid_r = lsu_exc_valid_r | i0_exception_valid_r | interrupt_valid_r | (i0_trigger_hit_r & ~trigger_hit_dmode_r) - interrupt_valid_r_d1 :=withClock(e4e5_int_clk){RegNext(interrupt_valid_r,0.U)} - val i0_exception_valid_r_d1 =withClock(e4e5_int_clk){RegNext(i0_exception_valid_r,0.U)} - exc_or_int_valid_r_d1 :=withClock(e4e5_int_clk){RegNext(exc_or_int_valid_r,0.U)} - val exc_cause_wb =withClock(e4e5_int_clk){RegNext(exc_cause_r,0.U)} - val i0_valid_wb =withClock(e4e5_int_clk){RegNext((tlu_i0_commit_cmt & ~illegal_r),0.U)} - val trigger_hit_r_d1 =withClock(e4e5_int_clk){RegNext(i0_trigger_hit_r,0.U)} - take_nmi_r_d1 :=withClock(e4e5_int_clk){RegNext(take_nmi,0.U)} - pause_expired_wb :=withClock(e4e5_int_clk){RegNext(pause_expired_r,0.U)} + interrupt_valid_r_d1 := withClock(e4e5_int_clk){RegNext(interrupt_valid_r,0.U)} + val i0_exception_valid_r_d1 = withClock(e4e5_int_clk){RegNext(i0_exception_valid_r,0.U)} + exc_or_int_valid_r_d1 := withClock(e4e5_int_clk){RegNext(exc_or_int_valid_r,0.U)} + val exc_cause_wb = withClock(e4e5_int_clk){RegNext(exc_cause_r,0.U)} + val i0_valid_wb = withClock(e4e5_int_clk){RegNext((tlu_i0_commit_cmt & ~illegal_r),0.U)} + val trigger_hit_r_d1 = withClock(e4e5_int_clk){RegNext(i0_trigger_hit_r,0.U)} + take_nmi_r_d1 := withClock(e4e5_int_clk){RegNext(take_nmi,0.U)} + pause_expired_wb := withClock(e4e5_int_clk){RegNext(pause_expired_r,0.U)} val csr=Module(new csr_tlu) csr.io.free_clk := io.free_clk @@ -825,21 +821,21 @@ val csr=Module(new csr_tlu) csr.io.dec_i0_decode_d := io.dec_i0_decode_d csr.io.ifu_ic_debug_rd_data_valid := io.tlu_mem.ifu_ic_debug_rd_data_valid csr.io.ifu_pmu_bus_trxn := io.tlu_mem.ifu_pmu_bus_trxn - csr.io.dma_iccm_stall_any :=io.tlu_dma.dma_iccm_stall_any - csr.io.dma_dccm_stall_any :=io.tlu_dma.dma_dccm_stall_any - csr.io.lsu_store_stall_any :=io.lsu_store_stall_any - csr.io.dec_pmu_presync_stall :=io.dec_pmu_presync_stall - csr.io.dec_pmu_postsync_stall :=io.dec_pmu_postsync_stall - csr.io.dec_pmu_decode_stall :=io.dec_pmu_decode_stall - csr.io.ifu_pmu_fetch_stall :=io.tlu_ifc.ifu_pmu_fetch_stall - csr.io.dec_tlu_packet_r :=io.dec_tlu_packet_r - csr.io.exu_pmu_i0_br_ataken :=io.tlu_exu.exu_pmu_i0_br_ataken - csr.io.exu_pmu_i0_br_misp :=io.tlu_exu.exu_pmu_i0_br_misp - csr.io.dec_pmu_instr_decoded :=io.dec_pmu_instr_decoded - csr.io.ifu_pmu_instr_aligned :=io.ifu_pmu_instr_aligned - csr.io.exu_pmu_i0_pc4 :=io.tlu_exu.exu_pmu_i0_pc4 - csr.io.ifu_pmu_ic_miss :=io.tlu_mem.ifu_pmu_ic_miss - csr.io.ifu_pmu_ic_hit :=io.tlu_mem.ifu_pmu_ic_hit + csr.io.dma_iccm_stall_any := io.tlu_dma.dma_iccm_stall_any + csr.io.dma_dccm_stall_any := io.tlu_dma.dma_dccm_stall_any + csr.io.lsu_store_stall_any := io.lsu_store_stall_any + csr.io.dec_pmu_presync_stall := io.dec_pmu_presync_stall + csr.io.dec_pmu_postsync_stall := io.dec_pmu_postsync_stall + csr.io.dec_pmu_decode_stall := io.dec_pmu_decode_stall + csr.io.ifu_pmu_fetch_stall := io.tlu_ifc.ifu_pmu_fetch_stall + csr.io.dec_tlu_packet_r := io.dec_tlu_packet_r + csr.io.exu_pmu_i0_br_ataken := io.tlu_exu.exu_pmu_i0_br_ataken + csr.io.exu_pmu_i0_br_misp := io.tlu_exu.exu_pmu_i0_br_misp + csr.io.dec_pmu_instr_decoded := io.dec_pmu_instr_decoded + csr.io.ifu_pmu_instr_aligned := io.ifu_pmu_instr_aligned + csr.io.exu_pmu_i0_pc4 := io.tlu_exu.exu_pmu_i0_pc4 + csr.io.ifu_pmu_ic_miss := io.tlu_mem.ifu_pmu_ic_miss + csr.io.ifu_pmu_ic_hit := io.tlu_mem.ifu_pmu_ic_hit csr.io.dec_csr_wen_r := io.dec_csr_wen_r csr.io.dec_tlu_dbg_halted := io.dec_tlu_dbg_halted csr.io.dma_pmu_dccm_write := io.tlu_dma.dma_pmu_dccm_write @@ -872,13 +868,13 @@ val csr=Module(new csr_tlu) csr.io.core_id := io.core_id csr.io.dec_timer_rddata_d := dec_timer_rddata_d csr.io.dec_timer_read_d := dec_timer_read_d - io.dec_pic.dec_tlu_meicurpl := csr.io.dec_tlu_meicurpl - io.tlu_exu.dec_tlu_meihap := csr.io.dec_tlu_meihap - io.dec_pic.dec_tlu_meipt := csr.io.dec_tlu_meipt + io.dec_pic.dec_tlu_meicurpl := csr.io.dec_tlu_meicurpl + io.tlu_exu.dec_tlu_meihap := csr.io.dec_tlu_meihap + io.dec_pic.dec_tlu_meipt := csr.io.dec_tlu_meipt io.dec_tlu_int_valid_wb1 := csr.io.dec_tlu_int_valid_wb1 io.dec_tlu_i0_exc_valid_wb1 := csr.io.dec_tlu_i0_exc_valid_wb1 io.dec_tlu_i0_valid_wb1 := csr.io.dec_tlu_i0_valid_wb1 - io.tlu_mem.dec_tlu_ic_diag_pkt := csr.io.dec_tlu_ic_diag_pkt + io.tlu_mem.dec_tlu_ic_diag_pkt := csr.io.dec_tlu_ic_diag_pkt io.trigger_pkt_any := csr.io.trigger_pkt_any io.dec_tlu_mtval_wb1 := csr.io.dec_tlu_mtval_wb1 io.dec_tlu_exc_cause_wb1 := csr.io.dec_tlu_exc_cause_wb1 @@ -967,13 +963,13 @@ val csr=Module(new csr_tlu) csr.io.lsu_idle_any_f := lsu_idle_any_f csr.io.dbg_tlu_halted_f := dbg_tlu_halted_f csr.io.dbg_tlu_halted := dbg_tlu_halted - csr.io.debug_halt_req_f := debug_halt_req_f - csr.io.take_ext_int_start := take_ext_int_start - csr.io.trigger_hit_dmode_r_d1 := trigger_hit_dmode_r_d1 - csr.io.trigger_hit_r_d1 := trigger_hit_r_d1 - csr.io.dcsr_single_step_done_f := dcsr_single_step_done_f + csr.io.debug_halt_req_f := debug_halt_req_f + csr.io.take_ext_int_start := take_ext_int_start + csr.io.trigger_hit_dmode_r_d1 := trigger_hit_dmode_r_d1 + csr.io.trigger_hit_r_d1 := trigger_hit_r_d1 + csr.io.dcsr_single_step_done_f := dcsr_single_step_done_f csr.io.ebreak_to_debug_mode_r_d1 := ebreak_to_debug_mode_r_d1 - csr.io.debug_halt_req := debug_halt_req + csr.io.debug_halt_req := debug_halt_req csr.io.allow_dbg_halt_csr_write := allow_dbg_halt_csr_write csr.io.internal_dbg_halt_mode_f := internal_dbg_halt_mode_f csr.io.enter_debug_halt_req := enter_debug_halt_req @@ -1006,7 +1002,7 @@ val csr=Module(new csr_tlu) dcsr := csr.io.dcsr mtvec := csr.io.mtvec mip := csr.io.mip - mtdata1_t :=csr.io.mtdata1_t + mtdata1_t :=csr.io.mtdata1_t val csr_read=Module(new dec_decode_csr_read) csr_read.io.dec_csr_rdaddr_d:=io.dec_csr_rdaddr_d csr_pkt:=csr_read.io.csr_pkt @@ -1022,152 +1018,150 @@ io.dec_csr_legal_d := ( io.dec_csr_any_unq_d &valid_csr & ~(io.dec_csr_wen_unq_d } trait CSRs{ - val MISA = "h301".U(12.W) - val MVENDORID = "hf11".U(12.W) - val MARCHID = "hf12".U(12.W) - val MIMPID = "hf13".U(12.W) - val MHARTID = "hf14".U(12.W) - val MSTATUS = "h300".U(12.W) - val MTVEC = "h305".U(12.W) - val MIP = "h344".U(12.W) - val MIE = "h304".U(12.W) - val MCYCLEL = "hb00".U(12.W) - val MCYCLEH = "hb80".U(12.W) - val MINSTRETL = "hb02".U(12.W) - val MINSTRETH = "hb82".U(12.W) - val MSCRATCH = "h340".U(12.W) - val MEPC = "h341".U(12.W) - val MCAUSE = "h342".U(12.W) - val MSCAUSE = "h7ff".U(12.W) - val MTVAL = "h343".U(12.W) - val MCGC = "h7f8".U(12.W) - val MFDC = "h7f9".U(12.W) - val MCPC = "h7c2".U(12.W) - val MRAC = "h7c0".U(12.W) - val MDEAU = "hbc0".U(12.W) - val MDSEAC = "hfc0".U(12.W) - val MPMC = "h7c6".U(12.W) - val MICECT = "h7f0".U(12.W) - val MICCMECT = "h7f1".U(12.W) - val MDCCMECT = "h7f2".U(12.W) - val MFDHT = "h7ce".U(12.W) - val MFDHS = "h7cf".U(12.W) - val MEIVT = "hbc8".U(12.W) - val MEIHAP = "hfc8".U(12.W) - val MEICURPL = "hbcc".U(12.W) - val MEICIDPL = "hbcb".U(12.W) - val MEICPCT = "hbca".U(12.W) - val MEIPT = "hbc9".U(12.W) - val DCSR = "h7b0".U(12.W) - val DPC = "h7b1".U(12.W) - val DICAWICS = "h7c8".U(12.W) - val DICAD0 = "h7c9".U(12.W) - val DICAD0H = "h7cc".U(12.W) - val DICAD1 = "h7ca".U(12.W) - val DICAGO = "h7cb".U(12.W) - val MTSEL = "h7a0".U(12.W) - val MTDATA1 = "h7a1".U(12.W) - val MTDATA2 = "h7a2".U(12.W) - val MHPMC3 = "hB03".U(12.W) - val MHPMC3H = "hB83".U(12.W) - val MHPMC4 = "hB04".U(12.W) - val MHPMC4H = "hB84".U(12.W) - val MHPMC5 = "hB05".U(12.W) - val MHPMC5H = "hB85".U(12.W) - val MHPMC6 = "hB06".U(12.W) - val MHPMC6H = "hB86".U(12.W) - val MHPME3 = "h323".U(12.W) - val MHPME4 = "h324".U(12.W) - val MHPME5 = "h325".U(12.W) - val MHPME6 = "h326".U(12.W) - val MCOUNTINHIBIT = "h320".U(12.W) - val MSTATUS_MIE = 0.U - val MIP_MCEIP = 5.U - val MIP_MITIP0 = 4.U - val MIP_MITIP1 = 3.U - val MIP_MEIP = 2 - val MIP_MTIP = 1 - val MIP_MSIP = 0 - val MIE_MCEIE = 5 - val MIE_MITIE0 = 4 - val MIE_MITIE1 = 3 - val MIE_MEIE = 2 - val MIE_MTIE = 1 - val MIE_MSIE = 0 - val DCSR_EBREAKM = 15 - val DCSR_STEPIE = 11 - val DCSR_STOPC = 10 - val DCSR_STEP = 2 - val MTDATA1_DMODE = 9 - val MTDATA1_SEL = 7 - val MTDATA1_ACTION = 6 - val MTDATA1_CHAIN = 5 - val MTDATA1_MATCH = 4 - val MTDATA1_M_ENABLED = 3 - val MTDATA1_EXE = 2 - val MTDATA1_ST = 1 - val MTDATA1_LD = 0 - val MHPME_NOEVENT = 0.U - val MHPME_CLK_ACTIVE = 1.U // OOP - out of pipe - val MHPME_ICACHE_HIT = 2.U // OOP - val MHPME_ICACHE_MISS = 3.U // OOP - val MHPME_INST_COMMIT = 4.U - val MHPME_INST_COMMIT_16B = 5.U - val MHPME_INST_COMMIT_32B = 6.U - val MHPME_INST_ALIGNED = 7.U // OOP - val MHPME_INST_DECODED = 8.U // OOP - val MHPME_INST_MUL = 9.U - val MHPME_INST_DIV = 10.U - val MHPME_INST_LOAD = 11.U - val MHPME_INST_STORE = 12.U - val MHPME_INST_MALOAD = 13.U - val MHPME_INST_MASTORE = 14.U - val MHPME_INST_ALU = 15.U - val MHPME_INST_CSRREAD = 16.U - val MHPME_INST_CSRRW = 17.U - val MHPME_INST_CSRWRITE = 18.U - val MHPME_INST_EBREAK = 19.U - val MHPME_INST_ECALL = 20.U - val MHPME_INST_FENCE = 21.U - val MHPME_INST_FENCEI = 22.U - val MHPME_INST_MRET = 23.U - val MHPME_INST_BRANCH = 24.U - val MHPME_BRANCH_MP = 25.U - val MHPME_BRANCH_TAKEN = 26.U - val MHPME_BRANCH_NOTP = 27.U - val MHPME_FETCH_STALL = 28.U // OOP - val MHPME_ALGNR_STALL = 29.U // OOP - val MHPME_DECODE_STALL = 30.U // OOP - val MHPME_POSTSYNC_STALL = 31.U // OOP - val MHPME_PRESYNC_STALL = 32.U // OOP - val MHPME_LSU_SB_WB_STALL = 34.U // OOP - val MHPME_DMA_DCCM_STALL = 35.U // OOP - val MHPME_DMA_ICCM_STALL = 36.U // OOP - val MHPME_EXC_TAKEN = 37.U - val MHPME_TIMER_INT_TAKEN = 38.U - val MHPME_EXT_INT_TAKEN = 39.U - val MHPME_FLUSH_LOWER = 40.U - val MHPME_BR_ERROR = 41.U - val MHPME_IBUS_TRANS = 42.U // OOP - val MHPME_DBUS_TRANS = 43.U // OOP - val MHPME_DBUS_MA_TRANS = 44.U // OOP - val MHPME_IBUS_ERROR = 45.U // OOP - val MHPME_DBUS_ERROR = 46.U // OOP - val MHPME_IBUS_STALL = 47.U // OOP - val MHPME_DBUS_STALL = 48.U // OOP - val MHPME_INT_DISABLED = 49.U // OOP - val MHPME_INT_STALLED = 50.U // OOP - val MHPME_INST_BITMANIP = 54.U - val MHPME_DBUS_LOAD = 55.U - val MHPME_DBUS_STORE = 56.U + val MISA = "h301".U(12.W) + val MVENDORID = "hf11".U(12.W) + val MARCHID = "hf12".U(12.W) + val MIMPID = "hf13".U(12.W) + val MHARTID = "hf14".U(12.W) + val MSTATUS = "h300".U(12.W) + val MTVEC = "h305".U(12.W) + val MIP = "h344".U(12.W) + val MIE = "h304".U(12.W) + val MCYCLEL = "hb00".U(12.W) + val MCYCLEH = "hb80".U(12.W) + val MINSTRETL = "hb02".U(12.W) + val MINSTRETH = "hb82".U(12.W) + val MSCRATCH = "h340".U(12.W) + val MEPC = "h341".U(12.W) + val MCAUSE = "h342".U(12.W) + val MSCAUSE = "h7ff".U(12.W) + val MTVAL = "h343".U(12.W) + val MCGC = "h7f8".U(12.W) + val MFDC = "h7f9".U(12.W) + val MCPC = "h7c2".U(12.W) + val MRAC = "h7c0".U(12.W) + val MDEAU = "hbc0".U(12.W) + val MDSEAC = "hfc0".U(12.W) + val MPMC = "h7c6".U(12.W) + val MICECT = "h7f0".U(12.W) + val MICCMECT = "h7f1".U(12.W) + val MDCCMECT = "h7f2".U(12.W) + val MFDHT = "h7ce".U(12.W) + val MFDHS = "h7cf".U(12.W) + val MEIVT = "hbc8".U(12.W) + val MEIHAP = "hfc8".U(12.W) + val MEICURPL = "hbcc".U(12.W) + val MEICIDPL = "hbcb".U(12.W) + val MEICPCT = "hbca".U(12.W) + val MEIPT = "hbc9".U(12.W) + val DCSR = "h7b0".U(12.W) + val DPC = "h7b1".U(12.W) + val DICAWICS = "h7c8".U(12.W) + val DICAD0 = "h7c9".U(12.W) + val DICAD0H = "h7cc".U(12.W) + val DICAD1 = "h7ca".U(12.W) + val DICAGO = "h7cb".U(12.W) + val MTSEL = "h7a0".U(12.W) + val MTDATA1 = "h7a1".U(12.W) + val MTDATA2 = "h7a2".U(12.W) + val MHPMC3 = "hB03".U(12.W) + val MHPMC3H = "hB83".U(12.W) + val MHPMC4 = "hB04".U(12.W) + val MHPMC4H = "hB84".U(12.W) + val MHPMC5 = "hB05".U(12.W) + val MHPMC5H = "hB85".U(12.W) + val MHPMC6 = "hB06".U(12.W) + val MHPMC6H = "hB86".U(12.W) + val MHPME3 = "h323".U(12.W) + val MHPME4 = "h324".U(12.W) + val MHPME5 = "h325".U(12.W) + val MHPME6 = "h326".U(12.W) + val MCOUNTINHIBIT = "h320".U(12.W) + val MSTATUS_MIE = 0.U + val MIP_MCEIP = 5.U + val MIP_MITIP0 = 4.U + val MIP_MITIP1 = 3.U + val MIP_MEIP = 2 + val MIP_MTIP = 1 + val MIP_MSIP = 0 + val MIE_MCEIE = 5 + val MIE_MITIE0 = 4 + val MIE_MITIE1 = 3 + val MIE_MEIE = 2 + val MIE_MTIE = 1 + val MIE_MSIE = 0 + val DCSR_EBREAKM = 15 + val DCSR_STEPIE = 11 + val DCSR_STOPC = 10 + val DCSR_STEP = 2 + val MTDATA1_DMODE = 9 + val MTDATA1_SEL = 7 + val MTDATA1_ACTION = 6 + val MTDATA1_CHAIN = 5 + val MTDATA1_MATCH = 4 + val MTDATA1_M_ENABLED = 3 + val MTDATA1_EXE = 2 + val MTDATA1_ST = 1 + val MTDATA1_LD = 0 + val MHPME_NOEVENT = 0.U + val MHPME_CLK_ACTIVE = 1.U // OOP - out of pipe + val MHPME_ICACHE_HIT = 2.U // OOP + val MHPME_ICACHE_MISS = 3.U // OOP + val MHPME_INST_COMMIT = 4.U + val MHPME_INST_COMMIT_16B = 5.U + val MHPME_INST_COMMIT_32B = 6.U + val MHPME_INST_ALIGNED = 7.U // OOP + val MHPME_INST_DECODED = 8.U // OOP + val MHPME_INST_MUL = 9.U + val MHPME_INST_DIV = 10.U + val MHPME_INST_LOAD = 11.U + val MHPME_INST_STORE = 12.U + val MHPME_INST_MALOAD = 13.U + val MHPME_INST_MASTORE = 14.U + val MHPME_INST_ALU = 15.U + val MHPME_INST_CSRREAD = 16.U + val MHPME_INST_CSRRW = 17.U + val MHPME_INST_CSRWRITE = 18.U + val MHPME_INST_EBREAK = 19.U + val MHPME_INST_ECALL = 20.U + val MHPME_INST_FENCE = 21.U + val MHPME_INST_FENCEI = 22.U + val MHPME_INST_MRET = 23.U + val MHPME_INST_BRANCH = 24.U + val MHPME_BRANCH_MP = 25.U + val MHPME_BRANCH_TAKEN = 26.U + val MHPME_BRANCH_NOTP = 27.U + val MHPME_FETCH_STALL = 28.U // OOP + val MHPME_ALGNR_STALL = 29.U // OOP + val MHPME_DECODE_STALL = 30.U // OOP + val MHPME_POSTSYNC_STALL = 31.U // OOP + val MHPME_PRESYNC_STALL = 32.U // OOP + val MHPME_LSU_SB_WB_STALL = 34.U // OOP + val MHPME_DMA_DCCM_STALL = 35.U // OOP + val MHPME_DMA_ICCM_STALL = 36.U // OOP + val MHPME_EXC_TAKEN = 37.U + val MHPME_TIMER_INT_TAKEN = 38.U + val MHPME_EXT_INT_TAKEN = 39.U + val MHPME_FLUSH_LOWER = 40.U + val MHPME_BR_ERROR = 41.U + val MHPME_IBUS_TRANS = 42.U // OOP + val MHPME_DBUS_TRANS = 43.U // OOP + val MHPME_DBUS_MA_TRANS = 44.U // OOP + val MHPME_IBUS_ERROR = 45.U // OOP + val MHPME_DBUS_ERROR = 46.U // OOP + val MHPME_IBUS_STALL = 47.U // OOP + val MHPME_DBUS_STALL = 48.U // OOP + val MHPME_INT_DISABLED = 49.U // OOP + val MHPME_INT_STALLED = 50.U // OOP + val MHPME_INST_BITMANIP = 54.U + val MHPME_DBUS_LOAD = 55.U + val MHPME_DBUS_STORE = 56.U // Counts even during sleep state - val MHPME_SLEEP_CYC = 512.U // OOP - val MHPME_DMA_READ_ALL = 513.U // OOP - val MHPME_DMA_WRITE_ALL = 514.U // OOP - val MHPME_DMA_READ_DCCM = 515.U // OOP - val MHPME_DMA_WRITE_DCCM = 516.U // OOP - - + val MHPME_SLEEP_CYC = 512.U // OOP + val MHPME_DMA_READ_ALL = 513.U // OOP + val MHPME_DMA_WRITE_ALL = 514.U // OOP + val MHPME_DMA_READ_DCCM = 515.U // OOP + val MHPME_DMA_WRITE_DCCM = 516.U // OOP } class CSR_IO extends Bundle with lib { val free_clk = Input(Clock()) @@ -1201,8 +1195,6 @@ class CSR_IO extends Bundle with lib { val dec_tlu_i0_exc_valid_wb1 = Output(UInt(1.W)) val dec_tlu_i0_valid_wb1 = Output(UInt(1.W)) val dec_csr_wen_r = Input(UInt(1.W)) - //val dec_tlu_force_halt = Output(UInt(1.W)) - //val dec_tlu_flush_extint = Output(UInt(1.W)) val dec_tlu_mtval_wb1 = Output(UInt(32.W)) val dec_tlu_exc_cause_wb1 = Output(UInt(5.W)) val dec_tlu_perfcnt0 = Output(UInt(1.W)) @@ -1226,18 +1218,8 @@ class CSR_IO extends Bundle with lib { val dec_tlu_bus_clk_override = Output(UInt(1.W)) val dec_tlu_pic_clk_override = Output(UInt(1.W)) val dec_tlu_dccm_clk_override = Output(UInt(1.W)) - val dec_tlu_icm_clk_override = Output(UInt(1.W)) - //val dec_csr_legal_d = Output(UInt(1.W)) + val dec_tlu_icm_clk_override = Output(UInt(1.W)) val dec_csr_rddata_d = Output(UInt(32.W)) - //val dec_tlu_postsync_d = Output(UInt(1.W)) - //val dec_tlu_presync_d = Output(UInt(1.W)) - //val dec_tlu_flush_pause_r = Output(UInt(1.W)) - //val dec_tlu_flush_lower_r = Output(UInt(1.W)) - //val dec_tlu_i0_kill_writeb_r = Output(UInt(1.W)) - //val dec_tlu_flush_lower_wb = Output(UInt(1.W)) - //val dec_tlu_i0_kill_writeb_wb = Output(UInt(1.W)) - // val dec_tlu_flush_leak_one_wb = Output(UInt(1.W)) - //val dec_tlu_debug_stall = Output(UInt(1.W)) val dec_tlu_pipelining_disable = Output(UInt(1.W)) val dec_tlu_wr_pause_r = Output(UInt(1.W)) val ifu_pmu_bus_busy = Input(UInt(1.W)) @@ -1338,14 +1320,14 @@ class CSR_IO extends Bundle with lib { val lsu_idle_any_f = Input(UInt(1.W)) val dbg_tlu_halted_f = Input(UInt(1.W)) val dbg_tlu_halted = Input(UInt(1.W)) - val debug_halt_req_f = Input(UInt(1.W)) - val force_halt = Output(UInt(1.W)) - val take_ext_int_start = Input(UInt(1.W)) - val trigger_hit_dmode_r_d1 = Input(UInt(1.W)) - val trigger_hit_r_d1 = Input(UInt(1.W)) + val debug_halt_req_f = Input(UInt(1.W)) + val force_halt = Output(UInt(1.W)) + val take_ext_int_start = Input(UInt(1.W)) + val trigger_hit_dmode_r_d1 = Input(UInt(1.W)) + val trigger_hit_r_d1 = Input(UInt(1.W)) val dcsr_single_step_done_f = Input(UInt(1.W)) - val ebreak_to_debug_mode_r_d1 = Input(UInt(1.W)) - val debug_halt_req = Input(UInt(1.W)) + val ebreak_to_debug_mode_r_d1 = Input(UInt(1.W)) + val debug_halt_req = Input(UInt(1.W)) val allow_dbg_halt_csr_write = Input(UInt(1.W)) val internal_dbg_halt_mode_f = Input(UInt(1.W)) val enter_debug_halt_req = Input(UInt(1.W)) @@ -1396,8 +1378,7 @@ val wr_mcycleh_r = WireInit(UInt(1.W), 0.U) val wr_meicpct_r = WireInit(UInt(1.W),0.U) val force_halt_ctr_f = WireInit(UInt(32.W),0.U) val mdccmect_inc = WireInit(UInt(27.W),0.U) - val miccmect_inc = WireInit(UInt(27.W),0.U) - //val fw_halted = WireInit(UInt(1.W),0.U) + val miccmect_inc = WireInit(UInt(27.W),0.U) val micect_inc = WireInit(UInt(27.W),0.U) val mdseac_en = WireInit(UInt(1.W),0.U) val mie = WireInit(UInt(6.W),0.U) @@ -1747,7 +1728,7 @@ val wr_mcycleh_r = WireInit(UInt(1.W), 0.U) mfdc_int := rvdffe(mfdc_ns,wr_mfdc_r.asBool,clock,io.scan_mode) // rvdffe #(15) mfdc_ff (.*, .en(wr_mfdc_r), .din({mfdc_ns[14:0]}), .dout(mfdc_int[14:0])); - if(BUILD_AXI4 == 1){ + if(BUILD_AXI4){ // flip poweron value of bit 6 for AXI build mfdc_ns := Cat(~io.dec_csr_wrdata_r(18,16),io.dec_csr_wrdata_r(11,7), ~io.dec_csr_wrdata_r(6), io.dec_csr_wrdata_r(5,0)) mfdc := Cat(~mfdc_int(14,12),0.U(4.W), mfdc_int(11,7), ~mfdc_int(6), mfdc_int(5,0)) @@ -2118,7 +2099,7 @@ miccme_ce_req := (("hffffffff".U(32.W) << miccmect(31,27)) & Cat(0.U(5.W), miccm val dicad0h = rvdffe(dicad0h_ns,(wr_dicad0h_r | io.ifu_ic_debug_rd_data_valid).asBool,clock,io.scan_mode) - if (ICACHE_ECC == 1) { + if (ICACHE_ECC) { // ---------------------------------------------------------------------- // DICAD1 (R/W) (Only accessible in debug mode) // [6:0] : ECC @@ -2126,7 +2107,8 @@ miccme_ce_req := (("hffffffff".U(32.W) << miccmect(31,27)) & Cat(0.U(5.W), miccm val dicad1_raw = WireInit(UInt(7.W),0.U) val wr_dicad1_r = io.allow_dbg_halt_csr_write & io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === DICAD1) - val dicad1_ns = Mux(wr_dicad1_r.asBool, io.dec_csr_wrdata_r(6,0), io.ifu_ic_debug_rd_data(70,64)) + val dicad1_ns = Mux(wr_dicad1_r.asBool, io.dec_csr_wrdata_r, io.ifu_ic_debug_rd_data(70,64)) + dontTouch(dicad1_ns) dicad1_raw := withClock(io.active_clk){RegEnable(dicad1_ns,0.U,(wr_dicad1_r | io.ifu_ic_debug_rd_data_valid).asBool)} dicad1 := Cat(0.U(25.W), dicad1_raw) @@ -2151,7 +2133,7 @@ miccme_ce_req := (("hffffffff".U(32.W) << miccmect(31,27)) & Cat(0.U(5.W), miccm // DICAGO (R/W) (Only accessible in debug mode) // [0] : Go - if (ICACHE_ECC == 1) io.dec_tlu_ic_diag_pkt.icache_wrdata := Cat(dicad1(6,0), dicad0h(31,0), dicad0(31,0)) + if (ICACHE_ECC) io.dec_tlu_ic_diag_pkt.icache_wrdata := Cat(dicad1(6,0), dicad0h(31,0), dicad0(31,0)) else io.dec_tlu_ic_diag_pkt.icache_wrdata := Cat(0.U(2.W),dicad1(3,0), dicad0h(31,0), dicad0(31,0)) io.dec_tlu_ic_diag_pkt.icache_dicawics := dicawics diff --git a/src/main/scala/dma_ctrl.scala b/src/main/scala/dma_ctrl.scala index 5794acab..8e80688b 100644 --- a/src/main/scala/dma_ctrl.scala +++ b/src/main/scala/dma_ctrl.scala @@ -16,25 +16,18 @@ class dma_ctrl extends Module with lib with RequireAsyncReset { val dma_dbg_rddata = Output(UInt(32.W)) val dma_dbg_cmd_done = Output(Bool()) val dma_dbg_cmd_fail = Output(Bool()) - val dbg_dma = new dec_dbg() - val dbg_dma_io = new dbg_dma() - val dec_dma = Flipped(new dec_dma()) + val dbg_dma = new dec_dbg() + val dbg_dma_io = new dbg_dma() + val dec_dma = Flipped(new dec_dma()) val iccm_dma_rvalid = Input(Bool()) // iccm data valid for DMA read val iccm_dma_ecc_error = Input(Bool()) // ECC error on DMA read val iccm_dma_rtag = Input(UInt(3.W)) // Tag of the DMA req val iccm_dma_rdata = Input(UInt(64.W)) // iccm data for DMA read -// val dma_dccm_stall_any = Output(Bool()) // stall dccm pipe (bubble) so that DMA can proceed val iccm_ready = Input(Bool()) // iccm ready to accept DMA request -// val dec_tlu_dma_qos_prty = Input(UInt(3.W)) // DMA QoS priority coming from MFDC [18:15] - // PMU signals -// val dma_pmu_dccm_read = Output(Bool()) -// val dma_pmu_dccm_write = Output(Bool()) -// val dma_pmu_any_read = Output(Bool()) -// val dma_pmu_any_write = Output(Bool()) // AXI Write Channels - val dma_axi = Flipped(new axi_channels(DMA_BUS_TAG)) - val lsu_dma = Flipped(new lsu_dma) - val ifu_dma = Flipped(new ifu_dma) + val dma_axi = Flipped(new axi_channels(DMA_BUS_TAG)) + val lsu_dma = Flipped(new lsu_dma) + val ifu_dma = Flipped(new ifu_dma) }) @@ -301,7 +294,7 @@ class dma_ctrl extends Module with lib with RequireAsyncReset { num_fifo_vld := num_fifo_vld_tmp + num_fifo_vld_tmp2 - val fifo_full_spec = (num_fifo_vld_tmp2 >= DMA_BUF_DEPTH.asUInt()) + val fifo_full_spec = (num_fifo_vld >= DMA_BUF_DEPTH.asUInt()) val dma_fifo_ready = ~(fifo_full | dbg_dma_bubble_bus) @@ -317,7 +310,11 @@ class dma_ctrl extends Module with lib with RequireAsyncReset { (io.lsu_dma.dma_lsc_ctl.dma_mem_write & (dma_mem_sz_int(2, 0) === 2.U) & (Mux1H(Seq((dma_mem_addr_int(2,0) === 0.U) -> (dma_mem_byteen(3,0)), (dma_mem_addr_int(2,0) === 1.U) -> (dma_mem_byteen(4,1)), (dma_mem_addr_int(2,0) === 2.U) -> (dma_mem_byteen(5,2)), - (dma_mem_addr_int(2,0) === 3.U) -> (dma_mem_byteen(6,3)))) =/= 15.U)) | // Write byte enables not aligned for word store + (dma_mem_addr_int(2,0) === 3.U) -> (dma_mem_byteen(6,3)), + (dma_mem_addr_int(2,0) === 4.U) -> (dma_mem_byteen(7,4)), + (dma_mem_addr_int(2,0) === 5.U) -> (dma_mem_byteen(7,5)), + (dma_mem_addr_int(2,0) === 6.U) -> (dma_mem_byteen(7,6)), + (dma_mem_addr_int(2,0) === 7.U) -> (dma_mem_byteen(7)))) =/= "hf".U)) | // Write byte enables not aligned for word store (io.lsu_dma.dma_lsc_ctl.dma_mem_write & (dma_mem_sz_int(2, 0) === 3.U) & !((dma_mem_byteen(7,0) === "h0f".U) | (dma_mem_byteen(7,0) === "hf0".U) | (dma_mem_byteen(7,0) === "hff".U)))) // Write byte enables not aligned for dword store @@ -389,23 +386,10 @@ class dma_ctrl extends Module with lib with RequireAsyncReset { val dma_buffer_c1_clken = (bus_cmd_valid & io.dma_bus_clk_en) | io.dbg_dma.dbg_ib.dbg_cmd_valid | io.clk_override val dma_free_clken = (bus_cmd_valid | bus_rsp_valid | io.dbg_dma.dbg_ib.dbg_cmd_valid | io.dma_dbg_cmd_done | dma_dbg_cmd_done_q | (fifo_valid.orR) | io.clk_override) - val dma_buffer_c1cgc = Module(new rvclkhdr) - dma_buffer_c1cgc.io.en := dma_buffer_c1_clken - dma_buffer_c1cgc.io.scan_mode := io.scan_mode - dma_buffer_c1cgc.io.clk := clock - dma_buffer_c1_clk := dma_buffer_c1cgc.io.l1clk - - val dma_free_cgc = Module(new rvclkhdr) - dma_free_cgc.io.en := dma_free_clken - dma_free_cgc.io.scan_mode := io.scan_mode - dma_free_cgc.io.clk := clock - dma_free_clk := dma_free_cgc.io.l1clk - - val dma_bus_cgc = Module(new rvclkhdr) - dma_bus_cgc.io.en := io.dma_bus_clk_en - dma_bus_cgc.io.scan_mode := io.scan_mode - dma_bus_cgc.io.clk := clock - dma_bus_clk := dma_bus_cgc.io.l1clk + dma_buffer_c1_clk := rvclkhdr(clock,dma_buffer_c1_clken.asBool,io.scan_mode) + dma_free_clk := rvclkhdr(clock,dma_free_clken.asBool(),io.scan_mode) + dma_bus_clk := rvclkhdr(clock,io.dma_bus_clk_en,io.scan_mode) + // Write channel buffer @@ -511,3 +495,7 @@ class dma_ctrl extends Module with lib with RequireAsyncReset { io.ifu_dma.dma_mem_ctl.dma_mem_write := io.lsu_dma.dma_lsc_ctl.dma_mem_write io.ifu_dma.dma_mem_ctl.dma_mem_tag := io.lsu_dma.dma_mem_tag } + +object dma extends App { + println((new chisel3.stage.ChiselStage).emitVerilog(new dma_ctrl())) +} diff --git a/src/main/scala/exu/exu.scala b/src/main/scala/exu/exu.scala index 6be097e2..d97686e8 100644 --- a/src/main/scala/exu/exu.scala +++ b/src/main/scala/exu/exu.scala @@ -48,10 +48,10 @@ class exu extends Module with lib with RequireAsyncReset{ val i0_pred_correct_upper_d = Wire(UInt(1.W)) val i0_flush_upper_d = Wire(UInt(1.W)) io.exu_bp.exu_mp_pkt.bits.prett :=0.U - io.exu_bp.exu_mp_pkt.bits.br_start_error:=0.U - io.exu_bp.exu_mp_pkt.bits.br_error :=0.U - io.exu_bp.exu_mp_pkt.valid :=0.U - i0_pp_r.bits.toffset := 0.U + io.exu_bp.exu_mp_pkt.bits.br_start_error :=0.U + io.exu_bp.exu_mp_pkt.bits.br_error := 0.U + io.exu_bp.exu_mp_pkt.valid := 0.U + i0_pp_r.bits.toffset := 0.U val x_data_en = io.dec_exu.decode_exu.dec_data_en(1) val r_data_en = io.dec_exu.decode_exu.dec_data_en(0) @@ -138,10 +138,10 @@ class exu extends Module with lib with RequireAsyncReset{ (i0_rs2_bypass_en_d).asBool -> i0_rs2_bypass_data_d )) - csr_rs1_in_d := Mux( io.dec_exu.dec_alu.dec_csr_ren_d.asBool, i0_rs1_d, io.dec_exu.decode_exu.exu_csr_rs1_x) + csr_rs1_in_d := Mux(io.dec_exu.dec_alu.dec_csr_ren_d.asBool, i0_rs1_d, io.dec_exu.decode_exu.exu_csr_rs1_x) - val i_alu=Module(new exu_alu_ctl) + val i_alu=Module(new exu_alu_ctl()) i_alu.io.dec_alu <> io.dec_exu.dec_alu i_alu.io.scan_mode :=io.scan_mode i_alu.io.enable :=x_ctl_en @@ -159,21 +159,21 @@ class exu extends Module with lib with RequireAsyncReset{ i0_predict_p_d :=i_alu.io.predict_p_out i0_pred_correct_upper_d :=i_alu.io.pred_correct_out - val i_mul=Module(new exu_mul_ctl) - i_mul.io.scan_mode :=io.scan_mode - i_mul.io.mul_p :=io.dec_exu.decode_exu.mul_p - i_mul.io.rs1_in :=muldiv_rs1_d - i_mul.io.rs2_in :=muldiv_rs2_d - val mul_result_x =i_mul.io.result_x + val i_mul = Module(new exu_mul_ctl()) + i_mul.io.scan_mode := io.scan_mode + i_mul.io.mul_p := io.dec_exu.decode_exu.mul_p + i_mul.io.rs1_in := muldiv_rs1_d + i_mul.io.rs2_in := muldiv_rs2_d + val mul_result_x = i_mul.io.result_x - val i_div=Module(new exu_div_ctl) + val i_div = Module(new exu_div_ctl()) i_div.io.dec_div <> io.dec_exu.dec_div - i_div.io.scan_mode :=io.scan_mode + i_div.io.scan_mode := io.scan_mode - i_div.io.dividend :=muldiv_rs1_d - i_div.io.divisor :=muldiv_rs2_d - io.exu_div_wren :=i_div.io.exu_div_wren - io.exu_div_result :=i_div.io.exu_div_result + i_div.io.dividend := muldiv_rs1_d + i_div.io.divisor := muldiv_rs2_d + io.exu_div_wren := i_div.io.exu_div_wren + io.exu_div_result := i_div.io.exu_div_result io.dec_exu.decode_exu.exu_i0_result_x := Mux(mul_valid_x.asBool, mul_result_x, alu_result_x) i0_predict_newp_d := io.dec_exu.decode_exu.dec_i0_predict_p_d @@ -215,24 +215,24 @@ class exu extends Module with lib with RequireAsyncReset{ val after_flush_eghr = Mux((i0_flush_upper_x===1.U & !(io.dec_exu.tlu_exu.dec_tlu_flush_lower_r===1.U)), ghr_d, ghr_x) - io.exu_bp.exu_mp_pkt.bits.way := final_predict_mp.bits.way - io.exu_bp.exu_mp_pkt.bits.misp := final_predict_mp.bits.misp - io.exu_bp.exu_mp_pkt.bits.pcall := final_predict_mp.bits.pcall - io.exu_bp.exu_mp_pkt.bits.pja := final_predict_mp.bits.pja - io.exu_bp.exu_mp_pkt.bits.pret := final_predict_mp.bits.pret - io.exu_bp.exu_mp_pkt.bits.ataken := final_predict_mp.bits.ataken - io.exu_bp.exu_mp_pkt.bits.boffset := final_predict_mp.bits.boffset - io.exu_bp.exu_mp_pkt.bits.pc4 := final_predict_mp.bits.pc4 - io.exu_bp.exu_mp_pkt.bits.hist := final_predict_mp.bits.hist(1,0) - io.exu_bp.exu_mp_pkt.bits.toffset := final_predict_mp.bits.toffset(11,0) - io.exu_bp.exu_mp_fghr := after_flush_eghr - io.exu_bp.exu_mp_index := final_predpipe_mp(PREDPIPESIZE-BHT_GHR_SIZE-1,BTB_BTAG_SIZE) - io.exu_bp.exu_mp_btag := final_predpipe_mp(BTB_BTAG_SIZE-1,0) - io.exu_bp.exu_mp_eghr := final_predpipe_mp(PREDPIPESIZE-1,BTB_ADDR_HI-BTB_ADDR_LO+BTB_BTAG_SIZE+1) // mp ghr for bht write - io.exu_flush_path_final := Mux(io.dec_exu.tlu_exu.dec_tlu_flush_lower_r.asBool, io.dec_exu.tlu_exu.dec_tlu_flush_path_r, i0_flush_path_d) - io.dec_exu.tlu_exu.exu_npc_r := Mux(i0_pred_correct_upper_r===1.U, pred_correct_npc_r, i0_flush_path_upper_r) + io.exu_bp.exu_mp_pkt.bits.way := final_predict_mp.bits.way + io.exu_bp.exu_mp_pkt.bits.misp := final_predict_mp.bits.misp + io.exu_bp.exu_mp_pkt.bits.pcall := final_predict_mp.bits.pcall + io.exu_bp.exu_mp_pkt.bits.pja := final_predict_mp.bits.pja + io.exu_bp.exu_mp_pkt.bits.pret := final_predict_mp.bits.pret + io.exu_bp.exu_mp_pkt.bits.ataken := final_predict_mp.bits.ataken + io.exu_bp.exu_mp_pkt.bits.boffset := final_predict_mp.bits.boffset + io.exu_bp.exu_mp_pkt.bits.pc4 := final_predict_mp.bits.pc4 + io.exu_bp.exu_mp_pkt.bits.hist := final_predict_mp.bits.hist(1,0) + io.exu_bp.exu_mp_pkt.bits.toffset := final_predict_mp.bits.toffset(11,0) + io.exu_bp.exu_mp_fghr := after_flush_eghr + io.exu_bp.exu_mp_index := final_predpipe_mp(PREDPIPESIZE-BHT_GHR_SIZE-1,BTB_BTAG_SIZE) + io.exu_bp.exu_mp_btag := final_predpipe_mp(BTB_BTAG_SIZE-1,0) + io.exu_bp.exu_mp_eghr := final_predpipe_mp(PREDPIPESIZE-1,BTB_ADDR_HI-BTB_ADDR_LO+BTB_BTAG_SIZE+1) // mp ghr for bht write + io.exu_flush_path_final := Mux(io.dec_exu.tlu_exu.dec_tlu_flush_lower_r.asBool, io.dec_exu.tlu_exu.dec_tlu_flush_path_r, i0_flush_path_d) + io.dec_exu.tlu_exu.exu_npc_r := Mux(i0_pred_correct_upper_r===1.U, pred_correct_npc_r, i0_flush_path_upper_r) } -//object exu_main extends App { -// println((new chisel3.stage.ChiselStage).emitVerilog(new exu())) -//} +object exu_main extends App { + println((new chisel3.stage.ChiselStage).emitVerilog(new exu())) +} diff --git a/src/main/scala/ifu/ifu.scala b/src/main/scala/ifu/ifu.scala index 716c4469..230a8d2b 100644 --- a/src/main/scala/ifu/ifu.scala +++ b/src/main/scala/ifu/ifu.scala @@ -118,6 +118,6 @@ class ifu extends Module with lib with RequireAsyncReset { io.iccm_dma_sb_error := mem_ctl.io.iccm_dma_sb_error } -//object ifu_main extends App { -// println((new chisel3.stage.ChiselStage).emitVerilog(new ifu())) -//} +object ifu_main extends App { + println((new chisel3.stage.ChiselStage).emitVerilog(new ifu())) +} diff --git a/src/main/scala/include/bundle.scala b/src/main/scala/include/bundle.scala index 3200246d..de30db2c 100644 --- a/src/main/scala/include/bundle.scala +++ b/src/main/scala/include/bundle.scala @@ -34,7 +34,6 @@ class tlu_dma extends Bundle{ class dec_bp extends Bundle{ val dec_tlu_br0_r_pkt = Flipped(Valid(new br_tlu_pkt_t)) - val dec_tlu_flush_lower_wb = Input(Bool()) val dec_tlu_flush_leak_one_wb = Input(Bool()) val dec_tlu_bpred_disable = Input(Bool()) } @@ -44,6 +43,36 @@ class dec_ifc extends Bundle{ val dec_tlu_mrac_ff = Input(UInt(32.W)) val ifu_pmu_fetch_stall = Output(Bool()) } +class ahb_in extends Bundle{ + val hrdata = Input(UInt(64.W)) // [63:0] // ahb bus read data + val hready = Input(Bool()) // slave ready to accept transaction + val hresp = Input(Bool()) // slave response (high indicates erro) +} +class ahb_out_dma extends Bundle{ + val haddr = Output(UInt(32.W)) // [31:0] // ahb bus address + val hburst = Output(UInt(3.W)) // [2:0] // tied to 0 + val hmastlock = Output(Bool()) // tied to 0 + val hprot = Output(UInt(4.W)) // [3:0] // tied to 4'b0011 + val hsize = Output(UInt(3.W)) // [2:0] // size of bus transaction (possible values 0,1,2,3) + val htrans = Output(UInt(2.W)) + val hwrite = Output(Bool()) // ahb bus write + val hwdata = Output(UInt(64.W)) // [63:0] // ahb bus write data +} + +class ahb_out extends Bundle{ + val haddr = Output(UInt(32.W)) // [31:0] // ahb bus address + val hburst = Output(UInt(3.W)) // [2:0] // tied to 0 + val hmastlock = Output(Bool()) // tied to 0 + val hprot = Output(UInt(4.W)) // [3:0] // tied to 4'b0011 + val hsize = Output(UInt(3.W)) // [2:0] // size of bus transaction (possible values 0,1,2,3) + val htrans = Output(UInt(2.W)) + val hwrite = Output(Bool()) // ahb bus write + val hwdata = Output(UInt(64.W)) // [63:0] // ahb bus write data +} +class ahb_channel extends Bundle{ + val in = new ahb_in() + val out = new ahb_out() +} class axi_channels(val BUS_TAG :Int=3) extends Bundle with lib{ val aw = Decoupled(new write_addr(BUS_TAG)) val w = Decoupled(new write_data()) @@ -86,13 +115,12 @@ class write_data extends Bundle with lib{ // write_data val strb = UInt(8.W) val last = Bool() } -class write_resp(val TAG : Int=3) extends Bundle with lib{ // write_response +class write_resp(val TAG : Int) extends Bundle with lib{ // write_response val resp = UInt(2.W) val id = UInt(TAG.W) } class dec_mem_ctrl extends Bundle with lib{ - val dec_tlu_flush_lower_wb = Input(Bool()) val dec_tlu_flush_err_wb = Input(Bool()) val dec_tlu_i0_commit_cmt = Input(Bool()) val dec_tlu_force_halt = Input(Bool()) @@ -243,13 +271,16 @@ class ifu_dec extends Bundle{ val dec_ifc = new dec_ifc val dec_bp = new dec_bp } + class exu_ifu extends Bundle{ val exu_bp = Flipped(new exu_bp()) } + class ifu_dma extends Bundle{ val dma_ifc = new dma_ifc val dma_mem_ctl = new dma_mem_ctl } + class dma_mem_ctl extends Bundle{ val dma_iccm_req = Input(Bool()) val dma_mem_addr = Input(UInt(32.W)) @@ -258,6 +289,7 @@ class dma_mem_ctl extends Bundle{ val dma_mem_wdata = Input(UInt(64.W)) val dma_mem_tag = Input(UInt(3.W)) } + class dma_ifc extends Bundle{ val dma_iccm_stall_any = Input(Bool()) } @@ -272,14 +304,11 @@ class trace_pkt_t extends Bundle{ val rv_i_tval_ip = Output(UInt(32.W) ) } - - - class dec_dbg extends Bundle{ val dbg_ib = new dbg_ib val dbg_dctl = new dbg_dctl - } + class dbg_ib extends Bundle{ val dbg_cmd_valid = Input(Bool()) // debugger abstract command valid val dbg_cmd_write = Input(Bool()) // command is a write @@ -288,11 +317,9 @@ class dbg_ib extends Bundle{ } class dbg_dctl extends Bundle{ - val dbg_cmd_wrdata = Input(UInt(2.W)) // command write data, for fence/fence_i + val dbg_cmd_wrdata = Input(UInt(32.W)) // command write data, for fence/fence_i } - - class dec_alu extends Bundle { val dec_i0_alu_decode_d = Input(UInt(1.W)) // Valid val dec_csr_ren_d = Input(Bool()) // extra decode @@ -389,7 +416,6 @@ object inst_pkt_t extends Enumeration{ } class load_cam_pkt_t extends Bundle { - //val valid = UInt(1.W) val wb = UInt(1.W) val tag = UInt(3.W) val rd = UInt(5.W) @@ -402,7 +428,6 @@ class rets_pkt_t extends Bundle { } class br_pkt_t extends Bundle { - // val valid = UInt(1.W) val toffset = UInt(12.W) val hist = UInt(2.W) val br_error = UInt(1.W) @@ -415,7 +440,6 @@ class br_pkt_t extends Bundle { class br_tlu_pkt_t extends Bundle { - // val valid = UInt(1.W) val hist = UInt(2.W) val br_error = UInt(1.W) val br_start_error = UInt(1.W) @@ -430,7 +454,6 @@ class predict_pkt_t extends Bundle { val pc4 = UInt(1.W) val hist = UInt(2.W) val toffset = UInt(12.W) - // val valid = UInt(1.W) val br_error = UInt(1.W) val br_start_error = UInt(1.W) val prett = UInt(31.W) @@ -448,7 +471,7 @@ class trap_pkt_t extends Bundle { val icaf_type = UInt(2.W) val fence_i = UInt(1.W) val i0trigger = UInt(4.W) - val pmu_i0_itype =UInt(4.W) //new inst_pkt_t //pmu-instructiontype + val pmu_i0_itype =UInt(4.W) //pmu-instructiontype val pmu_i0_br_unpred = UInt(1.W) //pmu val pmu_divide = UInt(1.W) val pmu_lsu_misaligned = UInt(1.W) @@ -460,7 +483,6 @@ class dest_pkt_t extends Bundle { val i0store = UInt(1.W) val i0div = UInt(1.W) val i0v = UInt(1.W) - // val i0valid = UInt(1.W) val csrwen = UInt(1.W) val csrwonly = UInt(1.W) val csrwaddr = UInt(12.W) @@ -514,11 +536,9 @@ class lsu_pkt_t extends Bundle { val store_data_bypass_d = Bool() val load_ldst_bypass_d = Bool() val store_data_bypass_m = Bool() -// val valid = Bool() } class lsu_error_pkt_t extends Bundle { - // val exc_valid = UInt(1.W) val single_ecc_error = UInt(1.W) val inst_type = UInt(1.W) //0: Load, 1: Store val exc_type = UInt(1.W) //0: MisAligned, 1: Access Fault @@ -580,7 +600,6 @@ class dec_pkt_t extends Bundle { } class mul_pkt_t extends Bundle { - // val valid = UInt(1.W) val rs1_sign = UInt(1.W) val rs2_sign = UInt(1.W) val low = UInt(1.W) @@ -602,7 +621,6 @@ class mul_pkt_t extends Bundle { } class div_pkt_t extends Bundle { - // val valid = UInt(1.W) val unsign = UInt(1.W) val rem = UInt(1.W) } @@ -611,7 +629,6 @@ class ccm_ext_in_pkt_t extends Bundle { val TEST1 = UInt(1.W) val RME = UInt(1.W) val RM = UInt(4.W) - val LS = UInt(1.W) val DS = UInt(1.W) val SD = UInt(1.W) @@ -744,4 +761,4 @@ class dec_tlu_csr_pkt extends Bundle{ val presync =UInt(1.W) val postsync =UInt(1.W) val legal =UInt(1.W) -} +} \ No newline at end of file diff --git a/src/main/scala/lib/ahb_to_axi4.scala b/src/main/scala/lib/ahb_to_axi4.scala index 87be1234..3975c47a 100644 --- a/src/main/scala/lib/ahb_to_axi4.scala +++ b/src/main/scala/lib/ahb_to_axi4.scala @@ -2,84 +2,184 @@ package lib import chisel3._ import chisel3.util._ import chisel3.experimental.chiselName +import include._ @chiselName -class ahb_to_axi4 extends Module with lib with RequireAsyncReset { - val TAG = 1 +class ahb_to_axi4(TAG : Int) extends Module with lib with RequireAsyncReset { val io = IO(new Bundle { - val scan_mode = Input(Bool()) - val bus_clk_en = Input(Bool()) - val clk_override = Input(Bool()) - val axi_awready = Input(Bool()) - val axi_wready = Input(Bool()) - val axi_bvalid = Input(Bool()) - val axi_bresp = Input(UInt(2.W)) - val axi_bid = Input(UInt(TAG.W)) - val axi_arready = Input(Bool()) - val axi_rvalid = Input(Bool()) - val axi_rid = Input(UInt(TAG.W)) - val axi_rdata = Input(UInt(64.W)) - val axi_rresp = Input(UInt(2.W)) - val ahb_haddr = Input(UInt(32.W)) // ahb bus address - val ahb_hburst = Input(UInt(3.W)) // tied to 0 - val ahb_hmastlock = Input(Bool()) // tied to 0 - val ahb_hprot = Input(UInt(4.W)) // tied to 4'b0011 - val ahb_hsize = Input(UInt(3.W)) // size of bus transaction (possible values 0 =1 =2 =3) - val ahb_htrans = Input(UInt(2.W)) // Transaction type (possible values 0 =2 only right now) - val ahb_hwrite = Input(Bool()) // ahb bus write - val ahb_hwdata = Input(UInt(64.W)) // ahb bus write data - val ahb_hsel = Input(Bool()) // this slave was selected - val ahb_hreadyin = Input(Bool()) // previous hready was accepted or not - // outputs - val axi_awvalid = Output(Bool()) - val axi_awid = Output(UInt(TAG.W)) - val axi_awaddr = Output(UInt(32.W)) - val axi_awsize = Output(UInt(3.W)) - val axi_awprot = Output(UInt(3.W)) - val axi_awlen = Output(UInt(8.W)) - val axi_awburst = Output(UInt(2.W)) - val axi_wvalid = Output(Bool()) - val axi_wdata = Output(UInt(64.W)) - val axi_wstrb = Output(UInt(8.W)) - val axi_wlast = Output(Bool()) - val axi_bready = Output(Bool()) - val axi_arvalid = Output(Bool()) - val axi_arid = Output(UInt(TAG.W)) - val axi_araddr = Output(UInt(32.W)) - val axi_arsize = Output(UInt(3.W)) - val axi_arprot = Output(UInt(3.W)) - val axi_arlen = Output(UInt(8.W)) - val axi_arburst = Output(UInt(2.W)) - val axi_rready = Output(Bool()) - val ahb_hrdata = Output(UInt(64.W)) // ahb bus read data - val ahb_hreadyout = Output(Bool()) // slave ready to accept transaction - val ahb_hresp = Output(Bool()) // slave response (high indicates erro) - }) - io.axi_awvalid := 0.U - io.axi_awid := 0.U - io.axi_awaddr := 0.U - io.axi_awsize := 0.U - io.axi_awprot := 0.U - io.axi_awlen := 0.U - io.axi_awburst := 0.U - io.axi_wvalid := 0.U - io.axi_wdata := 0.U - io.axi_wstrb := 0.U - io.axi_wlast := 0.U - io.axi_bready := 0.U - io.axi_arvalid := 0.U - io.axi_arid := 0.U - io.axi_araddr := 0.U - io.axi_arsize := 0.U - io.axi_arprot := 0.U - io.axi_arlen := 0.U - io.axi_arburst := 0.U - io.axi_rready := 0.U - io.ahb_hrdata := 0.U - io.ahb_hreadyout := 0.U - io.ahb_hresp := 0.U + val scan_mode = Input(Bool()) + val bus_clk_en = Input(Bool()) + val clk_override = Input(Bool()) + + val axi = new axi_channels(TAG) + val ahb = new Bundle{ + val sig = Flipped(new ahb_channel()) + val hsel = Input(Bool()) + val hreadyin = Input(Bool())} + }) + io.axi <> 0.U.asTypeOf(io.axi) + val idle:: wr :: rd :: pend :: Nil = Enum(4) + val master_wstrb = WireInit(0.U(8.W)) + val buf_state_en = WireInit(false.B) + + // Buffer signals (one entry buffer) + val buf_read_error_in = WireInit(false.B) + val buf_read_error = WireInit(false.B) + val buf_rdata = WireInit(0.U(64.W)) + val ahb_hready = WireInit(Bool(), false.B) + val ahb_hready_q = WireInit(Bool(), false.B) + val ahb_htrans_in = WireInit(0.U(2.W)) + val ahb_htrans_q = WireInit(0.U(2.W)) + val ahb_hsize_q = WireInit(0.U(3.W)) + val ahb_hwrite_q = WireInit(Bool(), false.B) + val ahb_haddr_q = WireInit(0.U(32.W)) + val ahb_hwdata_q = WireInit(0.U(64.W)) + val ahb_hresp_q = WireInit(Bool(), false.B) + + // signals needed for the read data coming back from the core and to block any further commands as AHB is a blocking bus + val buf_rdata_en = WireInit(Bool(), false.B) + val ahb_bus_addr_clk_en = WireInit(Bool(), false.B) + val buf_rdata_clk_en = WireInit(Bool(), false.B) + val ahb_clk = Wire(Clock()) + val ahb_addr_clk = Wire(Clock()) + val buf_rdata_clk = Wire(Clock()) + + // Command buffer is the holding station where we convert to AXI and send to core + val cmdbuf_wr_en = WireInit(Bool(), false.B) + val cmdbuf_rst = WireInit(Bool(), false.B) + val cmdbuf_full = WireInit(Bool(), false.B) + val cmdbuf_vld = WireInit(Bool(), false.B) + val cmdbuf_write = WireInit(Bool(), false.B) + val cmdbuf_size = WireInit(0.U(2.W)) + val cmdbuf_wstrb = WireInit(0.U(8.W)) + val cmdbuf_addr = WireInit(0.U(32.W)) + val cmdbuf_wdata = WireInit(0.U(64.W)) + val bus_clk = Wire(Clock()) + + // Address check dccm + val (ahb_addr_in_dccm_region_nc,ahb_addr_in_dccm) = rvrangecheck(DCCM_SADR,DCCM_SIZE,ahb_haddr_q) + val (ahb_addr_in_iccm_region_nc,ahb_addr_in_iccm) = if(ICCM_ENABLE) rvrangecheck(ICCM_SADR ,ICCM_SIZE,ahb_haddr_q) else (0.U,0.U) + val (ahb_addr_in_pic_region_nc, ahb_addr_in_pic) = rvrangecheck(PIC_BASE_ADDR,PIC_SIZE,ahb_haddr_q) + // PIC memory address check + // FSM to control the bus states and when to block the hready and load the command buffer + val buf_state = WireInit(idle) + val buf_nxtstate = WireInit(idle) + buf_nxtstate := idle + buf_state_en := false.B + buf_rdata_en := false.B // signal to load the buffer when the core sends read data back + buf_read_error_in := false.B // signal indicating that an error came back with the read from the core + cmdbuf_wr_en := false.B // all clear from the gasket to load the buffer with the command for reads, command/dat for writes + switch(buf_state) { + + is(idle) { + buf_nxtstate := Mux(io.ahb.sig.out.hwrite, wr, rd) + buf_state_en := ahb_hready & io.ahb.sig.out.htrans(1) & io.ahb.hsel // only transition on a valid hrtans + } + is(wr) { // Write command recieved last cycle + buf_nxtstate := Mux((io.ahb.sig.in.hresp | (io.ahb.sig.out.htrans(1, 0) === "b0".U) | !io.ahb.hsel).asBool, idle, Mux(io.ahb.sig.out.hwrite, wr, rd)) + buf_state_en := (!cmdbuf_full | io.ahb.sig.in.hresp) + cmdbuf_wr_en := !cmdbuf_full & !(io.ahb.sig.in.hresp | ((io.ahb.sig.out.htrans(1, 0) === "b01".U(2.W)) & io.ahb.hsel)) // Dont send command to the buffer in case of an error or when the master is not ready with the data now. + } + is(rd) { // Read command recieved last cycle. + buf_nxtstate := Mux(io.ahb.sig.in.hresp, idle, pend) // If error go to idle, else wait for read data + buf_state_en := (!cmdbuf_full | io.ahb.sig.in.hresp) // only when command can go, or if its an error + cmdbuf_wr_en := !io.ahb.sig.in.hresp & !cmdbuf_full // send command only when no error + } + is(pend) { // Read Command has been sent. Waiting on Data. + buf_nxtstate := idle // go back for next command and present data next cycle + buf_state_en := io.axi.r.valid & !cmdbuf_write // read data is back + buf_rdata_en := buf_state_en // buffer the read data coming back from core + buf_read_error_in := buf_state_en & io.axi.r.bits.resp(1, 0).orR // buffer error flag if return has Error ( ECC ) + } + } + buf_state := withClock(ahb_clk){RegEnable(buf_nxtstate,0.U,buf_state_en.asBool())} + + master_wstrb := (Fill(8,ahb_hsize_q(2,0) === 0.U) & (1.U << ahb_haddr_q(2,0)).asUInt()) | + (Fill(8,ahb_hsize_q(2,0) === 1.U) & (3.U << ahb_haddr_q(2,0)).asUInt()) | + (Fill(8,ahb_hsize_q(2,0) === 2.U) & (15.U << ahb_haddr_q(2,0)).asUInt()) | + (Fill(8,ahb_hsize_q(2,0) === 3.U) & 255.U) + + // AHB signals + io.ahb.sig.in.hready := Mux(io.ahb.sig.in.hresp,(ahb_hresp_q & !ahb_hready_q), ((!cmdbuf_full | (buf_state === idle)) & !(buf_state === rd | buf_state === pend) & !buf_read_error)) + ahb_hready := io.ahb.sig.in.hready & io.ahb.hreadyin + ahb_htrans_in := Fill(2,io.ahb.hsel) & io.ahb.sig.out.htrans(1,0) + io.ahb.sig.in.hrdata := buf_rdata(63,0) + io.ahb.sig.in.hresp := ((ahb_htrans_q(1,0) =/= 0.U) & (buf_state =/= idle) & + ((!(ahb_addr_in_dccm | ahb_addr_in_iccm)) | // request not for ICCM or DCCM + ((ahb_addr_in_iccm | (ahb_addr_in_dccm & ahb_hwrite_q)) & !((ahb_hsize_q(1,0) === 2.U) | (ahb_hsize_q(1,0) === 3.U))) | // ICCM Rd/Wr OR DCCM Wr not the right size + ((ahb_hsize_q(2,0) === 1.U) & ahb_haddr_q(0)) | // HW size but unaligned + ((ahb_hsize_q(2,0) === 2.U) & (ahb_haddr_q(1,0)).orR) | // W size but unaligned + ((ahb_hsize_q(2,0) === 3.U) & (ahb_haddr_q(2,0)).orR))) | // DW size but unaligned + buf_read_error | // Read ECC error + (ahb_hresp_q & !ahb_hready_q) + + // Buffer signals - needed for the read data and ECC error response + buf_rdata := withClock(buf_rdata_clk){RegNext(io.axi.r.bits.data,0.U)} + buf_read_error := withClock(ahb_clk){RegNext(buf_read_error_in,0.U)} + + // All the Master signals are captured before presenting it to the command buffer. We check for Hresp before sending it to the cmd buffer. + ahb_hresp_q := withClock(ahb_clk){RegNext(io.ahb.sig.in.hresp,0.U)} + ahb_hready_q := withClock(ahb_clk){RegNext(ahb_hready,0.U)} + ahb_htrans_q := withClock(ahb_clk){RegNext(ahb_htrans_in,0.U)} + ahb_hsize_q := withClock(ahb_addr_clk){RegNext(io.ahb.sig.out.hsize,0.U)} + ahb_hwrite_q := withClock(ahb_addr_clk){RegNext(io.ahb.sig.out.hwrite,0.U)} + ahb_haddr_q := withClock(ahb_addr_clk){RegNext(io.ahb.sig.out.haddr,0.U)} + + // Clock header logic + ahb_bus_addr_clk_en := io.bus_clk_en & (ahb_hready & io.ahb.sig.out.htrans(1)) + buf_rdata_clk_en := io.bus_clk_en & buf_rdata_en; + + ahb_clk := rvclkhdr(clock, io.bus_clk_en, io.scan_mode) + ahb_addr_clk := rvclkhdr(clock, ahb_bus_addr_clk_en, io.scan_mode) + buf_rdata_clk := rvclkhdr(clock, buf_rdata_clk_en, io.scan_mode) + + cmdbuf_rst := (((io.axi.aw.valid & io.axi.aw.ready) | (io.axi.ar.valid & io.axi.ar.ready)) & !cmdbuf_wr_en) | (io.ahb.sig.in.hresp & !cmdbuf_write) + cmdbuf_full := (cmdbuf_vld & !((io.axi.aw.valid & io.axi.aw.ready) | (io.axi.ar.valid & io.axi.ar.ready))) + //rvdffsc + cmdbuf_vld := withClock(bus_clk) {RegNext((Mux(cmdbuf_wr_en.asBool(),"b1".U,cmdbuf_vld) & !cmdbuf_rst), 0.U)} + + //dffs + cmdbuf_write := withClock(bus_clk) { + RegEnable(ahb_hwrite_q, 0.U, cmdbuf_wr_en.asBool())} + + cmdbuf_size := withClock(bus_clk) { + RegEnable(ahb_hsize_q, 0.U, cmdbuf_wr_en.asBool())} + + cmdbuf_wstrb := withClock(bus_clk) { + RegEnable(master_wstrb, 0.U, cmdbuf_wr_en.asBool())} + + //rvdffe + cmdbuf_addr := rvdffe(ahb_haddr_q, cmdbuf_wr_en.asBool(),bus_clk,io.scan_mode) + cmdbuf_wdata := rvdffe(io.ahb.sig.out.hwdata, cmdbuf_wr_en.asBool(),bus_clk,io.scan_mode) + + // AXI Write Command Channel + io.axi.aw.valid := cmdbuf_vld & cmdbuf_write + io.axi.aw.bits.id := Fill(TAG, 0.U) + io.axi.aw.bits.addr := cmdbuf_addr + io.axi.aw.bits.size := Cat("b0".U, cmdbuf_size(1, 0)) + io.axi.aw.bits.prot := Fill(3, 0.U) + io.axi.aw.bits.len := Fill(8, 0.U) + io.axi.aw.bits.burst := "b01".U + // AXI Write Data Channel - This is tied to the command channel as we only write the command buffer once we have the data. + io.axi.w.valid := cmdbuf_vld & cmdbuf_write + io.axi.w.bits.data := cmdbuf_wdata + io.axi.w.bits.strb := cmdbuf_wstrb + io.axi.w.bits.last := "b1".U + // AXI Write Response - Always ready. AHB does not require a write response. + io.axi.b.ready := "b1".U + // AXI Read Channels + io.axi.ar.valid := cmdbuf_vld & !cmdbuf_write + io.axi.ar.bits.id := Fill(TAG, 0.U) + io.axi.ar.bits.addr := cmdbuf_addr + io.axi.ar.bits.size := Cat("b0".U, cmdbuf_size(1, 0)) + io.axi.ar.bits.prot := Fill(3, 0.U) + io.axi.ar.bits.len := Fill(8, 0.U) + io.axi.ar.bits.burst := "b01".U + // AXI Read Response Channel - Always ready as AHB reads are blocking and the the buffer is available for the read coming back always. + io.axi.r.ready := true.B + bus_clk := rvclkhdr(clock, io.bus_clk_en, io.scan_mode) +} + +object ahb_to_axi4 extends App { + println((new chisel3.stage.ChiselStage).emitVerilog(new ahb_to_axi4(3))) } -//object AHB_main extends App { -// println("Generate Verilog") - // println((new chisel3.stage.ChiselStage).emitVerilog(new ahb_to_axi4())) -//} \ No newline at end of file diff --git a/src/main/scala/lib/axi4_to_ahb.scala b/src/main/scala/lib/axi4_to_ahb.scala index 642ce81a..16944b78 100644 --- a/src/main/scala/lib/axi4_to_ahb.scala +++ b/src/main/scala/lib/axi4_to_ahb.scala @@ -2,65 +2,32 @@ package lib import chisel3._ import chisel3.util._ +import include._ -trait Config { - val TAG = 1 -} - -class axi4_to_ahb_IO extends Bundle with Config { +class axi4_to_ahb_IO(val TAG : Int) extends Bundle { val scan_mode = Input(Bool()) val bus_clk_en = Input(Bool()) val clk_override = Input(Bool()) - val axi_awvalid = Input(Bool()) - val axi_awid = Input(UInt(TAG.W)) // [TAG-1:0] - val axi_awaddr = Input(UInt(32.W)) // [31:0] - val axi_awsize = Input(UInt(3.W)) // [2:0] - val axi_awprot = Input(UInt(3.W)) // [2:0] - val axi_wvalid = Input(Bool()) - val axi_wdata = Input(UInt(64.W)) // [63:0] - val axi_wstrb = Input(UInt(8.W)) // [7:0] - val axi_wlast = Input(Bool()) - val axi_bready = Input(Bool()) - val axi_arvalid = Input(Bool()) - val axi_arid = Input(UInt(TAG.W)) // [TAG-1:0] - val axi_araddr = Input(UInt(32.W)) // [31:0] - val axi_arsize = Input(UInt(3.W)) // [2:0] - val axi_arprot = Input(UInt(3.W)) // [2:0] - val axi_rready = Input(Bool()) - val ahb_hrdata = Input(UInt(64.W)) // [63:0] // ahb bus read data - val ahb_hready = Input(Bool()) // slave ready to accept transaction - val ahb_hresp = Input(Bool()) // slave response (high indicates erro) - //----------------------------outputs--------------------------- - val axi_awready = Output(Bool()) - val axi_wready = Output(Bool()) - val axi_bvalid = Output(Bool()) - val axi_bresp = Output(UInt(2.W)) // [1:0]] - val axi_bid = Output(UInt(TAG.W)) // [TAG-1:0] - // AXI Read Channels - val axi_arready = Output(Bool()) - val axi_rvalid = Output(Bool()) - val axi_rid = Output(UInt(TAG.W)) // [TAG-1:0] - val axi_rdata = Output(UInt(32.W)) // [63:0] - val axi_rresp = Output(UInt(2.W)) // 1:0] - val axi_rlast = Output(Bool()) + // AXI-4 signals + val axi = Flipped(new axi_channels(TAG)) // AHB-Lite signals - val ahb_haddr = Output(UInt(32.W)) // [31:0] // ahb bus address - val ahb_hburst = Output(UInt(3.W)) // [2:0] // tied to 0 - val ahb_hmastlock = Output(Bool()) // tied to 0 - val ahb_hprot = Output(UInt(4.W)) // [3:0] // tied to 4'b0011 - val ahb_hsize = Output(UInt(3.W)) // [2:0] // size of bus transaction (possible values 0,1,2,3) - val ahb_htrans = Output(UInt(2.W)) - val ahb_hwrite = Output(Bool()) // ahb bus write - val ahb_hwdata = Output(UInt(64.W)) // [63:0] // ahb bus write data + val ahb = new ahb_channel() } -class axi4_to_ahb extends Module with lib with RequireAsyncReset with Config { - val io = IO(new axi4_to_ahb_IO) - val idle :: cmd_rd :: cmd_wr :: data_rd :: data_wr :: done :: stream_rd :: stream_err_rd :: nil = Enum(8) - val state = RegInit(idle) // typedef enum - val buf_state = RegInit(idle) - val buf_nxtstate = RegInit(idle) +class axi4_to_ahb(val TAG : Int = 3) extends Module with lib with RequireAsyncReset { + val io = IO(new axi4_to_ahb_IO(TAG)) + val buf_rst = WireInit(0.U(1.W)) + buf_rst :=0.U + io.ahb.out.htrans := 0.U + val buf_state_en = WireInit(Bool(), init = false.B) + val ahbm_clk = Wire(Clock()) + val ahbm_addr_clk = Wire(Clock()) + val ahbm_data_clk = Wire(Clock()) + val idle :: cmd_rd :: cmd_wr :: data_rd :: data_wr :: done :: stream_rd :: stream_err_rd :: Nil = Enum(8) + val buf_state = WireInit(0.U(3.W)) + val buf_nxtstate = WireInit(0.U(3.W)) + buf_state := withClock(ahbm_clk) { RegNext((Mux(buf_state_en.asBool() ,buf_nxtstate,buf_state) & Fill(3, !buf_rst)), 0.U) } //logic signals val slave_valid = WireInit(Bool(), init = false.B) val slave_ready = WireInit(Bool(), init = false.B) @@ -100,7 +67,7 @@ class axi4_to_ahb extends Module with lib with RequireAsyncReset with Config { val buf_data = WireInit(0.U(64.W)) // [63:0] val buf_tag = WireInit(0.U(TAG.W)) // [TAG-1:0] //Miscellaneous signals - val buf_rst = WireInit(Bool(), init = false.B) + // val buf_rst = WireInit(Bool(), init = false.B) val buf_tag_in = WireInit(0.U(TAG.W)) // [TAG-1:0] val buf_addr_in = WireInit(0.U(32.W)) // [31:0] val buf_byteen_in = WireInit(0.U(8.W)) // [7:0] @@ -109,7 +76,7 @@ class axi4_to_ahb extends Module with lib with RequireAsyncReset with Config { val buf_aligned_in = WireInit(Bool(), init = false.B) val buf_size_in = WireInit(0.U(3.W)) // [2:0] - val buf_state_en = WireInit(Bool(), init = false.B) + // val buf_state_en = WireInit(Bool(), init = false.B) val buf_wr_en = WireInit(Bool(), init = false.B) val buf_data_wr_en = WireInit(Bool(), init = false.B) val slvbuf_error_en = WireInit(Bool(), init = false.B) @@ -149,92 +116,66 @@ class axi4_to_ahb extends Module with lib with RequireAsyncReset with Config { val ahbm_addr_clken = WireInit(Bool(), init = false.B) val ahbm_data_clken = WireInit(Bool(), init = false.B) val buf_clk = Wire(Clock()) - //val slvbuf_clk = Wire(Clock()) - val ahbm_clk = Wire(Clock()) - val ahbm_addr_clk = Wire(Clock()) - val ahbm_data_clk = Wire(Clock()) def get_write_size(byteen: UInt) = { - - val byteen = WireInit(0.U(8.W)) - - val size = ("b11".U & (Fill(2, (byteen(7, 0) === "hff".U))) | - ("b10".U & (Fill(2, (byteen(7, 0) === "hf0".U) | (byteen(7, 0) === "h0f".U)))) | - ("b01".U & (Fill(2, (byteen(7, 0) === "hc0".U) | (byteen(7, 0) === "h30".U) | (byteen(7, 0) === "h0c".U) | (byteen(7, 0) === "h03".U))))) + val size = ("b11".U & Fill(2, (byteen(7, 0) === "hff".U))) | + ("b10".U & (Fill(2, ((byteen(7, 0) === "hf0".U) | (byteen(7, 0) === "h0f".U(8.W)))))) | + ("b01".U(2.W) & (Fill(2, ((byteen(7, 0) === "hc0".U) | (byteen(7, 0) === "h30".U) | (byteen(7, 0) === "h0c".U(8.W)) | (byteen(7, 0) === "h03".U(8.W)))))) size } - def get_write_addr(byteen_e: UInt) = { - val byteen_e = WireInit(0.U(8.W)) - val addr = ("h0".U & (Fill(3, (byteen_e(7, 0) === "hff".U) | (byteen_e(7, 0) === "h0f".U) | (byteen_e(7, 0) === "h03".U))) | - ("h2".U & (Fill(3, (byteen_e(7, 0) === "h0c".U)))) | - ("h4".U & (Fill(3, ((byteen_e(7, 0) === "hf0".U) | (byteen_e(7, 0) === "h03".U)))) | - ("h6".U & (Fill(3, (byteen_e(7, 0) === "hc0".U)))))) + val addr = ("h0".U(3.W) & (Fill(3, ((byteen_e(7, 0) === "hff".U) | (byteen_e(7, 0) === "h0f".U(8.W)) | (byteen_e(7, 0) === "h03".U(8.W)))))) | + ("h2".U & (Fill(3, (byteen_e(7, 0) === "h0c".U(8.W))))) | + ("h4".U & (Fill(3, ((byteen_e(7, 0) === "hf0".U) | (byteen_e(7, 0) === "h03".U(8.W)))))) | + ("h6".U & (Fill(3, (byteen_e(7, 0) === "hc0".U)))) + ("h6".U & (Fill(3, (byteen_e(7, 0) === "hc0".U)))) addr } - def get_nxtbyte_ptr(current_byte_ptr: UInt, byteen: UInt, get_next: Bool): UInt = { val start_ptr = Mux(get_next, current_byte_ptr + 1.U, current_byte_ptr) val temp = (0 until 8).map(j => (byteen(j) & (j.asUInt() >= start_ptr)) -> j.U) - MuxCase(0.U, temp) + MuxCase(7.U, temp) } - - - // Write buffer - wrbuf_en := io.axi_awvalid & io.axi_awready & master_ready - wrbuf_data_en := io.axi_wvalid & io.axi_wready & master_ready - wrbuf_cmd_sent := master_valid & master_ready & (master_opc(2, 1) === "b01".U) - wrbuf_rst := wrbuf_cmd_sent & !wrbuf_en - - io.axi_awready := !(wrbuf_vld & !wrbuf_cmd_sent) & master_ready - io.axi_wready := !(wrbuf_data_vld & !wrbuf_cmd_sent) & master_ready - io.axi_arready := !(wrbuf_vld & wrbuf_data_vld) & master_ready - io.axi_rlast := true.B - wr_cmd_vld := wrbuf_vld & wrbuf_data_vld - master_valid := wr_cmd_vld | io.axi_arvalid - master_tag := Mux(wr_cmd_vld.asBool(), wrbuf_tag(TAG - 1, 0), io.axi_arid(TAG - 1, 0)) + master_valid := wr_cmd_vld | io.axi.ar.valid + master_tag := Mux(wr_cmd_vld.asBool(), wrbuf_tag(TAG - 1, 0), io.axi.ar.bits.id(TAG - 1, 0)) master_opc := Mux(wr_cmd_vld.asBool(), "b011".U, "b0".U) - master_addr := Mux(wr_cmd_vld.asBool(), wrbuf_addr(31, 0), io.axi_araddr(31, 0)) - master_size := Mux(wr_cmd_vld.asBool(), wrbuf_size(2, 0), io.axi_arsize(2, 0)) + master_addr := Mux(wr_cmd_vld.asBool(), wrbuf_addr(31, 0), io.axi.ar.bits.addr(31, 0)) + master_size := Mux(wr_cmd_vld.asBool(), wrbuf_size(2, 0), io.axi.ar.bits.size(2, 0)) master_byteen := wrbuf_byteen(7, 0) master_wdata := wrbuf_data(63, 0) // AXI response channel signals - io.axi_bvalid := slave_valid & slave_ready & slave_opc(3) - io.axi_bresp := Mux(slave_opc(0), "b10".U, Mux(slave_opc(1), "b11".U, "b0".U)) - io.axi_bid := slave_tag(TAG - 1, 0) + io.axi.b.valid := slave_valid & slave_ready & slave_opc(3) + io.axi.b.bits.resp := Mux(slave_opc(0), "b10".U, Mux(slave_opc(1), "b11".U, "b0".U)) + io.axi.b.bits.id := slave_tag(TAG - 1, 0) - io.axi_rvalid := slave_valid & slave_ready & (slave_opc(3, 2) === "b0".U) - io.axi_rresp := Mux(slave_opc(0), "b10".U, Mux(slave_opc(1), "b11".U, "b0".U)) - io.axi_rid := slave_tag(TAG - 1, 0) - io.axi_rdata := slave_rdata(63, 0) - slave_ready := io.axi_bready & io.axi_rready + io.axi.r.valid := slave_valid & slave_ready & (slave_opc(3, 2) === "b0".U) + io.axi.r.bits.resp := Mux(slave_opc(0), "b10".U, Mux(slave_opc(1), "b11".U, "b0".U)) + io.axi.r.bits.id := slave_tag(TAG - 1, 0) + io.axi.r.bits.data := slave_rdata(63, 0) + slave_ready := io.axi.b.ready & io.axi.r.ready // Clock header logic - bus_write_clk_en := io.bus_clk_en & ((io.axi_awvalid & io.axi_awready) | (io.axi_wvalid & io.axi_wready)) + bus_write_clk_en := io.bus_clk_en & ((io.axi.aw.valid & io.axi.aw.ready) | (io.axi.w.valid & io.axi.w.ready)) bus_clk := rvclkhdr(clock, io.bus_clk_en, io.scan_mode) bus_write_clk := rvclkhdr(clock, bus_write_clk_en.asBool(), io.scan_mode) - //State machine - io.ahb_htrans := 0.U - master_ready := 0.U - buf_state_en := 0.U switch(buf_state) { is(idle) { master_ready := 1.U buf_write_in := (master_opc(2, 1) === "b01".U) buf_nxtstate := Mux(buf_write_in.asBool(), cmd_wr, cmd_rd) - buf_state_en := master_valid & master_ready + buf_state_en := master_valid & 1.U buf_wr_en := buf_state_en buf_data_wr_en := buf_state_en & (buf_nxtstate === cmd_wr) buf_cmd_byte_ptr_en := buf_state_en // ---------------------FROM FUNCTION CHECK LATER - buf_cmd_byte_ptr := Mux(buf_write_in.asBool(), (get_nxtbyte_ptr("b0".U, buf_byteen_in(7, 0), false.B)).asInstanceOf[UInt], master_addr(2, 0)) + buf_cmd_byte_ptr := Mux(buf_write_in.asBool(), (get_nxtbyte_ptr(0.U(3.W), buf_byteen_in(7, 0), false.B)), master_addr(2, 0)) bypass_en := buf_state_en rd_bypass_idle := bypass_en & (buf_nxtstate === cmd_rd) - io.ahb_htrans := (Fill(2, bypass_en)) & "b10".U + io.ahb.out.htrans := (Fill(2, bypass_en)) & "b10".U } is(cmd_rd) { @@ -242,17 +183,17 @@ class axi4_to_ahb extends Module with lib with RequireAsyncReset with Config { buf_state_en := ahb_hready_q & (ahb_htrans_q(1, 0) =/= "b0".U) & !ahb_hwrite_q cmd_done := buf_state_en & !master_valid slvbuf_wr_en := buf_state_en - master_ready := (ahb_hready_q & (ahb_htrans_q(1, 0) =/= "b0".U) & !ahb_hwrite_q) & (buf_nxtstate === stream_rd) ////////////TBD//////// + master_ready := (ahb_hready_q & (ahb_htrans_q(1, 0) =/= "b0".U) & !ahb_hwrite_q) & (Mux((master_valid & (master_opc(2, 0) === "b000".U)).asBool(), stream_rd, data_rd) === stream_rd) ////////////TBD//////// buf_wr_en := master_ready bypass_en := master_ready & master_valid buf_cmd_byte_ptr := Mux(bypass_en.asBool(), master_addr(2, 0), buf_addr(2, 0)) - io.ahb_htrans := "b10".U & (Fill(2, (!buf_state_en | bypass_en))) + io.ahb.out.htrans := "b10".U & (Fill(2, (!buf_state_en | bypass_en))) } is(stream_rd) { - master_ready := (ahb_hready_q & !ahb_hresp_q) & !(master_valid & master_opc(2, 1) === "b01".U) + master_ready := (ahb_hready_q & !ahb_hresp_q) & ~(master_valid & master_opc(2, 1) === "b01".U) buf_wr_en := (master_valid & master_ready & (master_opc(2, 0) === "b000".U)) // update the fifo if we are streaming the read commands - buf_nxtstate := Mux(ahb_hresp_q.asBool(), stream_err_rd, Mux(buf_wr_en.asBool(), stream_rd, data_rd)) // assuming that the master accpets the slave response right away. + buf_nxtstate := Mux(ahb_hresp_q.asBool(), stream_err_rd, Mux((master_valid & master_ready & (master_opc(2, 0) === "b000".U)).asBool(), stream_rd, data_rd)) // assuming that the master accpets the slave response right away. buf_state_en := (ahb_hready_q | ahb_hresp_q) buf_data_wr_en := buf_state_en slvbuf_error_in := ahb_hresp_q @@ -261,8 +202,8 @@ class axi4_to_ahb extends Module with lib with RequireAsyncReset with Config { cmd_done := buf_state_en & !master_valid // last one of the stream should not send a htrans bypass_en := master_ready & master_valid & (buf_nxtstate === stream_rd) & buf_state_en buf_cmd_byte_ptr := Mux(bypass_en.asBool(), master_addr(2, 0), buf_addr(2, 0)) - io.ahb_htrans := "b10".U & Fill(2, (!((buf_nxtstate =/= stream_rd) & buf_state_en))) - slvbuf_wr_en := buf_wr_en // shifting the contents from the buf to slv_buf for streaming cases + io.ahb.out.htrans := "b10".U & Fill(2, (!((buf_nxtstate =/= stream_rd) & buf_state_en))) + slvbuf_wr_en := buf_wr_en// shifting the contents from the buf to slv_buf for streaming cases } is(stream_err_rd) { @@ -271,7 +212,7 @@ class axi4_to_ahb extends Module with lib with RequireAsyncReset with Config { slave_valid_pre := buf_state_en slvbuf_wr_en := buf_state_en // Overwrite slvbuf with buffer buf_cmd_byte_ptr := buf_addr(2, 0) - io.ahb_htrans := "b10".U(2.W) & Fill(2, !buf_state_en) + io.ahb.out.htrans := "b10".U(2.W) & Fill(2, !buf_state_en) } is(data_rd) { @@ -289,29 +230,28 @@ class axi4_to_ahb extends Module with lib with RequireAsyncReset with Config { buf_state_en := trxn_done buf_cmd_byte_ptr_en := buf_state_en slvbuf_wr_en := buf_state_en - buf_cmd_byte_ptr := Mux(trxn_done.asBool(), (get_nxtbyte_ptr(buf_cmd_byte_ptrQ(2, 0), buf_byteen(7, 0), true.B)).asInstanceOf[UInt], buf_cmd_byte_ptrQ) + buf_cmd_byte_ptr := Mux(trxn_done.asBool(), (get_nxtbyte_ptr(buf_cmd_byte_ptrQ(2, 0), buf_byteen(7, 0), true.B)), buf_cmd_byte_ptrQ) cmd_done := trxn_done & (buf_aligned | (buf_cmd_byte_ptrQ === "b111".U) | (buf_byteen((get_nxtbyte_ptr(buf_cmd_byte_ptrQ(2, 0), buf_byteen(7, 0), true.B))) === "b0".U)) - io.ahb_htrans := Fill(2, !(cmd_done | cmd_doneQ)) & "b10".U + io.ahb.out.htrans := Fill(2, !(cmd_done | cmd_doneQ)) & "b10".U } is(data_wr) { buf_state_en := (cmd_doneQ & ahb_hready_q) | ahb_hresp_q - master_ready := ((cmd_doneQ & ahb_hready_q) | ahb_hresp_q) & !ahb_hresp_q & slave_ready //////////TBD///////// // Ready to accept new command if current command done and no error - buf_nxtstate := Mux((ahb_hresp_q | !slave_ready).asBool(), done, Mux((master_valid & master_ready).asBool(), Mux((master_opc(2, 1) === "b01".U), cmd_wr, cmd_rd), idle)) + master_ready := buf_state_en & !ahb_hresp_q & slave_ready + buf_nxtstate := Mux((ahb_hresp_q | !slave_ready),done ,Mux((master_valid & master_valid),Mux((master_opc(2,1) === 1.U).asBool(),cmd_wr,cmd_rd),idle)) slvbuf_error_in := ahb_hresp_q slvbuf_error_en := buf_state_en - buf_write_in := (master_opc(2, 1) === "b01".U) + buf_write_in := master_opc(2,1) === 1.U buf_wr_en := buf_state_en & ((buf_nxtstate === cmd_wr) | (buf_nxtstate === cmd_rd)) buf_data_wr_en := buf_wr_en - cmd_done := (ahb_hresp_q | (ahb_hready_q & (ahb_htrans_q(1, 0) =/= "b0".U) & ((buf_cmd_byte_ptrQ === "b111".U) | (buf_byteen((get_nxtbyte_ptr(buf_cmd_byte_ptrQ(2, 0), buf_byteen(7, 0), true.B))) === "b0".U)))) - bypass_en := buf_state_en & buf_write_in & (buf_nxtstate === cmd_wr) // Only bypass for writes for the time being - io.ahb_htrans := Fill(2, (!(cmd_done | cmd_doneQ) | bypass_en)) & "b10".U + cmd_done := (ahb_hresp_q | (ahb_hready_q & (ahb_htrans_q(1,0) =/= 0.U) & + ((buf_cmd_byte_ptrQ === 7.U) | (buf_byteen(get_nxtbyte_ptr(buf_cmd_byte_ptrQ(2,0),buf_byteen(7,0),true.B)) === 0.U)))) + bypass_en := buf_state_en & buf_write_in & (buf_nxtstate === cmd_wr) + io.ahb.out.htrans := Fill(2, (!(cmd_done | cmd_doneQ) | bypass_en)) & 2.U slave_valid_pre := buf_state_en & (buf_nxtstate =/= done) - trxn_done := ahb_hready_q & ahb_hwrite_q & (ahb_htrans_q(1, 0) =/= "b0".U) + trxn_done := ahb_hready_q & ahb_hwrite_q & (ahb_htrans_q(1,0) =/= 0.U) buf_cmd_byte_ptr_en := trxn_done | bypass_en - //val tmp_func = get_nxtbyte_ptr(Fill(3,0.U),buf_byteen_in(7,0),false.B) - //val tmp_func2 = get_nxtbyte_ptr(buf_cmd_byte_ptrQ(2,0),buf_byteen(7,0),true.B) - buf_cmd_byte_ptr := Mux(bypass_en, get_nxtbyte_ptr(Fill(3, 0.U), buf_byteen_in(7, 0), false.B), Mux(trxn_done, get_nxtbyte_ptr(buf_cmd_byte_ptrQ(2, 0), buf_byteen(7, 0), true.B), buf_cmd_byte_ptrQ)) + buf_cmd_byte_ptr := Mux(bypass_en,get_nxtbyte_ptr(0.U(3.W),buf_byteen_in(7,0),false.B),Mux(trxn_done,get_nxtbyte_ptr(buf_cmd_byte_ptrQ(2,0),buf_byteen(7,0),true.B),buf_cmd_byte_ptrQ)) } is(done) { buf_nxtstate := idle @@ -320,124 +260,81 @@ class axi4_to_ahb extends Module with lib with RequireAsyncReset with Config { slave_valid_pre := true.B } } - - buf_rst := false.B + // buf_rst := 0.U cmd_done_rst := slave_valid_pre - buf_addr_in := Cat(master_addr, Mux((buf_aligned_in & (master_opc(2, 1) === "b01".U)).asBool(), get_write_addr(master_byteen(7, 0)), master_addr(2, 0))) + buf_addr_in := Cat(master_addr(31,3),Mux((buf_aligned_in & (master_opc(2, 1) === "b01".U)).asBool(), get_write_addr(master_byteen(7, 0)), master_addr(2, 0))) buf_tag_in := master_tag(TAG - 1, 0) buf_byteen_in := wrbuf_byteen(7,0) buf_data_in := Mux((buf_state === data_rd), ahb_hrdata_q(63, 0), master_wdata(63, 0)) - buf_size_in := Mux((buf_aligned_in & (master_size(1, 0) === "b11".U) & (master_opc(2, 1) === "b01".U)).asBool(), get_write_size(master_byteen(7, 0)), master_size(1, 0)) - buf_aligned_in := (master_opc(2, 0) === "b0".U) | // reads are always aligned since they are either DW or sideeffects - (master_size(1, 0) === "b0".U) | (master_size(1, 0) === "b01".U) | (master_size(1, 0) === "b10".U) | // Always aligned for Byte/HW/Word since they can be only for non-idempotent. IFU/SB are always aligned + buf_size_in := Mux((buf_aligned_in & (master_size(1,0) === "b11".U) & (master_opc(2, 1) === "b01".U)).asBool(),get_write_size(master_byteen(7,0)), master_size(1,0)) + buf_aligned_in := (master_opc(2, 0) === 0.U) | // reads are always aligned since they are either DW or sideeffects + (master_size(1, 0) === 0.U) | (master_size(1, 0) === "b01".U(2.W)) | (master_size(1, 0) === "b10".U) | // Always aligned for Byte/HW/Word since they can be only for non-idempotent. IFU/SB are always aligned ((master_size(1, 0) === "b11".U) & ((master_byteen(7, 0) === "h3".U) | (master_byteen(7, 0) === "hc".U) | (master_byteen(7, 0) === "h30".U) | (master_byteen(7, 0) === "hc0".U) | (master_byteen(7, 0) === "hf".U) | (master_byteen(7, 0) === "hf0".U) | (master_byteen(7, 0) === "hff".U))) // Generate the ahb signals - io.ahb_haddr := Mux(bypass_en.asBool(), Cat(master_addr(31, 3), buf_cmd_byte_ptr(2, 0)), Cat(buf_addr(31, 3), buf_cmd_byte_ptr(2, 0))) - io.ahb_hsize := Mux(bypass_en.asBool(), Cat("b0".U, (Fill(2, buf_aligned_in) & buf_size_in(1, 0))), (Cat("b0".U, (Fill(2, buf_aligned) & buf_size(1, 0))))) + io.ahb.out.haddr := Mux(bypass_en.asBool(), Cat(master_addr(31, 3), buf_cmd_byte_ptr(2, 0)), Cat(buf_addr(31, 3), buf_cmd_byte_ptr(2, 0))) + io.ahb.out.hsize := Mux(bypass_en.asBool(), Cat(0.U, (Fill(2, buf_aligned_in) & buf_size_in(1, 0))), Cat("b0".U, (Fill(2, buf_aligned) & buf_size(1, 0)))) - io.ahb_hburst := "b0".U - io.ahb_hmastlock := "b0".U - io.ahb_hprot := Cat("b001".U, ~io.axi_arprot(2)) - io.ahb_hwrite := Mux(bypass_en.asBool(), (master_opc(2, 1) === "b01".U), buf_write) - io.ahb_hwdata := buf_data(63, 0) + io.ahb.out.hburst := "b0".U + io.ahb.out.hmastlock := "b0".U + io.ahb.out.hprot := Cat("b001".U, !io.axi.ar.bits.prot(2)) + io.ahb.out.hwrite := Mux(bypass_en.asBool(), (master_opc(2, 1) === "b01".U), buf_write) + io.ahb.out.hwdata := buf_data(63, 0) slave_valid := slave_valid_pre slave_opc := Cat(Mux(slvbuf_write.asBool(), "b11".U, "b00".U), Fill(2, slvbuf_error) & "b10".U) slave_rdata := Mux(slvbuf_error.asBool(), Fill(2, last_bus_addr(31, 0)), Mux((buf_state === done), buf_data(63, 0), ahb_hrdata_q(63, 0))) slave_tag := slvbuf_tag(TAG - 1, 0) - last_addr_en := (io.ahb_htrans(1, 0) =/= "b0".U) & io.ahb_hready & io.ahb_hwrite + last_addr_en := (io.ahb.out.htrans(1, 0) =/= "b0".U) & io.ahb.in.hready & io.ahb.out.hwrite + // Write buffer + wrbuf_en := io.axi.aw.valid & io.axi.aw.ready & master_ready + wrbuf_data_en := io.axi.w.valid & io.axi.w.ready & master_ready + wrbuf_cmd_sent := master_valid & master_ready & (master_opc(2, 1) === "b01".U) + wrbuf_rst := wrbuf_cmd_sent & !wrbuf_en - //rvdffsc - wrbuf_vld := withClock(bus_clk) {RegEnable("b1".U & Fill("b1".U.getWidth, wrbuf_rst), 0.U, wrbuf_en.asBool())} - wrbuf_data_vld := withClock(bus_clk) {RegEnable("b1".U & Fill("b1".U.getWidth, wrbuf_rst), 0.U, wrbuf_data_en.asBool())} - //rvdffs - wrbuf_tag := withClock(bus_clk) {RegEnable(io.axi_awid(TAG - 1, 0), 0.U, wrbuf_en.asBool())} - wrbuf_size := withClock(bus_clk) {RegEnable(io.axi_awsize(2, 0), 0.U, wrbuf_en.asBool())} - //rvdffe - wrbuf_addr := RegEnable(io.axi_awaddr, 0.U, wrbuf_en.asBool()) - wrbuf_data := RegEnable(io.axi_wdata, 0.U, wrbuf_data_en.asBool()) - //rvdffs - wrbuf_byteen := withClock(bus_clk) { - RegEnable(io.axi_wstrb(7, 0), 0.U, wrbuf_data_en.asBool()) - } - last_bus_addr := withClock(ahbm_clk) { - RegEnable(io.ahb_haddr(31, 0), 0.U, last_addr_en.asBool()) - } - //sc - buf_state := withClock(ahbm_clk) { - RegEnable(buf_nxtstate & Fill(buf_nxtstate.getWidth, buf_rst), 0.U, buf_state_en.asBool()) - } - //s - buf_write := withClock(buf_clk) { - RegEnable(buf_write_in, 0.U, buf_wr_en.asBool()) - } - buf_tag := withClock(buf_clk) { - RegEnable(buf_tag_in(TAG - 1, 0), 0.U, buf_wr_en.asBool()) - } - //e - buf_addr := RegEnable(buf_addr_in(31, 0), 0.U, (buf_wr_en & io.bus_clk_en).asBool) - //s - buf_size := withClock(buf_clk) { - RegEnable(buf_size(1, 0), 0.U, buf_wr_en.asBool()) - } - buf_aligned := withClock(buf_clk) { - RegEnable(buf_aligned_in, 0.U, buf_wr_en.asBool()) - } - buf_byteen := withClock(buf_clk) { - RegEnable(buf_byteen(7, 0), 0.U, buf_wr_en.asBool()) - } - //e - buf_data := RegEnable(buf_data_in(63, 0), 0.U, (buf_data_wr_en & io.bus_clk_en).asBool()) - //s - slvbuf_write := withClock(buf_clk) { - RegEnable(buf_write, 0.U, slvbuf_wr_en.asBool()) - } - slvbuf_tag := withClock(buf_clk) { - RegEnable(buf_tag(TAG - 1, 0), 0.U, slvbuf_wr_en.asBool()) - } - slvbuf_error := withClock(ahbm_clk) { - RegEnable(slvbuf_error_in, 0.U, slvbuf_error_en.asBool()) - } - //sc - cmd_doneQ := withClock(ahbm_clk) { - RegEnable("b1".U & Fill("b1".U.getWidth, cmd_done_rst), 0.U, cmd_done.asBool()) - } - //rvdffs - buf_cmd_byte_ptrQ := withClock(ahbm_clk) { - RegEnable(buf_cmd_byte_ptr(2, 0), 0.U, buf_cmd_byte_ptr_en.asBool()) - } + io.axi.aw.ready := !(wrbuf_vld & !wrbuf_cmd_sent) & master_ready + io.axi.w.ready := !(wrbuf_data_vld & !wrbuf_cmd_sent) & master_ready + io.axi.ar.ready := !(wrbuf_vld & wrbuf_data_vld) & master_ready + io.axi.r.bits.last := true.B - //rvdff - ahb_hready_q := withClock(ahbm_clk) { - RegNext(io.ahb_hready, 0.U) - } - ahb_htrans_q := withClock(ahbm_clk) { - RegNext(io.ahb_htrans(1, 0), 0.U) - } - ahb_hwrite_q := withClock(ahbm_addr_clk) { - RegNext(io.ahb_hwrite, 0.U) - } - ahb_hresp_q := withClock(ahbm_clk) { - RegNext(io.ahb_hresp, 0.U) - } - ahb_hrdata_q := withClock(ahbm_data_clk) { - RegNext(io.ahb_hrdata(63, 0), 0.U) - } + wrbuf_vld := withClock(bus_clk) {RegNext(Mux(wrbuf_en.asBool(),1.U,wrbuf_vld) & !wrbuf_rst, 0.U)} + wrbuf_data_vld := withClock(bus_clk) {RegNext(Mux(wrbuf_data_en.asBool(),1.U, wrbuf_data_vld) & !wrbuf_rst, 0.U)} + wrbuf_tag := withClock(bus_clk) {RegEnable(io.axi.aw.bits.id(TAG - 1, 0), 0.U, wrbuf_en.asBool())} + wrbuf_size := withClock(bus_clk) {RegEnable(io.axi.aw.bits.size(2, 0), 0.U, wrbuf_en.asBool())} + wrbuf_addr := rvdffe(io.axi.aw.bits.addr, wrbuf_en.asBool,bus_clk,io.scan_mode) + wrbuf_data := rvdffe(io.axi.w.bits.data, wrbuf_data_en.asBool,bus_clk,io.scan_mode) + wrbuf_byteen := withClock(bus_clk) {RegEnable(io.axi.w.bits.strb(7, 0), 0.U, wrbuf_data_en.asBool())} + last_bus_addr := withClock(ahbm_clk) {RegEnable(io.ahb.out.haddr(31, 0), 0.U, last_addr_en.asBool())} + buf_write := withClock(buf_clk) {RegEnable(buf_write_in, 0.U, buf_wr_en.asBool())} + buf_tag := withClock(buf_clk) {RegEnable(buf_tag_in(TAG - 1, 0), 0.U, buf_wr_en.asBool())} + buf_addr := rvdffe(buf_addr_in(31, 0),(buf_wr_en & io.bus_clk_en).asBool,clock,io.scan_mode) + buf_size := withClock(buf_clk) {RegEnable(buf_size_in(1, 0), 0.U, buf_wr_en.asBool())} + buf_aligned := withClock(buf_clk) {RegEnable(buf_aligned_in, 0.U, buf_wr_en.asBool())} + buf_byteen := withClock(buf_clk) {RegEnable(buf_byteen_in(7, 0), 0.U, buf_wr_en.asBool())} + buf_data := rvdffe(buf_data_in(63, 0),(buf_data_wr_en & io.bus_clk_en).asBool(),clock,io.scan_mode) + slvbuf_write := withClock(buf_clk) {RegEnable(buf_write, 0.U, slvbuf_wr_en.asBool())} + slvbuf_tag := withClock(buf_clk) {RegEnable(buf_tag(TAG - 1, 0), 0.U, slvbuf_wr_en.asBool())} + slvbuf_error := withClock(ahbm_clk) {RegEnable(slvbuf_error_in, 0.U, slvbuf_error_en.asBool())} + cmd_doneQ := withClock(ahbm_clk) {RegNext(Mux(cmd_done.asBool(),1.U,cmd_doneQ) & !cmd_done_rst, 0.U)} + buf_cmd_byte_ptrQ := withClock(ahbm_clk) {RegEnable(buf_cmd_byte_ptr(2, 0), 0.U, buf_cmd_byte_ptr_en.asBool())} + ahb_hready_q := withClock(ahbm_clk) {RegNext(io.ahb.in.hready, 0.U)} + ahb_htrans_q := withClock(ahbm_clk) {RegNext(io.ahb.out.htrans(1, 0), 0.U)} + ahb_hwrite_q := withClock(ahbm_addr_clk) {RegNext(io.ahb.out.hwrite, 0.U)} + ahb_hresp_q := withClock(ahbm_clk) {RegNext(io.ahb.in.hresp, 0.U)} + ahb_hrdata_q := withClock(ahbm_data_clk) {RegNext(io.ahb.in.hrdata(63, 0), 0.U)} buf_clken := io.bus_clk_en & (buf_wr_en | slvbuf_wr_en | io.clk_override) - ahbm_addr_clken := io.bus_clk_en & ((io.ahb_hready & io.ahb_htrans(1)) | io.clk_override) + ahbm_addr_clken := io.bus_clk_en & ((io.ahb.in.hready & io.ahb.out.htrans(1)) | io.clk_override) ahbm_data_clken := io.bus_clk_en & ((buf_state =/= idle) | io.clk_override) //Clkhdr - buf_clk := rvclkhdr(clock, buf_clken, io.scan_mode) + buf_clk := rvclkhdr(clock, buf_clken, io.scan_mode) ahbm_clk := rvclkhdr(clock, io.bus_clk_en, io.scan_mode) ahbm_addr_clk := rvclkhdr(clock, ahbm_addr_clken, io.scan_mode) ahbm_data_clk := rvclkhdr(clock, ahbm_data_clken, io.scan_mode) } -//object AXImain extends App { - // println("Generate Verilog") -// println((new chisel3.stage.ChiselStage).emitVerilog(new axi4_to_ahb())) -//} \ No newline at end of file +object axi4_to_ahb extends App { + println((new chisel3.stage.ChiselStage).emitVerilog(new axi4_to_ahb(3))) +} diff --git a/src/main/scala/lib/lib.scala b/src/main/scala/lib/lib.scala index 8b23c215..42e08055 100644 --- a/src/main/scala/lib/lib.scala +++ b/src/main/scala/lib/lib.scala @@ -11,15 +11,15 @@ trait lib extends param{ def repl(b:Int, a:UInt) = VecInit.tabulate(b)(i => a).reduce(Cat(_,_)) - // def bridge_gen(tag: Int, ahb_type: Boolean) = if(BUILD_AXI4) flip(tag, ahb_type) else ahb_bridge_gen(ahb_type) + def bridge_gen(tag: Int, ahb_type: Boolean) = if(BUILD_AXI4) flip(tag, ahb_type) else ahb_bridge_gen(ahb_type) -// def flip(tag: Int , ahb_type: Boolean) = if(ahb_type) Flipped(new axi_channels(tag)) else new axi_channels(tag) + def flip(tag: Int , ahb_type: Boolean) = if(ahb_type) Flipped(new axi_channels(tag)) else new axi_channels(tag) -// def ahb_bridge_gen(ahb_type: Boolean) = if(ahb_type) new Bundle{ -// val sig = Flipped(new ahb_channel()) - // val hsel = Input(Bool()) - // val hreadyin = Input(Bool())} -// else new ahb_channel() + def ahb_bridge_gen(ahb_type: Boolean) = if(ahb_type) new Bundle{ + val sig = Flipped(new ahb_channel()) + val hsel = Input(Bool()) + val hreadyin = Input(Bool())} + else new ahb_channel() def MEM_CAL : (Int, Int, Int, Int)= (ICACHE_WAYPACK, ICACHE_ECC) match{ @@ -321,7 +321,7 @@ trait lib extends param{ val EN = Input(Bool()) val SE = Input(Bool()) }) - addResource("/vsrc/gated_latch.sv") + addResource("/vsrc/gated_latch.v") } class rvclkhdr extends Module { diff --git a/src/main/scala/lib/param.scala b/src/main/scala/lib/param.scala index 0917162d..e9af12d3 100644 --- a/src/main/scala/lib/param.scala +++ b/src/main/scala/lib/param.scala @@ -21,8 +21,8 @@ trait param { val BTB_INDEX3_HI = 0x19 val BTB_INDEX3_LO = 0x12 val BTB_SIZE = 0x200 - val BUILD_AHB_LITE = 0x0 - val BUILD_AXI4 = 0x1 + val BUILD_AHB_LITE = 0x1 + val BUILD_AXI4 = 0x0 val BUILD_AXI_NATIVE = 0x1 val BUS_PRTY_DEFAULT = 0x3 val DATA_ACCESS_ADDR0 = 0x00000000 diff --git a/src/main/scala/lsu/lsu.scala b/src/main/scala/lsu/lsu.scala index 7a72d2cc..d3494a4a 100644 --- a/src/main/scala/lsu/lsu.scala +++ b/src/main/scala/lsu/lsu.scala @@ -6,7 +6,7 @@ import chisel3.util._ import include._ import mem._ -class lsu extends Module with RequireAsyncReset with lib { +class lsu extends Module with RequireAsyncReset with param with lib { val io = IO (new Bundle { val clk_override = Input(Bool()) val lsu_dma = new lsu_dma @@ -319,6 +319,6 @@ class lsu extends Module with RequireAsyncReset with lib { withClock(clkdomain.io.lsu_c2_r_clk){lsu_raw_fwd_lo_r := RegNext(lsu_raw_fwd_lo_m,0.U)} } -//object lsu_top extends App { - // println((new chisel3.stage.ChiselStage).emitVerilog(new lsu())) -//} \ No newline at end of file +object lsu_top extends App { + println((new chisel3.stage.ChiselStage).emitVerilog(new lsu())) +} \ No newline at end of file diff --git a/src/main/scala/lsu/lsu_bus_buffer.scala b/src/main/scala/lsu/lsu_bus_buffer.scala index 54cd5040..99d09070 100644 --- a/src/main/scala/lsu/lsu_bus_buffer.scala +++ b/src/main/scala/lsu/lsu_bus_buffer.scala @@ -10,49 +10,49 @@ import ifu._ @chiselName class lsu_bus_buffer extends Module with RequireAsyncReset with lib { val io = IO(new Bundle { - val scan_mode = Input(Bool()) - val tlu_busbuff = new tlu_busbuff() - val dctl_busbuff = new dctl_busbuff() - val dec_tlu_force_halt = Input(Bool()) - val lsu_c2_r_clk = Input(Clock()) + val scan_mode = Input(Bool()) + val tlu_busbuff = new tlu_busbuff() + val dctl_busbuff = new dctl_busbuff() + val dec_tlu_force_halt = Input(Bool()) + val lsu_c2_r_clk = Input(Clock()) val lsu_bus_ibuf_c1_clk = Input(Clock()) val lsu_bus_obuf_c1_clk = Input(Clock()) - val lsu_bus_buf_c1_clk = Input(Clock()) - val lsu_free_c2_clk = Input(Clock()) - val lsu_busm_clk = Input(Clock()) + val lsu_bus_buf_c1_clk = Input(Clock()) + val lsu_free_c2_clk = Input(Clock()) + val lsu_busm_clk = Input(Clock()) val dec_lsu_valid_raw_d = Input(Bool()) - val lsu_pkt_m = Flipped(Valid(new lsu_pkt_t())) - val lsu_pkt_r = Flipped(Valid(new lsu_pkt_t())) - val lsu_addr_m = Input(UInt(32.W)) - val end_addr_m = Input(UInt(32.W)) - val lsu_addr_r = Input(UInt(32.W)) - val end_addr_r = Input(UInt(32.W)) - val store_data_r = Input(UInt(32.W)) - val no_word_merge_r = Input(Bool()) - val no_dword_merge_r = Input(Bool()) - val lsu_busreq_m = Input(Bool()) - val ld_full_hit_m = Input(Bool()) - val flush_m_up = Input(Bool()) - val flush_r = Input(Bool()) - val lsu_commit_r = Input(Bool()) - val is_sideeffects_r = Input(Bool()) - val ldst_dual_d = Input(Bool()) - val ldst_dual_m = Input(Bool()) - val ldst_dual_r = Input(Bool()) - val ldst_byteen_ext_m = Input(UInt(8.W)) - val lsu_axi = new axi_channels(LSU_BUS_TAG) - val lsu_bus_clk_en = Input(Bool()) - val lsu_bus_clk_en_q = Input(Bool()) + val lsu_pkt_m = Flipped(Valid(new lsu_pkt_t())) + val lsu_pkt_r = Flipped(Valid(new lsu_pkt_t())) + val lsu_addr_m = Input(UInt(32.W)) + val end_addr_m = Input(UInt(32.W)) + val lsu_addr_r = Input(UInt(32.W)) + val end_addr_r = Input(UInt(32.W)) + val store_data_r = Input(UInt(32.W)) + val no_word_merge_r = Input(Bool()) + val no_dword_merge_r = Input(Bool()) + val lsu_busreq_m = Input(Bool()) + val ld_full_hit_m = Input(Bool()) + val flush_m_up = Input(Bool()) + val flush_r = Input(Bool()) + val lsu_commit_r = Input(Bool()) + val is_sideeffects_r = Input(Bool()) + val ldst_dual_d = Input(Bool()) + val ldst_dual_m = Input(Bool()) + val ldst_dual_r = Input(Bool()) + val ldst_byteen_ext_m = Input(UInt(8.W)) + val lsu_axi = new axi_channels(LSU_BUS_TAG) + val lsu_bus_clk_en = Input(Bool()) + val lsu_bus_clk_en_q = Input(Bool()) - val lsu_busreq_r = Output(Bool()) - val lsu_bus_buffer_pend_any = Output(Bool()) - val lsu_bus_buffer_full_any = Output(Bool()) - val lsu_bus_buffer_empty_any = Output(Bool()) - val lsu_bus_idle_any = Output(Bool()) - val ld_byte_hit_buf_lo = Output((UInt(4.W))) - val ld_byte_hit_buf_hi = Output((UInt(4.W))) - val ld_fwddata_buf_lo = Output((UInt(32.W))) - val ld_fwddata_buf_hi = Output((UInt(32.W))) + val lsu_busreq_r = Output(Bool()) + val lsu_bus_buffer_pend_any = Output(Bool()) + val lsu_bus_buffer_full_any = Output(Bool()) + val lsu_bus_buffer_empty_any = Output(Bool()) + val lsu_bus_idle_any = Output(Bool()) + val ld_byte_hit_buf_lo = Output((UInt(4.W))) + val ld_byte_hit_buf_hi = Output((UInt(4.W))) + val ld_fwddata_buf_lo = Output((UInt(32.W))) + val ld_fwddata_buf_hi = Output((UInt(32.W))) }) def indexing(in : UInt, index : UInt) = Mux1H((0 until math.pow(2, index.getWidth).asInstanceOf[Int]).map(i=>(index===i.U)->in(i))) def indexing(in : Vec[UInt], index : UInt) = Mux1H((0 until math.pow(2, index.getWidth).asInstanceOf[Int]).map(i=>(index===i.U)->in(i))) @@ -618,6 +618,6 @@ class lsu_bus_buffer extends Module with RequireAsyncReset with lib { lsu_nonblock_load_valid_r := withClock(io.lsu_c2_r_clk){RegNext(io.dctl_busbuff.lsu_nonblock_load_valid_m, false.B)} } -//object bus_buffer extends App { -// println((new chisel3.stage.ChiselStage).emitVerilog(new lsu_bus_buffer())) -//} \ No newline at end of file +object bus_buffer extends App { + println((new chisel3.stage.ChiselStage).emitVerilog(new lsu_bus_buffer())) +} \ No newline at end of file diff --git a/src/main/scala/lsu/lsu_ecc.scala b/src/main/scala/lsu/lsu_ecc.scala index cb7e2eee..a9a6cc1e 100644 --- a/src/main/scala/lsu/lsu_ecc.scala +++ b/src/main/scala/lsu/lsu_ecc.scala @@ -71,8 +71,6 @@ class lsu_ecc extends Module with lib with RequireAsyncReset { val dccm_wdata_lo_any = WireInit(0.U(DCCM_DATA_WIDTH.W)) val dccm_rdata_hi_any = WireInit(0.U(DCCM_DATA_WIDTH.W)) val dccm_rdata_lo_any = WireInit(0.U(DCCM_DATA_WIDTH.W)) - // val dccm_wdata_ecc_hi_any = WireInit(0.U(DCCM_ECC_WIDTH.W)) - //val dccm_wdata_ecc_lo_any = WireInit(0.U(DCCM_ECC_WIDTH.W)) val dccm_data_ecc_hi_any = WireInit(0.U(DCCM_ECC_WIDTH.W)) val dccm_data_ecc_lo_any = WireInit(0.U(DCCM_ECC_WIDTH.W)) val double_ecc_error_hi_m = WireInit(Bool(),init = 0.U) diff --git a/src/main/scala/lsu/lsu_stbuf.scala b/src/main/scala/lsu/lsu_stbuf.scala index 5c8f9503..45a1937c 100644 --- a/src/main/scala/lsu/lsu_stbuf.scala +++ b/src/main/scala/lsu/lsu_stbuf.scala @@ -264,7 +264,4 @@ class lsu_stbuf extends Module with lib with RequireAsyncReset { val stbuf_fwdpipe3_hi = Mux(ld_byte_rhit_hi(2),ld_fwddata_rpipe_hi(23,16),stbuf_fwddata_hi_pre_m(23,16)) val stbuf_fwdpipe4_hi = Mux(ld_byte_rhit_hi(3),ld_fwddata_rpipe_hi(31,24),stbuf_fwddata_hi_pre_m(31,24)) io.stbuf_fwddata_hi_m := Cat(stbuf_fwdpipe4_hi,stbuf_fwdpipe3_hi,stbuf_fwdpipe2_hi,stbuf_fwdpipe1_hi) - - - } diff --git a/src/main/scala/lsu/lsu_trigger.scala b/src/main/scala/lsu/lsu_trigger.scala index 69cde63c..34d26aa4 100644 --- a/src/main/scala/lsu/lsu_trigger.scala +++ b/src/main/scala/lsu/lsu_trigger.scala @@ -18,5 +18,4 @@ class lsu_trigger extends Module with RequireAsyncReset with lib { io.lsu_trigger_match_m := (0 until 4).map(i =>io.lsu_pkt_m.valid & !io.lsu_pkt_m.bits.dma & ((io.trigger_pkt_any(i).store & io.lsu_pkt_m.bits.store)| (io.trigger_pkt_any(i).load & io.lsu_pkt_m.bits.load & !io.trigger_pkt_any(i).select) )& rvmaskandmatch(io.trigger_pkt_any(i).tdata2, lsu_match_data(i), io.trigger_pkt_any(i).match_pkt.asBool())).reverse.reduce(Cat(_,_)) - } diff --git a/src/main/scala/pic_ctrl.scala b/src/main/scala/pic_ctrl.scala index d7aedaaa..fbf1fda4 100644 --- a/src/main/scala/pic_ctrl.scala +++ b/src/main/scala/pic_ctrl.scala @@ -406,6 +406,6 @@ class pic_ctrl extends Module with RequireAsyncReset with lib { } -//object pic_gen extends App { -// println((new chisel3.stage.ChiselStage).emitVerilog(new pic_ctrl())) -//} +object pic_gen extends App { + println((new chisel3.stage.ChiselStage).emitVerilog(new pic_ctrl())) +} diff --git a/src/main/scala/quasar.scala b/src/main/scala/quasar.scala index 63278256..0d59507e 100644 --- a/src/main/scala/quasar.scala +++ b/src/main/scala/quasar.scala @@ -14,20 +14,20 @@ class quasar_bundle extends Bundle with lib{ val sb_axi = new axi_channels(SB_BUS_TAG) val dma_axi = Flipped(new axi_channels(DMA_BUS_TAG)) + val lsu_ahb = new ahb_channel + val ifu_ahb = new ahb_channel + val sb_ahb = new ahb_channel + val dma_ahb = new Bundle{ + val sig = Flipped(new ahb_channel()) + val hsel = Input(Bool()) + val hreadyin = Input(Bool())} + val dbg_rst_l = Input(AsyncReset()) val rst_vec = Input(UInt(31.W)) val nmi_int = Input(Bool()) val nmi_vec = Input(UInt(31.W)) val core_rst_l = Output(AsyncReset()) - - val trace = new trace_pkt_t -// val trace_rv_i_insn_ip = Output(UInt(32.W)) -// val trace_rv_i_address_ip = Output(UInt(32.W)) -// val trace_rv_i_valid_ip = Output(UInt(2.W)) -// val trace_rv_i_exception_ip = Output(UInt(2.W)) -// val trace_rv_i_ecause_ip = Output(UInt(5.W)) -// val trace_rv_i_interrupt_ip = Output(UInt(2.W)) -// val trace_rv_i_tval_ip = Output(UInt(32.W)) + val rv_trace_pkt = new trace_pkt_t() val dccm_clk_override = Output(Bool()) val icm_clk_override = Output(Bool()) val dec_tlu_core_ecc_disable = Output(Bool()) @@ -48,96 +48,10 @@ class quasar_bundle extends Bundle with lib{ val dec_tlu_perfcnt1 = Output(Bool()) val dec_tlu_perfcnt2 = Output(Bool()) val dec_tlu_perfcnt3 = Output(Bool()) - val swerv_mem = Flipped(new mem_lsu) + val dccm = Flipped(new mem_lsu) val ic = new ic_mem() val iccm = new iccm_mem() - // val iccm_rw_addr = Output(UInt((ICCM_BITS-1).W)) -// val iccm_wren = Output(Bool()) - // val iccm_rden = Output(Bool()) -// val iccm_wr_size = Output(UInt(3.W)) - // val iccm_wr_data = Output(UInt(78.W)) - // val iccm_buf_correct_ecc = Output(Bool()) -// val iccm_correction_state = Output(Bool()) - -// val iccm_rd_data = Input(UInt(64.W)) - // val iccm_rd_data_ecc = Input(UInt(78.W)) - - // val ic_rw_addr = Output(UInt(31.W)) -// val ic_tag_valid = Output(UInt(ICACHE_NUM_WAYS.W)) - // val ic_wr_en = Output(UInt(ICACHE_NUM_WAYS.W)) - // val ic_rd_en = Output(Bool()) - // val ic_wr_data = Output(Vec(ICACHE_BANKS_WAY, UInt(71.W))) - // val ic_rd_data = Input(UInt(64.W)) - // val ic_debug_rd_data = Input(UInt(71.W)) - // val ictag_debug_rd_data = Input(UInt(26.W)) - // val ic_debug_wr_data = Output(UInt(71.W)) - - // val ic_eccerr = Input(UInt(ICACHE_BANKS_WAY.W)) - // val ic_parerr = Input(UInt(ICACHE_BANKS_WAY.W)) - // val ic_premux_data = Output(UInt(64.W)) - // val ic_sel_premux_data = Output(Bool()) - -// val ic_debug_addr = Output(UInt((ICACHE_INDEX_HI-2).W)) - // val ic_debug_rd_en = Output(Bool()) - // val ic_debug_wr_en = Output(Bool()) -// val ic_debug_tag_array = Output(Bool()) - // val ic_debug_way = Output(UInt(ICACHE_NUM_WAYS.W)) - // val ic_rd_hit = Input(UInt(ICACHE_NUM_WAYS.W)) - // val ic_tag_perr = Input(Bool()) - - // AHB Lite Bus - val haddr = Output(UInt(32.W)) - val hburst = Output(UInt(3.W)) - val hmastlock = Output(Bool()) - val hprot = Output(UInt(4.W)) - val hsize = Output(UInt(3.W)) - val htrans = Output(UInt(2.W)) - val hwrite = Output(Bool()) - val hrdata = Input(UInt(64.W)) - val hready = Input(Bool()) - val hresp = Input(Bool()) - - // AHB Master - val lsu_haddr = Output(UInt(32.W)) - val lsu_hburst = Output(UInt(3.W)) - val lsu_hmastlock = Output(Bool()) - val lsu_hprot = Output(UInt(4.W)) - val lsu_hsize = Output(UInt(3.W)) - val lsu_htrans = Output(UInt(2.W)) - val lsu_hwrite = Output(Bool()) - val lsu_hwdata = Output(UInt(64.W)) - val lsu_hrdata = Input(UInt(64.W)) - val lsu_hready = Input(Bool()) - val lsu_hresp = Input(Bool()) - - // System Bus Debug Master - val sb_haddr = Output(UInt(32.W)) - val sb_hburst = Output(UInt(3.W)) - val sb_hmastlock = Output(Bool()) - val sb_hprot = Output(UInt(4.W)) - val sb_hsize = Output(UInt(3.W)) - val sb_htrans = Output(UInt(2.W)) - val sb_hwrite = Output(Bool()) - val sb_hwdata = Output(UInt(64.W)) - val sb_hrdata = Input(UInt(64.W)) - val sb_hready = Input(Bool()) - val sb_hresp = Input(Bool()) - - // DMA slave - val dma_hsel = Input(Bool()) - val dma_haddr = Input(UInt(32.W)) - val dma_hburst = Input(UInt(3.W)) - val dma_hmastlock = Input(Bool()) - val dma_hprot = Input(UInt(4.W)) - val dma_hsize = Input(UInt(3.W)) - val dma_htrans = Input(UInt(2.W)) - val dma_hwrite = Input(Bool()) - val dma_hwdata = Input(UInt(64.W)) - val dma_hreadyin = Input(Bool()) - val dma_hrdata = Output(UInt(64.W)) - val dma_hreadyout = Output(Bool()) - val dma_hresp = Output(Bool()) val lsu_bus_clk_en = Input(Bool()) val ifu_bus_clk_en = Input(Bool()) val dbg_bus_clk_en = Input(Bool()) @@ -153,8 +67,10 @@ class quasar_bundle extends Bundle with lib{ val soft_int = Input(Bool()) val scan_mode = Input(Bool()) } + class quasar extends Module with RequireAsyncReset with lib { val io = IO (new quasar_bundle) + val ifu = Module(new ifu) val dec = Module(new dec) val dbg = Module(new dbg) @@ -167,9 +83,6 @@ class quasar extends Module with RequireAsyncReset with lib { val active_state = (!dec.io.dec_pause_state_cg | dec.io.dec_exu.tlu_exu.dec_tlu_flush_lower_r) | dec.io.dec_tlu_misc_clk_override val free_clk = rvclkhdr(clock, true.B, io.scan_mode) val active_clk = rvclkhdr(clock, active_state.asBool, io.scan_mode) - val core_dbg_cmd_done = dma_ctrl.io.dma_dbg_cmd_done | dec.io.dec_dbg_cmd_done - val core_dbg_cmd_fail = dma_ctrl.io.dma_dbg_cmd_fail | dec.io.dec_dbg_cmd_fail - val core_dbg_rddata = Mux(dma_ctrl.io.dma_dbg_cmd_done, dma_ctrl.io.dma_dbg_rddata, dec.io.dec_dbg_rddata) // Lets start with IFU ifu.io.ifu_dec <> dec.io.ifu_dec @@ -178,32 +91,19 @@ class quasar extends Module with RequireAsyncReset with lib { ifu.io.scan_mode := io.scan_mode ifu.io.free_clk := free_clk ifu.io.active_clk := active_clk - // ifu.io.iccm_rd_data_ecc := io.iccm_rd_data_ecc + ifu.io.exu_flush_final := dec.io.exu_flush_final ifu.io.exu_flush_path_final := exu.io.exu_flush_path_final - ifu.io.ifu.ar.ready := Mux(BUILD_AHB_LITE.B, 0.U, io.ifu_axi.ar.ready) - ifu.io.ifu.r.valid := Mux(BUILD_AHB_LITE.B, 0.U, io.ifu_axi.r.valid) - ifu.io.ifu.r.bits.id := Mux(BUILD_AHB_LITE.B, 0.U, io.ifu_axi.r.bits.id) - ifu.io.ifu.r.bits.data := Mux(BUILD_AHB_LITE.B, 0.U, io.ifu_axi.r.bits.data) - ifu.io.ifu.r.bits.resp := Mux(BUILD_AHB_LITE.B, 0.U, io.ifu_axi.r.bits.resp) + ifu.io.ifu_bus_clk_en := io.ifu_bus_clk_en ifu.io.ifu_dma <> dma_ctrl.io.ifu_dma ifu.io.ic <> io.ic ifu.io.iccm <> io.iccm -// ifu.io.ic_rd_data := io.ic_rd_data -// ifu.io.ic_debug_rd_data := io.ic_debug_rd_data -// ifu.io.ictag_debug_rd_data := io.ictag_debug_rd_data -// ifu.io.ic_eccerr := io.ic_eccerr -// ifu.io.ic_parerr := io.ic_parerr -// ifu.io.ic_rd_hit := io.ic_rd_hit -// ifu.io.ic_tag_perr := io.ic_tag_perr -// ifu.io.iccm_rd_data := io.iccm_rd_data ifu.io.exu_ifu.exu_bp <> exu.io.exu_bp ifu.io.exu_ifu.exu_bp.exu_i0_br_fghr_r := exu.io.exu_bp.exu_i0_br_fghr_r ifu.io.exu_ifu.exu_bp.exu_i0_br_index_r := exu.io.dec_exu.tlu_exu.exu_i0_br_index_r ifu.io.dec_tlu_flush_lower_wb := dec.io.dec_exu.tlu_exu.dec_tlu_flush_lower_r - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_flush_lower_wb := dec.io.dec_exu.tlu_exu.dec_tlu_flush_lower_r - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt := dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt + ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt <> dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt // Lets start with Dec dec.reset := io.core_rst_l @@ -221,9 +121,9 @@ class quasar extends Module with RequireAsyncReset with lib { dec.io.mpc_reset_run_req := io.mpc_reset_run_req dec.io.lsu_dec <> lsu.io.lsu_dec dec.io.lsu_tlu <> lsu.io.lsu_tlu - dec.io.dec_dma <> dma_ctrl.io.dec_dma - dec.io.dec_pic <> pic_ctrl_inst.io.dec_pic dec.io.lsu_pmu_misaligned_m := lsu.io.lsu_pmu_misaligned_m + dec.io.dec_dma <> dma_ctrl.io.dec_dma + dec.io.lsu_fir_addr := lsu.io.lsu_fir_addr dec.io.lsu_fir_error := lsu.io.lsu_fir_error dec.io.lsu_trigger_match_m := lsu.io.lsu_trigger_match_m @@ -239,11 +139,8 @@ class quasar extends Module with RequireAsyncReset with lib { dec.io.lsu_store_stall_any := lsu.io.lsu_store_stall_any dec.io.iccm_dma_sb_error := ifu.io.iccm_dma_sb_error dec.io.exu_flush_final := exu.io.exu_flush_final -// dec.io.mexintpend := pic_ctrl_inst.io.mexintpend + dec.io.soft_int := io.soft_int -// dec.io.pic_claimid := pic_ctrl_inst.io.claimid -// dec.io.pic_pl := pic_ctrl_inst.io.pl -// dec.io.mhwakeup := pic_ctrl_inst.io.mhwakeup dec.io.dbg_halt_req := dbg.io.dbg_halt_req dec.io.dbg_resume_req := dbg.io.dbg_resume_req dec.io.exu_i0_br_way_r := exu.io.exu_bp.exu_i0_br_way_r @@ -269,24 +166,13 @@ class quasar extends Module with RequireAsyncReset with lib { lsu.io.dec_lsu_valid_raw_d := dec.io.dec_lsu_valid_raw_d lsu.io.dec_tlu_mrac_ff := dec.io.ifu_dec.dec_ifc.dec_tlu_mrac_ff lsu.io.trigger_pkt_any <> dec.io.trigger_pkt_any - lsu.io.axi.aw.ready := Mux(BUILD_AHB_LITE.B, 0.U, io.lsu_axi.aw.ready) - lsu.io.axi.w.ready := Mux(BUILD_AHB_LITE.B, 0.U, io.lsu_axi.w.ready) - lsu.io.axi.b.valid := Mux(BUILD_AHB_LITE.B, 0.U, io.lsu_axi.b.valid) - lsu.io.axi.b.bits.resp := Mux(BUILD_AHB_LITE.B, 0.U, io.lsu_axi.b.bits.resp) - lsu.io.axi.b.bits.id := Mux(BUILD_AHB_LITE.B, 0.U, io.lsu_axi.b.bits.id) - lsu.io.axi.ar.ready := Mux(BUILD_AHB_LITE.B, 0.U, io.lsu_axi.ar.ready) - lsu.io.axi.r.valid := Mux(BUILD_AHB_LITE.B, 0.U, io.lsu_axi.r.valid) - lsu.io.axi.r.bits.id := Mux(BUILD_AHB_LITE.B, 0.U, io.lsu_axi.r.bits.id) - lsu.io.axi.r.bits.data := Mux(BUILD_AHB_LITE.B, 0.U, io.lsu_axi.r.bits.data) - lsu.io.axi.r.bits.resp := Mux(BUILD_AHB_LITE.B, 0.U, io.lsu_axi.r.bits.resp) - lsu.io.axi.r.bits.last := Mux(BUILD_AHB_LITE.B, 0.U, io.lsu_axi.r.bits.last) + lsu.io.lsu_bus_clk_en := io.lsu_bus_clk_en lsu.io.lsu_dma <> dma_ctrl.io.lsu_dma lsu.io.scan_mode := io.scan_mode lsu.io.free_clk := free_clk // Debug lets go - dbg.reset := io.core_rst_l dbg.io.core_dbg_rddata := Mux(dma_ctrl.io.dma_dbg_cmd_done, dma_ctrl.io.dma_dbg_rddata, dec.io.dec_dbg_rddata) dbg.io.core_dbg_cmd_done := dma_ctrl.io.dma_dbg_cmd_done | dec.io.dec_dbg_cmd_done dbg.io.core_dbg_cmd_fail := dma_ctrl.io.dma_dbg_cmd_fail | dec.io.dec_dbg_cmd_fail @@ -298,7 +184,6 @@ class quasar extends Module with RequireAsyncReset with lib { dbg.io.dmi_reg_addr := io.dmi_reg_addr dbg.io.dmi_reg_wr_en := io.dmi_reg_wr_en dbg.io.dmi_reg_wdata := io.dmi_reg_wdata - dbg.io.sb_axi <> io.sb_axi dbg.io.dbg_bus_clk_en := io.dbg_bus_clk_en dbg.io.dbg_rst_l := io.dbg_rst_l.asBool() dbg.io.clk_override := dec.io.dec_tlu_misc_clk_override @@ -328,15 +213,9 @@ class quasar extends Module with RequireAsyncReset with lib { pic_ctrl_inst.io.clk_override := dec.io.dec_tlu_pic_clk_override pic_ctrl_inst.io.extintsrc_req := io.extintsrc_req pic_ctrl_inst.io.lsu_pic <> lsu.io.lsu_pic + pic_ctrl_inst.io.dec_pic <> dec.io.dec_pic // Trace Packet - io.trace <> dec.io.rv_trace_pkt -// io.trace_rv_i_insn_ip := dec.io.rv_trace_pkt.rv_i_insn_ip -// io.trace_rv_i_address_ip := dec.io.rv_trace_pkt.rv_i_address_ip -// io.trace_rv_i_valid_ip := dec.io.rv_trace_pkt.rv_i_valid_ip -// io.trace_rv_i_exception_ip := dec.io.rv_trace_pkt.rv_i_exception_ip -// io.trace_rv_i_ecause_ip := dec.io.rv_trace_pkt.rv_i_ecause_ip -// io.trace_rv_i_interrupt_ip := dec.io.rv_trace_pkt.rv_i_interrupt_ip -// io.trace_rv_i_tval_ip := dec.io.rv_trace_pkt.rv_i_tval_ip + io.rv_trace_pkt := dec.io.rv_trace_pkt // Outputs io.dccm_clk_override := dec.io.dec_tlu_dccm_clk_override @@ -353,75 +232,59 @@ class quasar extends Module with RequireAsyncReset with lib { io.dec_tlu_perfcnt1 := dec.io.dec_tlu_perfcnt1 io.dec_tlu_perfcnt2 := dec.io.dec_tlu_perfcnt2 io.dec_tlu_perfcnt3 := dec.io.dec_tlu_perfcnt3 + io.dmi_reg_rdata := dbg.io.dmi_reg_rdata + // LSU Outputs - io.swerv_mem <> lsu.io.dccm - // IFU Outputs -// io.iccm_rw_addr := ifu.io.iccm_rw_addr -// io.iccm_wren := ifu.io.iccm_wren -// io.iccm_rden := ifu.io.iccm_rden -// io.iccm_wr_size := ifu.io.iccm_wr_size -// io.iccm_wr_data := ifu.io.iccm_wr_data -// io.iccm_buf_correct_ecc := ifu.io.iccm_buf_correct_ecc -// io.iccm_correction_state := ifu.io.iccm_correction_state -// io.ic_rw_addr := ifu.io.ic_rw_addr -// io.ic_tag_valid := ifu.io.ic_tag_valid -// io.ic_wr_en := ifu.io.ic_wr_en -// io.ic_rd_en := ifu.io.ic_rd_en -// io.ic_wr_data := ifu.io.ic_wr_data -// io.ic_debug_wr_data := ifu.io.ic_debug_wr_data -// io.ic_premux_data := ifu.io.ic_premux_data -// io.ic_sel_premux_data := ifu.io.ic_sel_premux_data -// io.ic_debug_addr := ifu.io.ic_debug_addr -// io.ic_debug_rd_en := ifu.io.ic_debug_rd_en -// io.ic_debug_wr_en := ifu.io.ic_debug_wr_en -// io.ic_debug_tag_array := ifu.io.ic_debug_tag_array -// io.ic_debug_way := ifu.io.ic_debug_way + io.dccm <> lsu.io.dccm - // AXI LSU SIDE - io.lsu_axi <> lsu.io.axi + if(BUILD_AHB_LITE) { + val sb_axi4_to_ahb = Module(new axi4_to_ahb(SB_BUS_TAG)) + val ifu_axi4_to_ahb = Module(new axi4_to_ahb(IFU_BUS_TAG)) + val lsu_axi4_to_ahb = Module(new axi4_to_ahb(LSU_BUS_TAG)) + val dma_ahb_to_axi4 = Module(new ahb_to_axi4(DMA_BUS_TAG)) - // AXI IFU - io.ifu_axi <> ifu.io.ifu - io.dma_axi <> dma_ctrl.io.dma_axi + lsu_axi4_to_ahb.io.scan_mode := io.scan_mode + lsu_axi4_to_ahb.io.bus_clk_en := io.lsu_bus_clk_en + lsu_axi4_to_ahb.io.clk_override := dec.io.dec_tlu_bus_clk_override + lsu_axi4_to_ahb.io.axi <> lsu.io.axi + lsu_axi4_to_ahb.io.ahb <> io.lsu_ahb - // AHB Signals - io.hburst := 0.U - io.hmastlock := 0.U - io.hprot := 0.U - io.hsize := 0.U - io.htrans := 0.U - io.hwrite := 0.U - io.haddr := 0.U + ifu_axi4_to_ahb.io.scan_mode := io.scan_mode + ifu_axi4_to_ahb.io.bus_clk_en := io.ifu_bus_clk_en + ifu_axi4_to_ahb.io.clk_override := dec.io.dec_tlu_bus_clk_override + ifu_axi4_to_ahb.io.axi <> ifu.io.ifu + ifu_axi4_to_ahb.io.ahb <> io.ifu_ahb + ifu_axi4_to_ahb.io.axi.b.ready := true.B - io.lsu_haddr := 0.U - io.lsu_hburst := 0.U - io.lsu_hmastlock := 0.U - io.lsu_hprot := 0.U - io.lsu_hsize := 0.U - io.lsu_htrans := 0.U - io.lsu_hwrite := 0.U - io.lsu_hwdata := 0.U + sb_axi4_to_ahb.io.scan_mode := io.scan_mode + sb_axi4_to_ahb.io.bus_clk_en := io.dbg_bus_clk_en + sb_axi4_to_ahb.io.clk_override := dec.io.dec_tlu_bus_clk_override + sb_axi4_to_ahb.io.axi <> dbg.io.sb_axi + sb_axi4_to_ahb.io.ahb <> io.sb_ahb - io.sb_haddr := 0.U - io.sb_hburst := 0.U - io.sb_hmastlock := 0.U - io.sb_hprot := 0.U - io.sb_hsize := 0.U - io.sb_htrans := 0.U - io.sb_hwrite := 0.U - io.sb_hwdata := 0.U + dma_ahb_to_axi4.io.scan_mode := io.scan_mode + dma_ahb_to_axi4.io.bus_clk_en := io.dma_bus_clk_en + dma_ahb_to_axi4.io.clk_override := dec.io.dec_tlu_bus_clk_override + dma_ahb_to_axi4.io.axi <> dma_ctrl.io.dma_axi + dma_ahb_to_axi4.io.ahb <> io.dma_ahb - io.dma_hrdata := 0.U - io.dma_hreadyout := 0.U - io.dma_hresp := 0.U + io.dma_axi <> 0.U.asTypeOf(io.dma_axi) + io.sb_axi <> 0.U.asTypeOf(io.sb_axi) + io.ifu_axi <> 0.U.asTypeOf(io.ifu_axi) + io.lsu_axi <> 0.U.asTypeOf(io.lsu_axi) + } + else{ + io.lsu_ahb <> 0.U.asTypeOf(io.lsu_ahb) + io.ifu_ahb <> 0.U.asTypeOf(io.ifu_ahb) + io.sb_ahb <> 0.U.asTypeOf(io.sb_ahb) + io.dma_ahb <> 0.U.asTypeOf(io.dma_ahb) + dma_ctrl.io.dma_axi <> io.dma_axi + dbg.io.sb_axi <> io.sb_axi + ifu.io.ifu <> io.ifu_axi + lsu.io.axi <> io.lsu_axi + } - io.dma_hresp := 0.U - - io.dmi_reg_rdata := 0.U } -//object QUASAR extends App { - // println((new chisel3.stage.ChiselStage).emitVerilog(new quasar())) -//} - - - +object QUASAR extends App { + println((new chisel3.stage.ChiselStage).emitVerilog(new quasar())) +} \ No newline at end of file diff --git a/src/main/scala/quasar_wrapper.scala b/src/main/scala/quasar_wrapper.scala index 28961bee..d23325c4 100644 --- a/src/main/scala/quasar_wrapper.scala +++ b/src/main/scala/quasar_wrapper.scala @@ -12,35 +12,11 @@ class quasar_wrapper extends Module with lib with RequireAsyncReset { val nmi_vec = Input(UInt(31.W)) val jtag_id = Input(UInt(31.W)) - val trace = new trace_pkt_t -// val trace_rv_i_insn_ip = Output(UInt(32.W)) -// val trace_rv_i_address_ip = Output(UInt(32.W)) -// val trace_rv_i_valid_ip = Output(UInt(2.W)) -// val trace_rv_i_exception_ip = Output(UInt(2.W)) -// val trace_rv_i_ecause_ip = Output(UInt(5.W)) -// val trace_rv_i_interrupt_ip = Output(UInt(2.W)) -// val trace_rv_i_tval_ip = Output(UInt(32.W)) - // AXI Signals - val lsu_axi = new axi_channels(LSU_BUS_TAG) - val ifu_axi = new axi_channels(IFU_BUS_TAG) - val sb_axi = new axi_channels(SB_BUS_TAG) - val dma_axi = Flipped(new axi_channels(DMA_BUS_TAG)) - - // DMA slave - val dma_hsel = Input(Bool()) - val dma_haddr = Input(UInt(32.W)) - val dma_hburst = Input(UInt(3.W)) - val dma_hmastlock = Input(Bool()) - val dma_hprot = Input(UInt(4.W)) - val dma_hsize = Input(UInt(3.W)) - val dma_htrans = Input(UInt(2.W)) - val dma_hwrite = Input(Bool()) - val dma_hwdata = Input(UInt(64.W)) - val dma_hreadyin = Input(Bool()) - val dma_hrdata = Output(UInt(64.W)) - val dma_hreadyout = Output(Bool()) - val dma_hresp = Output(Bool()) + val lsu_brg = bridge_gen(LSU_BUS_TAG, false) + val ifu_brg = bridge_gen(IFU_BUS_TAG, false) + val sb_brg = bridge_gen(SB_BUS_TAG , false) + val dma_brg = bridge_gen(DMA_BUS_TAG, true) val lsu_bus_clk_en = Input(Bool()) val ifu_bus_clk_en = Input(Bool()) @@ -80,12 +56,14 @@ class quasar_wrapper extends Module with lib with RequireAsyncReset { val o_cpu_run_ack = Output(Bool()) val mbist_mode = Input(Bool()) + val rv_trace_pkt = new trace_pkt_t() val scan_mode = Input(Bool()) -}) + }) val mem = Module(new quasar.mem()) val dmi_wrapper = Module(new dmi_wrapper()) val core = Module(new quasar()) + core.io.scan_mode := io.scan_mode dmi_wrapper.io.trst_n := io.jtag_trst_n dmi_wrapper.io.tck := io.jtag_tck dmi_wrapper.io.tms := io.jtag_tms @@ -93,8 +71,6 @@ class quasar_wrapper extends Module with lib with RequireAsyncReset { dmi_wrapper.io.core_clk := clock dmi_wrapper.io.jtag_id := io.jtag_id dmi_wrapper.io.rd_data := core.io.dmi_reg_rdata - - dmi_wrapper.io.core_rst_n := io.dbg_rst_l core.io.dmi_reg_wdata := dmi_wrapper.io.reg_wr_data core.io.dmi_reg_addr := dmi_wrapper.io.reg_wr_addr @@ -107,30 +83,7 @@ class quasar_wrapper extends Module with lib with RequireAsyncReset { mem.io.dccm_clk_override := core.io.dccm_clk_override mem.io.icm_clk_override := core.io.icm_clk_override mem.io.dec_tlu_core_ecc_disable := core.io.dec_tlu_core_ecc_disable - mem.io.dccm <> core.io.swerv_mem -// mem.io.iccm_rw_addr := core.io.iccm_rw_addr -// mem.io.iccm_buf_correct_ecc := core.io.iccm_buf_correct_ecc -// mem.io.iccm_correction_state := core.io.iccm_correction_state -// mem.io.iccm_wren := core.io.iccm_wren -// mem.io.iccm_rden := core.io.iccm_rden -// mem.io.iccm_wr_size := core.io.iccm_wr_size -// mem.io.iccm_wr_data := core.io.iccm_wr_data - - -// mem.io.ic_rw_addr := core.io.ic_rw_addr -// mem.io.ic_tag_valid := core.io.ic_tag_valid -// mem.io.ic_wr_en := core.io.ic_wr_en -// mem.io.ic_rd_en := core.io.ic_rd_en -// mem.io.ic_premux_data := core.io.ic_premux_data -// mem.io.ic_sel_premux_data := core.io.ic_sel_premux_data -// mem.io.ic_wr_data := core.io.ic_wr_data -// mem.io.ic_debug_wr_data := core.io.ic_debug_wr_data -// -// mem.io.ic_debug_addr := core.io.ic_debug_addr -// mem.io.ic_debug_rd_en := core.io.ic_debug_rd_en -// mem.io.ic_debug_wr_en := core.io.ic_debug_wr_en -// mem.io.ic_debug_tag_array := core.io.ic_debug_tag_array -// mem.io.ic_debug_way := core.io.ic_debug_way + mem.io.dccm <> core.io.dccm mem.io.rst_l := reset mem.io.clk := clock mem.io.scan_mode := io.scan_mode @@ -138,26 +91,30 @@ class quasar_wrapper extends Module with lib with RequireAsyncReset { core.io.dbg_rst_l := io.dbg_rst_l core.io.ic <> mem.io.ic core.io.iccm <> mem.io.iccm - // core.io.iccm_rd_data_ecc := mem.io.iccm_rd_data_ecc -// core.io.dccm_rd_data_hi := mem.io.dccm_rd_data_hi -// core.io.ic_rd_data := mem.io.ic_rd_data -// core.io.ictag_debug_rd_data := mem.io.ictag_debug_rd_data -// core.io.ic_eccerr := mem.io.ic_eccerr -// core.io.ic_parerr := mem.io.ic_parerr -// core.io.ic_rd_hit := mem.io.ic_rd_hit -// core.io.ic_tag_perr := mem.io.ic_tag_perr -// core.io.ic_debug_rd_data := mem.io.ic_debug_rd_data -// core.io.iccm_rd_data := mem.io.iccm_rd_data - core.io.sb_hready := 0.U - core.io.hrdata := 0.U - core.io.sb_hresp := 0.U - core.io.lsu_hrdata := 0.U - core.io.lsu_hresp := 0.U - core.io.lsu_hready := 0.U - core.io.hready := 0.U - core.io.hresp := 0.U - core.io.sb_hrdata := 0.U - core.io.scan_mode := io.scan_mode + + + if(BUILD_AXI4) { + core.io.ifu_ahb <> 0.U.asTypeOf(core.io.ifu_ahb) + core.io.lsu_ahb <> 0.U.asTypeOf(core.io.lsu_ahb) + core.io.sb_ahb <> 0.U.asTypeOf(core.io.sb_ahb) + core.io.dma_ahb <> 0.U.asTypeOf(core.io.dma_ahb) + + core.io.lsu_axi <> io.lsu_brg + core.io.ifu_axi <> io.ifu_brg + core.io.sb_axi <> io.sb_brg + core.io.dma_axi <> io.dma_brg + } + else { + core.io.ifu_ahb <> io.ifu_brg + core.io.lsu_ahb <> io.lsu_brg + core.io.sb_ahb <> io.sb_brg + core.io.dma_ahb <> io.dma_brg + + core.io.lsu_axi <> 0.U.asTypeOf(core.io.lsu_axi) + core.io.ifu_axi <> 0.U.asTypeOf(core.io.ifu_axi) + core.io.sb_axi <> 0.U.asTypeOf(core.io.sb_axi) + core.io.dma_axi <> 0.U.asTypeOf(core.io.lsu_axi) + } // core Inputs core.io.dbg_rst_l := io.dbg_rst_l core.io.rst_vec := io.rst_vec @@ -174,48 +131,6 @@ class quasar_wrapper extends Module with lib with RequireAsyncReset { core.io.mpc_debug_run_req := io.mpc_debug_run_req core.io.mpc_reset_run_req := io.mpc_reset_run_req - //-------------------------- LSU AXI signals-------------------------- - // AXI Write Channels - core.io.lsu_axi <> io.lsu_axi - //-------------------------- IFU AXI signals-------------------------- - // AXI Write Channels - core.io.ifu_axi <> io.ifu_axi - //-------------------------- SB AXI signals-------------------------- - // AXI Write Channels - core.io.sb_axi <> io.sb_axi - - //-------------------------- DMA AXI signals-------------------------- - // AXI Write Channels - core.io.dma_axi <> io.dma_axi - - // DMA Slave - core.io.dma_hsel := io.dma_hsel - core.io.dma_haddr := io.dma_haddr - core.io.dma_hburst := io.dma_hburst - core.io.dma_hmastlock := io.dma_hmastlock - core.io.dma_hprot := io.dma_hprot - core.io.dma_hsize := io.dma_hsize - core.io.dma_htrans := io.dma_htrans - core.io.dma_hwrite := io.dma_hwrite - core.io.dma_hwdata := io.dma_hwdata - core.io.dma_hreadyin := io.dma_hreadyin - - core.io.lsu_bus_clk_en - core.io.ifu_bus_clk_en - core.io.dbg_bus_clk_en - core.io.dma_bus_clk_en - - core.io.dmi_reg_en - core.io.dmi_reg_addr - core.io.dmi_reg_wr_en - core.io.dmi_reg_wdata - core.io.dmi_hard_reset - - core.io.extintsrc_req - core.io.timer_int - core.io.soft_int - core.io.scan_mode - core.io.lsu_bus_clk_en := io.lsu_bus_clk_en core.io.ifu_bus_clk_en := io.ifu_bus_clk_en core.io.dbg_bus_clk_en := io.dbg_bus_clk_en @@ -227,14 +142,7 @@ class quasar_wrapper extends Module with lib with RequireAsyncReset { // Outputs val core_rst_l = core.io.core_rst_l - io.trace <> core.io.trace -// io.trace_rv_i_insn_ip := core.io.trace_rv_i_insn_ip -// io.trace_rv_i_address_ip := core.io.trace_rv_i_address_ip -// io.trace_rv_i_valid_ip := core.io.trace_rv_i_valid_ip -// io.trace_rv_i_exception_ip := core.io.trace_rv_i_exception_ip -// io.trace_rv_i_ecause_ip := core.io.trace_rv_i_ecause_ip -// io.trace_rv_i_interrupt_ip := core.io.trace_rv_i_interrupt_ip -// io.trace_rv_i_tval_ip := core.io.trace_rv_i_tval_ip + io.rv_trace_pkt <> core.io.rv_trace_pkt // external halt/run interface io.o_cpu_halt_ack := core.io.o_cpu_halt_ack @@ -251,15 +159,6 @@ class quasar_wrapper extends Module with lib with RequireAsyncReset { io.dec_tlu_perfcnt2 := core.io.dec_tlu_perfcnt2 io.dec_tlu_perfcnt3 := core.io.dec_tlu_perfcnt3 - - //-------------------------- LSU AXI signals-------------------------- - // AXI Write Channels - - // DMA Slave - io.dma_hrdata := core.io.dma_hrdata - io.dma_hreadyout := core.io.dma_hreadyout - io.dma_hresp := core.io.dma_hresp - } object QUASAR_Wrp extends App { println((new chisel3.stage.ChiselStage).emitVerilog(new quasar_wrapper())) diff --git a/target/.history b/target/.history index 2f703132..368ea7e7 100644 --- a/target/.history +++ b/target/.history @@ -3,3 +3,7 @@ ;set _root_.scala.collection.Seq(historyPath := None,shellPrompt := { _ => "" },SettingKey[_root_.scala.Option[_root_.sbt.File]]("sbtStructureOutputFile") in _root_.sbt.Global := _root_.scala.Some(_root_.sbt.file("/tmp/sbt-structure.xml")),SettingKey[_root_.java.lang.String]("sbtStructureOptions") in _root_.sbt.Global := "download, resolveClassifiers");apply -cp "/home/abdulhameedakram/.local/share/JetBrains/IdeaIC2020.2/Scala/repo/org.jetbrains/sbt-structure-extractor/scala_2.12/sbt_1.0/2018.2.1+4-88400d3f/jars/sbt-structure-extractor.jar" org.jetbrains.sbt.CreateTasks;*/*:dumpStructure ;set _root_.scala.collection.Seq(historyPath := None,shellPrompt := { _ => "" },SettingKey[_root_.scala.Option[_root_.sbt.File]]("sbtStructureOutputFile") in _root_.sbt.Global := _root_.scala.Some(_root_.sbt.file("/tmp/sbt-structure.xml")),SettingKey[_root_.java.lang.String]("sbtStructureOptions") in _root_.sbt.Global := "download, resolveClassifiers");apply -cp "/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.2/Scala/repo/org.jetbrains/sbt-structure-extractor/scala_2.12/sbt_1.0/2018.2.1+4-88400d3f/jars/sbt-structure-extractor.jar" org.jetbrains.sbt.CreateTasks;*/*:dumpStructure ;set _root_.scala.collection.Seq(historyPath := None,shellPrompt := { _ => "" },SettingKey[_root_.scala.Option[_root_.sbt.File]]("sbtStructureOutputFile") in _root_.sbt.Global := _root_.scala.Some(_root_.sbt.file("/tmp/sbt-structure.xml")),SettingKey[_root_.java.lang.String]("sbtStructureOptions") in _root_.sbt.Global := "download, resolveClassifiers");apply -cp "/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-structure-extractor/scala_2.12/sbt_1.0/2020.3/jars/sbt-structure-extractor.jar" org.jetbrains.sbt.CreateTasks;*/*:dumpStructure +;set _root_.scala.collection.Seq(historyPath := None,shellPrompt := { _ => "" },SettingKey[_root_.scala.Option[_root_.sbt.File]]("sbtStructureOutputFile") in _root_.sbt.Global := _root_.scala.Some(_root_.sbt.file("/tmp/sbt-structure.xml")),SettingKey[_root_.java.lang.String]("sbtStructureOptions") in _root_.sbt.Global := "download, resolveClassifiers");apply -cp "/home/waleedbinehsan/idea-IE-201.7846.105/plugins/Scala/repo/org.jetbrains/sbt-structure-extractor/scala_2.12/sbt_1.0/2018.2.1+4-88400d3f/jars/sbt-structure-extractor.jar" org.jetbrains.sbt.CreateTasks;*/*:dumpStructure +;set _root_.scala.collection.Seq(historyPath := None,shellPrompt := { _ => "" },SettingKey[_root_.scala.Option[_root_.sbt.File]]("sbtStructureOutputFile") in _root_.sbt.Global := _root_.scala.Some(_root_.sbt.file("/tmp/sbt-structure.xml")),SettingKey[_root_.java.lang.String]("sbtStructureOptions") in _root_.sbt.Global := "download, resolveClassifiers");apply -cp "/home/abdulhameed.akram/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-structure-extractor/scala_2.12/sbt_1.0/2020.3/jars/sbt-structure-extractor.jar" org.jetbrains.sbt.CreateTasks;*/*:dumpStructure +;set _root_.scala.collection.Seq(historyPath := None,shellPrompt := { _ => "" },SettingKey[_root_.scala.Option[_root_.sbt.File]]("sbtStructureOutputFile") in _root_.sbt.Global := _root_.scala.Some(_root_.sbt.file("/tmp/sbt-structure.xml")),SettingKey[_root_.java.lang.String]("sbtStructureOptions") in _root_.sbt.Global := "download, resolveClassifiers");apply -cp "/home/waleedbinehsan/idea-IE-201.7846.105/plugins/Scala/repo/org.jetbrains/sbt-structure-extractor/scala_2.12/sbt_1.0/2018.2.1+4-88400d3f/jars/sbt-structure-extractor.jar" org.jetbrains.sbt.CreateTasks;*/*:dumpStructure +;set _root_.scala.collection.Seq(historyPath := None,shellPrompt := { _ => "" },SettingKey[_root_.scala.Option[_root_.sbt.File]]("sbtStructureOutputFile") in _root_.sbt.Global := _root_.scala.Some(_root_.sbt.file("/tmp/sbt-structure.xml")),SettingKey[_root_.java.lang.String]("sbtStructureOptions") in _root_.sbt.Global := "download, resolveClassifiers");apply -cp "/home/laraibkhan/.local/share/JetBrains/IdeaIC2020.3/Scala/repo/org.jetbrains/sbt-structure-extractor/scala_2.12/sbt_1.0/2020.3/jars/sbt-structure-extractor.jar" org.jetbrains.sbt.CreateTasks;*/*:dumpStructure diff --git a/target/global-logging/sbt-global-log2492348963883186.log b/target/global-logging/sbt-global-log2492348963883186.log new file mode 100644 index 00000000..2dadb92b --- /dev/null +++ b/target/global-logging/sbt-global-log2492348963883186.log @@ -0,0 +1,103 @@ +[debug] > Exec(early(addDefaultCommands), None, None) +[debug] > Exec(addDefaultCommands, None, None) +[debug] > Exec(early(initialize), None, None) +[debug] > Exec(initialize, None, None) +[debug] > Exec(early(addPluginSbtFile="""/tmp/idea.sbt"""), None, None) +[debug] > Exec(addPluginSbtFile="""/tmp/idea.sbt""", None, None) +[debug] > Exec(boot, None, None) +[debug] > Exec(writeSbtVersion, None, None) +[debug] > Exec(reload, None, None) +[debug] > Exec(sbtStashOnFailure, None, None) +[debug] > Exec(onFailure loadFailed, None, None) +[debug] > Exec(loadp, None, None) +[info] welcome to sbt 1.4.3 (Ubuntu Java 11.0.9.1) +[debug]  Load.loadUnit: plugins took 177.989259ms +[debug]  Load.loadUnit: defsScala took 0.300784ms +[debug] [Loading] Scanning directory /home/laraibkhan/.sbt/1.0/plugins +[debug] [Loading] Found non-root projects  +[debug] [Loading] Done in /home/laraibkhan/.sbt/1.0/plugins, returning: () +[debug] deducing auto plugins based on known facts Set(Atom(sbt.plugins.CorePlugin)) and clauses Clauses(List(Clause(Atom(sbt.plugins.JvmPlugin),Set(Atom(sbt.plugins.IvyPlugin))), Clause(Atom(sbt.ScriptedPlugin),Set(Atom(sbt.plugins.JvmPlugin))), Clause(Atom(sbt.plugins.SbtPlugin),Set(Atom(sbt.ScriptedPlugin))), Clause(Atom(sbt.plugins.SemanticdbPlugin),Set(Atom(sbt.plugins.JvmPlugin))), Clause(Atom(sbt.plugins.JUnitXmlReportPlugin),Set(Atom(sbt.plugins.JvmPlugin))), Clause(Atom(sbt.plugins.MiniDependencyTreePlugin),Set(Atom(sbt.plugins.JvmPlugin))), Clause(Atom(sbt.plugins.CorePlugin),Set(Atom(sbt.plugins.IvyPlugin))), Clause(Atom(sbt.plugins.IvyPlugin),Set(Atom(sbt.plugins.JvmPlugin))), Clause(Atom(sbt.plugins.JvmPlugin),Set(Atom(sbt.plugins.SemanticdbPlugin))), Clause(Atom(sbt.plugins.JvmPlugin),Set(Atom(sbt.plugins.JUnitXmlReportPlugin))), Clause(Atom(sbt.plugins.CorePlugin),Set(Atom(sbt.plugins.Giter8TemplatePlugin))), Clause(Atom(sbt.plugins.JvmPlugin),Set(Atom(sbt.plugins.MiniDependencyTreePlugin))))) +[debug]  :: deduced result: Matched(sbt.plugins.CorePlugin,sbt.plugins.Giter8TemplatePlugin,sbt.plugins.IvyPlugin,sbt.plugins.JvmPlugin,sbt.plugins.MiniDependencyTreePlugin,sbt.plugins.JUnitXmlReportPlugin,sbt.plugins.SemanticdbPlugin) +[debug] Plugins.deducer#function took 68.637349 ms +[debug]  Load.resolveProject(global-plugins) took 146.94867ms +[debug]  Load.loadTransitive: finalizeProject(Project(id global-plugins, base: /home/laraibkhan/.sbt/1.0/plugins, plugins: List())) took 299.163332ms +[debug] [Loading] Done in /home/laraibkhan/.sbt/1.0/plugins, returning: (global-plugins) +[debug]  Load.loadUnit: loadedProjectsRaw took 316.365724ms +[debug]  Load.loadUnit: cleanEvalClasses took 0.236624ms +[debug]  Load.loadUnit(file:/home/laraibkhan/.sbt/1.0/plugins/, ...) took 599.879943ms +[debug]  Load.apply: load took 1048.536751ms +[debug]  Load.apply: resolveProjects took 5.058836ms +[debug]  Load.apply: finalTransforms took 50.477158ms +[debug]  Load.apply: config.delegates took 6.349505ms +[debug]  Load.apply: Def.make(settings)... took 992.763419ms +[debug]  Load.apply: structureIndex took 110.049635ms +[debug]  Load.apply: mkStreams took 1.513558ms +[info] loading global plugins from /home/laraibkhan/.sbt/1.0/plugins +[debug] Running task... Cancel: Signal, check cycles: false, forcegc: true +[debug] Load.defaultLoad until apply took 12161.818102ms +[debug]  Load.loadUnit: plugins took 10.958488ms +[debug]  Load.loadUnit: defsScala took 0.003108ms +[debug] [Loading] Scanning directory /home/laraibkhan/Desktop/SweRV-Chislified/project +[debug]  Load.loadUnit: mkEval took 8.905674ms +[debug] [Loading] Found non-root projects  +[debug] [Loading] Done in /home/laraibkhan/Desktop/SweRV-Chislified/project, returning: () +[debug] deducing auto plugins based on known facts Set(Atom(sbt.plugins.CorePlugin)) and clauses Clauses(List(Clause(Atom(sbt.plugins.JvmPlugin),Set(Atom(sbt.plugins.IvyPlugin))), Clause(Atom(sbt.ScriptedPlugin),Set(Atom(sbt.plugins.JvmPlugin))), Clause(Atom(sbt.plugins.SbtPlugin),Set(Atom(sbt.ScriptedPlugin))), Clause(Atom(sbt.plugins.SemanticdbPlugin),Set(Atom(sbt.plugins.JvmPlugin))), Clause(Atom(sbt.plugins.JUnitXmlReportPlugin),Set(Atom(sbt.plugins.JvmPlugin))), Clause(Atom(sbt.plugins.MiniDependencyTreePlugin),Set(Atom(sbt.plugins.JvmPlugin))), Clause(Atom(sbt.plugins.CorePlugin),Set(Atom(sbt.plugins.IvyPlugin))), Clause(Atom(sbt.plugins.IvyPlugin),Set(Atom(sbt.plugins.JvmPlugin))), Clause(Atom(sbt.plugins.JvmPlugin),Set(Atom(sbt.plugins.SemanticdbPlugin))), Clause(Atom(sbt.plugins.JvmPlugin),Set(Atom(sbt.plugins.JUnitXmlReportPlugin))), Clause(Atom(sbt.plugins.CorePlugin),Set(Atom(sbt.plugins.Giter8TemplatePlugin))), Clause(Atom(sbt.plugins.JvmPlugin),Set(Atom(sbt.plugins.MiniDependencyTreePlugin))))) +[debug]  :: deduced result: Matched(sbt.plugins.CorePlugin,sbt.plugins.Giter8TemplatePlugin,sbt.plugins.IvyPlugin,sbt.plugins.JvmPlugin,sbt.plugins.MiniDependencyTreePlugin,sbt.plugins.JUnitXmlReportPlugin,sbt.plugins.SemanticdbPlugin) +[debug] Plugins.deducer#function took 1.278083 ms +[info] loading settings for project swerv-chislified-build from plugins.sbt,idea.sbt ... +[debug]  Load.resolveProject(swerv-chislified-build) took 3.113517ms +[debug]  Load.loadTransitive: finalizeProject(Project(id swerv-chislified-build, base: /home/laraibkhan/Desktop/SweRV-Chislified/project, plugins: List())) took 4.821398ms +[debug] [Loading] Done in /home/laraibkhan/Desktop/SweRV-Chislified/project, returning: (swerv-chislified-build) +[debug]  Load.loadUnit: loadedProjectsRaw took 2561.064691ms +[debug]  Load.loadUnit: cleanEvalClasses took 13.440969ms +[debug]  Load.loadUnit(file:/home/laraibkhan/Desktop/SweRV-Chislified/project/, ...) took 2586.012763ms +[debug]  Load.apply: load took 2587.102251ms +[debug]  Load.apply: resolveProjects took 0.090904ms +[debug]  Load.apply: finalTransforms took 8.859933ms +[debug]  Load.apply: config.delegates took 0.339006ms +[debug]  Load.apply: Def.make(settings)... took 75.987075ms +[debug]  Load.apply: structureIndex took 30.059076ms +[debug]  Load.apply: mkStreams took 0.002481ms +[info] loading project definition from /home/laraibkhan/Desktop/SweRV-Chislified/project +[debug] Running task... Cancel: Signal, check cycles: false, forcegc: true +[debug]  Load.loadUnit: plugins took 4759.476875ms +[debug]  Load.loadUnit: defsScala took 0.002576ms +[debug] [Loading] Scanning directory /home/laraibkhan/Desktop/SweRV-Chislified +[debug]  Load.loadUnit: mkEval took 0.160218ms +[debug] [Loading] Found non-root projects  +[debug] [Loading] Done in /home/laraibkhan/Desktop/SweRV-Chislified, returning: () +[debug] deducing auto plugins based on known facts Set(Atom(sbt.plugins.CorePlugin)) and clauses Clauses(List(Clause(Atom(sbt.plugins.JvmPlugin),Set(Atom(sbt.plugins.IvyPlugin))), Clause(Atom(sbt.ScriptedPlugin),Set(Atom(sbt.plugins.JvmPlugin))), Clause(Atom(sbt.plugins.SbtPlugin),Set(Atom(sbt.ScriptedPlugin))), Clause(Atom(sbt.plugins.SemanticdbPlugin),Set(Atom(sbt.plugins.JvmPlugin))), Clause(Atom(sbt.plugins.JUnitXmlReportPlugin),Set(Atom(sbt.plugins.JvmPlugin))), Clause(Atom(sbt.plugins.MiniDependencyTreePlugin),Set(Atom(sbt.plugins.JvmPlugin))), Clause(Atom(org.jetbrains.sbt.StructurePlugin),Set(Atom(sbt.plugins.JvmPlugin))), Clause(Atom(org.jetbrains.sbt.IdeaShellPlugin),Set(Atom(sbt.plugins.JvmPlugin))), Clause(Atom(org.jetbrains.sbt.indices.SbtIntellijIndicesPlugin),Set(Atom(sbt.plugins.JvmPlugin))), Clause(Atom(sbt.plugins.CorePlugin),Set(Atom(sbt.plugins.IvyPlugin))), Clause(Atom(sbt.plugins.IvyPlugin),Set(Atom(sbt.plugins.JvmPlugin))), Clause(Atom(sbt.plugins.JvmPlugin),Set(Atom(sbt.plugins.SemanticdbPlugin))), Clause(Atom(sbt.plugins.JvmPlugin),Set(Atom(sbt.plugins.JUnitXmlReportPlugin))), Clause(Atom(sbt.plugins.CorePlugin),Set(Atom(sbt.plugins.Giter8TemplatePlugin))), Clause(Atom(sbt.plugins.JvmPlugin),Set(Atom(sbt.plugins.MiniDependencyTreePlugin))), Clause(Atom(sbt.plugins.JvmPlugin),Set(Atom(org.jetbrains.sbt.StructurePlugin))), Clause(Atom(sbt.plugins.JvmPlugin),Set(Atom(org.jetbrains.sbt.IdeaShellPlugin))), Clause(And(Set(Atom(sbt.plugins.CorePlugin), Atom(sbt.plugins.JvmPlugin))),Set(Atom(org.jetbrains.sbt.indices.SbtIntellijIndicesPlugin))))) +[debug]  :: deduced result: Matched(sbt.plugins.CorePlugin,sbt.plugins.Giter8TemplatePlugin,sbt.plugins.IvyPlugin,sbt.plugins.JvmPlugin,sbt.plugins.SemanticdbPlugin,org.jetbrains.sbt.indices.SbtIntellijIndicesPlugin,sbt.plugins.JUnitXmlReportPlugin,org.jetbrains.sbt.IdeaShellPlugin,org.jetbrains.sbt.StructurePlugin,sbt.plugins.MiniDependencyTreePlugin) +[debug] Plugins.deducer#function took 1.58997 ms +[info] loading settings for project swerv-chislified from build.sbt ... +[debug]  Load.resolveProject(swerv-chislified) took 25.821897ms +[debug]  Load.loadTransitive: finalizeProject(Project(id swerv-chislified, base: /home/laraibkhan/Desktop/SweRV-Chislified, plugins: List())) took 28.904468ms +[debug] [Loading] Done in /home/laraibkhan/Desktop/SweRV-Chislified, returning: (swerv-chislified) +[debug]  Load.loadUnit: loadedProjectsRaw took 1875.48077ms +[debug]  Load.loadUnit: cleanEvalClasses took 3.477204ms +[debug]  Load.loadUnit(file:/home/laraibkhan/Desktop/SweRV-Chislified/, ...) took 6638.840612ms +[debug] Load.apply: load took 6640.171523ms +[debug] Load.apply: resolveProjects took 0.108282ms +[debug] Load.apply: finalTransforms took 21.08743ms +[debug] Load.apply: config.delegates took 0.370223ms +[debug] Load.apply: Def.make(settings)... took 100.039104ms +[debug] Load.apply: structureIndex took 26.110749ms +[debug] Load.apply: mkStreams took 0.002717ms +[info] set current project to chisel-module-template (in build file:/home/laraibkhan/Desktop/SweRV-Chislified/) +[debug] > Exec(sbtPopOnFailure, None, None) +[debug] > Exec(resumeFromFailure, None, None) +[debug] > Exec(notifyUsersAboutShell, None, None) +[debug] > Exec(iflast shell, None, None) +[debug] > Exec(; set ideaPort in Global := 42485 ; idea-shell, None, None) +[debug] > Exec(set ideaPort in Global := 42485, None, None) +[info] Defining Global / ideaPort +[info] The new value will be used by Compile / compile, Test / compile +[debug] Defining  +[debug]  Global / ideaPort +[debug]  +[debug] The new value will be used by  +[debug]  Compile / compile +[debug]  Test / compile +[info] Reapplying settings... +[info] set current project to chisel-module-template (in build file:/home/laraibkhan/Desktop/SweRV-Chislified/) +[debug] > Exec(idea-shell, None, None) diff --git a/target/scala-2.12/chisel-module-template_2.12-3.3.0.jar b/target/scala-2.12/chisel-module-template_2.12-3.3.0.jar index 3183ce21..7da989a5 100644 Binary files a/target/scala-2.12/chisel-module-template_2.12-3.3.0.jar and b/target/scala-2.12/chisel-module-template_2.12-3.3.0.jar differ diff --git a/target/scala-2.12/classes/QUASAR$.class b/target/scala-2.12/classes/QUASAR$.class new file mode 100644 index 00000000..02057f0a Binary files /dev/null and b/target/scala-2.12/classes/QUASAR$.class differ diff --git a/target/scala-2.12/classes/QUASAR$delayedInit$body.class b/target/scala-2.12/classes/QUASAR$delayedInit$body.class new file mode 100644 index 00000000..08686436 Binary files /dev/null and b/target/scala-2.12/classes/QUASAR$delayedInit$body.class differ diff --git a/target/scala-2.12/classes/QUASAR.class b/target/scala-2.12/classes/QUASAR.class new file mode 100644 index 00000000..0691d26f Binary files /dev/null and b/target/scala-2.12/classes/QUASAR.class differ diff --git a/target/scala-2.12/classes/QUASAR_Wrp$.class b/target/scala-2.12/classes/QUASAR_Wrp$.class index 7546500e..301d2c4b 100644 Binary files a/target/scala-2.12/classes/QUASAR_Wrp$.class and b/target/scala-2.12/classes/QUASAR_Wrp$.class differ diff --git a/target/scala-2.12/classes/QUASAR_Wrp$delayedInit$body.class b/target/scala-2.12/classes/QUASAR_Wrp$delayedInit$body.class index 007a42cb..7e440b8b 100644 Binary files a/target/scala-2.12/classes/QUASAR_Wrp$delayedInit$body.class and b/target/scala-2.12/classes/QUASAR_Wrp$delayedInit$body.class differ diff --git a/target/scala-2.12/classes/dbg/dbg.class b/target/scala-2.12/classes/dbg/dbg.class index 888c1889..81cf2219 100644 Binary files a/target/scala-2.12/classes/dbg/dbg.class and b/target/scala-2.12/classes/dbg/dbg.class differ diff --git a/target/scala-2.12/classes/dbg/dbg_main$.class b/target/scala-2.12/classes/dbg/dbg_main$.class new file mode 100644 index 00000000..d066ba56 Binary files /dev/null and b/target/scala-2.12/classes/dbg/dbg_main$.class differ diff --git a/target/scala-2.12/classes/dbg/dbg_main$delayedInit$body.class b/target/scala-2.12/classes/dbg/dbg_main$delayedInit$body.class new file mode 100644 index 00000000..91c2f4f1 Binary files /dev/null and b/target/scala-2.12/classes/dbg/dbg_main$delayedInit$body.class differ diff --git a/target/scala-2.12/classes/dbg/dbg_main.class b/target/scala-2.12/classes/dbg/dbg_main.class new file mode 100644 index 00000000..0d99113b Binary files /dev/null and b/target/scala-2.12/classes/dbg/dbg_main.class differ diff --git a/target/scala-2.12/classes/dec/CSR_IO.class b/target/scala-2.12/classes/dec/CSR_IO.class index 154e17dd..ee18fa7a 100644 Binary files a/target/scala-2.12/classes/dec/CSR_IO.class and b/target/scala-2.12/classes/dec/CSR_IO.class differ diff --git a/target/scala-2.12/classes/dec/CSRs.class b/target/scala-2.12/classes/dec/CSRs.class index 6d2cbee4..9c9823aa 100644 Binary files a/target/scala-2.12/classes/dec/CSRs.class and b/target/scala-2.12/classes/dec/CSRs.class differ diff --git a/target/scala-2.12/classes/dec/csr_tlu.class b/target/scala-2.12/classes/dec/csr_tlu.class index 46771a1b..ffbf665f 100644 Binary files a/target/scala-2.12/classes/dec/csr_tlu.class and b/target/scala-2.12/classes/dec/csr_tlu.class differ diff --git a/target/scala-2.12/classes/dec/dec.class b/target/scala-2.12/classes/dec/dec.class index 9840b7a0..8a0b1c88 100644 Binary files a/target/scala-2.12/classes/dec/dec.class and b/target/scala-2.12/classes/dec/dec.class differ diff --git a/target/scala-2.12/classes/dec/dec_IO.class b/target/scala-2.12/classes/dec/dec_IO.class index b08554ce..4f2f0ce2 100644 Binary files a/target/scala-2.12/classes/dec/dec_IO.class and b/target/scala-2.12/classes/dec/dec_IO.class differ diff --git a/target/scala-2.12/classes/dec/dec_dec_ctl.class b/target/scala-2.12/classes/dec/dec_dec_ctl.class index 840e7033..356d80d0 100644 Binary files a/target/scala-2.12/classes/dec/dec_dec_ctl.class and b/target/scala-2.12/classes/dec/dec_dec_ctl.class differ diff --git a/target/scala-2.12/classes/dec/dec_decode_csr_read.class b/target/scala-2.12/classes/dec/dec_decode_csr_read.class index 2e3650dd..f4591435 100644 Binary files a/target/scala-2.12/classes/dec/dec_decode_csr_read.class and b/target/scala-2.12/classes/dec/dec_decode_csr_read.class differ diff --git a/target/scala-2.12/classes/dec/dec_decode_csr_read_IO.class b/target/scala-2.12/classes/dec/dec_decode_csr_read_IO.class index d09675f7..babbd4f6 100644 Binary files a/target/scala-2.12/classes/dec/dec_decode_csr_read_IO.class and b/target/scala-2.12/classes/dec/dec_decode_csr_read_IO.class differ diff --git a/target/scala-2.12/classes/dec/dec_decode_ctl$$anon$1.class b/target/scala-2.12/classes/dec/dec_decode_ctl$$anon$1.class index b78a0315..2d1e1dbb 100644 Binary files a/target/scala-2.12/classes/dec/dec_decode_ctl$$anon$1.class and b/target/scala-2.12/classes/dec/dec_decode_ctl$$anon$1.class differ diff --git a/target/scala-2.12/classes/dec/dec_decode_ctl.class b/target/scala-2.12/classes/dec/dec_decode_ctl.class index 055c9906..e354f818 100644 Binary files a/target/scala-2.12/classes/dec/dec_decode_ctl.class and b/target/scala-2.12/classes/dec/dec_decode_ctl.class differ diff --git a/target/scala-2.12/classes/dec/dec_gpr_ctl.class b/target/scala-2.12/classes/dec/dec_gpr_ctl.class index d18baa37..ef653f36 100644 Binary files a/target/scala-2.12/classes/dec/dec_gpr_ctl.class and b/target/scala-2.12/classes/dec/dec_gpr_ctl.class differ diff --git a/target/scala-2.12/classes/dec/dec_main$.class b/target/scala-2.12/classes/dec/dec_main$.class new file mode 100644 index 00000000..e02f5700 Binary files /dev/null and b/target/scala-2.12/classes/dec/dec_main$.class differ diff --git a/target/scala-2.12/classes/dec/dec_main$delayedInit$body.class b/target/scala-2.12/classes/dec/dec_main$delayedInit$body.class new file mode 100644 index 00000000..280044c6 Binary files /dev/null and b/target/scala-2.12/classes/dec/dec_main$delayedInit$body.class differ diff --git a/target/scala-2.12/classes/dec/dec_main.class b/target/scala-2.12/classes/dec/dec_main.class new file mode 100644 index 00000000..fb4d68aa Binary files /dev/null and b/target/scala-2.12/classes/dec/dec_main.class differ diff --git a/target/scala-2.12/classes/dec/dec_timer_ctl.class b/target/scala-2.12/classes/dec/dec_timer_ctl.class index 944f9bb5..15916c2f 100644 Binary files a/target/scala-2.12/classes/dec/dec_timer_ctl.class and b/target/scala-2.12/classes/dec/dec_timer_ctl.class differ diff --git a/target/scala-2.12/classes/dec/dec_timer_ctl_IO.class b/target/scala-2.12/classes/dec/dec_timer_ctl_IO.class index 813911d5..e0446870 100644 Binary files a/target/scala-2.12/classes/dec/dec_timer_ctl_IO.class and b/target/scala-2.12/classes/dec/dec_timer_ctl_IO.class differ diff --git a/target/scala-2.12/classes/dec/dec_tlu_ctl.class b/target/scala-2.12/classes/dec/dec_tlu_ctl.class index 6538178d..6277ae75 100644 Binary files a/target/scala-2.12/classes/dec/dec_tlu_ctl.class and b/target/scala-2.12/classes/dec/dec_tlu_ctl.class differ diff --git a/target/scala-2.12/classes/dec/dec_tlu_ctl_IO.class b/target/scala-2.12/classes/dec/dec_tlu_ctl_IO.class index 685af7ea..a08af594 100644 Binary files a/target/scala-2.12/classes/dec/dec_tlu_ctl_IO.class and b/target/scala-2.12/classes/dec/dec_tlu_ctl_IO.class differ diff --git a/target/scala-2.12/classes/dec/dec_trigger.class b/target/scala-2.12/classes/dec/dec_trigger.class index d2fcc7ea..ea41259b 100644 Binary files a/target/scala-2.12/classes/dec/dec_trigger.class and b/target/scala-2.12/classes/dec/dec_trigger.class differ diff --git a/target/scala-2.12/classes/dma$.class b/target/scala-2.12/classes/dma$.class new file mode 100644 index 00000000..8d9f57c3 Binary files /dev/null and b/target/scala-2.12/classes/dma$.class differ diff --git a/target/scala-2.12/classes/dma$delayedInit$body.class b/target/scala-2.12/classes/dma$delayedInit$body.class new file mode 100644 index 00000000..ac330a5d Binary files /dev/null and b/target/scala-2.12/classes/dma$delayedInit$body.class differ diff --git a/target/scala-2.12/classes/dma.class b/target/scala-2.12/classes/dma.class new file mode 100644 index 00000000..20da6845 Binary files /dev/null and b/target/scala-2.12/classes/dma.class differ diff --git a/target/scala-2.12/classes/dma_ctrl$$anon$1.class b/target/scala-2.12/classes/dma_ctrl$$anon$1.class index 2f5e4c3c..92f3ebd5 100644 Binary files a/target/scala-2.12/classes/dma_ctrl$$anon$1.class and b/target/scala-2.12/classes/dma_ctrl$$anon$1.class differ diff --git a/target/scala-2.12/classes/dma_ctrl.class b/target/scala-2.12/classes/dma_ctrl.class index d2adf1f8..b60983e0 100644 Binary files a/target/scala-2.12/classes/dma_ctrl.class and b/target/scala-2.12/classes/dma_ctrl.class differ diff --git a/target/scala-2.12/classes/exu/exu.class b/target/scala-2.12/classes/exu/exu.class index 8800153f..9d627eac 100644 Binary files a/target/scala-2.12/classes/exu/exu.class and b/target/scala-2.12/classes/exu/exu.class differ diff --git a/target/scala-2.12/classes/exu/exu_alu_ctl.class b/target/scala-2.12/classes/exu/exu_alu_ctl.class index 70ac1cec..0d73dac6 100644 Binary files a/target/scala-2.12/classes/exu/exu_alu_ctl.class and b/target/scala-2.12/classes/exu/exu_alu_ctl.class differ diff --git a/target/scala-2.12/classes/exu/exu_div_ctl.class b/target/scala-2.12/classes/exu/exu_div_ctl.class index e7eb56ce..a1dac98a 100644 Binary files a/target/scala-2.12/classes/exu/exu_div_ctl.class and b/target/scala-2.12/classes/exu/exu_div_ctl.class differ diff --git a/target/scala-2.12/classes/exu/exu_main$.class b/target/scala-2.12/classes/exu/exu_main$.class new file mode 100644 index 00000000..849653e0 Binary files /dev/null and b/target/scala-2.12/classes/exu/exu_main$.class differ diff --git a/target/scala-2.12/classes/exu/exu_main$delayedInit$body.class b/target/scala-2.12/classes/exu/exu_main$delayedInit$body.class new file mode 100644 index 00000000..5b44c5fb Binary files /dev/null and b/target/scala-2.12/classes/exu/exu_main$delayedInit$body.class differ diff --git a/target/scala-2.12/classes/exu/exu_main.class b/target/scala-2.12/classes/exu/exu_main.class new file mode 100644 index 00000000..739d0fb7 Binary files /dev/null and b/target/scala-2.12/classes/exu/exu_main.class differ diff --git a/target/scala-2.12/classes/exu/exu_mul_ctl.class b/target/scala-2.12/classes/exu/exu_mul_ctl.class index 435183ed..665936b4 100644 Binary files a/target/scala-2.12/classes/exu/exu_mul_ctl.class and b/target/scala-2.12/classes/exu/exu_mul_ctl.class differ diff --git a/target/scala-2.12/classes/ifu/ifu.class b/target/scala-2.12/classes/ifu/ifu.class index 8e2bb145..926bf9b1 100644 Binary files a/target/scala-2.12/classes/ifu/ifu.class and b/target/scala-2.12/classes/ifu/ifu.class differ diff --git a/target/scala-2.12/classes/ifu/ifu_aln_ctl.class b/target/scala-2.12/classes/ifu/ifu_aln_ctl.class index 0165baf2..4763d183 100644 Binary files a/target/scala-2.12/classes/ifu/ifu_aln_ctl.class and b/target/scala-2.12/classes/ifu/ifu_aln_ctl.class differ diff --git a/target/scala-2.12/classes/ifu/ifu_bp_ctl.class b/target/scala-2.12/classes/ifu/ifu_bp_ctl.class index 16c08c79..b84c91e6 100644 Binary files a/target/scala-2.12/classes/ifu/ifu_bp_ctl.class and b/target/scala-2.12/classes/ifu/ifu_bp_ctl.class differ diff --git a/target/scala-2.12/classes/ifu/ifu_compress_ctl.class b/target/scala-2.12/classes/ifu/ifu_compress_ctl.class index c5d47947..1d0b1d7c 100644 Binary files a/target/scala-2.12/classes/ifu/ifu_compress_ctl.class and b/target/scala-2.12/classes/ifu/ifu_compress_ctl.class differ diff --git a/target/scala-2.12/classes/ifu/ifu_ifc_ctl.class b/target/scala-2.12/classes/ifu/ifu_ifc_ctl.class index 6dd1342f..0b96d39e 100644 Binary files a/target/scala-2.12/classes/ifu/ifu_ifc_ctl.class and b/target/scala-2.12/classes/ifu/ifu_ifc_ctl.class differ diff --git a/target/scala-2.12/classes/ifu/ifu_main$.class b/target/scala-2.12/classes/ifu/ifu_main$.class new file mode 100644 index 00000000..97ed029f Binary files /dev/null and b/target/scala-2.12/classes/ifu/ifu_main$.class differ diff --git a/target/scala-2.12/classes/ifu/ifu_main$delayedInit$body.class b/target/scala-2.12/classes/ifu/ifu_main$delayedInit$body.class new file mode 100644 index 00000000..27c72e13 Binary files /dev/null and b/target/scala-2.12/classes/ifu/ifu_main$delayedInit$body.class differ diff --git a/target/scala-2.12/classes/ifu/ifu_main.class b/target/scala-2.12/classes/ifu/ifu_main.class new file mode 100644 index 00000000..e3908dd8 Binary files /dev/null and b/target/scala-2.12/classes/ifu/ifu_main.class differ diff --git a/target/scala-2.12/classes/ifu/ifu_mem_ctl.class b/target/scala-2.12/classes/ifu/ifu_mem_ctl.class index dcd5daaa..69675195 100644 Binary files a/target/scala-2.12/classes/ifu/ifu_mem_ctl.class and b/target/scala-2.12/classes/ifu/ifu_mem_ctl.class differ diff --git a/target/scala-2.12/classes/ifu/mem_ctl_io.class b/target/scala-2.12/classes/ifu/mem_ctl_io.class index 27ef8e72..e944052e 100644 Binary files a/target/scala-2.12/classes/ifu/mem_ctl_io.class and b/target/scala-2.12/classes/ifu/mem_ctl_io.class differ diff --git a/target/scala-2.12/classes/include/ahb_channel.class b/target/scala-2.12/classes/include/ahb_channel.class new file mode 100644 index 00000000..e353cf8e Binary files /dev/null and b/target/scala-2.12/classes/include/ahb_channel.class differ diff --git a/target/scala-2.12/classes/include/ahb_in.class b/target/scala-2.12/classes/include/ahb_in.class new file mode 100644 index 00000000..522b90c4 Binary files /dev/null and b/target/scala-2.12/classes/include/ahb_in.class differ diff --git a/target/scala-2.12/classes/include/ahb_out.class b/target/scala-2.12/classes/include/ahb_out.class new file mode 100644 index 00000000..b8de1e89 Binary files /dev/null and b/target/scala-2.12/classes/include/ahb_out.class differ diff --git a/target/scala-2.12/classes/include/ahb_out_dma.class b/target/scala-2.12/classes/include/ahb_out_dma.class new file mode 100644 index 00000000..36a5a7aa Binary files /dev/null and b/target/scala-2.12/classes/include/ahb_out_dma.class differ diff --git a/target/scala-2.12/classes/include/aln_dec.class b/target/scala-2.12/classes/include/aln_dec.class index 44daf0fb..0363a5cd 100644 Binary files a/target/scala-2.12/classes/include/aln_dec.class and b/target/scala-2.12/classes/include/aln_dec.class differ diff --git a/target/scala-2.12/classes/include/aln_ib.class b/target/scala-2.12/classes/include/aln_ib.class index fad74e03..2176c40f 100644 Binary files a/target/scala-2.12/classes/include/aln_ib.class and b/target/scala-2.12/classes/include/aln_ib.class differ diff --git a/target/scala-2.12/classes/include/alu_pkt_t.class b/target/scala-2.12/classes/include/alu_pkt_t.class index 44a35edb..63a8a4d8 100644 Binary files a/target/scala-2.12/classes/include/alu_pkt_t.class and b/target/scala-2.12/classes/include/alu_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/axi_channels$.class b/target/scala-2.12/classes/include/axi_channels$.class index 16e420af..8685d02c 100644 Binary files a/target/scala-2.12/classes/include/axi_channels$.class and b/target/scala-2.12/classes/include/axi_channels$.class differ diff --git a/target/scala-2.12/classes/include/axi_channels.class b/target/scala-2.12/classes/include/axi_channels.class index e26c40ed..22cbcdde 100644 Binary files a/target/scala-2.12/classes/include/axi_channels.class and b/target/scala-2.12/classes/include/axi_channels.class differ diff --git a/target/scala-2.12/classes/include/br_pkt_t.class b/target/scala-2.12/classes/include/br_pkt_t.class index 3974d3cc..4855b61a 100644 Binary files a/target/scala-2.12/classes/include/br_pkt_t.class and b/target/scala-2.12/classes/include/br_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/br_tlu_pkt_t.class b/target/scala-2.12/classes/include/br_tlu_pkt_t.class index b3b06e2c..ecaf9556 100644 Binary files a/target/scala-2.12/classes/include/br_tlu_pkt_t.class and b/target/scala-2.12/classes/include/br_tlu_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/cache_debug_pkt_t.class b/target/scala-2.12/classes/include/cache_debug_pkt_t.class index 319d0495..e98dc733 100644 Binary files a/target/scala-2.12/classes/include/cache_debug_pkt_t.class and b/target/scala-2.12/classes/include/cache_debug_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/ccm_ext_in_pkt_t.class b/target/scala-2.12/classes/include/ccm_ext_in_pkt_t.class index fc14a35f..65d13fb0 100644 Binary files a/target/scala-2.12/classes/include/ccm_ext_in_pkt_t.class and b/target/scala-2.12/classes/include/ccm_ext_in_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/class_pkt_t.class b/target/scala-2.12/classes/include/class_pkt_t.class index cd598c0e..7e14e0a1 100644 Binary files a/target/scala-2.12/classes/include/class_pkt_t.class and b/target/scala-2.12/classes/include/class_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/dbg_dctl.class b/target/scala-2.12/classes/include/dbg_dctl.class index 96fcdafe..4b089a5e 100644 Binary files a/target/scala-2.12/classes/include/dbg_dctl.class and b/target/scala-2.12/classes/include/dbg_dctl.class differ diff --git a/target/scala-2.12/classes/include/dbg_ib.class b/target/scala-2.12/classes/include/dbg_ib.class index faf7a7ac..b6ba484e 100644 Binary files a/target/scala-2.12/classes/include/dbg_ib.class and b/target/scala-2.12/classes/include/dbg_ib.class differ diff --git a/target/scala-2.12/classes/include/dccm_ext_in_pkt_t.class b/target/scala-2.12/classes/include/dccm_ext_in_pkt_t.class index 189893c6..88513b08 100644 Binary files a/target/scala-2.12/classes/include/dccm_ext_in_pkt_t.class and b/target/scala-2.12/classes/include/dccm_ext_in_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/dctl_busbuff.class b/target/scala-2.12/classes/include/dctl_busbuff.class index 50bd6b15..6227e042 100644 Binary files a/target/scala-2.12/classes/include/dctl_busbuff.class and b/target/scala-2.12/classes/include/dctl_busbuff.class differ diff --git a/target/scala-2.12/classes/include/dec_aln.class b/target/scala-2.12/classes/include/dec_aln.class index 29312bf9..06c24759 100644 Binary files a/target/scala-2.12/classes/include/dec_aln.class and b/target/scala-2.12/classes/include/dec_aln.class differ diff --git a/target/scala-2.12/classes/include/dec_alu.class b/target/scala-2.12/classes/include/dec_alu.class index 7c418a02..d9d54684 100644 Binary files a/target/scala-2.12/classes/include/dec_alu.class and b/target/scala-2.12/classes/include/dec_alu.class differ diff --git a/target/scala-2.12/classes/include/dec_bp.class b/target/scala-2.12/classes/include/dec_bp.class index 0cc1b613..c1aa7f03 100644 Binary files a/target/scala-2.12/classes/include/dec_bp.class and b/target/scala-2.12/classes/include/dec_bp.class differ diff --git a/target/scala-2.12/classes/include/dec_dbg.class b/target/scala-2.12/classes/include/dec_dbg.class index b165e855..c04fcf16 100644 Binary files a/target/scala-2.12/classes/include/dec_dbg.class and b/target/scala-2.12/classes/include/dec_dbg.class differ diff --git a/target/scala-2.12/classes/include/dec_div.class b/target/scala-2.12/classes/include/dec_div.class index 3f27dfb6..3c2c5762 100644 Binary files a/target/scala-2.12/classes/include/dec_div.class and b/target/scala-2.12/classes/include/dec_div.class differ diff --git a/target/scala-2.12/classes/include/dec_exu.class b/target/scala-2.12/classes/include/dec_exu.class index 6085c23a..489431c0 100644 Binary files a/target/scala-2.12/classes/include/dec_exu.class and b/target/scala-2.12/classes/include/dec_exu.class differ diff --git a/target/scala-2.12/classes/include/dec_ifc.class b/target/scala-2.12/classes/include/dec_ifc.class index b68fa5ab..1f59bdb2 100644 Binary files a/target/scala-2.12/classes/include/dec_ifc.class and b/target/scala-2.12/classes/include/dec_ifc.class differ diff --git a/target/scala-2.12/classes/include/dec_mem_ctrl.class b/target/scala-2.12/classes/include/dec_mem_ctrl.class index 2d440155..2c5148b0 100644 Binary files a/target/scala-2.12/classes/include/dec_mem_ctrl.class and b/target/scala-2.12/classes/include/dec_mem_ctrl.class differ diff --git a/target/scala-2.12/classes/include/dec_pkt_t.class b/target/scala-2.12/classes/include/dec_pkt_t.class index 665f84d7..48656d57 100644 Binary files a/target/scala-2.12/classes/include/dec_pkt_t.class and b/target/scala-2.12/classes/include/dec_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/dec_tlu_csr_pkt.class b/target/scala-2.12/classes/include/dec_tlu_csr_pkt.class index e239f8e0..d38cb6bd 100644 Binary files a/target/scala-2.12/classes/include/dec_tlu_csr_pkt.class and b/target/scala-2.12/classes/include/dec_tlu_csr_pkt.class differ diff --git a/target/scala-2.12/classes/include/decode_exu.class b/target/scala-2.12/classes/include/decode_exu.class index 64e3e0c6..8972404a 100644 Binary files a/target/scala-2.12/classes/include/decode_exu.class and b/target/scala-2.12/classes/include/decode_exu.class differ diff --git a/target/scala-2.12/classes/include/dest_pkt_t.class b/target/scala-2.12/classes/include/dest_pkt_t.class index bc468020..8fecb659 100644 Binary files a/target/scala-2.12/classes/include/dest_pkt_t.class and b/target/scala-2.12/classes/include/dest_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/div_pkt_t.class b/target/scala-2.12/classes/include/div_pkt_t.class index df84c9e4..025e4dfd 100644 Binary files a/target/scala-2.12/classes/include/div_pkt_t.class and b/target/scala-2.12/classes/include/div_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/dma_dccm_ctl.class b/target/scala-2.12/classes/include/dma_dccm_ctl.class index 33607d1a..9dc2a765 100644 Binary files a/target/scala-2.12/classes/include/dma_dccm_ctl.class and b/target/scala-2.12/classes/include/dma_dccm_ctl.class differ diff --git a/target/scala-2.12/classes/include/dma_ifc.class b/target/scala-2.12/classes/include/dma_ifc.class index 6bcee521..49261f34 100644 Binary files a/target/scala-2.12/classes/include/dma_ifc.class and b/target/scala-2.12/classes/include/dma_ifc.class differ diff --git a/target/scala-2.12/classes/include/dma_lsc_ctl.class b/target/scala-2.12/classes/include/dma_lsc_ctl.class index df6b371e..28699ed6 100644 Binary files a/target/scala-2.12/classes/include/dma_lsc_ctl.class and b/target/scala-2.12/classes/include/dma_lsc_ctl.class differ diff --git a/target/scala-2.12/classes/include/dma_mem_ctl.class b/target/scala-2.12/classes/include/dma_mem_ctl.class index 0d412817..8182a6e8 100644 Binary files a/target/scala-2.12/classes/include/dma_mem_ctl.class and b/target/scala-2.12/classes/include/dma_mem_ctl.class differ diff --git a/target/scala-2.12/classes/include/exu_bp.class b/target/scala-2.12/classes/include/exu_bp.class index 7b476ab7..36cf5789 100644 Binary files a/target/scala-2.12/classes/include/exu_bp.class and b/target/scala-2.12/classes/include/exu_bp.class differ diff --git a/target/scala-2.12/classes/include/exu_ifu.class b/target/scala-2.12/classes/include/exu_ifu.class index 0a028858..0ae1515c 100644 Binary files a/target/scala-2.12/classes/include/exu_ifu.class and b/target/scala-2.12/classes/include/exu_ifu.class differ diff --git a/target/scala-2.12/classes/include/gpr_exu.class b/target/scala-2.12/classes/include/gpr_exu.class index 600b6535..ded8657e 100644 Binary files a/target/scala-2.12/classes/include/gpr_exu.class and b/target/scala-2.12/classes/include/gpr_exu.class differ diff --git a/target/scala-2.12/classes/include/ib_exu.class b/target/scala-2.12/classes/include/ib_exu.class index e83b4054..377e3e02 100644 Binary files a/target/scala-2.12/classes/include/ib_exu.class and b/target/scala-2.12/classes/include/ib_exu.class differ diff --git a/target/scala-2.12/classes/include/ic_data_ext_in_pkt_t.class b/target/scala-2.12/classes/include/ic_data_ext_in_pkt_t.class index 501f234f..b730b92d 100644 Binary files a/target/scala-2.12/classes/include/ic_data_ext_in_pkt_t.class and b/target/scala-2.12/classes/include/ic_data_ext_in_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/ic_mem.class b/target/scala-2.12/classes/include/ic_mem.class index f1c08006..be3458ff 100644 Binary files a/target/scala-2.12/classes/include/ic_mem.class and b/target/scala-2.12/classes/include/ic_mem.class differ diff --git a/target/scala-2.12/classes/include/ic_tag_ext_in_pkt_t.class b/target/scala-2.12/classes/include/ic_tag_ext_in_pkt_t.class index 652c9dc0..5c9951f2 100644 Binary files a/target/scala-2.12/classes/include/ic_tag_ext_in_pkt_t.class and b/target/scala-2.12/classes/include/ic_tag_ext_in_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/iccm_mem.class b/target/scala-2.12/classes/include/iccm_mem.class index 3cff85a1..38d8a783 100644 Binary files a/target/scala-2.12/classes/include/iccm_mem.class and b/target/scala-2.12/classes/include/iccm_mem.class differ diff --git a/target/scala-2.12/classes/include/ifu_dec.class b/target/scala-2.12/classes/include/ifu_dec.class index 16130b61..afee3c69 100644 Binary files a/target/scala-2.12/classes/include/ifu_dec.class and b/target/scala-2.12/classes/include/ifu_dec.class differ diff --git a/target/scala-2.12/classes/include/ifu_dma.class b/target/scala-2.12/classes/include/ifu_dma.class index 60ba3af0..8c473b40 100644 Binary files a/target/scala-2.12/classes/include/ifu_dma.class and b/target/scala-2.12/classes/include/ifu_dma.class differ diff --git a/target/scala-2.12/classes/include/inst_pkt_t$.class b/target/scala-2.12/classes/include/inst_pkt_t$.class index 26879d07..3387ecc3 100644 Binary files a/target/scala-2.12/classes/include/inst_pkt_t$.class and b/target/scala-2.12/classes/include/inst_pkt_t$.class differ diff --git a/target/scala-2.12/classes/include/load_cam_pkt_t.class b/target/scala-2.12/classes/include/load_cam_pkt_t.class index ecf419af..84018bd2 100644 Binary files a/target/scala-2.12/classes/include/load_cam_pkt_t.class and b/target/scala-2.12/classes/include/load_cam_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/lsu_dec.class b/target/scala-2.12/classes/include/lsu_dec.class index 5f11246b..84caf041 100644 Binary files a/target/scala-2.12/classes/include/lsu_dec.class and b/target/scala-2.12/classes/include/lsu_dec.class differ diff --git a/target/scala-2.12/classes/include/lsu_dma.class b/target/scala-2.12/classes/include/lsu_dma.class index be7bd82a..33f93365 100644 Binary files a/target/scala-2.12/classes/include/lsu_dma.class and b/target/scala-2.12/classes/include/lsu_dma.class differ diff --git a/target/scala-2.12/classes/include/lsu_error_pkt_t.class b/target/scala-2.12/classes/include/lsu_error_pkt_t.class index 9a31be6e..c9f92bd3 100644 Binary files a/target/scala-2.12/classes/include/lsu_error_pkt_t.class and b/target/scala-2.12/classes/include/lsu_error_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/lsu_exu.class b/target/scala-2.12/classes/include/lsu_exu.class index 56bb70c4..fcc4be2e 100644 Binary files a/target/scala-2.12/classes/include/lsu_exu.class and b/target/scala-2.12/classes/include/lsu_exu.class differ diff --git a/target/scala-2.12/classes/include/lsu_pic.class b/target/scala-2.12/classes/include/lsu_pic.class index 34d99b89..4c947741 100644 Binary files a/target/scala-2.12/classes/include/lsu_pic.class and b/target/scala-2.12/classes/include/lsu_pic.class differ diff --git a/target/scala-2.12/classes/include/lsu_pkt_t.class b/target/scala-2.12/classes/include/lsu_pkt_t.class index 1a65baf8..d9b8624d 100644 Binary files a/target/scala-2.12/classes/include/lsu_pkt_t.class and b/target/scala-2.12/classes/include/lsu_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/lsu_tlu.class b/target/scala-2.12/classes/include/lsu_tlu.class index df380e50..0919015a 100644 Binary files a/target/scala-2.12/classes/include/lsu_tlu.class and b/target/scala-2.12/classes/include/lsu_tlu.class differ diff --git a/target/scala-2.12/classes/include/mul_pkt_t.class b/target/scala-2.12/classes/include/mul_pkt_t.class index ab9ae015..f7373422 100644 Binary files a/target/scala-2.12/classes/include/mul_pkt_t.class and b/target/scala-2.12/classes/include/mul_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/predict_pkt_t.class b/target/scala-2.12/classes/include/predict_pkt_t.class index fa8fbd4b..058a48ec 100644 Binary files a/target/scala-2.12/classes/include/predict_pkt_t.class and b/target/scala-2.12/classes/include/predict_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/read_addr$.class b/target/scala-2.12/classes/include/read_addr$.class index d3ac88c1..f3c1cf44 100644 Binary files a/target/scala-2.12/classes/include/read_addr$.class and b/target/scala-2.12/classes/include/read_addr$.class differ diff --git a/target/scala-2.12/classes/include/read_addr.class b/target/scala-2.12/classes/include/read_addr.class index 9d9cb97c..d26a0e9e 100644 Binary files a/target/scala-2.12/classes/include/read_addr.class and b/target/scala-2.12/classes/include/read_addr.class differ diff --git a/target/scala-2.12/classes/include/read_data$.class b/target/scala-2.12/classes/include/read_data$.class index 287dae84..697d4857 100644 Binary files a/target/scala-2.12/classes/include/read_data$.class and b/target/scala-2.12/classes/include/read_data$.class differ diff --git a/target/scala-2.12/classes/include/read_data.class b/target/scala-2.12/classes/include/read_data.class index fa07b684..44b20ca1 100644 Binary files a/target/scala-2.12/classes/include/read_data.class and b/target/scala-2.12/classes/include/read_data.class differ diff --git a/target/scala-2.12/classes/include/reg_pkt_t.class b/target/scala-2.12/classes/include/reg_pkt_t.class index 2dcf6937..4da927b9 100644 Binary files a/target/scala-2.12/classes/include/reg_pkt_t.class and b/target/scala-2.12/classes/include/reg_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/rets_pkt_t.class b/target/scala-2.12/classes/include/rets_pkt_t.class index 6be3480e..07ce6594 100644 Binary files a/target/scala-2.12/classes/include/rets_pkt_t.class and b/target/scala-2.12/classes/include/rets_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/tlu_busbuff.class b/target/scala-2.12/classes/include/tlu_busbuff.class index b2951cd8..d6168d4b 100644 Binary files a/target/scala-2.12/classes/include/tlu_busbuff.class and b/target/scala-2.12/classes/include/tlu_busbuff.class differ diff --git a/target/scala-2.12/classes/include/tlu_exu.class b/target/scala-2.12/classes/include/tlu_exu.class index 219e92d2..1001d7ea 100644 Binary files a/target/scala-2.12/classes/include/tlu_exu.class and b/target/scala-2.12/classes/include/tlu_exu.class differ diff --git a/target/scala-2.12/classes/include/trace_pkt_t.class b/target/scala-2.12/classes/include/trace_pkt_t.class index 33a4bede..64f63c0e 100644 Binary files a/target/scala-2.12/classes/include/trace_pkt_t.class and b/target/scala-2.12/classes/include/trace_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/trap_pkt_t.class b/target/scala-2.12/classes/include/trap_pkt_t.class index 6d01a38e..9823780c 100644 Binary files a/target/scala-2.12/classes/include/trap_pkt_t.class and b/target/scala-2.12/classes/include/trap_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/trigger_pkt_t.class b/target/scala-2.12/classes/include/trigger_pkt_t.class index 6ddc855b..4629fcc2 100644 Binary files a/target/scala-2.12/classes/include/trigger_pkt_t.class and b/target/scala-2.12/classes/include/trigger_pkt_t.class differ diff --git a/target/scala-2.12/classes/include/write_addr$.class b/target/scala-2.12/classes/include/write_addr$.class index 825b86d5..75cb0d97 100644 Binary files a/target/scala-2.12/classes/include/write_addr$.class and b/target/scala-2.12/classes/include/write_addr$.class differ diff --git a/target/scala-2.12/classes/include/write_addr.class b/target/scala-2.12/classes/include/write_addr.class index 9181eb00..06f6f781 100644 Binary files a/target/scala-2.12/classes/include/write_addr.class and b/target/scala-2.12/classes/include/write_addr.class differ diff --git a/target/scala-2.12/classes/include/write_data.class b/target/scala-2.12/classes/include/write_data.class index 89bae005..b2b6efa9 100644 Binary files a/target/scala-2.12/classes/include/write_data.class and b/target/scala-2.12/classes/include/write_data.class differ diff --git a/target/scala-2.12/classes/include/write_resp.class b/target/scala-2.12/classes/include/write_resp.class index 93e68efa..0f6590b5 100644 Binary files a/target/scala-2.12/classes/include/write_resp.class and b/target/scala-2.12/classes/include/write_resp.class differ diff --git a/target/scala-2.12/classes/lib/ahb_to_axi4$$anon$1$$anon$2.class b/target/scala-2.12/classes/lib/ahb_to_axi4$$anon$1$$anon$2.class new file mode 100644 index 00000000..193beeeb Binary files /dev/null and b/target/scala-2.12/classes/lib/ahb_to_axi4$$anon$1$$anon$2.class differ diff --git a/target/scala-2.12/classes/lib/ahb_to_axi4$$anon$1.class b/target/scala-2.12/classes/lib/ahb_to_axi4$$anon$1.class index 2b73c237..cf7d4912 100644 Binary files a/target/scala-2.12/classes/lib/ahb_to_axi4$$anon$1.class and b/target/scala-2.12/classes/lib/ahb_to_axi4$$anon$1.class differ diff --git a/target/scala-2.12/classes/lib/ahb_to_axi4$.class b/target/scala-2.12/classes/lib/ahb_to_axi4$.class new file mode 100644 index 00000000..497f7d26 Binary files /dev/null and b/target/scala-2.12/classes/lib/ahb_to_axi4$.class differ diff --git a/target/scala-2.12/classes/lib/ahb_to_axi4$delayedInit$body.class b/target/scala-2.12/classes/lib/ahb_to_axi4$delayedInit$body.class new file mode 100644 index 00000000..310bf37e Binary files /dev/null and b/target/scala-2.12/classes/lib/ahb_to_axi4$delayedInit$body.class differ diff --git a/target/scala-2.12/classes/lib/ahb_to_axi4.class b/target/scala-2.12/classes/lib/ahb_to_axi4.class index bf6638f7..840e5bbc 100644 Binary files a/target/scala-2.12/classes/lib/ahb_to_axi4.class and b/target/scala-2.12/classes/lib/ahb_to_axi4.class differ diff --git a/target/scala-2.12/classes/lib/axi4_to_ahb$.class b/target/scala-2.12/classes/lib/axi4_to_ahb$.class new file mode 100644 index 00000000..a5878803 Binary files /dev/null and b/target/scala-2.12/classes/lib/axi4_to_ahb$.class differ diff --git a/target/scala-2.12/classes/lib/axi4_to_ahb$delayedInit$body.class b/target/scala-2.12/classes/lib/axi4_to_ahb$delayedInit$body.class new file mode 100644 index 00000000..087cb4fc Binary files /dev/null and b/target/scala-2.12/classes/lib/axi4_to_ahb$delayedInit$body.class differ diff --git a/target/scala-2.12/classes/lib/axi4_to_ahb.class b/target/scala-2.12/classes/lib/axi4_to_ahb.class index b1b16449..8e1ebdb6 100644 Binary files a/target/scala-2.12/classes/lib/axi4_to_ahb.class and b/target/scala-2.12/classes/lib/axi4_to_ahb.class differ diff --git a/target/scala-2.12/classes/lib/axi4_to_ahb_IO.class b/target/scala-2.12/classes/lib/axi4_to_ahb_IO.class index fbca4c2a..2bd2c9b4 100644 Binary files a/target/scala-2.12/classes/lib/axi4_to_ahb_IO.class and b/target/scala-2.12/classes/lib/axi4_to_ahb_IO.class differ diff --git a/target/scala-2.12/classes/lib/lib$$anon$1.class b/target/scala-2.12/classes/lib/lib$$anon$1.class new file mode 100644 index 00000000..a354c085 Binary files /dev/null and b/target/scala-2.12/classes/lib/lib$$anon$1.class differ diff --git a/target/scala-2.12/classes/lib/lib$gated_latch$$anon$4.class b/target/scala-2.12/classes/lib/lib$gated_latch$$anon$4.class new file mode 100644 index 00000000..0ef78c52 Binary files /dev/null and b/target/scala-2.12/classes/lib/lib$gated_latch$$anon$4.class differ diff --git a/target/scala-2.12/classes/lib/lib$gated_latch.class b/target/scala-2.12/classes/lib/lib$gated_latch.class index dcbb9641..ed444f46 100644 Binary files a/target/scala-2.12/classes/lib/lib$gated_latch.class and b/target/scala-2.12/classes/lib/lib$gated_latch.class differ diff --git a/target/scala-2.12/classes/lib/lib$rvclkhdr$$anon$5.class b/target/scala-2.12/classes/lib/lib$rvclkhdr$$anon$5.class new file mode 100644 index 00000000..d8e336d6 Binary files /dev/null and b/target/scala-2.12/classes/lib/lib$rvclkhdr$$anon$5.class differ diff --git a/target/scala-2.12/classes/lib/lib$rvclkhdr.class b/target/scala-2.12/classes/lib/lib$rvclkhdr.class index d8d7eacc..0706b26d 100644 Binary files a/target/scala-2.12/classes/lib/lib$rvclkhdr.class and b/target/scala-2.12/classes/lib/lib$rvclkhdr.class differ diff --git a/target/scala-2.12/classes/lib/lib$rvecc_encode$$anon$2.class b/target/scala-2.12/classes/lib/lib$rvecc_encode$$anon$2.class new file mode 100644 index 00000000..c71712ee Binary files /dev/null and b/target/scala-2.12/classes/lib/lib$rvecc_encode$$anon$2.class differ diff --git a/target/scala-2.12/classes/lib/lib$rvecc_encode.class b/target/scala-2.12/classes/lib/lib$rvecc_encode.class index 548046b8..b7ce0e93 100644 Binary files a/target/scala-2.12/classes/lib/lib$rvecc_encode.class and b/target/scala-2.12/classes/lib/lib$rvecc_encode.class differ diff --git a/target/scala-2.12/classes/lib/lib$rvecc_encode_64$$anon$3.class b/target/scala-2.12/classes/lib/lib$rvecc_encode_64$$anon$3.class new file mode 100644 index 00000000..9ed548a0 Binary files /dev/null and b/target/scala-2.12/classes/lib/lib$rvecc_encode_64$$anon$3.class differ diff --git a/target/scala-2.12/classes/lib/lib$rvecc_encode_64.class b/target/scala-2.12/classes/lib/lib$rvecc_encode_64.class index d4ec3783..12d64c68 100644 Binary files a/target/scala-2.12/classes/lib/lib$rvecc_encode_64.class and b/target/scala-2.12/classes/lib/lib$rvecc_encode_64.class differ diff --git a/target/scala-2.12/classes/lib/lib.class b/target/scala-2.12/classes/lib/lib.class index 4a87cf73..a3a88b82 100644 Binary files a/target/scala-2.12/classes/lib/lib.class and b/target/scala-2.12/classes/lib/lib.class differ diff --git a/target/scala-2.12/classes/lib/param.class b/target/scala-2.12/classes/lib/param.class index 4343daa9..2d41b733 100644 Binary files a/target/scala-2.12/classes/lib/param.class and b/target/scala-2.12/classes/lib/param.class differ diff --git a/target/scala-2.12/classes/lsu/bus_buffer$.class b/target/scala-2.12/classes/lsu/bus_buffer$.class new file mode 100644 index 00000000..20c511dc Binary files /dev/null and b/target/scala-2.12/classes/lsu/bus_buffer$.class differ diff --git a/target/scala-2.12/classes/lsu/bus_buffer$delayedInit$body.class b/target/scala-2.12/classes/lsu/bus_buffer$delayedInit$body.class new file mode 100644 index 00000000..eec86ce8 Binary files /dev/null and b/target/scala-2.12/classes/lsu/bus_buffer$delayedInit$body.class differ diff --git a/target/scala-2.12/classes/lsu/bus_buffer.class b/target/scala-2.12/classes/lsu/bus_buffer.class new file mode 100644 index 00000000..fb858d73 Binary files /dev/null and b/target/scala-2.12/classes/lsu/bus_buffer.class differ diff --git a/target/scala-2.12/classes/lsu/lsu.class b/target/scala-2.12/classes/lsu/lsu.class index d4db060d..44af4b17 100644 Binary files a/target/scala-2.12/classes/lsu/lsu.class and b/target/scala-2.12/classes/lsu/lsu.class differ diff --git a/target/scala-2.12/classes/lsu/lsu_addrcheck.class b/target/scala-2.12/classes/lsu/lsu_addrcheck.class index 2fb73c6a..620cfd9e 100644 Binary files a/target/scala-2.12/classes/lsu/lsu_addrcheck.class and b/target/scala-2.12/classes/lsu/lsu_addrcheck.class differ diff --git a/target/scala-2.12/classes/lsu/lsu_bus_buffer.class b/target/scala-2.12/classes/lsu/lsu_bus_buffer.class index 64349451..8c5bb750 100644 Binary files a/target/scala-2.12/classes/lsu/lsu_bus_buffer.class and b/target/scala-2.12/classes/lsu/lsu_bus_buffer.class differ diff --git a/target/scala-2.12/classes/lsu/lsu_bus_intf.class b/target/scala-2.12/classes/lsu/lsu_bus_intf.class index 07634349..3cabcff4 100644 Binary files a/target/scala-2.12/classes/lsu/lsu_bus_intf.class and b/target/scala-2.12/classes/lsu/lsu_bus_intf.class differ diff --git a/target/scala-2.12/classes/lsu/lsu_clkdomain.class b/target/scala-2.12/classes/lsu/lsu_clkdomain.class index f8bb60f1..1bd78fe2 100644 Binary files a/target/scala-2.12/classes/lsu/lsu_clkdomain.class and b/target/scala-2.12/classes/lsu/lsu_clkdomain.class differ diff --git a/target/scala-2.12/classes/lsu/lsu_dccm_ctl.class b/target/scala-2.12/classes/lsu/lsu_dccm_ctl.class index c2afb0d2..4eee6d2a 100644 Binary files a/target/scala-2.12/classes/lsu/lsu_dccm_ctl.class and b/target/scala-2.12/classes/lsu/lsu_dccm_ctl.class differ diff --git a/target/scala-2.12/classes/lsu/lsu_ecc.class b/target/scala-2.12/classes/lsu/lsu_ecc.class index 033e3782..44fd112e 100644 Binary files a/target/scala-2.12/classes/lsu/lsu_ecc.class and b/target/scala-2.12/classes/lsu/lsu_ecc.class differ diff --git a/target/scala-2.12/classes/lsu/lsu_lsc_ctl.class b/target/scala-2.12/classes/lsu/lsu_lsc_ctl.class index 87fc5250..ce5b0e9e 100644 Binary files a/target/scala-2.12/classes/lsu/lsu_lsc_ctl.class and b/target/scala-2.12/classes/lsu/lsu_lsc_ctl.class differ diff --git a/target/scala-2.12/classes/lsu/lsu_stbuf.class b/target/scala-2.12/classes/lsu/lsu_stbuf.class index 6e380e9d..4b697556 100644 Binary files a/target/scala-2.12/classes/lsu/lsu_stbuf.class and b/target/scala-2.12/classes/lsu/lsu_stbuf.class differ diff --git a/target/scala-2.12/classes/lsu/lsu_top$.class b/target/scala-2.12/classes/lsu/lsu_top$.class new file mode 100644 index 00000000..82395074 Binary files /dev/null and b/target/scala-2.12/classes/lsu/lsu_top$.class differ diff --git a/target/scala-2.12/classes/lsu/lsu_top$delayedInit$body.class b/target/scala-2.12/classes/lsu/lsu_top$delayedInit$body.class new file mode 100644 index 00000000..d53bc6a9 Binary files /dev/null and b/target/scala-2.12/classes/lsu/lsu_top$delayedInit$body.class differ diff --git a/target/scala-2.12/classes/lsu/lsu_top.class b/target/scala-2.12/classes/lsu/lsu_top.class new file mode 100644 index 00000000..58708295 Binary files /dev/null and b/target/scala-2.12/classes/lsu/lsu_top.class differ diff --git a/target/scala-2.12/classes/lsu/lsu_trigger.class b/target/scala-2.12/classes/lsu/lsu_trigger.class index e9a595f2..c98d3f42 100644 Binary files a/target/scala-2.12/classes/lsu/lsu_trigger.class and b/target/scala-2.12/classes/lsu/lsu_trigger.class differ diff --git a/target/scala-2.12/classes/mem/Mem_bundle.class b/target/scala-2.12/classes/mem/Mem_bundle.class index 164b5d31..d7748709 100644 Binary files a/target/scala-2.12/classes/mem/Mem_bundle.class and b/target/scala-2.12/classes/mem/Mem_bundle.class differ diff --git a/target/scala-2.12/classes/mem/blackbox_mem.class b/target/scala-2.12/classes/mem/blackbox_mem.class index d3be279e..0565203e 100644 Binary files a/target/scala-2.12/classes/mem/blackbox_mem.class and b/target/scala-2.12/classes/mem/blackbox_mem.class differ diff --git a/target/scala-2.12/classes/mem/mem_lsu.class b/target/scala-2.12/classes/mem/mem_lsu.class index f20de599..aa67aeb8 100644 Binary files a/target/scala-2.12/classes/mem/mem_lsu.class and b/target/scala-2.12/classes/mem/mem_lsu.class differ diff --git a/target/scala-2.12/classes/mem/quasar$.class b/target/scala-2.12/classes/mem/quasar$.class index 1052f7dd..761c43fc 100644 Binary files a/target/scala-2.12/classes/mem/quasar$.class and b/target/scala-2.12/classes/mem/quasar$.class differ diff --git a/target/scala-2.12/classes/mem/quasar.class b/target/scala-2.12/classes/mem/quasar.class index 06a4573f..55e37210 100644 Binary files a/target/scala-2.12/classes/mem/quasar.class and b/target/scala-2.12/classes/mem/quasar.class differ diff --git a/target/scala-2.12/classes/pic_ctrl.class b/target/scala-2.12/classes/pic_ctrl.class index c9a94cc8..8f5d4f12 100644 Binary files a/target/scala-2.12/classes/pic_ctrl.class and b/target/scala-2.12/classes/pic_ctrl.class differ diff --git a/target/scala-2.12/classes/pic_gen$.class b/target/scala-2.12/classes/pic_gen$.class new file mode 100644 index 00000000..9a343cda Binary files /dev/null and b/target/scala-2.12/classes/pic_gen$.class differ diff --git a/target/scala-2.12/classes/pic_gen$delayedInit$body.class b/target/scala-2.12/classes/pic_gen$delayedInit$body.class new file mode 100644 index 00000000..0fc89175 Binary files /dev/null and b/target/scala-2.12/classes/pic_gen$delayedInit$body.class differ diff --git a/target/scala-2.12/classes/pic_gen.class b/target/scala-2.12/classes/pic_gen.class new file mode 100644 index 00000000..615cc839 Binary files /dev/null and b/target/scala-2.12/classes/pic_gen.class differ diff --git a/target/scala-2.12/classes/quasar.class b/target/scala-2.12/classes/quasar.class index 1ea1b6f3..2867c5df 100644 Binary files a/target/scala-2.12/classes/quasar.class and b/target/scala-2.12/classes/quasar.class differ diff --git a/target/scala-2.12/classes/quasar_bundle$$anon$1.class b/target/scala-2.12/classes/quasar_bundle$$anon$1.class new file mode 100644 index 00000000..4003a7ec Binary files /dev/null and b/target/scala-2.12/classes/quasar_bundle$$anon$1.class differ diff --git a/target/scala-2.12/classes/quasar_bundle.class b/target/scala-2.12/classes/quasar_bundle.class index cd10ff2a..9269e0a3 100644 Binary files a/target/scala-2.12/classes/quasar_bundle.class and b/target/scala-2.12/classes/quasar_bundle.class differ diff --git a/target/scala-2.12/classes/quasar_wrapper$$anon$1.class b/target/scala-2.12/classes/quasar_wrapper$$anon$1.class index 8d88506e..d4b10087 100644 Binary files a/target/scala-2.12/classes/quasar_wrapper$$anon$1.class and b/target/scala-2.12/classes/quasar_wrapper$$anon$1.class differ diff --git a/target/scala-2.12/classes/quasar_wrapper.class b/target/scala-2.12/classes/quasar_wrapper.class index c5efed32..f79edf05 100644 Binary files a/target/scala-2.12/classes/quasar_wrapper.class and b/target/scala-2.12/classes/quasar_wrapper.class differ diff --git a/target/scala-2.12/classes/vsrc/gated_latch.v b/target/scala-2.12/classes/vsrc/gated_latch.v new file mode 100644 index 00000000..36570337 --- /dev/null +++ b/target/scala-2.12/classes/vsrc/gated_latch.v @@ -0,0 +1,14 @@ +module gated_latch + ( + input wire SE, EN, CK, + output Q + ); + reg en_ff; + wire enable; + assign enable = EN | SE; + always @(CK, enable) begin + if(!CK) + en_ff = enable; + end + assign Q = CK & en_ff; +endmodule diff --git a/target/scala-2.12/classes/vsrc/mem_mod.sv b/target/scala-2.12/classes/vsrc/mem_mod.sv index e78f5cec..6f71a3c1 100644 --- a/target/scala-2.12/classes/vsrc/mem_mod.sv +++ b/target/scala-2.12/classes/vsrc/mem_mod.sv @@ -1,5 +1,5 @@ module el2_btb_tag_hash #( -`include "param.vh" +`include "el2_param.vh" ) ( input logic [pt.BTB_ADDR_HI+pt.BTB_BTAG_SIZE+pt.BTB_BTAG_SIZE+pt.BTB_BTAG_SIZE:pt.BTB_ADDR_HI+1] pc, output logic [pt.BTB_BTAG_SIZE-1:0] hash @@ -11,7 +11,7 @@ module el2_btb_tag_hash #( endmodule module el2_btb_tag_hash_fold #( -`include "param.vh" +`include "el2_param.vh" )( input logic [pt.BTB_ADDR_HI+pt.BTB_BTAG_SIZE+pt.BTB_BTAG_SIZE:pt.BTB_ADDR_HI+1] pc, output logic [pt.BTB_BTAG_SIZE-1:0] hash @@ -24,7 +24,7 @@ module el2_btb_tag_hash_fold #( endmodule module el2_btb_addr_hash #( -`include "param.vh" +`include "el2_param.vh" )( input logic [pt.BTB_INDEX3_HI:pt.BTB_INDEX1_LO] pc, output logic [pt.BTB_ADDR_HI:pt.BTB_ADDR_LO] hash @@ -44,7 +44,7 @@ end endmodule module el2_btb_ghr_hash #( -`include "param.vh" +`include "el2_param.vh" )( input logic [pt.BTB_ADDR_HI:pt.BTB_ADDR_LO] hashin, input logic [pt.BHT_GHR_SIZE-1:0] ghr, diff --git a/target/scala-2.12/update/update_cache_2.12/inputs b/target/scala-2.12/update/update_cache_2.12/inputs index 460e4436..c991089c 100644 --- a/target/scala-2.12/update/update_cache_2.12/inputs +++ b/target/scala-2.12/update/update_cache_2.12/inputs @@ -1 +1 @@ -1157466029 \ No newline at end of file +1090858729 \ No newline at end of file diff --git a/target/scala-2.12/update/update_cache_2.12/output b/target/scala-2.12/update/update_cache_2.12/output index 51dd903c..e23c3bc8 100644 --- a/target/scala-2.12/update/update_cache_2.12/output +++ b/target/scala-2.12/update/update_cache_2.12/output @@ -1 +1 @@ -{"cachedDescriptor":".","configurations":[{"configuration":{"name":"plugin"},"modules":[{"module":{"organization":"org.scalamacros","name":"paradise_2.12.10","revision":"2.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"paradise_2.12.10","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalamacros/paradise_2.12.10/2.1.0/paradise_2.12.10-2.1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalamacros/paradise_2.12.10/2.1.0/paradise_2.12.10-2.1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scalamacros/paradise","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-compiler.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.0.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.0.6/scala-xml_2.12-1.0.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.0.6/scala-xml_2.12-1.0.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"pom"},"modules":[],"details":[]},{"configuration":{"name":"test"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel-iotesters_2.12","revision":"1.4.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel-iotesters_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chiseltest_2.12","revision":"0.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chiseltest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl-interpreter_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl-interpreter_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"treadle_2.12","revision":"1.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"treadle_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"junit","name":"junit","revision":"4.13","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"junit","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://junit.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalatest","name":"scalatest_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalatest_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalacheck","name":"scalacheck_2.12","revision":"1.14.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacheck_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalacheck.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.scopt","name":"scopt_2.12","revision":"3.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scopt_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scopt/scopt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"utest_2.12","revision":"0.6.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"utest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/utest","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-macros_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-macros_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-core_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.antlr","name":"antlr4-runtime","revision":"4.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"antlr4-runtime","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.9.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.jcazevedo","name":"moultingyaml_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"moultingyaml_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/jcazevedo/moultingyaml","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-native_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-native_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-text","revision":"1.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-text","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://commons.apache.org/proper/commons-text","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-jline","revision":"2.12.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-jline","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.hamcrest","name":"hamcrest-core","revision":"1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"hamcrest-core","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalactic","name":"scalactic_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalactic_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.portable-scala","name":"portable-scala-reflect_2.12","revision":"0.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"portable-scala-reflect_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/portable-scala/portable-scala-reflect","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.nscala-time","name":"nscala-time_2.12","revision":"2.22.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"nscala-time_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/nscala-time/nscala-time","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.yaml","name":"snakeyaml","revision":"1.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"snakeyaml","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.snakeyaml.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-core_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-lang3","revision":"3.9","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-lang3","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://commons.apache.org/proper/commons-lang/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.11","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"joda-time","name":"joda-time","revision":"2.10.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-time","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/joda-time/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.joda","name":"joda-convert","revision":"2.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-convert","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/${joda.artifactId}/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-ast_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-ast_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-scalap_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-scalap_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.thoughtworks.paranamer","name":"paranamer","revision":"2.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"paranamer","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"provided"},"modules":[],"details":[]},{"configuration":{"name":"compile-internal"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel-iotesters_2.12","revision":"1.4.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel-iotesters_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chiseltest_2.12","revision":"0.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chiseltest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl-interpreter_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl-interpreter_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"treadle_2.12","revision":"1.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"treadle_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"junit","name":"junit","revision":"4.13","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"junit","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://junit.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalatest","name":"scalatest_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalatest_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalacheck","name":"scalacheck_2.12","revision":"1.14.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacheck_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalacheck.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.scopt","name":"scopt_2.12","revision":"3.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scopt_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scopt/scopt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"utest_2.12","revision":"0.6.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"utest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/utest","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-macros_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-macros_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-core_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.antlr","name":"antlr4-runtime","revision":"4.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"antlr4-runtime","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.9.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.jcazevedo","name":"moultingyaml_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"moultingyaml_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/jcazevedo/moultingyaml","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-native_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-native_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-text","revision":"1.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-text","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://commons.apache.org/proper/commons-text","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-jline","revision":"2.12.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-jline","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.hamcrest","name":"hamcrest-core","revision":"1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"hamcrest-core","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalactic","name":"scalactic_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalactic_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.portable-scala","name":"portable-scala-reflect_2.12","revision":"0.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"portable-scala-reflect_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/portable-scala/portable-scala-reflect","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.nscala-time","name":"nscala-time_2.12","revision":"2.22.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"nscala-time_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/nscala-time/nscala-time","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.yaml","name":"snakeyaml","revision":"1.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"snakeyaml","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.snakeyaml.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-core_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-lang3","revision":"3.9","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-lang3","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://commons.apache.org/proper/commons-lang/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.11","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"joda-time","name":"joda-time","revision":"2.10.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-time","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/joda-time/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.joda","name":"joda-convert","revision":"2.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-convert","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/${joda.artifactId}/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-ast_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-ast_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-scalap_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-scalap_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.thoughtworks.paranamer","name":"paranamer","revision":"2.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"paranamer","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"docs"},"modules":[],"details":[]},{"configuration":{"name":"optional"},"modules":[],"details":[]},{"configuration":{"name":"compile"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel-iotesters_2.12","revision":"1.4.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel-iotesters_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chiseltest_2.12","revision":"0.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chiseltest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl-interpreter_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl-interpreter_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"treadle_2.12","revision":"1.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"treadle_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"junit","name":"junit","revision":"4.13","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"junit","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://junit.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalatest","name":"scalatest_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalatest_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalacheck","name":"scalacheck_2.12","revision":"1.14.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacheck_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalacheck.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.scopt","name":"scopt_2.12","revision":"3.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scopt_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scopt/scopt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"utest_2.12","revision":"0.6.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"utest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/utest","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-macros_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-macros_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-core_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.antlr","name":"antlr4-runtime","revision":"4.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"antlr4-runtime","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.9.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.jcazevedo","name":"moultingyaml_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"moultingyaml_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/jcazevedo/moultingyaml","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-native_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-native_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-text","revision":"1.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-text","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://commons.apache.org/proper/commons-text","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-jline","revision":"2.12.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-jline","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.hamcrest","name":"hamcrest-core","revision":"1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"hamcrest-core","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalactic","name":"scalactic_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalactic_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.portable-scala","name":"portable-scala-reflect_2.12","revision":"0.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"portable-scala-reflect_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/portable-scala/portable-scala-reflect","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.nscala-time","name":"nscala-time_2.12","revision":"2.22.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"nscala-time_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/nscala-time/nscala-time","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.yaml","name":"snakeyaml","revision":"1.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"snakeyaml","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.snakeyaml.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-core_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-lang3","revision":"3.9","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-lang3","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://commons.apache.org/proper/commons-lang/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.11","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"joda-time","name":"joda-time","revision":"2.10.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-time","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/joda-time/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.joda","name":"joda-convert","revision":"2.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-convert","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/${joda.artifactId}/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-ast_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-ast_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-scalap_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-scalap_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.thoughtworks.paranamer","name":"paranamer","revision":"2.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"paranamer","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"test-internal"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel-iotesters_2.12","revision":"1.4.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel-iotesters_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chiseltest_2.12","revision":"0.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chiseltest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl-interpreter_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl-interpreter_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"treadle_2.12","revision":"1.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"treadle_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"junit","name":"junit","revision":"4.13","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"junit","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://junit.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalatest","name":"scalatest_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalatest_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalacheck","name":"scalacheck_2.12","revision":"1.14.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacheck_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalacheck.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.scopt","name":"scopt_2.12","revision":"3.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scopt_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scopt/scopt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"utest_2.12","revision":"0.6.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"utest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/utest","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-macros_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-macros_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-core_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.antlr","name":"antlr4-runtime","revision":"4.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"antlr4-runtime","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.9.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.jcazevedo","name":"moultingyaml_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"moultingyaml_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/jcazevedo/moultingyaml","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-native_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-native_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-text","revision":"1.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-text","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://commons.apache.org/proper/commons-text","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-jline","revision":"2.12.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-jline","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.hamcrest","name":"hamcrest-core","revision":"1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"hamcrest-core","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalactic","name":"scalactic_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalactic_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.portable-scala","name":"portable-scala-reflect_2.12","revision":"0.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"portable-scala-reflect_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/portable-scala/portable-scala-reflect","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.nscala-time","name":"nscala-time_2.12","revision":"2.22.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"nscala-time_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/nscala-time/nscala-time","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.yaml","name":"snakeyaml","revision":"1.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"snakeyaml","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.snakeyaml.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-core_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-lang3","revision":"3.9","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-lang3","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://commons.apache.org/proper/commons-lang/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.11","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"joda-time","name":"joda-time","revision":"2.10.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-time","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/joda-time/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.joda","name":"joda-convert","revision":"2.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-convert","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/${joda.artifactId}/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-ast_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-ast_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-scalap_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-scalap_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.thoughtworks.paranamer","name":"paranamer","revision":"2.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"paranamer","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"scala-tool"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-compiler.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.10","configurations":"optional","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-compiler.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"optional","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.0.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.0.6/scala-xml_2.12-1.0.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.0.6/scala-xml_2.12-1.0.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"jline","name":"jline","revision":"2.14.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.12","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.12/jansi-1.12.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.12/jansi-1.12.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"sources"},"modules":[],"details":[]},{"configuration":{"name":"runtime"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel-iotesters_2.12","revision":"1.4.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel-iotesters_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chiseltest_2.12","revision":"0.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chiseltest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl-interpreter_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl-interpreter_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"treadle_2.12","revision":"1.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"treadle_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"junit","name":"junit","revision":"4.13","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"junit","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://junit.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalatest","name":"scalatest_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalatest_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalacheck","name":"scalacheck_2.12","revision":"1.14.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacheck_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalacheck.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.scopt","name":"scopt_2.12","revision":"3.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scopt_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scopt/scopt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"utest_2.12","revision":"0.6.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"utest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/utest","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-macros_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-macros_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-core_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.antlr","name":"antlr4-runtime","revision":"4.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"antlr4-runtime","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.9.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.jcazevedo","name":"moultingyaml_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"moultingyaml_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/jcazevedo/moultingyaml","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-native_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-native_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-text","revision":"1.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-text","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://commons.apache.org/proper/commons-text","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-jline","revision":"2.12.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-jline","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.hamcrest","name":"hamcrest-core","revision":"1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"hamcrest-core","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalactic","name":"scalactic_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalactic_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.portable-scala","name":"portable-scala-reflect_2.12","revision":"0.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"portable-scala-reflect_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/portable-scala/portable-scala-reflect","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.nscala-time","name":"nscala-time_2.12","revision":"2.22.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"nscala-time_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/nscala-time/nscala-time","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.yaml","name":"snakeyaml","revision":"1.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"snakeyaml","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.snakeyaml.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-core_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-lang3","revision":"3.9","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-lang3","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://commons.apache.org/proper/commons-lang/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.11","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"joda-time","name":"joda-time","revision":"2.10.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-time","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/joda-time/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.joda","name":"joda-convert","revision":"2.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-convert","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/${joda.artifactId}/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-ast_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-ast_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-scalap_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-scalap_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.thoughtworks.paranamer","name":"paranamer","revision":"2.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"paranamer","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"runtime-internal"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel-iotesters_2.12","revision":"1.4.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel-iotesters_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chiseltest_2.12","revision":"0.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chiseltest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl-interpreter_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl-interpreter_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"treadle_2.12","revision":"1.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"treadle_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"junit","name":"junit","revision":"4.13","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"junit","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://junit.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalatest","name":"scalatest_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalatest_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalacheck","name":"scalacheck_2.12","revision":"1.14.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacheck_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalacheck.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.scopt","name":"scopt_2.12","revision":"3.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scopt_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scopt/scopt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"utest_2.12","revision":"0.6.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"utest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/utest","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-macros_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-macros_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-core_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.antlr","name":"antlr4-runtime","revision":"4.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"antlr4-runtime","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.9.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.jcazevedo","name":"moultingyaml_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"moultingyaml_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/jcazevedo/moultingyaml","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-native_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-native_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-text","revision":"1.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-text","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://commons.apache.org/proper/commons-text","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-jline","revision":"2.12.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-jline","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.hamcrest","name":"hamcrest-core","revision":"1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"hamcrest-core","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalactic","name":"scalactic_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalactic_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.portable-scala","name":"portable-scala-reflect_2.12","revision":"0.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"portable-scala-reflect_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/portable-scala/portable-scala-reflect","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.nscala-time","name":"nscala-time_2.12","revision":"2.22.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"nscala-time_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/nscala-time/nscala-time","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.yaml","name":"snakeyaml","revision":"1.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"snakeyaml","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.snakeyaml.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-core_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-lang3","revision":"3.9","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-lang3","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://commons.apache.org/proper/commons-lang/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.11","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"joda-time","name":"joda-time","revision":"2.10.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-time","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/joda-time/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.joda","name":"joda-convert","revision":"2.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-convert","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/${joda.artifactId}/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-ast_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-ast_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-scalap_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-scalap_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.thoughtworks.paranamer","name":"paranamer","revision":"2.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"paranamer","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]}],"stats":{"resolveTime":-1,"downloadTime":-1,"downloadSize":-1,"cached":true},"stamps":{}} \ No newline at end of file +{"cachedDescriptor":".","configurations":[{"configuration":{"name":"plugin"},"modules":[{"module":{"organization":"org.scalamacros","name":"paradise_2.12.10","revision":"2.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"paradise_2.12.10","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalamacros/paradise_2.12.10/2.1.0/paradise_2.12.10-2.1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalamacros/paradise_2.12.10/2.1.0/paradise_2.12.10-2.1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scalamacros/paradise","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-compiler.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.0.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.0.6/scala-xml_2.12-1.0.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.0.6/scala-xml_2.12-1.0.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"pom"},"modules":[],"details":[]},{"configuration":{"name":"test"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel-iotesters_2.12","revision":"1.4.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel-iotesters_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chiseltest_2.12","revision":"0.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chiseltest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl-interpreter_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl-interpreter_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"treadle_2.12","revision":"1.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"treadle_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"junit","name":"junit","revision":"4.13","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"junit","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://junit.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalatest","name":"scalatest_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalatest_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalacheck","name":"scalacheck_2.12","revision":"1.14.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacheck_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalacheck.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.scopt","name":"scopt_2.12","revision":"3.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scopt_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scopt/scopt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"utest_2.12","revision":"0.6.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"utest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/utest","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-macros_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-macros_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-core_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.antlr","name":"antlr4-runtime","revision":"4.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"antlr4-runtime","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.9.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.jcazevedo","name":"moultingyaml_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"moultingyaml_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/jcazevedo/moultingyaml","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-native_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-native_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-text","revision":"1.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-text","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://commons.apache.org/proper/commons-text","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-jline","revision":"2.12.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-jline","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.hamcrest","name":"hamcrest-core","revision":"1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"hamcrest-core","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalactic","name":"scalactic_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalactic_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.portable-scala","name":"portable-scala-reflect_2.12","revision":"0.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"portable-scala-reflect_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/portable-scala/portable-scala-reflect","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.nscala-time","name":"nscala-time_2.12","revision":"2.22.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"nscala-time_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/nscala-time/nscala-time","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.yaml","name":"snakeyaml","revision":"1.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"snakeyaml","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.snakeyaml.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-core_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-lang3","revision":"3.9","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-lang3","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://commons.apache.org/proper/commons-lang/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.11","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"joda-time","name":"joda-time","revision":"2.10.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-time","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/joda-time/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.joda","name":"joda-convert","revision":"2.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-convert","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/${joda.artifactId}/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-ast_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-ast_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-scalap_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-scalap_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.thoughtworks.paranamer","name":"paranamer","revision":"2.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"paranamer","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"provided"},"modules":[],"details":[]},{"configuration":{"name":"compile-internal"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel-iotesters_2.12","revision":"1.4.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel-iotesters_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chiseltest_2.12","revision":"0.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chiseltest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl-interpreter_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl-interpreter_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"treadle_2.12","revision":"1.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"treadle_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"junit","name":"junit","revision":"4.13","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"junit","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://junit.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalatest","name":"scalatest_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalatest_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalacheck","name":"scalacheck_2.12","revision":"1.14.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacheck_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalacheck.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.scopt","name":"scopt_2.12","revision":"3.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scopt_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scopt/scopt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"utest_2.12","revision":"0.6.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"utest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/utest","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-macros_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-macros_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-core_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.antlr","name":"antlr4-runtime","revision":"4.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"antlr4-runtime","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.9.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.jcazevedo","name":"moultingyaml_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"moultingyaml_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/jcazevedo/moultingyaml","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-native_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-native_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-text","revision":"1.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-text","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://commons.apache.org/proper/commons-text","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-jline","revision":"2.12.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-jline","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.hamcrest","name":"hamcrest-core","revision":"1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"hamcrest-core","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalactic","name":"scalactic_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalactic_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.portable-scala","name":"portable-scala-reflect_2.12","revision":"0.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"portable-scala-reflect_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/portable-scala/portable-scala-reflect","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.nscala-time","name":"nscala-time_2.12","revision":"2.22.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"nscala-time_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/nscala-time/nscala-time","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.yaml","name":"snakeyaml","revision":"1.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"snakeyaml","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.snakeyaml.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-core_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-lang3","revision":"3.9","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-lang3","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://commons.apache.org/proper/commons-lang/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.11","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"joda-time","name":"joda-time","revision":"2.10.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-time","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/joda-time/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.joda","name":"joda-convert","revision":"2.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-convert","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/${joda.artifactId}/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-ast_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-ast_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-scalap_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-scalap_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.thoughtworks.paranamer","name":"paranamer","revision":"2.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"paranamer","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"docs"},"modules":[],"details":[]},{"configuration":{"name":"optional"},"modules":[],"details":[]},{"configuration":{"name":"compile"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel-iotesters_2.12","revision":"1.4.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel-iotesters_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chiseltest_2.12","revision":"0.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chiseltest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl-interpreter_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl-interpreter_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"treadle_2.12","revision":"1.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"treadle_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"junit","name":"junit","revision":"4.13","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"junit","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://junit.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalatest","name":"scalatest_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalatest_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalacheck","name":"scalacheck_2.12","revision":"1.14.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacheck_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalacheck.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.scopt","name":"scopt_2.12","revision":"3.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scopt_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scopt/scopt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"utest_2.12","revision":"0.6.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"utest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/utest","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-macros_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-macros_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-core_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.antlr","name":"antlr4-runtime","revision":"4.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"antlr4-runtime","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.9.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.jcazevedo","name":"moultingyaml_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"moultingyaml_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/jcazevedo/moultingyaml","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-native_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-native_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-text","revision":"1.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-text","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://commons.apache.org/proper/commons-text","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-jline","revision":"2.12.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-jline","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.hamcrest","name":"hamcrest-core","revision":"1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"hamcrest-core","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalactic","name":"scalactic_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalactic_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.portable-scala","name":"portable-scala-reflect_2.12","revision":"0.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"portable-scala-reflect_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/portable-scala/portable-scala-reflect","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.nscala-time","name":"nscala-time_2.12","revision":"2.22.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"nscala-time_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/nscala-time/nscala-time","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.yaml","name":"snakeyaml","revision":"1.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"snakeyaml","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.snakeyaml.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-core_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-lang3","revision":"3.9","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-lang3","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://commons.apache.org/proper/commons-lang/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.11","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"joda-time","name":"joda-time","revision":"2.10.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-time","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/joda-time/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.joda","name":"joda-convert","revision":"2.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-convert","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/${joda.artifactId}/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-ast_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-ast_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-scalap_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-scalap_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.thoughtworks.paranamer","name":"paranamer","revision":"2.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"paranamer","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"test-internal"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel-iotesters_2.12","revision":"1.4.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel-iotesters_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chiseltest_2.12","revision":"0.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chiseltest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl-interpreter_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl-interpreter_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"treadle_2.12","revision":"1.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"treadle_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"junit","name":"junit","revision":"4.13","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"junit","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://junit.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalatest","name":"scalatest_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalatest_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalacheck","name":"scalacheck_2.12","revision":"1.14.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacheck_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalacheck.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.scopt","name":"scopt_2.12","revision":"3.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scopt_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scopt/scopt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"utest_2.12","revision":"0.6.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"utest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/utest","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-macros_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-macros_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-core_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.antlr","name":"antlr4-runtime","revision":"4.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"antlr4-runtime","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.9.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.jcazevedo","name":"moultingyaml_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"moultingyaml_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/jcazevedo/moultingyaml","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-native_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-native_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-text","revision":"1.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-text","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://commons.apache.org/proper/commons-text","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-jline","revision":"2.12.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-jline","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.hamcrest","name":"hamcrest-core","revision":"1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"hamcrest-core","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalactic","name":"scalactic_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalactic_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.portable-scala","name":"portable-scala-reflect_2.12","revision":"0.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"portable-scala-reflect_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/portable-scala/portable-scala-reflect","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.nscala-time","name":"nscala-time_2.12","revision":"2.22.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"nscala-time_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/nscala-time/nscala-time","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.yaml","name":"snakeyaml","revision":"1.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"snakeyaml","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.snakeyaml.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-core_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-lang3","revision":"3.9","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-lang3","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://commons.apache.org/proper/commons-lang/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.11","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"joda-time","name":"joda-time","revision":"2.10.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-time","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/joda-time/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.joda","name":"joda-convert","revision":"2.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-convert","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/${joda.artifactId}/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-ast_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-ast_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-scalap_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-scalap_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.thoughtworks.paranamer","name":"paranamer","revision":"2.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"paranamer","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"scala-tool"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-compiler.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.10","configurations":"optional","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-compiler.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"optional","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.0.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.0.6/scala-xml_2.12-1.0.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.0.6/scala-xml_2.12-1.0.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"jline","name":"jline","revision":"2.14.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.12","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.12/jansi-1.12.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.12/jansi-1.12.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"sources"},"modules":[],"details":[]},{"configuration":{"name":"runtime"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel-iotesters_2.12","revision":"1.4.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel-iotesters_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chiseltest_2.12","revision":"0.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chiseltest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl-interpreter_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl-interpreter_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"treadle_2.12","revision":"1.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"treadle_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"junit","name":"junit","revision":"4.13","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"junit","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://junit.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalatest","name":"scalatest_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalatest_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalacheck","name":"scalacheck_2.12","revision":"1.14.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacheck_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalacheck.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.scopt","name":"scopt_2.12","revision":"3.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scopt_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scopt/scopt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"utest_2.12","revision":"0.6.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"utest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/utest","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-macros_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-macros_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-core_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.antlr","name":"antlr4-runtime","revision":"4.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"antlr4-runtime","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.9.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.jcazevedo","name":"moultingyaml_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"moultingyaml_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/jcazevedo/moultingyaml","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-native_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-native_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-text","revision":"1.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-text","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://commons.apache.org/proper/commons-text","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-jline","revision":"2.12.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-jline","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.hamcrest","name":"hamcrest-core","revision":"1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"hamcrest-core","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalactic","name":"scalactic_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalactic_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.portable-scala","name":"portable-scala-reflect_2.12","revision":"0.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"portable-scala-reflect_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/portable-scala/portable-scala-reflect","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.nscala-time","name":"nscala-time_2.12","revision":"2.22.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"nscala-time_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/nscala-time/nscala-time","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.yaml","name":"snakeyaml","revision":"1.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"snakeyaml","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.snakeyaml.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-core_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-lang3","revision":"3.9","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-lang3","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://commons.apache.org/proper/commons-lang/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.11","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"joda-time","name":"joda-time","revision":"2.10.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-time","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/joda-time/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.joda","name":"joda-convert","revision":"2.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-convert","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/${joda.artifactId}/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-ast_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-ast_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-scalap_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-scalap_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.thoughtworks.paranamer","name":"paranamer","revision":"2.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"paranamer","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"runtime-internal"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel-iotesters_2.12","revision":"1.4.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel-iotesters_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chiseltest_2.12","revision":"0.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chiseltest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl-interpreter_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl-interpreter_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"treadle_2.12","revision":"1.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"treadle_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"junit","name":"junit","revision":"4.13","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"junit","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://junit.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalatest","name":"scalatest_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalatest_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalacheck","name":"scalacheck_2.12","revision":"1.14.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacheck_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalacheck.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.scopt","name":"scopt_2.12","revision":"3.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scopt_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scopt/scopt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"utest_2.12","revision":"0.6.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"utest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/utest","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-macros_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-macros_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-core_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.antlr","name":"antlr4-runtime","revision":"4.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"antlr4-runtime","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.9.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.jcazevedo","name":"moultingyaml_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"moultingyaml_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/jcazevedo/moultingyaml","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-native_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-native_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-text","revision":"1.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-text","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://commons.apache.org/proper/commons-text","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-jline","revision":"2.12.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-jline","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.hamcrest","name":"hamcrest-core","revision":"1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"hamcrest-core","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalactic","name":"scalactic_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalactic_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.portable-scala","name":"portable-scala-reflect_2.12","revision":"0.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"portable-scala-reflect_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/portable-scala/portable-scala-reflect","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.nscala-time","name":"nscala-time_2.12","revision":"2.22.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"nscala-time_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/nscala-time/nscala-time","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.yaml","name":"snakeyaml","revision":"1.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"snakeyaml","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.snakeyaml.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-core_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-lang3","revision":"3.9","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-lang3","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://commons.apache.org/proper/commons-lang/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.11","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"joda-time","name":"joda-time","revision":"2.10.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-time","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/joda-time/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.joda","name":"joda-convert","revision":"2.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-convert","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/${joda.artifactId}/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-ast_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-ast_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-scalap_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-scalap_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.thoughtworks.paranamer","name":"paranamer","revision":"2.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"paranamer","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]}],"stats":{"resolveTime":-1,"downloadTime":-1,"downloadSize":-1,"cached":false},"stamps":{}} \ No newline at end of file diff --git a/target/streams/_global/_global/checkBuildSources/_global/inputFileStamps/previous b/target/streams/_global/_global/checkBuildSources/_global/inputFileStamps/previous index 2cd2fd18..54fd632e 100644 --- a/target/streams/_global/_global/checkBuildSources/_global/inputFileStamps/previous +++ b/target/streams/_global/_global/checkBuildSources/_global/inputFileStamps/previous @@ -1 +1 @@ -["sbt.Task[scala.collection.Seq[scala.Tuple2[java.nio.file.Path, sbt.nio.FileStamp]]]",{"hashes":[["/home/waleedbinehsan/Desktop/SweRV-Chislified-master/build.sbt","a7487a9519e56bfaf46b5c1967a665ac0baa0b73"],["/home/waleedbinehsan/Desktop/SweRV-Chislified-master/project/plugins.sbt","361bf1247779b42e03c86deb53015d6b2c401dac"]],"lastModifiedTimes":[]}] \ No newline at end of file +["sbt.Task[scala.collection.Seq[scala.Tuple2[java.nio.file.Path, sbt.nio.FileStamp]]]",{"hashes":[["/home/laraibkhan/Desktop/SweRV-Chislified/build.sbt","64e90423e55489a1881257da55da81b4fc55ae8f"],["/home/laraibkhan/Desktop/SweRV-Chislified/project/plugins.sbt","361bf1247779b42e03c86deb53015d6b2c401dac"]],"lastModifiedTimes":[]}] \ No newline at end of file diff --git a/target/streams/_global/_global/checkBuildSources/_global/streams/out b/target/streams/_global/_global/checkBuildSources/_global/streams/out index 24ce6f61..6c981659 100644 --- a/target/streams/_global/_global/checkBuildSources/_global/streams/out +++ b/target/streams/_global/_global/checkBuildSources/_global/streams/out @@ -1 +1 @@ -[debug] Checking for meta build source updates +[debug] Checking for meta build source updates diff --git a/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp b/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp index 584c8f82..67a5a7e0 100644 --- a/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp +++ b/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp @@ -1 +1 @@ -{"{\"organization\":\"org.scala-lang\",\"name\":\"scala-library\",\"revision\":\"2.12.10\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Disabled\"}}":{"value":{"$fields":["path","startLine"],"path":"(sbt.Classpaths.jvmBaseSettings) Defaults.scala","startLine":2531},"type":"LinePosition"},"{\"organization\":\"org.scalamacros\",\"name\":\"paradise\",\"revision\":\"2.1.0\",\"configurations\":\"plugin->default(compile)\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Full\",\"prefix\":\"\",\"suffix\":\"\"}}":{"value":{"$fields":["path","range"],"path":"/home/abdulhameed.akram/Documents/SweRV-Chislified/build.sbt","range":{"$fields":["start","end"],"start":42,"end":43}},"type":"RangePosition"},"{\"organization\":\"edu.berkeley.cs\",\"name\":\"chisel-iotesters\",\"revision\":\"1.4.1+\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Binary\",\"prefix\":\"\",\"suffix\":\"\"}}":{"value":{"$fields":["path","range"],"path":"/home/abdulhameed.akram/Documents/SweRV-Chislified/build.sbt","range":{"$fields":["start","end"],"start":50,"end":52}},"type":"RangePosition"},"{\"organization\":\"edu.berkeley.cs\",\"name\":\"chiseltest\",\"revision\":\"0.2.1+\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Binary\",\"prefix\":\"\",\"suffix\":\"\"}}":{"value":{"$fields":["path","range"],"path":"/home/abdulhameed.akram/Documents/SweRV-Chislified/build.sbt","range":{"$fields":["start","end"],"start":50,"end":52}},"type":"RangePosition"}} \ No newline at end of file +{"{\"organization\":\"org.scala-lang\",\"name\":\"scala-library\",\"revision\":\"2.12.10\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Disabled\"}}":{"value":{"$fields":["path","startLine"],"path":"(sbt.Classpaths.jvmBaseSettings) Defaults.scala","startLine":2531},"type":"LinePosition"},"{\"organization\":\"org.scalamacros\",\"name\":\"paradise\",\"revision\":\"2.1.0\",\"configurations\":\"plugin->default(compile)\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Full\",\"prefix\":\"\",\"suffix\":\"\"}}":{"value":{"$fields":["path","range"],"path":"/home/laraibkhan/Desktop/SweRV-Chislified/build.sbt","range":{"$fields":["start","end"],"start":44,"end":45}},"type":"RangePosition"},"{\"organization\":\"edu.berkeley.cs\",\"name\":\"chisel-iotesters\",\"revision\":\"1.4.1+\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Binary\",\"prefix\":\"\",\"suffix\":\"\"}}":{"value":{"$fields":["path","range"],"path":"/home/laraibkhan/Desktop/SweRV-Chislified/build.sbt","range":{"$fields":["start","end"],"start":52,"end":54}},"type":"RangePosition"},"{\"organization\":\"edu.berkeley.cs\",\"name\":\"chiseltest\",\"revision\":\"0.2.1+\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Binary\",\"prefix\":\"\",\"suffix\":\"\"}}":{"value":{"$fields":["path","range"],"path":"/home/laraibkhan/Desktop/SweRV-Chislified/build.sbt","range":{"$fields":["start","end"],"start":52,"end":54}},"type":"RangePosition"}} \ No newline at end of file diff --git a/target/streams/_global/update/_global/streams/out b/target/streams/_global/update/_global/streams/out index bb62ab7e..a24fcdeb 100644 --- a/target/streams/_global/update/_global/streams/out +++ b/target/streams/_global/update/_global/streams/out @@ -1,9 +1,3 @@ -[debug] "not up to date. inChanged = true, force = false -[debug] Updating ... -[info] Updating  -[info] Resolved dependencies -[info] Updating  -[info] Resolved dependencies -[info] Fetching artifacts of  -[info] Fetched artifacts of  -[debug] Done updating  +[debug] "not up to date. inChanged = true, force = false +[debug] Updating ... +[debug] Done updating diff --git a/target/streams/_global/updateClassifiers/_global/streams/out b/target/streams/_global/updateClassifiers/_global/streams/out index e20589b3..5943d43f 100644 --- a/target/streams/_global/updateClassifiers/_global/streams/out +++ b/target/streams/_global/updateClassifiers/_global/streams/out @@ -1,3 +1,3 @@ -[debug] "not up to date. inChanged = false, force = false -[debug] Updating ProjectRef(uri("file:/home/abdulhameed.akram/Downloads/SweRV-Chislified_withlsu/"), "swerv-chislified_withlsu")... -[debug] Done updating ProjectRef(uri("file:/home/abdulhameed.akram/Downloads/SweRV-Chislified_withlsu/"), "swerv-chislified_withlsu") +[debug] "not up to date. inChanged = true, force = false +[debug] Updating ProjectRef(uri("file:/home/laraibkhan/Desktop/SweRV-Chislified/"), "swerv-chislified")... +[debug] Done updating ProjectRef(uri("file:/home/laraibkhan/Desktop/SweRV-Chislified/"), "swerv-chislified") diff --git a/target/streams/_global/updateClassifiers/_global/streams/update_cache_2.12/inputs b/target/streams/_global/updateClassifiers/_global/streams/update_cache_2.12/inputs index 7275b2f9..e9c11f97 100644 --- a/target/streams/_global/updateClassifiers/_global/streams/update_cache_2.12/inputs +++ b/target/streams/_global/updateClassifiers/_global/streams/update_cache_2.12/inputs @@ -1 +1 @@ -1237509403 \ No newline at end of file +-1668611135 \ No newline at end of file diff --git a/target/streams/_global/updateClassifiers/_global/streams/update_cache_2.12/output b/target/streams/_global/updateClassifiers/_global/streams/update_cache_2.12/output index b31e3edd..408b43d2 100644 --- a/target/streams/_global/updateClassifiers/_global/streams/update_cache_2.12/output +++ b/target/streams/_global/updateClassifiers/_global/streams/update_cache_2.12/output @@ -1 +1 @@ -{"cachedDescriptor":".","configurations":[{"configuration":{"name":"plugin"},"modules":[{"module":{"organization":"org.scalamacros","name":"paradise_2.12.10","revision":"2.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"paradise_2.12.10","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalamacros/paradise_2.12.10/2.1.0/paradise_2.12.10-2.1.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalamacros/paradise_2.12.10/2.1.0/paradise_2.12.10-2.1.0-sources.jar"],[{"name":"paradise_2.12.10","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalamacros/paradise_2.12.10/2.1.0/paradise_2.12.10-2.1.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalamacros/paradise_2.12.10/2.1.0/paradise_2.12.10-2.1.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scalamacros/paradise","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.12.10/scala-compiler-2.12.10-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.12.10/scala-compiler-2.12.10-sources.jar"],[{"name":"scala-compiler","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.12.10/scala-compiler-2.12.10-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.12.10/scala-compiler-2.12.10-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-sources.jar"],[{"name":"scala-library","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-javadoc.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-sources.jar"],[{"name":"scala-reflect","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-javadoc.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.0.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.0.6/scala-xml_2.12-1.0.6-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.0.6/scala-xml_2.12-1.0.6-sources.jar"],[{"name":"scala-xml_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.0.6/scala-xml_2.12-1.0.6-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.0.6/scala-xml_2.12-1.0.6-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"pom"},"modules":[],"details":[]},{"configuration":{"name":"test"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-sources.jar"],[{"name":"scala-library","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-javadoc.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel-iotesters_2.12","revision":"1.4.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel-iotesters_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-sources.jar"],[{"name":"chisel-iotesters_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chiseltest_2.12","revision":"0.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chiseltest_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-sources.jar"],[{"name":"chiseltest_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-sources.jar"],[{"name":"chisel3_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-sources.jar"],[{"name":"firrtl_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl-interpreter_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl-interpreter_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-sources.jar"],[{"name":"firrtl-interpreter_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"treadle_2.12","revision":"1.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"treadle_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-sources.jar"],[{"name":"treadle_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"junit","name":"junit","revision":"4.13","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"junit","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-sources.jar"],[{"name":"junit","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://junit.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalatest","name":"scalatest_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalatest_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-sources.jar"],[{"name":"scalatest_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalacheck","name":"scalacheck_2.12","revision":"1.14.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacheck_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-sources.jar"],[{"name":"scalacheck_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalacheck.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.scopt","name":"scopt_2.12","revision":"3.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scopt_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-sources.jar"],[{"name":"scopt_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scopt/scopt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"utest_2.12","revision":"0.6.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"utest_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-sources.jar"],[{"name":"utest_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/utest","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-macros_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-macros_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-sources.jar"],[{"name":"chisel3-macros_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-core_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-sources.jar"],[{"name":"chisel3-core_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-sources.jar"],[{"name":"scala-reflect","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-javadoc.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.antlr","name":"antlr4-runtime","revision":"4.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"antlr4-runtime","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-sources.jar"],[{"name":"antlr4-runtime","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.9.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-sources.jar"],[{"name":"protobuf-java","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.jcazevedo","name":"moultingyaml_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"moultingyaml_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-sources.jar"],[{"name":"moultingyaml_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/jcazevedo/moultingyaml","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-native_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-native_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-sources.jar"],[{"name":"json4s-native_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-text","revision":"1.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-text","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-sources.jar"],[{"name":"commons-text","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://commons.apache.org/proper/commons-text","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-jline","revision":"2.12.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-jline","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-sources.jar"],[{"name":"scala-jline","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.hamcrest","name":"hamcrest-core","revision":"1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"hamcrest-core","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-sources.jar"],[{"name":"hamcrest-core","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalactic","name":"scalactic_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalactic_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-sources.jar"],[{"name":"scalactic_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-sources.jar"],[{"name":"scala-xml_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-sources.jar"],[{"name":"test-interface","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.portable-scala","name":"portable-scala-reflect_2.12","revision":"0.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"portable-scala-reflect_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-sources.jar"],[{"name":"portable-scala-reflect_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/portable-scala/portable-scala-reflect","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.nscala-time","name":"nscala-time_2.12","revision":"2.22.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"nscala-time_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-sources.jar"],[{"name":"nscala-time_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/nscala-time/nscala-time","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.yaml","name":"snakeyaml","revision":"1.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"snakeyaml","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-sources.jar"],[{"name":"snakeyaml","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.snakeyaml.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-core_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-sources.jar"],[{"name":"json4s-core_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-lang3","revision":"3.9","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-lang3","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-sources.jar"],[{"name":"commons-lang3","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://commons.apache.org/proper/commons-lang/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.11","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-sources.jar"],[{"name":"jansi","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"joda-time","name":"joda-time","revision":"2.10.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-time","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-sources.jar"],[{"name":"joda-time","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/joda-time/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.joda","name":"joda-convert","revision":"2.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-convert","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-sources.jar"],[{"name":"joda-convert","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/${joda.artifactId}/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-ast_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-ast_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-sources.jar"],[{"name":"json4s-ast_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-scalap_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-scalap_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-sources.jar"],[{"name":"json4s-scalap_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.thoughtworks.paranamer","name":"paranamer","revision":"2.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"paranamer","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-sources.jar"],[{"name":"paranamer","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"provided"},"modules":[],"details":[]},{"configuration":{"name":"compile-internal"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-sources.jar"],[{"name":"scala-library","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-javadoc.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel-iotesters_2.12","revision":"1.4.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel-iotesters_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-sources.jar"],[{"name":"chisel-iotesters_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chiseltest_2.12","revision":"0.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chiseltest_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-sources.jar"],[{"name":"chiseltest_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-sources.jar"],[{"name":"chisel3_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-sources.jar"],[{"name":"firrtl_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl-interpreter_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl-interpreter_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-sources.jar"],[{"name":"firrtl-interpreter_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"treadle_2.12","revision":"1.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"treadle_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-sources.jar"],[{"name":"treadle_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"junit","name":"junit","revision":"4.13","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"junit","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-sources.jar"],[{"name":"junit","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://junit.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalatest","name":"scalatest_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalatest_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-sources.jar"],[{"name":"scalatest_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalacheck","name":"scalacheck_2.12","revision":"1.14.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacheck_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-sources.jar"],[{"name":"scalacheck_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalacheck.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.scopt","name":"scopt_2.12","revision":"3.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scopt_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-sources.jar"],[{"name":"scopt_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scopt/scopt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"utest_2.12","revision":"0.6.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"utest_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-sources.jar"],[{"name":"utest_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/utest","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-macros_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-macros_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-sources.jar"],[{"name":"chisel3-macros_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-core_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-sources.jar"],[{"name":"chisel3-core_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-sources.jar"],[{"name":"scala-reflect","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-javadoc.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.antlr","name":"antlr4-runtime","revision":"4.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"antlr4-runtime","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-sources.jar"],[{"name":"antlr4-runtime","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.9.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-sources.jar"],[{"name":"protobuf-java","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.jcazevedo","name":"moultingyaml_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"moultingyaml_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-sources.jar"],[{"name":"moultingyaml_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/jcazevedo/moultingyaml","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-native_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-native_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-sources.jar"],[{"name":"json4s-native_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-text","revision":"1.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-text","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-sources.jar"],[{"name":"commons-text","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://commons.apache.org/proper/commons-text","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-jline","revision":"2.12.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-jline","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-sources.jar"],[{"name":"scala-jline","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.hamcrest","name":"hamcrest-core","revision":"1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"hamcrest-core","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-sources.jar"],[{"name":"hamcrest-core","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalactic","name":"scalactic_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalactic_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-sources.jar"],[{"name":"scalactic_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-sources.jar"],[{"name":"scala-xml_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-sources.jar"],[{"name":"test-interface","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.portable-scala","name":"portable-scala-reflect_2.12","revision":"0.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"portable-scala-reflect_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-sources.jar"],[{"name":"portable-scala-reflect_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/portable-scala/portable-scala-reflect","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.nscala-time","name":"nscala-time_2.12","revision":"2.22.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"nscala-time_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-sources.jar"],[{"name":"nscala-time_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/nscala-time/nscala-time","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.yaml","name":"snakeyaml","revision":"1.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"snakeyaml","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-sources.jar"],[{"name":"snakeyaml","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.snakeyaml.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-core_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-sources.jar"],[{"name":"json4s-core_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-lang3","revision":"3.9","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-lang3","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-sources.jar"],[{"name":"commons-lang3","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://commons.apache.org/proper/commons-lang/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.11","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-sources.jar"],[{"name":"jansi","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"joda-time","name":"joda-time","revision":"2.10.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-time","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-sources.jar"],[{"name":"joda-time","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/joda-time/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.joda","name":"joda-convert","revision":"2.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-convert","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-sources.jar"],[{"name":"joda-convert","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/${joda.artifactId}/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-ast_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-ast_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-sources.jar"],[{"name":"json4s-ast_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-scalap_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-scalap_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-sources.jar"],[{"name":"json4s-scalap_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.thoughtworks.paranamer","name":"paranamer","revision":"2.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"paranamer","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-sources.jar"],[{"name":"paranamer","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"docs"},"modules":[],"details":[]},{"configuration":{"name":"optional"},"modules":[],"details":[]},{"configuration":{"name":"compile"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-sources.jar"],[{"name":"scala-library","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-javadoc.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel-iotesters_2.12","revision":"1.4.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel-iotesters_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-sources.jar"],[{"name":"chisel-iotesters_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chiseltest_2.12","revision":"0.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chiseltest_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-sources.jar"],[{"name":"chiseltest_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-sources.jar"],[{"name":"chisel3_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-sources.jar"],[{"name":"firrtl_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl-interpreter_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl-interpreter_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-sources.jar"],[{"name":"firrtl-interpreter_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"treadle_2.12","revision":"1.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"treadle_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-sources.jar"],[{"name":"treadle_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"junit","name":"junit","revision":"4.13","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"junit","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-sources.jar"],[{"name":"junit","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://junit.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalatest","name":"scalatest_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalatest_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-sources.jar"],[{"name":"scalatest_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalacheck","name":"scalacheck_2.12","revision":"1.14.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacheck_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-sources.jar"],[{"name":"scalacheck_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalacheck.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.scopt","name":"scopt_2.12","revision":"3.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scopt_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-sources.jar"],[{"name":"scopt_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scopt/scopt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"utest_2.12","revision":"0.6.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"utest_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-sources.jar"],[{"name":"utest_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/utest","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-macros_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-macros_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-sources.jar"],[{"name":"chisel3-macros_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-core_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-sources.jar"],[{"name":"chisel3-core_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-sources.jar"],[{"name":"scala-reflect","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-javadoc.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.antlr","name":"antlr4-runtime","revision":"4.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"antlr4-runtime","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-sources.jar"],[{"name":"antlr4-runtime","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.9.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-sources.jar"],[{"name":"protobuf-java","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.jcazevedo","name":"moultingyaml_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"moultingyaml_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-sources.jar"],[{"name":"moultingyaml_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/jcazevedo/moultingyaml","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-native_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-native_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-sources.jar"],[{"name":"json4s-native_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-text","revision":"1.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-text","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-sources.jar"],[{"name":"commons-text","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://commons.apache.org/proper/commons-text","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-jline","revision":"2.12.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-jline","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-sources.jar"],[{"name":"scala-jline","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.hamcrest","name":"hamcrest-core","revision":"1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"hamcrest-core","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-sources.jar"],[{"name":"hamcrest-core","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalactic","name":"scalactic_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalactic_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-sources.jar"],[{"name":"scalactic_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-sources.jar"],[{"name":"scala-xml_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-sources.jar"],[{"name":"test-interface","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.portable-scala","name":"portable-scala-reflect_2.12","revision":"0.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"portable-scala-reflect_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-sources.jar"],[{"name":"portable-scala-reflect_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/portable-scala/portable-scala-reflect","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.nscala-time","name":"nscala-time_2.12","revision":"2.22.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"nscala-time_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-sources.jar"],[{"name":"nscala-time_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/nscala-time/nscala-time","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.yaml","name":"snakeyaml","revision":"1.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"snakeyaml","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-sources.jar"],[{"name":"snakeyaml","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.snakeyaml.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-core_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-sources.jar"],[{"name":"json4s-core_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-lang3","revision":"3.9","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-lang3","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-sources.jar"],[{"name":"commons-lang3","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://commons.apache.org/proper/commons-lang/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.11","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-sources.jar"],[{"name":"jansi","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"joda-time","name":"joda-time","revision":"2.10.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-time","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-sources.jar"],[{"name":"joda-time","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/joda-time/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.joda","name":"joda-convert","revision":"2.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-convert","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-sources.jar"],[{"name":"joda-convert","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/${joda.artifactId}/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-ast_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-ast_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-sources.jar"],[{"name":"json4s-ast_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-scalap_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-scalap_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-sources.jar"],[{"name":"json4s-scalap_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.thoughtworks.paranamer","name":"paranamer","revision":"2.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"paranamer","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-sources.jar"],[{"name":"paranamer","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"test-internal"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-sources.jar"],[{"name":"scala-library","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-javadoc.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel-iotesters_2.12","revision":"1.4.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel-iotesters_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-sources.jar"],[{"name":"chisel-iotesters_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chiseltest_2.12","revision":"0.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chiseltest_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-sources.jar"],[{"name":"chiseltest_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-sources.jar"],[{"name":"chisel3_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-sources.jar"],[{"name":"firrtl_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl-interpreter_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl-interpreter_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-sources.jar"],[{"name":"firrtl-interpreter_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"treadle_2.12","revision":"1.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"treadle_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-sources.jar"],[{"name":"treadle_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"junit","name":"junit","revision":"4.13","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"junit","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-sources.jar"],[{"name":"junit","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://junit.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalatest","name":"scalatest_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalatest_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-sources.jar"],[{"name":"scalatest_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalacheck","name":"scalacheck_2.12","revision":"1.14.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacheck_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-sources.jar"],[{"name":"scalacheck_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalacheck.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.scopt","name":"scopt_2.12","revision":"3.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scopt_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-sources.jar"],[{"name":"scopt_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scopt/scopt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"utest_2.12","revision":"0.6.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"utest_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-sources.jar"],[{"name":"utest_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/utest","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-macros_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-macros_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-sources.jar"],[{"name":"chisel3-macros_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-core_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-sources.jar"],[{"name":"chisel3-core_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-sources.jar"],[{"name":"scala-reflect","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-javadoc.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.antlr","name":"antlr4-runtime","revision":"4.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"antlr4-runtime","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-sources.jar"],[{"name":"antlr4-runtime","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.9.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-sources.jar"],[{"name":"protobuf-java","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.jcazevedo","name":"moultingyaml_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"moultingyaml_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-sources.jar"],[{"name":"moultingyaml_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/jcazevedo/moultingyaml","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-native_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-native_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-sources.jar"],[{"name":"json4s-native_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-text","revision":"1.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-text","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-sources.jar"],[{"name":"commons-text","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://commons.apache.org/proper/commons-text","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-jline","revision":"2.12.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-jline","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-sources.jar"],[{"name":"scala-jline","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.hamcrest","name":"hamcrest-core","revision":"1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"hamcrest-core","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-sources.jar"],[{"name":"hamcrest-core","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalactic","name":"scalactic_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalactic_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-sources.jar"],[{"name":"scalactic_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-sources.jar"],[{"name":"scala-xml_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-sources.jar"],[{"name":"test-interface","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.portable-scala","name":"portable-scala-reflect_2.12","revision":"0.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"portable-scala-reflect_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-sources.jar"],[{"name":"portable-scala-reflect_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/portable-scala/portable-scala-reflect","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.nscala-time","name":"nscala-time_2.12","revision":"2.22.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"nscala-time_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-sources.jar"],[{"name":"nscala-time_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/nscala-time/nscala-time","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.yaml","name":"snakeyaml","revision":"1.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"snakeyaml","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-sources.jar"],[{"name":"snakeyaml","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.snakeyaml.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-core_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-sources.jar"],[{"name":"json4s-core_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-lang3","revision":"3.9","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-lang3","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-sources.jar"],[{"name":"commons-lang3","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://commons.apache.org/proper/commons-lang/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.11","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-sources.jar"],[{"name":"jansi","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"joda-time","name":"joda-time","revision":"2.10.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-time","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-sources.jar"],[{"name":"joda-time","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/joda-time/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.joda","name":"joda-convert","revision":"2.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-convert","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-sources.jar"],[{"name":"joda-convert","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/${joda.artifactId}/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-ast_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-ast_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-sources.jar"],[{"name":"json4s-ast_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-scalap_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-scalap_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-sources.jar"],[{"name":"json4s-scalap_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.thoughtworks.paranamer","name":"paranamer","revision":"2.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"paranamer","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-sources.jar"],[{"name":"paranamer","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"scala-tool"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.12.10/scala-compiler-2.12.10-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.12.10/scala-compiler-2.12.10-sources.jar"],[{"name":"scala-compiler","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.12.10/scala-compiler-2.12.10-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.12.10/scala-compiler-2.12.10-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.10","configurations":"optional","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.12.10/scala-compiler-2.12.10-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.12.10/scala-compiler-2.12.10-sources.jar"],[{"name":"scala-compiler","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.12.10/scala-compiler-2.12.10-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.12.10/scala-compiler-2.12.10-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-sources.jar"],[{"name":"scala-library","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-javadoc.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"optional","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-sources.jar"],[{"name":"scala-library","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-javadoc.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-sources.jar"],[{"name":"scala-reflect","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-javadoc.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.0.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.0.6/scala-xml_2.12-1.0.6-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.0.6/scala-xml_2.12-1.0.6-sources.jar"],[{"name":"scala-xml_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.0.6/scala-xml_2.12-1.0.6-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.0.6/scala-xml_2.12-1.0.6-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"jline","name":"jline","revision":"2.14.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6-sources.jar"],[{"name":"jline","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.12","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.12/jansi-1.12-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.12/jansi-1.12-sources.jar"],[{"name":"jansi","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.12/jansi-1.12-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.12/jansi-1.12-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"sources"},"modules":[],"details":[]},{"configuration":{"name":"runtime"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-sources.jar"],[{"name":"scala-library","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-javadoc.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel-iotesters_2.12","revision":"1.4.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel-iotesters_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-sources.jar"],[{"name":"chisel-iotesters_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chiseltest_2.12","revision":"0.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chiseltest_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-sources.jar"],[{"name":"chiseltest_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-sources.jar"],[{"name":"chisel3_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-sources.jar"],[{"name":"firrtl_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl-interpreter_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl-interpreter_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-sources.jar"],[{"name":"firrtl-interpreter_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"treadle_2.12","revision":"1.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"treadle_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-sources.jar"],[{"name":"treadle_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"junit","name":"junit","revision":"4.13","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"junit","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-sources.jar"],[{"name":"junit","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://junit.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalatest","name":"scalatest_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalatest_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-sources.jar"],[{"name":"scalatest_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalacheck","name":"scalacheck_2.12","revision":"1.14.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacheck_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-sources.jar"],[{"name":"scalacheck_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalacheck.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.scopt","name":"scopt_2.12","revision":"3.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scopt_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-sources.jar"],[{"name":"scopt_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scopt/scopt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"utest_2.12","revision":"0.6.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"utest_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-sources.jar"],[{"name":"utest_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/utest","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-macros_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-macros_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-sources.jar"],[{"name":"chisel3-macros_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-core_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-sources.jar"],[{"name":"chisel3-core_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-sources.jar"],[{"name":"scala-reflect","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-javadoc.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.antlr","name":"antlr4-runtime","revision":"4.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"antlr4-runtime","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-sources.jar"],[{"name":"antlr4-runtime","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.9.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-sources.jar"],[{"name":"protobuf-java","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.jcazevedo","name":"moultingyaml_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"moultingyaml_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-sources.jar"],[{"name":"moultingyaml_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/jcazevedo/moultingyaml","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-native_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-native_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-sources.jar"],[{"name":"json4s-native_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-text","revision":"1.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-text","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-sources.jar"],[{"name":"commons-text","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://commons.apache.org/proper/commons-text","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-jline","revision":"2.12.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-jline","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-sources.jar"],[{"name":"scala-jline","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.hamcrest","name":"hamcrest-core","revision":"1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"hamcrest-core","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-sources.jar"],[{"name":"hamcrest-core","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalactic","name":"scalactic_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalactic_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-sources.jar"],[{"name":"scalactic_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-sources.jar"],[{"name":"scala-xml_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-sources.jar"],[{"name":"test-interface","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.portable-scala","name":"portable-scala-reflect_2.12","revision":"0.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"portable-scala-reflect_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-sources.jar"],[{"name":"portable-scala-reflect_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/portable-scala/portable-scala-reflect","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.nscala-time","name":"nscala-time_2.12","revision":"2.22.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"nscala-time_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-sources.jar"],[{"name":"nscala-time_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/nscala-time/nscala-time","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.yaml","name":"snakeyaml","revision":"1.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"snakeyaml","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-sources.jar"],[{"name":"snakeyaml","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.snakeyaml.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-core_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-sources.jar"],[{"name":"json4s-core_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-lang3","revision":"3.9","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-lang3","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-sources.jar"],[{"name":"commons-lang3","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://commons.apache.org/proper/commons-lang/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.11","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-sources.jar"],[{"name":"jansi","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"joda-time","name":"joda-time","revision":"2.10.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-time","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-sources.jar"],[{"name":"joda-time","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/joda-time/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.joda","name":"joda-convert","revision":"2.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-convert","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-sources.jar"],[{"name":"joda-convert","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/${joda.artifactId}/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-ast_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-ast_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-sources.jar"],[{"name":"json4s-ast_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-scalap_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-scalap_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-sources.jar"],[{"name":"json4s-scalap_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.thoughtworks.paranamer","name":"paranamer","revision":"2.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"paranamer","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-sources.jar"],[{"name":"paranamer","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"runtime-internal"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-sources.jar"],[{"name":"scala-library","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-javadoc.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel-iotesters_2.12","revision":"1.4.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel-iotesters_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-sources.jar"],[{"name":"chisel-iotesters_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chiseltest_2.12","revision":"0.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chiseltest_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-sources.jar"],[{"name":"chiseltest_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-sources.jar"],[{"name":"chisel3_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-sources.jar"],[{"name":"firrtl_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl-interpreter_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl-interpreter_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-sources.jar"],[{"name":"firrtl-interpreter_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"treadle_2.12","revision":"1.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"treadle_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-sources.jar"],[{"name":"treadle_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"junit","name":"junit","revision":"4.13","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"junit","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-sources.jar"],[{"name":"junit","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://junit.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalatest","name":"scalatest_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalatest_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-sources.jar"],[{"name":"scalatest_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalacheck","name":"scalacheck_2.12","revision":"1.14.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacheck_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-sources.jar"],[{"name":"scalacheck_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalacheck.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.scopt","name":"scopt_2.12","revision":"3.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scopt_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-sources.jar"],[{"name":"scopt_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scopt/scopt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"utest_2.12","revision":"0.6.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"utest_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-sources.jar"],[{"name":"utest_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/utest","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-macros_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-macros_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-sources.jar"],[{"name":"chisel3-macros_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-core_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-sources.jar"],[{"name":"chisel3-core_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-sources.jar"],[{"name":"scala-reflect","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-javadoc.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.antlr","name":"antlr4-runtime","revision":"4.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"antlr4-runtime","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-sources.jar"],[{"name":"antlr4-runtime","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.9.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-sources.jar"],[{"name":"protobuf-java","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.jcazevedo","name":"moultingyaml_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"moultingyaml_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-sources.jar"],[{"name":"moultingyaml_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/jcazevedo/moultingyaml","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-native_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-native_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-sources.jar"],[{"name":"json4s-native_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-text","revision":"1.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-text","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-sources.jar"],[{"name":"commons-text","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://commons.apache.org/proper/commons-text","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-jline","revision":"2.12.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-jline","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-sources.jar"],[{"name":"scala-jline","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.hamcrest","name":"hamcrest-core","revision":"1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"hamcrest-core","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-sources.jar"],[{"name":"hamcrest-core","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalactic","name":"scalactic_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalactic_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-sources.jar"],[{"name":"scalactic_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-sources.jar"],[{"name":"scala-xml_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-sources.jar"],[{"name":"test-interface","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.portable-scala","name":"portable-scala-reflect_2.12","revision":"0.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"portable-scala-reflect_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-sources.jar"],[{"name":"portable-scala-reflect_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/portable-scala/portable-scala-reflect","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.nscala-time","name":"nscala-time_2.12","revision":"2.22.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"nscala-time_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-sources.jar"],[{"name":"nscala-time_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/nscala-time/nscala-time","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.yaml","name":"snakeyaml","revision":"1.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"snakeyaml","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-sources.jar"],[{"name":"snakeyaml","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.snakeyaml.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-core_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-sources.jar"],[{"name":"json4s-core_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-lang3","revision":"3.9","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-lang3","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-sources.jar"],[{"name":"commons-lang3","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://commons.apache.org/proper/commons-lang/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.11","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-sources.jar"],[{"name":"jansi","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"joda-time","name":"joda-time","revision":"2.10.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-time","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-sources.jar"],[{"name":"joda-time","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/joda-time/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.joda","name":"joda-convert","revision":"2.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-convert","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-sources.jar"],[{"name":"joda-convert","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/${joda.artifactId}/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-ast_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-ast_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-sources.jar"],[{"name":"json4s-ast_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-scalap_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-scalap_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-sources.jar"],[{"name":"json4s-scalap_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.thoughtworks.paranamer","name":"paranamer","revision":"2.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"paranamer","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-sources.jar"],[{"name":"paranamer","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]}],"stats":{"resolveTime":-1,"downloadTime":-1,"downloadSize":-1,"cached":false},"stamps":{}} \ No newline at end of file +{"cachedDescriptor":".","configurations":[{"configuration":{"name":"plugin"},"modules":[{"module":{"organization":"org.scalamacros","name":"paradise_2.12.10","revision":"2.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"paradise_2.12.10","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalamacros/paradise_2.12.10/2.1.0/paradise_2.12.10-2.1.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalamacros/paradise_2.12.10/2.1.0/paradise_2.12.10-2.1.0-sources.jar"],[{"name":"paradise_2.12.10","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalamacros/paradise_2.12.10/2.1.0/paradise_2.12.10-2.1.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalamacros/paradise_2.12.10/2.1.0/paradise_2.12.10-2.1.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scalamacros/paradise","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.12.10/scala-compiler-2.12.10-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.12.10/scala-compiler-2.12.10-sources.jar"],[{"name":"scala-compiler","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.12.10/scala-compiler-2.12.10-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.12.10/scala-compiler-2.12.10-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-sources.jar"],[{"name":"scala-library","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-javadoc.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-sources.jar"],[{"name":"scala-reflect","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-javadoc.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.0.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.0.6/scala-xml_2.12-1.0.6-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.0.6/scala-xml_2.12-1.0.6-sources.jar"],[{"name":"scala-xml_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.0.6/scala-xml_2.12-1.0.6-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.0.6/scala-xml_2.12-1.0.6-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"pom"},"modules":[],"details":[]},{"configuration":{"name":"test"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-sources.jar"],[{"name":"scala-library","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-javadoc.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel-iotesters_2.12","revision":"1.4.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel-iotesters_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-sources.jar"],[{"name":"chisel-iotesters_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chiseltest_2.12","revision":"0.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chiseltest_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-sources.jar"],[{"name":"chiseltest_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-sources.jar"],[{"name":"chisel3_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-sources.jar"],[{"name":"firrtl_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl-interpreter_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl-interpreter_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-sources.jar"],[{"name":"firrtl-interpreter_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"treadle_2.12","revision":"1.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"treadle_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-sources.jar"],[{"name":"treadle_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"junit","name":"junit","revision":"4.13","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"junit","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-sources.jar"],[{"name":"junit","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://junit.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalatest","name":"scalatest_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalatest_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-sources.jar"],[{"name":"scalatest_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalacheck","name":"scalacheck_2.12","revision":"1.14.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacheck_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-sources.jar"],[{"name":"scalacheck_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalacheck.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.scopt","name":"scopt_2.12","revision":"3.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scopt_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-sources.jar"],[{"name":"scopt_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scopt/scopt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"utest_2.12","revision":"0.6.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"utest_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-sources.jar"],[{"name":"utest_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/utest","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-macros_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-macros_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-sources.jar"],[{"name":"chisel3-macros_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-core_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-sources.jar"],[{"name":"chisel3-core_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-sources.jar"],[{"name":"scala-reflect","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-javadoc.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.antlr","name":"antlr4-runtime","revision":"4.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"antlr4-runtime","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-sources.jar"],[{"name":"antlr4-runtime","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.9.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-sources.jar"],[{"name":"protobuf-java","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.jcazevedo","name":"moultingyaml_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"moultingyaml_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-sources.jar"],[{"name":"moultingyaml_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/jcazevedo/moultingyaml","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-native_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-native_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-sources.jar"],[{"name":"json4s-native_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-text","revision":"1.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-text","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-sources.jar"],[{"name":"commons-text","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://commons.apache.org/proper/commons-text","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-jline","revision":"2.12.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-jline","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-sources.jar"],[{"name":"scala-jline","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.hamcrest","name":"hamcrest-core","revision":"1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"hamcrest-core","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-sources.jar"],[{"name":"hamcrest-core","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalactic","name":"scalactic_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalactic_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-sources.jar"],[{"name":"scalactic_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-sources.jar"],[{"name":"scala-xml_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-sources.jar"],[{"name":"test-interface","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.portable-scala","name":"portable-scala-reflect_2.12","revision":"0.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"portable-scala-reflect_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-sources.jar"],[{"name":"portable-scala-reflect_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/portable-scala/portable-scala-reflect","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.nscala-time","name":"nscala-time_2.12","revision":"2.22.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"nscala-time_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-sources.jar"],[{"name":"nscala-time_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/nscala-time/nscala-time","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.yaml","name":"snakeyaml","revision":"1.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"snakeyaml","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-sources.jar"],[{"name":"snakeyaml","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.snakeyaml.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-core_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-sources.jar"],[{"name":"json4s-core_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-lang3","revision":"3.9","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-lang3","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-sources.jar"],[{"name":"commons-lang3","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://commons.apache.org/proper/commons-lang/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.11","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-sources.jar"],[{"name":"jansi","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"joda-time","name":"joda-time","revision":"2.10.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-time","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-sources.jar"],[{"name":"joda-time","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/joda-time/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.joda","name":"joda-convert","revision":"2.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-convert","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-sources.jar"],[{"name":"joda-convert","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/${joda.artifactId}/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-ast_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-ast_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-sources.jar"],[{"name":"json4s-ast_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-scalap_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-scalap_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-sources.jar"],[{"name":"json4s-scalap_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.thoughtworks.paranamer","name":"paranamer","revision":"2.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"paranamer","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-sources.jar"],[{"name":"paranamer","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"provided"},"modules":[],"details":[]},{"configuration":{"name":"compile-internal"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-sources.jar"],[{"name":"scala-library","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-javadoc.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel-iotesters_2.12","revision":"1.4.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel-iotesters_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-sources.jar"],[{"name":"chisel-iotesters_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chiseltest_2.12","revision":"0.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chiseltest_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-sources.jar"],[{"name":"chiseltest_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-sources.jar"],[{"name":"chisel3_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-sources.jar"],[{"name":"firrtl_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl-interpreter_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl-interpreter_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-sources.jar"],[{"name":"firrtl-interpreter_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"treadle_2.12","revision":"1.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"treadle_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-sources.jar"],[{"name":"treadle_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"junit","name":"junit","revision":"4.13","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"junit","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-sources.jar"],[{"name":"junit","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://junit.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalatest","name":"scalatest_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalatest_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-sources.jar"],[{"name":"scalatest_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalacheck","name":"scalacheck_2.12","revision":"1.14.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacheck_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-sources.jar"],[{"name":"scalacheck_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalacheck.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.scopt","name":"scopt_2.12","revision":"3.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scopt_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-sources.jar"],[{"name":"scopt_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scopt/scopt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"utest_2.12","revision":"0.6.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"utest_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-sources.jar"],[{"name":"utest_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/utest","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-macros_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-macros_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-sources.jar"],[{"name":"chisel3-macros_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-core_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-sources.jar"],[{"name":"chisel3-core_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-sources.jar"],[{"name":"scala-reflect","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-javadoc.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.antlr","name":"antlr4-runtime","revision":"4.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"antlr4-runtime","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-sources.jar"],[{"name":"antlr4-runtime","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.9.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-sources.jar"],[{"name":"protobuf-java","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.jcazevedo","name":"moultingyaml_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"moultingyaml_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-sources.jar"],[{"name":"moultingyaml_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/jcazevedo/moultingyaml","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-native_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-native_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-sources.jar"],[{"name":"json4s-native_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-text","revision":"1.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-text","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-sources.jar"],[{"name":"commons-text","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://commons.apache.org/proper/commons-text","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-jline","revision":"2.12.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-jline","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-sources.jar"],[{"name":"scala-jline","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.hamcrest","name":"hamcrest-core","revision":"1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"hamcrest-core","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-sources.jar"],[{"name":"hamcrest-core","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalactic","name":"scalactic_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalactic_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-sources.jar"],[{"name":"scalactic_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-sources.jar"],[{"name":"scala-xml_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-sources.jar"],[{"name":"test-interface","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.portable-scala","name":"portable-scala-reflect_2.12","revision":"0.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"portable-scala-reflect_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-sources.jar"],[{"name":"portable-scala-reflect_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/portable-scala/portable-scala-reflect","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.nscala-time","name":"nscala-time_2.12","revision":"2.22.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"nscala-time_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-sources.jar"],[{"name":"nscala-time_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/nscala-time/nscala-time","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.yaml","name":"snakeyaml","revision":"1.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"snakeyaml","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-sources.jar"],[{"name":"snakeyaml","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.snakeyaml.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-core_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-sources.jar"],[{"name":"json4s-core_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-lang3","revision":"3.9","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-lang3","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-sources.jar"],[{"name":"commons-lang3","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://commons.apache.org/proper/commons-lang/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.11","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-sources.jar"],[{"name":"jansi","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"joda-time","name":"joda-time","revision":"2.10.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-time","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-sources.jar"],[{"name":"joda-time","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/joda-time/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.joda","name":"joda-convert","revision":"2.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-convert","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-sources.jar"],[{"name":"joda-convert","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/${joda.artifactId}/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-ast_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-ast_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-sources.jar"],[{"name":"json4s-ast_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-scalap_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-scalap_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-sources.jar"],[{"name":"json4s-scalap_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.thoughtworks.paranamer","name":"paranamer","revision":"2.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"paranamer","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-sources.jar"],[{"name":"paranamer","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"docs"},"modules":[],"details":[]},{"configuration":{"name":"optional"},"modules":[],"details":[]},{"configuration":{"name":"compile"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-sources.jar"],[{"name":"scala-library","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-javadoc.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel-iotesters_2.12","revision":"1.4.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel-iotesters_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-sources.jar"],[{"name":"chisel-iotesters_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chiseltest_2.12","revision":"0.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chiseltest_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-sources.jar"],[{"name":"chiseltest_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-sources.jar"],[{"name":"chisel3_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-sources.jar"],[{"name":"firrtl_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl-interpreter_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl-interpreter_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-sources.jar"],[{"name":"firrtl-interpreter_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"treadle_2.12","revision":"1.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"treadle_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-sources.jar"],[{"name":"treadle_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"junit","name":"junit","revision":"4.13","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"junit","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-sources.jar"],[{"name":"junit","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://junit.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalatest","name":"scalatest_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalatest_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-sources.jar"],[{"name":"scalatest_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalacheck","name":"scalacheck_2.12","revision":"1.14.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacheck_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-sources.jar"],[{"name":"scalacheck_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalacheck.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.scopt","name":"scopt_2.12","revision":"3.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scopt_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-sources.jar"],[{"name":"scopt_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scopt/scopt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"utest_2.12","revision":"0.6.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"utest_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-sources.jar"],[{"name":"utest_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/utest","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-macros_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-macros_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-sources.jar"],[{"name":"chisel3-macros_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-core_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-sources.jar"],[{"name":"chisel3-core_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-sources.jar"],[{"name":"scala-reflect","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-javadoc.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.antlr","name":"antlr4-runtime","revision":"4.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"antlr4-runtime","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-sources.jar"],[{"name":"antlr4-runtime","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.9.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-sources.jar"],[{"name":"protobuf-java","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.jcazevedo","name":"moultingyaml_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"moultingyaml_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-sources.jar"],[{"name":"moultingyaml_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/jcazevedo/moultingyaml","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-native_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-native_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-sources.jar"],[{"name":"json4s-native_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-text","revision":"1.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-text","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-sources.jar"],[{"name":"commons-text","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://commons.apache.org/proper/commons-text","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-jline","revision":"2.12.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-jline","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-sources.jar"],[{"name":"scala-jline","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.hamcrest","name":"hamcrest-core","revision":"1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"hamcrest-core","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-sources.jar"],[{"name":"hamcrest-core","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalactic","name":"scalactic_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalactic_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-sources.jar"],[{"name":"scalactic_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-sources.jar"],[{"name":"scala-xml_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-sources.jar"],[{"name":"test-interface","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.portable-scala","name":"portable-scala-reflect_2.12","revision":"0.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"portable-scala-reflect_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-sources.jar"],[{"name":"portable-scala-reflect_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/portable-scala/portable-scala-reflect","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.nscala-time","name":"nscala-time_2.12","revision":"2.22.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"nscala-time_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-sources.jar"],[{"name":"nscala-time_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/nscala-time/nscala-time","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.yaml","name":"snakeyaml","revision":"1.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"snakeyaml","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-sources.jar"],[{"name":"snakeyaml","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.snakeyaml.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-core_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-sources.jar"],[{"name":"json4s-core_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-lang3","revision":"3.9","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-lang3","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-sources.jar"],[{"name":"commons-lang3","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://commons.apache.org/proper/commons-lang/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.11","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-sources.jar"],[{"name":"jansi","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"joda-time","name":"joda-time","revision":"2.10.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-time","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-sources.jar"],[{"name":"joda-time","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/joda-time/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.joda","name":"joda-convert","revision":"2.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-convert","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-sources.jar"],[{"name":"joda-convert","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/${joda.artifactId}/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-ast_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-ast_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-sources.jar"],[{"name":"json4s-ast_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-scalap_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-scalap_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-sources.jar"],[{"name":"json4s-scalap_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.thoughtworks.paranamer","name":"paranamer","revision":"2.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"paranamer","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-sources.jar"],[{"name":"paranamer","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"test-internal"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-sources.jar"],[{"name":"scala-library","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-javadoc.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel-iotesters_2.12","revision":"1.4.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel-iotesters_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-sources.jar"],[{"name":"chisel-iotesters_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chiseltest_2.12","revision":"0.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chiseltest_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-sources.jar"],[{"name":"chiseltest_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-sources.jar"],[{"name":"chisel3_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-sources.jar"],[{"name":"firrtl_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl-interpreter_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl-interpreter_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-sources.jar"],[{"name":"firrtl-interpreter_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"treadle_2.12","revision":"1.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"treadle_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-sources.jar"],[{"name":"treadle_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"junit","name":"junit","revision":"4.13","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"junit","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-sources.jar"],[{"name":"junit","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://junit.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalatest","name":"scalatest_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalatest_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-sources.jar"],[{"name":"scalatest_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalacheck","name":"scalacheck_2.12","revision":"1.14.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacheck_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-sources.jar"],[{"name":"scalacheck_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalacheck.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.scopt","name":"scopt_2.12","revision":"3.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scopt_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-sources.jar"],[{"name":"scopt_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scopt/scopt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"utest_2.12","revision":"0.6.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"utest_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-sources.jar"],[{"name":"utest_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/utest","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-macros_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-macros_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-sources.jar"],[{"name":"chisel3-macros_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-core_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-sources.jar"],[{"name":"chisel3-core_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-sources.jar"],[{"name":"scala-reflect","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-javadoc.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.antlr","name":"antlr4-runtime","revision":"4.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"antlr4-runtime","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-sources.jar"],[{"name":"antlr4-runtime","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.9.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-sources.jar"],[{"name":"protobuf-java","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.jcazevedo","name":"moultingyaml_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"moultingyaml_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-sources.jar"],[{"name":"moultingyaml_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/jcazevedo/moultingyaml","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-native_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-native_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-sources.jar"],[{"name":"json4s-native_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-text","revision":"1.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-text","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-sources.jar"],[{"name":"commons-text","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://commons.apache.org/proper/commons-text","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-jline","revision":"2.12.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-jline","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-sources.jar"],[{"name":"scala-jline","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.hamcrest","name":"hamcrest-core","revision":"1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"hamcrest-core","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-sources.jar"],[{"name":"hamcrest-core","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalactic","name":"scalactic_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalactic_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-sources.jar"],[{"name":"scalactic_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-sources.jar"],[{"name":"scala-xml_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-sources.jar"],[{"name":"test-interface","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.portable-scala","name":"portable-scala-reflect_2.12","revision":"0.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"portable-scala-reflect_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-sources.jar"],[{"name":"portable-scala-reflect_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/portable-scala/portable-scala-reflect","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.nscala-time","name":"nscala-time_2.12","revision":"2.22.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"nscala-time_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-sources.jar"],[{"name":"nscala-time_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/nscala-time/nscala-time","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.yaml","name":"snakeyaml","revision":"1.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"snakeyaml","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-sources.jar"],[{"name":"snakeyaml","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.snakeyaml.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-core_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-sources.jar"],[{"name":"json4s-core_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-lang3","revision":"3.9","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-lang3","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-sources.jar"],[{"name":"commons-lang3","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://commons.apache.org/proper/commons-lang/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.11","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-sources.jar"],[{"name":"jansi","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"joda-time","name":"joda-time","revision":"2.10.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-time","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-sources.jar"],[{"name":"joda-time","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/joda-time/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.joda","name":"joda-convert","revision":"2.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-convert","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-sources.jar"],[{"name":"joda-convert","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/${joda.artifactId}/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-ast_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-ast_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-sources.jar"],[{"name":"json4s-ast_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-scalap_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-scalap_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-sources.jar"],[{"name":"json4s-scalap_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.thoughtworks.paranamer","name":"paranamer","revision":"2.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"paranamer","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-sources.jar"],[{"name":"paranamer","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"scala-tool"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.12.10/scala-compiler-2.12.10-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.12.10/scala-compiler-2.12.10-sources.jar"],[{"name":"scala-compiler","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.12.10/scala-compiler-2.12.10-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.12.10/scala-compiler-2.12.10-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.10","configurations":"optional","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.12.10/scala-compiler-2.12.10-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.12.10/scala-compiler-2.12.10-sources.jar"],[{"name":"scala-compiler","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.12.10/scala-compiler-2.12.10-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.12.10/scala-compiler-2.12.10-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-sources.jar"],[{"name":"scala-library","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-javadoc.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"optional","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-sources.jar"],[{"name":"scala-library","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-javadoc.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-sources.jar"],[{"name":"scala-reflect","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-javadoc.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.0.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.0.6/scala-xml_2.12-1.0.6-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.0.6/scala-xml_2.12-1.0.6-sources.jar"],[{"name":"scala-xml_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.0.6/scala-xml_2.12-1.0.6-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.0.6/scala-xml_2.12-1.0.6-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"jline","name":"jline","revision":"2.14.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6-sources.jar"],[{"name":"jline","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.12","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.12/jansi-1.12-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.12/jansi-1.12-sources.jar"],[{"name":"jansi","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.12/jansi-1.12-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.12/jansi-1.12-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"sources"},"modules":[],"details":[]},{"configuration":{"name":"runtime"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-sources.jar"],[{"name":"scala-library","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-javadoc.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel-iotesters_2.12","revision":"1.4.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel-iotesters_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-sources.jar"],[{"name":"chisel-iotesters_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chiseltest_2.12","revision":"0.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chiseltest_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-sources.jar"],[{"name":"chiseltest_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-sources.jar"],[{"name":"chisel3_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-sources.jar"],[{"name":"firrtl_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl-interpreter_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl-interpreter_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-sources.jar"],[{"name":"firrtl-interpreter_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"treadle_2.12","revision":"1.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"treadle_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-sources.jar"],[{"name":"treadle_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"junit","name":"junit","revision":"4.13","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"junit","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-sources.jar"],[{"name":"junit","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://junit.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalatest","name":"scalatest_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalatest_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-sources.jar"],[{"name":"scalatest_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalacheck","name":"scalacheck_2.12","revision":"1.14.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacheck_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-sources.jar"],[{"name":"scalacheck_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalacheck.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.scopt","name":"scopt_2.12","revision":"3.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scopt_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-sources.jar"],[{"name":"scopt_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scopt/scopt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"utest_2.12","revision":"0.6.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"utest_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-sources.jar"],[{"name":"utest_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/utest","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-macros_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-macros_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-sources.jar"],[{"name":"chisel3-macros_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-core_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-sources.jar"],[{"name":"chisel3-core_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-sources.jar"],[{"name":"scala-reflect","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-javadoc.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.antlr","name":"antlr4-runtime","revision":"4.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"antlr4-runtime","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-sources.jar"],[{"name":"antlr4-runtime","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.9.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-sources.jar"],[{"name":"protobuf-java","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.jcazevedo","name":"moultingyaml_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"moultingyaml_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-sources.jar"],[{"name":"moultingyaml_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/jcazevedo/moultingyaml","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-native_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-native_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-sources.jar"],[{"name":"json4s-native_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-text","revision":"1.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-text","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-sources.jar"],[{"name":"commons-text","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://commons.apache.org/proper/commons-text","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-jline","revision":"2.12.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-jline","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-sources.jar"],[{"name":"scala-jline","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.hamcrest","name":"hamcrest-core","revision":"1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"hamcrest-core","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-sources.jar"],[{"name":"hamcrest-core","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalactic","name":"scalactic_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalactic_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-sources.jar"],[{"name":"scalactic_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-sources.jar"],[{"name":"scala-xml_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-sources.jar"],[{"name":"test-interface","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.portable-scala","name":"portable-scala-reflect_2.12","revision":"0.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"portable-scala-reflect_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-sources.jar"],[{"name":"portable-scala-reflect_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/portable-scala/portable-scala-reflect","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.nscala-time","name":"nscala-time_2.12","revision":"2.22.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"nscala-time_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-sources.jar"],[{"name":"nscala-time_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/nscala-time/nscala-time","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.yaml","name":"snakeyaml","revision":"1.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"snakeyaml","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-sources.jar"],[{"name":"snakeyaml","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.snakeyaml.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-core_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-sources.jar"],[{"name":"json4s-core_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-lang3","revision":"3.9","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-lang3","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-sources.jar"],[{"name":"commons-lang3","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://commons.apache.org/proper/commons-lang/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.11","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-sources.jar"],[{"name":"jansi","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"joda-time","name":"joda-time","revision":"2.10.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-time","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-sources.jar"],[{"name":"joda-time","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/joda-time/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.joda","name":"joda-convert","revision":"2.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-convert","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-sources.jar"],[{"name":"joda-convert","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/${joda.artifactId}/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-ast_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-ast_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-sources.jar"],[{"name":"json4s-ast_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-scalap_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-scalap_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-sources.jar"],[{"name":"json4s-scalap_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.thoughtworks.paranamer","name":"paranamer","revision":"2.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"paranamer","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-sources.jar"],[{"name":"paranamer","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"runtime-internal"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-sources.jar"],[{"name":"scala-library","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-javadoc.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel-iotesters_2.12","revision":"1.4.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel-iotesters_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-sources.jar"],[{"name":"chisel-iotesters_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chiseltest_2.12","revision":"0.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chiseltest_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-sources.jar"],[{"name":"chiseltest_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-sources.jar"],[{"name":"chisel3_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-sources.jar"],[{"name":"firrtl_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl-interpreter_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl-interpreter_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-sources.jar"],[{"name":"firrtl-interpreter_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"treadle_2.12","revision":"1.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"treadle_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-sources.jar"],[{"name":"treadle_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"junit","name":"junit","revision":"4.13","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"junit","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-sources.jar"],[{"name":"junit","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://junit.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalatest","name":"scalatest_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalatest_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-sources.jar"],[{"name":"scalatest_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalacheck","name":"scalacheck_2.12","revision":"1.14.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacheck_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-sources.jar"],[{"name":"scalacheck_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalacheck.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.scopt","name":"scopt_2.12","revision":"3.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scopt_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-sources.jar"],[{"name":"scopt_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scopt/scopt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"utest_2.12","revision":"0.6.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"utest_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-sources.jar"],[{"name":"utest_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/utest","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-macros_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-macros_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-sources.jar"],[{"name":"chisel3-macros_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-core_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-sources.jar"],[{"name":"chisel3-core_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-sources.jar"],[{"name":"scala-reflect","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-javadoc.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.antlr","name":"antlr4-runtime","revision":"4.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"antlr4-runtime","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-sources.jar"],[{"name":"antlr4-runtime","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.9.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-sources.jar"],[{"name":"protobuf-java","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.jcazevedo","name":"moultingyaml_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"moultingyaml_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-sources.jar"],[{"name":"moultingyaml_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/jcazevedo/moultingyaml","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-native_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-native_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-sources.jar"],[{"name":"json4s-native_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-text","revision":"1.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-text","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-sources.jar"],[{"name":"commons-text","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://commons.apache.org/proper/commons-text","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-jline","revision":"2.12.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-jline","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-sources.jar"],[{"name":"scala-jline","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.hamcrest","name":"hamcrest-core","revision":"1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"hamcrest-core","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-sources.jar"],[{"name":"hamcrest-core","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalactic","name":"scalactic_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalactic_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-sources.jar"],[{"name":"scalactic_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-sources.jar"],[{"name":"scala-xml_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-sources.jar"],[{"name":"test-interface","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.portable-scala","name":"portable-scala-reflect_2.12","revision":"0.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"portable-scala-reflect_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-sources.jar"],[{"name":"portable-scala-reflect_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/portable-scala/portable-scala-reflect","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.nscala-time","name":"nscala-time_2.12","revision":"2.22.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"nscala-time_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-sources.jar"],[{"name":"nscala-time_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/nscala-time/nscala-time","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.yaml","name":"snakeyaml","revision":"1.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"snakeyaml","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-sources.jar"],[{"name":"snakeyaml","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.snakeyaml.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-core_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-sources.jar"],[{"name":"json4s-core_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-lang3","revision":"3.9","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-lang3","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-sources.jar"],[{"name":"commons-lang3","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://commons.apache.org/proper/commons-lang/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.11","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-sources.jar"],[{"name":"jansi","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"joda-time","name":"joda-time","revision":"2.10.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-time","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-sources.jar"],[{"name":"joda-time","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/joda-time/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.joda","name":"joda-convert","revision":"2.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-convert","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-sources.jar"],[{"name":"joda-convert","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/${joda.artifactId}/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-ast_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-ast_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-sources.jar"],[{"name":"json4s-ast_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-scalap_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-scalap_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-sources.jar"],[{"name":"json4s-scalap_2.12","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.thoughtworks.paranamer","name":"paranamer","revision":"2.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"paranamer","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-sources.jar"],[{"name":"paranamer","type":"doc","extension":"jar","classifier":"javadoc","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-javadoc.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-javadoc.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]}],"stats":{"resolveTime":-1,"downloadTime":-1,"downloadSize":-1,"cached":false},"stamps":{}} \ No newline at end of file diff --git a/target/streams/compile/_global/_global/compileBinaryFileInputs/previous b/target/streams/compile/_global/_global/compileBinaryFileInputs/previous index 7f3c187f..ead21954 100644 --- a/target/streams/compile/_global/_global/compileBinaryFileInputs/previous +++ b/target/streams/compile/_global/_global/compileBinaryFileInputs/previous @@ -1 +1 @@ -["sbt.Task[scala.collection.immutable.Map[java.lang.String, scala.collection.Seq[scala.Tuple2[java.nio.file.Path, sbt.nio.FileStamp]]]]",{"2.12.10":{"hashes":[],"lastModifiedTimes":[["/home/abdulhameedakram/.sbt/boot/scala-2.12.10/lib/scala-library.jar",1568150453000],["/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar",1589510348000],["/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar",1589510262000],["/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar",1589510148000],["/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar",1589509848000],["/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar",1589509915000],["/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar",1589510008000],["/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar",1577893485000],["/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar",1560177906000],["/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar",1576251410000],["/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar",1544681782000],["/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar",1538040348000],["/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar",1589510144000],["/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar",1589510158000],["/home/abdulhameedakram/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar",1568150359000],["/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar",1512850018000],["/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar",1562890310000],["/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar",1585569703000],["/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar",1588664866000],["/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar",1567195024000],["/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar",1433863301000],["/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar",1341868082000],["/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar",1560177704000],["/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar",1554476959000],["/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar",1372459476000],["/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar",1534538933000],["/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar",1549182974000],["/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar",1582880959000],["/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar",1588664788000],["/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar",1554946238000],["/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar",1368451282000],["/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar",1540666280000],["/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar",1547658114000],["/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar",1588664857000],["/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar",1588664856000],["/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar",1440588567000]]}}] \ No newline at end of file +["sbt.Task[scala.collection.immutable.Map[java.lang.String, scala.collection.Seq[scala.Tuple2[java.nio.file.Path, sbt.nio.FileStamp]]]]",{"2.12.10":{"hashes":[],"lastModifiedTimes":[["/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-library.jar",1568150453000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar",1589510348000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar",1589510262000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar",1589510148000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar",1589509848000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar",1589509915000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar",1589510008000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar",1577893485000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar",1560177906000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar",1576251410000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar",1544681782000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar",1538040348000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar",1589510144000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar",1589510158000],["/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar",1568150359000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar",1512850018000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar",1562890310000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar",1585569703000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar",1588664866000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar",1567195024000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar",1433863301000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar",1341868082000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar",1560177704000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar",1554476959000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar",1372459476000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar",1534538933000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar",1549182974000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar",1582880959000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar",1588664788000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar",1554946238000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar",1368451282000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar",1540666280000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar",1547658114000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar",1588664857000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar",1588664856000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar",1440588567000]]}}] \ No newline at end of file diff --git a/target/streams/compile/_global/_global/compileOutputs/previous b/target/streams/compile/_global/_global/compileOutputs/previous index 6095b0da..31a2a3c4 100644 --- a/target/streams/compile/_global/_global/compileOutputs/previous +++ b/target/streams/compile/_global/_global/compileOutputs/previous @@ -1 +1 @@ -["sbt.Task[scala.collection.Seq[java.nio.file.Path]]",["/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/ifu/el2_ifu.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/include/el2_dest_pkt_t.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/include/el2_rets_pkt_t.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/ib_gen$.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/el2_dec_decode_ctl.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/el2_dec_timer_ctl.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/test$.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/exu/el2_exu_alu_ctl$$anon$1.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/include/el2_class_pkt_t.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/rvbsadder.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/include/el2_dec_pkt_t.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/dec_trig$.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/test$$anon$1.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/dec_main$.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/dec_decode.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/rvtwoscomp.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/el2_dec_dec_ctl$$anon$1.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/csr_tlu.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/exu/alu.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/rvdff$.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/rvrangecheck.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lsu/el2_lsu.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/include/el2_trace_pkt_t.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/el2_lib$gated_latch$$anon$3.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/dec_trig$delayedInit$body.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dmi/rvjtag_tap.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/gpr_gen$.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/exu/alu$.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/include/el2_inst_pkt_t.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/rvecc_encode_64$$anon$14.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/rvrangecheck$.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dbg/el2_dbg.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/rvecc_decode_64$rvsyncss$.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/dec_main$delayedInit$body.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/el2_dec_tlu_ctl.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/dec_trig.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/el2_lib.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/CSRs.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/rvlsadder.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/rveven_paritygen$$anon$10.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/include/el2_reg_pkt_t.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/pic_main$delayedInit$body.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/rvdffs$$anon$3.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/rveven_paritygen$.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/exu/el2_exu.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/rvecc_encode$$anon$12.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/rvtwoscomp$.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/include/el2_trigger_pkt_t.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/include/el2_br_pkt_t.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/rvmaskandmatch$.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/include/el2_predict_pkt_t.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/el2_dec_decode_ctl$$anon$1.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/include/el2_load_cam_pkt_t.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/include/el2_lsu_error_pkt_t.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/rvsyncss$.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/el2_dec_gpr_ctl_IO.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/test$delayedInit$body.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/el2_dec_timer_ctl_IO.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/el2_dec_ib_ctl_IO.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/dec_decode$delayedInit$body.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/CSR_VAL.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/el2_lib$rvsyncss$.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/dec_main.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/rvmaskandmatch$$anon$8.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/include/el2_cache_debug_pkt_t.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/test.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/el2_dec_decode_csr_read.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/el2_dec_gpr_ctl.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/el2_lib$rvdffe$.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/include/el2_div_pkt_t.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/rveven_paritycheck$.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/rvsyncss$$anon$4.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/include/el2_ccm_ext_in_pkt_t.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/el2_dec_dec_ctl.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/ib_gen$delayedInit$body.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/dec_dec_ctl.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/include/el2_ic_tag_ext_in_pkt_t.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/include/el2_ic_data_ext_in_pkt_t.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/dec_dec_ctl$delayedInit$body.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/rvmaskandmatch.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/include/el2_mul_pkt_t.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/el2_lib$rvecc_encode.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/include/el2_dccm_ext_in_pkt_t.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/el2_CSR_IO.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/rveven_paritygen.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/rvdff$$anon$1.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/el2_dec_tlu_ctl_IO.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/el2_lib$rvecc_encode_64.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/param.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/rvecc_encode.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dmi/dmi_jtag_to_core_sync.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/tlu_gen.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/gpr_gen$delayedInit$body.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/rveven_paritycheck$$anon$11.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/rvdff.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/ib_gen.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/include/el2_trap_pkt_t.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/include/el2_lsu_pkt_t.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/rvlsadder$$anon$5.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/include/el2_inst_pkt_t$.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/rvecc_decode_64$$anon$15.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/rvtwoscomp$$anon$7.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/el2_lib$rvecc_encode_64$$anon$2.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/exu/el2_exu_alu_ctl.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/el2_dec_trigger.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/pic_main$.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/el2_lib$rvclkhdr.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/include/el2_dec_tlu_csr_pkt.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/rvdffs.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/tlu_gen$.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/rveven_paritycheck.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/rvdffsc$$anon$2.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/el2_dec_trigger$$anon$1.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/el2_dec_IO.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/tlu_gen$delayedInit$body.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/el2_lib$gated_latch.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/rvecc_decode.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/rvdffsc.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dmi/dmi_wrapper.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/rvecc_encode_64.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/rvecc_decode_64.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/pic_main.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/include/el2_alu_pkt_t.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/exu/alu$delayedInit$body.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/el2_lib$rvecc_encode$$anon$1.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/rvsyncss.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/rvbsadder$$anon$6.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/el2_dec_decode_csr_read_IO.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/dec_decode$.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/gpr_gen.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/el2_lib$rvclkhdr$$anon$4.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/el2_pic_ctrl$$anon$1.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/include/el2_br_tlu_pkt_t.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/el2_lib$rvclkhdr$.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/rvrangecheck$$anon$9.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/el2_dec_ib_ctl.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/dec_dec_ctl$.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/lib/rvecc_decode$$anon$13.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/el2_pic_ctrl.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes/dec/el2_dec.class","/home/abdulhameedakram/Documents/SweRV-Chislified/target/streams/compile/compileOutputs/_global/streams/inc_compile_2.12.zip"]] \ No newline at end of file +["sbt.Task[scala.collection.Seq[java.nio.file.Path]]",["/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dma$delayedInit$body.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dmi/dmi_wrapper.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/ifu_ifc_ctl$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/read_data.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_top.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/lsu_tlu.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_tlu_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dma_lsc_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/inst_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/pic_gen.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/tlu_busbuff.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/ifu_aln_ctl$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/lib$rvecc_encode$$anon$2.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/div_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_addrcheck$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/ifu_mem_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/lsu_dec.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dbg/state_t$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/quasar_wrapper.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_trigger.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/lsu_pic.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/predict_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_ib_ctl_IO.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/lib$rvsyncss$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_timer_ctl_IO.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/reg_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/ifu_bp_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/exu/exu$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dec_ifc.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/pic_ctrl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/mem/mem_lsu.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/ifu_bp_ctl$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_timer_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/exu/exu_main$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/ahb_to_axi4$$anon$1$$anon$2.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dma$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/read_addr$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dec_alu.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/mem/quasar$mem.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/write_addr$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dccm_ext_in_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_main$delayedInit$body.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dbg/sb_state_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/quasar_bundle.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dma_ctrl$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/lib$rvclkhdr.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/cache_debug_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/pic_gen$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/exu/exu.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/axi_channels.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/exu_ifu.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/ic_mem.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/CSRs.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_decode_csr_read.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dbg/dbg_main$delayedInit$body.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/ccm_ext_in_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dbg/dbg$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/gpr_exu.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/exu/exu_div_ctl$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/lsu_exu.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/inst_pkt_t$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dctl_busbuff.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/CSR_IO.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_trigger$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dbg/state_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/ifu_ifc_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/ifu$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_lsc_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dec_mem_ctrl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/axi4_to_ahb$delayedInit$body.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dma_mem_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/exu/exu_alu_ctl$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/axi_channels$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/exu/exu_alu_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/bus_buffer$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/exu/exu_main.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/mul_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/alu_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/read_data$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/read_addr.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dbg/dbg_main.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/class_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/ifu_main$delayedInit$body.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/ifu_main.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/ahb_channel.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dbg_ib.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dec_dma.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/lib$rvecc_encode.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/ifu.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/quasar_bundle$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/lsu_error_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/ahb_to_axi4.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_dccm_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_decode_csr_read_IO.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/exu_bp.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/csr_tlu.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dmi/dmi_wrapper_module.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/QUASAR.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/mem/Mem_bundle.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/ib_exu.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/exu/exu_mul_ctl$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dma_ifc.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/quasar_wrapper$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dma_ctrl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/axi4_to_ahb.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/ic_data_ext_in_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_trigger.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/lib$rvecc_encode_64$$anon$3.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/lsu_dma.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/br_tlu_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_decode_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_dec_ctl$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dec_bp.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dbg/dbg_dma.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_addrcheck.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/pic_ctrl$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dctl_dma.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dbg/dbg.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_decode_ctl$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dec_div.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/iccm_mem.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/aln_dec.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_main$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/ifu_aln_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/mem/quasar$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/tlu_exu.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_main.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dbg_dctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/lib$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_tlu_ctl_IO.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/lib$rvecc_encode_64.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/aln_ib.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/ahb_out_dma.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/ahb_to_axi4$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/lsu_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/quasar.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/ifu_compress_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_bus_buffer$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/QUASAR$delayedInit$body.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/load_cam_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_gpr_ctl_IO.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/param.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/exu/exu_mul_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dmi/dmi_wrapper_module$$anon$2.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/tlu_dma.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_bus_intf$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/trap_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dec_pic.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/rets_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dec_dbg.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dec_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/axi4_to_ahb_IO.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/br_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dbg/dbg_main$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/lib.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_stbuf.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/lib$gated_latch.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/ifu_dma.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/trigger_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/lib$gated_latch$$anon$4.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_clkdomain.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/write_data.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dec_aln.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/decode_exu.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/ifu_compress_ctl$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/QUASAR_Wrp$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_bus_buffer.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/write_resp.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/mem/quasar.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_ib_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_IO.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/ahb_out.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_top$delayedInit$body.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dec_exu.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/ahb_in.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/lib$rvclkhdr$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/ahb_to_axi4$delayedInit$body.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dma.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/ifu_dec.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dma_dccm_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/ahb_to_axi4$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/bus_buffer$delayedInit$body.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/axi4_to_ahb$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/exu/exu_main$delayedInit$body.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/write_addr.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/QUASAR$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_dec_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/lib$rvclkhdr$$anon$5.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/ifu_main$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/QUASAR_Wrp.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/exu/exu_div_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_stbuf$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_trigger$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dbg/sb_state_t$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/bus_buffer.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dest_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dmi/dmi_wrapper$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/trace_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/ic_tag_ext_in_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_lsc_ctl$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/mem/blackbox_mem.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/CSR_VAL.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_ecc.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_clkdomain$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_ecc$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/QUASAR_Wrp$delayedInit$body.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/lib$rvdffe$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_gpr_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/mem_ctl_io.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_bus_intf.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dec_tlu_csr_pkt.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/pic_gen$delayedInit$body.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_dccm_ctl$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_top$.class","/home/abdulhameed.akram/Videos/Quasar/target/streams/compile/compileOutputs/_global/streams/inc_compile_2.12.zip"]] \ No newline at end of file diff --git a/target/streams/compile/_global/_global/compileSourceFileInputs/previous b/target/streams/compile/_global/_global/compileSourceFileInputs/previous index 57c1b94a..1925b611 100644 --- a/target/streams/compile/_global/_global/compileSourceFileInputs/previous +++ b/target/streams/compile/_global/_global/compileSourceFileInputs/previous @@ -1 +1 @@ -["sbt.Task[scala.collection.immutable.Map[java.lang.String, scala.collection.Seq[scala.Tuple2[java.nio.file.Path, sbt.nio.FileStamp]]]]",{"2.12.10":{"hashes":[["/home/abdulhameedakram/Documents/SweRV-Chislified/src/main/scala/el2_pic_ctrl.scala","6013727ad8913e69c2a7aa92386ef3d007222a52"],["/home/abdulhameedakram/Documents/SweRV-Chislified/src/main/scala/exu/el2_exu.scala","3f9225ed252ed66244ffaadc30181214d49eae29"],["/home/abdulhameedakram/Documents/SweRV-Chislified/src/main/scala/exu/el2_exu_alu_ctl.scala","53427614c56168767f6626fef1ae9edcaa98c2ff"],["/home/abdulhameedakram/Documents/SweRV-Chislified/src/main/scala/include/el2_bundle.scala","e31d147291a415bbfb4846b78695a7385203e2c5"],["/home/abdulhameedakram/Documents/SweRV-Chislified/src/main/scala/lsu/el2_lsu.scala","1ef8375e06a926acc2800ce9f66b230a1a778a47"],["/home/abdulhameedakram/Documents/SweRV-Chislified/src/main/scala/dbg/el2_dbg.scala","a9288ad33e4be923745906ebdbedfc6940f5938b"],["/home/abdulhameedakram/Documents/SweRV-Chislified/src/main/scala/ifu/el2_ifu.scala","90ccc65ac7488b8494521c865b772c52b8366feb"],["/home/abdulhameedakram/Documents/SweRV-Chislified/src/main/scala/dec/el2_dec_ib_ctl.scala","3f80243a4e22c30eedccccab540dc6c2e31dc399"],["/home/abdulhameedakram/Documents/SweRV-Chislified/src/main/scala/dec/el2_dec.scala","94145e2a312952a3c6791d6eeb7f89c414f76b8a"],["/home/abdulhameedakram/Documents/SweRV-Chislified/src/main/scala/dec/el2_dec_gpr_ctl.scala","8cee95c67d7be69104cb66c7c313573d6b0bd1d7"],["/home/abdulhameedakram/Documents/SweRV-Chislified/src/main/scala/dec/el2_dec_dec_ctl.scala","564654df5ce8bc82515485b9e2fb2137a8b42a5c"],["/home/abdulhameedakram/Documents/SweRV-Chislified/src/main/scala/dec/el2_dec_tlu_ctl.scala","782b0d3a3699abda569dccdff2c48b1ade643dcf"],["/home/abdulhameedakram/Documents/SweRV-Chislified/src/main/scala/dec/test.scala","4ff4c6d27ac98fd9a89894d7fa467d531da7dcfe"],["/home/abdulhameedakram/Documents/SweRV-Chislified/src/main/scala/dec/el2_dec_decode_ctl.scala","12d275492ff0b62d3c9331bc254d503e4697648d"],["/home/abdulhameedakram/Documents/SweRV-Chislified/src/main/scala/dec/el2_dec_trigger.scala","06ff42965223482189db017d6c3edcd895c55229"],["/home/abdulhameedakram/Documents/SweRV-Chislified/src/main/scala/dmi/dmi_wrapper.scala","e57d82ef2ee034749d59900097403d64f5d99c7f"],["/home/abdulhameedakram/Documents/SweRV-Chislified/src/main/scala/dmi/rvjtag_tap.scala","725d115902c1948b83040e3ae82f26ae3d44ee4b"],["/home/abdulhameedakram/Documents/SweRV-Chislified/src/main/scala/dmi/dmi_jtag_to_core_sync.scala","973d8d71d39c2efb8a9869b538f76cb3f12fbd98"],["/home/abdulhameedakram/Documents/SweRV-Chislified/src/main/scala/lib/beh_lib.scala","e7e638a7534f3091918cfb4eccb9737010d0504e"],["/home/abdulhameedakram/Documents/SweRV-Chislified/src/main/scala/lib/el2_lib.scala","8665016d9dfa28edf72a1e8b6e164174a0bc9c03"]],"lastModifiedTimes":[]}}] \ No newline at end of file +["sbt.Task[scala.collection.immutable.Map[java.lang.String, scala.collection.Seq[scala.Tuple2[java.nio.file.Path, sbt.nio.FileStamp]]]]",{"2.12.10":{"hashes":[["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/quasar.scala","43e345cb1d1dcd90b3224347e28a4ecb19ec18e9"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/dma_ctrl.scala","708b5c9d7b08f8702549acb90b032c6a85e60360"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/quasar_wrapper.scala","7de89776d7736202c5586c747a3886f28722a7fe"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/mem.scala","e9418660ac1519eea2058bbd87d585d5d47343f0"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/pic_ctrl.scala","7835541c9f7710f0c83d07c0565fe1c4e9e643ae"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/exu/exu.scala","85221245f1752c377c5dfc3a60eeea0db9aeefd1"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/exu/exu_alu_ctl.scala","369f3ac01e07eb8a2ec97049e878647a148eefef"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/exu/exu_div_ctl.scala","dc91c0c780fed1ff374122dea516b6252fca923f"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/exu/exu_mul_ctl.scala","fd528764c26575f995645a109d8b55799591874c"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/include/bundle.scala","3f341a72e4eec1cbb6a6a99b65a9c5270e63b82e"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/lsu/lsu_trigger.scala","9923de9bfd2f504ae2bb2b15c42a32ceaa2d5846"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/lsu/lsu_addrcheck.scala","3c84af93ccb882d99b74852439d20c3b189dd518"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/lsu/lsu_dccm_ctl.scala","5168463f9c0e776c36750656998fc360fd269ab2"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/lsu/lsu_bus_buffer.scala","2a3adeb7551025cb173a0c7392274e01a712927e"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/lsu/lsu_stbuf.scala","d9284179851f33d9cd92a94f31bd327c1fdef9ac"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/lsu/lsu_bus_intf.scala","7774491efcdfee647906ae17875a8ca9a4e637f1"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/lsu/lsu_lsc_ctl.scala","191bf9cc863939ecf0cab24cdceca1b9b64223d4"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/lsu/lsu.scala","2b0afef74c8006997fd861c8cd90c9b300856381"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/lsu/lsu_ecc.scala","d9c545afed0cc63a9ab0b880ec36abca3e7fb61a"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/lsu/lsu_clkdomain.scala","d7ca34e7d329d7eef0e2506c7a07d6911f2226bf"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/dbg/dbg.scala","5cdd5c93577fcaa38b610d9513cd6ede19cddeab"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/ifu/ifu.scala","70412ecdf76345cd476f90c85f2a388090eda485"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/ifu/ifu_mem_ctl.scala","9f207697371dc1f28e50e429fe84ae24b9de4fc3"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/ifu/ifu_aln_ctl.scala","c37fe97894075254205a512d25d660b5f15788c0"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/ifu/ifu_compress_ctl.scala","3e2056149b7e8a89ba0809ff0082c71e3601820a"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/ifu/ifu_ifc_ctl.scala","58feaf508d092ed1910a9d0dfcf34bdd11f3049b"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/ifu/ifu_bp_ctl.scala","474eec82140152f20417b6c1e4404d409f7f4a9b"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/dec/dec.scala","ffdb58ee94405a06407622ff8ebeeae2c82e4f2e"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/dec/dec_gpr_ctl.scala","ef85566d41d8ca0d3781ab005c86097221e11c28"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/dec/dec_trigger.scala","cb83a19d8b65a41e5dc8a61e2b4198c362c2d912"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/dec/dec_ib_ctl.scala","76e2bf13097343ace8beed76c0d1bf730db133ed"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/dec/dec_dec_ctl.scala","e1f606df5c404d0d829d3a680836da2d31e9197e"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/dec/dec_decode_ctl.scala","cb54784303a8451eea6df9648ea012ad0f2b317e"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/dec/dec_tlu_ctl.scala","41763ef6fb083544d9dee3f4a09512bc024dd2cb"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/dmi/dmi_wrapper.scala","619171c0b49373f3aaf18f92aa659d8c05acbdfa"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/lib/axi4_to_ahb.scala","71dac5e30950c4447fc5a37330394fb7dc6f5c56"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/lib/lib.scala","86cba6b253cea7c85f14f6816c06ee783c672dd7"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/lib/ahb_to_axi4.scala","f06cf003914a7d7714569c01034f41286666bf41"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/lib/param.scala","6c672ea7f0e7f4522288b3fe7f476dad6908ff30"]],"lastModifiedTimes":[]}}] \ No newline at end of file diff --git a/target/streams/compile/_global/_global/discoveredMainClasses/data b/target/streams/compile/_global/_global/discoveredMainClasses/data index a4ff2a5f..9b6397ad 100644 --- a/target/streams/compile/_global/_global/discoveredMainClasses/data +++ b/target/streams/compile/_global/_global/discoveredMainClasses/data @@ -1 +1 @@ -["dec.dec_dec_ctl","dec.dec_decode","dec.dec_main","dec.dec_trig","dec.gpr_gen","dec.ib_gen","dec.test","dec.tlu_gen","exu.alu","pic_main"] \ No newline at end of file +["QUASAR","QUASAR_Wrp","dbg.dbg_main","dec.dec_main","dma","exu.exu_main","ifu.ifu_main","lib.ahb_to_axi4","lib.axi4_to_ahb","lsu.bus_buffer","lsu.lsu_top","pic_gen"] \ No newline at end of file diff --git a/target/streams/compile/compile/_global/streams/out b/target/streams/compile/compile/_global/streams/out index f76aca3c..754b55dd 100644 --- a/target/streams/compile/compile/_global/streams/out +++ b/target/streams/compile/compile/_global/streams/out @@ -1,2 +1,8 @@ -[debug] Acquiring lock on file /home/abdulhameed.akram/Documents/SweRV-Chislified/project/target/.sbt-compilation-infos/swerv-chislified-compile/.sbt-idea-lock ... -[debug] Releasing lock on file /home/abdulhameed.akram/Documents/SweRV-Chislified/project/target/.sbt-compilation-infos/swerv-chislified-compile/.sbt-idea-lock. +[warn] there were 101 feature warnings; re-run with -feature for details +[warn] one warning found +[warn] /home/abdulhameed.akram/Videos/Quasar/src/main/scala/quasar.scala:289:8: Generated class QUASAR differs only in case from quasar. +[warn]  Such classes will overwrite one another on case-insensitive filesystems. +[warn] object QUASAR extends App { +[warn]  ^ +[warn] there were 123 feature warnings; re-run with -feature for details +[warn] two warnings found diff --git a/target/streams/compile/compileIncSetup/_global/streams/inc_compile_2.12.zip b/target/streams/compile/compileIncSetup/_global/streams/inc_compile_2.12.zip index 7541b4d9..3c27f64a 100644 Binary files a/target/streams/compile/compileIncSetup/_global/streams/inc_compile_2.12.zip and b/target/streams/compile/compileIncSetup/_global/streams/inc_compile_2.12.zip differ diff --git a/target/streams/compile/compileIncremental/_global/streams/export b/target/streams/compile/compileIncremental/_global/streams/export index c13c0bf8..e9802a74 100644 --- a/target/streams/compile/compileIncremental/_global/streams/export +++ b/target/streams/compile/compileIncremental/_global/streams/export @@ -1 +1,2 @@ -scalac -bootclasspath /home/abdulhameedakram/.sbt/boot/scala-2.12.10/lib/scala-library.jar -classpath /home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/abdulhameedakram/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar -Xplugin:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalamacros/paradise_2.12.10/2.1.0/paradise_2.12.10-2.1.0.jar -Xsource:2.11.0 /home/abdulhameedakram/Documents/SweRV-Chislified/src/main/scala/lib/el2_lib.scala +scalac -bootclasspath /home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-library.jar -classpath /home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar -Xplugin:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalamacros/paradise_2.12.10/2.1.0/paradise_2.12.10-2.1.0.jar -Xsource:2.11.0 /home/abdulhameed.akram/Videos/Quasar/src/main/scala/lib/axi4_to_ahb.scala /home/abdulhameed.akram/Videos/Quasar/src/main/scala/lib/ahb_to_axi4.scala +scalac -bootclasspath /home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-library.jar -classpath /home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar -Xplugin:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalamacros/paradise_2.12.10/2.1.0/paradise_2.12.10-2.1.0.jar -Xsource:2.11.0 /home/abdulhameed.akram/Videos/Quasar/src/main/scala/quasar.scala diff --git a/target/streams/compile/compileIncremental/_global/streams/out b/target/streams/compile/compileIncremental/_global/streams/out index 511243d9..7ef19cc0 100644 --- a/target/streams/compile/compileIncremental/_global/streams/out +++ b/target/streams/compile/compileIncremental/_global/streams/out @@ -1,133 +1 @@ -[error] ## Exception when compiling 44 sources to /home/abdulhameed.akram/Documents/SweRV-Chislified/target/scala-2.12/classes -[error] java.nio.file.AccessDeniedException: /home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar -[error] java.base/sun.nio.fs.UnixException.translateToIOException(UnixException.java:90) -[error] java.base/sun.nio.fs.UnixException.rethrowAsIOException(UnixException.java:111) -[error] java.base/sun.nio.fs.UnixException.rethrowAsIOException(UnixException.java:116) -[error] java.base/sun.nio.fs.UnixFileAttributeViews$Basic.readAttributes(UnixFileAttributeViews.java:55) -[error] java.base/sun.nio.fs.UnixFileSystemProvider.readAttributes(UnixFileSystemProvider.java:149) -[error] java.base/sun.nio.fs.LinuxFileSystemProvider.readAttributes(LinuxFileSystemProvider.java:99) -[error] java.base/java.nio.file.Files.readAttributes(Files.java:1763) -[error] java.base/java.nio.file.Files.getLastModifiedTime(Files.java:2314) -[error] sbt.io.JavaMilli$.$anonfun$getModifiedTime$1(JavaMilli.scala:21) -[error] scala.runtime.java8.JFunction0$mcJ$sp.apply(JFunction0$mcJ$sp.java:23) -[error] sbt.io.JavaMilli$.mapNoSuchFileException(JavaMilli.scala:31) -[error] sbt.io.JavaMilli$.getModifiedTime(JavaMilli.scala:21) -[error] sbt.internal.io.Milli$.getModifiedTime(Milli.scala:381) -[error] sbt.io.IO$.$anonfun$getModifiedTimeOrZero$1(IO.scala:1373) -[error] scala.runtime.java8.JFunction0$mcJ$sp.apply(JFunction0$mcJ$sp.java:23) -[error] sbt.internal.io.Retry$.liftedTree2$1(Retry.scala:38) -[error] sbt.internal.io.Retry$.impl$1(Retry.scala:38) -[error] sbt.internal.io.Retry$.apply(Retry.scala:52) -[error] sbt.internal.io.Retry$.apply(Retry.scala:24) -[error] sbt.io.IO$.getModifiedTimeOrZero(IO.scala:1373) -[error] sbt.nio.FileStamp$.lastModified(FileStamp.scala:87) -[error] sbt.nio.FileStamp$.apply(FileStamp.scala:66) -[error] sbt.nio.FileStamp$Cache.updateImpl(FileStamp.scala:308) -[error] sbt.nio.FileStamp$Cache.getOrElseUpdate(FileStamp.scala:275) -[error] sbt.internal.ExternalHooks$$anon$1.$anonfun$changedBinaries$2(ExternalHooks.scala:110) -[error] scala.collection.TraversableLike.$anonfun$flatMap$1(TraversableLike.scala:245) -[error] scala.collection.Iterator.foreach(Iterator.scala:941) -[error] scala.collection.Iterator.foreach$(Iterator.scala:941) -[error] scala.collection.AbstractIterator.foreach(Iterator.scala:1429) -[error] scala.collection.IterableLike.foreach(IterableLike.scala:74) -[error] scala.collection.IterableLike.foreach$(IterableLike.scala:73) -[error] scala.collection.AbstractIterable.foreach(Iterable.scala:56) -[error] scala.collection.TraversableLike.flatMap(TraversableLike.scala:245) -[error] scala.collection.TraversableLike.flatMap$(TraversableLike.scala:242) -[error] scala.collection.AbstractTraversable.flatMap(Traversable.scala:108) -[error] sbt.internal.ExternalHooks$$anon$1.changedBinaries(ExternalHooks.scala:108) -[error] sbt.internal.inc.LookupImpl.$anonfun$changedBinaries$1(LookupImpl.scala:61) -[error] scala.Option.flatMap(Option.scala:271) -[error] sbt.internal.inc.LookupImpl.changedBinaries(LookupImpl.scala:61) -[error] sbt.internal.inc.IncrementalCommon.detectInitialChanges(IncrementalCommon.scala:273) -[error] sbt.internal.inc.Incremental$.compile(Incremental.scala:77) -[error] sbt.internal.inc.IncrementalCompile$.apply(Compile.scala:75) -[error] sbt.internal.inc.IncrementalCompilerImpl.compileInternal(IncrementalCompilerImpl.scala:348) -[error] sbt.internal.inc.IncrementalCompilerImpl.$anonfun$compileIncrementally$1(IncrementalCompilerImpl.scala:301) -[error] sbt.internal.inc.IncrementalCompilerImpl.handleCompilationError(IncrementalCompilerImpl.scala:168) -[error] sbt.internal.inc.IncrementalCompilerImpl.compileIncrementally(IncrementalCompilerImpl.scala:248) -[error] sbt.internal.inc.IncrementalCompilerImpl.compile(IncrementalCompilerImpl.scala:74) -[error] sbt.Defaults$.compileIncrementalTaskImpl(Defaults.scala:1765) -[error] sbt.Defaults$.$anonfun$compileIncrementalTask$1(Defaults.scala:1738) -[error] scala.Function1.$anonfun$compose$1(Function1.scala:49) -[error] sbt.internal.util.$tilde$greater.$anonfun$$u2219$1(TypeFunctions.scala:62) -[error] sbt.std.Transform$$anon$4.work(Transform.scala:67) -[error] sbt.Execute.$anonfun$submit$2(Execute.scala:281) -[error] sbt.internal.util.ErrorHandling$.wideConvert(ErrorHandling.scala:19) -[error] sbt.Execute.work(Execute.scala:290) -[error] sbt.Execute.$anonfun$submit$1(Execute.scala:281) -[error] sbt.ConcurrentRestrictions$$anon$4.$anonfun$submitValid$1(ConcurrentRestrictions.scala:178) -[error] sbt.CompletionService$$anon$2.call(CompletionService.scala:37) -[error] java.base/java.util.concurrent.FutureTask.run(FutureTask.java:264) -[error] java.base/java.util.concurrent.Executors$RunnableAdapter.call(Executors.java:515) -[error] java.base/java.util.concurrent.FutureTask.run(FutureTask.java:264) -[error] java.base/java.util.concurrent.ThreadPoolExecutor.runWorker(ThreadPoolExecutor.java:1128) -[error] java.base/java.util.concurrent.ThreadPoolExecutor$Worker.run(ThreadPoolExecutor.java:628) -[error] java.base/java.lang.Thread.run(Thread.java:834) -[error]   -[error] java.nio.file.AccessDeniedException: /home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar -[error]  at java.base/sun.nio.fs.UnixException.translateToIOException(UnixException.java:90) -[error]  at java.base/sun.nio.fs.UnixException.rethrowAsIOException(UnixException.java:111) -[error]  at java.base/sun.nio.fs.UnixException.rethrowAsIOException(UnixException.java:116) -[error]  at java.base/sun.nio.fs.UnixFileAttributeViews$Basic.readAttributes(UnixFileAttributeViews.java:55) -[error]  at java.base/sun.nio.fs.UnixFileSystemProvider.readAttributes(UnixFileSystemProvider.java:149) -[error]  at java.base/sun.nio.fs.LinuxFileSystemProvider.readAttributes(LinuxFileSystemProvider.java:99) -[error]  at java.base/java.nio.file.Files.readAttributes(Files.java:1763) -[error]  at java.base/java.nio.file.Files.getLastModifiedTime(Files.java:2314) -[error]  at sbt.io.JavaMilli$.$anonfun$getModifiedTime$1(JavaMilli.scala:21) -[error]  at scala.runtime.java8.JFunction0$mcJ$sp.apply(JFunction0$mcJ$sp.java:23) -[error]  at sbt.io.JavaMilli$.mapNoSuchFileException(JavaMilli.scala:31) -[error]  at sbt.io.JavaMilli$.getModifiedTime(JavaMilli.scala:21) -[error]  at sbt.internal.io.Milli$.getModifiedTime(Milli.scala:381) -[error]  at sbt.io.IO$.$anonfun$getModifiedTimeOrZero$1(IO.scala:1373) -[error]  at scala.runtime.java8.JFunction0$mcJ$sp.apply(JFunction0$mcJ$sp.java:23) -[error]  at sbt.internal.io.Retry$.liftedTree2$1(Retry.scala:38) -[error]  at sbt.internal.io.Retry$.impl$1(Retry.scala:38) -[error]  at sbt.internal.io.Retry$.apply(Retry.scala:52) -[error]  at sbt.internal.io.Retry$.apply(Retry.scala:24) -[error]  at sbt.io.IO$.getModifiedTimeOrZero(IO.scala:1373) -[error]  at sbt.nio.FileStamp$.lastModified(FileStamp.scala:87) -[error]  at sbt.nio.FileStamp$.apply(FileStamp.scala:66) -[error]  at sbt.nio.FileStamp$Cache.updateImpl(FileStamp.scala:308) -[error]  at sbt.nio.FileStamp$Cache.getOrElseUpdate(FileStamp.scala:275) -[error]  at sbt.internal.ExternalHooks$$anon$1.$anonfun$changedBinaries$2(ExternalHooks.scala:110) -[error]  at scala.collection.TraversableLike.$anonfun$flatMap$1(TraversableLike.scala:245) -[error]  at scala.collection.Iterator.foreach(Iterator.scala:941) -[error]  at scala.collection.Iterator.foreach$(Iterator.scala:941) -[error]  at scala.collection.AbstractIterator.foreach(Iterator.scala:1429) -[error]  at scala.collection.IterableLike.foreach(IterableLike.scala:74) -[error]  at scala.collection.IterableLike.foreach$(IterableLike.scala:73) -[error]  at scala.collection.AbstractIterable.foreach(Iterable.scala:56) -[error]  at scala.collection.TraversableLike.flatMap(TraversableLike.scala:245) -[error]  at scala.collection.TraversableLike.flatMap$(TraversableLike.scala:242) -[error]  at scala.collection.AbstractTraversable.flatMap(Traversable.scala:108) -[error]  at sbt.internal.ExternalHooks$$anon$1.changedBinaries(ExternalHooks.scala:108) -[error]  at sbt.internal.inc.LookupImpl.$anonfun$changedBinaries$1(LookupImpl.scala:61) -[error]  at scala.Option.flatMap(Option.scala:271) -[error]  at sbt.internal.inc.LookupImpl.changedBinaries(LookupImpl.scala:61) -[error]  at sbt.internal.inc.IncrementalCommon.detectInitialChanges(IncrementalCommon.scala:273) -[error]  at sbt.internal.inc.Incremental$.compile(Incremental.scala:77) -[error]  at sbt.internal.inc.IncrementalCompile$.apply(Compile.scala:75) -[error]  at sbt.internal.inc.IncrementalCompilerImpl.compileInternal(IncrementalCompilerImpl.scala:348) -[error]  at sbt.internal.inc.IncrementalCompilerImpl.$anonfun$compileIncrementally$1(IncrementalCompilerImpl.scala:301) -[error]  at sbt.internal.inc.IncrementalCompilerImpl.handleCompilationError(IncrementalCompilerImpl.scala:168) -[error]  at sbt.internal.inc.IncrementalCompilerImpl.compileIncrementally(IncrementalCompilerImpl.scala:248) -[error]  at sbt.internal.inc.IncrementalCompilerImpl.compile(IncrementalCompilerImpl.scala:74) -[error]  at sbt.Defaults$.compileIncrementalTaskImpl(Defaults.scala:1765) -[error]  at sbt.Defaults$.$anonfun$compileIncrementalTask$1(Defaults.scala:1738) -[error]  at scala.Function1.$anonfun$compose$1(Function1.scala:49) -[error]  at sbt.internal.util.$tilde$greater.$anonfun$$u2219$1(TypeFunctions.scala:62) -[error]  at sbt.std.Transform$$anon$4.work(Transform.scala:67) -[error]  at sbt.Execute.$anonfun$submit$2(Execute.scala:281) -[error]  at sbt.internal.util.ErrorHandling$.wideConvert(ErrorHandling.scala:19) -[error]  at sbt.Execute.work(Execute.scala:290) -[error]  at sbt.Execute.$anonfun$submit$1(Execute.scala:281) -[error]  at sbt.ConcurrentRestrictions$$anon$4.$anonfun$submitValid$1(ConcurrentRestrictions.scala:178) -[error]  at sbt.CompletionService$$anon$2.call(CompletionService.scala:37) -[error]  at java.base/java.util.concurrent.FutureTask.run(FutureTask.java:264) -[error]  at java.base/java.util.concurrent.Executors$RunnableAdapter.call(Executors.java:515) -[error]  at java.base/java.util.concurrent.FutureTask.run(FutureTask.java:264) -[error]  at java.base/java.util.concurrent.ThreadPoolExecutor.runWorker(ThreadPoolExecutor.java:1128) -[error]  at java.base/java.util.concurrent.ThreadPoolExecutor$Worker.run(ThreadPoolExecutor.java:628) -[error]  at java.base/java.lang.Thread.run(Thread.java:834) -[error] (Compile / compileIncremental) java.nio.file.AccessDeniedException: /home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar +[debug] No changes diff --git a/target/streams/compile/copyResources/_global/streams/copy-resources b/target/streams/compile/copyResources/_global/streams/copy-resources index a6c93aa3..ade4d802 100644 --- a/target/streams/compile/copyResources/_global/streams/copy-resources +++ b/target/streams/compile/copyResources/_global/streams/copy-resources @@ -1 +1 @@ -[[{"file:///home/abdulhameed.akram/Documents/SweRV-Chislified/src/main/resources/vsrc/dmi_wrapper.sv":["file:///home/abdulhameed.akram/Documents/SweRV-Chislified/target/scala-2.12/classes/vsrc/dmi_wrapper.sv"],"file:///home/abdulhameed.akram/Documents/SweRV-Chislified/src/main/resources/vsrc/el2_lsu_dccm_mem.sv":["file:///home/abdulhameed.akram/Documents/SweRV-Chislified/target/scala-2.12/classes/vsrc/el2_lsu_dccm_mem.sv"],"file:///home/abdulhameed.akram/Documents/SweRV-Chislified/src/main/resources/vsrc/dmi_jtag_to_core_sync.sv":["file:///home/abdulhameed.akram/Documents/SweRV-Chislified/target/scala-2.12/classes/vsrc/dmi_jtag_to_core_sync.sv"],"file:///home/abdulhameed.akram/Documents/SweRV-Chislified/src/main/resources/vsrc/gated_latch.v":["file:///home/abdulhameed.akram/Documents/SweRV-Chislified/target/scala-2.12/classes/vsrc/gated_latch.v"],"file:///home/abdulhameed.akram/Documents/SweRV-Chislified/src/main/resources/vsrc/el2_ifu_ic_mem.sv":["file:///home/abdulhameed.akram/Documents/SweRV-Chislified/target/scala-2.12/classes/vsrc/el2_ifu_ic_mem.sv"],"file:///home/abdulhameed.akram/Documents/SweRV-Chislified/src/main/resources/vsrc/el2_ifu_iccm_mem.sv":["file:///home/abdulhameed.akram/Documents/SweRV-Chislified/target/scala-2.12/classes/vsrc/el2_ifu_iccm_mem.sv"],"file:///home/abdulhameed.akram/Documents/SweRV-Chislified/src/main/resources/vsrc/rvtaj_tap.sv":["file:///home/abdulhameed.akram/Documents/SweRV-Chislified/target/scala-2.12/classes/vsrc/rvtaj_tap.sv"],"file:///home/abdulhameed.akram/Documents/SweRV-Chislified/src/main/resources/vsrc/el2_mem.sv":["file:///home/abdulhameed.akram/Documents/SweRV-Chislified/target/scala-2.12/classes/vsrc/el2_mem.sv"]},{"file:///home/abdulhameed.akram/Documents/SweRV-Chislified/target/scala-2.12/classes/vsrc/el2_mem.sv":["file:///home/abdulhameed.akram/Documents/SweRV-Chislified/src/main/resources/vsrc/el2_mem.sv"],"file:///home/abdulhameed.akram/Documents/SweRV-Chislified/target/scala-2.12/classes/vsrc/dmi_jtag_to_core_sync.sv":["file:///home/abdulhameed.akram/Documents/SweRV-Chislified/src/main/resources/vsrc/dmi_jtag_to_core_sync.sv"],"file:///home/abdulhameed.akram/Documents/SweRV-Chislified/target/scala-2.12/classes/vsrc/gated_latch.v":["file:///home/abdulhameed.akram/Documents/SweRV-Chislified/src/main/resources/vsrc/gated_latch.v"],"file:///home/abdulhameed.akram/Documents/SweRV-Chislified/target/scala-2.12/classes/vsrc/el2_ifu_iccm_mem.sv":["file:///home/abdulhameed.akram/Documents/SweRV-Chislified/src/main/resources/vsrc/el2_ifu_iccm_mem.sv"],"file:///home/abdulhameed.akram/Documents/SweRV-Chislified/target/scala-2.12/classes/vsrc/rvtaj_tap.sv":["file:///home/abdulhameed.akram/Documents/SweRV-Chislified/src/main/resources/vsrc/rvtaj_tap.sv"],"file:///home/abdulhameed.akram/Documents/SweRV-Chislified/target/scala-2.12/classes/vsrc/el2_lsu_dccm_mem.sv":["file:///home/abdulhameed.akram/Documents/SweRV-Chislified/src/main/resources/vsrc/el2_lsu_dccm_mem.sv"],"file:///home/abdulhameed.akram/Documents/SweRV-Chislified/target/scala-2.12/classes/vsrc/el2_ifu_ic_mem.sv":["file:///home/abdulhameed.akram/Documents/SweRV-Chislified/src/main/resources/vsrc/el2_ifu_ic_mem.sv"],"file:///home/abdulhameed.akram/Documents/SweRV-Chislified/target/scala-2.12/classes/vsrc/dmi_wrapper.sv":["file:///home/abdulhameed.akram/Documents/SweRV-Chislified/src/main/resources/vsrc/dmi_wrapper.sv"]}],{"file:///home/abdulhameed.akram/Documents/SweRV-Chislified/src/main/resources/vsrc/dmi_wrapper.sv":{"file":"file:///home/abdulhameed.akram/Documents/SweRV-Chislified/src/main/resources/vsrc/dmi_wrapper.sv","lastModified":1606809829000},"file:///home/abdulhameed.akram/Documents/SweRV-Chislified/src/main/resources/vsrc/el2_lsu_dccm_mem.sv":{"file":"file:///home/abdulhameed.akram/Documents/SweRV-Chislified/src/main/resources/vsrc/el2_lsu_dccm_mem.sv","lastModified":1606809829000},"file:///home/abdulhameed.akram/Documents/SweRV-Chislified/src/main/resources/vsrc/dmi_jtag_to_core_sync.sv":{"file":"file:///home/abdulhameed.akram/Documents/SweRV-Chislified/src/main/resources/vsrc/dmi_jtag_to_core_sync.sv","lastModified":1606809829000},"file:///home/abdulhameed.akram/Documents/SweRV-Chislified/src/main/resources/vsrc/gated_latch.v":{"file":"file:///home/abdulhameed.akram/Documents/SweRV-Chislified/src/main/resources/vsrc/gated_latch.v","lastModified":1606809829000},"file:///home/abdulhameed.akram/Documents/SweRV-Chislified/src/main/resources/vsrc/el2_ifu_ic_mem.sv":{"file":"file:///home/abdulhameed.akram/Documents/SweRV-Chislified/src/main/resources/vsrc/el2_ifu_ic_mem.sv","lastModified":1606809829000},"file:///home/abdulhameed.akram/Documents/SweRV-Chislified/src/main/resources/vsrc/el2_ifu_iccm_mem.sv":{"file":"file:///home/abdulhameed.akram/Documents/SweRV-Chislified/src/main/resources/vsrc/el2_ifu_iccm_mem.sv","lastModified":1606809829000},"file:///home/abdulhameed.akram/Documents/SweRV-Chislified/src/main/resources/vsrc/rvtaj_tap.sv":{"file":"file:///home/abdulhameed.akram/Documents/SweRV-Chislified/src/main/resources/vsrc/rvtaj_tap.sv","lastModified":1606809829000},"file:///home/abdulhameed.akram/Documents/SweRV-Chislified/src/main/resources/vsrc/el2_mem.sv":{"file":"file:///home/abdulhameed.akram/Documents/SweRV-Chislified/src/main/resources/vsrc/el2_mem.sv","lastModified":1606809829000}}] \ No newline at end of file +[[{"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/rvtaj_tap.sv":["file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/rvtaj_tap.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem_lib.sv":["file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/mem_lib.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/beh_lib.sv":["file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/beh_lib.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/dmi_wrapper.sv":["file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/dmi_wrapper.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/ifu_iccm_mem.sv":["file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/ifu_iccm_mem.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/ifu_ic_mem.sv":["file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/ifu_ic_mem.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/gated_latch.sv":["file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/gated_latch.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem.sv":["file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/mem.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/lsu_dccm_mem.sv":["file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/lsu_dccm_mem.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem_mod.sv":["file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/mem_mod.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/dmi_jtag_to_core_sync.sv":["file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/dmi_jtag_to_core_sync.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/gated_latch.v":["file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/gated_latch.v"],"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/rvjtag_tap.sv":["file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/rvjtag_tap.sv"]},{"file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/ifu_iccm_mem.sv":["file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/ifu_iccm_mem.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/beh_lib.sv":["file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/beh_lib.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/gated_latch.sv":["file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/gated_latch.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/dmi_wrapper.sv":["file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/dmi_wrapper.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/mem_mod.sv":["file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem_mod.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/mem.sv":["file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/mem_lib.sv":["file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem_lib.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/rvjtag_tap.sv":["file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/rvjtag_tap.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/rvtaj_tap.sv":["file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/rvtaj_tap.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/dmi_jtag_to_core_sync.sv":["file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/dmi_jtag_to_core_sync.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/lsu_dccm_mem.sv":["file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/lsu_dccm_mem.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/ifu_ic_mem.sv":["file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/ifu_ic_mem.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/gated_latch.v":["file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/gated_latch.v"]}],{"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/rvtaj_tap.sv":{"file":"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/rvtaj_tap.sv","lastModified":1608115815577},"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem_lib.sv":{"file":"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem_lib.sv","lastModified":1608115815577},"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/beh_lib.sv":{"file":"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/beh_lib.sv","lastModified":1608115815577},"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/dmi_wrapper.sv":{"file":"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/dmi_wrapper.sv","lastModified":1608115815577},"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/ifu_iccm_mem.sv":{"file":"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/ifu_iccm_mem.sv","lastModified":1608115815577},"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/ifu_ic_mem.sv":{"file":"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/ifu_ic_mem.sv","lastModified":1608115815577},"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/gated_latch.sv":{"file":"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/gated_latch.sv","lastModified":1608115815577},"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem.sv":{"file":"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem.sv","lastModified":1608115815577},"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/lsu_dccm_mem.sv":{"file":"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/lsu_dccm_mem.sv","lastModified":1608115815577},"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem_mod.sv":{"file":"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem_mod.sv","lastModified":1608115815577},"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/dmi_jtag_to_core_sync.sv":{"file":"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/dmi_jtag_to_core_sync.sv","lastModified":1608115815577},"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/gated_latch.v":{"file":"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/gated_latch.v","lastModified":1608115815577},"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/rvjtag_tap.sv":{"file":"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/rvjtag_tap.sv","lastModified":1608115815577}}] \ No newline at end of file diff --git a/target/streams/compile/copyResources/_global/streams/out b/target/streams/compile/copyResources/_global/streams/out index c144c4ce..613c3ffb 100644 --- a/target/streams/compile/copyResources/_global/streams/out +++ b/target/streams/compile/copyResources/_global/streams/out @@ -1,9 +1,14 @@ [debug] Copy resource mappings:  -[debug]  (/home/abdulhameed.akram/Documents/SweRV-Chislified/src/main/resources/vsrc/gated_latch.v,/home/abdulhameed.akram/Documents/SweRV-Chislified/target/scala-2.12/classes/vsrc/gated_latch.v) -[debug]  (/home/abdulhameed.akram/Documents/SweRV-Chislified/src/main/resources/vsrc/dmi_jtag_to_core_sync.sv,/home/abdulhameed.akram/Documents/SweRV-Chislified/target/scala-2.12/classes/vsrc/dmi_jtag_to_core_sync.sv) -[debug]  (/home/abdulhameed.akram/Documents/SweRV-Chislified/src/main/resources/vsrc/el2_mem.sv,/home/abdulhameed.akram/Documents/SweRV-Chislified/target/scala-2.12/classes/vsrc/el2_mem.sv) -[debug]  (/home/abdulhameed.akram/Documents/SweRV-Chislified/src/main/resources/vsrc/el2_ifu_ic_mem.sv,/home/abdulhameed.akram/Documents/SweRV-Chislified/target/scala-2.12/classes/vsrc/el2_ifu_ic_mem.sv) -[debug]  (/home/abdulhameed.akram/Documents/SweRV-Chislified/src/main/resources/vsrc/el2_ifu_iccm_mem.sv,/home/abdulhameed.akram/Documents/SweRV-Chislified/target/scala-2.12/classes/vsrc/el2_ifu_iccm_mem.sv) -[debug]  (/home/abdulhameed.akram/Documents/SweRV-Chislified/src/main/resources/vsrc/dmi_wrapper.sv,/home/abdulhameed.akram/Documents/SweRV-Chislified/target/scala-2.12/classes/vsrc/dmi_wrapper.sv) -[debug]  (/home/abdulhameed.akram/Documents/SweRV-Chislified/src/main/resources/vsrc/el2_lsu_dccm_mem.sv,/home/abdulhameed.akram/Documents/SweRV-Chislified/target/scala-2.12/classes/vsrc/el2_lsu_dccm_mem.sv) -[debug]  (/home/abdulhameed.akram/Documents/SweRV-Chislified/src/main/resources/vsrc/rvtaj_tap.sv,/home/abdulhameed.akram/Documents/SweRV-Chislified/target/scala-2.12/classes/vsrc/rvtaj_tap.sv) +[debug]  (/home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/ifu_iccm_mem.sv,/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/ifu_iccm_mem.sv) +[debug]  (/home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/gated_latch.v,/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/gated_latch.v) +[debug]  (/home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/dmi_jtag_to_core_sync.sv,/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/dmi_jtag_to_core_sync.sv) +[debug]  (/home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/lsu_dccm_mem.sv,/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/lsu_dccm_mem.sv) +[debug]  (/home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/dmi_wrapper.sv,/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/dmi_wrapper.sv) +[debug]  (/home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/gated_latch.sv,/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/gated_latch.sv) +[debug]  (/home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/rvjtag_tap.sv,/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/rvjtag_tap.sv) +[debug]  (/home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/rvtaj_tap.sv,/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/rvtaj_tap.sv) +[debug]  (/home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem.sv,/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/mem.sv) +[debug]  (/home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem_mod.sv,/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/mem_mod.sv) +[debug]  (/home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/beh_lib.sv,/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/beh_lib.sv) +[debug]  (/home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem_lib.sv,/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/mem_lib.sv) +[debug]  (/home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/ifu_ic_mem.sv,/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/ifu_ic_mem.sv) diff --git a/target/streams/compile/exportedProductJars/_global/streams/export b/target/streams/compile/exportedProductJars/_global/streams/export index 04bfd6f2..ab1754f8 100644 --- a/target/streams/compile/exportedProductJars/_global/streams/export +++ b/target/streams/compile/exportedProductJars/_global/streams/export @@ -1 +1 @@ -/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/chisel-module-template_2.12-3.3.0.jar +/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/chisel-module-template_2.12-3.3.0.jar diff --git a/target/streams/compile/exportedProducts/_global/streams/export b/target/streams/compile/exportedProducts/_global/streams/export index 74d5b1e1..2f56444e 100644 --- a/target/streams/compile/exportedProducts/_global/streams/export +++ b/target/streams/compile/exportedProducts/_global/streams/export @@ -1 +1 @@ -/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/classes +/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes diff --git a/target/streams/compile/externalDependencyClasspath/_global/streams/export b/target/streams/compile/externalDependencyClasspath/_global/streams/export index 58921df4..00a41704 100644 --- a/target/streams/compile/externalDependencyClasspath/_global/streams/export +++ b/target/streams/compile/externalDependencyClasspath/_global/streams/export @@ -1 +1 @@ -/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-library.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar +/home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar diff --git a/target/streams/compile/managedClasspath/_global/streams/export b/target/streams/compile/managedClasspath/_global/streams/export index 58921df4..00a41704 100644 --- a/target/streams/compile/managedClasspath/_global/streams/export +++ b/target/streams/compile/managedClasspath/_global/streams/export @@ -1 +1 @@ -/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-library.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar +/home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar diff --git a/target/streams/compile/packageBin/_global/streams/inputs b/target/streams/compile/packageBin/_global/streams/inputs index 3f347ef3..d8452f02 100644 --- a/target/streams/compile/packageBin/_global/streams/inputs +++ b/target/streams/compile/packageBin/_global/streams/inputs @@ -1 +1 @@ --1449651261 \ No newline at end of file +-1845828660 \ No newline at end of file diff --git a/target/streams/compile/packageBin/_global/streams/out b/target/streams/compile/packageBin/_global/streams/out index 47c05786..3971eae1 100644 --- a/target/streams/compile/packageBin/_global/streams/out +++ b/target/streams/compile/packageBin/_global/streams/out @@ -1 +1 @@ -[debug] Jar uptodate: /home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/chisel-module-template_2.12-3.3.0.jar +[debug] Jar uptodate: /home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/chisel-module-template_2.12-3.3.0.jar diff --git a/target/streams/compile/packageBin/_global/streams/output b/target/streams/compile/packageBin/_global/streams/output index 542d475e..3e238bb9 100644 --- a/target/streams/compile/packageBin/_global/streams/output +++ b/target/streams/compile/packageBin/_global/streams/output @@ -1 +1 @@ -1893358648 \ No newline at end of file +333153426 \ No newline at end of file diff --git a/target/streams/runtime/dependencyClasspathAsJars/_global/streams/export b/target/streams/runtime/dependencyClasspathAsJars/_global/streams/export index 5eabc0af..5a4177ae 100644 --- a/target/streams/runtime/dependencyClasspathAsJars/_global/streams/export +++ b/target/streams/runtime/dependencyClasspathAsJars/_global/streams/export @@ -1 +1 @@ -/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/chisel-module-template_2.12-3.3.0.jar:/home/abdulhameedakram/.sbt/boot/scala-2.12.10/lib/scala-library.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/abdulhameedakram/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar +/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/chisel-module-template_2.12-3.3.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-library.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar diff --git a/target/streams/runtime/exportedProductJars/_global/streams/export b/target/streams/runtime/exportedProductJars/_global/streams/export index 04bfd6f2..ab1754f8 100644 --- a/target/streams/runtime/exportedProductJars/_global/streams/export +++ b/target/streams/runtime/exportedProductJars/_global/streams/export @@ -1 +1 @@ -/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/chisel-module-template_2.12-3.3.0.jar +/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/chisel-module-template_2.12-3.3.0.jar diff --git a/target/streams/runtime/externalDependencyClasspath/_global/streams/export b/target/streams/runtime/externalDependencyClasspath/_global/streams/export index 58921df4..00a41704 100644 --- a/target/streams/runtime/externalDependencyClasspath/_global/streams/export +++ b/target/streams/runtime/externalDependencyClasspath/_global/streams/export @@ -1 +1 @@ -/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-library.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar +/home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar diff --git a/target/streams/runtime/fullClasspathAsJars/_global/streams/export b/target/streams/runtime/fullClasspathAsJars/_global/streams/export index 5eabc0af..5a4177ae 100644 --- a/target/streams/runtime/fullClasspathAsJars/_global/streams/export +++ b/target/streams/runtime/fullClasspathAsJars/_global/streams/export @@ -1 +1 @@ -/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/chisel-module-template_2.12-3.3.0.jar:/home/abdulhameedakram/.sbt/boot/scala-2.12.10/lib/scala-library.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/abdulhameedakram/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/abdulhameedakram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar +/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/chisel-module-template_2.12-3.3.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-library.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar diff --git a/target/streams/runtime/internalDependencyAsJars/_global/streams/export b/target/streams/runtime/internalDependencyAsJars/_global/streams/export index 04bfd6f2..ab1754f8 100644 --- a/target/streams/runtime/internalDependencyAsJars/_global/streams/export +++ b/target/streams/runtime/internalDependencyAsJars/_global/streams/export @@ -1 +1 @@ -/home/abdulhameedakram/Documents/SweRV-Chislified/target/scala-2.12/chisel-module-template_2.12-3.3.0.jar +/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/chisel-module-template_2.12-3.3.0.jar diff --git a/target/streams/runtime/managedClasspath/_global/streams/export b/target/streams/runtime/managedClasspath/_global/streams/export index 58921df4..00a41704 100644 --- a/target/streams/runtime/managedClasspath/_global/streams/export +++ b/target/streams/runtime/managedClasspath/_global/streams/export @@ -1 +1 @@ -/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-library.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar +/home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar diff --git a/target/streams/test/externalDependencyClasspath/_global/streams/export b/target/streams/test/externalDependencyClasspath/_global/streams/export index 58921df4..00a41704 100644 --- a/target/streams/test/externalDependencyClasspath/_global/streams/export +++ b/target/streams/test/externalDependencyClasspath/_global/streams/export @@ -1 +1 @@ -/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-library.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar +/home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar diff --git a/target/streams/test/managedClasspath/_global/streams/export b/target/streams/test/managedClasspath/_global/streams/export index 58921df4..00a41704 100644 --- a/target/streams/test/managedClasspath/_global/streams/export +++ b/target/streams/test/managedClasspath/_global/streams/export @@ -1 +1 @@ -/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-library.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar +/home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar